>RHN52259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33170281:33175229:-1 gene:gene36912 transcript:rna36912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLCIATTTRLRYAFHKFLRFFSHCPKQNAKILSSFNGIDDAVTLFNHLINMQPLPSVIQFNTIIGSVVKMKHCPVAISLLKQMVFKGVTPSIFTLSIWINCYCHLGEMGFAFSVLGIVLKRGYQPNNITLTTVMKGLCINGEVQKAMDFHDNVAAQGMLLDEVCYGTLINGLCKIGRSIDAFQLLQEMEGQVVKPNIVIYNMIIDSFCKDELTCKARDLYLKIVDMGIDPDILTYTSLIRGFCRTGQWGEVKQLMCEMVNKNINPNVYTFNVLIDAFCRKGKMIEAQGMFNLMVKRGQQPDIVTFNTLISGHCLHGNVLEARKLFDTVFERGILPDVWSYTILIIGYCKCKRIDEAVSLFNEMRCKNMVLDIVLYSSLIDGLCKSGRISYAWELFSTINNDGPPPNVITYNILIDAFCKIQDIDMGIELFKLMCGKGLTPTVLTYNILINGYCKSKRIREAMNLLSVMQSKNLAPDSITYNSLFDGLCKSGRISDAWELFKVMHVGGPPVDVATYNVLLDAFCKAQDVA >RHN74085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27249972:27253490:-1 gene:gene10054 transcript:rna10054 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAQKPDSNDKKEKVAQKPDSKDKTEEVAQSKVERAESSKRTYDDCTYQECPSLNISQASQMENAKKPKGDDIEEKPRVTINPILLEIQEAEKVATKPRSKDEIEEVAKSEVENLESEVSWVCPWGRHCHNVDMSTYVEWPDYYCFDSPDDNDCLDDLSDNDEKDAEYKERWEKCFHVGKLPGEK >RHN68619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37173975:37175754:1 gene:gene16991 transcript:rna16991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRSPCCDESGLKKGPWTPEEDQKLVEHIQQHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILHLHSILGNKWSAIATHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHQPRTDLVSTIPYLLALANMTEIIDHQNQSSWDDQQHAAMSSLQAEAVHLAKLQCLQYLLQSSNSSININNNSYDQNAMITNMEQEQPLSLLNTISNVKENTIMDCSQLDSTHAASFSQPLHHQSVLPHFLDPQQVSFSSQSCLNNEQSQGGTITNFATVDETSWINVPSSAPISVPPNAIGISAGDASSCTSSYGGGGGPSPVSYWSEFFFEDPIMHDLS >RHN59342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9711211:9725607:-1 gene:gene21356 transcript:rna21356 gene_biotype:protein_coding transcript_biotype:protein_coding MFTREFGYQISTYVTLSDPNENEHEVMIEKRYFPVMIFHILSKLLSVDISVTFLDPNLYCNFFGLALVKYVLFLSLNLLMFSNTKCRIELMYFPKPPY >RHN74918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37759983:37766515:1 gene:gene11052 transcript:rna11052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MSRKGLMEQDLSKLDVTKLNPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKLYKCEDERCPRPMSYKAYGSGKEDNPMCDVAGFENCKMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQRFIEGTVADGAPVVPISAQLKYNIDAVCEYIVKKIPIPERNFVSPPNMIVIRSFDVNKPGFEVDEIKGGVAGGSILRGVLKVNQFIEVRPGIVVKDEDGNMRCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGHVLGEVGSLPEVFVELEVNFFLLRRLLGVRTKGSEKQGKVAKLTKSEILMLNIGSMSTGAKVTAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGITLEVPPTPILN >RHN38710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1422894:1424921:1 gene:gene44671 transcript:rna44671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L37ae MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKFFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >RHN47928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43633204:43633803:1 gene:gene42584 transcript:rna42584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prenylated rab acceptor PRA1 MANLGTTQRIPTSKPSSPTTDTYEPKSPHEKLYANFKFYCPINLPLTQEVAASRIIRNLKHIGLYYTLFIWMILFITLIPDQKVSLILLLIMTYVTTLYCLILRSCPNSHLLHRIIDKKIVLTFLVIATAIQLIMTDAGTHFAITSTCSVPVVLLHAVLWDSSYDGYETKEGSGTQELAPLTSSQNDSDKSSFCNYLIE >RHN78509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15810243:15815309:-1 gene:gene2084 transcript:rna2084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pleckstrin-like, plant MEKQNKQQWRPDPEAPRDPMEFLSRSWSASAMEVSKALSPAQLPPLSNKLNNGSSNAAAILEDFAGEVDDSIITVSGNPFSFASSQTSQMVLDRIMSHSEVSPRTSGRLSHSSGPLNGSLTDSPPVSPSEMDDFKYNRSSNNHNNNSIISSLNNHYRVSATGGGGGGGKTVGRWLKERKEKKKEETRAHNAQLHAAVSVAGVAAAVAAIAAATAASSGARKDEQMAKTDMAVASAATLVAAQCVEAAVAMGAERDHIDSVVSSAVNVRSSGDIQTLTAAAATALRGAATLKARALKEVWSIAAVIPVEKNLVGNGNGGGGSGSNGSSNSSFSGELAPEENFLGICSRELLARGFELLKRTRTGELHWKIVSVYINRTNQVMLKMKSRHVAGTITKKKKNVVIGVIKEMPAWPGRHLLEGGDNRRYFGLKTITRGVVEFECRSQREYDVWTQGVSRLISIAAERNNKNRTC >RHN79889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33251016:33251414:1 gene:gene3743 transcript:rna3743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MTRAVARVGDLVTIYITNNITNYQVGVHCKDKNHDIGLQNINVGESYIFTLVPTFLIPRTLYFCSFSWPKGFHYFDIYVQSRDQEDCRPEKQCHWIIKESGPCKIKSGSVDCFNWNTNVVLGGKQLGHNLNM >RHN42104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35421935:35422352:-1 gene:gene48500 transcript:rna48500 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKKLVEVGTCLNKDKKKAVEVGTTLNMEKNKGVEVGTSLNLDKKKVVCRPYDNIKDVNESKDLWTFAVRIADAWSVMGKSRQEHFDMVVVDKQIILLSNSCSNYSSN >RHN72807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10864225:10866522:-1 gene:gene8566 transcript:rna8566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prefoldin MASVSDLKNEMRSHEVSIAELNALPSSRTVYQKNGNLFFRTTVQTAANMEQKQLDSAKAKLKNLNSSV >RHN56163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28406059:28406284:-1 gene:gene31520 transcript:rna31520 gene_biotype:protein_coding transcript_biotype:protein_coding MREKGKSVCGMIGTNLTRFLTLTRVNSEFFLDPFPNDCCVLIVTN >RHN45501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21591414:21607990:-1 gene:gene39852 transcript:rna39852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MTRFSKSSAQRLLYALTSTTTGAPSLLAATSHLRHFSSGNLARAKAATTDKEPWWKESMEMIRNIGISAHIDSGKTTLTERVLFYTGRIHEIHEVRGKDGVGAKMDSMDLEREKGITIKSAATCCNWKDYTINIIDTPGHVDFTIEVERALRVLDGAILVFCSVGGVQSQSITVDRQMKRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHSAAIQIPIGLEENFKGLVDLVKLKAYYFDGSNGEKLTIEEVPSDMEALVAEKRHELIETVSEVDDILAEAFLSDEPVSDVDLEGAIRRATVARKFIPVFMGSAFKNKGIQPLLDGVLSYLPCPIEVNNYALDQSNKEEKVELPGNPDGPLVALAFKLEESKFGQLTYLRIYEGVIRKGDFIINVNTGKKNKVPRLGRMHSNEMEEIDEAHAGQIVAVFGVDCASGDTFTDGSVKYTMTSMSVPEPVMSLAVQPVSKDSGGQFSKALNRFQREDPTFRVGLDPESGQTIISGMGELHLDIYVERIRREYKVDATVGKPRVNFRETVTQRADFDYLHKKQSGGQGQYGRVIGYIEPLPAGSETKFEFENMLVGQAIPSNFFAAIEKGFIEAANSGSLIGHPVENLRVVLTDGAAHAVDSSELAFKMASIYAFRQCYTASRPTILEPVMLVELKVPNEFQGAVAGDLNKRKGMIVGNDQDGDDSVIIAHVPLNNMFGYSTALRSMTQGKGEFTMEYKEHSPVSHDVQTQLVNAYKGNKAPE >RHN60103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20304836:20305963:1 gene:gene22325 transcript:rna22325 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNPNAWCIGWDMNLLAAAYVGIISSGLTYYVQGIVMEKKGPVFVRMTMIFSNYYQCL >RHN59244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8744207:8745553:1 gene:gene21247 transcript:rna21247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller MFTQVSVWAYVCLMSNSYKEENQLNFEADHLMDLPSNNHQLDVEEKQCMSKKLEKQVVDPKEEEKSKNEEEDMQNDVIFPVHSIDNGNGKRYDIMVSDSNLLSEHLGRDISIHCLLRLSRSDYGSIAAINKSFRSLIRSGELYKLRRKAGIVEHWVYFSSEALKWEAFDPNRNRWIHFPKMTCDACFSLADRESFAVGTELLVFGKELMDPIIHKYSLLTNMWSVGNMMNTPRCLFGSASLGEIAILAGGCDPCGNILSAAELYNADTGNWKTLPNMNKARKMCSSVFMDGKFYVLGGIAADKKTQLTCGEEFDMKTKKWREIPNMFPVRTGVFETPPSFGSPPLIAVVKNVLYAADYGQQKVKKYDKDNNSWVIIGSFPEQATSMNGWGLAFRACGDHLLFLGGPVIHGGIMMEINAWIPNEGEPQWNRLAGNQSGGFVHNCTVMGC >RHN51463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18055034:18055434:-1 gene:gene35898 transcript:rna35898 gene_biotype:protein_coding transcript_biotype:protein_coding MICGLSLIRCCCCCCRVWFEFVTLLRFVSCSLYGLVPVVVVPVDLGSGGGGGLGFAGLLVGVRGCVVFASSLLFWFARLGALDLTFVVQSQQPERVGCSVSKTRKGL >RHN68664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37586100:37590709:-1 gene:gene17044 transcript:rna17044 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAFKSTTKRIPVGANSADDSTSSSSSHHRRSRSLSRPARPLSSRDSDSDRTAPRGKFVNTVRGSGFPEISLDDLAIEFFESANRGPVDSKSSESESTPASSLRRGRSVSRRSSGVGDDRRNSIGGGGKTTSDASSRRRRSLSVVRCQISDSESDLDRSQNSKSRANPTNNDIGSKLMQKPVASDQRHTLKKSLSQKDLRSYDGYSSHSSVLTDDEGAGVHFKKSGSEKLRAVHAQKKVGLVDMANGLHKAMRKELRHMETDAAVNSRASPLSSGNRLPSDNSDSIQVASSVRRSYESELEQSEKRKQDLLAEIVFEEQRGRELSKIVNELSPAKKSNSSPAKKSNSLPEPPRARKKSNDRGRMSMRLTEEAERYIEDFISNVEDTDISSLDGERSDTSSSIGGLIKPETFSSPPLPRSLPVLMDGITLPWLQWETNNDTTPMATLNKALLTSTPNTAASSTQENLKDQSNHSISGRGSWSPDYLKEYVGKDVFSKLGKVYSNPDESFSAKSKGLRFDIDEYLKVKSNEDFLTERWKQQQRINSGCLLLCNISMF >RHN82027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50670175:50672707:1 gene:gene6143 transcript:rna6143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyltransferase A, auxiliary subunit, DYW domain-containing protein MSVLMETPKSDTFVYLLQSAIKSRDTVTGRFIHARIIKHGLHLSVFLMNNLLNFYSKTASFNDAHRLFSEMPQRTTFSWNTLLSSYAKGGNIETARRLFDDIPEPDSVSWTTMIVGYNQMGRFNTAIHTFQQMISDGILPTQFTFTNVLASCVATGSLDIGKKVHSFVVKLGLSGVVPVANSLLNMYVKSGDSLRVKAVFDRMRLRDKSTWNIMISMHMQSGRFDVALALFDQMTDRDIISWNSIIAGYCHQGYDSKALETFSDMFRSSSLKPDKFTLGSVLSACANLESLKLGKQIHAYIVRADIDISGAVGNALISMYAKSGAVEVARTIVELRGTSNINVIAFTSLLNGYVKIGDVNPAREIFDSLKCRDVVAWTAMIVGYAQNSLLKDALELFRLMISEGPRPNSYTLAAVLSVFSSLASLDHGKQLHAIAIRLKEVSSVSVGNALITMYSKSGCIKDARKVFNQICTERDTLTWTSMIIALAQHGLGNEAIELFEDMLKFNLKPDHITYVGVLSACTHVGLVEQGKRYFNLMKNVHHIEPTHSHYACMIDLFGRAGLIEEAYNFIKTMPIEPDGIAWGSLLSACRVHKNVDLAKVAAEKLLLIDPNNSGAYSALANTHSACGEWEEAAKVRKLMRDREVKKEQGFSWVQIQNKVHIFGVEDALHPQRDAIYRMISKIWKEIKKIGFNPDTDSVLHDLDQEVKEQILSHHSEKLAIAFALINSPGYTTLRIMKNLRVCNDCHSAIKYISMLVGREIIVRDATRFHHFKDGSCSCRDYW >RHN64364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58508934:58510573:1 gene:gene27176 transcript:rna27176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator GNAT family MADTYSIESKVVIREFDEDRDVKVVGKLERNCTEINGTTKKGFSIFTNMMSNGDPLSRIRFYPLHVMLVAEMVESKELVGVVKGCIKSVQTPSGSLFKMGCILGLRVSPIHRRKGVGLKLVTSIEEWMLTNGADYAFLATEKNNNASKNLFTNKCNYFNFTSLIIFLHPPTSFPTNHISKKDVKIDKISIDQAISFYTRILKTKELYPLDMDIILKEKLSLGTWVSYYKDEGFKLNIEDIITHKSTTSSSWIIFSLWNTCEACDNNLQVKTKLFQPLRFLHATLNHAKDKICPCLRMFDSMCNNSTFGFLFLYGLHGEGENLGGLMESIWRFTSRLGEKLKECRVVITELGFGDPLVNHVPKIDSMSCIDDMWYTKRLGNHSDDENDELVEVMKRQLGNVFVDPRDF >RHN80780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40813163:40816885:1 gene:gene4746 transcript:rna4746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MADFQTSTHRSKWIFSPQKLIEKYKAANKRAKQTLDTCGATLMEVDVDGSLTYPQPHPNANDNGEKHSRIKPLSIEEEQSIKVFYENKLQEVCNNFHFPHKIQATALIFFKRFYLQWSVMEHQPKNIMLTCIYAACKIEENHVSAEELGKGISQDHQMILNNEMIVYQSLDFDLIVYAPYRSVEGFTDSMEELCISGEDELQKFKALQNTARLEVDKMMLTDSPLLFPPGQLALAALRTSNALHTVVDFDSFLSRIFSHQNSTHTMTELLESLDAIDSWVRKYKSPSEKELKHINRKLKSCWGHSSHDEGKKREKKSKHKSRKSSNEALNT >RHN52526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36385092:36386717:1 gene:gene37214 transcript:rna37214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEKTTTLASTKNEMVSTHIPDDIHFSILSKLPLQSLKRFESVRKSWSLLFENTHFMNMFRNDFITNPRRSCSYYNEASPLLSVFEDDKKVLYYLYGERFKNKFKLDWFNSSQEHFRIFGFGSINGTLCLYDFSNDNQGNIGLWNPTTQTTILSPPSLAISLVESILDHDEDMDFDGIFYNLHGFGYDRVTKDYKVIRYVWFTLEYLEPLWEIYSLRSNMWRELYVDMPYSLDCIDGTQVYMDGVCHWLSEEDSNEESCLVSFYFSNEGFFTTPLPSEVEDWSDDLALWLNLAVLNGSIALVSYHKETTNFHISILGDFGVKESWTKLFIVGPLSCVKRPIGVGTKGEIFFIRKDEELVWLDLSTQMIEEVGYKANNPNCSIIVYKEDILKEIYDCGY >RHN59211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8464533:8472295:1 gene:gene21210 transcript:rna21210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2'-phosphotransferase MDNNRATPSSSGNSRGRAFDTRNDRERTRGRGGGSGPSGSGKDKIDALGRLLTRILRHMASELKLNMRSDGFVNVNDLLKLNLKTFANIPLRSHTIDDIREAVRKDNKQRFSLVEENGELLIRANQGHTTTAVETESLLKPILSAEEFPVCVHGTYKRNLDSILASGLKRMKRLHVHFSRGLPTDGEVISGMRRDVNVLIYLDVRKALEGMKLYISDNKVILTEGFDGVVPSKYFQKIESWPGRQPIPF >RHN73742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19520060:19528450:-1 gene:gene9602 transcript:rna9602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MMFHFQGVSRHCSLLAVLSGKSHDSKQKQKQKQDDDASEDQFSSYPFPELSSSGRLEVKVLTKPTFDELARVLEQLQPDFVYLQGQQLDDSGEIGSLVWEDFDLSTPEALCGLFSSKLPNTVYLETPKGEKLAEALHSKGVPYTIYWKNEFSKSAASHFHQAFFSVAQSTSSHTWDAFQLAQSSFRLYCVQNEVIPHNSQKGSDKVGPKILGEPPNIEVGPCEADTKEDEEDSPETSSSIKIYDDDVNTRFLLCGFPCTVDACLLESLEDGLNALLCTEIRGCKLQNRTSAPPPPLQAATFSRGVVTMRCDISTSSSAHISLLVSGSADACFNDQLLENHIKKELTENSQLVQAIPSHEQNKLPSYEPRRSASVACGSSVFEVCMRVPTWASQVLRQLAPNVSYRCLVMLGVASIQGLSVASFTKDDAERLLFFCNRQEKDNCSKDIVFSSHPSWLMPPAPSRKRSEPESCSRVKSINASGVKVEDNGSDRQKLSFAAMRPIPQSHRQKLLPFSGYFEGEKYDGDRGKSNQPLVPIKHNGLGSRSVTNRKSVSNSFQAHQIISLNPLPMKKHGCDRAPIRVCSEEEFLKDVMQFLVLRGHTRLIPQGGLAEFPDAVLNAKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMSNHTLTHRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGMCGEWAHFGCDRRQGLGAFKDYAKTDGLEYVCPHCSMSNFSKKSQKTANGY >RHN71793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2658989:2659713:-1 gene:gene7428 transcript:rna7428 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVKFYLFFPVSFPPSLSPVLSFSSFFRFACSSLFWFGFVLLLVRICVVVVRICASCCCCY >RHN63053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47965864:47968127:1 gene:gene25706 transcript:rna25706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MNLMARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >RHN44692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7406449:7407474:1 gene:gene38842 transcript:rna38842 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLKSIHVSSQTNQIQAPTNYFSMQILDSSIQNLIKSCKRRQRWLFLFTTSNQQDLLNNRASWRIHLSNFLESTMIRVLSILLLTIDLIITILELSSSLVSCKQKINIVEELYFHWIGIGILSIISMKIIALLVGLGFSFFKHPGYVVDGIVAIGALIMEVFLEKRGGGLLVVVSLWRVIRVVESVFELSDEAIEAQIEGIVCQFEALKDENIRLLGIINEKDKLIEKLKEELDKCR >RHN75556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43256639:43260382:-1 gene:gene11782 transcript:rna11782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MENMVESREWYLAEYCPEGVPTSDHLKLRTVSRSLADDSIPDNHLAVETLLLSVDPYLRRTITGTIDGLYMPQHEINQVLKRVFAIVRVIRSKDSKYTEGDILLNGNGYVAEYSIVPSSDIMRKIDPANGISLSDYLGTLGVAGFAAWLGIEVIGDPKPGSHVFISAASGAVGMSAGQLAKIRGCRVIGSTGSDDKVNLIKEEFGYDDGFNYNAESDFDAALSKYFPDGIDVYLDNVGGKMLEAVLNHVNKYARIPLCGMISQYNQVWTEREGIRNLLNMVGKEVRMEGFMLDSYWDRFGDFAKDMEIYLQEGKIKSKNKINIGIDCFLESLNSLFSSSNIGKVVVQRCYNFHLNVIFNIIMGLFGLHHQIDECNLDIFFTIDYINPPSFIMT >RHN77745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9141606:9143592:1 gene:gene1232 transcript:rna1232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MLLMRVILVLLLPFMLLLQQGNSSTTTNACPPSSCGKISNISYPFRLQDDPKHCGESRYELSCENNVATLYLYSGKYYVQSINYNNFTIRVADPGVIEYNFNLLPHYSLSRSNFCDTYNNDENCTDPYHAGYRGQLYSSENKLFEHIVYLNCSHPVRNNPKYVNTSSCLTQESKSKGYYIYAIAGHITAEDLQVGCHVKFITPTSLKGLQGNQVISYDEIQKALVYGFEISWLRLPCQNYCEKSELCYFDTTTQKLGCNVHYCFTLMGFSESNNCGKWSRIVLSAKGKSLIPLI >RHN54047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6505349:6506259:1 gene:gene29026 transcript:rna29026 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVFSERRVPSSMKVILAVLFNNTFLNPVFGKNTSIVTLYKSYQ >RHN76690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:450062:453796:1 gene:gene55 transcript:rna55 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MGLLNESCPPLHSCLSEDLNGMIHAILEVVAGGIVQTTNDIHRYVRCTLLNSTKPFLDVIKSAQESLRWLCQRKFLEWNEDTKLYSTTPLGRASFGSSLCPEESLVNVSIVLADLSREREGFVLASDLHLVYLVTPINVDVEPDWESYYERFVKLSPLDQSVGNRVGVTEPFLMRMAHGVPVGSNKSRWSNNKRQNQHGMSSGIVNSDDQTLRVCRRFYVALILSLLVQETPVGEVCETFKVARGMVQGLQENAGRFASMVAVFCERLGWHDFEGLQKLLSLPPFPMLNTHSVPLFLPFNSQGSRARALYKAGLRTPLAIAEASIPELVKALFESSSWGTEGSAQRSLQFGVAKKIKNGARKIVLDKAEEARIAAFSACKSLGYDVPQFAPPISTALCNSIRKEVGISSGSDTADTSHSFIDANHIGNSNVAALEKEKDLIKSSDRSALVSVEGKSDSMKPHSLSTVPVVVPTINEPSMAFGPAKIPDVTTLSVHLQKQNDKSIMHNGCHAQGTGEQNHRGNLASGNMINTSRKGPINAVSSPGGLDIHCIKRLELHSAAPFEIHGIAICWENSPMYYINLPRDILLSENRKDDSFSLTACSSKQRVSSSNSKQDSMHRWSRISKIIEKKEVRKFTWNLKVQIQVLKKPSVSVQRFGSLDTIDKNMHLEVVDNSYILLPPIHVKDAIDMCIVAWILLPDEESSSSPNLDKEVKKRLSPEDAEAANQCGRWRNQMRKAAHNGWLLPSGCSNTSIMFCSLEIACF >RHN42412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38142153:38145359:-1 gene:gene48850 transcript:rna48850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MEGAVAEVDKSAFVECLALSKKNPYILQLAFTAGIGGLLFGYDTGVISGALLYIRDDFKEVERKTWLQEAIVSTAIAGAIIGASGGGWMNDRFGRKITILYADGLFFAGSVIMAIAPNPTILIVGRVFVGLGVGMASMASPLYISEASPTKVRGALVSLNSFLITGGQFLSYIINLGLSRLPGTWRWMLGIAAVPAVVQLALMFSLPESPRWLYRKGRKEESIAILKKIYPPEEVEAEIKVLSESTEKEIKEAEFSNNITIVQMMKTKAVRRGLYAGMGLAIFQQFVGINTVMYYSPAIIQLAGFASNQTALLLSLITSGLNAFGSILSMYLIEKSGRKKLALISLTGVVGSLILLTVVFHQTAITSPLISPTETANFNSTCPGYSKAIDPAKWDCMTCLKDESNCGFCASTDRLKPGACLIQDDASKERCASQHRDWYTQGCPSKIGWLAIVGLAVYIIFFSPGMGTVPWVINSEIYPLRYRGICGGIASTTVWVSNLIVSQSFLSVIELLGTAWTFLAFGVISCMAIVFVIIFVPETKGVPMEEMEKLLEERKLGFDLGNKPSS >RHN77543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7606117:7618376:-1 gene:gene1008 transcript:rna1008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spermine oxidase transcription regulator Homeodomain-LIKE family MDDNQDLRLKKKKRSKPIEIDFDSDNDEPIGSLFKIKRNKKKVNFVASESGIRENDSSRVMDDNEPLASFRKRLKGPKRDQGSGLNDDLVGGGSGSVSMDEKKVDLLVGDNDMQVNDSADQNMEEESLSVIFHKVQSKSVKKSRGVLGLKKKRGNRNVDSGLKHGCESLTENVDSMVESRSGSASASKSVEGRQESDTFCSVSAMDEQKGGDECFQEEKVKGICDDSNIPDGSSVDHSKSLIACDGDRQQSDTFCLVSAMDEQKGGDECLQEERVKGIYDSNIPDGSSVDPSNSIIVCDGDRQQSSSVQVEDVCRASDKKVALQEKFNDKSLNQCSDMLPDVEVIDTGSPSDLEDGVCGLSDSKELENKSVDAIAEEKVCNGASEGGVSTSTGKEILLTCHTGLLIESNVNILKENDAMVSGKTLLESSINGDIKMDTEFVSGGNCYDCSTSDANAEVQDVVGCSPEKFDAIASGSLSAIVPNDANESELVVQSNHPDKPLEMCDVPKYSTASILKCSSVSDPIQSDGCSIQSSIPDENGNVAEYHASVSDFADNGGKISGNPRTIRKTKMHKHGDMTYEGDADWEILINDKALNESHGAADGERSLKTRVKQDSSLNDAEDSENVAVAAVSAGLKACAVCPIEKIKFKEILKRKGGLKEYLDCRNQILSLWSSDVTRILPLSECGVGDARSENESSRSSLIREVYAFLDQYGYINVGVASQKKNVESSARHCYKLVKEKGFEESSTASLAGSEDGVSFIVGQTKMSYASMDINDGPVKDFEDLATEATEGMMHVNEAMPDSSNMAQYERKKYDDQENVGILDGFPDCRLISLAVAKQNNESKCVTHALGDQIGDTLQSNLEAKKRVIIIGAGPAGLTAARHLNRQGFTVTVLEARNRIGGRVFTDHSSLSVPVDLGASIITGVEADVATERRPDPSSLVCAQLGLELSVLNSDCPLYDIVTGQKVPADMDEALEAEYNSLLDDMVLVVARKGEQAMKMSLEDGLEYALKIRRTGHSEGSKEIKQSNSADHPFDSKRDGAMEQNFDEEILDPQERRVMDWHFAHLEYGCASLLKEVSLPHWNQDDVYGGFGGPHCMIKGGYSTVVESLGEGLVIHLNHAVTNVSYGIKEPGENNKVKVSTLNGSEFFGDAVLITVPLGCLKAETIQFTPSLPEWKCSSIQRLGFGVLNKVILEFPTVFWDDAVDYFGATAEERSKRGHCFMFWNVKKTVGAPVLIALVVGKAAIDGQSLSSQDHINHALKVLRKLFGEDSVPDPVAYVVTDWGRDPYSFGAYSYVAVGASGEDYDIIGRPVDNCLFFAGEATCKEHPDTVGGAMMSGLREAVRIIDILNTGNDNTAEVEALEAAQGQLDTERNEVRDIIKRLDALELSNIMYKNSFEGAQILTREALLREMFLNVKTNAGRLHVAKQLLSLPIGNLKSFAGSKEGLTVLNSWILDSMGKDGTQLLRHCLRLLVRVSTDLGAVRLSGMGKTVKEKVCVHTSRDIRAIASQLVNVWLEIFRKEKASNGGLKLSRQAATVELSKRKSLKESASGKPPLSTHQGAIENKGGLLNPVSAGSNSPSTTHAKKLHSKQGRQPSGCDSRHEVSSSRSQGSIDKIATKEERNHYAMSEEEKAALAAAEAARTQAIAAAQAYASAEARCSTLLQLPKIPSFHKFARREQYSQNDEYDSRKKLSGGFFGRQDCVSEIDSRNCRVRDWSVDFSTACVNLDNSNIPVDNLSQRSHSNEIASHLNFGERSGESAAVDSNLYTKAWIDTTGDGVVKDHLAIERWQSQAAEADSHFSNPTSHLKDEEDSNAYSSLPSWKHEGIANESSVSQVTVNKEALKGHSRGADHIKQAVVDYVGSLLMPLYKARKLDKDGYKAIMKKSATKVMEQATDAEKAMTVRDFLDFKRRNKIRSFVDVLIERHMATKPGTKS >RHN60655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29150850:29153384:1 gene:gene23004 transcript:rna23004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MDQNHKKKPSKNKGYYVKMKLMHKHGIRPQQQEKNHFHKYYKWILWLSLSLYFFTSYLISNNNNHNHNNNIKHHQITSTIKSLSQSLSIKKTTSSPQTLQKTSLKNLKIFVYDLPPKYNKNWLKNPRCKTHLFASEVAIHRALLTSDVRTFDPYEADFFFVPVYVSCNFSTVNGFPAIGHARSLISSAVKLISTEYPFWNRSTGSDHVFVASHDFGSCFHTLEDVAMKDGVPEIMKNSIVLQTFGVTYDHPCQKVEHVVIPPFVSPESVRNTLENFPVNGRRDIWVFFRGKMEVHPKNVSGRFYSKKVRTVIWKKFNGDRRFYLRRHRFAGYQSEIARSVFCLCPLGWAPWSPRLVESVALGCVPVIIADSIRLPFSSAVNWPEISVTVAEKDVWRLGEILEKVAATNLSIIQRNLWDPRTRKALLFNSRVHEGDATWQVLHSLSEKVDRSYRSSRVSRQLEFDT >RHN62330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42659444:42662330:1 gene:gene24892 transcript:rna24892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVVMLVGNKADLRHLRAVSTEDATSFSERENTYFMETSALESLNVESAFTEVLTQIYRVVSKKALEIGDDPAALPKGQTINVGSRDDVSAVKKAGCCSA >RHN57961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42762142:42765252:1 gene:gene33556 transcript:rna33556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MRMFLLCLHLLLFLFSSFSSCSSSNLLCHPEDSYSLLQFKSSFTTYTNYACLEQPQKTSTWKIETNCCSWHGVTCDAVSGRVIGLDLGCECLQGKIYPNNTLFHLAHLQSLNLSHNDFFNSNLHSQFGGFKSLTHLDLSSCNFQGEVPPQISYLLQLTSLRLSKNDELSWKETTLKRLVQNATILQELYLDETDMTSINPNLLNSIFNKSSSLISLSLQRTGLSGNWKNNILCLPNIQELDMSKNDNLEGQLPDLSCSTSLRILDLSYCLFKGPIPLSFSNLTYFTSLSLIENNLNGSIPSFLLILPNLTFLSLKDNSLISGLIPNVFPESNRFQELDLSGNKIGGDLPTSLSNLQHLVNLDLSSNSFSGQIPDVFYKLTKLQELRLDNNRLDGQIPPSLFNLSQLDYFDCSYNKLKGPLPNKITGFQNLGYLLLNNNLLSGKIPSWCLSIPSLTMLDLSNNQFTGNISAVSSYSLWYLKLCSNKLQGDIPESIFNLVNLTTLCLSSNNLSGIVNFKYFSKLQNLNSLSLSHNSQLSPNFESNVSYNFSILSILELSSVGLIGFSKLSSGKFPSLRYLDLSNNKLYGRVPNWLLEIDSLQFLGLSHNLFTSMDQFSSNHWHDLYGLDLSFNLLAGDISSSICNRTSLQLLNLAHNKLTGTIPHCLANLSSLQVLDLQMNKFYGTLPSNFSKYCDLRTLNFNGNLLEGLLPKSLSNCEYLEALNLGGNKIKDYFPSWLQTMQYLEVLVLRENNLYGPIAGVNIKHPFPSLIIFDISSNNFSGPLPKAYIQNFKAMKNVIQVGEGSSSQYMERMEVGDMTYYDSVTMTVKGNSIVMVKIPIVFVNIDFSHNNFEGEILNVIGELHSLKGLNLSHNRLTGPIPQSVGNLSNMESLDLSSNILTGVIPSELINLNGIGVLNLSHNHLVGEIPQGKQFNTFSNDSYEGNLGLCGFPLSKKCEPEQHSPLPPNNLWSEEKFGFGWKPVAIGYGCGMVIGIGLGCFVLLTGKPRWLVMMVGGQPKQRVTRRIRVRRIHGSTMN >RHN41751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32634336:32638785:1 gene:gene48104 transcript:rna48104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoside-triphosphate phosphatase MAQIKQAKFGSVDIDFVLGVGGYDIQRIEAEVEGECPSTASHPDDCGHEHKGHHHHDHVHDSAVSSVSIVAEGTLDRDEVEDWLERLVEEKGEDLYRMKGVLAVDGSEQRYVFQGVHSMLDDSPGKTWQPNEKRINKLVFIGRNLDETALRKGFKGCLV >RHN50695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9555243:9558787:1 gene:gene35017 transcript:rna35017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylylsulfatase MKKNNTSERITVLTSHFTPSTSMASEKEAALAATPPDADSPTIFDKIINKEIPSTVVYEDDKVLAFRDIQPQGPVHILLIPKVRDGLTGISKAEERHIDILGRLLYTAKLVAKQEGLDDGYRVVINDGPKGCQSVYHIHVHVIGGRQMNWPPG >RHN75647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44069908:44077733:1 gene:gene11881 transcript:rna11881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diacylglycerol kinase (ATP) MASHDSEFLKNFWIPNHILVSDSKVDDEIEGDGPKCPVLVFVNSKSGGQLGGELLKTYRAVLKDKQVFDLGEETPDKVLSRIYANLENLKVQGDRLAISTMERLRLIVAGGDGTAGWLLGVVCDLKLSHSPPIATVPLGTGNNLPFAFGWGKKNPGTDEQSVLSFLNQVMKAKEMKIDNWHLLMRMKAPKHGTCDPIAPLELPHSLHAFHRVSETDELNIEGCHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLVNQSTYAKLGCTQGWFMASLFHPPSRNIAHMGKVKVMKTAGQWEDLEIPSSIRSIVCLNLPSFSGGLNPWGTPNRKKQRDRDFTPPYVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAKRIRFEFHKGAADHTFMRIDGEPWKQPLPVDDDTVLVEISHHGQVNMLATYESKSKSVYDPSSPHHNGAEEDDDEDSLADEFRKFGAAETFKIPDEVDITHLS >RHN48414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47305884:47309561:-1 gene:gene43128 transcript:rna43128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MGWIPCSGNSGTKKKIVKMEVQDSVAPQIKATPGKLKRNSSTNSKDTSKNGSTEHIAAQTFSFRELATATRNFRAECLLGEGGFGRVYKGHLESINQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGCLEDHLHDISPAKKRLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGENTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDYSKSAAEQNLVAWARPLFKDRRKFSQMADPMLQGQYPSRGLYQALAVAAMCVQEQANMRPVIADVVTALSYLALQKHDPNTVQNSRLAPSTPTRTRRGR >RHN39652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9100119:9101231:-1 gene:gene45694 transcript:rna45694 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNRILAARYGEVGGRICVGGRLGSVWWKNLTAISDGVGLGVENWFFDNLNRCVSDDSSTLFW >RHN44030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1120347:1152306:1 gene:gene38078 transcript:rna38078 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIQMTPAPAAPLSRFGVLVAQLESIVASAAHKSPEPLLCFDLLSDLISAIEEDIKDNILVWQRRCEDALYSLLIIGAKRPVRNLASVAMAKIISKGDAISIYSRASSLQGFLSDGKRSEPLKIAGAAQCLGELYKHFGRRITSGLLETTSIAAKLMRFSEEFVRQEALYMLRNALEGSGGSAASTAYSEAFRLIMKSAAGDKLFAVRIASARCLKAFASIGGPGLGVAELDNSASYCVKALEDPVASVRDAFAETLGSLLALGMNPEAQIQPRGKGPFPQAKKLEGGLQKHLILAFTKASGVRSRHVRVGLTLSWVFFLQAIRIKYLHPDSELQNYALQVMEMLRAETSVDAHALACVLYILRVGVTDQMTEPTQRDFLLFLGKQIQSPEAGPSMMVAALRTVSYTLKTLGEVPFEFKEVLDNTVVAAVSHSSKLVRIEAALALRALAEVDPTCVSGLTSYGVTNLTALRESVSFEKGSNLQFELDSLHGQATVLAALVSISPKLPLGYPARLPRLVYEVSKKMLIEYSRNPLAATVEKEAGWLLLSSLLVSLPKEELEEDVFDILALWATLFTGNPENEVTKTDDLMSRIYVWSAAVHALTAFIKCFISSDVKNDGVLLQPVLVYLNSALSYISALKAKELPQVKPAVDTFIIKTLIAYQSLPDPVSFKNDHPQIIQLCTFPFRHASECEESSCLRMLLNKRDAWLGPWIPGRDWFEDELRAFQGGKDGLMPCVWENEISSFPQPETISKTLVNQMLLFFGIIFSSQDSGGMLSLLGVIEQCLKAGKKQHWRTSSITNICVGLLAGFKSLLSFRPQTLGQDILGLVQSIFQSILVEGDICASQRRASCEVLGYLARFGNDIFTARMTRSLLGDLNGVTDSYYAGSIALALGCIHRSAGGIALSTLVPATVSSISSLAKSLVPSLQIWSMHGLLLTIEAAGLSFVSHVQATLSLAMEILLSDENGLADVQQGVGRLINAIVAVLGPELVPGSIFFSRSKSAIAEISCWQETSTMLESARFTQQLVLFAPKAVSVHSHVQTLLSTLSSRQPTLRLLAVSTLRHLIEKDPATVIVEQIEENLFFMLDEETDSEIGNLVRTTIMRLLYASCHSCTSHWISVCRKVVLATSTRSSEINNNAENEFADGDSSLNLNDEENMVSGSNSTQNYKFQASTGAANREKYLRYRTRLFAAECLSHLPDAVGRSRAHFDLFLARKEHASGKSSGDWLVLHLQELISLAYQISTIQFENMQPVGVSLLGTIVDKFEKAADPELPGHLLLEQYQAQLVSAVRTTLDTSSSPSLLEAGLHLATKILTSGIISGDQVVVRRIFSLISRPLNDFEDIYYPSFAEWVTSKIKIRLLAAHASLKCYIYASMKKHQDGVSDGYLTLLPLFQKSSSVLGKYWIHTLKDYSYICLCLSPKRKGNLFLDGLQSPVVSSKLRPCLEESWPVILQALGLDSVPANFEGQDCTKASVRNTYKHTEATCQYSMVHLKFEDFKFLWGFSLLGLFQSQHPVLYRSIIQLAFVNTKHGGNSPRDEVKPPGLKLYEIVLPMFQFLSTESFFGAGLLNVDICKELLQILSYSTYMDNSWNSLAISILSQVAQNCPEEIFNSENYALITLELCLHYLFKTFQSTNTIPADHLNSEVDVIHTLCSTTRTVVNRIETKMTQHPKSMVLALVLVGYKCVREASTEVYLSEAIEMVNCTIPLLKRISDDEAALDDSILPLREMFETCLRVVAALTKYGIEEFHLQDVKSLNQRKLIQAKLAFSLEQIIVVAKLALESKYVEDCEANKSICVIALRYCIRCFQTVFSDSNMQVQVIGLQFLKARIQRGVNTEDNSFLMFLAGELITDIFTLIHKMLKKNITRESVNIASECLSLMVVLQTLAKGNDCQRSFMTLLLEAIVTIFLSTTDGFSPEIRDLRSTAIKLVSHLAQIPSSAMHFKDVLLSMPPLHRQELQGVIRASVTNDKNQTEHKVPVLDIKMPKPAVRNEEKHPTPSAAVVQSDENNEEEDEFSEDDWDAFQSFPVSKNEGGDESKTEHSDKDKDPSMVESSSDLDGSTGDVEFQESAISKSISSEKEMKSDESVEVFKEKHDQTDPGAEPCDNEHQKMEEELQSSRFQEEASSISGNELESCEDMLEQIVSDSLALQQGVSKSDNEQGNGGEEDAKKDGVDENESHDFKQGMSESPVEREHQEMEEELRSSGLEGEASAIPGNDLVSFDQKPKVEAEGSSKEDMPEQLVSNSPEPQKVVSELDDNEQCKRGEEYAKKDRVDEIESRDSKQGTSESPVESKHQEMEEELQSSQLQEEALAIPRNELELEAEGSIEEDVPEQVVSDSPKLQQGVLESDNIEQSNRCDEDGKNESQSHESNQRTSESPVGSKHKEMEEELQSSELQEEASSIPTVELDHCDQKPEVESEGSVKEDMPEQVVSDSPELQRGVSELDNNDQCNR >RHN46768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34709390:34712996:1 gene:gene41292 transcript:rna41292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vestitone reductase MEEGKGRVCVTGGTGFLGSWIIKRLLEDGYTVNATVRDDPERKKDVSFLTNLPGASQKLKFFSADLSIPESFNAAIEGCIGIFHTATPIDLEMNESEETVTKRTIDGTLGILKACKNSKTVKRVIYTSSASAVYWQDKDDDVMDESYWSDENLLRDLKPFAWSYSISKTMAEKAVLEFGEQHGLDVVTIIPTFVLGPFICPKRPGSIYTSLSLLFGDNNPFGFSRLHMVHVDDIARAHIFLLEHPNTKGRYNCSPFIANIEEIAQLISAKYPEFRIPTLEELKEIKGDKLPHLTSKKLMDAGFEFKHSLEEMLDDTIQCCKEKGYL >RHN68366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34887841:34888609:1 gene:gene16708 transcript:rna16708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVENSLGYSLHGMMNDFQEDDYWLMKETMLCSVDQINQHNIDEVVLDDNIASTSVSLFGYDSVDSFNFSPHATDSNNNRTTNIVESKKKSFKKVVHWSCDEHMRFLKGLVDGKDGKWKEISKDYVKTKTPPQVASHAQKYEKRQKQRLDDDSKNMKRKLRASIHDITTLDLLGSDDSYAWFFGDQVIEDDNNNNRSLSVTTFNQECDQDHEVVAST >RHN75210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40167119:40167586:1 gene:gene11381 transcript:rna11381 gene_biotype:protein_coding transcript_biotype:protein_coding MKKILSRRKRKKDSMFKSNNDTKLEIINKHKTTTHETTSNTSSINFGSAKMFSSSSSLNSSTSSHSDRMSNSSTFEINNTPKPPSLTGTNGISKKINSVVDDKRKRNIILCILWIINLLVLILWGKFFAILCTSIWFYLLPFRHRKEMSREDFFI >RHN79062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22026469:22027239:-1 gene:gene2758 transcript:rna2758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-formylglutathione hydrolase MISTSVSAFAPVANPTNCPWGQKAFTNYLGGNKSDWEDYDATSQVGKYPNVSATILIDQGEDDKFLHDQLLPHKFEEACKNGNVPLLLRLQPGYDHSYYFIATFIDDHIKHHAQALGLN >RHN75192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40063501:40066551:1 gene:gene11361 transcript:rna11361 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKGKSLCYQRKSLTTKPLNNVVSDRKFLIDFIITTYLGPDVKSDNPKCSSLQRLITGLPPYNLHDLGSSYVSISLLEKLYYYLLKNAIPELRLDINMFHMYLKGKLVLPNNEFSEGSQQFTSIFPLNLHKQIWYPDSFRIVKGVVLIDDPSVSLCVKEEDLNRFRSLTGVDSLKLDLSECLRVRIQPRFSKESSDSDYVNKLGKESDSDYVNKLSKESDGDCVNKLSKESDSDRVNKLSKESDGDCVNKLSKESDGDCVNNLLESSPKEGCQSGKFRQERKRKYVDEDTTSMPEFPHTANGDPSLDKMWKSDGPSLMPLLSIPDIDDCVQSYSVVLTGTANRGLLGPSVGVVDIGISEVAYLFRVLVPGVKREHNRFSCDIESDGKVEIRGLLSGGRTIARQSRLFQMKTHQLCSPGPFTISFSLPGPVDPRLFAPNFRSDGIFEGVVIKL >RHN68011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32109803:32112543:-1 gene:gene16287 transcript:rna16287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MASSWWYSTPLTTVTNTTRCYSFTSNNDQECSSINILSLLYTAKKNTDNKKLKKLRKWDTQKSKNTFSYPKPKPTPLLIHQQPYPQTKFQALEQVLNDLEASLEKGIKIDPEIYASLLETCYRFGAIHHGIWLHRLIPPALLHRNVGISSKLVRLYASFGYMDDAHDLFDQMTKRDMYAFPWNSLISGYAEMGLYDDAIALYFQMVEEGVEPDIFTFPRVLKVCGGIGLVGVGEEVHRHVVRCGFWDDGFVLNALVDMYSKCGDIVKARKIFNKMHFRDSVSWNSMLTGYVRHGLEVEAINIFRQMVLKGEKPDYFSISAILTSVSSLDVGVQIHGWVIRQGVEWNLSIANSLIIAYSKHGRLDKARSIFNLMPERDVVSWNSIISSHCKHPEAISYFEKMEEAGEVPDKITFVSLLSACAHLGLVNDGERLFALMCEKYKIKPIMEHYGCMVNLYGRAGLVEKAYSIIVRMDSEAVGPTLWGALLYACLLHGNVTIGEISANKLFELEPDNEHNFVLLMKIYEKAGRLEDMERIRMMMVDRGLDH >RHN51012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12885615:12885899:1 gene:gene35373 transcript:rna35373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative squalene monooxygenase MGSQPRLTNLLFTTLLSVDLIFCSVKLEQGTVTSLVEKNGSIVGVNYKNKSGQEFTEKAPLTIVCDGCFSNLRSSLCKPKVIEFLFYGIFFFLS >RHN79017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21133637:21134248:1 gene:gene2697 transcript:rna2697 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKKLELDIEMLVDSITKDFCYTECRDTEDLNERLIFFIEPIRLPTKKHEQSLLYQTIRLPLIHKSKRRRSWSWKKKKSRVDQKITENKDKNLYDLFAPENLLSTRRRRELRILTCFNPRNRNTVHRKTINDNENQIKNVSQVLAKNKDLDSETKKLMNFKLFLWPNYRLEDLDCINRYWFNTHNGSHFSILRIHMYPRLKD >RHN82558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54731341:54738747:-1 gene:gene6731 transcript:rna6731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MQIYRQDVVKNKNTGMVGIVTEVNGDSDSDSDSDSDTDSGVTDDEGEEGDVEEGDESNNGCRNSDRNDASVHRKTAALQTNELRVLWMDESESTQNFSDVEVVDRGFLHSDFVASASDPTGQVGVVVDVNISVDLLAHDGSIIKDVSSKDLKRIRDFTAGDYVVLGSWLGKVDDVLDNVTVLFDDGSVCKVSKADPMDLKPISKNNILEDGHFPYYPGQRVRAKSSSIFKMARWLSGLWKASRLEGTVTNVTVGSVFVYWIASAGHGPYSSAAPAEEQSPKNLKLLSCFAHANWQLGDRCLLHSSALSSSVSINKNKSKLEHNDSINIELDSNQTGSGCDSEDATVEESNGNKDTMDLDPVGALEGNDGNDRNNPSCESSSCGSSISVSKDPVREAWPLHRKKIRKVVIRKEKRARKKEESFEKALQIVNTRTRIDVSWQDGTIECGLDSTSLIPIDTPGDHEFVSEQYVVEKTSDDGEDNCETSRVGVVRSVDAKERTACVRWIKPAAKADDPREFDNEEIVSVYELEGHPDFEYCYGDVVVRLSPVSVCLEASVGESTEKSKQENEENGHKNEAATQTEKASSGETDVEFSDLSWVGNITGLKNGDIEVTWADGMVSTVGPQAIYVVGRDDDAESLAAGSDISDAATWETVDDDEMEVQEDSREDVNRENSSNVAEENGEKDSGRAAALSVPLAAIRFVTRLASGIFSRGQKNLDPVHVQSNGEIECSSPVKICESSSQEYIAIDGDNLGSKSCKNEESVIPEGLENVEASETLCSLKNKEAPASCDNDACSLKHFDMVTDPLDHYYIGANGQSNIRKWYKKVQQDWGILQNNLPEEIYVRVYEDRMDLVRAVIIGPFGTPYQDGLFFFDFHLPPDYPDVPPSAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWDPKSSSILQVLVSLQGLVLNSKPYFNEAGYDKQTGTAEGEKNSLSYNENTFLLNCKTMMYLMRKPPKDFEVLIKEHFRRRGHYILKACDAYMKGYLIGSLTRDASVSDNSSPNSTSVGFKLMLAKIVPKLFASLSEVGADCEEFKHLKEL >RHN50804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10651362:10657903:1 gene:gene35139 transcript:rna35139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucose--hexose-1-phosphate uridylyltransferase MRTKTVGGKRRSLINPNSPFTLSALETDEEEDPNPIYRKSTVWNEWVIFKQDKTKKASDFKGKSTYNTNPSRNKPCVYCIGHEDECSEEIFRVPFDEPNWKIRVVESPNPAVLRSLDDGFVLDGGEVLDGFGIHDVVIESPVHSEQLLDLTPKDVGEVFIAFSNRIRELVHLESIKYVQVFKNHGEKAGAAMSHSHSQILGLPIVPNNVSARLGNMKDFFYQTGKCCICDIQLEDLLIDSSTYFFSLVPFAASFPFEIWVVPRYHSAHFHELDDEMALDLGGLMRTTLRKMSFQLNNPPYNFMIHTSPLRGDGSELAYSHWFIQIIPQLIPTTGFELGTGSHINPVLPEDAAKILREVIVPVFAHERRMVGFLPPTKIVDYSDAFTTKEVFPTREYLLNWARAIGREHGFTVIIQRSDNGGLKKRIGRKTTVILGCERSGKYRQYKDALARKTGTKKCGCPFRLRGRPVRNGDGWKVNVVCGFHNHEVIETAIGSTYAGRLSGEEKSLVDDLTRSNYKPKDILQTLKERNEENLTSIKQIYNLRQQLKRSRLELEMENSA >RHN46931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35860359:35860885:-1 gene:gene41468 transcript:rna41468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I12, Bowman-Birk MVLMMNKKAMMMKLALLVFLLSFTSTMVDARFDRASFITQLLSNGEANTKSTTTACCDFCPCTRSIPPQCQCTDVKEKCHSACKSCLCTRSFPPQCRCYDITNFCYPSCS >RHN62648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44919151:44919575:-1 gene:gene25243 transcript:rna25243 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWLLKLAFKCIHHFAWYANAFPFFLLHLPSHYELHANQRYPSFISFYFIGHLLLWCILCKFFIDLGCLVFASVLAIETDSYAETTDLISYWILLSLIYLFEYAFMRLLQW >RHN53283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:667009:668313:-1 gene:gene28174 transcript:rna28174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase, 2-hydroxyisoflavanone dehydratase MEPYLIILSLTILVHTLLPMFLTHFVYSTKMSMNSCSNTNKEIEKELLPLIRVYKDGTIERLMSSSIVPPSLQDPQTGVSSKDIVISNNNPSLSARIFLPKSHHNHKFPILLYFHAGAFCVESPFSFFCHRYLNLLVSESNIIAVSIDYRLLPQHPLPAAYEDGWTSLQWVASHTSNDPNSSIEKEQWLQDYGDFNKVYIGGDVNGANLAHNLAMRAGTETLPNNLKILGALLCCPFFWGSKPIGSEPVEEHENSLAIKVWNFVYPNAKGGIDNPMVNPCAIGAPSLATLGCSKILLTITDKDEFRDRDVLYYESVKESGWQGQLELFEAGDEEHGFQIFKPETDGAKQFIKRLASFLV >RHN75482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42610363:42614252:1 gene:gene11700 transcript:rna11700 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCQTKHLKQKHNKIRKRGCSSTSSSSPFSRRYRFKRAILMGKKSGYNTPAPMWKTSSKSPSMATHHHHAMKKTALHSSRTGLPFKEKKEISVSARKLAATLWEINDLTPSRIKKESMKSNKERDKVERLCRSVLLGPQKLDPLVSPFSEVKQRTKGIEVDGCKRNVSDLSHQFHFVDPYFRGMDAGCNADLIEKVSNKPKHKKNCGKCKVGVKNRLKEAKSSISTSKKLLKVLSQIVVEEKHSLRSMPLILAMSNELDRACNQIDQFIQEQSSNQNNIEYLMKHFEEEKIAWKRKESEKIREVKMSIVQELEFEKKIRRQTERLNMKISKEMENIKDSYSKLSKEHEMEKRAKEILEQVCDELAKGVGEDRAQVEELKRESEKVREEVEKEREMLQLADILREERVHMKLSEAKYQFEEKSAMLEILRNELENFIRNKEEEKGDDIDVNPGLKKLKDLEFYLNKTFLEFQNLDEDNNSIEHEDESVESDLQSIELNMDNDKKSYKWSSSCENIPLFEAKRVSIDKDIGRRSFKDWGSICFNKGTTNSTKKKDFVGINIQESFDQLESGQSIEFIFGDEIQEENEGYTYKPMSLRDHISFPDFDKESGKLLSLRYIDGEAEENALALEGHDMKKDYARRK >RHN73016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12688067:12688570:-1 gene:gene8786 transcript:rna8786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 2-beta-dioxygenase MVVASPNSILGERIIPIDLPMIDLSAEKSMVIKLIVKACEEYGFFNVINHGVPHDIISKMEEVGFDFFAKPMEQKKLVALGNPFGYGCKNIGFNGDMGEVEYLLLNANAPSIPNDSSNFRYVQVNYYFYFYFLFLEYIIGQIFCIYCMLGLVYTIMNNDGINCLVFF >RHN38423.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000022.1:2699:3103:-1 gene:gene50757 transcript:rna50757 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPVHISERVIAFILKRPAHGTYKGGIKNVKYSPWNEIVNQSIFNNNVKGVYADLGMEKRMMLKIQNANLLPKGGGNDQPSLEHKIFLHLFITREYANVPKYIFKHMIQQLRESQEKNICWIPYGRLLSEIFH >RHN59900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14551014:14551703:1 gene:gene22068 transcript:rna22068 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNNHGSRITNKTDINGGHIEVNSRRVIISSDHCDWLFTTCALLWSQWWSLTKKFGVAAIKKTCEKNGKKYKEVLPKYLTPIDQENPKPTMASNNEAEVYTSIEQAYRCLTPELAKHD >RHN54038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6406949:6407887:-1 gene:gene29014 transcript:rna29014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MSKLSFLKLHGSFSAKPISPRKNIAEQNSFKLRETSNAEMSFQPKKDEMKWVFEKFDKNKDGKISLEEYKAAAKALDKGIICDNDAVKAFKAMDSDKDGFIDFKEFMEMFNGEGSKIKEEDIKSAFQVFDINGDGKISAEELSQIFKRLGESCSLSACKKMVKGVDSDGDGLIDLNEFTRMMMNGKKCA >RHN61045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32481798:32485933:1 gene:gene23456 transcript:rna23456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-XII-1 family MGARCSKLSLCWWPSHIKSNHHNLSDNDDDGSKKQKDSSVGFAEYSLDQLRVATEGFSSDNIVSEHGEKAPNVVYRGCLEDDRLVAVKRFNKSAWPDSRQFLEVARAVGELRSERLANLVGCCIDGDERLLVAEFMPNETLSKHLFHWDVQPMKWAMRLRVALYLAQALEYCNSRGKALYHDLNAYRILFDQEGNPRLSCFGLIKNSRDGKSYSTNLAFTPPEYLRTGRFTPESVIYSFGTLLLDLLSGKHIPPSHALDLIRGKNFQMLMDSCLEGHFSNDDGTELVRLASRCLQYEPRERPNAKSLVTALTPLQKETSVLSYVSMGLPDRSLSSKETVTITQFGEACSRRDLTAIHEILEKVGYKDEEHVANELSFQMWTNQIQDTLNFKKRGDSAFHAKDFSTAIDCYTQFIDGGTMVSPTVYARRCLCYMMNDMAQEALGDAMQAQSIAPTWPTAFYLQAVSLSSLGMDNDAQESLKDGKTLETRKHWN >RHN43377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45278006:45281262:-1 gene:gene49940 transcript:rna49940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling ARID family MENGSFLDCLKAETFSEFHGNSGCLVEDRFDSADHVKGKQKSLFSQFFGVYLKENCSKGNVRPVPVMLGDGQLLDLYQLFSLVKEKGGYDAISRKGLWDSVIDELGLDLRILASVKLVYEKYLSDFEGWLRKTFEEKSCKDGSHGYLNSFPIDLEEEFRSLLCSNLKDKDDDFVPLESNNIIKRIDFVNNKSDGYLLDTNYQNNKCKAVHNVNSDGNADDDEKFGTGVKNELTTSCAGTSEKEFYSRKRKRESLSGMVNWTRNIAKHLFDPLAQPLPEISKWKDYKGSHDFFFQMLRARDVLSVRKHAEPNSGSSSKKVKMHPAMYEDPVSLGHQGMVNLRRSERLPICVKLHGPVKMKSEKCPLEKTTGKPDVVIKKKKSEPSTDDFREKVVSVGHLFQVEVPEWTGVVSESDSKWLGTQVWPVKDGSNSTTETDLVGRGRQGKCNCNVQGSVDCVRFHIADNRMKLKLELRCVFYHWGFDKMGEEVSLRWTADEEKRFKDAIGLKIPSQNKSFWNNPSRCFRRKTRKDLVSYYFNVYFIQLRSYQNRVTPNAVDSDDDEVEFGSFDDGFGRKAIKHPSMEFMECTENKQCFDFE >RHN53053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41540691:41541170:1 gene:gene37802 transcript:rna37802 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGLESASIFIIILSSLFRKSGGQLKSTAEIEESLQCWLAFIVSNSKRAVQQCMLPSIAVFFTYFDKINQSSQKTVDSIQRLRVKFQGNFDLYSIVFIVDARSSVSVSKLAHHIRKKCKIILQRVRQLYQLCNDLTQVSSDRRTENYNKPATKWKRVW >RHN51059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13474948:13475373:1 gene:gene35429 transcript:rna35429 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILLFTMWSLVAAIPCQDRGLNINFSVPRQLLQWAAPVMQLHVRMLLESKKREMKSCCGLLKEILEIEKCARGMNELADSLRFPLSEEKEEEVRVKVEDVVKVCEGLKDGLDPLERQVREVFHRIVCGRMEGLDSFGSE >RHN58749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4480502:4485170:-1 gene:gene20690 transcript:rna20690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MSNNTPKSKFDVFVSFRGNDVRDGFLGKLYEAFIRKQINIFVDYKLKKGDDISHSLGEAIEGSSISLVIFSENYASSHWCLEELVKIIECREKYGQLVIPIFYEVDPTNVRYQKKSYENAFVKLEKRYNSSEVKIWRHTLKISANLVGFTSSSFRNDAELLEEITNFVLMSLGKYSKGLIGMDKPIAHLNSLLNKESGKVRVIGIWGMGGIGKTTIAKELFDQICSEYDGCCFMSNVSLGLQSRGITFLKEMLFSNLLNEDVKIDSSNGLSNNIHRRIDRMKVLIVLDDIKEEGLLEMLFGTLDWFRSDSRIIVTSRDKQVLIANEVDDDDVYEVGVLNSSDALALFNLNAFKESHLEIKYYDLSKKVVDYAKGIPLVLKVLGHMFRGKHNKKTWVYQLEKLEKVPIQEIDKVMRLSYDDLDLLEQKYFLDIACFFNGLNLKVDYMKLLLKDYESDNSVAVGLERLKDKALITISEDNVISMHDFQQKMGREVVRLESIKDPSKQSRLWDPDDICYVLENDKGTDAIRSIRVNLSSVWMLKLSPHVFAKMTNLKFLNFFGGYDNDCLDLLPRGLQSFPNDLRYLRWVCYPLKSFPENFSAENLVILNLRYSKVEKLWCGVQPDLVNLKEVKLSHSGFLKELPNFSKAENLNVLHIEDCPQLESVHPSIFCPGKLVKLYLFTISH >RHN74219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30407214:30410202:1 gene:gene10243 transcript:rna10243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MCSVTLTPPPLSFSFHHHHNLTLQNINNKIPFHSFTPPKSSHLFSPIISSHKKQQNASKHFHNLCNTGNLNQAFNFLQSNLNDVVSSSNSKPKQLIGLLLQLCGEYKNIEIGRKIHNFISTSPHFQNDVVLITRLVTMYSICDSPYDSCLVFNASRRKNLFLWNALLSGYLRNSLFRDAVFVFVEMISLTEFVPDNFTLPCVIKACVGVYDVRLGEAVHGFALKTKVLSDVFVGNALIAMYGKFGFVESAVKVFDKMPQRNLVSWNSVMYACLENGVFEESYGLFKGLLNGDEGLMPDVATMVTVIPLCARQGEVRLGMVFHGLALKLGLCGELKVNSSLLDMYSKCGYLCEARVLFDTNEKNVISWNSMIGGYSKDRDFRGAFELLRKMQMEDKVKVNEVTLLNVLPVCEEEIQFLKLKEIHGYALRHGFIQSDELVANAFVAGYAKCGSLHYAEGVFCGMESKMVSSWNALIGGHVQNGFPRKALDLYLLMRGSGLEPDLFTIASLLSACARLKSLSCGKEIHGSMLRNGFELDEFICISLVSLYVQCGKILLAKLFFDNMEEKNLVCWNTMINGFSQNEFPFDALDMFHQMLSSKIWPDEISIIGALGACSQVSALRLGKELHCFAVKSHLTEHSFVTCSLIDMYAKCGCMEQSQNIFDRVHLKGEVTWNVLITGYGIHGHGRKAIELFKSMQNAGFRPDSVTFIALLTACNHAGLVAEGLEYLGQMQSLFGIKPKLEHYACVVDMLGRAGRLNEALELVNELPDKPDSRIWSSLLSSCRNYRDLDIGEKVANKLLELGPDKAENYVLISNFYARLGKWDEVRKMRQRMKEIGLQKDAGCSWIEIGGKVSRFLVGDESLLQSMKIQQTWIELEKKINKIGYKPDTSCVLHELEEDEKIKILRNHSEKLAISFGLLNTAKGTTLRVCKNLRICVDCHNAIKLVSKIDKREIIVRDNKRFHHFKNGFCSCGDYW >RHN77491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7206603:7213316:1 gene:gene954 transcript:rna954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MEFGATTSTLTKKHSNSYSVTGRSAYDGVFATPIKLRTPSFSQFDDYNEVFGCSDASSSIPILELPELNESRMVEDFQRSNLDYSKVFSGFDNLDTTVPFEELIPKPKASKRSKVKSGEQSCREDRVNSSKEIPVISPSSNGAKTINMSYHKVNQGSENGTNGTTHIAKLHAVPAYTCLIEEVSSVKTSRAKWSIPAAKDAFSGIHCDEGIKEGGRCDKSSVDASSNNVKKQFSNNGGKAINRSDSVDMFYDEGIKEGGLCDKSSVHASPNNVKKQSSNNGGKAINRSDSVDMFYDACETSKGSDTVHEIKVPPSQTVTDNLDHYNDIDTSRSTATKCQASQSGTYESAAGADSPTYLEDTVDSNSAAAASVAALRKAIEEAQMKIKVAKESMRRKKEGFPDHVKQKSSIDLKVKEKKEAKLACKTSKVKEINTRQTFGEMDALLQVSSDVGKLPMRTEKVRSDIGDKEMCTANEAVQEVQNKLKLSPDKHKEEVELKEADHKGKNLELKEAGNAKKVPYIKNTGRNATEKPEESDHAIEMVEEYWEQENGEEKVRAVNEASYCEELVHETKQKCQEVAGETNLIHETLDSGVTDKRLKVKEVENKVTPFNEPKGSVINLGGKSSMMRKKESIGYKPEDGKKTKWSIDQEECQKILRAIQELGNGDREISQEQKESENKVEVVSEFEECELTESPELLDNEGPCSPHHSELISMDKEIYNSGCLEDKKRRNASGSWDVNQEVEHSYWREATDSTFSNINVKETLEDIVDHIHHDEEIHERNAKASDLNGNVRLQDAHACENDLEGATHPMEENESERKDNKVLEVIIETQTDLIYEEIREEESGNTSESSSSYEPDETEKLNKTQVSNTVNENDETHEVTPEFYSCDLQDDIMVTSNASVQRQEKYEEPESVQVTNDLCEKHAGQTSDFDEGAPLLSETVYQMNSTFETVTIDDDSTNVGETDMMKVRQNQDQCLEKAESDCDLVMLLEETTPESIEICMDAKEHRVVSDEEIEDNRSTSSCEASQIPFKEEEVKSIPSKIKESHQTSVTKEVKEANGKSQKVEVDRELLKKIDEVKNREREKEKLAVERAIREARERAFADARERAALERVAAEARQKNILNGRERLKTTSQANEKTPAEKTAMEAKLKAERAAVERATAEARARALERALSEKAAYDAKNKSDKSVPEKYVGASRSNGTKQNAHSKSFSYGVRDSTGVFDGANADSAQRCKARSERHQRIGERVAKALAEKSMRDRLVQKEQEERHRVAEALDADVKRWSSGKAGNLRALLSTLQYILGPDSGWQPIPLTAIVTTPDVKKAYRKATLCVHPDKLQQRGASIQQKYTCEKVFDLLKEAWNRFNMEER >RHN44437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4733231:4734401:1 gene:gene38558 transcript:rna38558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSATLGILSNFVTLDLSSNCLEGPIQEKSLEKLFELKEPRLPSTNVSQVSVLVENAKFRQIEVLTMSNSGRLPSVSTNIDVLNIANNSISGPISSTFLCKKMNFENKLTVLDVSNNLLSGDLGHCWIHWQNLMHLNLGRNNLSGEIPNPIGFLSELESLLLDDNDFYGSIPSTLQNCSMKFIDLGNNKLSDTLPSWIWEMQYLMVLRLRSNEFKGCITQKMCQLSSLIVLDIANNSLSGTIPNCLNEMKTLAGEDDFFANPLKYFYGFGFNYNNYKESLVLVPKGDELEYRDNLILVRMIDLSSNNLFRTIPPQISKLSALRFLNLSRNRLYGDTK >RHN68594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36889488:36891547:-1 gene:gene16965 transcript:rna16965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MGSRKRIAGAGLQDDCWEYVLSKLLNNLNVENRNHYLETLSLVSKQLLDVTNRSVHSVTLIYNPSSFSRLFQRFPNLTSLDLSCFRGDTNILLSRIPRSVSLSRLTSLNLSNHPTFPTLGLQTILKNTKSTLTSLTCSNIGSLYHTDISFIADSFPFLQQLDISYPKIITNDSDNYNNALKLLTQKLSKLRKVNLSGHFYVNDSTFFLLCMNCVFLQELVMFQCHLLSHDGLASAICQRPTLNSFSLTCRKDISSYSIDSLLSLKRLTCLDLSFSLITDELLCLLALEALPLRKLVLRKCFGHTNAGISYLLSRCRSLQHLDLQNFESKYLNVQSFMDLCVFLGDLVSINLNGCDKLNNAALFALLKNCPLLTEIHMESTKIGIGSRPSAVDLVVYHQVKSLHLAYNSQLQDEDITMFSFMFPNMQLLDLSSCDDICIELL >RHN59131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7781899:7786558:1 gene:gene21121 transcript:rna21121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MAGSSQTTVLVTGAGGRTGQIVYKKLKEKRDQYIARGLVRSEESKQKIGGADDIFLGDIRNAESIVPAIQGTDALIILTSAVPQMKPGFDPTKGGRPEFYFDDGAYPEQVDWIGQKNQIDAAKAAGVKHIVLVGSMGGTNPNHPLNSLGNGNILVWKRKAEEYLSNSGVPYTIIRPGGLLDKEGGVRELIVGKDDELLQTETKTIPRADVAEVCVQVLNYEETKLKAFDLASKPEGAGEPTKDFKALFSQLTSRF >RHN54883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13219286:13220283:1 gene:gene29981 transcript:rna29981 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LEC5 MHHTQHNTMANSIPKLLATQNPFSVSLSIFFFLLLLINNVKSDSLSFNFPKFDTDALNIVIDGDAKTTGGVLQLTKKDQFGNPSPHSVGFSAFFGAIQLSDKQSGKVADFTTEFSFVVNPKGSQLHGDGFAFYIASLDYDFPEKSSDGGFLGLFDKETAFNTSKNSIVAVEFDSFANEWDPNSPHIGIDINTIESSISVPWPIDRQPQGTIGKARISYNTASKDLSVFVTYPNSPAKVDVIVSYPIDFASVLSEWVYVGFSGATGQVAETHDILSWSFVSNL >RHN63792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54049248:54049807:-1 gene:gene26539 transcript:rna26539 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIAAMASLPTPKPSLPSPSTLPHTITTFSKPQLRRISLPTSTTISLLTLFIPPNEARAAVNISKDQIVSSLTQVEQTIDQVQVVGSGFLDSAQHVSEAVGSALKPGFDTTLPIVQQAGQEALKFASPAFSEASKKHFRALVLIHKLLLRFA >RHN65284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:844858:851066:-1 gene:gene13108 transcript:rna13108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MPAVKMVAKTPTTCKKDGRYPHVSQKSNTISKRPCSMVGVTDAMEDLDISCQTYLNGGFSVNKEYSKERDYDEHQMSCDEETILGKNSLPFNGCADSLVETDSFPVSVSAMSDSDTLLSYFNRPDDAHCNLFETVNKEQMTNPPDQADDSNFPNFEIPDMLDYYVDESFFGFTSDGINPFDDGLDYSTFSDLEFAGPNLLYDLPSTLDESIEAASYQYAGSCEEFQEPIPDSSWFNVICHQAKPLSEEHDARSCQLDSQRVDYTDPDIFISFLEVSDESNSLPALVSKETSKRKHVTLVLDLDETLIHSTMAQYDSRADFTIPVLLDKEYTVYVRKRPFLHEFLERVSKMFEIVIFTASKKIYAEKLLDVLDPDKKLFSRRLYRDSCIYQDGTFTKDLTVLGIDLAKVAIVDNSPQVFRLQVNNGIPIESWFDDPSDSALISLLPFLEKLVDVDDVRPIIAEKFENKKN >RHN53605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2813222:2817474:-1 gene:gene28529 transcript:rna28529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VI-2 family MKFLASFLVLSLISTISLVNSDTLPSNEVWALTSFKEAIYEDPNLVLSNWNMLESDLCNWFGVSCTLAGDHVIKLNISGSSLKGFLAKELGQITYLEELILHGNNLIGTIPKELCVLKSLEVLDLGMNQLTGPIPPEIGNLALLVNINLQSNGLTGRIPHEFGNLRYLKELRLDRNKFQGPVPASGSSNFASNTHGMYASNENVTGICRSPQLEVADFSYNFLVGSIPKCLEFLPRLNFQGNCLQSNDPKQRPSTQCGGASPAKSQPVVDHQFHQLGNHVRKHHGLSEPTWLLALEIVAGTMVGSVCLIAILAAFQRCNNKSSIIIPWKKSASQKYHTAVYIDPEILKDVRRYSRQELEEACEDFSNIIGSSPDSVVYKGTMKGGPEIAVISLCIKEEHWTGYLELYFQREVAELARLNHENTGKLLGYCRESNPFSRMLVFDYASNGTLHEHLHCYEEGCQFSWTRRMKIIIGIARGLKYLHTEVEPPFTISELNSSAVYLTEEFAPKLVDFESWKTILERSEKNSGSISSQGAVCVLPNSLEARHLDTKGNIHAFGVLLLEIISGRPPYCKEKGYLVDWAKDYLEKPEVMSHLVNSELKNYRHDDLKVICEVITLCINPDTTVRPSMQELCSMLESRIDTSVSADLKSSLAWAELALLA >RHN63327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50416494:50418191:-1 gene:gene26014 transcript:rna26014 gene_biotype:protein_coding transcript_biotype:protein_coding MCQGYGHIALDCVNHKVVTIVNGETNNIFEEEKEDIHESFEEELMEKPIYDEEYVGADICEVFDEEGNIDPIYDEYGPDDIHEALEKEEHDEPIYDEEYVLAEYGEYLESEKSFQTSTNKDMVFNVIIDNKSGENVASNYIEEELKFRMINHQDPYKLQWLNKDNEVKVSQHSIISFSIDKNYKENVWCDVIPMDTCHTHLGMPWQYDRRALYDGYANINTFVKYGIKIKLARLPLNEFIEGKEEFKLLELLVTKEPLKETTKLCMSRPIPKPPWEVVSMDFSLDYYGVSATFNVADLSPYFGDEEDFRLENESSPTRGE >RHN52065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31223617:31229481:1 gene:gene36682 transcript:rna36682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphopyruvate hydratase MVVTIKIVKARQIFDSRGNPTVEVDVTLSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVLKAVENVNSIIAPALLGKDPTKQTEIDNFMVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGALAKKIPLYKHIANLAGNKTLVLPVPSFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYDNKGKTYDLNFKEENNDGSEKISGDSLKNVYKSFVSDYPIVSIEDPFDQDDWEHYSKLTAEMGQQVQIVGDDLLVTNPKRVEKAIKEKSCNALLLKVNQIGSVTESIEAVRMSKQAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGSKFRAPVEPY >RHN60261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24340849:24341886:1 gene:gene22537 transcript:rna22537 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIIIISSPVTWRSFRKTRTASTLIIIQSGRQRRFMMPRRIFVDR >RHN54588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10621229:10621723:1 gene:gene29653 transcript:rna29653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLEIFSISSLTNVLDLSRNILRGSLTKEVGMLKNIDWLDVSENHLCGEITGTIGEYVSLEYLHLQENSFNGTIPSSLAALKGLQYLDISRNQLYGPIPGVMENISGLEYLNMLEGEVPTNGVFGNATRVALIGNYKLCGGISQLHLPPYYVKRWTHTKKIIFPS >RHN76008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46953493:46953864:-1 gene:gene12278 transcript:rna12278 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLGQHFSFKLAITSKNCQARGEENGGRSRKRFYNYNLGYKHPSSSRISQSSYDRGKCKRFSHYLPIILLFLLIYLNVRIFTCAISVNSYEGMLDPFPRMKSLDPIRINHQCVLLLLYCNAS >RHN46810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35027230:35028325:-1 gene:gene41341 transcript:rna41341 gene_biotype:protein_coding transcript_biotype:protein_coding MHQGQYQKLILFVQIFIHLHQKLMSLGILMIRIGKWLQIGTSSWVILLKWELSVWACY >RHN49601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55868136:55870065:-1 gene:gene44448 transcript:rna44448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase, Very-long-chain 3-oxoacyl-CoA synthase MGRNSIDMDKERLTAEMDFKDSTSAVIKIRRRLPDFLQTVKLKYVKLGYGYSCNATTILIFTFVVPLLLFLTVQFQLTDLKLHRLSQLLLEHTVQLDTDTAIGSIFLLFLFGLYYAKRSPPIYLVDFACYKPENERKIAVESFVKMLEDSGEFGEETLQFQRRILSRAGLGDETSLPNGIMSSPPNLCMKEARLEAESVMFGSLDALFAKTGVNPRDIDILVVNCSLFNPTPSLSAMIVNHYKLRTNIKSYNLGGMGCSAGLISIDLAKDLLKANPNSYAVVLSTENLTLNWYFGNDRSMLLSNCIFRMGGAAILLSNKSSDRTRSKYKLVHTVRTHKGADDKNYNCVYQKEDETGKVGVSLARELMAVAGDALKTNITTLGPLVLPFSEQLMFFVSLVRRKLLKGSGVKPYIPDFKLAFEHFCIHAGGRAVLDELQKNLQLSEWHMEPSRMTLHRFGNTSSSSLWYELAYTEAKGRVAKGDRVWQIAFGSGFKCNSAVWKAVRDLPVVGDWRGNPWDDSVHKYPVSVPVSVAS >RHN53162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42444569:42444932:1 gene:gene37934 transcript:rna37934 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAVEILFAKHLPWSASKPIYKQLGNLEERFHETMSVPFGEKIQMKNNHYPNEHHFYHNIGVLFSHYHLFLDKPQLLDTSQWKACKFHAPTR >RHN40523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17156582:17158372:-1 gene:gene46683 transcript:rna46683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MVLAGKLSTEIGIKASSDKFFKLFASNIHEVQNICESVHETKLHQGDEWHHSDSVKHWTHVRDGKVYTCHESFEEIDEKNKKIVHKIFGEEIDEQYKVFKLIIEVIDKADGTTSVKWTVEYEKINEDIEPPNSWMEYLSKCTRDIDAHLVKQAKVAP >RHN54915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13497608:13497976:1 gene:gene30014 transcript:rna30014 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSPATYETSLEIMEENPPLPVQPNPPVAAYISNRIPFNENPPHTIQPQNPSLPSFIPPPRPSSMSVTAPPQRLGSRPLTGNVIEAYCGEYAKFICMEIFKALIGFCLGSLLVSVPFCSF >RHN53713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3542230:3544943:-1 gene:gene28650 transcript:rna28650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MNNGKMCTRVMLIWVLMVVISMSVISLVDAQVLPPCADQILLPCIDYINSTQPPDICCNPIKDLYATHKTCFCQLIAAYGLFEAFGLKITQVFQVIYLCGINFNTSSCIASSPTLPLSSLQPPAITRGDEGGVAGKATLTRICIILFIWAFVLFH >RHN43553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46813393:46814564:1 gene:gene50154 transcript:rna50154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A(2) MLPVLVFLFFYCTFLSIPVSALNIGVQTTGVTISMNETCSRKCESNFCSVPPLLSYGKYCGINYSGCPGETPCDDLDTCCMNHDLCVKAKNYDYLSQECSQTFIKCLNKFKKSGGPTFDGNTCEVDYIIELLSVVMEGALLAGSLKQALEYWLVFSRN >RHN61222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33960452:33960697:1 gene:gene23662 transcript:rna23662 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNEISHFSHPKHKLRFEHNEFPFKCDGCNEVGIGSRYKCSICDNDLHTHCTIPSTTLFHAFYTKCTFQTHQETRRVTAT >RHN54378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9123010:9128151:-1 gene:gene29398 transcript:rna29398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative procollagen-proline dioxygenase MAKSRYSRLPSRKSSSPYTLIFSLFIAFTFLILILLVFGILSIPSSNQNLPKPNDLTSIVHNTVDRNDDEEGKGEQWVEVVSWEPRAFVYHNFLTKEECEYLIDIAKPSMHKSTVVDSETGKSKDSRVRTSSGTFLARGRDKIVRNIEKKIADFTFIPVEHGEGLQVLHYEVGQKYEPHYDYFLDEFNTKNGGQRIATVLMYLTDVEEGGETVFPAAKGNFSNVPWYNELSDCGKKGLSIKPKRGDALLFWSMKPDATLDASSLHGGCPVIKGNKWSSTKWIRVNEYKT >RHN46571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32887524:32887748:1 gene:gene41071 transcript:rna41071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ZF-HD family MRYLECQKNHAVSFGGHAVDGCCEFIAAGEEGTLEVAICAAWRIQNSGIRILIPPSCFCVVVVLCCTNQIRLCW >RHN73428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16361867:16362976:-1 gene:gene9240 transcript:rna9240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MIIGTSEADCAVLVINSTTLGLQAGWSKDGQTWEHALLAYTLGVRQMICCCNKMNATTPKYSKDRYEVIVKGVSPALTRIDNIVERSTNLDWYDGPTLVEAIDQISEPKRPEGKPLRLPLQHVYKIGGIGTVPVGRVETGVLKPGMVLTFAPTKLQSGVKSIQKFQENINEALPADIVGFRLTNKTLSDKNLMRGYVASNSEDEPAMEAAKFTSRVIIINHPSVITKGYTPILDCHTSHVAVKFAKLATKFDRETGVELEKKPKSLKNGDAGIVKMIPMKPMVVEGINEYPSLGRFAVRDMRQTVAVGRQR >RHN81510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46605302:46609151:1 gene:gene5567 transcript:rna5567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S54, rhomboid domain-containing protein MSSPSLPVSQSQGTRTLSSVSVSGFTRLCKGLALVLVAAHAVLYFFPSALTYLALIPARTIPFAWNLITAGYVEQTVHGVVISIVCLLLIGKLLEPVWGPREFIKFIFIVNILTSLCIFITAIALYYITRQEIYLYTPLSGFHGVISGFLVSIKQIIPDQELPFVNIKMKWLPSIALLCSIALSFWIIEATTYLPTVIFGTYMSWIYLRYWQKKPETKLKGDPSEDFAFSTFFPEILRPVIDPIASIFHRMLCGRSDASNDAEDYDLGSEHLPGSDPIEASRRRERGARALEERLANERLATSRSAGELQTNAAGNV >RHN41946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34263367:34266255:-1 gene:gene48322 transcript:rna48322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MKSLFQVICWCSTIAIILQHVSSVSLPLPNNETVPAVFVFGDSIVDPGNNNYISTLIKCDFPPYGRDFDGGVPTGRFSNGLVPSDLVAEKFGVKKFLPAYLDPNIQLPDLLTGVSFASGGSGYDPLTAQITSVKSLSDQLDMFKGYMKKIDEAIGREERALIVSKSIYIVCIGSDDIANTYAQTPFRRFQYDIQSYTDFMAYEASKFLQELYRLGGRRIGVFDVPVIGCVPSQRTLGGGIFRECSNSSNQAAMLFNSKLFKEMRALGKEYSDARFVSLETYNPFMDIIQNPSKYGFNETEKGCCGTGNIEVGILCNPYSINTCSNPSDYVFWDSYHPTEKAYNVLSSLVLDKKIKDFF >RHN59175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8194342:8199957:-1 gene:gene21169 transcript:rna21169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein EMSY-LIKE, plant MGERAGGGSFDAPLIGRKVRTRWPDDNNFYEAVICDYNPNDGRHSLVYDMGTANETWEWVKLSEISPEDIQLVEEEDPESNHRGGFGGPGHGMNRSVGRESGPGVGRGRGVPKGQSRKDFLSSQNGIGKAPGDIQILHTDTLIKEVERVFSANHPDPLEIEKAKKVLKDHEQALVDALARLTDLSDGESDGPGHHFPHGRSMDRE >RHN82320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52800664:52817318:-1 gene:gene6476 transcript:rna6476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BRO1 domain, ALIX V-shaped domain-containing protein MAASQSSSAVGNTNIMLAIFEKKTNTVDLYRPLRNYIAFHYSEREAQNLEDDLQTLKQLRSDLERHSDPSLPARRDLFITYFKALCQTETRFPISSEPDHVNALTFVWFDAFKPKQKASQQNIHLEKGAVLFNLAAVYSQIGLTFDRNTVDGRRQASHAFMAAAGSFGFLRENASMKISVGSSTTLDLSVECAGMLEKLMLAQAQECVFENTIAKGSTPGVCAKISRQVGLYYEEALAALNVAPLSQHFDKSWIVHVQLKTAIFYAEACYRYGLELHEKEEIAEEIARLKSAVSVLTEAKKNSRGAAAQLLDAINKLEVNINRNLERAVKENDRVYLMRVPPASSLSPLPAFAMVKPMVMSEVLDASKEKMFASLVPDNSAKALSRYTEMVDGIIRTQAEKLQQASELTRVRLREMELPDSILALEGNFTLPTNLKEDVEAVQISGGPAGLESELQQLKDLRRVNQELLVQTEELLQKESREDAQFRSQFGTKWTRPQSSTLTKNLLDRLNRFAGNLKQAAESDARIERSVREHAALMAILDARPIESALPSLARPIMSFDQNEDAIVGSLKQSLRQLETLGAQRAGLEDMLREMKRKDDILPKLMTSTGSYEDLFKKEIAKYDHISEDIAQNIEAQEQLLLQIQVCLYLDTLVNSLLHTRKKYVATI >RHN38534.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:976303:978056:1 gene:gene50656 transcript:rna50656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MNVSTLFLITFTCGFLQNVVSNANPLSYEAFFNFGDSISDTGNAASIFLPMPNPIPYGSSYFKHPSGRMSNGRLIIDFIAEAYGLPFLPAYENKSIDQDIKKGVNFAFAGATVLNVEYYVKNGLPLPDTNNSLSIQLGWFKNIKPLLCKSKEDCNIYFKKSLFIVGEIGGNDIMKHMKHKTVIELREIVPFMVKAITNTTNVLIEEGAVELVVPGNFPMGCSAAMFTLVNSNKKEDYDEFGCLIAYNNLIEYFNGQLKNSIETLRQKHPEVKIIYFDYYNDAKCLYQTPQQYGFDKDAIFKACCGGCGSLIATVCSDPSKRINWDGPHFTEAAYKLIAKGLVEGPFSNPSLKSPLFKIA >RHN44042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1219774:1219953:-1 gene:gene38090 transcript:rna38090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MYAKCGEIGNAELVFEEVPEKDTGLWNTSINGYGVNGYENEALEVFAVMFYLLATIAVW >RHN73911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21520495:21525481:-1 gene:gene9805 transcript:rna9805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MEGGVPEADVSAFRECLSLSWKNPYVLRLAFSAGIGGFLFGYDTGVISGALLYIRDDFKAVDRQTWLQEAIVSTALAGAIIGASVGGWINDRFGRKKAIILADALFFIGSVIMAAAINPAILIVGRVFVGLGVGMASMASPLYISEASPTRVRGALVSLNGFLITGGQFLSYVINLAFTNAPGTWRWMLGVAAVPALTQIVLMLMLPESPRWLFRKGKEEEAKEILRKIYPPQDVEDEIIALKESVEMESKDDSSSNKVSIIKLLKTKTVRRGLYAGVGLQIFQQFVGINTVMYYSPTIVQLAGFASNKTALLLSLVTAGINAFGSILSIYFIDKTGRKKLLLFSLSGVIVSLVVLTVVFHQTTTHSPMVSEIESSKFNNTCPDYRTALDAAQWDCMKCLKASPECGFCASAGNKLLPGACLISNDTTEDQCQKEQSRQWYTRGCPSKNGWLALIGLSLYILFFSPGMGTVPWVVNSEIYPLRYRGICGGIASTSNWISNLIVAQSFLSLTDAIGTSYTFMIFIFVTVAAIVFVIVFVPETKGLPIEEVENMLERRTLNFKFWQRNSGSDQALTQKNVSF >RHN53506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2143361:2147766:-1 gene:gene28418 transcript:rna28418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucose 4-epimerase MASRVGITNGTSSPLNSSRFLSPSKNSSFQTQNGSNSNSASLMTQNKTVLVTGGAGYIGSHTVLQLLLGGFKTVIVDNLDNSSEVAVRRVKELAAEFGKNLNFHKVDLRDKAALEQIFSSTKFDAVIHFAGMKAVGESVQKPLLYYNNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLSAANPYGRTKLTIEEICRDVNRADPDWKIMLLRYFNPVGAHPSGYIGEDPHGIPNNLMPFIQQVAVGRRPALTVFGNDYKTVDGTGVRDYIHVVDLADGHIAALRKLEEADIGCDVYNLGTGKGTSVLEMVRAFEKASGKKIPLVKAGRRPGDAEIVYASTKKAERELKWKAKYGIDEMCRDQWNWASKNPYGYGSPDSTN >RHN68708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37941154:37945686:1 gene:gene17094 transcript:rna17094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase WNK-NRBP family MPPDSSEQDDDPDTEFVEIDPTARYGRYKEILGKGAFKKVYRAFDELEGIEVAWNQVKVSDLLRNSEDLERLYSEVHLLKTLKHKNIIKFYNSWVDTKNENINFITEIFTSGTLRQYRKKHKHVDLRALKKWSRQILEGLSYLHSHNPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILQQATSAHSVIGTPEFMAPELYEEEYNELVDIYAFGMCLLELVTVEYPYVECTNAAQIYKKVISGIKPASLAKVNDPEVKAFIEKCTAHVSERLPAKALLMDPFLQSDWDGDSVGRSSRSRTQHSGNNFDNQSIGKSANDNSAETGREFTVEGQRRDVNTIFLKLRIADSSGHIRNIHFPFDIEADTSISVASEMVEELELTDQDVSTIAMTIDSEIRYHIPNWNPSETLDNSSCQESGHTLETMPEASPMGNESPGSLALEILPSGRKYWSDSPKGVGGNSPCLHAASNLSYEGDVIAEEGSLFANSVGKECDGTADSPFNEKSITSDCSEATGGMSSQEEISASLKDSETEDINKIATKLETLLVMQRDELDELKRKHKLAVSDFLNEFSPEISLQVLNMCNLQMPDGEM >RHN78861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19269992:19284854:1 gene:gene2522 transcript:rna2522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase ULK-Fused family MGSVEKYHVIELVGEGSFGKVYKGRRKHTGQTVAMKFIMKHGKTEKDIHNLRQEIEILRKLKHENIIQMLDSFESPQEFCVVTEFAQGELFEILEDDKCLPEEQVQAIAKQLVRALHYLHSNRIIHRDMKPQNILIGSGSIVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTVDLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDSMTTNFKSFLKGLLNKAPESRLTWPALLEHPFVKETNDEIEARELHEISGSPRDNNTPQRVEGKTIQTSSGKNTHTTCLEEHIASPLKNEAQLNGPNMNKTNSKVLDESSGFSNQNDVGESGCQRLDRLESNSRTVKGATVIGQDNEALGHILQPLKKWSKGTQNICRDQDLPASNQSLRILSNLVAAGVFSSPGHIDELISELLLFTKSVVAMKSDEVFDLLTKGFSITKILLDNGGSFFSSSYSNHWVELVHIYSQVVTLINDASGRVLYESSACVTVMLSKVTQVLRSSQISGSETLNETANRIIDHAKTSGLVDHLCQCLATSGSSLIAGSSNMLRAASEACRAAWSLINAMDVLFMKKSAILFPISALQRHFLQRTEIMDHRQDPLFDEESTKMVDAMTRAFLRTKAVQVAVYYCFHQRIESATICGLQLLSRCCLHSGIVPAVLCGLPSSLPVTTVVSGGGDGTIVSEIFSVLSICSSSLNKDAHSVEPSNTKCKLANPSALIRHSCLILTIIAQHLKSTGRNSAIFMLTNSPKKQLARLSVLAHHVSYDDKTKASFQLQSASAMLALSSVLSLEHGTLVESPISEIAMPLIPRTSTLSDHLKFSSGKENELDPGNFNGKLPYWLGIRDGCVGLLDSKLKWGGPLAVQQLCASGIPLLLIGLLSNGFSNASQENECLNNRIGLSPIGVVWTISSLCHCLSGGAMIFRQILIRNEHVKLISNLICDAHLKLIKSWTGPGGGRAGVRDLINAVIDLLAFPFVALQNAPGLPSANASVSSGFLLNVGSPGQRVCMEDKDTVKAIEEDMGKYIKILVEVGAPSIILRCLDHMELNDLGRPVAFLAKMVCQRPLAVQLVSKGLLDPNRMRRFFDLSGLKEVMLDALMIISDLARMDKGFYEYIKGASILEFLKSFLSHEDPNMRAKACSALGNMCRHNAYFYSSLARYQIVGILIDRCSDPDKRTRKFACFAIGNAAYHNDVLYEELRRSIPHLANLLQMAEEDKTKANAAGALSNLVRNSDRLCEDIVSKGAVQSLLKLISDYAVSALNPSRNDSTNESPLKIALFSLAKMCAHPLCRQFIRSSPLFPVIGRLQQSPESSIAKYASVIISKVAEV >RHN81607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47524257:47530061:-1 gene:gene5678 transcript:rna5678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MGAVSESASADCFSEVMSSMPGFRFHPTDEELVMYYLKRKICGKKLKFNVIKETDVYKWDPEDLPEQSFLKTGDRQWFFFCHRDRKYPNGGRSSRATRHGYWKATGKDRNVIYNSRSVGVKKTLVFYLGRAPSGERTDWVMHEYTMDEDELRTCRDVKDYYALYKVFKKSGPGPKNGEQYGAPFKEEEWADDDVVDFNVNSVDREVPEAAIDNVIDQHQLQPLFDDEIDEIIKGMLDVEPVLDQQHVNGYVDFPQVDDEETRNVVMDQFYEAMVFPDPSEKQQSSSQLYDAQPSFDFNHQSVDSHLCISEAPEVTSTPNIQSGEGLVFYEEGFLEINDLIDTESTFSNTDKLNNDLIENLQFEDVLSEFDPYQDAEMFLCGLGSINEETVSHAYMNNAGSNIENQSYQLLSNPEDVNQTVDEFWMHVERNTRSPAEGYDGSFSLTNPGVVCDSVGFPTESTENQRSTVEDVKTSRLSSALWAFVDSIPTTPASAAENPLVNRALNRMSSFSRVKIKPTDNNIAAGNNDTATMKRAGRKGVSFLFFPILIALCAFLWVSIGNLRLLGRCVSP >RHN58533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2588503:2597607:1 gene:gene20455 transcript:rna20455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MLTTGEIQRVVSSITLFPYALFSYLSLTVVSIVPKTQKSDLGFFFLKEPEAANSSRFQREENMGELKDTEVYEEELIDYEEEDEKALDSTKPTTESVKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVPGQVAALVLCHTRELAYQICHEVERFSTYLPDIKVAVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALTRDKDLGLKNVRHFILDECDKMLESLDMRKDVQEIFKLTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLQEPEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLKRYKGFKEGKQRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSAGDSEVLNQVQSRFEVDIKELPEQIDTSTYMPN >RHN52606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37043356:37044916:1 gene:gene37300 transcript:rna37300 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLSPNTICEKLSRLVQSKRSSSSREGNTRDGISLILLLPITLKILSSPRNPLTTGGKLSRL >RHN73034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12885568:12885855:-1 gene:gene8809 transcript:rna8809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA oxidase MECKKIYMWRSIVYLLDRGVFRGWLTGDGLQEELRKLALQEVIGMYDHSLTGVRKPLLQSSVKPSNETNHQSYSSSFFVSIQTVIEMIYGFQRKR >RHN74255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31177009:31182049:1 gene:gene10290 transcript:rna10290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MESWSFDSRDKGYVSNDTLGSRTKGSILGWDLKTPSSFLPQQNIENDNNNHGFEELGFHGMLGKQLSNVVDDDDDVVVGSKIVTSNSFVMATPNAFSEREQQHFNSKHSNSIGDTNGSNSLIDLKLGRFGDHRDGIGTPFSKGTTILSSSGSSTPSKRVRSSAIHSQIAYCQVYGCNKDLSSCKDYHKRHKVCEVHSKTSKVIVNGIEQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPQVGIHSGRAGRLLQSYGDSRFQGTMLTSASFICQDILPGEVFSSEKCGNSNWWRPIKAEGGTGFRPLSSIPITNAHPQSRTLFPSYNNKQFPFLQENGATSATGSIFCENNSQYPPIQGGSNSGSQSLFQDTSLGSEDFNVFETESAVQGLSGVSDGGCALSLLSSQSQNSSSQSTGIPMPGHLVIPSSHSHYSMSHVSESGVSDRFPSELNHTDGSHLSPILISNNNEIAHFELVDGVFQGSDFVNVKDRLSCEDGTTIDLLQLSSQLQRVEHQRRALQVKRENDSSCSLQIT >RHN56743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33337405:33341452:-1 gene:gene32184 transcript:rna32184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MQHQSSNPNPNSNPNRHNASQLPPPPPPSTAAATTAKPSLFPRTTNVPSHHRRAHSDLTFRLPDDMMDLSPSDPFTGGSSTASLDEIGSEDDLFSTYIDMDKLGDGGADPGGNDEGEKNPVRSRHRHSNSVDGSSSFGEIMDGKKAMPPDKLAELWSVDPKRAKRILANRQSAARSKERKARYIHELERKVQTLQTEATTLSAQLTLYQRDTTGLSTENTELKLRLQAMEQQAHLRDALNDALKKEVERLKVATGDIMSHTESFNLGMHQMQFTESDYAPIPPQGPSGHRNIRLPLFGHSPSSMPSHQLHQTNSHSYSELLQNDQIGRFQGLDISSKGTAVVKSEDPSLSASESSTTF >RHN60675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29329890:29333538:1 gene:gene23026 transcript:rna23026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MGRKRSKSTCLTIKDAELDRISSLPGNVTDQILLHLPIKEALRTSVLSSKWRNKWYTMPNLVFDKHCVSDATFQDPSGISNKFLRIVDHVLLLHSGSIDKFKICDKYQNLIGMSPATDTARWILHLIRRSIKELVLDIRTGQSYKIPWCLFSCQSLHHLELTSCWLKPPTTFEGFRNLESLSLIEVTMTQDAFENLIFKCPLLETLELVNLHGFTQINIHTPNLKSLLIVGKFDDISFDKTFQFVTVLVDLSLYLNSETNQRRLHGCSSSLLNFFNHRPRIVGLVMKNYFLKYLAAGVVPVKLPTPCIHLSHLLLNINFNDLKEISAALCLLRSSPNLRKLKISARIEEQTDLLTPASYCWEDIFSRPDMPLKVQHVTIDIISGFQSELDFIRFLLLNSPMLEKMTVKPLGNVRPELVTELIRFKSASGEAEVIYHVEDSS >RHN64208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57277926:57280464:1 gene:gene26997 transcript:rna26997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif domain, nucleotide-binding alpha-beta plait domain-containing protein MGRYRSRSRSYSPRRRSRTPPPRGRKRYEDDRYPDNRSRRDRRSPLPSGLLVRNLPLDARPEDLRGPFERYGPVKDVYLPRNYYTGEPRGFGFVKYRHGEDAAEAKQQLNHTIIGGREIRIVFAEENRKTPQEMRVTTSHGSGRSGGGGSRRRARSRSPRRSRYRSYSKSPSPARDDSR >RHN68625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37233564:37235403:-1 gene:gene16997 transcript:rna16997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L31 MGGAFWGTRVMEVVKKHDSSGLLWKRIKLTTTRKANAKKRLRRVWQNEAVLKACSEPAPSASSSASANNVTKST >RHN72657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9487021:9490809:1 gene:gene8402 transcript:rna8402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MVSLEDSRSNSNRFPLPKTYQYHSSISSKSQRNIGRSMRTRRSSIFDEDNSSCTFTERSTCVSENLTDSVVDLRLGELASKNHKSGKSSTSEQDLLDLSQAFSEYAYSACSSDISGELQRLATLPSPECDGGSGVGGDGVVEVVEPEPCMGFLQRENFSTEIIESISPEDLQPTVKLCVDGLQSSSVAVKRSAAAKLRLLAKNRADNRVLIGESGAVPLLVPLLRCSDPWTQEHAVTALLNLSLHEDNKKLIFNAGAVKSLIYVLKTGTETSKQNAACALLSLALVEENKSSIGASGAIPPLVSLLLNGSNRGKKDALTTLYKLCSVKQNKERAVSAGVVKPLVELVAEQGNGMMEKAMVVLNSLAGFDEGKEAIVEEGGIAALVEAIEDGSVKGKEFAVLTLLQLCAESVTNRGLLVREGGIPPLVALSQNGTPRAKHKAETLLRYLRESRQEASTSTS >RHN43787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48480416:48482242:-1 gene:gene50412 transcript:rna50412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MVDAIASSCGIGYPISMAMSDVKLIGKWSSPYVTRVKIALNIKSLEYENFEENETFNPKSDILLQSNPIYGKVPVLIHQDRPICESLIIVEYIDETWSSAPSILPSDTYDRALARFWAAYIDQKWFPPMQSIITVEGEDERKPYFEVLEEVVERMEEAFDKCSKGKPFFGGDRIG >RHN69932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47410114:47414413:-1 gene:gene18465 transcript:rna18465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (pseudouridine(54)-N(1))-methyltransferase MTRAYTLKGKKRKNKDAATKHDHEQEEEEEQQQQQIEPTPKKPNLHNDEPSAPTEESELPGIPIAPLNEKNSEKQGVIFILEKASLEVAKVGKTYQLLNSDEHSNFLRKHSKNPGDYRPDICHQALLSILDSPVNKAGRLKMVYIRTEKGVLIEVKPYVRIPRTFKRFAGVMLELLQKLSITAAGKREKLLRTIKNPVTQYLPVNSRKTGLSYSSEKLVDMNNYLSTIPSNQDLVFVVGAMAHGKVETDYTEDYIAVSGYPLSAAYCITRITNAIEGKWNIL >RHN72591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8965678:8967057:-1 gene:gene8320 transcript:rna8320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain-containing protein MRRSGSRRKKGNVSQPNSPSSSNIAFEPHPSPKKSDQISRRLREPKLGPTNEHLKENQKEDVKIAWRQLKLVYDDDIRLAQMPINCSFRLLRDIVKEKFPISRSVLIKYKDNDDDLVTITSTEELRFAESCVYKTDSVEILKLYIVEVSPEHEPPLLKEEKEEENNEKQKPLDCVLDEKMCTECNKVVENLEIDDWLYEFAQLFRSRVGTDKYIDFHDLGTEFCSDALEETVTSDEAQDLLDKAEFKFQEVAALAFFNWGNVHMCAARKFVRMDENENEVLVMNESEFDFVQEKYYLAREKYEQAVVIKPDFYEGLLAIGQQQFELAKLNWSFGIANKMDLGKETLRLFDVAEEKMTAANDAWENLEKGKLGEQGSVGMRSQIHLFWGNMLFERSQVEFKLGMSDWKKKLDASVERFKIAGASEADVSGILKKHCFNGNARDEKIKGSPRTHNVIKTMK >RHN53936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5488724:5494540:1 gene:gene28906 transcript:rna28906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase MANMDGKSLRNAKKFIPIPPPSPRSAATGYPCEYAAMNNLSPRGRSSCVSSATRDLWERLFNEGYKADVCINTDNGGIIYAHSNIIAVASPVLKGMLKQANRSNRWRSISIFGVPHDAVRVFIRYLYSSCYEKEEMKEFVLHLLVLSHVYAVPHLKRECEQKLELGLLTMDNLVDVFQLALLCDAPRLSLICHRKILKNFRTVSESEGWKAMKQSHPVLEKEILESMIDEENSKKERIRKMNEREVYLQLYDAMEALVHICRDGCRTIGPHDKDFKANQPCRYTSCKGLELLVRHFAGCKLRVPGGCGHCKRMWQLLELHSRLCADPDFCRVPLCRNFKQRISKQSKKDEIRWTILVEKILKTRGIGIAPCFRPQ >RHN73493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17039286:17044277:-1 gene:gene9311 transcript:rna9311 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTIMVSVLEFMDLPFSSSTSIRASMGKMEYEINDKGNFSFPITSLRDDLIFKIQDSEGNEISHAGVHLRLILQKGVWEDMFPLGEGQLHLKLQVILSDEERDRIRMMRQSALKKKQDELLNSQRGAESDRRMIISNATLPLSTSDEVSESSQKQHLQHEAVSQLQSPVGFSDDKESSTTNVVEAQLEQKQLNSNIADQYRETSSTKPVLQAVNIIQLQRIDKKPASESTFVKQPQRVTGTVEMVKSLSSEKINVLTNSPTQNNLEDDSLQYSEKKAPPRRTPSNVRKMISAFESVPPKDIRSPIKPPPTKYQASPIEKKDSSETQHLEQDKSLNTEPRAFLQERLKSATLVRNLQQVPVQIGESKEKIKDTMQLELSTKNIPNKQTDSNARNEDQDDESNSKVRNSRDQEKERNNRILTRTSTCETINVSGKVPLKLSERNTPNNLSQDAKCLSHERSLDFTKIENVDKNVPYTETGTEVSKYEKLQDIEESKTNTSTDDKGDENSGGPFDQVIKVAIVIGFGLLVLFTRQRKKRRKENSA >RHN54462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9810139:9812122:-1 gene:gene29512 transcript:rna29512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKLLQHSTILSRPYACFLKYHSHFQSHYSSSSSLPPTQDHLCQLILDQKTSSEALQTFRWASTFSKFTHSQSTYRTLIHKLCIFRRFDTVKQLLDEMPTSIGANPGEDIFITIVRGLSRAGMTRRVITVLDLAYKFHGTPSLKIFNSILDVLVKEDIDMAREFYRKSMMESGVRGDDYTFGILMKGLCLTNRIGEGFKLLQLIKNNGVTPNTVIYNTLLHALCRNGKVGRARSLMNEMVDPNEVTFNILISSYYKEENLVQALVLLEKCFALSLVPDVVTVTKVVEILCNAGRVTEAAEVLERVESLGGSLDAVAYNTLIKGFCGVGKVKVGLHFLKQMENKGYLPNVDTYNILIYGFCESRMLDLALDLFNDMKTDGINRNFVTFDTMIRGLCSEGRIEDGFSILELMEETKEGSKGHISPYNSIIYGLFKQNRFDEASEFLAKMGKLFPRAVDRSMTIIQKCKEGAIEDAKNIYDKMIDEGGIPSILVYNSLVHGFSQHGSIREAVELINEMISNNCFPIASTFNAIITEFCEQGKIESALKFMEDITARGCVPNTETYSPLIDVLCRKGDIQKALQVFLEMVEKGILPDQCIWKSLLLSLSLQNNFNKNVSNIDYLL >RHN41107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27011774:27015837:1 gene:gene47396 transcript:rna47396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MMVEESSSIRLRLQDRINNLPNSVLHHILSFLPTKTSVHTSLVCRRWRNLWKNLQTFNFCDNSHYMIYDEDNIKQFLCFTVFVNAVLSLRRSRDTRKFHLSCVHFPSDPFFAYSVDTWISTVVGPQLEEFHLTLHCLDGFAFNLPQSLLSCSNLISVSLSGNILFQLQDSSGICLPSLKVLQLLLDMYLLDLNPVNIFLSACHVLEDLEMSFTHESLAILRVPTSLKRLKIMVETKVGACLEIDAPDLKFLSLTNVTISHAATIGNLHKVEEAFLDVLPTPESESVEPLLNLLRALSGIKHLELFSSTTKWLSAAPTSDFPEFHYLLHLQLFLLSFNFNFIFDMLHKCPILQTLITFNDKMDPSFDSSPAYGWETKPQSVPKCLVSHLTFIKFEAYLGHSNELEFIGYVLQNGLVLKTVLIDDFYMMNQPEEWKEKIYDLPRGTMCQLKIH >RHN81881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49547779:49551954:-1 gene:gene5977 transcript:rna5977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MAVAESCSSSGRSTSFNCYKVASMTETILNAKQTSNLKDRYVLGEQLGWGQFGVIRTCSDRTTGEVLACKSIAKDRLVTSDDMQSVKLEIEIMAKLSGHPNVVDLKAVYEEEDFVHLVMELCAGGELFHLLEKHGRFSESEGRVLFRHLMQMVLYCHENGIVHRDLKPENILLATKSFSSPIKLADFGLATYIKPGQSLHGLVGSPFYIAPEVLAGAYNQAADIWSAGVILYILLSGMPPFWGKTKSRIFEAVKAADLRFPSEPWDRISKSAKDLIRRMLCIEHSQRLTAQEVLDHCWMESDPTNLEQLSEHKIESSGEWHVGGGSFSASFMSRNEDISFGAGSPTCDAQSPTFTCKSSFSSIFVEPVTPISVSGGFSFRSSGGGSIGLEFSTPVSSMPSFAFLGPSSVVEQNSLIFEFSSNTSEVNAIVRDSPLSLGNDVKERDHKPIETKRACGTNCNRTLGFHSKRNRTIGLGECEQLDLVVTESVIRWLSCTQLPTSPKSSLVC >RHN79246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26575419:26577027:-1 gene:gene3005 transcript:rna3005 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLKFRDEQKKPLLRAKVPLSILGTPFQSGIVAGDSKELTLNLSTFFQSGPTLKLAYRPNDSQNPFSLIVKTGTGPFGSPMSSSMLMSCEFNLLNRNDKTGSPQPLFMLHFKPRFGDFSFKKTQSSILDVKGYAFPQNGGVLGNDDTKFEFVESPVIGGFSVGKVPSAGAIAGLFSGTEVAARTRVPIRGRAAVNFRWGVRVPAEVKGESAFGRVPFLVMDKIGVEHLPAECGNLKNLKKGKVGAAGVGVPTSADVAETCFAVKRQMEVLQAENGLLRNAVEDLRREFASVRIGGGKSFEGRKNEKKTGSDFSGYPGKSTEADASKELEKALRGATTVGA >RHN65607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3720352:3721860:-1 gene:gene13462 transcript:rna13462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MSSTKFAKIHTMDIDESIEEQGQLSQENKKLILSLPREKGWRTPYIYLFQGFWCQPAEIQAITTFQKHFQAKESDVFVATVPKSGTTWLKALTYAIMNRQNHFISSKNHPLLSFNPHDLVPFIEYTVYGKHDTIPDLSKIHEPRLFGTHIPFDSLSNSIKGSNCKIVYICRNPFDTFISSWTFANKIKPPSLPTLNLEEAFEMYCNGLVGFGPFWNHMLGYWNESKERPKNVLFLKYEDMKEDLKFHLKKMAEFLDRPFTLEEESEGVIENIIKLCSFEKMKGLEINNTGTFGRNFENKFLFRKGEVGDWSNYLSPSMVEKLSKVIEEKLGGSDLNFRVK >RHN60697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29639577:29642647:1 gene:gene23052 transcript:rna23052 gene_biotype:protein_coding transcript_biotype:protein_coding MPISLWPTSSRVCILQLEIQTKSQLNKMKQYQQANYNPYSVPNWA >RHN50601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8493831:8497870:1 gene:gene34907 transcript:rna34907 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCSSVQRKTNNKENNNMKLKVAFFGSKTQKLVIPPSPIKEQPKNGDLKFSPSRSTTNFNDFGSKEEAFFDSKAWIDSDCEDDFYSVNGDFTPSRGNTPIHHAFGTPGVNKASSRNRTSPSPSESSPDKKKKLLELFKDSVKDNQDDDVKEKKQAKPTIQDVLPKSSNSTPYRSGANSACSSERITSEDRASVREPKSSLFCIPSLTSCRSFRERRRKTSPAIAVDGKH >RHN48626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48953061:48955502:1 gene:gene43365 transcript:rna43365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-locus glycoprotein MYATHKLHFTNIILVLVFSTTLTSLATTTTTSIPQELLKGFSSSPLSTSTSFQPILTDPTGNFSLGFLRHNQNDLKLAVVHTLSLEPLWTANPTHTVSWSHTTRLFFNGSLVLWDPQRTLSWSTTTNGERVVLLNTSNLQVQSKTPLWESFHFPTNTLVQDQNFTSNMSLVSTNNLYSLRLGDTFMGLYENHDKEKGSLLTKRLVLLYWKRSALQAKSTIIEGDGPIYARVSTEGYIGMYQTSYKPADVQKFNSFQETSSFLLVRLEQDGNLKGYYWDSTKSSWILNFQAISETCELPNPCGSYGLCTPGGSSCSCLDNKARFEPGGCFNDDDGDGGGDLCSGGIGEENSYKILRKVGVEPPHKELLEEVTTLSLTECEGLCEKNCKCWGALYNNQTGFCYVLDYTIGTMLGTGDESKVGYFKVRKGARRRNRVGVIVGIVVSVLVGIVVVGVVICVVRWKKRKGGMKEEDENWALPGPYRNLGSESFRSVEMSSTSSAH >RHN54639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10951395:10954428:1 gene:gene29708 transcript:rna29708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MLQERPISLRQSSSRRRLLRPGVDTDDRGWTSLHVYARKGDIKLVKRLLNEGMDVNVTAWGPKSKGVTPLHLAAEGGHLGVMDELLERGANIDARTKGACGWTPLHIAAKERNRDAVKFLVENGAFLPPDINDSRFNPPLHYCPGLEWAYEEMKRLRQEELSPEDTSCSSEN >RHN81334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44955002:44956645:-1 gene:gene5355 transcript:rna5355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MDSATSALLFLLTCIVTYFFGSLHAKIRKSNCMLPPGPSFFIIMSHVVELYNKPQQTLAKFARFYGPVMSIKLWTETTIIISSSDMAKEILHTHDSLFIDRSVPDNTTTHNHNNFSLVFLPFSPLWQHLRKICHNHLFSNKTLDASQELRRMKLKDFLNDMHKSSLTGEAVDIGRAAFKACINFLSYTFVSQDFVESLDDEYKDILSTILKAIGTPNLADHFPVLKIVDPQGIRRHTYNYVSKVFHALDIVIDQRIKLRQSEHYVSNNDMLDTLLDISKEDSQKMDKKQIKHLLLDLLVAGTDTTAYGLERAMSEVVRHPEVMSKAKKELEETIGLGKPIEESDIDRLPYLNAVIKESLRLHPPAPMLLPRKARVDVEIAGYTIPKGAQVLINEWAIGRTDIWDDAHLFSPERFLGSEIDVKGRHFKLTPFGSGRRICPGSPLAVRMLHLMLGSLINSFDWKLENDMEPKDMNLDIPLRAIPVAL >RHN61868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38998265:39003781:1 gene:gene24376 transcript:rna24376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Lambda-DB family MSGLGHISQDWEPVVIRKKAPNAAAKKDEKAVNAARRAGADIDTVKKHNAATNKAASSSTSLNTKRLDEDTENLAHDRVPTELKKAIMQARMDKKLTQSQLAQIINEKPQVIQEYESGKAIPNQQIISKLERALGAKLRGKK >RHN71172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56930351:56931844:-1 gene:gene19822 transcript:rna19822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative procollagen-proline dioxygenase MTRSLVVDGLTGKGRESSARTSSGRFLERGKDKIVQNIEQRIADITSIPVGMSFLCDIYVYQFRMARDFMLFTAGGVVTKNGGPRVATVLMYLSDVEEGGETVFPNAKPNINSVSKYPEKGLSVKPKMGDALLFRSMKPDGTLDTSSLHGGSPVIRGNKWASTKWLHLTEFKVLGTALPGTF >RHN76984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2932590:2935168:1 gene:gene385 transcript:rna385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-B-type family MNDQFPIGMRVLAVDDDRTCLKILEKLLQRCQYHVTTAQNAITALNLLRENKSNFDLVISNVHMPDMDGFKLLELVGLEMDLPVIMFSANDDPKMVMKGIEHGACDYLLKPVRLKEVQIIWQHVIRKKKTSKRSNHDAPNSDSGNGKDSAGTGNSDKNERASRKRKDKNEDDSEEENEDDYDNDDQTAQKKPRTVWSADLHRKFVVAVNQLGVDKAVPKKILELMNVENLTREKVASHLQKYRLYLKRISCAEDKQDHMAAALASSSDASYLRSGVGGHLHTLNGSTQFHNHYNPFRSFPSGGGMISSFNTPNNVNMHGLPSSGTLQPIQTHNLNNSTDDQLKFQSALTRGNPNDVQRDISISPIQNMTNNLPNFSFTNNPLMLEGNPQEKQIGEGYKNLASQNSQYFSLLENRRCNRIWSSTMQLPGTSSYLPRESFNHAAMPHLSFQGWDNNNNHDGSHHSSNVIGNSIGSSMIPGMNVVEQEGNLDYNYGDSVQ >RHN73454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16588353:16592960:-1 gene:gene9269 transcript:rna9269 gene_biotype:protein_coding transcript_biotype:protein_coding MAENAPETVPFTSQPLPPSSSSQKSPQDPSQVFQVPTFSNLPAYPAGYYQMFPGMHPALIPGLTPPQTEEHANRGAGLYAVPVNPFDRHVTGLPYNTLIPLTYRTPTRPSSEAAAGENQGQAAQQQHPQQPAPQRQVVVRRFQIAFQIDLLLMLKLAAVIFLFNQDGSRQRLVVLILFAVLVYLYQTGALTPIVRWLSQNMQRAAAPPRPPRPAARAENVPPAGQVVANAPPAEGQPDAAIENQPANGADRAIENENVPEAGRGNGGNQWWGIVKEIQMIVFGFITSLLPGFHNHMD >RHN41554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31031581:31033571:1 gene:gene47888 transcript:rna47888 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLISVLVLLLAIITLTSAARNINPKYESARSNGFISYQPPTTDTQKLVGLNHRRRRHLAPPPPPKKPPPIHVPGTPQRQPHQHPIRPPPKIRRG >RHN40834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:22605244:22607094:1 gene:gene47060 transcript:rna47060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MDFTKNITDLPPNKRLRFIYQQQQQQEEQDLSHCSLLPTKKRKESRNSSLFHTPPSSPTPPPSTYSLPTKKRITALQPHLHHHNNIPNDAVPLIDLNVEYSPSLPSATPIEKQSQKQDIEFEVDDDEILCCVCHSTDANAEDPIVFCDGCNLMVHASCYGNPLVKQIPDGDWFCDQCRFKNDIDTDTGPIRCSLCPTKEGAMKQTTDGKWVHLVCALLVPEVFFVDPEGREGIDCSKIPKKRWLEKCYVCGCFDGCALVCSEQKCGLGFHITCGIKEDLCIEYKEGKKGATVVAGFCKTHSQIWEKNKGSGKYKIVAVEDKE >RHN69450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43522596:43524894:1 gene:gene17926 transcript:rna17926 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGSESEVRSQTQTSLGRRNKSMYQIQHFSVVSKDILQVRFLVFCLKPLCPFVPHDHWTSTSNNIRHFPQLTEKPKTIV >RHN63504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51818869:51823320:-1 gene:gene26207 transcript:rna26207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UVR domain-containing protein MQSLSLSSTKSLAGLRLMSQEARVKRRGGNSNNMVVACGNNSDKDTAPSSTSFLSRTQTYALLKQQMEVAAKSEDYKEAARIRDSLKLFEEEEPVLRLRRLIKEAIADERFQDAASYRDELKRIAPHSFLKCSSDATTLGVRVQVRSVYIEGRSQPSKGLYFFAYRIRITNNSDDTVQLLRRHWIITDANGRSENVRGIGVVGEQPVLLPRTSFEYSSACPLSTPNGRMEGEYEMIHVDKVGSPSFNAIIAPFSLALLGDDDCNTI >RHN67651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28965434:28965983:1 gene:gene15859 transcript:rna15859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MLRKKIISLSMVVMVLGMLVATLDARQIDDVSCPSALFSLLPCLPFLQGVGPATPTSYCCAGITDLNQKANTTQIRRDVCNCLKPAASRFGVNPDRSKQLPTLCNITLNVPFDPSVDCNTVQ >RHN39235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5171163:5173799:1 gene:gene45239 transcript:rna45239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MEHDEDRLSNLPKVILHSIMSRLPEEDAAKTSVLSKDWLETWYTFPILSFSDSQITGLFPPSDLGRMLFPESMDDFVTKIENFIDYVKRRLLRFYDNGLAIKKFKLVVDNVELCSYILMDVDLWLKLAGESGVEVLDLYLPDGPDQDEEGGIKYYVLPKGVIEVKSLTELVLMPGIGVDTAFMNHSIKFFSLRVLSLWGVLVRDEHAIEHLISCCPLIEHITVSFCSVLRPNGATNRLLEGSMKSLSMVGLLKLKTVDVRGIQEIYIDAPCLEKLFYCPGYFDEPFKIDFDRCQNLKYLSLMRDIITDKWFLELFPKFPFLESLKLNNCTMAERIDISSDQLKVLGLSNCSNLKEVNIDAPNLLSCVYHGDCSLETIISFLRSSSQLKVDMDFSIDYRHLCNLREFVQNIKPQNVLSSLSLFIFKRIADVLHPMVFQVSSPPPSIKHLHLLYVPTNETTFSSIVNILLSSCCPAFISLNPHSKAFIEFLYETLMERKGDDCFCSSSDTKCWWHGLKNVKVISSVKIDENIDFKTMLELLPTDEKINFMLEF >RHN61524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36156107:36159678:1 gene:gene23997 transcript:rna23997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Homeobox domain-containing protein MEAESNRGFHNEDINSSMSRHAISFQHGAMNNSMSEMVPMGNYFGLSSSSGMVYSGNSTFMNNNHVVSQGGGGNSAGSSSLLVDSVPGLKHDTGLAVEWSLDEQYRLEEGLANYANEPSIMKYIKIAAALPDKTVRDVALRCRWLTRKRRKPDEHSLGKKINYRKDLMLIEEPVF >RHN77982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11057006:11057394:-1 gene:gene1496 transcript:rna1496 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVTTVHSFVEQLKVKCLSMTTHADNITFKMPQLCEIDRIIQYTRQPPDRIVDYIST >RHN60358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25794143:25794682:1 gene:gene22663 transcript:rna22663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MAPTSSTPLKIISFSILLLITINNIANGQQNQSTLVFFLQDVGKGVGATVQPVIGINGKVWSYNSFGTIFVVDDPIMLNPNPGSTQIGRAQGMITVTSLDGSNVSIVLSLVFNNGQYSGSTLEIQGASRQRENSRELSVVSGTGRFRYARGFVVFETVSYDDSTNHSVLRLTVTLAIPS >RHN48981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51550396:51551695:-1 gene:gene43758 transcript:rna43758 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKTTNKHGKKKIHTSLGSITIGGEGGITGLLVFGGALAIAGFMAVTSFGSKQHKKKPIIHPHHQQLLLADDKHSLQNSTSQLEEDATSYDRHCSYAANLYAVIAVADSDLKHSFINNYVLPFVICLTSNECTKTISSFELLSEQPLILEGKINNEPSNTCFHHQEIAFSDHSHPESASSSNENAVAEESSGEEEKDEPREGLTTTETEDEPQDDITTTETENTDDDDDVTYTDEDTSKATETTSLDDKEGLIEQEFKKYYCESNVCSDLYANDGSRYVGNEDAVQKEAILNETANFTMLQKEQPSILTSWVMPMLMLGLLMLLVLLTRGLQESLNVLDDAIDGI >RHN67257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25238686:25241128:1 gene:gene15429 transcript:rna15429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAVSLVVDQLLPLLRKEAKLLRGVHKEFAEIKDELASIQAFLKDADKRAAGADGDNTNEGVKIWVKQLREAAFRIEDIIDDYLIQIQDLKTSVHGITERSERYGFQRSVEQGTSNSRGSRNTKWYDPRVAALYVKEAEVVGFEVPRKRLIDWMVKGREERTVVSLVGMGGQGKTTLAKKVFDSKDIIGHFDCRVWITVSQSYDVEGLLREMLLKLYKQKGDNPHQSIYQMDRGTLTDEVRNYLQKKRFIVVFDDVWNEHFWHDIEFAAIDSKNGSRIFITTRIMDVAVSCEKSSFTEKLDLQCLTHEQSLELFNKKAFKFDHGCCPKELVGISNEIVKKCNGLPLANVAIGGLLSTRKKNVIEWQRFRENLNLELIKEILSLSYDDLPYYLESCLLYFGVYPEDYEVNSKRVIRQWIAEGFVKEEKGKTLEEVAEGYLSELIHRSLVQVSSLRIDGKAKGCRVHDLIHNMILEESEDFNFSKHISDEGRTSLGGIVWRLSITTTDDAFRECIDRSHVWSLFCFGNKEISMTFSREIPTKYRLLKVLDFEDFLMMNIPNNLGYFIHLKYLSFKISYEEVKVPKSIGMLQNLETLVIKNTKILELPKEIRKLRKLRHLMGMKSVQTLRNVSLDMDGAEEVIKALGKLKLIRDLALLDVHKENGSILSSSINEMQNLEKLRVKTFKDDNFVDLNLISPPTMLQKLLLQGWLKEFPEWILDLQNLTELVLAWPYSDKDPLQSLKSLQHLLSLYLDLSKYEGLHLHFQDGWFQKLK >RHN78775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18206640:18207338:1 gene:gene2424 transcript:rna2424 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNFSSNLERHMYENRIDLLGFKVEQAMNIANFISIDYHNRRFRCGFVLRNDCLTRFFCFCAYWYLYKLLELLAHNTPFSVVLAEQRGILAQWNGSVEGSEQVFREHLDHMAMHKQELKNELTNIDDELMEVRSNLKEALKARAKLASLEFNILSPTIIREKDTI >RHN53941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5548859:5552690:-1 gene:gene28913 transcript:rna28913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator GNAT family MRVDVSVSVIVREFEVNKDKERVEAVERTCEVGPSNQLSLFTDMLGDPICRVRHSPSYLMLVAEIDKEIVGMIRGCIKTVTCGKNLSRSKTSVTKHIPIYTKLAYILGLRVSPNQRRMGIGLKLVKKMEAWFKDNGAEYSYMATETENLASVKLFTEKCGYTKFRTPSILVNPVYAHRTKISRKVTIIPLTPSDAVIFYRNRFSTTEFFPNDIDAVVNNKLSLGTFLAVPSGSYSVKTWPGPDRFLLGPPCSWAILSVWNSKEVFKLEVRGASRVKRGLAKTTRILDRALPWLKVPSVPDLFRPFGFHFLYGLGGEGPKKLKMVKALCEFAHNLAMECGCGVVATEVASCEPLRFGIPHWKMLSCANDLWCIKRLVDDYSDGSIGDWTKSMPGISIFVDPREI >RHN67793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30322519:30324414:-1 gene:gene16026 transcript:rna16026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alanine--glyoxylate transaminase MEKHEIIGDVRGRGMLLGVELVKDRKLKTPVKDENMQILEQMKDMGVLVGKGGFYGNVLRITPPLCFNKEDADFLVDVMDYTMSKM >RHN78105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11930540:11931673:1 gene:gene1623 transcript:rna1623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, galactose oxidase, beta-propeller MEKKKKRNTGKKNKSRVSCMTEVMKPEIWEKFPEDLFEVVLARLPMAKIICFRTVCQQWNNLITSQSFSQQCSKVSQVNPWFCISHGHYNYKTIYDPCMKRWYNPKLFDNKPGQYPRLVCSAGGLVCFEDDGYLSSIFSFAEDLYRRTILYVCNPITQSFKKLPIGSIKIWRLLGMTMNVSAGYRVLKLGCDRTFEIYDSITKCWSHLKKIPICIKKLDDTISNIVSIDTTLYFKHKDPEGISSCDTSTGVWTQHLIQVPLHSSDLTLAESDGRIMLFGLIGASCLCIWEVQKMTFLLKEVDRVRFSGLYGRPLTCWGNKCLLFSYLKSTDMYRMITYNISTRKWVKVFIPFTISTHVKDYFRIRGTAFQPCLSAMP >RHN43082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43026146:43027464:-1 gene:gene49605 transcript:rna49605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MYAASTSFTSQLVHELLVESHTRRLLFQDPIEHQSLTNSHVLTNNNHNSTDSYFGAREFDSNVIMILAVLLCALICSLALNSIIRCGLRFSNVALHNDPSLSSSNKGIKKKALKTFPTVSYSAELKLPSLDTECIICLSEFTKGEKVRILPKCNHGFHVRCIDKWLKEHPSCPKCRQCLLETCRKIVRSQQPIVMPVLETVIRIQPLEHEALERNYREI >RHN52793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38932047:38936536:-1 gene:gene37505 transcript:rna37505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MEDGKVIQSGSYENLLIAGTAFEQLVNAHKDALTELNQDNKNQGSSEHDVLVNPQESHSVKEISTRGQLTKEEEKEIGDVGWKPFWDYISYSKGSLMLCFIVLAQSAFMALQTASSFWLAIAIEIPKVTSANLIGVYSLISFTGVMFVYIRSYLMARLGLNASIAYFSSFTTAIFNSPMMFFDSTPVGRILTRASSDLSILDFDMPHAVHFALSVAIEVLVIICIMASVTWQVLIVAVPAMVASIFIQHYYQATARELMRINGTTKAPVMNFAAETSLGVVTIRAFNMVDRLMKYYLKLVDTDATLFFHSNVAMEWMVIRIEALQTLTVITAALLLILLPHGYVSPGLVGLSLSYAFNLTGAQIFWTRWFSTLSNNIISVERIKQFIDIPAEPPAIMEDNRPPSPWPSKGRIEVQGLEIRYRPNAPLVLKGITCTFNEGSRVGVVGRTGSGKSTLISALFRLVEPSRGDIIIDGINICSIGLKDLRMKLSIIPQEPTLFKGSIRTNLDPLGLYTDNEIWKALEKCHLKETISRLPSLLDSSVSDEGGNWSLGQRQLFCLGRVLLKRNKILVLDEATASIDSATDAILQRVIRQEFAECTVITIAHRIPTVIDSDMVMILSYGKLVEYDEPSKLMETNSSFSKLVAEYWSSYKKSSIPNTSIQKQ >RHN73670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18712923:18713678:1 gene:gene9516 transcript:rna9516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MKPDISAPGVEILAAYSPLVSPSMDPSDKRKVNYNILSRTSMSCPDAAGVAGYVKSFHPDWSPAAIKSAIMTTATPVKRTYDDMAGEFAYGSGNINPKQAIHPVLVYDITKQDYVQMLCNYGYSAEKIKQISGDNSSCHGTSERLLVKDINYPTIVVPILKHFHAKVRRTVTNVGFPNSTYKATLIHRNPEIKISGEPEVLSFKSLNEEQSFAVSVVAGEKSNQTLFSSSLVWSDGTHNVKSPIIVQIISL >RHN62771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45725413:45728160:1 gene:gene25380 transcript:rna25380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MVNLFNVEAIESAKPVLLMIVVQSIYALVNIMFKIVINDGTSLSILIAYRFIFSTAFIVPFAILFERKSVHSLTGRVIFQAFLCGLFGGSLQQNLYVKSLALVSTTYTVAMLNLIPAITYIMVVSLRMEKPNLGTSAGNAKLLGTLVGIGGAMILTLYKGRRLFNWSMHSDLLQHASSPPQGAPAKSHMWGIMLALATSFNFSLWFIIQSKMTQNFPWHYSIAALTSIMSAIQSIVYALCTERYRSQWKLDWNLRLLTVASAGILASGTCFVLLAWCVRLKGPLFVSAFNPLTLLMVALAGSVLLNEYITIGSLIGAVLIVCGLYMLLWGKSKETRKVESEIMSAKGPIKCDSIHISNEKKAASVGPVKSSTLNNTSGKV >RHN57622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40606083:40609638:-1 gene:gene33198 transcript:rna33198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GOSR2/Membrin/Bos1 MEGGGGTFSEIHQTARKLLLRTRDGLERLERLEYSNSSSSSDLSFAVSKDITQIQSLCVEMSQLSRSIGAKSQRDLWNRKVEQIAEEAESLRESLEKYNSRNHKRMMEAKEREELLGRVNGDPSHVLRIFDDDTQAMLSVRNSARELENANALGETILSSIHGQRERLKSAHRKALDVLNTAGISNRVLRLIERRNRVDQWIKYAGMILTVIFLFAFVLWRR >RHN81644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47775652:47777336:1 gene:gene5715 transcript:rna5715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative naringenin-chalcone synthase MVSSWTYPSSQPAIIEYKNLLPTLPLFPSYKTISFHTSFHYNTLPSPFSFFNYNKYYKQNQLRLSFATKDINMVSVSEIRNAQRAEGPATILAIGTANPANCVEQSTYPDFYFKITNSKHKTELKEKFQRMCDKSMIKRRYMYLTEEILKENPSVCEYMAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIVCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPVPEIEKPIFEMVWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPGIVSKNINKALVEAFEPLGISDYNSIFWIAHPGGPAILDQVEQKLALKPEKMKATREVLSEYGNMSSACVLFILDEMRKKSAQDGLKTTGEGLEFGVLFGFGPGLTIETVVLRSIAI >RHN44097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1605605:1608248:-1 gene:gene38156 transcript:rna38156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MWIAFLTICGLIIGTQSSATVTSQLQMEANAILNSGWWNTSEACFNISDRCTWDDIFCNDAGSIKAIKIDWGSKLATPNLSTLNYSAFNNLESLVISGSDLDGTIPKEIGHLSKLTHLDLSHNYLKGQLPPSIDNLRQLNYLDISFNFIQGSIPPELWLLKNLTFLDLSNNRFKGEIPSSLGNLKQLEDLDISSNYIQGSIPLELVFLKNITTLNLSHNRLNGNLPISLTNLTKLVYIDIAYNFLTGILPPNFGQLKKLQVLMLKNNSIGGTFPISLTNIPLLETLDISHNSLIGYLPSDFFTLTNYKTSIDLSYNLISGEIPSMIGNFRQLLLSHNNLTGTIPHSICNVNFINISQNYLRGPIPNCVDPYRVIGNKDLCSNIPYKKIYFEFQTCLPPKKSNKVKHYVFIALPILIILILALSLIICFKFRHTSVKNKHAITTTTTTTTNGDLFCVWNYDGKIAFDDIIKATEDFDMRYCIGTGAYGSVYKAQLPCGKVVALKKLHGYEADVPSFDESFRNEVRILTEIKHRHIVKLHGFCLHKRIMFLIYQYMERGSLFTVLYDDVEAVEFNWRKRISTVKGIAFALSYLHHDCTAPIVHRDVSTSNILLNSEWKASVSDFGTARFLQYDSSNRTIVAGTIGYIAPELAYTMAVNEKCDVYSFGVVALETLVGKHPEDILASLQSPSTQSIKLCQVLDQRIPLPNNEIVIRDIIQVAVVAFACLNLNPRSRPTMKCVSESFATELTPLKTLLSEISLQQLLSQELKALFHIVNH >RHN59909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14638339:14652063:1 gene:gene22078 transcript:rna22078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase transcription factor C2H2 family MGFDNECIINIQSLAGEYFCPVCRLLVFPNEALQSQCTHLYCKPCLTYVVGTTRACPYDGYLVTEADSKPLIESNKTLAETIGKIAVHCLYHRSGCSWQGTLSDCTSHCKGCSFGNYPVVCNRCGLQIVHRQVEEHAQTCSGQLQQGAVTGQDSSATSATAVASTDQTQVAVAAPAAATASQPAASQTAVATTAAGHVANQLPNSASQTQALGQTVVQPTAEQWYQQQQQYQQYYQQYPGQDPYQQQYQQYYPYQQSAVPQYQQAYVQPQPLPQTQTQPQPQLQAQPPAQPQVQGQSQPVSHVQTPVAPQSQNQMQVPQQPQQLQPAVQPHGQMSHPPGHGQAFPQPQSYPYPQVQPHSGQPQPQQHTQIPPYQQPHPQMQHSQPQNQQPVQKYPVPQPQQQLQPNNAPVQHPSQPQMQSHQPMNPNVQPQVQNAMSHAVTGNHSYPQPLPHQNMQMGAPQSNMHLNPQSGPQLPGQHSVQMQNQFPPQTPMMRPNQSHAMYPNQQPGFMPSAVQGQNTPPLQQQQGYTPNQPTGQTNQRPILQPGQQILPQQPFSQHQMPMPSHPRPQGPVHSFPKHAYPLSKGNTALSQNAVGRPPNHAGHVQPFAQSANTIPVRPGNQNLLVGTNNQVHSRAQGDVTEQQTDSTSGKIGKSELKSERETNLKATEVGSKQNSEDPHSLKTLDPNANALENGDTLNKNVEKGEASEGTGVQHNNNDQSVIQGNAIQDGPPVKAETKLSESETNKLHSDDRPPSGAVNPAPAVSQTNGGHRPGIDEYKGDLAQPSHPASFQQRSSAVLTSQLPLPSGPNQPLSAANVSTLLRNHGTAPALPSGQPLNSMDNFQPTMFKQPHGSDAQFNIPGHTFQPQPHGGPPAGLFNQVHEPPFHSGASNFSRSGGPQFGAPPHGDMHGGMTANFQPHAREGFGVQDERFKSFQIHSQQNIDRREFEDDLRKFPRHEAGKRPFGFRDDAINKPGSTLHPGHLGPGPGYGIHRMDGMAPRSPGSEYIDMPSRRLGPLSGSLISKSGIDDFEGRTASRLGDSAGIAFRDGRFPHPPSHLHRDEFDGFGNLRMGEHPRRGNFIGQDEFAGHFQRGEHLGPHDFPRHLQLGERIGFGDHPGHMRAFELSGSRSFESFTKGNRPGHPQLGEPGFRSSFSLAGLNNDAGFLTGDTRSFDNLRRRKAASMGWCRICKVDCETVEGLELHSQTREHQKMAMDIVKTIKHNAKKQKLIPSEQSSVEDGNKTRATGFEGHGNKH >RHN48708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49597162:49603746:1 gene:gene43451 transcript:rna43451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MSGKEKGVNVQVLLRCRPFSDDELRSNAPQVVTCNDYSREVAVSQNIAGKHIDRVFTFDKVFGPSAQQRELYEQAVTPIVNEVLEGFNCTIFAYGQTGTGKTFTMEGECKRSKSGPNGELPPEAGVIPRAVKQIFDTLEGQNAEYSVKVTFLELYNEEITDLLAPEEISKVSLEEKQKKQLPLMEDGKGGVLVRGLEEEIVTCASEIYTLLERGSSKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSTLIKDLYGEIERLKSEVYATREKNGVYIPKERYYQEEIEKKAMADQIEQMGITIESYQKQLEDMRNKYDDQVRQCSNLSNKLDTTEKNLNKTSKVLANREEELKKCRYTLNEKEFIISEQRKAENALAHQACVLRADLEKALQDNASLFAKIGREDKLSSDNRAVVNNFQAELAQKVGSLCNTVTTSLSEQNGHLQGVEKLCHSFLGIHDKVAIDLKEKMKDLRAVYVSHIEAVQNVVRLHKAGSDASLEELSSAISSHGHSIEEFLTTAATEAGSIFDELQSCLSTQHGELTLFAREVRNRFNLSVEQIKEISERSEELVEKLFEESKKLEEFVARADQMQTESIDDFKKAYEEQSKSESEKLIADMTSLISDHIRRQVHLVDSKLVDLRESGIKNKSFFDGHVSSVEDITTNAKRKWQSFCIQAEKDAKDTADYSAAKHCRMEVLLQQSVSTAHSAFGQVKRTHEAVNELGAKHIFATESLIRNACESHVQHVAEVNSVRAAAEEDVAKNTDDFLQQFDATSAEERESVCSVVNVVKSHANTLEMFRENHSGQAASIEHRACETFQQDYMDYEPSGTTPVRCEPEVPSKGTIDSLRTLPIEALLEEFRENNSYESSSDVKELKPSLIPRSPLTQLN >RHN78076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11698399:11699176:1 gene:gene1591 transcript:rna1591 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEIGKGSDVGKESENEEVLKKIIASHPLFEVLIESHINCLKVGSEDAGELDITSDAWKKLVNTKSKTTTSPNNSELDHFMVLHTLINGHS >RHN44319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3510383:3515443:1 gene:gene38422 transcript:rna38422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MRMMQVASSIRAFTWFSLRRHLEENITDLHKCTNPNHIKQIHAQLIKCHLHQDPYIAPKLIASYSLTNNLSSAVNVFNQVPDPNVHLYNYLIRAYSLSGNESNSLCAFGVLLKMHVDGVLADNFTYPFLLKGCNGSSSWLSLVKMVHAHVEKLGFYWDIFVPNSLIDCYCRCGDVEMAMKVFSGMEERDVVSWNSMVGGLVKNGDLDGALKVFDEMPERDRVSWNTMLDGFTKAGEMDKAFKLFERMAERDIVSWSTMVCGYSKNGDMDMARMLFDRCPVKNLVLWTTIISGYAEKGQVKEAMNLCDEMEKSGLRLDDGFFISILAACAESGMLGLGKKMHDSFLRSRFRCSTKVLNSFIDMYAKCGCVDDAFRVFNGMKTEKDLVSWNSMIHGFGIHGHGEKSIELFNTMVREGFKPDRYTFIGLLCACTHAGLVNEGRGYFYSMQRVYGIVPQIEHYGCMVDLLGRGGHLKEAFWLVRSMPFEPNAIILGTLLGACRMHNDVKLATSVSKYLFKLVPSDPGNFSLLSNIYAQSGDWINVAKVRKQMNDEGGQKPSGVSSIEVEEEVHEFTVRDWSHPKSVGRCLVF >RHN60623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28962103:28963173:1 gene:gene22964 transcript:rna22964 gene_biotype:protein_coding transcript_biotype:protein_coding MLETARFCGKRRRLLLFRLDRPLCFDDAWLKVDSFAVVLLCVWIGCEEFLWVLELFFFCLRLWKIVCLFLFLQPSFYTIKVEDNKEITTVLLDLATSI >RHN42444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38400617:38402226:-1 gene:gene48886 transcript:rna48886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ING family protein MDMRISSATTNSSLIRFSGILQKVFPTRIKYGNDHFRNLSICTTTSPTSSTTTLIIKPPSFTAFASPAPADVPQRSEEWFALRKDRLTTSTFSTALGFWKGSRRAELWHDKVFASDTQIVESVQRNAMNWGVLNEAVAVENYTKITGREVTSMGFAVHSKKSYDWLGASPDGVLGTGILEVKCPYNKGKPETGLPWKTMPFYYMPQVQGQMEIMDFEWVDLYCWTPNGSTIFRVVRSREYWDIIHGILREFWWENVIPAREALLLGCEEQVESYKPASTHKLTGLAIAKSIKLASESKLLCREIAGHVEFFT >RHN65754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5008047:5008620:-1 gene:gene13641 transcript:rna13641 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFFLSLLVLFLAAFMLAPQQHFADAVSFGPAVEALIPKIKNLIPKDKPVHIPHIPDFCKKHYPLLKKVENFRNKHCPPA >RHN46045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28051039:28052106:1 gene:gene40485 transcript:rna40485 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHIFQYFLLGVLCIVFLLSSGSTQDVNYCSKPCPNTWECDAKCRSQGQGGSCMGNFCCCQ >RHN71657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1709460:1710257:-1 gene:gene7281 transcript:rna7281 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIRCRYLNVNLNSFSTQVVIMCEKMAMKLLKGKRVLACANGGSNIIIFGTKSIENK >RHN45607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23640680:23642169:-1 gene:gene39984 transcript:rna39984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MKKVVLKVDFYNDRIKQKVMKTVSSLSGVESISIDSKEKKLTLSGDIDPIKAVCELRKLCQTEVVSIGPLKEEKKESTITHEVIPLQYFPTYPFYYQMTPSQYF >RHN74715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36098667:36103429:1 gene:gene10823 transcript:rna10823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAGDVVQDFNIKPIAVEVARRCAGFPLLIVTVAKALRKKKIFDWKSTLNELERFDQEGLHKKIYSALELSYNCLESDELKSLFLFIGSFGLDYLHTGELFSSYWGMGLYRHSHTLTDARTRYCKLINDLKACSLLLESEAELIRIHDVVRDVAKSIASRTRPTYGVQRFALIKQWPEIDQLQKCHQISLHWSYIYNLPEKMECPELTLLILENIGEYLKISDDFFSGMRELSVVSLYGMMFAPSPPPSLRILTKIQTLELAGCVLEDISIVAELKSLEILNLERSDIKELPQEIGQLTNLRMLNLANCSGLRFIPANLISSLTCLEELYMGNCFIKWNIKGSKDQSNHASLEEIWNLSHLTSLDIMIQDASIWPRDLQVFEKLDRYNIFIGDMWKWSLEWSGCASESSRILKLADSRSSNILSDHGSTFLLNSAEDMCLAKIQCVRNVLYELNREGFPQLKHLRIQDSTDLKHIINSIDWIHPYPALPNLESLALQNLFNLEEICHGPIPIQSFTELKSFEVKGCDKLKNLLWYSLVRNLPRLLEIKISDCKMITEIIAEQTYDADKEIDKIMFHKLRSIVLENLPSLISFCSMLLTVDIKKCGEDYQGTQCLPVALMDEKVVMPHLELLKLSKINSRKLWDDKLSGHSCIQNLRSLTIDKCGSIAYAFSSSMAGELVNLQHLEISNCQMLEQIIVSDGKFDNLPLSQEPFYNDVIFPNLETLVISHMEHLKSIWHNQLATKSLCKLKQLKIEFCNEISNVIPSYVLNKLQNLEIVTIDNCPTLEVVFETQGLKADGGRQTRLEMQLRTLSLKHLPMLRHIWSGNPNESFMFQNLCLLNVTECKSLIHVFPFSVAKELQHLQELYIEECGMEIIVAQDELVNRFPIFIFPELTSLSFQDLTQLRSFYNGLHTLDCPVLRDVDVLHCDKLELFKPMSINCRDIVPVDTLPLLSIEKVVQNTRELILSCKDVSMLRNGQLNDELIYAVKSLCLRCFHDESVKFPSGFLQRFINLENLKLTCSSFSEIFSSGSVCTGHYKTSMKLRSLVLSNLHNLEFICDVKSEVQPIIQNMETLVVYRCSRLKNIVPSSVLFENLEHLQVSDCTGLEIIVKSSTAASLQKLTKLCIDDCENIEEIVASDDENDASELDFMKLEYLRLSNLPRLRSFCKGRHGFKFPQLQKLFVVDCPVMETFSHGVLNAPKLIAVHVNEQDEWHWNGDINTTIRKFVAKTNSKDD >RHN68269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34131326:34134157:-1 gene:gene16602 transcript:rna16602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSETTSYWCYRCNRFVRLSRQETVVCPDCDGGFLEEIEQPPQVDSRRRRFPAAAMYMIGQRPNSDHNHNGSRPSFLRRTRRNGGDRSPFNPVIVLRGGGSTEAATEAGNNGGGERGESRGFELFYDDGAGSGLRPLPPSMSEFLLGSGFDRLLEQLSQIEINGIGRYEHPPASKASVDSLPTLEIDNTHLEMESHCAVCMEAFELGTVVREMPCKHIYHPDCILPWLALHNSCPVCRHELPTDSDAGNGNQLQSQSQSQSWSQVESQQSVGLNEEESNVGLTIWRLPGGGFAVGRFSGGRRGAERELPVVYTEMDGGFNNGGEPRRISWSSRGSRERQRGGGLRRFFGSFFGCFGVGGGVGNQRSVMGRELRSVRTNSSHSSNMDPSPRSRRTWSMDVNSGMRSW >RHN81876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49514544:49516738:1 gene:gene5972 transcript:rna5972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MLSSYLQQQHKHFTSFVMDGTNNNTSSVPPPIQPPDASSAPTTPSSSPATTGVAGRYRGTRCRSGKWVSEIREPRKTKRIWLGTYPTAEMAAAAYDVAALALKGPDTTLNFPNSILSYPIPASLSSTDIRAAAEAAAQTRIMRPAQQDQQQQNPSAFSFEGSSSSSGILQQQQGHHHEYIDEDELLNMPSLLDDMARGMQ >RHN71165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56869270:56873511:-1 gene:gene19815 transcript:rna19815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine cleavage system H-protein/Simiate MSTVENDQIIDESNSSEEDDLEKLLLPDVENFPLIPPSAIETNFVTYFALDFTKPAHDQYIYRHANGLCVIGLAPSHIAFKDEGGITSVDFNVGKSDRTAVKVSGKRKKNAQHFESNTALCKVSTKNDSYIVRCCVKGSLLEVNQQLIKHPELLNGSADREGYIAIMMPKPADWLKVKASFVSLQEYKKVREVSCDIKHEVPCDDTLKEPL >RHN49284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53804019:53806338:-1 gene:gene44099 transcript:rna44099 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKTPPRQRNMLAVLNPILIRQTLNKVDQCMDRLQELQFTVAGGTKLVSGVNLSPRSTTTYLRTSLRCKQESIRIKNSAQKTSPLGKFPKPANLGGEWRQMSLPAMFVGETVIEILQASQFAREIVSSVGAKSAVEDPKTPLSRNSYKKEDSENTQLRAKRRKEKQNKQQSDSPPLPSQRVRSRINFKVSPPKKVKDLDKEKEKNKYLANRVSPRNRPWARKTVLFPNPLFSSTSSHQQQFCKTRSPVISRNRATTTPHKFRIKSPHKFLIETPNSVTSTTPHKFRILSPHKFLIKSPASPKRPTRLNSPKRCGAASKSSRPLSPPRLVAPTKSKRSVQKSDGLVCLNRSSLKSKKNDGLVCLSKSSPKRSTASKLRRSFSPSRMVTRLVSPLKSKKNVQKVDGFVSGLKQRPATTVQLPGPKFKNIL >RHN61453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35558022:35558360:1 gene:gene23923 transcript:rna23923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGMCMLLEMILHAMKMLRLRSQYYTKHHFSRQNSKKIGHHAPKGHFVVYVDDKDDEYMRRFVVPISYLKQPMFQALLCCAEEEFGFEHPMGNIVIPCSIDYFVTLTSRFNVS >RHN70240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49948890:49949258:1 gene:gene18801 transcript:rna18801 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTGSNCVSLRSATFAAVGNCKITQIRHYSPLLNHLRPVSSLHCRSNTTTTTSIAFFEFGSQKLKLFSQIGIQKNRMRELIFFLEFEFHICVVVVANLILEGGGNSVLILSNLSCVDFCQ >RHN71035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55976506:55980357:1 gene:gene19677 transcript:rna19677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGSVCCVAAKDQTLPNRTGSESFHRNPVCSPSLSFQWDRWGRVASEIDDLSFRASRRVSRSVSMEFKGSLSSDRGNLSDVGSTLENSVTPMSQKSPIHEQLGANRMTLSSDLSMSSNCSTVAKNLTESPEIAESSIPNISLSMPSSFSTPTTRNLNRHNLPSPTPSRWAHRSPGHPLLRQISDSRILGLKSPDNSISEGRPSFVLSTCSNDMIAGSQCGSSDGWSMRTFSELVASSQKERWSFDSEHFGSGRHKLSATSSRFSYSPTMDLQSCGACSKLLTERTAWSSQKFISNNDLSVVAVLVCGHAYHAECLETMTSEADSYDPACPICMVGEKHLSMLSRKSLRAESEMKAKNYKISRNRVVDSYFDGGLDGYDRQKSAASKLEASSSSRSSLRKPFLKRHFSLGSKWNRSLSDNDSARKKGFWARYRKD >RHN45900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26631993:26641998:1 gene:gene40325 transcript:rna40325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, CRAL/TRIO domain-containing protein MQGEGLLVQEDERSRSLEPETSEDEWRKSRARSLRRRAMTASTRLTYSLRKRNKRVADYQFDSIFIEDVRDAKEEEAVNSFRLALLTRDLLPDSHDNYHTMLRFLKGRKFDLDKTVQMWADMLHWRKEYGADSILQDFVYKEFEEVQRYYPHGMHGVDKEGRPVYIERLGKVDPIKLMNVTTIDRFLRYHVQGFEKLFQEKFPACSIAAKRHIDKTTTIIDVHGVNWLSFSKIAHELVMRMQKIDGDNYPETLNQMFIVNAGSGFKLVWNTAKGFLDPKTTAKIIVLGSKFQSRLLEVIDSCQLPDFLGGSCSCPNDGGCLTSNKGPWNDPSILKLLNSREETKPTKFGSSSVADRLDTESYPSMVTHKLVTNTEISEPGSTSEATLIPSTFMQSVPSSENKRMRDRAPICNLVEPNNDAKEVGDVDLPRGSSNNISRQPKKLISYVRSTLDQIIVKVLACIYVVFAALGNFFVVRSVNNQPRSHQKIQHVESSSLEPLTTPDIKEPIWQRLRDLEAVVTEMANKPRTIPREKEDILQESLSRIKGIEFDLQKTRKALLATASKQAELAESLESLKDNKFDGTKSCWPKNSKYAPGR >RHN72243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6064925:6068202:1 gene:gene7935 transcript:rna7935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molecular chaperone regulator BAG-1 MMKKKLNANSESRGTRETMSSHKREQEEYQWEMRPGGMLVQKRTGKLDALVANLRLRIAYGALRYDICVSSMATFGVVKKIMSDETGLKVEEQRVIYKGKERENGEFLDVCGVKDKSKLVLIQDASSIERRFIQMRINAKIQTANRAINNVSLQLDQLAEQVSAIEKSISDGVKVPEVQIITLIEMLMKQAIRLESISAEGDASAQKILQGKRVQKCVETLDILKTSNATMKHVVVTTKWETF >RHN47419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39606931:39618489:1 gene:gene42016 transcript:rna42016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylethanolamine-binding protein MAGSSRNPLAVGRVIGDVIDSFENSIPLRVTYGNRDVNNGCELKPSQIGNQPRVSVGGNDLRNLYTLVMVDPDSPSPSNPTFKEYLHWLVTDIPGTTEVTFGNEVVNYERPRPTSGIHRFVFVLFRQQCRQRVYAPGWRQNFNTREFAELYNLGSPVAAVFFNCQRESGSGGRTFR >RHN61520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36116421:36120826:-1 gene:gene23993 transcript:rna23993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative magnesium transporter NIPA MGVSENYKGLILAVCSSGFIGASFILKKKGLKRAASRGTRAGVGGYTYLLEPLWWVGMVTMITGEAANFVAYIYAPAVLVTPLGALSIIVSSVLAHFLLKERLQKMGVLGCLSCIVGSIVIVIHAPQEHTPNSVQEIWELATQPEFMIYAAATVSVVLALILNFEPRYGQKNMLVYLGICSLMGSLTVMSIKAIGIAIKLTLDGINQIAYPQTWFFVIVATICVVTQLNYLNKALDTFDATIVTPVYYVMFTTLTIVASAIMFKDWSGQDVSSIASEICGFITVLTGTIILHGTKEQEESTRKGTMSWFMSEDSTKCVEDEHLIVINGSDRLEN >RHN79808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32392382:32394629:-1 gene:gene3649 transcript:rna3649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MDSNSKCSRFQIPLGSMARSMFFKALLLASAISIVSLLHLLPSMDLAPKTYDHDCIIEFEEDSNLTLTPGSYLFQSRVLNSFWGSFDSLSCKKEINLVSSIVKELKGERLLNFEAETLCIGETSNIAVSTLKKLGFTNVINHRVFSFNKKNFVYSLDHHHDESFDFVLSKDLGKVAVPALVVLEVERILKPNGIGALLLDFDDNDNINMIRYASPISALLRNSSIVHVSLVNNHGLVVFKKKSESKSETESENRSSLFYHQVLPEDCKSVNFAKQFMNLIEPPLAKETPYQEKTTYLPKFKDVSSSKKNLVYVNIVEDEVGDWFPQSYPIHKKDFNVYFVHYNTSIMLSHVKGPRVTFVYHPELNENFKDEAKVNVGEADVKEDEEFDLVAWFKETVENADFVMVKMNIAGKVEMKFLSEIYKNGVICFVDELFLSCSESEDGERERCMDIYKGLRSNGVFVHQWWNTHELHQRSNV >RHN54778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12139739:12140159:-1 gene:gene29862 transcript:rna29862 gene_biotype:protein_coding transcript_biotype:protein_coding MLYAAQNGIITLINAMRNANPYLLAVTDNSGRGILWYAILNRRRSVFQLIYSLNGLEKEMIKYRTDLVDNNLLHMAALLVPSSIRSGRLGPAMQVQKEIQWFKVIYLISIYLSIYNGNKLQC >RHN74574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34819887:34822405:-1 gene:gene10663 transcript:rna10663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MGYATTDETENKSDRLQELKAFDETKAGVKGLVDQGILKIPALFHHPPDKYGKSTNSTNTEHIIPVIDFLNIGKDPNTRQEIITKIKEASETWGFFQVVNHDTEVKKEMYTRDQTRPLVYNSNFDLYSSPALNWRDTLALKMAPNAPKPEDLPVVCRDIFLEYGEHVMKLGIVLFELLSEALGLHPNHLKDLDCSEGNLLLCHYYPACPEPHLTMGTAKHSDSSFLTVLLQDHIGGLQVLHKGKWIDVPPIPEALVINIGDVLQLITNDRFKSVEHRVLANTIGPRVSVASFFRAGPRAQEKLYGPIKEILSEDNPPRYRETTFTDFVAYYNAKGLDGISALEHFKL >RHN68581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36744233:36744598:-1 gene:gene16950 transcript:rna16950 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPGHRYYYPHHPYHQQNTIFNKDYNNIAERNPYFDRRMMEPRHQVEANRVGYDRYGRFDSNISFYGRVDPYPSYQAYYDGAVFFFFGVLLGVGLVVALMGSYGGVVALMFVCFVLVVAR >RHN66214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9761288:9767446:1 gene:gene14156 transcript:rna14156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, OSCP/delta subunit, F1F0 ATP synthase OSCP/delta subunit domain-containing protein MALYGRVKSGISLCNKLGLLSSQRSTLQRSLIAPAISQATRNYADVPGAREAKIKVPIAMFGGSGNYASALYIAAVKANAVEKVDSELLQFVEMVKGSKITSQFIKDISVKKDVRLKTIEDIASKAKFSETTKNFLALLAVNGRLKHVELIAKRFAELAMAYKGEVKAIVTTVFPLPAEEENALKQTVQEMLGSGAKVKLEQKIDPSILGGIVLEFSQKVFDMSIRTRAQQMERILREPINISV >RHN82265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52421625:52422748:1 gene:gene6414 transcript:rna6414 gene_biotype:protein_coding transcript_biotype:protein_coding MIARSHSSALDRLYAWDRKLYDEVKASESIRKVYDRKCYQLRHQFAKDQGTQVIDKTRAVVKDLHSQIRVAIYSVDSISKRCMKISGKKDFKSEDVWRVCESVLCTCKFNQGS >RHN50997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12747179:12748056:-1 gene:gene35357 transcript:rna35357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative squalene monooxygenase MDYQYILGGEILACSLAFVFALYIVVEKKKAKEIDSSSTYLKTNGPKNGTCSSLFQQAAGGTDIIIVGAGVAGSALAYTLGKDGRRVHVIERDLNEPDRIVGELLQPGGYLKLLELGLEDCVDEIDAQRVFGYALYKDGKNIKLSYPLENFNADVSGRSFHNGRFIQKMREKASLLPKYKFL >RHN38899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2723165:2723776:1 gene:gene44875 transcript:rna44875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative JAB1/MPN/MOV34 metalloenzyme domain, pre-mRNA-processing-splicing factor 8 MPKNILKKFICIADLRTQISGYLYGISPPDNPQVKEIRCIVMPPQWGTHQQVHLPSDLPEHDFLNDLEPLGWMHTQPNEVPQLISLHMLRS >RHN79473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29316652:29320029:-1 gene:gene3267 transcript:rna3267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase, NLPC/P60 domain, LRAT-like domain-containing protein MGLLSNRVTRESLKAGDHIYSWRTAYIYAHHGIYIGDDKVIHFTRRGQEVGTGTVLDVLLLSSAPARSQEICPICIPSQEDHGVVVSCLNCFLAGGVLYRFEYAVSPALFLAKARGGTCTLAVSDEDDVVVHRALYLLENGFRCYNVFKNNCEDFAIYCKTGLVVVDERTIGQSGQAVSIIGGPLAAVLSTPLRLVTTNVYGMAATAVGVYCASRYAADIGMRRDVVKIQVEELTGRLATGLLQVIEPQVSMNLAPQSTQIVSQ >RHN57634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40658941:40664384:1 gene:gene33211 transcript:rna33211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 3-O-glucosyltransferase MKKAEVVFIPFPGLSHLISTLEFVKLLINRDNRLRVTVLVIKFPHITENDVNTKSLPISESLNIINLPEVSLPPNTDPGFSSMTALLEAQKPNVKQAVSNLTTREGQHGHLAAFVVDMFCTTMINVANEFNVPTLVFFTSGVASLGLNLYLYTLRERDNVDSTQLLLQNEVAIPSFANPVPSKSLPSFVLHKEWESFFMRLARGLKKVNGIIVNSFEELESHAVHSFFSHPDLSDILIYPVGPLLNLEPKTKGTVDADSDDVMKWLDDQPPSSVVFLCFGSRGYFDEDQVKEIAHAVENSGARFVWSLRKPPAKGTMAAPSDYPLYDLGLVLPEGFLDRTAEIGRIVGWAQQAQILAHPAIGGFVSHCGWNSTLESIYFGVPIAAWPLFAEQQANAFELVCELKIGVDIALDYRVELSGRPNYLVTADKIERGIRSVLDKDADVRKKVKEMSEKSKKTLLEGGSSYNYLGRLIDYIMYEASLMKKAEVVIIPSPGVGHLVSTLEFAKLLINRDNRLRITVLVEDKSCGMPCVSGRGR >RHN39965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11929927:11931471:-1 gene:gene46050 transcript:rna46050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MFSIGSSHIEHHLLQSSEKSGVVASSYTYSFQFSTTFDHESGAPFEPPSGGPSNDVASAPKPLSPYEKYLTNCASKLKPAECGKQIFSGVFVGNQIISDYCCHSLVNDVGKSCHYDLTRNALQWPAYAKNKTEILKRNDKVWNDCIAVRPILP >RHN67462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27465571:27473168:1 gene:gene15654 transcript:rna15654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MGTAKSAVDLMGSSSEVHFSGFHMDGFEKREASIEQPTTSATDVYNQPFVIGVAGGAASGKKTVCDMIVQQLHDQRVVLVNQDSFYNNLTEEERARVQDYNFDHPDAFDTEELLRVMDKLKHGEAVDIPKYDFKSYKSDALRRVNPSDVIILEGILVFHDPRVRELMNMKIFVDTDADVRLARRIRRDTSEKDRDIGAILDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAVDLIVQHIRTKLGQHDLCKIYPNLYVIHSTFQIRGMHTLIRDAQITKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPNDISERHVLLLDPILGTGNSAVQAISLLIQKGVPESNIIFLNLISAPKGVHVVCKCFPRIKIVTSEIEIGLNEDFRVIPGMGEFGDRYFGTDDDEQVVVASQ >RHN55964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26113924:26120585:-1 gene:gene31264 transcript:rna31264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exoribonuclease II MKATTVEGSMVGGERFDDVEKDKKKKRRSNRRNKQNPPSSASEVNEAIGVSPECSGKNGTPVQPSGSVSNSLAPSDDPISIPLIPYNGPVAPADMQKMLLHLRTLVLQNSPEPVGCLESSGTNEKKDSARYSKFGLSGQRNYFSPHWSVEVVEKALERGDVFKATFRVNAHNRTEAYCSIDGVPTDILIVGAPAQNRAVEGDIVAIKIDPLPLWTKMKGPNVANNNTAVLEGCNLLVEDNIAGDNNCEGIEKVDADHEYSGQNKEESFDNSSPYRSYPFPEKRYIYENNTTQGSPNHLSLIGLTGRDNNDGPNCDSPASIKINSGSGQSEVMNAVEKICLLVNSFPSKRPTGRVVAVIERSPRRECIVGHLNVKQWVFSQEINKKDPRKNKNSVSEHEYIQLTPIDPKFPNIMLLVRDLPKSIKIRLKNGDAAIEKDLVAAQIVDWFEESPFPEGDILQHFGRGSEIQPNLDSILFKNAICLSEFSAEALSCLPHATWELPLKELQSRTDLRNLCIFSIDPSTATDLDDALSIEKLPNGNYRVGIHIADVSYFVLPDTALDVEAQFRSTSVYLLQKKLPMLSALISENIGSLNPGVDRLAFSMLLDLNVAGDVVDRWIGRTVIQSCCKLSYEHAQDIIDGAFNFESSSFHEDGYPKLHGRFGWPDVITSLKSLYEISNVLKHKRFAGGALRLDKPKIVILSDENGIPYNSTFSEQKESNLLIEEYMLLANTTAAEIICRAYPDVALLRRHPEPNMRKLREFTAFCQKHGLNLDTSSSGHIRSSLEQMKEKLKDDPVLYDILISYASKLMQSASYFCSSDLKDNEHEWGHYALAVPLYTHFTSPLRRYADIVVHRTLLATIEAEEIYLKQVGKKMGVEKRCFTGINFDKKTAESVECREALMAAALKHRVPSAEILADIAAHCNDRKLASKYVKDSCERLYMWFLLKQQKVILSEARVLGLGPKFMSIYIQKLAVEKRIYYDEVEGLTAEWLDATSTLVLSMSPNKRANRRGNWRALSESVMLACPYDLKVTMDNSNQNDAIEVDAAVSDTDKHHDSTSETSETEIEPSFFPLTVHLLSTIPVALHAVGGDDGPLDFGVRLYMTSYFG >RHN47679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41477762:41488345:-1 gene:gene42304 transcript:rna42304 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFLKPVATLDDYLANVVPLFGGFLSILGVFEVSYMFSFPPNIKFFSRL >RHN60909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31548441:31549261:1 gene:gene23304 transcript:rna23304 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAYMSKEWAIKLMLKVYAKEDPLSLPHPPKRNTHLHLYSSENPPSFLPFEGSSIHSFCTIFFLLKVSYGNSDGSKSMQL >RHN71125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56654606:56656618:-1 gene:gene19774 transcript:rna19774 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRQASGWLGILEFAPPEVSGSILSGANFGGLSPYRACSGSKRGPRKWAVGLVPSD >RHN49682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:267612:273986:-1 gene:gene33886 transcript:rna33886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA pseudouridine(55) synthase MVKPISLSLTHHLHFLTTTSSSRLFHPFSTLRFFSTTPYPLQYELIINRPNLPKPTRTSKPEPTPPQNSSLQSWANDKLTTEPESNRPGSSKFELDNNLDKSKRKYYNKRRKRMYGSDSDDDHRRNEEQLVEMIPEVVELRTLHKREEELYMYDAFCYPWEKDKHYKMVYQLEKKYFPNQCLDQAFLKPGQSNCSYASYTSSNANSNANTRVRNMKVGVFGKNKEVGDIVDDNKLVFFDENGKDDDRRNAGSNSNENANARVKSKKVGVFGVGGKKDEVGDGDDKLMFFEENEKGVEREKEKGKRGVDSKDVSEKKVEEFFKGLNVKKEVEVVEPFFSSRRTGLPPVWDSSHGTVLLINKPKGWTSFTVCGKLRRLVKVKKVGHAGTLDPMATGLLIVCVGKSTKLVDRYQGMVKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIKKSALSFCGEIWQVPPMFSAIKVGGEKLYEKARRGESIELSPRRISIFQFDIERSLDDRQNLIFRVICSKGTYIRSLCADFGKALGSCAHLTALRRDSIGQYSADDAWDFQELEEAITKTYL >RHN72820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10998763:11005297:1 gene:gene8581 transcript:rna8581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MANTTTVDDSFANQVQLIDGEGVFNVTGLDNLIKTSDMANTGLDYVVVSIMGPQSSGKSSLLNNIFCTKFEEMNALTCRSQTTKGIWIAKTGIKPCTFALDIEGTDGRERGEDDTAFEKQSALFALALSNIVLINLWCHDVGREQAASKPLLRTVFQVMLRLFSPRKITLIFVLRDKTKTPLKILEPILREDIQKIWDAIPKPQAHAHTPLHDFFNVEVTALSSYETQEEEFKEEVSELREHLYHSIASRLAGDRRGAVPASAFSISAHHIWEVIRDNKDLDLPAHKVMVATVRCEAIAKEKLDHLRSDKGLLELKKAAQSGSAQGFGEKLSSIISTYRSRYDEETEFFDEAVRNAKGKQFESDALNIVYPVYTKMIEHLCSKALDDFNTELIRSLNSGERFDSSVRTWTRCIMVEFEKVFADVAVRQANWDASKVRDKLRCDINSHALFVRNAKLPEITTSFEKQLAKALATPVQSLFEKGGNDTWLSIRNLLKCETEVAVSEFVARVAGFDLEKETVEKMQQDLREYARELVVNKAREEAGKVLIRMKDRFSTVFDYDNDSQLRVWTWDKDIKAIKKDAYSASLKLLSDMVAIRLDEKPDQRERVLDLKSFLYTDRDLYLYLLDSITWKVSPGDILISPGQCKSLWRQLQDETVDTIRQAIAAKKEDKKQMAATALQVATAGVYLGLATMGVYLG >RHN65744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4894799:4897074:-1 gene:gene13626 transcript:rna13626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAHKFVYAIILFIFLFLVAKNVKGYVVCRTVDDCPPDTRDLRYRCLNGKCKSYRLSYG >RHN58149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44094490:44096217:-1 gene:gene33761 transcript:rna33761 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVSKTRRMVRGREEVYVAAMPLRASKGPPQLLMSAAYSLNIWDLQHFMVIIKPSSPSQVLVFDFQPKDPEDIYVALAVLSGRAVPGAVLVRKLKRLPRSKCWLVGYAEADAVEIASEFNKKWETDLRIGVNDCRDYTNGLVRRLIGEEDVLKRLRNQGSER >RHN45477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21300315:21304976:1 gene:gene39822 transcript:rna39822 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAKLISSLFEYCLQSFFKNGVYIMLVKDAGDAFGRSRFVKIDCKGLDPGDYKKLGSKHKNDFACVKVLIWEFYGYWFAKICVIHGVYACWLRKCHMRASNGYLFLQALFLSSSGTLTKSCC >RHN49619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55956521:55967152:-1 gene:gene44468 transcript:rna44468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease III post-transcriptional gene silencing PAZ-Argonaute family protein MEDDADAAAAAAAVNNNNRVSSSNPYWLDACEDISISCDDIIDFDVSNDSDQQPNNNNQDFFGGIDRIFDSIKNGAGLPDHPPASAADDGNQLPPTHLQLEEQHQQVEEEEQEQERFNKRARLTEGERRINHQPNNNNKDRYERCFNNNRKRPRNNNNHNHSFKRDVKGYWERDKSSNDLVFRSGTWEPNLHTSQHKMDIDNPIKQEKPKETVPVPEEKARQYQLDVLEQAKTRNTIAFLETGAGKTLIAVLLIKSIHETLHLQNKKMLAVFLVPKVPLVYQQAEVIRERTGYQVGHYCGEMGQDFWDARRWQREFDTKHVLVMTAQILLNILRHSIIKMEAINLLILDECHHAVKKHPYSLVMSEFYHTTPKEKRPSVFGMTASPVNLKGVSSQVDCAIKIRNLESKLDSIVCTIKDRKELEKHVPMPSEIVVKYDKAASLCYLHEQIKQMETEVEEAAKSSSRRSKWQFMGARDAGAKEELRQVYGVSERTESDGAANLIQKLRAVNYALGELGQWCAYKVAQSFLAALQNDERANYQLDVKFQESYLSKVVSLLKCQLSEGAISDKNDGVDDSQNGAAHSGSDEHEEIEEGELPDSHVVSGGEHVDVIIGAAVADGKVTPKVQALIKILLKYQNTDDFRAIIFVERVVSALVLPKVFAELPSLSFVKCASLIGHNNSQEMRTHQMHDTIAKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMVERGNLSHEAFLKNARNSEETLRREAIERTDLSHLKDSSRLISVDTHPETMYQVKSTGAVVSLNSAVGLVHFYCSQLPSDRYSILRPEFIMEKHENSGGPTEYSCRLQLPCNAPFENLEGPICSSIRLAQQAVCLAACRKLHEMGAFTDMLLPDKGSGEEKEKAEQNDEGDALPGTARHREFYPEGVADILKGEWILSGKDACNNSKLLHLYMYTIKCENVGHSKDPFLTQVSDFAVLFGTELDAEVLSMSMDLFIARTVTTKASLVFRESIDITESQLTSLKSFHVRLMSIVLDVDVEPSTTPWDPAKAYLFVPMVGDKSSDPMNQIDWHLVETIIGADAWKNPLQKARPDVYLGTNERTLGGDRREYGFGKLRHGLAFGQKSHPTYGIRGAVAQFDVVKASGLVPNRDSMETQNHINMTTKGKLMMADTCTSPDDLVGRIVTAAHSGKRFYVDSIRYEMTAENSFPRKEGYLGPLEYSSYADYYKQKYGVDLAYKQQPLIRGRGVSYCKNLLSPRFEHSEGHEDETEETHDKTYYVFLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAVQLKNMINYPVQASKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKHPQKHEGQLTRMRQQMVSNMVLYRYALSKGLQSYILADRFAPSRWAAPGVLPVFDEDTKDEESSLFDQERSIFKAERMDNTDEFEDEMEDGELESDSSSYRVLSSKTLADVVEALIGVYYVEGGKNAANHLMKWIGIHIEIDPDEMECITKPSNVPDSILRSVDFDALEGALNIKFKDKGLLIESITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFSYTDLPPGRLTDLRAAAVNNENFARVTVKHNLHLHLRHGSSALEKQIKDFVKEVQDELSKPGFNSFGLGDCKAPKVLGDILESIAGAIFLDSGRNTAVVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYRASRAGNLATVEVFIDGVQVGAAQNPQKKMAQKLAARNALAALKEKEESKIQEKNDEKETKNGNQTFTRQTLNDICLRRNWPMPFYRCVSEGGPAHAKRFTFAVRVNTTDKGWTDECVGEAMPSVKKAKDSAAVLLLELINKLYSS >RHN72418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7453540:7455296:1 gene:gene8126 transcript:rna8126 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGFAFLILGLWHLFNHIKLHIKNPNSYISPTWFPTSKFKYLELYLIMLATTASISMELFIGPARHQPFDTDGTIPSYHLHNFEHALISMAFFAYAASSIILDKIENQAKLALTQFLASMAFFQQLLLFHLHSADHMGPEGQYHLLLQVLVFVSLSTTLLGIPMQKSFLVSFVRSLSIFFQGLWLMVMGYMLWTPSLIAKGCFMNSEEGHKVVRCSDEESLHRAVSLVNILFSWLVIVVAVFGVSLYLVLTKYYGANKVRYFSLGIEDEEREDVEKLSDDVESQKRNLVGKPKSFIHVGQKTLSSVDIER >RHN56580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32017190:32018797:1 gene:gene32006 transcript:rna32006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MISEVRNDVSDWPLPQVTNVDEWVPFEGQLFEDNEYVNSLTFQELQHEPLPQVNNIDEGVPFEGQVFESDNVAYEFYCLFAKQNGFSIRRDHIYKSSKNVSEENPSGVYKREFVCHRGGIVKPRKTVEVENQRKRKSSRCDYGAKMVVNKRTIVFEKKWVVKYFNNSHNHELLDNKEVRFLPAYRNIPIVDQDHILLLSKVGCSVSIIMRVLELEKRTGTGNLPFLEKDIRNFIQSYSGIGKESDAADVLRLCKSLKDREEAFQVEFTIDESNKLEHIVWAFGDSIRAYEAFGDVVVFDTTYRINRYGMPLGIWVGVDNHGNSIFFGCVLLRNEKISSFEWAIKSFLAFVKGKYPKTILTDQDLSIKEAIATKLPNTKHAFCIWHIVAKLSSWFSFPLGSRYDDFKHEFHKVYHLECADDFEREWKIMVSQFGLGVDKHIDLLFSHRKFWALAYLKDFFFAGMTTTGRSESINSYIKRFLDANNRTLRIDKLLYFCVGWCCCQN >RHN49945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2526619:2531032:-1 gene:gene34182 transcript:rna34182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MQGSQCRLMNSIGGASGTSNNNFVHDINKGYCWTRTDERQAARMRVRYLKAVLRQEVAYFDLHVTSTSEVITSVSNDSLVIQDVISEKVPNFLMNVSMFLGSYIAAFASLWRLAIVGFPFLVLLVIPGFMYGRTSMGLARKIREEYNKAGTIAEQAISSIRTVYSFTGENKTIAAFSDALEGPLKLGLKQGLAKGLGIGSNGLVFAVWSLMSYYGSRMVMYHGAKGGTVYSVGVSIAIGGFLIIL >RHN45781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25526414:25527061:-1 gene:gene40179 transcript:rna40179 gene_biotype:protein_coding transcript_biotype:protein_coding MQEHNSVYLFWFFLHYPLLFRGYFTLSRYQPIKMQYTNVTLNVK >RHN74227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30507355:30508836:-1 gene:gene10253 transcript:rna10253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MTFQPDKNNPHPHTLVIPFPAQGHMIPLLDLTHKLASTITNLTITILTTPKNQSLLTPLLNSHPSTIHPLILPFPSHPSIPHGIENAKDLPNSFDTFILAVSKLHDPLLNWFHSHHSPPQYIISDMFCGWTQHLASQLNIRRLVFSPSGAFAFSTMCFNWKHLPSRVNPNDENEVVLYHNIPNSPKYPWWQVSPIFRSYIPGDTDSEKLKDLFLCNSQSYGIIVNTFAEFEKPYLDYLKTELGHDRVWAVGPLLPVDESSTMALQRGGSSSVSVNDVVSWLDQREDKKLVYVCFGSQTILNKDQTVAIASGLLKSGVHFIWSIKETKNENEGLDFEDAFLGRGLVIRGWAPQVMILRHRAVGAFLTHCGWNSVLESVVAGVPLIAWPMTADQFVDATLLVDELKVGKKVCEGGNSVPDSDELGRVLAEAIGGSGEEISRSLKLKQAAFDAVREGGSSDKDLQCLMEQLVL >RHN78633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16937090:16937442:1 gene:gene2262 transcript:rna2262 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein P0 MFVNAYKNVLAFAVATEYSFPEADKVKEYLKDPSKFAVAVAAPAAAASGGAPAAAAKEEAKKEEPEEESDEDIGFGLFGDD >RHN74642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35406194:35412309:1 gene:gene10746 transcript:rna10746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain, cleavage inducing molecular chaperone, Jiv MVMEDIGLFNQVWGWLRSRKDACWRARTVVVCCRDKTAMFIERHWPMVCRGCSKLGSLLKLSLIFWKDSAVRGFQSFIKFGSVMLLLIMWSCFLSLTSMYCLVYVLVSMVTAGVAVQYLGYTPGLFIVGLFAILILWMYANFLITGLLLIVGGCLFSLNRARVVVLIGTAYAMYSVQVKVGWLGVFLAINLAFLSNDILNFLLQWFDNVSESSHPEEQKQSETVMEDDFAEECEYPIPPVESENLHSCKSSSKPPAVTTSVVDKQKEVLVNKVVKEQTNSIDEMRRILKSLNHYDALGFSRHKKIDAAVLKKEYRKKAMLVHPDKNMGSSMSSESFKKLQCAYEVLSDSVKKRDYDEQLRKEESMAKSVCQKSHSSSHQDNTEYRSEESRRIQCTKCGNSHVWVCTNRSKAKARWCQDCCQFHQAKDGDGWVEYKGSLVFDRPQKVEIPRAFVCAESKIFDVSEWAICQGMACRPNTHRPSFHVNMVGLEKSHSCNSSRFPWDLDAEMMMDEDEEAFDLWLQQALASGLFCESSKRRKSWSPFKLPQKKGKKQWRRTSC >RHN81374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45335931:45336352:-1 gene:gene5399 transcript:rna5399 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKRNDKIDSVVGLIWNGILYLCLGKCCQKHNVTLLSVFNLIAQPAVVVSAMSGAFAAAVLFEVSDGFLGLYSLCSARLFLAERLLCRHCSAHVFDSFCGFI >RHN56671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32688145:32697258:1 gene:gene32108 transcript:rna32108 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDMLVQIQRWLMHTKVWRFVGCASAVVGLVCYALSSSFNHLFGNWRLWKIVLYTVFSFIICSIILYARTWQHSTSHRFKAHSAFLMIA >RHN61044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32469972:32474757:1 gene:gene23455 transcript:rna23455 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVYDEIKSGKSSLPYVADHHHEIHKNVEGGTSKFHRSHHKHGNCDSEYEDELVKYMSNLPGYLQRGREKVLNVGVLDWSRLEQWQHIHKNASHRNSRSSTSSTASSSSVPTDGLSGHSGKDQRKLRQSLKSHFEASSIQDNSQAVKTSRRSVGHCQDFRGSIGNINTPSKHVRADDRLSVNHPNSRLKGCDRKYLEPYINKESGVKRNEQMHKAASSAKLDMRSRDGGKDKRVENFNEPNIDNVVQAMLRKNEPFVHLLPRDSPQNSHCGVPHIQTFLAQKSEKYSRLSFSEQPKELCQKELNYDVSHSGSLPDELGCNDSQNKGSGCSSTDIESSKLHASTFSSPISTSSSPLSVRVEISLPKSRKAEEMRQTVAKTSSANRPFHELDQKVISEKSRSSSPFRRLSISIGYTSGGSACKEDEDVPNQSSTTAGKSNSETVRGYANSNISGSDKPGDTGRSKTSPLRRLLDPLLKPKTAKFSHSLESSQKDSSSINKNYRSANGRFSTLHPIKEVDRDQRVGCSPVKPVDSSKDKKHAPSMSQALLRISVKNGLPLFTFAVDQTDGNILAAKVKNLGGSGKDECNRIYTFLTFSEVKKKNGSWMSKGSRSKGPDYVSHAVAQMKASDSHCLDLTGQNCVDSSTMKEFVLFSVKLGQGDTSQDTDYLPNDELAAIAVKIPQAISFVNDQHHGSCHNNSHDLVRATVVLPGGVHSLPSNGGPSSLLERWKSGGSCDCGGWDLACKLKILASDYQACRKPRSSKAYFADYEFDLFVQGNEQDLRPAFSLTPLENGMCSVAFDSSLSLLQAFAICIALVDSKMPCELSGSRNSIEEKNPKETLLVQTAKLKAFGKLENIHIPASYVSYPPVSPVGRV >RHN47516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40258002:40259927:-1 gene:gene42128 transcript:rna42128 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIMNKIGDALHIGGDKKEGEHKGEQHGHVGGEHHGEYKGEQHGFVGGHGGEHKGEQHGFGHGDHKEGHHGEEHKEGFVDKIKDKIHGEGADGEKKKKKEKKKHGEGHEHGHDSSSSDSD >RHN40787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21160702:21162939:1 gene:gene46997 transcript:rna46997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MSGDEESVTPKYTSVKHDYDTADKKTDSGKAPRFNGDPEEFSWWKTNMYSFIMGLDEELWDILEDGVDDLDLDEEGAAIDRKIHTPAQKKLYKKHHKIRGIIVASIPRTEYMKMSDKSTAKAMFASLCANFEGSKKVKEAKALMLVHQYELFRMKDDESIEEMYSRFQTLVSGLQILKKSYVASDHVSKILRSLPSRWRPKVTAIEEAKDLNTLSVEDLVSSLKVHEMSLNEHETSKKSKSIALPSKGKTSKSSKAYKASESEEESPDGDSDEDQSVKMAMLSNKLEYLARKQKKFLSKRGSYKNFKKEDQKGCFNCKKPGHFIADCPDLQKEKFKGKSKKSSFNSSKFRKQIKKSLMATWEDLDSESGSDKEEADDDAKAAVGLVATVSSEAVSEAESDSEDENEVYSKIPRQELVDSLKELLSLFEHRTNELTDLKEKYVDLMKQQKSTLLELKASEEELKGFNLISTTYEDRLKSLCQKLQEKCDKGSGNKHEIALDDFIMAGIDRSKVASMIYSTYKNKGKGIGYSEEKSKEYSLKSYCDCIKDGLKSTFVPEGTNAITAVQSKPEASGSQAKITSKPENLKIKVMTKSDPKSQKIKILKRSEPVHQNLIKPESKIPKQKDQKNKAATASEKTIPKGVKPKVLNDQKPLSIHPKVQGRKSKTSKTNPKGPMKIWVPKSELAKNAGVLKGKRETKVMVPRQRMFKAHDWRESFVPYPYNERWRRSEVWWQPNWKDHWYRYYW >RHN41152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27621351:27623797:-1 gene:gene47442 transcript:rna47442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MSSLTSLGVDQNSLSGTIPSNTGYSLPSLQYLFLNDNNFVGNIPNNIFNCSNLIQFQLNGNAFTGTLPNTAFGDLGLLKSFLIDDNNLTIEDSHQFFTSLTNCRYLKYLDLSGNHIPNLPKSIGNITSEYIRAQSCGIGGYIPLEVGNMSNLLQFSLSGNNITGPIPPTFKRLQKLQVLNLSNNGLQGSFIEELCEMKSLGELYLQNNKLSGVLPTCLGNMISLIRIHVGSNSLNSRIPLSLWRLRDILEINFSSNSLIGILPPEIGNLRAIVLLDLSRNQISSNIPTTINSLLTLQNLSLADNKLNGSVPKSLGEMVSLISLDLSQNMLTGVIPKSLESLLYLQNINFSYNRLQGEIPDGGRFKNFTAQSFMHNDALCGDPRLQVPTCGKQVKKWSMEKKLILKCILPIVVSVVLIVACIILLKHNKRRKNKNNVGRGLSTLGAPRRISYYEIVQATNGFNESNFLGRGGFGSVYQGKLLDGEMIAVKVIDLQSEAKSKSFDAECNAMRNLRHRNLVKIISSCSNLDFKSLVMEFMSNGSVDKWLYSNNYCLNFLQRLNIMIDVAYALEYLHHGSSMPVVHCDLKPSNVLLDENMVAHVSDFGIAKLMDEGQSQTLTQTLATVGYIAPEYGSKGIVSVKGDVYSYGIMLMEIFTRKKPTDDMFVAELSLKTWISRSLPNSIMEVMDSNLVQITGDQIDYILTHMSSIFSLALSCCEDSLEARINMADVIATLIKINTLVVGANTV >RHN55444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18465829:18470737:1 gene:gene30625 transcript:rna30625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SPX domain-containing protein MTFKKDFKQQMVPEWEKEYMDYECLKKILKEVKSSKKAKDRDNKHLQHKFSLERAFSGIHLQHGSNHQNDEGIGEQVIEVKTLEIDVDGSKELFETKLNEERGEAEARFLQKLDEELNKVNAFYKEQVEAVKHEATLLSKQVETLVALRVKVKNLDPGLQQIRLSGEDNMYQNHRQKDPTVDSEVDPVQQTNRSTHHEEEAHSNYNRRDPMEILEHVKIDDALQSPISTVKNVFTDSNDNNQLSFNKEELKKVEKQLRLVFVEFYQKLLHLKDYSFMNLSAFSKIMKKYEKNASRGASREYMRVVDNSYLGTSDEVNFLLEKVESTFIRNFSHSNHKKGRKLLRPKMKRERNRITFFTGFFSGCLVSLIAATILRIVSQQLMEKKVGTFYMENIFPLYSLFGYITLHMLMYAANTYFWRRYRINYPFLFGIRPGTELDHREVFLLTTGHAVVAVLCFLINLQLEMNQPNRSYKTAAELVPLSLIVLVILITFCPFNIIYRSSRFFFIRSLFRCICAPFFTVTLMDFFLADQLTSQFQSFRSFVLYICYYGLGEHSRRENKCRSRGIYNVQYFVVGVIPYWFRLAQCMRQLYDERDIDHAINGSKYLSTIIAMVIRTTFETKKAMTWKVWALISSAVAILLNIYWDIVKDWSLLQRHSKNPYLRDKLIVSHKSVYYIAMVLNIVLRISWMQLVLELHWKPLHRVAIITLISCLEIIRRGIWNFFRLENEHLNNVGNYRAFKSVPHPFSYHDDDGNDKDE >RHN42185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36222819:36229462:1 gene:gene48596 transcript:rna48596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein Line MVHSFNHVEIKKLTLNFSYVCDQLTAVFYSFPVRALKMSTAGDLRWLCRLVDDSLSPYTEPHAFVSANKENEKKILISSSQVMRKIQLRIHEFDSASNKEDFEQHCSVHHCLPKIVTKMVNLLTVKSEFVQHVAVNALVLTSEFLSTTGNSWAEFIHLLCCSLETAFTRMLSCSFENNNLDSRDVDFMLQHELRNCDWSTVAGIVKALRVICKHLKEDYDGLVKVYYDSVNSCLLKIPWNLLDECWSCDNGSRKKSLSVNELHLNNLGDTDPGIRFLGTFLQLLCTLVDRNNFEETDCDSASKHPLFVTVVNFIPRLVKWCLPRKEDNAETCIIHYMKHKLLILMIRLSSLLCLDCSDYFSWLEILHNHFQELLLQPLTQFMSDQGDCLEGSPFLSSLSDGEAYGVPSSHLQRQAIFLLLDCSVNLINQRGSMKNQSDCSTLSSLFTNNPDTELDHLHRKKGLLELYKWIQNHLPNEVSINYEMHPEICINFMSSFLQLYIREDDLLFEVLLQLLSISSCLQQLSGRKDAAYQDVKRDFPFDLSDIFNPVYLFHLFLSEIHYDHQVLLDYLISKDTGISCAKYLLRCMHLICNSWKLFVEFPLSGEFLNQSSCKRRKLLGDGIQFVADRTFSSVDKNGSILLHIKNFKEDSEYDLKHRNIEQFKKAAECLLSLNNSIGNLHQKSLFPYNPEVLLRRLRRFQELCCQEKGFEGQKVE >RHN45743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25169895:25172708:-1 gene:gene40133 transcript:rna40133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MAITLFPLRTFLQNSLINTKSSQLSCRFTSSLPNPFVILRDYKFSPQHNARNTKILHAHLLKTHYLQSGIFFMDSLIGLYCKSSDMVLAHKLFDTITQPSIVSWNVMISGYVRNSMFLKSLEMFCRMHLFGFEPDEFSYGSVLSACVALQASMFGLQVFSLVVKNGFLSSGYVQTQMVDMFCKNCNFSEALRFFNDASCDNVASWNAIISLAVKNGENQVALNLFSEMCRASLMPNSYTFPSILTACCALKEMQIGKGVHGLAIKCGATDVFVETAIVDLYAKFGCMSEAYRQFSQMQVQNVVSWTAIISGFVQQDDTTFALKLFKDMRQIGHEINAYTVTSVLSACAKPELIEEAKQIHSLVLKLGLILNVKVGAALVNMYAKIGGVGLSELAFSEMKNMKDPGIWASMLSSFAQNRNSGRALELFTVMLREGVKPDEYCIGSLLSIMSSLSLGSQVHSYILKAGLVTNATVGCSLFTMYSKCGCLEESYEVFQQAIVKDNVSWASMISGFVEHGYPDQALRLFKEMLYQEVVPDHITLISILTACADLRLLRTGREIHGSTFRLGLGTNTVVGGALVNMYSKCGSLSLARKVFDILPHKDAFACSSLVSGYAQNGLIEESFLLFHDMLRNDETVDAFTITSILGAASLLCQSDIGTQLHAYIEKLGLQADVSVGSSLLTMYSKCGSIEDCRKAFDDVEKPDLIGWTSLILSYAQHGKGADALAAYELMKSEGVEPDAVTFVGILSACSHSGLVEEAFFYLNSMIEDYKITPSHRHYACIVDILGRSGRLREAESFINNMPVEPNALIWGTLLAACKVHGDFELGKLAAEKVMGLEPSDVGAYVSFSNICADGEQWEEVTKIRSSLNKTGMKKEPAWSVV >RHN78317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14037626:14039138:-1 gene:gene1858 transcript:rna1858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MLVDLVGGYYDAGDNLKLGFPMAFTITMLSWSTIEFKDKLEKTNEYKNALSAIKWGADYLMKAHPQPNILYGEVGDPDSDHQCWQRPEDMSTPRNSYKIDEEHRGTDLAAETAAAMAAASIALLSEGSDYIISLHNHAKQLFDFANNSRGLYHDSIPPAAKVYSSSGFKEKTPGGLLWFQPWTNIQYVSTATFAMATYSKYLSKKQATLKCSEGDVSPSDLTSLVQSQMDYILGSNPRNMSYMVGYGSNYPKQIHHRGSSIVSIKDDNAPVTCQDGIQKWFNKNAPNPNILEGAVVSSDQNDGFTDSRNDFQLGEPTTTSVAPLVGVLA >RHN72815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10952942:10954604:-1 gene:gene8575 transcript:rna8575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MLSFNVFPTSSSSSVTFTKPNHHFSINASPNLLNRFHHESSKLSFKPISQIHHSTTKLSSFNRFLTHPFEFSPKPRNQILKAVSDEGEISQPINPKPKNLKKLALVFGFWYFQNIVFNIYNKKVLNIFSFPWLLASFQLFVGSIWMLVLWSLKLQPCPKISKPFIFALLGPALFHTIGHISACVSFSKVAVSFTHVIKSAEPVFSVIFSSVLGDRYPIQVWLSILPIVLGCSLAAVTEVSFNVGGLWCALISNVGFVLRNIYSKKSLQNFKEVDGLNLYGWITILSFMYLFPVAIFVEGSQWIPGYYKALEAIGTPSTFYIWVLVSGLFYHLYNQSSYQALDEISPLTFSVGNTMKRVVVIVSSILVFRNPVRPLNGLGSAIAILGTFLYSQATAAKKAKKIEGEKSS >RHN43499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46334189:46335626:1 gene:gene50089 transcript:rna50089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiolase, reverse transcriptase zinc-binding domain-containing protein MQKNYVPTCIAARKCKTIKAMASPTVSAPKREKDPKKRVVITGMGLVSVFGSDIDTFYNKLLEGESGISLIDRFDASSFPRLDNCWRYSLVAGKRALEDVNLGYEVLNKGVKKVSPLAIPYTITNMGGALLAIETGLMGPNYSISTACSTANYCFCAASNHIRRGEVDIMVVGGTEASIIPMGVGGLIACRALSQRNEEPKKASRPWDKHRDGYVIGVLKLLDGWEKAEEVDSWWWKFEEEGKFSVSSSYASLQRLVLPQEPLERTEEVVFDLLWKSPAPSKVVVFSWQLLFNRIPTKDNLLSRCILAPVSLGRCEFFEQAA >RHN42155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35877580:35882429:-1 gene:gene48559 transcript:rna48559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LIM family MGWLTKFLKGSNHKHSGRGYTGKYGHDRDSDNHDNAADDLNDFEREEIDRAIAISLSEVSEEDHKGKKVIEEDSESEDDELCPLDDEEDDHVGDVEQDEEDHVAKIQQEEDESLDEVQLEEDEQLARAIQESLSIDSSPPSQTDSIFQPFTNLFSPVYRICAGCNVEIGHGRFLSCMGAVWHPECFCCHACKLPITDYEYSMSGNRPYHKSCYKELHHPRCDVCKIFIPQNSAGLIEYRAHPFWLQKYCPSHERDGTPRCCSCQRMESTDTKYLLLDDGRKLCLECLDSAIMDTHECQPLYLEIQEFYEGLHMKIEQQIPMLLVERQALNEAMEGEKNGHNHHLPETRGLCLSEEQTVPTILRRPSIGAGYRVIDMITEPFRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLKGYGNLRPEVEEGICQVLAHMWLDSEIYSGSGSEEASSSSSSSSSSSSSPSSTSSKKGKRSDFEKELGKFFKHQIETDSSPAYGDGFREGNQAVLKYGLRRTLDHIRITGSFP >RHN42810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41178639:41179887:-1 gene:gene49302 transcript:rna49302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casparian strip membrane protein MASGNEEKIESGFNDVPETKPKKDWILLSLRVVAFLATVSATLVMSLNKQTKTFVVATIGSTPITVPLTAKFQHTPAFVYFVVANGIVSLHNLVMIAMYILGPKFHNKGLQLALIAVFDTMALALASSGDGAATAMSELGRNGNSHAKWNKICDKFESYCNRGGGSLIASFIGLILLLIITVMSINKLLKLNRN >RHN74750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36374025:36375669:1 gene:gene10859 transcript:rna10859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MGEGADEEEDLFNNLPDVFLTYIVSFLPATDAARTSVLSHRWKTMWKYSSRLSFDQREMLKSLIKVYIEKYDQNKRIELAMLRREIPLKYANAFLDPIARAALLMKSTIDNHIGPLKNCSIRHMLESCASGDVVRWMKKLLEKGVVNVSLELESHDLQNISCHLTIFGEILCMPFDVLTSFKVLELKNYYLKTTLSPNSHQVLNTLTLNNIRVESNDFENILSQCSSLENLTLKKCNLFGDGVKIDSPSLKYFKFFDMTVHKMLISATKIEVIEIGTIICYNEDLIFETPNLHVIRICNDVKNLGRHLATRDIVEICSGISVSFSSHVYNILG >RHN77811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9552626:9556027:-1 gene:gene1304 transcript:rna1304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MSWKILVNLIVLMILLFPLPCLTETNDQCLPSSCGNIQNITYPFRLQTDPENCGDPNYELSCENNQTILNLYNGKYFVESINYKNSTIRIIDSGLNKENCSSIPLYTLTNENFTTDKPYSLLDTNNLETIAFISCAASINSPLYMDTSSCNKGLINSSNYAVLGDLKISELEDSCSILVMVVTTSKLNNSGKNFSYVNIHQQLVYGLELSWHEIFCKECQGRGYCKLNNNNEVIGCSSSRPCSHDYIPSFKCALKELIHTVKDLTKGSHLLGALIGIILLIRIMGGLLFIPMYMAYKWRRRHLSAYDSVEEFLKSHNNLMPIRYSYSDIKKMTKGFKDKLGEGGYGSVYKGQLRNGHLVAIKLLGGKSRANGQEFINEVATIGTIHHVNVVHLIGFCVEKTKRALVYEFMPNGSLEKHIFSRERMGSLSSEKMFDIALGVARGIEYLHRGCDMRILHFDIKPHNILLDVNFTPKVSDFGLAKLYPTDNSIVSLTAARGTMGYMAPELFYKNIGGVSYKADVYSFGMLLMEMASRRRNMNESEDHKSQVYFPSWVYDQFSEGKEIEMGNGTEEEEKILKKMIIVALWCIQMKPIDRPSMNKVVELLEGDVESLEMPPKPFQTPKGMPVQGIGQLRNLPWLLPGDSTNSLTIVINRR >RHN39364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6459187:6463721:-1 gene:gene45380 transcript:rna45380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 9S-lipoxygenase MFSGITGIINRGEKLKGTVVLMQKNVLDVNELTSIKSNPVGGIIGGAIGGAFGAVGTVLDTATAFLGRNVALKLISATSADGSGKGKVGKQTFLEGVITSIPTLGDKQNAYSVHFEWGSDMGIPGAFYIENFLQHEFFLVSLTLEDVPNHGTINFVCNSWVYNDKKYKSDRIFFANKTYLPSETPAPLVYYRQEELKTLRGDGKGERKEWERIYDYDVYNDLGEPDSKPTLGRPVLGGSSTLPYPRRGRTGRKPAKKDPKSESRSGTVYLPRDEAFGHTKSSDFLAFILKSASQNIIPSLKSVVSKEFNNFEDVRSLYDGGIKLPTNFLSNVSPIPLFTELFRSDGASTLKFSPPKVVQVDHSAWMTDEEFAREMIAGVNPHIIKKLTEFPHKSKLDSQKYGDNTSTITKEQLEPNLGGITVEQAIQNNKLYILDHYDIVYPYLRKINATETKAYAARTILFLQNDGTLKPLAIELSKPHPDDDSYGPVSEVYFPASEGVEASIWLLAKAYVVVNDSCHHQLVSHWLNTHAVVEPFIIATNRHLSTVHPVHKLLLPHYRDTMNINSLARNVLVNAEGIIESTFLWGGYALEMSAVAYRDWVFTEQGLPNDLLKRGVAVEDPASPHGIRLLIEDYPYASDGLEIWAAIKTWVGEYVSFYYKSDAAIAQDAELQAFWKELVEVGHGDLKSATWWFKMQTRAELIEASTILIWIASALHAAVNFGQYPYGGYILNRPTKSRRFMPEKGSAEYAELSKDYQKAYLKTITPKSDTLTDLTIIEVLSRHASDEQYLGERIEGDLWTSDAQPKEAYKKFARKLAEIEEKLVKRNADESLRNRYGPVKMPYTLLYPSSEEGLTFRGIPNSVSI >RHN80844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41226932:41237178:-1 gene:gene4814 transcript:rna4814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SNU66/SART1 family protein MKETRTKKQSEISSWLNKSRKLEKERVLQLSKIFEEQDNIAVEGSDDEDTTHHTDHLAGVKVLHGLDKVAEGGTVVLTIRDQPILADGDINEDIDMLENVEIGEQKRRDDAYKAAKKKTGMYDDKFNDDPSSEKKILPKYDDPAAEEGLTLDERGRFSGEAEKRLEELRRRLTGGSTNNFEDLTSSGKVSSDYYSHEEMLQFKKPKKKKSLRKKDKLDINALEAEAVSSGLGIGDLGSRKDAKRQAIKDEQERLAAEMRNNAYQTAYAKADEASKLLRPEQSPYVKAEEDETPVFADDDEDLRKSLEKARRLALKKQEEKGASGPQAIALLASLNPSNENVDDQNAAAGESRENKVVLTEMEEFVWGLHIDEEARRPDGEDVFMEDDEEAPVPVEEKNDEAGGWTEVNETQIDEQPNSEDKEEIVPDETIHEVAVGKGLSGALKLLKDRGTLKESVEWGGRNMDKKKSKLVGIVEDEGKEAPNKKEIHIERTDEFGRILTPKEAFRIISHKFHGKGPGKMKQEKRMKQFYEELKLKQMKSSDTPSMSVERMREAQALNKTPYLVLSGHVKAGQTSDPKSGFATVEKDLPGGLTPMLGDRKVEHFLGIKRKAEQSSSDPSNSGTPKKSKS >RHN41587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31276267:31277884:1 gene:gene47923 transcript:rna47923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MGVKGFVEGGIASIIAGCSTHPLDLIKVRMQLQGENAPTTNIRPALAFQPGSVHRSPAVTAQPPRVGPIAVGVKLVQQEGVAALFSGVSATVLRQCLYSTTRMGLYDMMKKKWSDPISGTLPLTSKIGAGLLAGGIGAAVGNPADVAMVRMQADGRLPSAQRRNYKSVVDAISRMAKDEGVTSLWRGSSLTVNRAMLVTASQLASYDEFKEKILKNGWMKDGLGTHVVASFSAGFVAAVASNPVDVIKTRVMNMKVEAGSPPPYSGAIDCALKTIRAEGPMALYKGFIPTITRQGPFTVVLFVTLEQVRKLLKDF >RHN40016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12292327:12300772:-1 gene:gene46106 transcript:rna46106 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal recognition particle protein Ffh MATTTSASFSRLSIPPKSPSQPQFLIFTSPFQTKSPSSRTRFTCSAGETGLFTKLGRLLKEKAKSDVEKLFSGFSKTRNNLSVIDELLLYWNLSDTDKVLDELEEALLVSDFGPKITIKIVENLREDILSGKLKSGSEIKEALKKNVLDLLSNKGSKTELQLGYRKPAVIMIVGVNGGGKTTSLGKLAYRLKNGGAKILLAAGDTFRAAASDQLEIWAERTGCEIVVAESEKSKASAVLSQAVKRGKELGYDIVLCDTSGRLHTNYRLMEELISCKKAVAKVVAGAPNEILLVLDGTTGLNMLPQAREFNEVVGVTGLILTKLDGSARGGCVVSVVDELGIPVKFVGVGEGVEDLQPFDAEAFVNAIFL >RHN49557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55624006:55636341:-1 gene:gene44399 transcript:rna44399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDSSSGDRPKAAVNRANVAGENHIPRLKNQFNSKIEDAESNNRKRKIVAVTDLYNRSESELNGNSTRKKKKPIHQEKEGDFGKGLMDVYKVNKAKNGIEDTKQQEKEGSCNKVNKAKNGVEDTKQRGISKKAKKGESLMCHQCQRNDKSGVVFCSSCNRKRYCYECIENWYPGKTREEFKNVCPFCLGNCNCKACLREFPVLMDREIDASVKLQRLLYLLSKALPVLRHIHREQSLELETETKIRGKELQEIDITRTKLDESERLYCDNCNTSIYGFYRSCPNTGCSYDLCLVCCQELRKGCQPGGMEAGTSHEKFEEIFHHHGSTKNRSKIHRKRYDWESELAPTSFHYQADMFSPFPEWKANGDGNIPCPPKQRGGCGTALLELRRIYKANWVAKLLNNAEDLTRNYTPVDVDITEKCSSCQLNLLEGKINPEVRRAAIRDDSKDNFLYSPNTLDIFDDEIEHFQRHWMKGEPVVVRNVLAKTSGLSWEPMVMWRALRETGSKAKLKEETQSVKAVDCLDWCGVEINIHQFFQGYVKGRMHKDKWPEMLKLKDWPSSTSFEERLPRHGAEFLAALPYMDYTDPKSGLLNFASKLPAGSLKPDLGPKTYIAYGFSEELGRGDSVTKLHLDVSDAVNVLTHTNKVNIAPWQRESINKLKKGYDKEDYSDLYCEASANVDGKSKSKALDHDQKAENEVNRITRSSQVDQCISSISEDWCGKLESRNTIQCDDNGKGSCTYRMRINFSDGNVSSDPKIESKQGMGRDSLDIDNGAEAVLGGAVWDIFRRQDVPKLIEYLRKHKKEFRHINNEPVDSVIHPIHDQTLFLNERHKKQLKREFNVEPWTFEQHLGEAVFIPAGCPHQVRNRQSCMKVALDFVSPENVEECLRLTEEFRLLPKSHRAKEDKLEVKKMTLYAVSSAVRQVKELTMANEKVGSV >RHN62638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44861119:44862161:-1 gene:gene25233 transcript:rna25233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MKKEEAKVEIEDDRVLQISGKRSVEKEDKNDQWHPVERSSGKFMRRLRLPENAKMDQMKAAMENGILTVTVPKKEIKNHEVKTIDISADGEMTSKVDCEVLVWLFSKARVLEDTE >RHN49693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:344611:346164:1 gene:gene33898 transcript:rna33898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MIMLTIPLTVAVTLILTLFLFAYHQTKCLKTPAFTNWPFVGMLPQFLWNISDIHDFSAKLLTSKGGTMEFIGPWFTNMYAVITNDPLNVHYIMSKRFDNFEKGERFRETFQPFGLGIFTTDSHQWKYNRNLLQYLFKQRSFEAFQEKIIHNKVEKSLIPLLNHVQQQNGSVVDLQDVFNRFTFDNICSIILGHDPNCLSIDFPEVVCEKAFNQAEESIFYRHTVPACVWKLQSWLQIGEEKKMTEACKVFDQFLYSCIASKCEELKKNGNKNEINGQSNDAHHVDLLTALIREENSKGSESESIGNKFLRDAAFNLFVAGRDTITSALTWLFYLVATHPLVEAKIVEEIKENFGHKEKPWILSVDEVKKLVYLHGAICEALRLFPPIPFERKQAIEADILPSGHHVFPNKMVFFSLYSMGRDANIWGEDCLEFKPERWISERGGIVYAPSYKFFSFNAGPRTCLGRELALIQVKMIAASILWNYCVHVVGDHQVTPSLSIVLLMKHGLKVKISKRGI >RHN62744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45599101:45599367:-1 gene:gene25349 transcript:rna25349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLGMNSLAFDIGKVGLSKHLETVDLRNNKIYGTLPKGLRKLKFLSEFNVSYNSLCGEIPIGGELQRFDEYCYAHNKCLCGSPLQPCNT >RHN81968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50207645:50216582:1 gene:gene6074 transcript:rna6074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin reductase (NADPH) MKEIQQQQHHHKLLILYATQTGNALDAAERLAREAERRACPINLLSLHQYEPNLLPQEEAVVFVVSTTGQGDSPDSMKVFWRFLLQRSLSQHWLKGVHYTVFGLGDSGYQKYNFVAKKLDKRLKDLGGTTILERGLGDDQHPSGYEGTLDPWLSSLWRMLYTIKPEFLPNGPDVSIQDTALIDQPKVQITYHNIESHFSTASDTGSVRSMHPGKSSSDRSGHPDCFLKMVKNLPLTRPNCGKDVRHFEFELESHAIEYDTGDVLEILPGQDSAAVDAFIRRCNLDPDAFISVSPRGMDDCNGHGSRIPVKLKTYVELTMDVASASPRRYFFEARCCMFVMSFFATAEHEKERLQYFSSPEGRDDLYQYNQKERRTVLEVLEDFPSVQMPLEWLIQLVPMLKTRAFSISSSQSVHPNQVHLTVSVVSWTTPYKRKKKGLCSSWLATLDPRNAVGIPAWFQKGSLPTASPSLPLILVGPGTGCAPFRGFIEERALQSKTISTAPIMFFFGCWNEDGDFLYKDLWLNHSQNNGVLSEANGGGFYVAFSRDQPEKVYVQHKMKEHSGRVWNLLADGAAVYIAGSSTKMPTDVTSAFEEIVSKENEVSKEDAVRWIRALERCGKYHIEAWS >RHN51699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23062398:23063512:-1 gene:gene36199 transcript:rna36199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MCVNIYFILFYLSRYMIKVRVIDETDSATFVIFDRDATLLLKKTAADVIESMGSDESGTLPKEISSLVESTFLFKVETNISTDARFEKSYRVRRISNNVDLLKRFTGVPTEAPVVEGSFEAVENAENDSSVNQNLLKKFGDSKVIGTKDVDAEKAVDLTNDEVDVTPAKRSCPFDGEGSGGNGPVKLLKNIKVEKDKKFCFSFCFHGLVVLNFVSEPFSFFLMHCFLLFRHAPDCKTFG >RHN70995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55706772:55708832:1 gene:gene19635 transcript:rna19635 gene_biotype:protein_coding transcript_biotype:protein_coding MHASAAGSITLKLSINNLNPFSDDLDSMILDDLDVLEVEEREKVVRHMWDVYTRSHSNSIGLPQFWLEAFEAAYEHLVSDVPAVRDAAVSEIAKDVTGTALTHFTPTSSSTSITTAAGDQENLSQAKSQRELDHYEDRAFQNNPFPSL >RHN66785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18696195:18699670:1 gene:gene14866 transcript:rna14866 gene_biotype:protein_coding transcript_biotype:protein_coding MLELEGLCTLCIVNGFAWSVKTKALLCKFAIIKGGIPYSCSLEMFRTLTFRSSSLGLFEGALVLGMLEVEGTPVVNGSIIVPISGRVI >RHN64106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56608310:56609443:-1 gene:gene26888 transcript:rna26888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycoside hydrolase family 18, catalytic domain, glycoside hydrolase, catalytic MFSKHFSIFLVFLFLTSYSIADTTSWVKSGYYFSSNEIEASEIKSTLFTHLLCAFAFINSTDYSIFINDSEYYRFASFTRRVKLQNPSVTSLLSIYTGGQNSSLFNSLINQSSYRKSFIGSSIRTARQFDFQGIDFCGAVPKQGKDLVNFATLLKEWRVAITSEASNTKRSELVLVMTGYYLKASDSLSYPFESMQKNLDWVHFAAYDYYLPKRDSVTRFHAALYGSSDWENTDSGIKEWRKRGFSSNKLVIGLPYHGYAWTLVKPGEGGVGRPTSGPAFTMDGSMSYKFIKSYIRGFGDGVVSRYNDTFVVNYFTVASTWVNFDDVEAIKEKVSYAKKNGLLGYSVFQVGNDDNWVLSTAGKFLVPSLNCFSVLNI >RHN81891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49602556:49605800:1 gene:gene5987 transcript:rna5987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdenum cofactor sulfurtransferase MQTSLNQKPVSQGCCPTLLFIKSSQQSHNNSTTKPRNSSSHCRQTFASTSSSIFPNTKFTNHESLPSLHESFTEFIKVYPQFSETEKIDSLRAKEYYHLSFLNQSCLDYIGIGLFSYYQRQQHDASKTQFSSPSTSTPFQSPQQYSDIPFFSISYKTGNLKTLLLHGGKESEFESAMRKRIMKFLNISENDYFMVFTANRTSAFKLVADSYPFQSCKKLLTVYDYESEAVEAMISTSENRGAKSMSAEFSWPRLRIQSTKLKKMIVSDNSKKKIKKKNGLFVFPLHSRVTGARYPYLWMRTAQENGWHVLIDACALGPKDMDSFGLSLFQPDFLICSFYKVFGENPSGFGCLFVKKSSISILESSTCAGIVNLVPESTQFNLSEDSSCNNQVGIGQKSPSILQEQELSALSSFSGRMQTPQFVKVEADPKAPLGSETMEARVVENNQVKTVQDSKNESFNIECRCLDQVDSLGLTLITNRGRYLINWLVNSLLKLKHPNDEGVPLVKIYGPKIRFDRGPALAFNVYDWKGEKVEPVLVQKLADRNNISLSYGFLHHIWFADKYSEGKGRVLQTKEGRGEKVMVNKKKDRDDLGVTVVTAALSFLANFEDVYKLWTFVARFLDADFVEKERWRALSCLQLSPTSVRWDWSSRLIGL >RHN45339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17050882:17051543:-1 gene:gene39618 transcript:rna39618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S4/S9 MSRYRGPCFKKIRRLRALPGLTSKGPTVGSELRNQSRSSKKSQYRIRLEEKQKLCFHYGLTKRQLLKYVRITGKVKGSTGQVLLQLLEMRLDNILFRLVNGRIVDIPSYRCKPEDIITAKDEQKSRTLIQNSLESAPHEELPIHLTLYPFQYKGLVNQILDSKWVGLKINELLAVEYYSRQTYIYIYIRLTTIKKLIKLK >RHN56181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28565513:28565772:-1 gene:gene31542 transcript:rna31542 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYPSLPHVFFFCLLAVPPVLLAGCTPCLLAAPPLIHVDILMFFACLITFLCLST >RHN47986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44051416:44054995:1 gene:gene42651 transcript:rna42651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-3-methyladenine glycosylase II MSFPRSIPTTSSTPESSSLDSFRYHSNTVVMTPKPQRFKRVAKPPTTPPRKTRRSILPNPSSSSEPHISFENTKPLPREFFQIDALDLAPRLLGKFLRRDDVVLQITEVEAYRSNDSASHGRFGITPRTAPVFGPGGHAYVYLCYGLHTMLNVVADKEGVGAGVLIRSCAPICGLDVIQQRRGQKTDKPILLTGPGKVGQALGLSTEWSNHPLYTPGGVELLDGPEPENILVGPRVGIQFALPEHVDALWRFAIADSAWISAPRNTLRPPL >RHN71731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2302926:2303894:1 gene:gene7363 transcript:rna7363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MVNLCSEFLNGGTDTTSTSLQWIMANVVKYPEVQGRLVEEIREVMGGDENGEKEEVKEEDLQKLRYLKCVVLEGLRRHPPGKFPLPHAVKEDVVLDGYLVPKNGTVNFLLAEMALDPRVWEDPLEFKPERFLKDETFDITGSKEIKMMPFGAGRRICPGLNLALLHLEYFVANLVWNFDWKVPEGGHVDLTEIQEFTMVMKNPLQVHISPRI >RHN59062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7233075:7233503:1 gene:gene21047 transcript:rna21047 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRNLKSMMLFIVYYSSLENITSEGLQGVLIINYTKRTIQVYKRDTLTSFEDVDLQSITSNLEPGNKVKIMVVFGEGFIVEKTTTSLLYDKPTEEEDVIVYREEDNNVCAYGRDNIDVPADNNATGAGQDENISEDKNGMQ >RHN69531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44181139:44182122:-1 gene:gene18011 transcript:rna18011 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEQEKMQFLGLFGVYKESYKLMFSWRKLLSQITLILIIPLTFNFLIQIELSDFVLGKILHHSNQMTNHHLDTAQPQNLTEIITKEWAIFFLIKIAYFILIFSLYLFSTSAIVYTVASIYTGNKDIAFNKVMSVITNLSKRLMVTFLCTLTAGFFYNIIAMVIAIIFALTFGVRNGGSVAGFIIIGILYFVGLVYLNVVWQLANVVTVLEDSYGFEAMMKSKELIKGKMGLSIFITLQFNFCFFVIRFLFGMLVVNGWKWFELSLMSRITIGFVCFLFLSHLFLLILIMQTVLYFVCKSYQNESIDKCSPSLEHVKVHQGEYVVVV >RHN55678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21030849:21036507:1 gene:gene30898 transcript:rna30898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BSD domain-containing protein MEDFWKRAKTFAEEAAKKSQSLTTSSATSRIADLVSETAKKSKELAAEASKKADEIKSAALRQADQIKSFSDNISIPPQFSAIAAAATSSTVAPPVENLERFGITDDLRSFVQGLTSTTFKHFPVNSDESESEGSDVATSNVRKDLNEFQEKHATLVLTTVKEISRLRYELCPRAMKERHFWKIYFTLVNTHVAPFEKQYMEELREAAKKSEDAKVEQSDVSGGSGKAEVTGKIVSKSSNSSSTEQDLDTFLLGDLEDSDEAPDDGEGSFDDDFDKIESSDVEDEKHAKKTSAATV >RHN64407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58814749:58816808:1 gene:gene27223 transcript:rna27223 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTEDSKDKVEEKEVIVLSDEDDEGDDSAEEVDDGDDDGDEDDDGDDDGDEDDDEEDDDDAPGGDDDDEDEDDDDEEAGAGERGGDPDDDDDDDENDDDDDEENDEEEDLGTDYLVRPLGNAEEEEASSDFEPEENGEEDEGADEDDDNEKAAEAPPKRKRSDKDDDSDDDDGGEDDERPSKK >RHN52947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40654905:40656406:-1 gene:gene37689 transcript:rna37689 gene_biotype:protein_coding transcript_biotype:protein_coding MFITFVIHRSNTLYSFNQVLLDPIEIKDSGKSYGTRFVNETKNHWGGVTKTNVIYFGDEYDGNLIVVEQKRKRGVEKSGAVRVAHYYAIFNENILLRDRGFPSCKVDAGLSVEVVITVGPSEHPSKKRNCFKCFNKYLQQGFGSGRHALIVVVVTERRQHYSENRQLVEIEPETETETETENEEGINNCNVFAKYLNIFRFGSTKERGRYFNGINGWKKKLRNRKEGIDFNKGKV >RHN46110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28634366:28637448:-1 gene:gene40550 transcript:rna40550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PHAN MSDMKDRQRWRAEEDALLRAYVKQYGPREWNLVSQRMNTPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQRLVISLQATHGNKWKKIAAQVPGRTAKRLGKWWEVFKEKQQRETKGSINRTVDPINDSKYEHILESFAEKLVKERPSPSFVMAASNSSYLHTDAQAPTPGLLPSWLSNSNNAAPVRPNSPSVTLSLSPSTVAAPPPWMQPVRGPDNAPLVLGNVAPHGAVLSYGESMVMSELVDCCKELEEVHHALAAHKKEAAWRLSRVELQLESEKASRRREKMEEIEAKIKALREEQAVALDRIEGEYREQLAGLRRDAETKEQKLTEQWAAKHLRLTKFLEQVGCRSRHAESNGR >RHN44207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2413522:2416173:1 gene:gene38297 transcript:rna38297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-dehydro-3-deoxy-phosphogluconate aldolase MSTPGVFEVLQQLVKEHPRITLGVGTVLRIEDAKTAIKAGAKFLMSPANVKDILNYVQGGDILYIPGTMTPTEILSAYDAGAKMVKIYPVSALGGFQYIAALKKPFPHVSMVASQGITIGSFTFSSIELYTFE >RHN41991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34596053:34597097:1 gene:gene48373 transcript:rna48373 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSLSSNSPSLINIILTRRSSSLPSTRHNRFLSFQPSTSLTFHSIICSSSSSTNTNTDDDEKDSVQAPTPTPAEPVELRLRRRSKRQARKQRENGTLTNSIQQPKAKAAPKKWEDMSFTEKALELYVGEKGALFWLNKFAYASIFIMIGLWIAFRFVGPALNIYQLDQPLLSPEDVLKGSS >RHN62516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44007108:44007688:-1 gene:gene25103 transcript:rna25103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MRFGFFLELPPYLLINILHIFSLNCVDYLVVIYFLVINCRKNECPVCRTHCPDQLSLRDDPNYDALIALLCPDIDKFEKEEEEEEEEEEYFVEEDFVARSYILALPANPRLYNEEPVVDDDNDVADELVVEEDNSDDDSSNST >RHN75489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42657380:42658843:-1 gene:gene11707 transcript:rna11707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MTKECDFHGDERRQLIRRIFAAILSFIILILFVIFLIWIILRPTKPRFILQDATVFAFNLSSTGETPSSTTPTPNTITLTIQVTLSSFNPNSKIGIYYHKLDAYASYRGQQISLATELPQTYQGHRDVAVWSPILYGAAVPVSPYLSEILRQDQTSGGVLVNVKVNGRVKWKVGTWVSGRYHIDVNCPAFIKVAGDKGDDGFGVSAPAVKFQFLQSCVVDV >RHN51392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17143978:17144393:-1 gene:gene35822 transcript:rna35822 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPIEIHVMLRSGYSEAEPRRSDFFYEYENGRKSGVMEIDGSYNRRLFSLRESDFKGMDESRFIDLKLDYSSASKQQMQISPPMQKWQIHSAFGRKKKIKYKEINYIFFLILLIFYFYFSFI >RHN76896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2199961:2209315:-1 gene:gene284 transcript:rna284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MESNEVSRVDSLRRASSSNIWRNNSMNVFSTSEREDDEEALKWAAIERLPTYLRIRRSIINNEEGEGREIDIKKLGLTERKVLLERLVKIAEEDNEKFLLKLKERIERVGLDIPIVEVRFEHINVEAQVYVGGRALPSLLNFYANVLEGFLNYLHIIPSPKKPLHILQNVSGIIKPQRMTLLLGPPGSGKTTLLLALAGKLAKDLKQSGRVTYNGKGLDEFVPQRTSAYISQHDNHIGEMTVRETLAFSARCQGVGHNYDMLTELLRREKEAKIKPDPDVDAYMKAAALEGQEASVVTDYILKILGLEICADIMVGDGMIRGISGGQKKRVTTGEMLVGPIRVLFMDEISTGLDSSTTFQIISSIRQSIHILNGTALVSLLQPAPETYELFDDIILLTDGQIVYQGPRENVLEFFESMGFKCPERKGVADFLQEVTSRKDQWQYWANKDEPYSFVTVKDFAEAFQIFHIGQKLGDELANPFDKSKCHASVLTTKKYGVNKKELLKACASREFLLMKRNSFVHIFKVTQLIYLAIMTTTLFLRTKMHKDTVEDGGAYMGALFFTVTVAMFNGISELNMTLMKLPVFYKQRDLLFYPSWAYSLPPWILKIPIALIEAVIWEAITYYAIGYDPSFVRLLKQYLVILLINQMATSLFRLMAALGRDVIVASTVGSFALLVVLVLGGFVISREDVHKWFLWGYWSSPLMYGQNAIAVNEFLGHSWRKVTHNSNETLGVLVMKTRGFFPQAYWYWIGVGALIGYVFLFNFLFTLALQYLNPFRKDQAGLSEEELLERDASTAVEFTQLPTRKRISETKIAEEGLMPSRSFSARVSKDKTSISGRRGMVLPFQPLSLTFDEIRYAVDMPQEMKNQGVSEDRLELLKGINGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGNITISGYPKNQKTFARISGYCEQFDIHSPNVTVYESLLYSAWLRLPPEVDQATRKMFIEEVMELVELNSLREALVGLPGETGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLMKLGGEQIYSGPLGRHCAHLIHYFEAIEGVPKIKDGYNPATWMLEVTSAGSEANLKVNFTNVYRNSELYRRNKQLIQELSIPPQDSKELYFDSQYTQTMLSQCKACLWKQHLSYWRNTSYTAVRLLFTTLIAFLFGIIFWNIGLKRRKEQDLFNAMGSMYASVIFIGVQNGASVQPVIAVERTVFYRERAAGMYSALPYAAAQVIIELPHILVQTLVYGIIVYAMMGFEWTASKFFWYIFFNYFTFLYYTFYGMMTMAITPNPHVAAILSSSFYAIWNLFSGFIIPLSKIPIWWKWFYWVCPVAWTLYGLVTSQYGDNMQKLENGQRVEEFVKSYFGFEHDFLGVVAIVVVSFSVFFALIFTFGIKAFNFQKR >RHN56040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27093550:27097433:-1 gene:gene31362 transcript:rna31362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MNTPSFTFVLYTLFLTLSVFVSSSSSSLPMSLKTQASILVSLKQDFESKTSLKSWNISNYMSLCTTWYDIQCDTNNSSVLSLDISNLNVSGTFSSSITKLSNLRFLNISNNMFNGNLSWKFSHLKELEVLDAYNNEFNCSLPLGVTELPKLKYLNFGGNFFYGEIPSKYGNMLQLNYLSLAVLSPPEGLAMV >RHN64357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58456101:58456487:-1 gene:gene27168 transcript:rna27168 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDRSQATATRLESNFNLHSPLPVQAPAADFLNGDSQKLLGVTNNTNIKLKGDGNGVFTLGNFE >RHN58678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3873686:3875908:-1 gene:gene20610 transcript:rna20610 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSYNNNNNNSEVNIDMNPESASSGTSYGILQRWKREDSLKRASLGLRGVTLFFSLTSFLLMASNKHGYGENFDHYQEYRYLLVVAFFTSIYTGSQVYRQIHELITGNNIFRPTTAAVIDFVGDQVIAYLLISSASTAVPLTDRMRENDDDAFTDASAATITMSFFAFTFLALSAIISGYKLSALT >RHN45546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22768510:22770171:-1 gene:gene39910 transcript:rna39910 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDFLRSTITQQQFVTEKLKRGGKSKREEINVMKMVICVIML >RHN45998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27640367:27640903:1 gene:gene40431 transcript:rna40431 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIGNGSSKGGRQISVKVVRRKSTGEILFAEARDDFINFIFSFLTFPLGGVLHMLQGFSSLNCIDNLYKSVTELSPETYLISNHLKEKLINPPIAAQFGLDNQIIPIGVASPPFYDCHSYILKGQYTRALTAMHMRNLSYPDERYVLLNLVDPKLSASNTIKSWRIRKRTISIHGDS >RHN42620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39764136:39764580:1 gene:gene49079 transcript:rna49079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MAKLVEPPYKVKPKGKHYYSISKILFEVDMKYVPIKAIGRGANGLVCSSINKDTNEKVAIKKISNIFDNCVDSMKTLREMMLLRNIRHENVIVLKDL >RHN66499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13062289:13066412:1 gene:gene14501 transcript:rna14501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Type 1 galactoside alpha-(1,2)-fucosyltransferase MKKFHRNVPVFTSTRLFASLFLLTLFLFSLSFILPSSPLSSTTTPPTSLLHLKQNQQLGLKSDASDSKVFPNDKLLGGLLASGFDDRSCLSRYRSVKGLSGNPSPYLISRLRKYEALHKKCGPYTESYNKTVKDLNSGHLSESPDCKYVVWISFSGLGNRILTLASAFLYALLTNRVLLVDPGVDMVDLFCEPIPDVSWFLPPDFPLNSQFLSLNQKSDQCHGKMLKNKSVTNSKVPSSVYLHLAHDYDDEDKLFFCDEEQQFLQKVPWLMMKTDNYFIPSLFLMPSFDQELSNLFPNKDKVFHFIGRYLFHPTNKVWGFVSRYYQAYLANVDERVGIQIRVFDTRPGPFQHVFDQILACTLKENILPDIDKKHDNIGSLKNLKSKAVLMTSLNSGYFEKVRDMYWEYPTVTGEVIGVYQPSHEGYQQTEKQIHNQKAWAEMYLLSLTDVLVTSSWSTFGYVAQGLGGLKPYILYKPENETAPNPPCRRAMSMEPCFHAPPFYDCKAKRGTDTGALVPHVRHCEDMSWGLKVVDNNSASY >RHN79067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22063819:22064299:-1 gene:gene2763 transcript:rna2763 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQIGHIQAKNHDTFSKIFCTLFLDQNTHYLQNKKEMKIHIHNQQNIHVISNIFTMKLHTNHPHHMPTFYEKIYHKNTQT >RHN40960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25017071:25017638:-1 gene:gene47211 transcript:rna47211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipid-translocating ATPase MLFLFHPKSLFEKFRRVSNFYFLVCAVFDFLFCCSLLLLLAPLLVMAATMANEFIDDFRRKLKYRVLFSVMQMQIDHV >RHN74362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32208972:32209190:-1 gene:gene10413 transcript:rna10413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II PsbH, phosphoprotein MATQTVEDSSRSGPRRTVVGDLLKPLNSEYGKVAPGWGTTPLMGIAMALFTIFLSIILEIYNSSILLDQISN >RHN73264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14917744:14922314:-1 gene:gene9061 transcript:rna9061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MKVSILFLLCFFSCVLLVLCHDNDKTTLNVLLEVKSSFTEDPENVLSTWSENNTDYCTWRGISCDSVSRDIVRLVLSNSKLTGSISPFIGLLQNLTHLDLSSNHIVGPIPPSLSKLTKLESLLLFSNQLTSQIPAEFGSLVNLRFLRLGDNQLSGEIPSSLGNLVKLVTLGLASCKLNGSIPSQLGRLTELKNLIIQDNKLTGSIPSELGNCSSLINFTGAENELNGTILSQLSRLRNLEILSLAKNTLTGELPSQLGEMCKLKYLNVMENKLEGHIPISLSQLGNLVDLDLSTNKFSGEIPREFTNMSRLQSLVLSGNPLNGNIPKTLCYKSKSLEHLIISRSGLHGEIPSELSQCKSLKQIDLSNNYLNGTIPLEIYGLVNLTEILLYNNSLVGSISPFIGNLSNMHLLALYHNKLHGALPKEIGRLGKLEILYLYENQFSGEIPMEIGNCSELQMVDFFGNHFGGRIPITIGRLRQLSFLHLRKNDLVGEIPATLGNCHKLSVLDLADNNLSGGIPATFGYLKDLKQFMLYNNSLEGGIPQQMVNVANLTRVNLSKNRLNGSLAPLCSSRDFLSFDVTGNVFDGEIPSNLGNSFSLNRLRLGGNKFSGEIPRTLGKITELSLLDLSGNSLIGPIPDELSLCNKLASIDLSNNLLVGQVPAWLGNLPKLGKVNLAFNQFSGPFPLGLFKLPMLLVLSLNNNSLDGSLPDGLDELESLNVLRLDQNNFSGPIPHAIGNLRNLYELNLSRNVFSGDIPDEVGSLQNLQVALDLSYNNLSGQVPFSVGTLAKLEALDLSHNQLTGEVPSNIGEMSSLEKLDISYNNFQGALNKRFSRWPYEAFVGNLHLCGASLGSCGASRNRLSRLSEKSVIIISALSTLAAIALLVLAVKIFLRNRQELLKKGSELECVFSSSSSQVQKRPLFPLSTGGRREYRWQEIMDATNNLSDEIIIGSGGSGTVYRVELPTGETVAVKKISLKDEYLLHKSFIREVKTLGRIKHRHLVKLVGCCSNRHKGNGCNLLIYEFMENGSVWDWLHGNALKLRRSLDWDTRFKIALGLAQGMEYLHHDCVPKIIHRDIKSSNILLDSNMDAHLGDFGLAKAIVENLDSNTESTSCFAGSYGYIAPEFGYSLKATEKSDVYSMGVVLMELVSGKLPTDAAFRGGVDMVRWVEMHINMKGTEREELVDPELKPLLPYEEFAAFQVLEIAIQCTKTTPMERPSSRQVCDLLLHVS >RHN80132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35426834:35430435:-1 gene:gene4019 transcript:rna4019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative G-protein gamma MASETASSADEEKETLVVSVSADGATDKRGKHRILAELKRLQQDTKFLQEELDELEKTENVSAICKELLQNMDSRPDPLIPEVHGPVNLLWDRWFEGPQDPQACRCWIL >RHN59076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7313566:7316156:-1 gene:gene21063 transcript:rna21063 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDGDIQERMREGNTSRENPSKPHKEIYPMLFNLTIENGTFFYGSLIRNQGNQRLHLFYVLLQFVNHSTSRKNQSF >RHN54488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9960640:9962044:1 gene:gene29540 transcript:rna29540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MTRPLRILLSMETTPTTVVVAPPPEAVAIESDFVVILAALLCALICVVGLIAVARCAWLRRDSGAGNSPQQALANKGLKKKVLQSLPKFSYVDSNPGKWLATTECAICLSDFAAGDEIRVLPQCGHGFHVACIDTWLGSHSSCPSCRQILAVTRCQKCGRFPANGGEATGVTVSEPEMKSREDSNVGANSNSGGVSSCSNNSAHHNHSGNSGFLP >RHN40372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15714287:15715067:1 gene:gene46519 transcript:rna46519 gene_biotype:protein_coding transcript_biotype:protein_coding MWQVWKRLLRMLLLRCNKRMLLEFQIQFTGHPLLLNRSTWSLSQLQNFENSDACFNYAFGLV >RHN73977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22971438:22975939:-1 gene:gene9892 transcript:rna9892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MTLTLKGGSRPPWVGLGAAVWVQIASGNTFTFPLYSHSLKSVLGFNQRQVTLLGVANDIGENVGLLPGIACNKFPPWLILCVGAFASFIGYGVLWLAVSQTLTNLPYLLLWFALVIATNSSAWLTTAVLVTNMRNFPVSRGKVAGILKGYGGLSAAVFTEIYSLLLHNSSSTFLLFLAIGIPVVCFCMMFLVRPCTPALDEDSTSNSHFIFIQCSSVVLGVYLLATTIFGNLLSFSVTVSYILVAVMIFLLMAPIAVPIKMTLYPKSASNSEQQLGSSDSLGQGKDDKIEPLLGSSSTGALGSSNDQDNSSEIAMLLALGEGAIKQKKRKPKRGEDFKFTEAIVKADFWLLFFVYFVGVGTGVTVLNNLAQIGIAQGEEDTTTLLSIFSFCNFVGRLGGGVVSEHFVRTKLIPRTFWLTCTQTVMLLVYLLFAFAVNGTLYPAVAFLGVCYGVQVSIMIPTTSELFGLKNFGVFSNIMSLGNPLGATLFSALLAGSIYDKEAAKQHGINLLASGVSCVGASCFKLTFFILSGVCAAGIILSIILTLRIKPVYQMLYAGGSFRLPQTTSSR >RHN70926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55111771:55117166:-1 gene:gene19561 transcript:rna19561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MSRDQFQKNSMYIETPEAFTDGSKNFDDDGRAKRTGTWVTASAHIITAVIGSGVLSLAWAIAQMGWIAGPAVLLAFSFITYFTSTLLADSYRSPDPVHGKRNYTYSEVVRSVLGGRKFQLCGLAQYINLIGVTIGYTITASISMVAVKRSNCYHKQGHDAKCYISNNPFMIIFACIQIVLSQIPNFHKLSWLSIVAAVMSFAYSSIGLGLSIAKVAGRGPAVRTSLTGVQVGVDVTGTEKVWRMFQAIGDIAFAYAYSNVLIEIQDTLKSSPPENQVMKRASLIGILTTTMFYMLCGCLGYAAFGNDAPGNFLTGFGFYEPFWLIDLANIFIAVHLIGAYQVFCQPIFGFVESKSKEKWSNSQFVNGEHAVNIPLCGTLHVNFFRVVWRTAYVVITALIAMIFPFFNDFLGLIGSLSFWPLTVYFPIEMYIKQSKMQRFSFTWTWMKILSWACLIVSIISAAGSIQGLAHDLKKYQPFKAQQ >RHN45893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26563174:26563811:1 gene:gene40317 transcript:rna40317 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYSIVLLLLEMMMVTTFVGAGKSNMFGYPDQYPVDPEDDWIDLLYDPAEMKARLLPIYDPEEDLSDFDSLH >RHN56169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28468238:28471971:1 gene:gene31528 transcript:rna31528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 14-3-3 protein MATAPTPREEFVYMAKLAEQAERYEEMVDFMEKVTAAVESEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDEHVTVIRDYRSKIEAELSNICNGILKLLDSRLIPSAASGDSKVFYLKMKGDYHRYLAEFKSGAERKDAAESTLTAYKSAQDIANSELPPTHPIRLGLALNFSVFYYEILNSPDRACGLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGADEIKEAAPKGADEQ >RHN55864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24835518:24836999:1 gene:gene31141 transcript:rna31141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exostosin MESSVNTQILLFFSYNKISLFLSLFQNHHREKETLTFNLKRPKREKMNMLMLKKSLVKHWFVIFISPFILCVSCLILSKYFMKGVSPTFHAPKKLDPCLGQYIYVYDLPSRFNDDLLKGCNTLIKWENMCPYLSNLGLGPKIIEESNETVISKKNWYATHQFSLEVIFHNIMKDYKCLTNDSSLASAIYVPYYAGLDVGRYLWGGFNISIRDESPNQLVKWLAQQSQWKRMYGKDHFMVGGRVGYDFRRGSDKDEDWGTKLMFLPEASNITILLIESCADKEFPLYENEFAIPYPTYFHPSNDDEIFEWQRKMRNRKREYLFSFVGAPRPNLTSSIRNELIDHCQSSKSCKLVGNHFGDPVHVLDVFQKSVFCLQPPGDSFTRRSTFDSILAGCIPVFFHPHSAYKQYMWHFPKNNSSYSVFIPETDVKRKRVMINETLFNVQESEVLEMRDEVIRLIPKIVYRYPSSRLETLDDAFDVAVKGVLQRIEEMKR >RHN63931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55120281:55126044:-1 gene:gene26688 transcript:rna26688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MAVSDGVVKKVILSYTYVAIWIFLSFTVIVYNKYILDRKMYNWPYPISLTMIHMAFCSSLAYVLVRVFKLVEPVSMSRDLYLKSMLKALMPVAVYSIGVLFKKEGFKNETMANMISISLGVAVAAYGEAKFDTWGVTLQLMAVAFEATRLVLIQILLNSKGISLNPITSLYYIAPCCLVFLSVPWLIVEYPSLRDNSSFHLDFAIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLIGYGLAFLGVAYYNHSKLQALKASETQKKAQQSDEEAGRLLEQRDGEGTGRKSDSQN >RHN41913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34061412:34063250:1 gene:gene48286 transcript:rna48286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding, P-loop containing nucleoside triphosphate hydrolase MGVSKKWLGIVRRKFLRSSNKDITLHPCISVCTNQKEQAILGNESTTTRENFIILPPPAINSSLTIFTKEDFAAIKIQAYFRGHLARRAHRALKSLVKLQALVRGVCVRRQSRIAMQCMHALVRLQVKVRARQLLGSFDHSR >RHN51798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25318333:25318705:1 gene:gene36325 transcript:rna36325 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCYEISPLLLGNVALRMSNLQVIVLSVQLLFVSGLASPCRLGRSDT >RHN59760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12859763:12860424:1 gene:gene21874 transcript:rna21874 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNGGSLVINFQYSATVEKKPLGLVLDRQQCQLCRKPYQTQQPFHLITLIKPLPITQKISSPLIFFKQPRTICLLHSTVCVFSSFTNTTHNVTQNQNEKKITNSAKWVISKMLLNYSQSQKICAEKKSLEDGKRVHSVITSNGMPIDEALGAKLVFMYVNSGDLVQGRRIFDEIMNDKVFLWNLLMSEYAKIDNFRESVSFFCWS >RHN55459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18571852:18573792:1 gene:gene30641 transcript:rna30641 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSSLSLVPPIITPSKLTSKSFSSPTPLNFHGIFTKSNNNSTKFLLNAGFNLVEPDLNEDPVDQFRTNGIPPEEFEYGIFDGHHTFNEGEEKEGTVWGAIMDDVIAVGPPTGFQGLISWLFPPAIAAGVFFDVPGEYLFIGAGIFTIVFCIIEMDKPDQPHHFEPQIYNMERGARDKLINDYNSMSIWDFNEKYGDLWDFTIKKDDIMKR >RHN74145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29163469:29163999:1 gene:gene10145 transcript:rna10145 gene_biotype:protein_coding transcript_biotype:protein_coding MCQGKALTLTYPSEIEMAQFAEEVLQEYSLFTNEPDITFFQSKLDISSSSNEEDVVVLPCGVDERVCDKKLAVAQDEFFLMYMKVLEELGVTIPFTAFEMCVLKFMNMAPSHIRPNSWSFIRGFEIFCKAWLKLFNDFCRLGFLLCSLMFGDFVLSSWTFLFCRYFGEWRKQYKQR >RHN74935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37959233:37959571:-1 gene:gene11070 transcript:rna11070 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRGPPKRIRGNHQSPSTLASSFNGIAMRDNATLLSQIHLLDQVGQLGNSWRRPGQSIMVNNGNSHLVPENNLVQHFWPTPHIQGKILFSYFDVLLFDISSHLFDKYTYL >RHN69607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44867789:44873466:-1 gene:gene18097 transcript:rna18097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ferritin-like superfamily MGAHEETLVEAALRVLNTADPFEKARLGDSVASRWLDGTIAEPYNPSLTLPIPDRPARLSSVKLVAPSLMPKLGKAGSLQSRVNIVHSLAHIESWAIDLSWDIIARFGKQEAMPREFFTDFVKVAQDEGRHFTLLAARLEELGSYYGALPAHDGLWDSATATSEDLLSRLAVEHCVHEARGLDVLPTTISRFRNGGDDTTANLLESVVYPEEITHCAAGVKWFRYLCHRSRNPASDQEICAVENGTTTGGDEVISKFHAVVRTYFRGPLKPPFNEAARKAAGFGPEWYEPLAVKVPNA >RHN57131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36723871:36736076:-1 gene:gene32631 transcript:rna32631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative origin recognition complex, subunit 3 MAPSSPSNTASQLSSTATENDLQPFFVLHKASPRRKDRTSAGQGKSRKRNKLSPRLPHSAKKQEESTDEECDRYLCQQQQIEAFDIVWTKIESTIKDVLRELNASVFNIIQKWVQECFNTTRLVGEPSISVATRSFPVLSNTTPGKLYSALVVTRNIEFVDDIMTFEELGIFLKSHGCHVAMLSSMEFSLKNGIAGCLKALLREFLGNSFDPADISALASWYREQENYNKPLVLIVNDLERCCGSVLTEFILMLSEWVIKVPIFLIFGVATTVDAPRNIFPSHALECFCPSMFMLVTPAERMDAIVEAILVKHCTTFNIGHKVAQLLRNYFINQDGTVTSFIRALKVACLLHFSMEPLSLIQGRILVEDQEGSSGLSPETLLKCMHELPSHARNIMVDQTNESMAEGLSELVTVQKLWSTVVLCLYEAGKYSRVQLLDLFCESLNHVLYPSRDSVMSDEKDHGLSSTNDPFQQYSIMQSGGLICQIARRVRDLPPGKLDQLIESWDKITADISEIHEKLKILQSSVRCQDGKSSRRSSKDINKRCDKDSRMSNLQAIAFLDDLVRNYLRPIEGMPFNEIFCFKNVEKLQSVLIGDPRRRIQVDLLEFHNILCCSCCNKSGKGLLPSRHDSSIMYSLAQEHGDLINLHDWFQSFRTIVLQNTNKRKQKSKQTPLSKKRKEMNGSADQNEASIQARFCRGITELQITGLVRMPSKRRPDFVQRIAFGI >RHN65696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4453267:4453616:-1 gene:gene13568 transcript:rna13568 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMAFNDKIDELYGFSFSKEANPVTIFIPLSPLFGFTAGNCHAGLAVAVFAASDTTVPICFNRSFLQTKIYGSD >RHN56238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29143117:29151628:1 gene:gene31606 transcript:rna31606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MRVTVDLGFYSFLFIALFCVVLPVIGFFIRYKWRRAAERAEEVRRLLIFAAEESARVEREAATSYQYVTAANSYQIQNDAVPASYQYVNKNLYQNVAVSVAKNKNKNNQCAVCFSPTTTRCSKCKLVHYCSAKCQFAHWRQGHKDKCHPPGTARQSQADNLECDIGKKVVEPDHRGINDEKSRVESPEDRTSSEKPPFSDVKPPKISCAANDNARVESLAEENTADSNSELSCNSFSGFSASTGANESSDDSSGCESIISNEHVRSEGHICTDPTFDDLDNTSNGHSIGATIPLSPKFASLVDSVIPVFGKEEIKLTSNGRSGLTTQKGGTTEPSNASSEFWNCTLDLKGTKDDSFADTLPSHSNESRTKSVGKNIPHAGSGSGSFQNEGLVSSRRADASSINNSYTVASKVSNHVTINPRSSLRSTEVSCFPRTSADSKLVSKDEEEEHIHYSSKCKKNGTRSGTACPVQVANCSPNSKDGLKASVLKVVDHDQFRGSNLSKHFPVAVGGDIAGKYSDKGLFPYDLFVKLYNWNRAEFQPFGLTNCGNSCYANAVLQCLVFTPPLTAYLLQGLHSKSCASKKWCFTCEFESLILKSKDTKFPLSPIGILSQLQSIGSQLGNGREEDAHEFLRHVVETMQSVCLMECGVDASDALKEQTNLVGLTFGGYLRSKIQCMKCGGKSERQERMMDLTVEIEGEITSLEEALKQFTSTETLDGENKYHCGRCKSYEKAKKQMAVSEAPNVLTIALKRFRSGKFGKLNKSIRFPEILDLAPFMSGTSDLAIYRLYGVVVHLDTMNAAFSGHYVGYVKNFQNSWFKVDDSVVTPVELETVLTKGAYMLLYARCSPRAPRLIRDMIVSSDSKSKVNGKSVIMKHKHASSHSGSAERIMSNSISSCGLPTLETIHSKFHHMKSIMEEDSSSDNSSLISNNSDECSCSTDSTCDSTGTDEFADYIFGNSVRGGGGDSCTSSRVDIDSHLYRRRPVNVERSEGGVSHLHHNTSIEHRKLDTCRSSSSFRESDSFERAGSNHFSHINSGVSYRKTRERKD >RHN39228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5116435:5117939:-1 gene:gene45232 transcript:rna45232 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPTIGVGMISAMDDMNLIQQAHRHHLVVKEIGEEIDLEIGPGEDDPSFSEEIVSYLSIDAQDLSHTQQVKRKKMAVKKTERGMGRCL >RHN73776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19821878:19823436:-1 gene:gene9638 transcript:rna9638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, tetratricopeptide-like helical domain-containing protein MRRPMKHPHKKNHNQDFFEDFPDDIVVFILTKLSSTASSPSHFFNTLLTCKRLNRLGFHPMVLSKAGPKVLAIKPKNWSENSHHFLKRCVNVGNVDACYTLGMIRFYCLRNRRSGVSLIAKAAMKLHAPALYSLAVIQFNGSGGTKQDKDLRAGVALSARASLLGHIDALRELGHCLQDGYGVKQNVTEGRRLLVQANVREILLVYRFLELASPSRAGSCEGILSRLSNMAVPLIKENRYNVKVPEVHPVNWFLREWFESGLGILEDGLRLCAHIGCGRAETRPHEFRRCSVCGISGVISVCVQLVQIFVLFSSLKNYLKLCYYLLDASKISGNVNSKTCWLAS >RHN68868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39204146:39208014:1 gene:gene17282 transcript:rna17282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 13S-lipoxygenase MDCFSQCFKAVVGSNSDSDSNDTNKKSQNLIKVKAIVTLKHSDDGLIRNLVDGGIQQIEELVGKTLVLELVSNELDQETNSEKETVKGSAQCKEEKEDEEQYEAEFELSKDFGKVGAILIENEQHKELFLKTIVLHGFPDGPLNLTCNSWIQPKQDSPAKRVFFTDKSYLPSQTPRGLQRLRKEELMQLRGNGEGERKSSDRVYDYDVYNDLGDPDTNIELKRPVFGGTKQYPYPRRCRTGRKHSDADPLYEERSNLDFYVPRDESFSETKQTQFNTSTIALGITAVIQSLDTILTDPNLGFASFEDIEEIYKEGFHLPPFKSNDLTFLQKVIPKFIQAANDSQNLLRFDAPEPFKRDRFFWFSDVEFARETLAGANPYSIQLVKEWPLKSKLDPKIYGPPESAINREIIESQMKNYSTVEEAIEEKKLFMLDYYDFYIPYVSKVRKIEGTTLYGSRTLFFLTTEGILKPLAIELTRPPIDGKAQWKQVFTPSSDHSSNLWLWRLAKAHVLAHDSGHHQLISHWLRTHCVVEPIIIATHRQLSSMHPIFRLLHPHLRYTMEINKVAREVLINASGILEITFFPKKYTMELSSVAYDKLWQFDMQDLPNDLINRGMAVKDHSAQHGLKLAIEDYPFANDGLLIWDAIKQWVTDYVNHYYPSSSIVESDQELQAWWTEIRTVGHADKSEEPWWPNLKTQKDLTDIITTITWIASAHHAAVNFTQYAYIGFFPNRPTIARNKMPTEDPTKEEWEKFINKPEQTLLECFPSQIQATLFTVIMNILSEHSADEEYIGQKIEPSWGENPTIKAAFERFHRRLKEIEGIIDSRNKNKNLKNRNGAGIMPYESLKPFSGPGVTGKGVPYSISI >RHN53762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3944173:3945380:1 gene:gene28706 transcript:rna28706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MLMRDASLPIRSFLQRCDPYDINRFISVAVKRGIDNLTLDLSGTDDDFQIRLDPIISTVFNCRNLVVLKLKSLKMYICPQLDFPLLKTLHLDKDTYQQVYSCHNLIHIELTFIQLYQKKVKNLVELLQHCPKLQDLTLQKLYERQRDEHDWGEPQTVPKCLSSQLRTCSLIGYKGSNCELLFAEYILKNAKVLQTMKISTSSSVLHKKHHMLMKLSVFKGFFACKLLFD >RHN52233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32886775:32887191:-1 gene:gene36882 transcript:rna36882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MYSTIIDGMCKGKLVNDAFDLYSEMVAKRISPDVITYSALISGFCIVGKLNDTIGLFNKMTAENINPDVYTFNISVDAFCKEGRVKEAKYVLSMMMKHGIKPSVVTYNTLMNGYCLVKEMNMAKDIFNTMNQSGVVPT >RHN61331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34858514:34867926:-1 gene:gene23784 transcript:rna23784 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGIEPRSLFWKKLMRVRFFSFEKVSGISPESPLMERSNDMRLRIEESSGGIVPVRLFICKNKESRFSKFPRLGGISPVRLALEISRYRRDLIEPNSGGIPPE >RHN44901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9638430:9641276:1 gene:gene39078 transcript:rna39078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 50S ribosomal protein L30e MAPKRGGKAPVAAAKKNTALKVVNPLFEKRPKQFGIGGALPPKRDLTRFVKWPKNVQIQRKKRILKQRLKVPPALNQFTKTLDKNLATNLFKMLLKYRPEDKAEKKERLLKRAQAESEGKTVETKKPINVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGTIVHKKTASVLCLTTVKNEDKMEFSRILEAIKANFNDKFEENRKKWGGGIMGSKSQAKTKAKERLIAKEAAQRMT >RHN40108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13365514:13365963:1 gene:gene46211 transcript:rna46211 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGTDFPSPQPNGGDFLLSLLQKPCNLQPSQSPTTQQSPIIDLAVVMMGPIILTNSHDHPDFHPHHLPPTDGVSLAEYLRRLGFPIESSSNNNSFVQELKLQFGSLPTVSYATTSHEVSLNTFLGFGENSNGRGNADHELLALLQNS >RHN76665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:263267:264554:-1 gene:gene28 transcript:rna28 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MMMASPYEQVMGTRIITAVIGAGVLTLPWVMAQMGWILGISYIIIVGTVTLYTSNLLADCYRTPDPVTGKRNTYMEAVKTILGGKMHLICGIVQYALLSGAAIGYTITTSVGVV >RHN49332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54078531:54083668:1 gene:gene44150 transcript:rna44150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MGVGLLLVCHGLVTALVVISFLCGRWPIFEGTFIQRIHYFLTFGAYDYFLRFVGAVFGSKCTNAVLSVEYYCCDRPNPLLQIIYLVIISFTYYFAVNSSFAYIPGYYLSATHKYTSFFAAAVGILLFLLTSFTDPGTIKAENVSQYLAAYPYDNIIFSKKECSTCKIPKPARSKHCSICDRCVARFDHHCGWMNNCIGERNTRYFMAFLLWHFLLCMYGTVLIGLILAGRLKELKVVYILTVYYGIENSFWDLAPHVVQWLLGSYNTQILLIVFVAIVGMLLGGFFGYHAKLCLSNTTTNETFKWQDYMNWQRKLKEAQASAAALKQSISGMNSEKQSLSSNKWRAFFRRSPLEDVVVVKNNVYNKGFLHNIWEIISPLSTRQSFTQTKLKSN >RHN45722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24986356:24989403:1 gene:gene40110 transcript:rna40110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MPPKVTSDGNWANHDQAAMPMQSTLPLLELQILTIFAITQCFHLVLKRLGVPYFVSQIMAGLVLGPSLKFSKTWTGFKNILFPYGTEDVISVISLIGYAFFLFLTTVKMDFTMITRTGRKAWTIAFCSFLIPMFFGLLVCYRFQEFWKLEMGNFEAKNLPVIVIGQSGCYFAVIASLLSDLEILNSELGRLALSTAMVMDSFNSIVTGIGTAFISSIKTDSHDNGDGKGTLKAFLNVFYYLCFMVVTPLVLRPILKWFVKKTPEGRPMKKVYMYIVFIIALAVGMLGLLTKQSVLGGICIVGLIVPEGPPLGTEMIKQLELFCSWFLFPIFVTSCAMKVDLSVHVKSDYIYVWLGIIVAVHLFKMLVTIGICWYCNMPMADGLCLALMLSCKGLLSSEALSVLSINVLVIGTLARIGVKYLYDPSRKYAGYQKRNILSLKPSSELKIVSCILKPSHIIPIKNVLDICSPTSNNPLVIHILHLLELVGRSSPVFISHRLQERVGSSYHTFSEAVIVTFDLFEHDNAGTASVSTYTAISPVRFMHDDICYLALDKLASIIILPFHLRWSEDGSVESADETTRSLNTKVLERAPCSVAILVNRGHSSPFNHNENSKQIAMIFLGGSDDREALCLAKRTIKEDTYHLVVYHLVSTIKNDESTSWDVMLDDELLKGVKGVYGSVDNVTYEKVEVENTYDTTTFISNIAIQHDFIIVGRRNGIKSPQTQALASWTEYPELGVLGDLLASPDTNTKASILVVQQQVMPKAS >RHN49809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1307690:1315757:1 gene:gene34030 transcript:rna34030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipid-translocating ATPase MPQGGKKRIHFSKLYSFSCFKSSYRDGHSQIGKKGYSRVVHCNDADNFEAIQLKYGGNYVSTTKYTAFNFIPKSLFEQFRRVANIYFLVVACVSFSPLAPYTALSIAAPLVAVIGATMAKEAVEDWRRRTQDIEANNRKVQVYGKNHTFVETRWKKLRVGDVIKVYKDEYFPSDLLLLSSSYEDGVCYVETMNLDGETNLKLKQALEATTRLNDEKSLQRFRAMVKCEDPNENLYSFIGTFEYEGEEHPLSLQQILLRDSKLRNTEYICGVVIFTGHDTKVMQNSVDPPSKRSKIERKMDKIIYILFSTLVLISFIGSMFFGVDTENDINNDGSYRRWYLHPDETTVYYDPKRAGLASILHFLTALMLYGYLIPISLYVSIEIVKVLQTIFINQDQEMYYEESDRPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSIGGVQYGRGITEVEKALARRAKNGESEGDAYSSDFVNESSDVVDSQKTVKGFNFKDERIMNGQWINEPHPDIIEKFFRVLAICHTAIPDVDKSSGEISYEAESPDEAAFVIAARELGFEFFVRTQTSISLHELNHESGKKVDRVYQLLHVLEFSSSRKRMSVIVRNEENKILLLCKGADSVMFERLSQYGREFEAETNNHIKRYSEAGLRTLVITYRELGEEEYKQWEKEFSKAKTSLAADRDALVDAAADKMERDLILLGATAVEDRLQKGVPECIEKLAKAGIKLWVLTGDKMETAVNIGYACSLLRQDMKQIVITLDSSDIISIEKQGDKEALAKASRESIEKQINEGILQIESTKESSDTAKEISSLALIIDGRSLEYSLNNALEKPFFKLASNCASVICCRSSPKQKARVTKLVKLETGKTTLSIGDGANDVGMLQEADIGVGISGAEGMQAVMASDYSIGQFRFLERLLLVHGHWCYRRISMMICYFFYKNIAFGFTLFWFEAYASFSGQAAYNDWYMSCYNVFFTSLPVIALGVFDQDVSARLCQKHPFLYLEGVENTLFSWTRIIGWMLNGFLSSLLIFFLTTNSVLNQAFRKDGQVVDFEILGVIMYTCAIWVVNCQMALSINYFTWIQHFFIWGSIVLWYVFLVVYGYISPTISTTAYRVFVEACAPSLLYWLVTLFIVVCVLLPYFSYRAFQSRFLPMYHDIIQRKQVEGSEFEISDELPRQVQGKLIHLRERLKQREP >RHN47853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43044701:43051235:-1 gene:gene42500 transcript:rna42500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSSKSPLFFLKSRFRIHPHYHFHTPYSTSSSSSPFHSDYLTQFIPSRTSNLNTLTPLERRILTVQLPTIIKTHQTFLFKSFSLRFSPLFLVHILNLLQTRETAFAFFKLTFLHSHSNQFLHSCCISAHVLASLNLQLHAQDLISWIFGTIESVRTKEIVEFMWRNHDYYESDFSVLNTLMRGFLNVGMSLEALDILNRMRDVGVRPSVTAMTILFKLLFRVGDYGTIWSLFKDMIRKGPAPSSITFNTMICGFCRRGKVVIGESLFHLMGKFGCEPDVFTYNVIINGCCVGGNTSVAVGWLRLMMKRGCEPSVSTFNTILHSLCREGNVVEARKLFDRVLALGVVPNTAIYNTMMDGYVKARDIGRASLLYKEMRIKGVPPDCVTFNIFVGGHYKYGRQEDWTKLLTDLTEMGFFQDCSLYDMTVSWHCWAGRLDQAIKLLKDSLAMGLTFSVAAFNSLIAAYSREGLEDKAFEIYHIMVKCGFTPSASTCNSLLMGLCRKGKLQEARALLHRMSEKGFLIKKVAYTLLFDGYFKMNDMDGARFMWKEMKERGIYPDVVAFSALIDGLSKAGNVDEAYEVFLEMLSIGFVPNNFAYNSLIGGFCNLGRMAQALKVEKEMRLRGLLPDTFTFNIIIDRFCRQGNMKSAIDAFLDMHRIGLMPDIFTFNILVGGYCKAFDMVRADDIVNKMYPYGLYPDITTYNIRMHGYCSVRKMNRAIAILDELVSAGIVPNTVTYNTVMGGICSDILDRAMIVTAKLIKMGFIPNVITTNILLSHFCKQGMPERALLWGQKLRDICFDFDEISYIILDRANHLVQNEVELVRGTYEKSLFLDFLMYITFDHFSRNRPRSIENENCLKLIESQYVAL >RHN56465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31045193:31045618:-1 gene:gene31871 transcript:rna31871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heptaprenyl diphosphate synthase MIGDSSKLPKSLQRMISSVEESTKNHSKLQLIAAINYGGKYDVVQACKSVAKKVQDGSLHLEDIDENIIEKELETKCTEFPNPDLLIRTSGELRVSNFMLWQLAYAELFFNQKLWPDFGKDEFVEALSFFQKRQRRYGGRH >RHN73463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16664079:16671936:-1 gene:gene9278 transcript:rna9278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MENIGDEYKHYWETNMFFQTQELDSWGLDEALSAYYDSSSPDGAASSGVSSKNIVSERNRRKKLNERLFALRAVVPNISKMDKASIIKDAIEYIQLLHEQEKVIQAEIMELESGMPNNINPSYDFDQELPMLLRSKKKRTDQLYDSVSSRNFPIEVLELRVTYMGENTMVVSLTCNKRADTMVKLCEVFESLKLKIITANITSFSGRLLKTVFIEANEEDKDQLQTNIQTAIAALNDPLSPMSI >RHN54007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6171943:6173706:-1 gene:gene28982 transcript:rna28982 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLRSAKSTLTQIDSESSTTNAQIHKLSLQIDDLVKQRDDLKSVVNKCDVQKERLMAKCTEWAQQSKELLSDCASLVLVEKCPV >RHN44990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10483508:10484736:-1 gene:gene39180 transcript:rna39180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonucleoside-diphosphate reductase MPAFPEEPLLAPNPDRFCMFPIQYPKIWEMYKKAEASFWTAEEVDLSSDLKHWNNLTDGERHFISHVLAFFAASDGIVLENLAGRFMKEVQISEARAFYGFQIAIENIHSEMYSLLIETYISDSAEKNRLFHAIETIPCIAKKADWAMKWIDSSDSFAERIVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLQKKLTSERVKEIVCNAVEIEREFVCDALPCALVGMNGGLMSTYIEFVADRLLGELGCEKVYNVQNPFDWMELISLQGKTNFFEKRVGEYQKASVMNSLNGNGAADFCFSLDEDF >RHN42457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38507749:38514955:-1 gene:gene48901 transcript:rna48901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 13S-lipoxygenase MFAVKSNPLLLRSPSVFSDKRQRRRIISRSSGWRSVQVQAVISGGDSKTTTSSPVDNKVSNGSLQSSSSDGRSDGGTIEVKAVVMIRKKMKGSVVEEVEDQLEYFFNGVGQGIQIQLVSELIDPATNNGKSVESYVGGWLPKPSNIPYVVEYSADFTVPSDFGSPAAILITNLRSKEFHLSEILLHGFTGGPVFFPANTWIHSRNDNPQSRIIFKNQAYLPSQTPPGIKDLRREDLLSTRGSGAAQEQERKPHDRIYDYAPYNELGNPDKEELVRPVIGDLERPYPRRCRTGRPPTRSDPRCETRIEKPHPVYVPRDETFEEIKQDAFAAGRLKAVFHNLIPKLAATMSNSDIPFKCFSEIDKLYIDGVSLGDEENKGIIENLLVGKVMKQVFNSGERLLKYDIPAIVKGDRFAWLRDNEFARQALAGVNPVNIELLKEFPIRSKLDPTIYGPPESAITKELLEQELGGISLEKAIEEKRLFIIDYHDMLLPFIKKMNSLPERKAYASRTILFITKAGVLRPIAIELSLPPTLTSPQNKKVYTQGHDATAHWIWKLAKAHVSSNDAGIHQLVNHWLRTHACMEPYIIATHRQLSSMHPIYKLLHPHTRYTMEINALARQSLINGGGIIEASFSPGKYALELSSAAYKSMWRFDLESLPADLIRRGMAVDDPSTPCGVKLVIDDYPYAADGLLIWSAIQEWVESYVKHFYSESNSIASDVELQEWWSEIKCKGHADKRNEPWWPKLDTKEDLSFILTTIIWVASGQHAAINFGQYPFGGYVPNRPTLMRKLIPQENDSDYEKFIKNPQLFFLSSLPTQLQATKVMAVQDTLSTHSPDEEYLGQVTHLHNHWINDQEVLKLFSKFSARLEEIEEIINARNKDPSLKSRTGAGVPPYELLLPLSGPGATGRGVPNSISI >RHN40090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13142812:13144062:-1 gene:gene46191 transcript:rna46191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAAATSKLVSSAYIPNDLALSILSKLPLKSLKRFTCVKKSFSLLFQSPDFMNMFRTNLISKHNEDNENTRLILKEKTQMIPFPYHFCTFSGEKLEDGERLDCPLPFHEDDLGIGILGFASVNGTLCLYQGNYHDTKIVLWNPATTEFKVVPRSFQPYDNIEFKIHPLAFGYDRVRHDYKLIRIASYPLDFEGNWVEVPEKDSYLWDEDYDHTVWDRQIVKMNDPFWEIYSLKSNSWRKIDGIYQMDSNWADSHPVNLNEFCHWLGPSYDIVSFDFINEIFIATTLPSVGYKRCRESKSFFRKRRLRLEKYLAGINGSIAFISNIVVTRSYHIWILGELGVKESWIKLFVVDAPCRMHPFGIGIKSFIFFMKHGDGIAAWYDISTQRYEEIKVKGESVGWDIIVYKENLLSFEGINI >RHN44299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3328060:3328874:1 gene:gene38401 transcript:rna38401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MPKSLKFVYTMILFIFLFLITKNVDALHDCEYDDDCPKSTSKRTYRCINKKCRSYFTRVEK >RHN63651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52936165:52938952:-1 gene:gene26376 transcript:rna26376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MGSESVAPMIEPSNNRSDPGTMKQGKVPKRIHKAEREKMKREHLNELFLDLANALDLSEPNNGKASILIEASRLLKDLLCQIQSLKKENVSLLSESHYVTMEKNELKEENSSLETQIEKLQGEIQARIAQSKPDLNAPPQLELESPEQTTFSGQSFQLPTVDPTLQQGPTVLVVPFRPDLQAAYPAPTEITPNPPLVISKPHARYPTPADSWPSQLLGEQPTSS >RHN72032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4522292:4527696:-1 gene:gene7699 transcript:rna7699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative periplasmic binding protein-like I MKFSAQTWRNSVPNLLLWLPLIISLLLFQCLANSSQTTTSVGVIIDVNSEIGKNQKTAMQIAAQSFNNYSNNHNIILFFRDSGKNPLQAASAAEDLITKEKVKVIIGMETWQEAAIVADFGAKFQIPTISFSSPPLVSSSSMQFRWPFLIQMAQNHSAQMNFLADIVHGFNSQKVIAIYEDNPYSSDFGMLNLLSEALEKVNSKIEYRLVLPPFTSLSDPKGFVLDELLKLLRLKSRVFIVLQASLPMVNHLFREAKKIGLLEKESTWIINEEITSMLDSVDTSVLSSMEGVMGIQINYSTSSSAYTQLQENFQDEHTETVESKPGSNTLLAYDGISVFTKALEKMNTNFSSSKTLLEEMLSSNFNGLSGNIKFKERQLSYTPMLEVFKVMNNAKKHFEFDSWTPNLKFSRSLKESTSDGTTETKTWKVPTDANPLKVALPTNPAFDNFLKFSQNQPPTGFCIQLFKDIREILSDQYSDLPYEFYYDFNGSYDALLDKVIDESYDAIVGDVTILANRSKNVSFTQPYTESGLSLILPAESDDSAWLFMKPFSTEMWITTVGILIYTMIIIWFLEHHLNPEFGGTVKTQISTTMWFAFTSLFFAHKEKISTNSARVVVGVWLFLVFVITSSYTANLSSLLTVQKLKSARDIEWLIQNKLPVGCDNSSTFVKNYLVQVYNFPRQQVVDVDNEQDIVEKFKSKQISALFIESPYEKVFLNKYCKDYTATTAAYKFGGMGFVFQKGAPIARDFSEAILTLAENGNLKHLEETWLTPSTECSTNSASPETESLTLAKFLGLYIICAATSTICILLALLRKYFHNHNHYDEQAQLPQGNVIAESDDENNNNDMKRSIRNGTGLYNGNLIMTLNKAASFGGSVLQGIRHRNSPRQENVSDSDEPINPQRSQSAVIEMI >RHN40887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23780515:23781636:1 gene:gene47131 transcript:rna47131 gene_biotype:protein_coding transcript_biotype:protein_coding MCMLVTAHITFVVQPISKPAWVSLVKTKTGINNHCNPKSNKENKSSDISTFSIMLNDSTTHLSNSIT >RHN79833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32667743:32671046:-1 gene:gene3676 transcript:rna3676 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLARANRNVPSRFQTGGSSKEVGMASCKKAPSSKSPSASMQGTGKLTKKNPISPHLSQKPVARREEPCISKQRKTLGKSSPSSTISSNRSSLGDFHVKSEKDKANKNNCGKVNSVMNTTVIKGSQVNGLQPITSSKSPLGLSVATKTKSTISPSSSSNLSSNISKSPLNSLKRKVDAGTKKSPSSCSVVRTPPRIASRDKIGSSNSSLSGLVSATKFSSSVSPANSISDWSSESSSSTSMTKFRSKSSRTTFHSSSSRMHIPKKGATHVSNSQKSQSGSCLEGKESRNAGSIGQCVMATAKGMVLTPSPKKPLGLRQPSPKIGFFDRGKSSIRSPCERMQPHTVAPQGRVSPSEAQNKARLGKLQSPRSIIPTESKELINRQDLHPVPLNKSSDVKTSMALPCVKSSAVAPMEVQNLMHLKAGVGNLDANSTMVEGHIRGVQDLNLGFTQGNSQYDDQVDCLSRQVELMDINLKTQT >RHN79525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29853831:29864606:1 gene:gene3326 transcript:rna3326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, importin subunit beta-1 MDFNHITDSLRSNISQMQNGHSSMQGIIETLGGLICQNLPTVHHYQILPALFKGVDCLNESDSVRLASLQAINNTITFAPTFASTDVVTGHCNVIMKILYEATFSPDIKIRQEAFGCLGTIANKYYGSLGECNDALMKVTFKAIIKAMKNDVEPVAVKAIEFWQFISVNELNIELGHGLSATQSRGLVKSAHMTLVDALLKTLKRQNDSLSIRKAGVNCLSFVLQLCGKETLKLVHPFMIENTKIKGLQFLDAAMNAFCSVLLAANSNESILKPDDVIADAIKCLDFILHSLIHSEHDILKETFANCLFQIIKLSIPLLYMGKLVQIITQENFPSIVHALCGSMEFVPSVACVSFVAMETLAIGFNFVGKENCRCPWTSSGTSSTEHACLFWQSVQALLIATLRQDITDPMRKTAYHALSKLVLCSTYDDLFIMGCRGVGKTSLISGFLKDLSATSSITTTGYDFKIKTTDSIKLQIWGAADPYVYEAVRKERDHRSVVMVLVYDITDRDSFNSVRDFIQGPLGKTCINVILVGNKYDAKQKAVDSSEGKELADGHNIPFFETSTITGIKVGEVFNYILEVIKQGSSRRP >RHN76572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51336837:51342342:1 gene:gene12920 transcript:rna12920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MWSSSRVVSEEEQTESSSFSTSLFNFSSGNPRIEETRGLMHVFPEQTPPSLPVGRKPLACVLGVPNHMTYADFCQFCGSFIQHILEMRIVRMESMEDRYSVLIRFDEQDSTDAFYTHYNGRRFSSLEVEVCRVVFTLDVQYTGSIEHAQPSNATSTEQPTCPVCLERLDQDTSGILTTICNHSFHCSCISKWADSSCPVCRYCQQQAENSICFVCQTTENLWICVICGFVGCGRYKGGHAIIHWKETQHCYSLEVETKRVWDYVGDNYVHRLIQSKTDGKLVELNSHCVHADSGSCGDNAMREAILNSKVQAIVNEYNELLATQLENQKLYFESLLQQVEQETEGKISVAVQKAVSLKQHKIHAKIDRCNKEKKFLDELNDNLLKNEEIWKAKLLEIEEREKKALKLTTDRVTDLEKQLSNLMVCLEGGKTEEHPPLSNANDGTVSVISKVSSVKL >RHN57570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40165754:40166650:-1 gene:gene33138 transcript:rna33138 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRGSLLSNNNLTNVQSLMTMTLTNSHSTLTSDKKSMCIVLESISNPVLKLLLRVSLSSRSFGVTRLMMNLMMVTGLVRIRNIWCCIALLVPLSIQHFFLWCGGFEPQTLHIETKTISSRKQSTWLTQLSVQRRVAAESVVSAFVSVLASWRGNLLVISCFLIFVMLFVGFAGFWAFGLTPRVQTLPSVTGPEILSSVGHVNISKYSFRFIMSVTLAKKNCFKMNVTLYFQYNFNFFLPTLPSINTQTFLSHNFQCNFKFVFFLGSLIKVLRLKIISFHSLICVQLAKTTFILKRMK >RHN41119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27169845:27174565:1 gene:gene47408 transcript:rna47408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MKRSKDNDVADIDSQPKRPTLSTTQESNLFVELSTEKAKDLQGDHDLIAKNLDPEFNKGLMSAEVSDGRNSDMTIHKKGNRDLIVEHPDPEIDKGSMSANEKRDEKKECHTVMSSEAFSYLNKVTERLGILEDYQKFEKSLHSYRMGTITREELQKMVGDLLGKHSDLVEGFNEFLTQCESNEGLFGHVLNKIMFREEHVFLEKVKEKFSNPEIYQDFLKCLHIYARELITRGELQLLVYDLFGKYTDLMVEFNDFMAQSEKKNAKLVKALKGRQIHASVSLNQS >RHN62179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41471357:41473685:-1 gene:gene24726 transcript:rna24726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MRGCLEPLDLGVQIPYHFRCPISLELMRDPVTVSTGQTYDRNSIESWVNTGNTTCPVTRTNLTDFTFIPNHTLRRLIQDWCVSNRAFGVQRIPTPKQPADAALVRSLLNQISSHSAPTQLRLNSLRRLRSLTRDSEYNRSLISSLNVRNIILPILFNNGLDELKNESLALIVLFPLSESECTSLASDSDKINYLTSLLSHDSFDVRVNSAALIEIIVAGTHSPEIRLQVSNVDGIYDGVVEILKNPISYPRALKIGIKALFALCLVKQTRHRAVSAGAPVVLIDRLADFEKCDAERALATVELLCRVPAGCASFAGHALTVPMLVKIILKISDRATEYAAGALMALCSESERCQREAVAAGVLTQLLLLVQSDCTERAKRKAQLLLKLLRDSWPQDSVGNSDDFACRHFD >RHN49863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1783422:1787398:1 gene:gene34088 transcript:rna34088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MTRRWSFYMDFLPIVVIASNEFSDVALVILYKAATLQGMNNYVFVAYAYAVGTSVLLPITFFKRRSRVVPPLSFSILCKIVLLGAIGSSCQIMGYTAISYSSPTLSASIGNLIPAFTFILAVTFRMEKLAAKSRSSNAKVIGSIISIAGAFVLTFYKGPSIMNSSLLHQPVDFLKSEDSSWAFAGILLTIVYLMFSLWYILMVHILKEFSDEVTLVLLYTITATIISTVVALLSVPNASAWKIGLNLSLISIVSSGIFGKLISSIVYAWSLRLKGAVYVTSFKPLQIVFSVALAVIFLGDTLHVGSIIGAIIISTGLYAVMWGKSREEIEEDVGSSESPSTDNAPLLQSCRIETFENKTNGNV >RHN60246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24206640:24208752:1 gene:gene22516 transcript:rna22516 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQVEAPAYEIKGRTMSLEEWDLKVQTENQVDFKSLAFHRCDISKYYEAQGLVDYFKFLNGPTYQTLVRHFWVILSSRWWLKVVSRALIRGMGIIILYYCCFPFHVSNFGTC >RHN67375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26538103:26540933:-1 gene:gene15557 transcript:rna15557 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ZIP7 MSFSLRTFFFLSLLLLLFFSSVSSHGGHDDDADIDADSDSEAPHNLRSKSLILTKVYCLIVIFFATFIAGVSPYVLRWNEGFLILGTQFAGGVFLGTALMHFLSDANETFGDLTDKEYPFAYMLACAGYLITMLADCVISSLLEKPNHGAGADVEGQGVDKGRSNGVNSQSQYQSSAGTNDADLAPSSSIGDTVYIFIYVYIIALCAHSVFEGLAIGVSVTKADAWKALWTICLHKIFAAIAMGIALLRMVPNRPLLSCAAYAFAFAISSPIGVAIGIVLDSTTQGHVADWIFAISMGLACGVFIYVSINHLFAKGYVPHKHSKADSAYMKFLAVSLGIGVIAVVMIWDT >RHN49841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1604589:1606501:-1 gene:gene34065 transcript:rna34065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter, major facilitator superfamily domain-containing protein MYCSPTFYGIIKKKSVEEFKPDPYLATLLNCAFWVFYGMPFVHPNSTLVLSINSVGILFEVVYLTIFFIYATKSGRKKLLLYLLIEAIFFAVIVLITMLALHGTKKRSLIVGVLCDVFNVMMYASPLTIMAKVIKTKSVKYMPFWLSLTNFLNGLCWTTYALIHPLDIYVLVSNGIGVVSGIVQLILYACYFSRKGEEDDDGDGDFELKPTGVRDISSNGRATA >RHN69235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42024018:42026532:-1 gene:gene17677 transcript:rna17677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rubber elongation factor MATNEVENKNKELKRLGFVKIAAIHTYVFVSYLYESAKKNSGPLRSAVETVEGTVTTVVGPVYNKFKDVPDDVLVFVDNKVDEATDKFSEHAPHIAKQLTDKTKYFIQKVTHEAGKVVSVGRSEGPRAAVDYVASETKNLLLINSVKLWTGLNKFPPFHAVAEITIPTAAHWSEKYNHAIKDMAGKGYSFVGYLPLIPINAISKAFKQGEVKDKKSE >RHN60890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31400589:31402022:1 gene:gene23283 transcript:rna23283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative licodione synthase MHRSIHIQFLYIRTSIHLASINEKRKIKEMEFQVLLAFSFLLSVFVCYLLLRPHLNRRNHKYLPPSPPLKLPIIGHMHLLGPLLHQSLHNLSTKYGPLFTLNMGSVLCVIASTPHSAKQFLQINELAFIRRIETAAIKRLTYDSSLAFAPYGDYWRFIKKLSMNELLGSRGISNFQHLRSQETQSFMKLLAKKAKTCEVVNVTEELLKLTNNVISKMMLGEAEEAREVVRGVTEIFGEFNVSDFIWLFKKVDFQGFGKRIEDLFFKFDTLVERIICKREEIRKKRNGESRKGEIRDFLDILLDYVEDESSEMKIQRVHVKALIMDFFTAGTDTTAISTEWALVELIKKPIFTPKSS >RHN48608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48792102:48795656:-1 gene:gene43346 transcript:rna43346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MNTTLALALTLAFLFTSPSLTQSAQHNHNDTHALTEFRLRTDFHGYLVDNWTGEDACNTAWHGVQCSPNGRVIALSLPSLNLRGPVDSLSTLTYLRFLDLHDNRLNGTIVPLLNCTDLELLYLSGNDFSGEIPPDISSLRLLIRLDISDNNIHGEIPKELSKLKHLLTLRLQNNELSGEVPDLASSLINLIELNITNNELQGHLPDAMFTKFGNKSFSGNDGLCGSTPLPKCSVTEKTPHPPSDDDGSEIVPSNPSKNHGNISSENPSKKRKMLSPGGIVAVAVAVSVALLVVVSFTVAQCCGRGGRSNSTVGMDSEIGKRKSESSSGSEKKGYRGGNSNNGGVDRDSDGTTTETDRSKLVFFDRRNEFELEDLLRASAEMLGKGSLGTVYRAVLDDGCTVAVKRLKDANPCDRNQFEQYMDVVGKLRHPNVVRLKAYYYAKEEKLLVYDYLSNGSLHALLHGNRGPGRIPLDWTTRISLVLGAARGLARIHGEYSAAKIPHGNVKSSNVILDKNGVACIGDFGLSLLLNPAHAVARLGGYRAPEQAEAKKLSQEADVYAFGVLVLEVLTGRAPSSQYSSPVGVRSCVEFEEQEADLPKWVRSVVKDEWTSEVFDQELLRYKNIEEELVSMLNVGLACVALQPEKRPTMLDVVKMIEDIRVEQSPLREDYDESRDSLSPSLATTEDCLA >RHN79491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29524915:29526550:-1 gene:gene3288 transcript:rna3288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PRELI/MSF1 domain-containing protein MVKSYKQEHVYNHPWERVTSASWRKFTDAENKRVLPHILDCNTLNTSLDSSSGKLYATRAITVRCPWLVRRIIGEDICHCVESTVVDAKSRSMQICYRNISMEKFIEVEEKTRYDPHPDNPNGWTVCQQETRIRIKPLSALASMAEKVEQRCADRFLQNSAKSRDVMERICKYLEAESSSFSL >RHN66021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7721478:7722644:1 gene:gene13931 transcript:rna13931 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLYIIFTSLLEAVLYCFFNDKNELFTNHYVLIWISYCVGLGCCCN >RHN72648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9379455:9383643:-1 gene:gene8392 transcript:rna8392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MASDRLPPDTLAEIFSRLPVKSLLRFRSTSKSLKSIIDSHNFINLHRKNSLNRSFILRLRSNIYQIEDDFSNLTTAVPLNHPFTRNSTNIALIGSCNGLLAVSNGEIALRHPNAANEITIWNPNIRKHHIIPFLPLPITPRSPSDMNCSLCVHGFGFDPLTGDYKILRLSWLVSLQNPFYDPHVRLFSLKTNSWKIIPTMPYALVFAQTMGVLVEDSIHWIMAKKLDGLHPSLIVAFNLTLEIFNEVPLPDEIGEEEVNSNDSVEIDVAALGGCLCMTVNYETTKIDVWVMKQYGLKDSWCKLFTMMKSCVTSHLKSSSPLCYSSDGSKVLIEGIEVLLEVHHKKLFWYDLKTEQVSYEEIPDFNGAKICVGSLVPPSFPVDNSSKKENHTCKSKKRDDFLSGGFKLRL >RHN73151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13840286:13859001:-1 gene:gene8937 transcript:rna8937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase D MMTTEKLMSTGGPRYVQMRSSPPSSPAPPPTASEIMSLPSFRHSGGEVCRIFDELPKATIVSVSRPDASDISPMQLSYTIQVQYKQFKWELVKKAHQVFILHFALKKRAFIEEIHEKQEQVKEWLQNLGIGEHTTMEQVEDEGDDDTVPLQTDESVRNRDVPSSAALPIIRPALGRQHSIADRAKTAMQGYLNHFLGNISIVNSPEVCKFLEVSMLSFSPEYGPKLKEESVMVKHLAKIIKDDDSRKCCSNCFNFCNDNWQKVWAVLKPGFLALLAHPFATQPLDIIVFDVLPALDANGNGRLSLAVEMKERNPLRHSFKVTCGIRSIRIRAKSSNKVKDWVTAINDVGVRPPEGWCHPHRYGSFAPPRGLVEDDSQAQWFVDGQAAFEVMASSIEDAKSEIFICGWWLCPELYLRRPFQTHASSRLDNLLEAKAKEGVQYIYILLYKEVALALKINSVYSKKKLLSIHENVRVLRYPDHFSTGVYLWSHHEKLVIVDNHICFIGGLDLCFGRYDSPEHKVGDVPPQIWPGKDYYNPRESEPNSWEDTMKDELEREKYPRMPWHDVHCALWGPPCRDIARHFVQRWNYAKRNKAPYEQTIPLLMPQHHMVIPHYLGSSEIPIETKNTVNDRGFKREDSFSSSSQDQDIPLLLPQEPGGLDSPTADRKPNGLSSFLHHADKSRRISSGLPFSFRRTKVAAVGPDTPMKGFVDDLDSEQYHEKMPHDRVAHADSQNTDLEWWDTQERADQGGFADESGQIGPRASCRCQVIRSVSQWSAGTSQTEESIHNAYCSLIEKAEHFIYIENQFFISGLAGDDMIRNRVSQALYRRIMRAHNDKKTFRVIVVIPLLPGFQGGLDDAGAASVRAIMHWQYRTICRGQNSILHNLNDLLGSKIHDYISFYGLRNYGRLSDGGPVATSQVYVHSKIMIIDDCITLIGSANINDRSLLGSRDSEIGVVIEDKELIDSYMDGKPWKAGKFSSSLRLSLWSEHLGLPAGEVNQIMDPVVDSTYKDIWMTIAKTNTAIYQDVFSCVPNDLIHTRLAFRQCMALWKEKIGHTTIDLGIAPEKLESYHNGDIKNTPPMERLALVKGHLVSFPLEFMSQESLRPTFNEGEYYAAQVFH >RHN60251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24260170:24264017:-1 gene:gene22523 transcript:rna22523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MTIQHITKRGKHDEEDRLSEFSDCIIIHILSFLSTKDAVRTCILSKRWEHIWKYISNLRLHCSNFSSLKSFDKFVSRILSLRHSKIVLQTVYFERSGSIEFGLLKKVANYVLSHSAKLDRLEIDVKGDICHILPCISSSQTLTSLRLSVSPKGRYNYWRTLFPNSLDLPVLTCLHLGNFVFCATDGGRIDPFSAFNRLSDLIIDNCSVKGANILCMLSQTLVSLTIRNHSFHNYQIELSAPSLRTFAFTGTPYQTLLGTNLSSVKKVIIDAEMLANYSVPPLVLLSWLSSLANIESLTVSAGTLQVLSLAPDILKDAPARLSLRSLRSVKVMLKPLSYGLSMTLNTLRLEKPITAGFEPYPPIPNGILDLLCRNSQSADVAIIECSRLDDSFGCLPLLSSSLFPQFLQPSSWEHVIVDLNLRIQHLSQALQQVLDLETEDIPLTRDVMMATKKHIKMLSKTHAALGRQMYELLGLPL >RHN77082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3875245:3885584:-1 gene:gene497 transcript:rna497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKKNDLGEEFEDNTNFIKVALTEKSMGWGHLERNGSDSVTDADAAPSTSTAPESRRFPPAAQPEMMRAAEKDDQYASFVYEACRDAFRHLFGTRVAVAYQNETKLLGQMLYYVLTTGSGQQTLGEEYCDITQVAGSYGLPPTPARRALFIVYQSAIPYIAERISSRIASRGIILSDYESAEIYGENAHGSSSSRVSEISPASASGQSTSTLMRLKHKLGGFWLHMVQRWPTMLPFVRELLQLLLRANLMLFYFEGLYYHISKRAAGIRYVFIGKASNQRPRYQILGVFLLIQLCVIAAEGLRRRNFSSIAASVHQASFATHHTSAGHGLPVLNEEGNLASPEADKGSWVPGSSSSEHNATNGVSKCTLCLSNRQHPTATSCGHVFCWNCITEWCNEKPECPLCRTPITHSSLVCVYHSDF >RHN51817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25925768:25926971:1 gene:gene36353 transcript:rna36353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLLLNPAPSVIEFGKILSSLVKLKHYPTVISLFYQLEFNGIKPNIVSLSILINCYCHLGQMRFAFSIFAKILKMGYHPNTITLTTLVKGFCLNHKVKEALHFHDHVLALGFHFNQVSYGTLINGLCKIGETRAALQMLRQIEGKLVSTDVVMYSTIIDGLCKDKLVNDAYELYSEMITKRISPTVVTLNSLIYGYCIVGQFKEAFGLLREMVLKNINPDVYTFNILVDALCKEGKIKEAKSGIAVMMKEGVMPDVVTYSSLMDGYCLVNEVNKAKHVLSILYLE >RHN51187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14923597:14923938:-1 gene:gene35575 transcript:rna35575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVLAHFGGVGSNYIGIYARCIRISLCTITTPDRISDLPDELLCHILSFLPIKIAFTTTVLSKRWIPLCHSLTILRFDDETVYYAAFNSVCGFIDTFMLPPRLSNQFIKTFSMP >RHN82805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56513200:56516314:-1 gene:gene6993 transcript:rna6993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEGNENYREEVVMEESGRANENKKGGTKVATLLLVNQALATLAFFGVGVNLVLFLTRVLGQDNAEAANNVSKWTGTVYMFSLVGAFLSDSYWGRYLTCTIFQLFFVLGLALSCLSSWRFLINPSGCGNGHIPCKPSSIGVSIFYFSIYLVAFGYGGHQPTLATFGADQYDERNPKERSLKVAFFCYFYFSLNVGSLFSNTVLVYYEDTGKWTMGFFISLISAIIALLTFLSGSPKYRYLKPSGNPVVRVAQVFTAAARKWDVAPAKADKLFEVLGSRSAIKGCRKILHSDDFRLMDKAATITKNDDEQIGNNPWKLCTVTQVEETKCVLRMLPIWLCTICYSVVFTQMASLFVEQGDVMNSNIGEFHLPAASMSVFDICSVLVCTVIYRTILVPLVGRLIGNTRGISELERMGIGLIIAMLSMVASGMTEMVRLRNIIPGQKRSSMSIFYQIPQYVLIGASEVFMYVGQLEFFNGQAPDGIKSFGSSLCMASISLGNYVSSMLVHVVMKITARGNDKGWIPENLNKGHMDRFFFLLAGLVVFDFVIYLFCAKWYKSINVQGDQEELDDDDAQVIIIKSASKDQPLTPQE >RHN73103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13479225:13480342:-1 gene:gene8883 transcript:rna8883 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLQTTTSMCCFMIMLLFLSVTKSFGDLVTDHKNLTTVTKDEVKCTPCGQVSSPPPPSPPPPSPPPASTNNCPPPPSPPSSGGGSGSTYYSPPPPSVYYYSSPPPPASTGGGGGGGLYYPPPTGGSGSGSGGNYGTPPPPNPIVPYFPFYYHTPPPGAAAPPSLKGSVMLCAVSVLPIFMALF >RHN66213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9752110:9756134:1 gene:gene14155 transcript:rna14155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MSFNYLLCLIAFALVLGKTNVNCIETIEVEPLLDVASLNRNSFPKGFIFGAGSSSYQFEGAANEGGRTPSIWDTFTHKYPEKIKDRSNADVAIDSYHNYKEDVRIIKDMNLDSYRFSLSWSRILPKGKLSGGINQEGINYYNNFINELLANGIKPLVTLFHWDLPQTLEDEYGGFLSPLIVKDFQDYAELCFKEFGDRVKYWVTLNEPWSYSQNGYANGRMAPGRCSSWLNPNCTGGDSAIEPYIVTHYQLLAHAEAVNVYKTKYQETQNGVIGITLVANWYLPLSDSKSDQKAAERAVDFMYGWFMDPLTSGDYPKSMRSLVGARLPKFNAKQARLLIGSFDFIGLNYYSSTYASDAPLLSNARPSYLTDSLVNPAFERNGKPIGIKIASDWLYVYPRGIRDLLLYTKEKYNNPLIYITENGINEYSDPTLSVQESLMDTFRIDYHYRHLYYLRSAIEQGANVQGYYIWSLFDNFEWCSGYSVRFGMTFVDYKNGLKRYEKLSALWFKNFLKKETKLMFLVRKVISFIH >RHN60238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24154153:24154841:1 gene:gene22507 transcript:rna22507 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAIRRFNTAAEQTARLTKFCLQAPKYVEVEFANGSVFKLSAEFLRINSPAADGKIRSIGGEKVTSPFQSYLWSIYRVCLD >RHN58820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5192026:5192871:1 gene:gene20770 transcript:rna20770 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRRVGGGSGKFSDNSNKMVLKEINSEATSYQNLSFKCKQLVIFKGMIAKDQLKSKVFANNLCKKTKKKELMLCIKF >RHN76582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51423189:51426896:1 gene:gene12932 transcript:rna12932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-X family MNLLLLLIFISSTILHANAQAQSPGNQDVRIVHHRNLNKRILVGLIACSALLAGIFVFVLYIFFRRHKNLTSSTGKSNGIIEAAKGEKETLSAVSAKLNYSRMADKKSSIAIFDFQLLESATNSFSQDNIMGETGSIIVYRARFDEHFQAAVKKADSNADREFENEVSLLSKIRHQNIIKILGYCIQGESRFLVYEYMESGSLESQLHGPTRGSSLTWYIRLRIAIDVARALEYLHEHSNPPVVHRDLKSSNVLLDSDFNAKLSDFGLAVASGVQHKNMKMSGTLGYVAPEYISHGKLTDKSDVYAFGVVLLELLTGRKPMHNMSSDQYQSLVTWAMPQLTDRSKLPSILDPVIQNTMDLKHLYQVAAVAVLCVQAEPSYRPLITDVLHSLIPLVPLELGGSLRVTEPIGSENLQ >RHN41000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25593715:25594436:-1 gene:gene47267 transcript:rna47267 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNVPTCECVKGYVPKFPQQWQISYQSNGFLRYCKMKFPDTSSSWFSKIMDLEECRTCSAYANLDICDFRLAQTLLGDDVEANTKRVAGTYG >RHN39615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8705983:8706598:1 gene:gene45655 transcript:rna45655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MQTYQHIHHSLSLSLSLSLILDFLPVGFRFRPTNEELVNHYLKNKLLGNVSHVNNVIAEVDVCRFEPWELPSRSIIKSHDPEWFFLCPCDYKYAKSKKFNRATELGFWKATGIEHDIKIHGTDKVIATKKILVFYLKATGNKCHVRTNWVIHEYHDAVTFDDARQVCFVITKV >RHN76197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48466730:48470839:-1 gene:gene12485 transcript:rna12485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MTQDSSGVDQIASAVPVTSVMRTIDEPYIGQEFVSEAEAHAFYNSYATRVGFVIRVSKLSRSRRDGSVIGRALVCNKEGFRMPDKREKIVRQRAETRVGCRAMIMVRKLNSGLWSITKFVKEHTHPLTPGRGRRDFVYEQYPSGHDRVRELTQQLAIEKKRAETYKRNLDLLYECIEEHNEAVSKKIQHIVESVKEMEAKEQESQFQLAVI >RHN48774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50110988:50111755:-1 gene:gene43527 transcript:rna43527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MATSSNDKKNNTSYPPPQNPPPGSYSGGASYPYSSPPPPPPSSYPTTFYQAPATTFTYEEEPPAVVRSFLCGFISVMTAIVALFGLISLIGYFVLKPRIPEFSVNSASLTSFNLTGSGLNAKWDITLIVSNPNKKLDITYDAVAASVFYGDDEYGVLAYTRLAPFHQPTHSKTMLRVQFEVLDYFVDNSVSFGITDGRVRGFVQFGVVVNTLIKMDGLFHPSEYRLKVTCKPLNFGIPSSNINNVTWELLGSVAC >RHN45047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11122345:11124897:-1 gene:gene39243 transcript:rna39243 gene_biotype:protein_coding transcript_biotype:protein_coding MNVASSIVFHEWTVKRSLKSYRIIVAFLTVLEKFSNVVIGWLPMYELKLALFIFMWYPKTKVRT >RHN48257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46130027:46131576:-1 gene:gene42955 transcript:rna42955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family MCLLTLAVSLPMLRPPPCSQGIEDNDCQKASSLQIGIFFFALYIIAAGTGGLSPTSPHLEPTNLMSLSLKRGPKNFPFSIGGCSAL >RHN77867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10052297:10053720:-1 gene:gene1369 transcript:rna1369 gene_biotype:protein_coding transcript_biotype:protein_coding MYLDHFVLNTYYVQVLLEYVVSEKYTTKNTKISEGNFVRKNVKSLSNSVNKFYDQRICEEFDFFRH >RHN70477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51691919:51692249:-1 gene:gene19058 transcript:rna19058 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQEPSGPPQQNVTMVAVDKGKSSAYAFRWAIRCIDNPIIIAVHVKNKNLLNRRYPLTLVTSSIHTPL >RHN47110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37199333:37202637:-1 gene:gene41666 transcript:rna41666 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSRHTYQLLILFLPNILQLQTLSCGKLAITNFRCNQDRVVRASHVDFKISLSDSSCGSIDVADWQ >RHN69116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41162136:41163519:1 gene:gene17545 transcript:rna17545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MMNLSSSTDQDKSETTNSNSNSNPNKKQKTTTTTPCCSKVGLKRGPWTVEEDEVLSEYIKKEGEGRWRTLPKRAGLLRCGKSCRLRWMNYLRPSVKRGQIAPDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLSKKLINQGIDPRTHKPLNNPSSSSSIIPNTNHQTSTPFFAPSSSDHHHPITITNNEPDPSQTQQAQGCGNLVNDVSAMDNHHVLTNNNRGDYGDDNGNNNYGGDDVFTSFLDSLINDDAFAAHRSENDPLILSAAPPALWESPPLMMTSTHNFTQNQDGKAS >RHN73239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14657506:14667159:1 gene:gene9035 transcript:rna9035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling CW-Zn family MDDNTELEEGEACYYKDDDDDKLDLDSLSYIDERIQHALGHFQKDFEGGVSAENLGSKFGGYGSFLPTYERSHPRTPQGNHSSPKSPIVLHKEAEAGSNRKAPSNMPPSARIGNASLSSNSFRDVRSASVDVSAKKDSGVSSNDVVGRCTLKDDTAIKKGNSTDQRTLKFRLKMNSNFLAQKTAEIYSGLGLDDSPSSSMEHSPVESEGTPPPPPPPPPPPPLPPPPPPVSKANAEDSEIGIIQVMTSFPFPGGIITSPLHESLLSSMKNEKIIGDRRYMSSRNCHQEPRSMSTDESDSFVADGHLKKRIVRIVRQKEKKLEEKQVNGTLPEKDIALHTKKRLGSRTPDCKEFLSNELKSTPMSSSICDVGETAEVTAKASNVSKKFSDNGVQGRMVSVEALKEESLESISGHDFKKTEKQNAGNGLRKNVLEDKLESSKKDSSTDPNNDDKCNNAYMISKNVERDAVKCKIDKMYETPQRVKVVSDGKNKSKGDRSPGKPEVVAREDSVGGTNNPTVTDKGSAGFNTDSKSKMIKTKSVKDNKVRHGSKGSLKAKHLDQKIEAFPGNSAVKSSKSNEKQIPFGAKVKERPSGNKVVARPFQTDTLGLSPMAENNPAPEMIPTAVAAPQLINEDWVACDSCQKWRLLPTGVTPDQLPEKWLCSMLYWLPGMNSCDISEDETTKALYALYQMPMSEGQNNLQTHASETAFGVSFTDALKFGLNQKNSSSDVLPDRGTKKHVFKEKMMSGKINAQASGKNRSMNDVNQHPTDSKPMKTMSSKHSSRFDNMIEDKHVSEEKEMQISGGSRKPIKLKRKMDADQSSSGTPKKSKTEHVPYADRQSDPGMGLGKVVLNARNSVPTKASRKDVGKYDEFGLPDDDDEDSLLAPVKKERDQVEVTSGVCSLDAKNTSKNGGLTRKRKFKDCPDDETEKHNRSSSLHGDKQCGGGGNTSKLRMESQHKILKQEAKSVAEGDDKLRKGEMRRVSLPGNQDQLTVETEGRYTDKDRQPMKRRKNVASHQALDGISPLGFGGQLAFAATSSSSKVSGSHKARTNFDDVKGSPVESVTSSPLRSSNLDKRVSAARDISVKDDSVKVSLSSRRSANNGEGKLSLRLKGNKILSNAHPAPHKLSSEYQIKEAKDKIRVQAKTSSEIKGNRLLGVPVEEHGNCANSMRHAEKVNKNNQNELSGKKPDKVTSLHSMEMNRRSGSQVGTDKMKVLASENCYSKNGGRHDSEVDPSHRSFGTETRNDAKYCSPMSKCEIDNISQKSALRHGSIETGKQTEVKQKNFEKPVMNMDSQHSTGGTRKTISQQNVTQDVEEQNKVNHVSTESRDRKSKALGSADAEIKRETLTLPRYQKGDMSNEHPVHAGNSGLTKLARLSADSSSNVLVNCSSGSVPPGQQLTVSSPVRTNANQTSVDILEEASKLKVKADTYKNSGFDFESNETYFEAGLKFLHGASLVESCHNEISKHVEMSQMQIYATAAKLFKSCAFEYESRKEMAAAALAYKCMEVAYMRVVYCKHSSTNRDRCELQSTLQMVSQGESPSSSASDVDNLNNQVAMDKATLPKGTNANVASNHVISVRTRPSLVRLLDFTQDINFAMEAATKCQCTFGAANAMMEETRNRDCITSIRRVIDFSFQDVDELVRLVRNATKAISGAGLGGARD >RHN61015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32326922:32327539:1 gene:gene23425 transcript:rna23425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative choline-phosphate cytidylyltransferase MNEQERYESLRHCKWVDEVIPDVPWVINQEFIDKHKIDYVAQDALPYADTSGAGKDVYEFVKAIGKFKETKRTFRSKHPRLFSISNQKDSMVGDIGEVVGSSREWHFEWRRDFFDWEEQLFANLLVDLEGMTWSHEEDRWKWGLEESGMFSVKSTYGKLEGLVSREELWSETDKSVFSKIWKSPAPSRVVAFSFVIEFQQGLILR >RHN67994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32009608:32010864:-1 gene:gene16267 transcript:rna16267 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVPANMPRFGFSPCKNFLLFLVPANMSHFGFGPWLHFCDNLHMCHMMT >RHN77010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3160393:3161692:-1 gene:gene417 transcript:rna417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MAGSSSCSLLVLFVLFGCAFAAKDILLGGKTDAWKVPSSESDSLNKWASSVRFQVGDHLILKYEAGKDSVLQVSKEDYDSCNISKPIKHYNDGNTKVRFDHSGPYYYISGEKGHCEKGQKLTVVVMSLKGGSRPIVAFSPSPSPAEVEGPAASAVAPAPTSGAAVLQGGGVFVAVGVFVAMWLF >RHN43506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46379085:46380148:-1 gene:gene50098 transcript:rna50098 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYILTYFKKHNIIPLASFKLAIFNFMDPQLRISIVIFFLLFVELYPARVNSLRIISADIVKPSTEVSFEMKGRLLMKVDTDDYKEYDSNHKNDQGKGKPHG >RHN50971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12484737:12485240:-1 gene:gene35329 transcript:rna35329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative quinate O-hydroxycinnamoyltransferase MGSPIPPLWSQSKRPSLSPPPKNFRNIKPNNSPTQILSFLHPCIFSTLCGRLKITKHEDDDEDTISCYLKCNNAGVLFVHAAATDITVANILQPIYLPPILHSFFPLNGVRNYEGTSEPLLAVQVTELVDGIFIGFTINHVAVDGVSTWHFINSWAEICKSKGCLQI >RHN62534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44183684:44184105:1 gene:gene25124 transcript:rna25124 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYSAIFFTVIIKQNKKHSPTFMNPYSIIVFCFFMNLVSGFTVSENLWNSKLIFFLDLRLRVLLL >RHN41243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28552591:28561408:1 gene:gene47544 transcript:rna47544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GPN-loop GTPase, P-loop containing nucleoside triphosphate hydrolase MVFGQVVIGPPGSGKTTYCNGMSQFLNLIGRKVAVVNLDPANDSLPYECAINIEDLVKLSDVMIEHSLGPNGGLVYCMDYLEKNIDWLEAKLKPLLKDHYLLFDFPGQVELFFLHSNAKNVIMKLIKKLNLRLTAVHLVDAHLCSDPGKYISALLLTLSTMLHMELPHINVLSKIDLIESYGKLAFNLDFYTDVQDLSYLQHSLDKDPHSAKYRKLTKELCEVVEHYSLVNFTTLDIQDKESVGNLVKLIDKTNGYIFASIDASAVEFSKIAMGAPDWDYYRYPFFSHATILTVNNIIYPAIA >RHN51105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14070318:14071665:-1 gene:gene35482 transcript:rna35482 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTPKIPWNFLQMYNKKEHDQEDLGTKHGKARSEHENSRSRSVISEGDGPQIN >RHN52882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39910884:39911749:1 gene:gene37614 transcript:rna37614 gene_biotype:protein_coding transcript_biotype:protein_coding MCHPKIPFVNQEALIVHTRWLVLQRSNGEEHEPIHVHHGDGGDSGSSGESGSVKRCVCSPSQHPGSFRCRQHHGEYVWRGRTIK >RHN51609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20962062:20962526:1 gene:gene36082 transcript:rna36082 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLGINRITGHNWSLVSWMTPSLSTSGEFSLEWEPKQRELEIKKSGKVYWKSGKLRRNGLFVNIPANVQRVYEYIIVSKKDEDSFTFKIKDQNYKKFPGWTLFSEGRLASEDGDIGNADLCYGYNINGGCQKWEDIPSCREPGEDIYKGGFLI >RHN68478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35887986:35891143:1 gene:gene16840 transcript:rna16840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MSPTPSAGVHVPLLTGSNPAAVPGSIPGAVFNVATSIIGSGIMSIPAILKVLGVLPSFALILIVAVLAEVSVDFLMRFTHAGVTTTYSGVMKEAFGSVGALSAQVCVIITNFGGLVLFLIIIGDVLSGKESGGEVHLGLLQQWFGIHWWNSREVALFITLVLVMLPLVLYKRVESLKYSSGISTLLAVAFVTICSGLAIVALVQGKTQTPKLVPRLDYQTSFFDLFTAVPVVVTAFTFHFNVHPIGFELASPSHMKTAVRLAIMFCAVVYFTIGLFGYLLFGDSTQSDILINFDQNADSAVGSLFNSLIRVSYALHIMLVFPLINFSLRANIDELLFPKKPLLATDNKRFVIITLVLLVFSYLAAIAIPDIWYFFQFLGSTTALSLAFVFPGTIVLRDALGISTRQDKIIALVMIILAVVTSVIAISTNIYNALSSKS >RHN43436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45885051:45886160:1 gene:gene50012 transcript:rna50012 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MPKQPSTPPPIPNIIKHTHHFTQINNIMPKTIQIRYTDPDATDSSSDEEENPIHSNITPRRRIKTFIHDIVIEKETISNKRKNPNTRVKTKTPATQRRPVIYTGKKYRGVRQRPWGKWAAEIRDPKLKFRRWLGTYNTAEEAAMEYDKAAIEIRGADALTNFIQPTTKKVVINSSSDECVVSATSVLQCCTSSSEGGESVTVKDNVIVPMSTESLRECENECSSVSGNLFDNDLVKSESVFPIPNDTLFDEFGNMFLFHDDLSGSFVDTSINSLDLGFTEWNRDYGDFQDIGDLFGSDPVTAVLFDEEMSQVL >RHN47597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40875152:40875374:1 gene:gene42217 transcript:rna42217 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISNVVAPWCKKHGNDHDGCVVWYDYPPTVCDEGDDDDDGGYDYAPAA >RHN39137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4363808:4367051:-1 gene:gene45136 transcript:rna45136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, non-heme dioxygenase domain-containing protein MRSLILTPRTMPETTVDFRAPPPSPVASGRRSTVTNNEFLTEFLETSLRVPDLVLPDKIFPKQINDETPPKVDFVALCFHEDEDLIDVVLESIARFGCFQLINHGISPGIVAAAVEVAGGIFTVPPDMRDAVTRSPEKPRGFEEHDDEVEEKEGRELNEEFIWCKNDEELKLKLEGIWPIGYPNFSKKMETLQSRVEKVAEKILAIILKNVTKKLASDGDIIGHGNEVGTLCCIYKHHQDINRNDHWVDSLKYDVIRMLIRGTDYSHSLCLHVCDGSSEFHVYSKKSWLTFCPEQGALVITAGDQIQVLSGGHYKDVIGKAIFKGKREDNISMAFLYSPQNSKKLQTNMERPISLGQQAILVVILNLMYHVLIFVFKKV >RHN76562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51248208:51250400:1 gene:gene12909 transcript:rna12909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small nuclear ribonucleoprotein E MASTKVQRVMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEVNVKKKSKKTLGRILLKGDNITLMMNTGK >RHN55023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14487502:14488246:1 gene:gene30140 transcript:rna30140 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRLRRSMVEWEVLVATDNTWPPKRIPDKNVSNISKYDSDVEEEVEGKGCAEVDDCP >RHN52318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33865431:33867567:-1 gene:gene36980 transcript:rna36980 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNTMNELGMLADALPGGSRYEITVCYNDKSLLSVNWLFNDYKIVSTSFIKPMQYSSFSTFNAVNQARSNSTKISENAYLAGILD >RHN49568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55703604:55706366:-1 gene:gene44413 transcript:rna44413 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVRTLWQRTANRCFVQEDAKRAPKLACRQSSNATSKQVDAGPANTADESDCTAVNATHFNSKSSFCHPSSDPRWWLHSQPNYGYQKGVTNEKLSALEEEVETLIAGDEIKASSKNSLAFPELMDVMAKHETMEIDSIACSVTSKQTNDFSSEPDYSWIESDKAEPWWRMTDKDELASFVSHKSLNHIENCDLPPPKKKHLSGYPSSIINNHSTKSRSFPSSTAHTQGNLDSGLVHRKQGIAANEGLLYFASDKSSSLDLQLGCTQMLEDVKQSQQISEGDTSKAQLLEALCHSQTRAREAEEAAKQAYAEREHILTLIFKQASQLFAYKQLIKLLQLETLCNQIKNNDLSTSTLFPVDLPWMSYERRKSRKRKQTYSNGRREREGKSNCDITTYAVAIALGLSLVGAGLLLGWTVGWMSPCS >RHN79292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27202252:27206550:1 gene:gene3062 transcript:rna3062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIII family MLCCGGADEDLTTLAANHYSSAAIGANAYGGGRGGGGGGDRGEPRSNIIKSGGPQKALEIETPEIKLSELKRLTDNFGTKALIGEGSNGRVFHAKLSTGEEAAIKKLDTGSSPDPDSDSDFEAQLAIVSRLKNEHFVGLMGYSLEANNRILVYQYASLGSLHDTLHGRKGVQGAEPGIILSWNQRVKVAFGAAKGLEFLHEKVQPSIVHRDVRSTNVLLFNDYEAKIADFNLTNQSSDSAARLHSTRVLGTFGYHAPEYAMTGQINQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAIAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNSKPAGPDSRA >RHN73677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18752578:18753361:1 gene:gene9523 transcript:rna9523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectin lyase MNGTTTSSSNSTSFTILERRRIMMVFVFLCVAALGSIFLARQRNVLVLRGEQVWESPKLRPVVFNLTDFDGVGDGVTLNTKALEIRVDEKYWPLMPALPSYGYGREHPGPRYSSLIHGQNLTEVRIFLPCS >RHN43353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45104536:45108688:-1 gene:gene49912 transcript:rna49912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MSGCNKSPQNDQHSIKYSWIQHGGVSSKVHLARVDSSPSISNNENKQCYRNSQPKFTELLTTPQLLSAVRQLWDSASRPLSLLLPKENVNQDNADKGFPKDRILSYIHDKRNGVVTSNNTDYFSVNPRASISGSQTVQEKLDFPKVTLKVLILESSYGSQDYIHSLFQRYLKASDENSTANCNEMELGREQISLRSENVPSELNCNAKFTEPDNLKTSSLVVKDCISIDTSITSLASESDVCNPDVTIREPPSLSNDAVLNKEEVNSPCSVQCQCKNDDNELMEIQRRHLSDRSDNEPKILIFSANNKKPSHSLAKQEHAFSGALAGICVSCCLHPVDTIKTVTQSCRAEQKSIFYIGKSIVSDRGFPGLYRGITTNIACSAPISAVYTYTYESVKAALLPYLPKEYYSFAHCVGGGCASIATSFIFTPSERIKQQMQVGSHYRNCWDVLVGIIRNGGLSSLYAGWIAVLCRNIPHSMIKFYTYESLKQAMPSSSIQSHTFQTLVCGGLAGTTAALFTTPFDVIKTRLQTQIPGSRNQYDSVPHALYKISKTEGLKGLYRGLTPRLIMYMSQGSLFFASYEFFKSVFSLEASLPTSL >RHN69840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46705941:46710128:1 gene:gene18361 transcript:rna18361 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFIETPMAEIETCSSQSASKNRAGLEICETNSYNSSQLQRTKVKGKGIACKRRNPRVSVRRTRGNNVAAIGFPLGMSVAAVMAQVLYRRDAAAEGMSPDHLSSMCTSAIQESLSSVFGDKLDGLTRNFEQSFDSTLSTLRLIYESPASNEGNKLNNMRLEIPSSKFIRGDCSRDIAIEEDQPGLLSHAHAEIVDQSNRREEVGDNFHMESVTRDVALHGQSNQMVCFAPPSSGALVNNPVISTFEKSIMEQCRSNDLKTVELGLTMKKMKLKETELALRYDLNDLQRSKLAVDISKTSFKAEKFKTQLEDTRYGELNKKCIDCLIAGLFIMSSSLFYGAYVYNFERINEAAESCTPEEESSYWWTPKSVTWLNSSVHVFLCQVRVVSRMVFGFLMIIVVAYLLFQRSATLSSQTMPVTFIILMLGIGCGYCGTMCIDTLGGSGYIWLLYWEILCLVHFLSICWTSALYAILHGPITTLQTKKGDPIVQHWIRRFLFYAILLVVLPLCCGLLPFASLGQWKDHFMSKVFDDQGSVMSKVFDDQGSEL >RHN38440.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000015.1:28430:29242:-1 gene:gene50740 transcript:rna50740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MAKLYSWLFILSASIYYYFFTCLAVSSKKNLTTDEFSLLAFKSSITLDPYHILSNWSISTSASSFSSCNWVGVTCDEHHGRVNALNLSNMGLEGNISPQLGNLSFLVVLDLHGNSFHGELPHELFQLHNRLKMLDLSNNDFVGEIPKGIGDLTQLRFVNLQYNMLTGNILMFNNSSLQYLYLGYNNMTGILPTNICLGLPNLRSLYLYANDFSGMMPNVWRDCKELEELELSKNNFDKGRIPADIGKLTKLQSLYLAKNNLEGKIFLPIY >RHN52094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31437321:31439135:1 gene:gene36714 transcript:rna36714 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVTSLKNELVTMHEVFPEESDSSALSSPTKSSSEERTHETFHRSPQNAEDRDHMEVELQEEEENENRSLVAKMIKNHESIIQQKNEELNRIKNRILQEKKASSSKKRKELSIIQENIQIAIEKLDNLIKRNEKQGESLFNQKAIHEKETLPRKKLSSQEYEIDLGKVIQEQVHKCYLKEMMNELNESSERNVIKRKIREDINFIVFLETIKDINSNQEFLLAKEHFENEIQAIIEEDICMLVIRRSNEEMNKLMENFKFECTIREKLDHIVLEERTKLSSQEYEIDLEKLMQEHVQKGYLKEMMNELSESIERNKIKRKIREDTNFLVFFETIKYMNSNQDVLAKEALGDEIEGTLQEDISMLVFKKTIEEFNKMMTSCKVDNIIREQIDQIVFEETLSYFVNISSYDSIYHRKNTKIQENFSTMILNQVQKVQGQENLTIILLESLLSCFEAEENLMLSAHSEIKEHSKQLDLGSERGDLHEHEIFEDLLTGEEQTFSSLTSKVENVLQQLGISKALLKELGTSLGHSIRDSESFHQQMFAHEQEQLKLSSFESPIFEEFEATVYQKLEMFTLRYVLDLDSMLSQFDAFVQSVHRRLLSQD >RHN56729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33257433:33258184:1 gene:gene32169 transcript:rna32169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S9 MEQVQCFGRKKNAVAVTHCKRGRGLIKINGCPIELVEPEILRFKAYEPILLLGRHRFSGVDMRIRVKGGGHTSQIYAIRQSIAKALVAYYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >RHN46494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32258579:32269023:1 gene:gene40985 transcript:rna40985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, toll-like receptor MYKLFGPMNKLFGPMNKMFGLPREGGTASSSSTDSNSNQSYRYDVFISFRGSDTRNSFVDHLYAHLNRKGIFTFKDDKQLQKGEAISPQLLQAIQQSRISIVVFSKDYASSTWCLDEMAAISESRINLKQVVFPVFYDVDPSHVKKQNGVYENAFVLHTEAFKHNSGKVARWRTTMTYLGGTAGWDVRVEPEFEMIEKIVEAVIKKLGRTFSGSTDDLIGIQPHIEALENLLKLSSEDDGCRVLGIWGMDGIGKTTLATVLYDKISFQFDACCFIENVSKIYEDGGAVAVQKQIICQTIEEKNIDTCSARKISQTMRNRLCKLKLLIVLDNVEQLEQLEKLDIEPKFLHPRSKIIIITRDKHILQAYGADEVFEAKLMNDEDAHKLLCRKAFKSDYPSSGFAELIPKVLVYAQRLPLAVKVLGSFLFSRNANEWSSTLDKFEKNPPNKIMKALQVSYEGLEKDEKEVFLHVACFFNGERKDYVSRILDACELNPGINIRLLAEKSLITIRNEEIHIHEMLHKLGKQIVQEQHPHKPKLWSRMWLYRDFHHAMITNSEAIKAKAIILNQKEDVSKFNKLRAEDLSKLENLEVLILYKSKFSGKPTSLSDSLCYLLWNGYPFTSLPSNFQPHRLVELNMPDSSIKQLWIGPQYLPNLRRMDLSNSKNLKMTPCFEGILNLERLDFTGCINLSHVDPSIGLLTELVFLSFQRCASLVSLNFGRRPLLKSLKVLCLSDCTKLEYTSDFSGLVMLQYLDMDRCASISRIHEFVETLGNLSYLSLRDCTNLVEIPCMLECYIPLKSLIYLDLSFCNISKVPDAIGELKSLERLNLQGNKFTSVPSTYRLKNLSYLNLSHCHMLQSSSELPTANGPSDLLGRYFETTSQSHNHRSGLYIVDSPNYTNLDFFWSGIGWMQRLVKEPRHFRCGFDIVIPWLGHDYYLGNFNFKFKGGLDIVRIENSFSLMDCVGCLFFVKFEVNNCHEVSGSPLGSFSSPLPHPFYLSFESEYTEERFDMPLDLEINKVDGKKYLWVIYISRKHCHFVKTGAHITFKARQGLIIKKWGLHAVANKLKLSIPRDKISLPLQIVKQKERSSSIEPKIQLPYNWYVCDEDEVEMKQAKGKETDLFNLGLIT >RHN61942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39518081:39525196:1 gene:gene24458 transcript:rna24458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative formin, FH2 domain-containing protein MGIERYMVVIKFSFCVLLVLQLVAAVSSIEKKETQDGLVRELFDSASGLLDEHTAKVFRTTCCEDFIHLKKEVEYHDLCLPLELFASTNKVSSTVRPFAQTDIQKLLNACHPQIKEIFLHYLRKNNLLLHVLGEEDDSKIWHVTNTGYLFSTSSIPRRNPGRVLLQHISEPPSLGPTVGSPTPSLTPSPEPSLPPSPEPSLSPSPAPAPLPPKPLSPPLSPASFFPKLTPPAAADISAPPSSDTSGKEDNHSNKTTVVLSVVITISVIFIAAALFFLCFRKAGRRRQNDERPLLSLSMNDYSFGPSNHAFGNTTKGEKLGFQSSSNNLGDNKKTSLQGNQSMGAFAVVGSPFELNPPPGRVGTIHSGMPPLRPPPGRMNPLPHEPPSFTPFGNTAVSAAAPPPPRQSGVASARPPATPSPPQSLLAGAKPVPPRPPLHPALPGAKPSPPPPPAPLGAKPGPPPPPPPAPPSAKPGPPPPPPPAPSGAKPGPRPPPPPPKSGVAPPRPPIGPKAGGPKATENAEAGAEGGADTSKAKLKPFFWDKVPANSDQSMVWNQIKSGSFQFNEEMIETLFGYNAVNKNNGQRQKESSSSQDPSPQYIQIVDKKKAQNLLILLRALNVTMEEVCDALYEGNELPSEFLQTLLKMAPTSDEELKLRLFNGDLSQLGPADRFLKAMVDIPSAFKRMEVLLFMCTFKEELTTTMESFAVLEVACKELRNSRLFHKLLEAVLKTGNRMNDGTYRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGIKAARAAKDSQSLSNIKTDELHETEDHYRELGLEMVSHLSTELENVKRGSVLDADSLTATTIKLGHGLVKAKDILNKNLKNVEDDRGFRETVESFVKNAEADVKKLLEDEKKIMALVKSTGDYFHGNATKDDGLRLFVVVRDFLIMLDKVCKEVRDAQKKPAKPIKQETSRGLSSSDTRPSPSDFRQRLFPAIAERRIDDDSSDEESP >RHN78051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11531006:11533961:1 gene:gene1566 transcript:rna1566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S5 MADRGATGDRAGFGRGFGDRGRGGRGGDRGRGGRRRGAGRREEEEKWVPVTKLGRLVKEGKIRSLEQIYLHSLPIKEHQIIDTLVGPTLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDNNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPEFWKETRFSKSPFQEYTDMLAKPTTKALILEEERVEA >RHN70711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53374813:53375229:1 gene:gene19323 transcript:rna19323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S11 MAKSIPKIGSRKNGRIGSRKHPRKIPMGVIYVQASFNNTIVTVTDVRGRVISWSSAGSCGFKGTRRGTPFAAQTAAANAIRTVVDQGMQRAVVIIKGPDLGRDAALRAIARSGILLRFIQDVTPIPHNGCRAPKKRRV >RHN81565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47072026:47080680:1 gene:gene5631 transcript:rna5631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MGDKRKKHRRSRDSTSPSSSSPCTDSDSDSDHSSRRRRHRREKERRRKSDGSSKRERESKRKEKRKRRDRDRRKSKRHHHYSDDSQSSEDEIHPQIALREMMTEFPNVGNDLMQLLQMIDNGQAVDIKDISEKSLVKHLKRLFISLNLKENGDRVFLLPSKASPTLDVVGPLIQSMHPGNDQADPSAEFPETCSVSAEQMVDDHSTEPPEDHSVGPRKRVIGPAMPSAELLAAAAKLTEAQNEFREADLDDDTELFVGPPPPAMVSEAESANEAERFEEVTRIMEVEINSPYDVLGVNHNMSDGNIKKKYWKISLLVHPDKCSHPQANQAFIKLNKAFKELQDPEKRKEMDEKIKLKQEQEDLKAELKVMREAALWRRSQGISMEGDDELLAQTEVKVDPKRDEWMTTLPPERKPGGVSMQSTQFSRGTKEGRGDTSIWTDTPTDRAQKAKMNYLEAYNQATALASNEEEKKRASTDADLVDKYNKAKRSKTLVQKHREEVASKSKKKSNQQTDKGEWVGQHPWKPWDREKDLGAGRMKVKLDAEGMSEGLSSRFNSGNFQRNFL >RHN47079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36955885:36962247:1 gene:gene41634 transcript:rna41634 gene_biotype:protein_coding transcript_biotype:protein_coding MACPSNSITYNGTLCACLPGHVLDVVSKSCKLFKADSTITTDTGVDFYALKLPETLPGFDSLKKFTQSQAVFLEATLVMLLSWLMFCLFLRFMSLGDGRGVWFKLRWFVSRLDICFATRHWLDDKKVVTKRKTELGGAFSIASWILFIGLFAALLYQIISKRSIEVHNIRATNAPELTSFLNDMEFNITTISSMSCANLRDLGNLVSGNPGFIDERVVSLSTLANYSCHNSSKGPTIALKCKGCKLISDNMYISWQFVDLPNSPATAVGYEFNLASTDSAKKHMSFVNGTLKNGSTFDDRPVTFRGRQSTILKFNLFPKIYRNLHDLKLIQPLFHEFLPGSVFRDTNQLRTSLENSVEGLVNTTLYINFLSAYVVEVDKESILGPVSFLADLGGLYCISIGIFFYLLIQCEYRVKKLRNEDSVLRSIKNRCKAQDNWDKLRKYVKFTYGVPTMDDDNYDESKKGACCDGFMLYSRQSNGSSHKRRQQSKTSSINLYEKPSLPANKSLISKPLGSTNDLTLHYENTAKQHSVGSSRDFPPCQPQESSITDDNFIPPPPSLECKSGSEMDMSDVQKNLKNLYEYNGMLRERLLAAQSLLHSSSSNHSSQIKSNET >RHN62893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46567750:46573315:-1 gene:gene25526 transcript:rna25526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MASRVDTDEEGDNKGSMWDLDQKLDQPMEEEAGRLRNMYREKKFSALLILRLAYQSLGVVYGDLGTSPLYVFYNIFPNGVKDEEEVLGALSLIIYSLTLVPLLKYVFIVLRANDNGQGGTFALYSLLCRHAKIKTIPNQHRTDEELTTYSRSTFHERSFAAKTKRWLEERESWKSAILILVLAGTCMVIGDGILTPAISVLSAVGGIKVNQPHMGNGVVVLIAVVILVGFFSLQHYGTDKVSWLFAPIVLLWFLLIGGIGIFNICKYGSGVLKAFSPVYIYHYFRRGGKQGWTSLGGIMLSITGTEALFADLSHFPVSAVQLAFTLVVFPCLLLAYSGQAAYLMNNLTHSKDAFYRSIPERIYWPVFVVATAAAIVASQATITATFSIIKQALAHGCFPRVKVVYTSKKFAGQIYSPDINWVLMILCIAVTAGFENQNQIGNAYGTAVVIVFLVTTFLMILIMILVWRCHWILVLAFTCLSLVVELSYFFSVIFKVNQGGWVPLVIAGVFFIIMFVWHYGTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPPIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERYLVKRIGPKNYHIFRCVARYGYKDLHKKDEEFEKKLFDNLSLFVKLESMMEGCSDSDEYSLYGQQDGVLNNNGSTTSSNVELTINSIDSIVQVRSPPPNVNITVRSCGQISGQTEGDELEFLNNCRDAGVVHILGNTVVMARRDSNIFKKVAVDYIYAFLRKICRENSVIFNVPHESLLNVGQIFYV >RHN79909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33419337:33428696:-1 gene:gene3764 transcript:rna3764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MGEEAVCVQELVDGKTENTDESRLELKRDYNQCVADTEANVPPNKKQAKEVSNDELRSEVTNPNVSATEHAQTFHDISSQPTESENVSHAECGELTSTGLENSSSHDTVSDEAGVRNNDSDNINNLCQNDKGTSSNDAVSRVVMEIPKHASSTGIRKITFKFSKRKEDYDDYQTPTGYTDGSGSDYGFGYGNGSGYGYGYGYHGDDEYLANDDYNNNGLVESSYGRGYVPYEDSELYSGNMELKMSKKVVPNAFPNNVKKLLSTGILDGAAVKYIYNPGKVELDGIIGDGGYLCGCSMCSYSRVLSAYEFEQHAGAKTRHPNNHIFLENGKPIYSIIHEIKTATNSTPDEVIKNVAGSSINEGSFQVWKESLLQSNKKVPTQKKYSTKSTGIPHTYNSQSIESASSFSSLRVRNHFEQQMYVNQTADEWKRVVKKPSTYTYYSGIPQKRSADGCTKKRDNDLHRLLFMPNGLPDGAELAYYVKGQKLLGGYKQGNGIVCGCCDIEISPSQFEAHAGMAARRQPYRHIYASNGLTLHDIALSLANGQNLTTGDSDDMCAVCGDGGDLILCNGCPRAFHAACLGLHSVPESGWHCLNCEDNTGDERGARPIMIRLTRVDKEPEYEVGGCVVCRANDFSVDKFDDRTVIICDQCEKEYHVGCLRDIGLCELEELPKDKWFCCDDCNRIYVALQNSVSAGADTIPSSLSELIIRKHEDRGLCTYGDMNDIQWRILSGKSRYAEHLPLLSRAAAIFRECFDPIVAISGRDLIPVMVYGRNISGQEFGGMYCIVLIVNSIVVSAGLLRIFGRNIAELPLVATSREHQGKGYFQALFSCIERLLSSLNVEKLVLPAAGDAESIWTKKLGFHKMSEDQLTKHLKEVQLTLFNKTSVLEKMVQATE >RHN51980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29478706:29481094:-1 gene:gene36568 transcript:rna36568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Iron sulfur-containing domain, CDGSH-type MSILSPFKVECAIHRFRDTCNISIFFYYLIYLIAKFKTCETKEKINTKPNSKTPFSMASVLSQVGVVLCQKPCLSERKNGITGTNFNTGSFGIGKKARSVVVKAETGGVSSINPDIRKNEDKVVDAVVLSEVSKAVTPYCRCWRSGTFPLCDGSHVKHNKATGDNVGPLLLKK >RHN76401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49957655:49960303:-1 gene:gene12721 transcript:rna12721 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L37e MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAYPAARVRKYNWSEKAIRRKTTGTGRMRYLRNVPRRFKSGFREGTEAAPRKKGAASA >RHN55359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17632987:17636568:1 gene:gene30529 transcript:rna30529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative origin recognition complex, subunit 6 MDISEIAKKLKLSDSKLLIRKAAELRRLCDVHFDSSIIGVGEVAKAIICMEIAATKLGVLFDRSSAVKLSGMSDRGYIRSYNSLHNTIGVKLKLDVRELAIQFGCVRIIPFVRDGLKLYKERFLSSLPAARRASADFTRPVFMAVAFYLCAKKHKLKVDKIKLIELCGTSESEFSSVSTTMKDLCHDVFGVAKEKKDPKEVKTNRDLLDVLPSKRKAEDGGYLSDDGEEVSSYKKRKQMETKDYEKWKSSVLTSNKQDKKEVPSKKPKQTRLNFVKEAPETQKLEAM >RHN44244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2812639:2813004:-1 gene:gene38340 transcript:rna38340 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNYASLQNSFSHHIKISGNVLLACATYKSLLLGTLGLAYVIQNYSAISLECMKLSFGYIFGSAFELFGFAISLAKLVGNGNFSPYYFVKNGNFNLYSFLVVDQFGYISSQCVYWSTLDC >RHN80826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41105129:41115192:1 gene:gene4793 transcript:rna4793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MTSLSRELVFLILQFLDEEKFKESVHKLEKESGFFFNMKYFEEKVQAGEWEEVEKYLAGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKPKAVEILVGDLKVFSTFNEELYKEITQLLILNNFRENEQLSKYGDTKTARSIMLIELKKLIEANPLFRDKLAFPTLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFIDHTCSPSNGPLAPTPVNLPISAVAKPVAYTSLGAHGPFPPNVATANANALAGWMANASASSSVQAAVVTSSTMPVPQNQVSILKRPRTPPATPGIVDYQNTDHEQLMKRLRPGHSVEEVSYPVARQASWSLDDLPRTVAMTLHQGSSVTSLDFHPSHHTLLLVGSSNGEITLWELSLRERLVSKPFKIWDVSACSLPFQAAAVKDAPISVSRVTWSPDGNFVGVAFTKHLIHLYAYTGSNELAQRIEVDAHVGGVNDLSFALPNKQLCIVTCGDDKLIKVWDANGRRLFTFEGHDAPVYSICPHHKENIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGHWCTTMLYSADGSRLFSCGTSKDGESFLVEWNESEGAIKRTYNGFRKKSNGVVQFDTTQNRFLVAGEDGQLKFWDMDNINLLASTDADGGLQGLPRLKFNKEGNILAVTTVDNGFKIMANATGLRSLRTIETPAFEALRSPIESTSIKVSGSSTANVSPVNCKVERSSPVRPPPILNGVDPMSRSVEKSRVEDATDRTKSWQLTEILDPVQCRSVTMPDTTDSFSKVVRLLYTNSAVGILALGSNGVQKLWKWARNEQNPTGKATASVVPQRWQPNSGLLMTNDIAGVNLEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIISIGMEDSTIHIYNVRVDEVKSKLKGHQRRITGLAFSTNLNILVSSGADAQMCVWSIDTWEKRKSIPIQLPAGKSPVGDTRVQFHSDQIRLLVVHETQLAIYDGSKMERIRQWIPQDALSAPISYAAYSCNSQLIYASFCDANIGVFDADSLRLRCRIAPPICLSSAALSRSQAVYPLVIAAHPLEPNQFAVGLSDGSVKVIEPSESEGKWGSSPPMDNGIMNGKAPSPSTTSNHTADQAQR >RHN81825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49174013:49174216:-1 gene:gene5916 transcript:rna5916 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLIPSKLIEFVFFLSIGVPVAAVRFSGVVVLVGTSKLHLRDVVAGIKMAAKEKEKKKKKGTPIV >RHN42855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41476546:41477922:-1 gene:gene49352 transcript:rna49352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MAWKAQTQVMMKKYHNKFMGTFDKISKIFLPVHDSECSHWFVLVIYFDKKQLIYLDSLPSHSACADRMRSIKKLALYMEEFLMDSSFYMTWTRNKPNISEFSLVTPKDLGMQAANSNDCGIWVIKWMMEKGTNEYQIDVDEGTRLRIALDLILDPSNLLNETTLAVAKQSRRVEQIN >RHN66165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9391120:9392439:1 gene:gene14094 transcript:rna14094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MRFYLFLTLLFFCFCVVTPIESQNRGFSVELIHPDSSRSPFYNIRETQLQRISNVVTHSIKRAHYLNHVFSLSHNDLPKPTIIPYAGSYYVMSYSIGTPPFQLYGVVDTGSDGIWFQCKPCKPCLNQTSPIFNPSKSSTYKNIRCSSPICKRGEKTRCSSNRKRKCEYEITYLDRSGSQGDISKDTLTLNSNDGSPISFPKIVIGCGHKNSLTTEGLASGIIGFGRGNFSIVSQLGSSIGGKFSYCLASLFSKANISSKLYFGDMAVVSGHGVVSTPLIQSFYVGNYFTNLEAFSVGDHIIKLKDSSLIPDNEGNAVIDSGSTITQLPNDVYSQLETAVISMVKLKRVKDPTQQLSLCYKTTLKKYEVPIITAHFRGADVKLNAFNTFIQMNHEVMCFAFNSSAFPWVVYGNIAQQNFLVGYDTLKNIISFKPTNCTKL >RHN45509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21684552:21686201:-1 gene:gene39860 transcript:rna39860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MAYLKFALAAVFLATIFICPMKKVEACAATWCTVVETTVCGSGCGCLAWGIFGGNCVPRSSLTKMVEEHPNLCQSHIDCIKKGNGSFCARYPNSDIEHGWCFTSNVEAERYFEILINPATNNFLKTVSHSINGNGFLKMPVEIAS >RHN66207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9720307:9720882:-1 gene:gene14148 transcript:rna14148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FHY3/FAR1 family protein MFENRGFLCRHVFKILEFLGGSVQYHCLKTILGQYVLKRWTRDVRPSIDKLKSTISVGTEETTQAQRYQQICAVTVQLSTRVCADPEASQVFLHGVLEAGKKAEELLLSKGIHTDTSSVTPSKSSKAAVVGESSTRVNSTAPKFKERPNPIKSKKRLKSDYEKARQRQKFLIGRKKQKRDDEALKGKVATD >RHN41315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29054339:29054932:-1 gene:gene47623 transcript:rna47623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase response regulator and transcription factor RR-A-type family MASPSQNFASKLTALVVDDDAMTRRIHQMTLSNLGVNNTCVKNGKEAVEIHQYGKKFDLILMDKDMPEMNGTEATKQLRLMGISSTIVGVSSHSMEEKEIVVKFMEAGLDEYLEKPLDKAKLNSILHAVEKIKEKENSIPHKIE >RHN64203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57225850:57229744:1 gene:gene26990 transcript:rna26990 gene_biotype:protein_coding transcript_biotype:protein_coding MTAESGGLNFDLPEDVMQVLPSDPFEQLDVARKITSIALSTRVKALELESSELRAKTAEKDELIAELQSQLESLDVSLSQTADNLVRAEQDKENLLKENASLSNTVRKLNRDVSKLEVFRKTLMQSLQEDEDNSGGAPDIVARIQSQSSLTSTSQYGDNGASFQPSISSSMPHVSDSENSYAEDQESDDIRPRVPYNLLVASQRTTPLITPTGSPPSLSASVSPTRTSRPMSPRRHSISFSTSRGTHDDRSSVFSSIGHGSISSSDSVTGSQSGRTRVDGKEFFRQVRNRLSYEQFGAFLANVKELNSHKQTREVTLQKADEIFGPENKDLYTVFEGLITRNVH >RHN77337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6095973:6099848:-1 gene:gene782 transcript:rna782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative succinate dehydrogenase (quinone) MKTTMTGLRSLFLRSLHSSSRSYSSAAIAATAASTTTLRTFSSPRFPNHFSPSSECRSPFSNGIGSMRFYSEEVTHMPDIKDPELYSVFKDLLAENWSDLSDAVVSDAKHALSKSTEDEAGKEVVTNVFRAAQAVEEFGGILNTLKMEIDDSIGLSGEDVKPLPDHMQNALRTIFDRYTTYLNAFGPDENYLRKKVEQELGTKMIHLKMRCSGLGSEWGKVTVLGTSGLAGSYVEQRA >RHN58305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:615425:619917:-1 gene:gene20204 transcript:rna20204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MGGGQENRPPPPQYQGGWNSREAPVMKKGATNRTVFNPVVADETQHTKQLSAVPSKPSAGNLRSPICSIMGDASSGKTMLLHCIRGSNVQQGHEACSFTHYPAQEIRGRSLLVIDAPCHQSFKRSGLCDIAILVVDIMHGIQQQTIDSLDLLKRTNTDFIIVLNKVDRICAWQTCRNAPIREALMQQYASAKYEFRRRVVKIVSQFRMLGVNAQLYYENNTMGETFSIVPTSAISGEGITDMLFLLFGWTQKTMIEKLTYSEDVQCTVLEVKVIEGYGTTIDVVLAQGVLRRGDQIVVSGMKGPIVTTIRALLTPHPMEELCIKGSFMHHKEIKAVMGVKITAQGLEHAIAGASLYLVKPDDDLEYVKQVAMEDVESVLSRIEGSGEGVCVEAPRLLKKL >RHN76424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50153131:50156834:1 gene:gene12747 transcript:rna12747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEQTLSHAIEHEFVQNSERVKSVDLHPTEPWVLLGLYSGTISIWNYQTKTEEKSLKVSESPVRAAKFIVRENWIIAATDDKYIRVYNYEKMEKIIEFEAHKDYIRSLAVHPFLPYVVSASDDQVLKLWNWKKGWSCDETFEGNSHYVMQVAFNPKDPSTFASASLDGTLKIWTIDSSAPNFTFEGHLKGMNCVDYFESNDKQYLLSGSDDYTAKVWDYDSKNCVQTLEGHKNNVTAICAHPEIPIIITASEDSTVKIWDAVTYRLQNTLDFGLERVWSIGYKKGSSQLAFGCDKGFIIVTVNSANYCTLYDFGGRLQ >RHN44995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10545561:10546225:1 gene:gene39188 transcript:rna39188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKFINYKEIKLYATFYMQREKNMDETLKFVYLLIRFLSIFFLIIKSNSFYSHVPNPCITDKDCIRRAGMNIRCRKGYCVNLILR >RHN46305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30546235:30547150:-1 gene:gene40785 transcript:rna40785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ctr copper transporter MGMPMAPGQSMPMSNGTMIMNMQMSFFWGKNAIVLFSGWPNNSLGMYILAILFVFILALAAEVLSNQPSIKRGTNPLKGGLIQSGVYFFRISFIYLLMLAVMSFNVGIFIAAVLGHSLGFFVARSRAIAVANGEDQRSDSATLKI >RHN56346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30169361:30170375:1 gene:gene31731 transcript:rna31731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MIFCRKKRHYLIINRNLFLLQSFIHSQWKRFLSLLVIFFFSNTLPLLLLLLLLLLHHHHFNHNNNPIIIIITQSSSLHFHSDKHKKHSSLPSLMLHYTENALFHQSQSTWEQLLNSSYNPSLHFISKLFKSYTKQNKFNEIINILHSLSSKKLTLLPQFYSLAISCFGAAGKVKLMEETIDEMVSKGFQIDSKTGNEILLCYSVFGSLNEMENAYGRFKRSRFLIEENVIRAMSYGYLKKRKFYELGQFVRDVGLGRRNVGNLLWNLLLLSYSANFKMKSLQREFVRMVELGFRPDVTTFNIRALAFSRMALFWDLHLSIDI >RHN77289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5765801:5771021:1 gene:gene729 transcript:rna729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyadenylate binding protein MAQIQVQHQTPAPVPAPSNGVVPNVANQFVTTSLYVGDLEVNVNDSQLYDLFNQVGQVVSVRVCRDLATRRSLGYGYVNFTNPQDAARALDVLNFTPMNNKSIRVMYSHRDPSSRKSGTANIFIKNLDKTIDHKALHDTFSSFGQIMSCKIATDGSGQSKGYGFVQFEAEDSAQNAIDKLNGMLINDKQVFVGHFLRKQDRDNVLSKTKFNNVYVKNLSESFTEDDLKNEFGAYGTITSAVLMRDADGRSKCFGFVNFENAEDAAKAVEALNGKKVDDKEWYVGKAQKKSEREQELKGRFEQTVKESVVDKFQGLNLYLKNLDDSITDEKLKEMFSEFGTITSYKIMRDPNGVSRGSGFVAFSTPEEASRALGEMNGKMIVSKPLYVAVAQRKEDRRARLQAQFSQMRPVAITPSVAPRMPLYPPGTPGLGQQFMYGQGPPAMMPPQAGFGYQQQLVPGMRPGGGPMPSYFVPMVQQGQQGQRPGGRRGGPGQQPPQQVPMMQQQMLPRQRVYRYPPGRSNIQDAPVQNIGGGMMSYDMGGLPLRDVVPPMPIHALATALANAPPEQQRTMLGEALYPLVDQLEHDSAAKVTGMLLEMDQPEVLHLIESPDALKAKVAEAMEVLRNVSQQQGNSPADQLASLSLNDS >RHN54921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13562606:13564769:1 gene:gene30020 transcript:rna30020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetic acid glucosyltransferase METPHVLIFPCPAQGHVNTMLKLAELLLIQNLHITFLNTEYIHNRLISLNIDDVKSISQCYPKLQFKTISDFQNKEKHPGFGENIVDVISSINMYGKPSLRDIIVSEKISCIILDGGFGDLATDLAAEFGIQLIHFRTVAASTVWIYFCMPKLLDCNEIPIRGDEDMDRIIRNVPGMENIIRCRDLPRFGTSNKMDHIILDKVLQLTQASLKGNAVILNTFEDLESPILSQIRLHFPKLYTIGPLHHHLNTMKKTTSSSFNSNFFKVDRTCMTWLESQPLKSVVYVSFGSTTTMTREEILEFWHGLLNSKKAFLWVIRPNMVQEKRLIKELEEGTSKEKGLIVEWAPQEEVLSHKAIGAFLTHSGWNSTLESVVCGVPMICWPYFSDQPLNSRFVSEVWKLGLDMKDVCDRNVVENMVNDIMVNKKEEFSKSATKMADLASKSVNPDGSSYNNLQDLIQYIRSTSP >RHN71279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57868420:57872271:1 gene:gene19938 transcript:rna19938 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MtST2 MRPALALFPLLLFLFVAIVETRKDLGQYRKLVMKDEDMRKEIQGLLYTETKKNLKTPKQCFKGFKHDCEEPFVIDTQVTIENDIIRAKRKKGVTKNFEPRPNVSKYEDNDINAEEKKRVVKDFEPRPNVSAYGANEIDAKDKKGVIDDFEPRPNVSAYGDNDVEAKKKKGITEDFEPRYNVSAYGDNGVEVKGKKKVVKDFKPRPNVSAYGDNGIDVKENKGANTDFEPRPNYVSAYGDNNIDVTENKGANKDFEPRPNVSAYGDSDIDVKENKGVSKDFEPRPNVSTYGNNDIDVTENKEVNKAFEPRANVSAYKNNDIDVMKKKNVTKDFEPRSNVSVYGDNNIDVEPRPSATKYDA >RHN76825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1594897:1596098:-1 gene:gene204 transcript:rna204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoenolpyruvate carboxylase MDAITKHLEIGSYREWSEECRQEWLLSELSGKRPLFGHDLPKTEEIADVFDTFHVISELPSDSFGAYIISMATSPSDVLALRVVPRFEKLANLESAPAAVARLFSIDWYRNRINGKQEIMISIVRLGKRSWPSFCSLGVIQGSRGTRKRSKGVKLLNNRLERSTLCFRTLQRFTAATLEHGMHPSVSPKPEWCALLDEMAVIATKEYHSIVFRNLVLLNTSYATPELEYGRMNMGSRPSKRKPSGGIESLRAIPWIFAWTQTRFLANKHVIEKDPKNLQMLQDMYNQCPFFRVTIDLVEMVFAKGDPGIASL >RHN48312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46529988:46533429:-1 gene:gene43014 transcript:rna43014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase class-3 MASSTEGQVITCKAAVAWEPNKPLTIEDVEVAPPQANEVRIQILFTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTDVKPGDHVIPCYQAECGECKFCKSGKTNLCGKVRAATGVGVMMNDRKPRFSIKGKPIYHFMGTSTFSQYTVVHDVSVAKIHPDAALDKVCLLGCGVPTGLGAVWNTAKVEPGSIVAIFGLGTVGLAVAEGAKSAGASRIIGIDIDSNKFDTAKNFGVTEFINPKDHEKPIQQVISDLTDGGVDYSFECIGNVSVMRSALECTHKGWGTSVVVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVEKYLKKEIKVDEYVTHNLTLAEINEAFDLMHEGKCLRCVLALH >RHN81552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46956412:46961338:1 gene:gene5617 transcript:rna5617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MASIVVSDDNPNQQQQSLIPVLTVFKNNSILKNIIILNNNNNNNKYNDDQILLVGRHPNCNIVLFHPSISRFHLQIRFNPSSRSISLLDLSSVHGTWVCGRKLEHGVSVDLKEGDTFQLGSSSRVYLLQFVSQFDDVDALKNIGSLGCDDKRKDQSNDETFEDENDSFGTETSCCNGENKLCGCHFCLLSPPYTQSVDETDNIQMGEACPEVEMPGETNLFCTLRECFQQNICIPVAEAVQGSKLHQQSSAEKQLIDPESSFGEKGDGAVDEVPKESEFEGTFEYIVTTGGRVFNSEDMPCSESHQTNTNEEVSVDSLSDGEKQGSCGEEYESELQNLNANSCHKQQYSPDEIVEDIGKQCIENMDPASSEENGVAALSVTPKEHKLEFFSEENDMIDDVLSSVARFFNSENTSSLVKETIHHVTNFQQINTVEEVAAVDSLSDEEKENKCDVEFKAYLNIKPCDEEGNSLVETVEETVKSFQTESVNPLSVNTYSLVEDSIPVTNFQLINIVDEVATVDSLSDEEKENECDEEFKAYLYVKPCDEGSSLDETVEETVKSFQTESLNPSSGNTSSLVEEAIPVTNFQLINIVEEVATVDSLSNEEKENECDEEFKAYLYVKPCDEEGNSLDETVEETVKSFQTESLNPSVTQETDLEITEKKENQTLQSLVAVAGCFDVKFHENCVEESVEGSLTLGSDILSRRDKAASAPQDRTRKSRLLNTPDVDTKFVMSNLKDINIINKPMPQNIFSDLDEEEMFTPNKENSSPTNTFHSQFMRKKGVLEESKSSKSQRAHNLKASFSSIIYSAERCTSAISNKENQTPKSQRAHNLKASFSPIIYSAERSTSAISNKENLTPREAREWKSQRSHNLRASFSPIIYSAERSASAISNKENLTPKEALEWMSGRNPLECRNTMELRKKRVERMPLQSLISSGGNHNSNSSPFSSSPFSAAKSILGVTVRSSNCGHISDKHAQPSRISAERKRSWDLVVDTSSLLNKESRKALQLLQGLKRTRLIIPQSVIRELGSMKQQIGIFRRISEAALALEWIEECIGKTKWWIHIQSSMEDEFRLIAPTPPTQFNEDVLDCALQYRRKDNVGQIVLLSDDVNLKIKSMAKGLLSETVQQFRQSLVNPFSERFMWANSSPRGLTWSCRDDVVLREKYCCLPSKAGLKLLAT >RHN61972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39678425:39681803:1 gene:gene24489 transcript:rna24489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific protein-tyrosine kinase RLK-Pelle-DLSV family MSFITYILFALSLIVSNSIASDDTSSIITQSQSISDGETIVSPKGLFELGFFSITNPNKRYLGIRFKNIPTQNVVWVANGGIPINDSFAILKLNSSGSLVLTHENNIIWFTNSSTNVQKPVAQLLDTGNLVIKDNGNETYLWQSFDYPSNTFLSGMKLGWDHKRNLNRRLIAWKSDDDPTPGDFSWGVVLNPYPDIYMMKGEKKYYRLGPWNGLRFSGRPEMKPNSIFSYNFVCNKEEVYYTWNIKDSTQISKVVLNQTSNDRPRYVWSKDDKSWNIYSRIPGDDCDHYGRCGVNGYCSISNSPICECLKGFKPKFPEKWNSIDWSQGCVRNHPLNCTNDGFVSLASLKVPDTTYTLVDESIGLEQCRVKCLNNCSCMAYTNTNISGARSGCVMWFGDLTDIKHIPDGGQVLYIRMPVSELGKTKTEGNYVRHLDDLDIPLLNLSTIITATDNFSEKNKIGEGGFGPVYLGKFECGLEIAVKRLSQSSAQGIREFINEVKLIANVQHRNLVTLIGCCIEREEKMLVYEYMANGSLDYFIFDRTKSKLLDWPKRFHIICGIARGLMYLHQDSRLRIVHRDLKSSNVLLDDTLNPKISDFGLARTFGGNQIEGNTNRIVGTYGYMAPEYAIDGQFSVKSDVFSFGILLLEIICGKKNRVCHRTKQTLNLVAYAWTFWKHGRPLQIIDSNIVDSCIVSEVSRCIHIGLLCVQQYPEDRPTMADVILMLGSEMMALDEPKEPGSITRKESVEANSSSSGKDTSSNYEMTMSSFSAR >RHN80816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41021099:41023674:-1 gene:gene4783 transcript:rna4783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MKTSTTTIVSLFITLFISPSLASLISSPNNTCNLTPFPSFCLSILPSQYLSIDDQTIFFLQQSLTITQNNIQSISSFFNQSTFPFSTLLVLQDCLNLAELNTDFLSIVLQALETNTTMSSNQANHLQTLLSAVLTNHQTCLDGFPEVNPFPKISTTLSNSLSDVNKLYKITLQFFTLRRTQTIIARLTNQITITTNNRKLLQTSVDNVMVRQKVVVNPDGSGDFITINDAVDAAPTKTGNNGYHVIYVVAGIYSEYISIPKSKENLMIVGDGIGRTIITGNRSVVDGWTTFQSATFAVTGKGFVAVNITFRNTAGSNKHQAVAVRNGADMSVFYKCSFEGYQDTLYAHSLRQFYKNCDIYGTVDFIFGNAAAIFQNCNIHPRLPMQNQFNAITAQGRTDPNQNTGFSIWNCYIVAASELGGANNNYNDIKTYLGRPWKEYSRTIYMQSFIDGLIDPKGWMEWLGDFALSTLYYAEYANWGQGSNTSNRVTWKGYHQIDGKDADEFTVNKFIQGDMWLPMTGVPFRAGFQ >RHN75421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42170962:42173056:-1 gene:gene11627 transcript:rna11627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RAB6-interacting golgin MATPKRSYDQQQQLQIVQHVKNSGMINNIQSPRRDEKEEEMSRSVLAMFREKEEEIERRKLEVRDKVHAHLGRVEKETKRLAEIREEIEGFTDPKRKDVAIVRKRIDMVNKELKPLGQTCQKKEREYNEALEAFNEKNKEKAQLVTKLVELVTESERIRMKKLEDLSKNIDSLH >RHN64028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56017745:56021271:-1 gene:gene26803 transcript:rna26803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monogalactosyldiacylglycerol synthase MEVSYVSPESSKVVSPRTLRKSIVEKVFGKSYSIKGSGSFKKRSESQSSINEDNEGGMELMEIGAERTKNVLILMSDTGGGHRASAEAIRDAFQIEFGDEYKIFVKDVWKEYTGWPLNDMEGQYKFMVKHVQLWKVAFHSTSPKWIHSVYLAAVAAYYAREVEAGLMEYKPDIIISVHPMMQHIPLWVLKWQGLEKKVIFVTVITDLSTCHPTWFHPWVNRCYCSSEEVAKKALLEGLEESQTRTYGLPIRPSFARAVLVKDELRKELKMDPDLPAVLLMGGGEGMGPVKKTAKALAESLYDKENEKPIGQIVVICGRNKNLVASVEAIEWKIPVKVRGFETIMAKWMGACDCIISKAGPGTIAEALIRGLPIILNDYIPGQEKGNVPYVVNNGAGVFTRSPKETARIVAGWFTTKQDELKTMSENALKLANPEAVFNIVRDIHELAKQREPGNFPYELTSSFTSII >RHN78557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16240784:16241023:-1 gene:gene2175 transcript:rna2175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSRRWKLSVPEDRISVLPDSLLYRILSFLPTKDAAATTILSKRWKPLWLSQLIFKFDNQSFPNALTIHNFVDSCHRQSR >RHN69664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45361180:45366661:-1 gene:gene18163 transcript:rna18163 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFIVPRLYCCCNCRNHVSLHDDIISKAFQGRNGRAFLFSHAMNVVTGPKEDRHLLTGLHTVADVYCGDCREVLGWKYERAYEASQKYKEGKFILEKSKIVMENW >RHN44300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3330126:3330344:1 gene:gene38403 transcript:rna38403 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSILLLIAIVPALMCNVVNSMRFDLKSGNPKCIVEEIMSNAMTVGNYSVVNPNEGYPIPDSHKLTVRVCL >RHN67466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27493789:27497270:1 gene:gene15659 transcript:rna15659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MTDSLYKVDTTPRLAQWRIDNLASCTYRKSDPFKIGIWNWYLSVEKHKVVFVKLFPEISNVTRDNPPIASFIMRVVSSAHGNRKALTHPEIKDKVIKSNEDFVWSIEVPLSGKFIIDVDFLDLKIAGPDGGEPCSIWGGEGFTQERSNAKALGSLGRMLREGIHTDITINASDGSIGVHRALLAARSPVFQSMFSHDLKEKELSTINITDMSIEVCQAFLNYLYGIIKNEEFLTHRLALLHAADKYDISDLREAIHESLLEDIDTKNVLERLQNASLYRLTKLKMHCIQYLVKFGKIFEIRDDFNLFLQNADRDLIAEVFGEVLGAWKGY >RHN64891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62701926:62702318:1 gene:gene27763 transcript:rna27763 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRQVGMISRIGIYLLLVNLMKKLLIICSVSLDNTNSHPLFIQVWNWKSSTCLCVVLWKIDHGSLLTNVVRAHRNMTSDDAHVLETTGISTSRKTTMLGWSGILILRMLVSTFNPYNWSTFFGVSLWAI >RHN39878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11317335:11329064:-1 gene:gene45960 transcript:rna45960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MQSGGGGPGRTAGRASTPSAAASPSSSSSASQLGFESLQQQHQQQQQQHQQQQQQQQIGSRQSFQQQLLRKPEGNEAFLAYQAGRQGVFGSNNFQQPNAMQLPQQSGKFVNLAQHGSNQDGQLRGQGSEQQQMINPVQQAYLQYAFQAAQQKQPALGIHSQQQAKMGMLNPASVKDQEMRMGNLKMQEAMSMQAVNQAQGSSSRNSSEHNARGEKQMEQGQQIRPIQAPEAQQGSVQNVMNTQIAVAHQLQMMQAWARENNIDLSHPTNANLMAKLIPMMQSRMVLPPKAGESNVGAQSSPVPVSKQQVNSPAVASESSAHANSSSDVSGQSGSSKARHTVPPSHLGPTTNAGHSSDMAMQQFNIHGRESQAPLRQQVKAGNGMPSMHSQQSSAAMNLGAADHSLNVKGLSSSAEPPQMQYIRQLNQSTAQAGGPTKEGGSRNNAKSQVPPAQMPERQSAFTKQQLHVLKAQILAFRRIKKGEGMLPPELLQAITPPPLEVQAEQPNQPAGGQNQVRSAGHIAAEQPRHVEANAKESKSIPAVNGHSSVKQESFSREEKSAPPPVHIQAVMPSMSKESASTSSAGKEEQKTIGSSFKPKQDSEHGNNSTPVRNESALDRGKAIAPQASVSDTMQITKPAQATTVSQPKDVGPARKYHGPLFDFPFFTRKHDSFGSSMMVNNNNNLSLAYDVKDLLSEEGAEVLNKKRTENLKKIEGLLAVNLERKRIRPDLVLRLQIEEKKLRLLDVQARLRDEIDQQQQEIMAMPDRPYRKFVRLCERQRMELVRQVQASQKAAREKQLKSIFLWRKKLLEAHWAIRDARTARNRGVGKYHERMLREFSKHKDDDRSKRLEALKNNDVDRYREMLLEQQTSIPGDAAERYTVLSSFLSQTEEYLHKLGSKITAAKNQQEVEEAAKAAADAARLQGLSEEEVRAAAACAGEEVMIRNRFLEMNAPRDSSSVNKYYNLAHAVNEMVIRQPSLLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVMVNWKSELYKWLPSVSCIFYAGGKDYRTKLFHQVSALKFNVLVTTYEFIMYDRAKLSKIDWKYIVIDEAQRMKDRDSVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNKKAFHDWFSKPFQKEGATQNAEDDWLETEKKVITIHRLHQILEPFMLRRRVEDVEGSLPPKDSIVLRCKMSSVQSAIYDWVKSTGTLRLDPEDEERKVQRNPNYQLKQYKTLNNRCMELRKTCNHPLLNYPFFSDLSKEFIVKSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAINDFNGPDSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQKRPVKVIYMEAVVDKIPSHQKEDEMRGRGTVDLEDELAGKDRYIGSIEGLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRLTLETLLHDDERYQETVHDVPSLQEVNRMIARSEEEVELFDQMDDELDWIEEMTCYDHVPKWIRANSKEVNAAIGALSKRPLKKTLLGGSIAVNPSELGSERKRGRPKKHTSYKELDDEDLEYSEASSEERNGSANEEGEAGDFEDDGYSGADGAQPIAKDQLENSMLCDGGYEFPPSLEMVRNNQVVQDAGSSGSSADCQKLTQIVSPSISAQKFGSLSALDARPGSISKRMTDELEEGEIAVSFDSHMEHQQSGSWIHDRDEGEDEQVLQKPRIKRKRSIRVRPRHATEKPEDKSGSETIPRLSVQADRKYQAQLRADLESKSHVESNASRNEQNSSIKNKRTLPSRRVANTSKLHSSPKPTRLSAPSEDGGEHSRESWEGKPINSSGSSAHGSRMTEIIQRRCKNVISKLQRRIDKEGHQIVPLLTDLWKRIENSGYSGGSGNNLLDLRKIDQRIDKLEYTGATDLVFDVQFMLKSAMQYYGFSLEVRTEARKVHNLFFDILKIAFPDTDFQDAKSALSFTGPISAPTMVSSPRQVAVGQGKRHRLVNEVEPDSHPSQRQLQRGSASSGENSRIRVRVPPKESRSGYGSGSSIREQPQQQDDSPPLLTHPGELVVCKKRRNEREKSLVKSRTGPVSPSMRSPGAGSVPKDVRLTQQTQGWTGQPSSQQPNGGSVGWANPVKRLRTDSGKRRPSHM >RHN67942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31669739:31674457:-1 gene:gene16206 transcript:rna16206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MKTNKVHSKFMSMKFYSASAASFLLVIAIIFPLAIADLNSDKQALLDFINVVPHRKNLMWNPSTSICTSWVGITCNQDGTRVVNVRLPGVGLIGSIPSNTLGKLDAVKIISLRSNLLGGNLPADIASLPSLQYLYLQHNNFSGDIPTSLSPQLIVLDLSYNSFAGRIPKTLQNLTELNSLNLQNNSLSGSIPNLNVTKLGHLNLSYNNLSGPIPSALQVYPNSSFEGNYHLCGPPLKPCSTIPPPPALTPTPSSAPGKQSSKSKLSKVAIIAIAVGGAVLLFFIVLVIVLCCLKKEDDGGSREVKRKGPSGGGGGGGRGEKPKEEFGSGVQEPEKNKLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTSYKAILEEAMTVVVKRLKEVVVGKKEFDQQMEIMGRVGQHANVLPLRAYYYSKDEKLLVYDYVPAGNLSTLLHGNRTGGRTPLDWDSRVKISLGTARGMAHIHSVGGPKFTHGNIKSSNVLLNQDNDGCISDFGLASLMNVPANPSRAAGYRAPEVIETRKHSHKSDVYSFGVLLLEMLTGKAPLQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIAMACVAKMPDMRPNMDEVVKMIEEIRQSDSENRPSSEENKSKDSNVQTP >RHN46613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33253579:33254163:-1 gene:gene41122 transcript:rna41122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubby-like domain-containing protein MQIVTMHDRWEAYRGESTNSKDLIFTLRKSSLLQLKAKLDVFLADNTKEDVCDFKVKGSWLERSCIVYAGDTNNIVAQMHKKHTIQSILIDKDHFAVTVYPSVDYAFIVALIVILNEINEDDD >RHN48195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45693879:45694283:-1 gene:gene42884 transcript:rna42884 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIFWINNALSLKLVQFSPKNFFCGEKSNIWKNHVAYNKQLVEFDGNLCVVDMYIDDERFYKLGHFLKAIRVKVYKLDQEWGKWLYVKDLGDMFRLFWVKTQTLHCWLEIITDVKEIAIWPRLAWGNCKTSPS >RHN43537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46640367:46643836:1 gene:gene50135 transcript:rna50135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MALITHIMNNKTIFITFILLFCVVSSSYGASDSELLLKVKDNLEKKPEVLSTWNTSTTPCNGDHANWRGVLCYQGKVWGLKLENMGLKGFIDVNSLRELPYLRTLSFMNNDFEGGWPEINKLFGLKSLYLSNNKFSGEVPWEAFDGLQWLKKIHLSNNQFTGPIPSSLSLMPKLMDLRLDGNKFTGPIPKFSTDSKLKTFNVANNQLQGPIPAALSKIPASSFSGNENLCGAPLTACPIKHASIASTCVVVVVVCVALAVIGVTVFFILHRRRRKQEPSSTLENPPSGHYNNKKVGSERDIDDESNRSSRSMSSNHSRRNDHMKLSFIRDDRERFDLQELLRASAEILGSGFYSSSYKASLTNGPTIVVKRFKQMNNVGKEEFQEHMRRIGRLNHPNLIPLVAYYYRKEEKLLVTDFVQNGSLAVRLHGHQALGEPSLDWPIRLKIVKGIARGLENLYKDMPSLIAPHGNLKSANVLLTETFEPLLTDFGLVPVTNQEMAKEIMVTYKSPEYLQHGRITKKSDVWCLGILILEILTGKLPATFLQQGKGSEVSLANWVISVVPEEWNSSVFDKEMGATKNGEGEMGKLLKIALSCCEVDVDKRCDLKEAVEKIQQVEERDNS >RHN61513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36075091:36078024:-1 gene:gene23986 transcript:rna23986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MPIPFKRFKPTDLCIVKPILSSKTHLSDAVSSLDVLHPRGIRLSSHILATLLRRCSDTKSYKEGKLVHLHLKLTGFKRPTTLIANHLIHMYFCCGDYVRARKVFDKMDVRNLYSWNNMISGYVKLGMMKQARGVFYKMPEKDCVSWNAMVVGYAHCGRFSEALRFYGWMRRVCVGYNEFTFASVLIVCVKLKEFELCRQIHGQVVVVGFLSNVVVSSSIVDAYAKCGKMEDAMRLFDDMTVRDIPAWTTLVSGYALCGDMDSAAEMFSQMPKKNTYSWTALIGGYARNGMAHKALGVFRKMIMHRVRPDEFTFSSCLFACATIASLKHGKQIHAFLLRNNIRPNPVVVSAVVDMYAKCGSMETARRIFNFTEHMQDVVLWNTMISALAHYGYGKEAVLMLNDMLKSGVKPNRNTLVAILNACSHSGLVCDGLQFFKSMTNDHGVIPDLEHYAHLIDLLGRAGCFVESMKDLFMIDCKPGDHVLYSLLCVCRPNGSIGVGREVAEFLIKWQPHSSAAYGLLSSIYAALVTWGLVDEARHIMDERRLRQDRSTSWIEIENEVHAFTVSDGLHPLKETLYSALGHLNNQIEDNAPYLTET >RHN80794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40900000:40906965:-1 gene:gene4760 transcript:rna4760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MDSNNKSSDQVISEMVEMGFQHSQILEAIKVVGPSIPSVVEHIFNTSNSSSSNREPSTTHVTKSISNNGKALKKRTFSSSLQVPKSRTINHYFQSSSKVNEKNKNVVVVDDDNDDEDVEEHKEKEFLPRMGFDHDSAVAHAIDVASDWQQKASILLQKHFGFSSLKSFQKEALSAWIDHRDCLVLAATGSGKSLCFQIPALLTGKVVVVISPLISLMHDQCLKLTKHGISACFLGSGQPDNTVEKKAMRGMYSIVYICPETVLRLIQPLQKLAETRGIALFAIDEVHCVSKWGHDFRPAYSRLSVLRENFTTGKLKSLKFDIPMMALTATATKRVREDILKSLRLSKDTSVVLTSFFRPNLRFMVKHSRTSWASYEKDFHELIKVYGGNKKAFTSGDADGVSISSDASDTDSVSSDDVDGNQDDCDDRDINVMHSGNTSNHKKRRKLTIEFLENDVDVFQSADDLDVTCGEFCVQPPPKQCELSETIDTPTKPEKRLKMLKEPLGQGPTIIYVPTRKDTVRIAKYLCKSGVKAAAYNAGLPKLHLRRTHKEFHENTLEVVVATIAFGMGIDKSNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLADCILYANLARKPSLLPSRRSEDMTKQAYIMLSDCFRYGMNTSCCRAKILVEYFGEDFSHQKCLLCDVCNNGPPQRLNLKEEACILLQTIMLAGNARSYSVDSSDNDDIHFNSKDRRLGERPSLTTLVRSIREQFQKFLTTDILWWRGLTRILEAKGYIREGDDKTNVQIKYPDLTELGLEFVKSITEETFYVYPEADMLLETKTDKPFSSFSEWGKGWADPEIRRQRLERMQVDRSPMKRQNPRKQRKRRVRKTKPDLRTSRGRLSAKLSKK >RHN51250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15389440:15389952:1 gene:gene35658 transcript:rna35658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative MRN complex-interacting protein MATSTLFIALQCFNCSTMQVKQKKKSSNKWNCVVCNQKQSLRKVFAQGYKAKDIRTFVQSVNMSRKSIEQDDQQQWLLTGTLNPAQEEYVPGDSDFPADLSNKKKCKTDWSLYLDNDVHRATERDGQQQHGYLLFTLLISIIESIVIEF >RHN53852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4712848:4718804:-1 gene:gene28810 transcript:rna28810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MWTLKSTPFIPHTLPLFIQYHSHTLHLKLSLCNNFCSSLHPSLSSARVNYPQLLICFKPIISISTSLISTMSCLELEVSKENKFKEETDFESESEELTLDGSVDFNGRPAIRAKSGRWSAGTIILLNQCLATLAFFGIGVNLVVFLTRVVGQNNAEAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAVFQVIFVIGLMSLSLSSYLFLIKPKGCGNESIQCGKHSSWEMGMFYLSIYLIALGNGGYQPNIATFGADQFDEEHSKEGHNKVAFFSYFYLALNFGQLFSNTILVYFEDEGMWALGFWLSAGCAFIALILFLVGTPKYRHFKPSGNPLARFCQVLVAASRKVKVEMPSNGDDLYNMDTKESSTDVNRKILHTHGFKFLDRAAIISSRDHDNQKGTCSNPWRLCPVSQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGDAMKTTVYNFRVPPASMSCFDIISVAVFIFFYRRILDPFVGKLKKSDSKGLTELQRMGVGLVIAVIAMVSAGIVESYRLKHADQDCRHCKGSSTLSIFWQIPQYALIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSLLVSVVMKISTEDHMPGWIPVNLNKGHLDRFYFLLAALTSMDLIAYIACAKWYKSIQLGEKRKENDEPGSFKV >RHN52425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35193984:35194870:-1 gene:gene37103 transcript:rna37103 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNTKYSSISEEVTLGTVSPAISTRLLMTRESTPSLTIMNFKEGMKSHHHFSRPLKSLGFLLLCFLSTMPLLHFVWTNLSTSFTATRQRVASFCLFSLLWNLLLCDIRKVVMVKHWLSMKRGFKMIRKAWRGCRDGKRL >RHN80954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42169677:42170862:1 gene:gene4940 transcript:rna4940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MLIYKFKEQLQEGMVFTISSFNVASNSGSYRPSRNEYKLNFTINTKVKLSKTVLVPTNVYSFTPAPDVFNESYDNNCLVDVIGVMIGVGVEREYERDGYVEEMNSFLSSGESQNAVVAIMLTKVKLFQGKPALQNAFSSIRITFNPEIGETKELRKRYIYIFFNITFFSSVIILLIDTFNYSFLSANTNSPASGLIQLPNSSRIPVNEEFLLLTPRTTIEELKNVNKFLFLFITTGSILVKFVHLLV >RHN78102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11899462:11911257:-1 gene:gene1619 transcript:rna1619 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSHMIKPENARDATRSVVDSETEEFEGFVVAEGYGSYGFGACDGDRVAGEGVGLWSRRVMVARVSMRVMVRVLRMKEWFGESGGVRVRRSIASSFTCVLCRVKDFDTFLFIFLKVQ >RHN52347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34187731:34191497:-1 gene:gene37011 transcript:rna37011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MAKELLSLDNGTTCSSWNYSSTRLATGSTDATFQIFDFKDPPSSSSNSLRSSFKSKVHEGNIVKIVWFPPEYGDAVACVSADGIVSLLEEIVEDSQPLQWKVRKTLGSSASKVLDVQFGISSTRLKMVAAYSDGHMRVFELLDPLELTNWQLQAEFQNVIESVSSFGKALCLSASITLNPQKGGSQESCFLVGFNSNTPELNSSKVWEFDQAHQRWLPVAELSLPEDKGDQVYAVAWAPNIGRPYEIIAVATHKGIGIWQLGLNPDHNGRLPVDRVAVLSGHEGAVWQMEWDMSGMTLATTGNDGMVRLWQSNLNGVWHQQAAFEPTS >RHN80321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37160914:37164898:1 gene:gene4231 transcript:rna4231 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SEO-F4 MSLSNLGSATATNSSLNQKNATNSLQNKANFLPNPFDLHDPQILDRVYLTHVTDDEFCDTNIIFELVSSVVLQTIPKISVTSFKPEFPTLKLISCQMITTRNDPHCVHQTTLWILQNLRSYSWDAKALITLAAFTLEYGNYLQLNRVTTTDTLGNSLRVLNQVQTRKISNDVTELVKYIVDMLIHLNVWATWSADGYDPVDVPALTDALQEIPVFVYWTIASIVASTGNLVGVSDYKLSAYKERLSRVVEELVKHLATCERQIRNVDDLTSRTNNYRKPKDIVDCLKALIHRNGTDIPQIYQGNVQVKSGLDIFKQKHVLLFISSLDRIQDEITLLNSIYERLQENPKESKGFMKEDFKILWIPIVKKWDDIQIENFKALKSGIKWYVVEYFSELPGLKIIKDPELIGYIDNPIIPVFNPKGIITNEDAMDLIFQWGIDAFPFRKSDGNDLKLKWNWLWDVIKKATPGLLVKVDRYIFIYGGTNKKWIQDFTLELEKIKRHETIKRADVIIENYQVGKDDPNRVPSFWMGIERKKQNKKHQETVDCKIQEIVKDLFCLRRDPQGWIILSKGHSIKLLGHGEPAYQTLVEFQNWKDKVLEKEGFDIAFKEYYQMKAKEISGREPCEVLNVDTYSSNVIGTISCPNPMCGRVMEVSSIHYKCCHRDEPNNLGV >RHN81657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47854841:47859325:-1 gene:gene5729 transcript:rna5729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain, bi-functional UDP-rhamnose/UDP-galactose transporter MAPSSKAEKKAAVDAAAWMFNVVTSVGIIIVNKALMGGYGFSFATTLTGLHFVTTTIMTLVLRVLGYVQPSHLPLSELLKFVFFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVCLDKIRYSRDTKLSIGIVLLGVGVCTVTDVSVNMKGFVAAFIAVWSTSLQQYYVHYLQRKYSLSSFNLLGHTAPAQAGSLLLLGPLLDYWLTNKRVDQYSYDAASSMFLILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFFFGREGLNLHVVIGMIIAVVGMMWYGNASSKPGGKERWSLPTSKTESR >RHN52037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30676959:30689230:1 gene:gene36651 transcript:rna36651 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEVSPSCVLGALIRNGMGILLLLSIPYVLLNEVYDYVFRLDFYVTFTKRPSCQRLSMLMNLIRCKV >RHN39910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11633770:11634460:1 gene:gene45994 transcript:rna45994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferric-chelate reductase (NADH) MNREAQSIIRLLVVLLFLGCIMIWIMMPTNTFNLKWFPKIRGKADPTYFGAQGETILMYTFPVLLIATLGCVYLHIAKKSSNESNIEIRNGKKHGTTIWNRPMLVKGPLGIVSITEIAFLLMFIVLLVWSFTTYLHIGFKTVSKIAYKNVFVIYK >RHN64667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60859903:60863006:1 gene:gene27507 transcript:rna27507 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PIN2 MITGKDIYDVFAAIVPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMNYHFLAADSLQKVVILGALFIWNTFSKNQDSLDWTITLFSLSTLPNTLVMGIPLLRAMYGDFSGNLMVQIVVLQSVIWYTLMLFLFEYRAAKLLITEQFPETAASITSFKVDSDVVSLNGREPLQTDAEIGEDGKLHVVVKRSTTNSMVSGSFNKSHLNNMTPRASNLTGVEIYSVQSSREPTPRGSSFNQTDFYAMFQSKAPSPKHGYTNSFQSNGNGDIYSMQSSKGATPRTSNFEDETLRMHKKRGGRSMSGELFNNGGSYPPPNPMLSGSTSAGTKKKDSMGGGSGNGPNNKELHMFVWSSSASPVSEGNLRHAVNRAASTDFGTVDPSKYVPHENSVASKAVTELIENMSPRERDVEIEEAVKSPYISQKKMDLEGGGDVSKNTQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLVSFRFHIEMPSIVKGSISILSDAGLGMAMFSLGLFMALQPKLIACGKRVATFSMAVRFLTGPAVIAATSIAIGIRGVLLHVAIVQAALPQGIVPFVFAKEYNLHPNILSTGVIFGMLIALPITILYYVLLGV >RHN80090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35054038:35055273:1 gene:gene3970 transcript:rna3970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MEPETLVSLLLFSATLVSFLFLTIKKQCKTLSKIPNSYTIICSVFPIAANFHRLIQWISDILQTIPSSTFVLHRPFGSCSVITANPVVVQHILKTNFPCYNKGPTFHQSLVEFLGNGIFNTDGESWKVQRQISSHEFNTKSPCKFIETVVDVELNDHILQRFTFDNICIITFGFDPEYLIPSLPEIAFVKAFDDSTRISGDRINADVPFLWKVKKFLNIGPERRLKEAVAEVRGLATKIVREKKKELKEKETLESVDILSRFLSSGHSDESFVVDIVISFILAGRDTTSAALTWFFLLISKHSHVENEILKEITRKSMIVSNDEVKNMVYTHASLCESMRMDPPVSVDAKEAAYDDVLPDGTLVKKGWIVAYHVYVMGRSEKIWGSDWAEF >RHN40125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13487894:13496920:1 gene:gene46231 transcript:rna46231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BEACH domain, PH domain, PH-BEACH domain-containing protein MFSMSSYNCSIWTWNFYMEQQFTAKTLFNILVTDIEATIHCAEWLSIVGGSSTGEQRIRREESLPIFKRRLLGGLMDFAARELQAQTQVIAVAAAGVAAEGLSPDDAKAEAENAAHLSVALVENAIVILMLVEDHLRLQSKQSSSSRVTDVSPSPLSIFYPTNSNSRSVIGQSTEVTSDRTPSSRNSGGISIDVLSSMADANGEISTSVIEKLAAAAAAEPYESVSCAFVSYGSCAKDLALGWKYRSRLWYGVGLPSNIASFGGGGSGWDVWKSTLEKDASGIWIELPLVRKSVAMLQSLLLDDSGLGGGLGIGRGSGTGMGGMTALYQLLDSDQPFLCMLRMILLSIREEDGKEENMLIRTNIEHAVSEGEKPYSALLWSVLAPVLNMPVSDSKRQRVLVASCVLYSEVYHAVSIDRKPLRKNYLEAILPPFAAVLRKWRPLLAGIHELATADGFNPLNVDDNVLAADTQPVEAALAMISPAWAAAFASPPSSMALAMIAAGTSGGESHAPSSTNVQLRRDTSLIERKHARLHTFSSFQKPSEVPKQTPPLPNNKAATKAAAFAAARDRQRFSRIGSGRGLSAVAMATSAQRRNESDMERVKRWNITEAMEVAWTECLQPVSTQSVYEKDFNALSYKFIAVLVASFASARNIQRSEVDRRAREDSITRHQISTGIRAWCKLIHQLIEMRSLFGPFADNLYSPLRVFWKLDFMESSSRMRRYMKRNYQGSDHLGCAANYEDYSGDKNYQRTPVLSVEAISIATINKEKKKVETENMDAKVNNIAENQPRLSDAAEETVEMSLESNATQLQSHKGVVQNSSAFAPGSIPSEPDEKIVLELPSSMVQPLRVLQGTFQVTSRRINFIVDNNETSTTVEGLNFDSAVGNQRKDRSWLMSSLHQVYSRRYLLRRSALELFMVDRMNFFFDFGKPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYSSESLDLSNPSSYRDLSKVTVNPVGALNPDRLKKFQERYNSFDDPIIPKFHYGSHYSSAGTVGLPSFFFPKK >RHN70169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49316404:49319773:-1 gene:gene18724 transcript:rna18724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-AMPK family MDGSAGPGGGNVNEFLRNYKIGKTLGIGSFGKVKIADHVLTGQKVAIKILNRSKMNIMKMEEKAIVFKFCVFASAKSWLIKYAYKKKKLQYVAVRREIEILKMFMHHHVIRLYEVVETSTDIYMVMEYAENGDLFDYIAQKGRLQENEARTFFQQIISGVEYCHKTMVAHRDLKPENILLDSKKSVKIADFGLSSNMRDGHLLNTSCGSPNYAAPEVISGKSYVGPEVDVWSCGIILYALLCGSLPFDDVNTPQLFRKMKAGIYTFPSHLSPDTRDLITRLIVVDPMKRMTIPEMRQHPWFKVGLPRYLAMPPTNTLQQIDVDILQEVVNRGFDKNQLIESLSNRVQNEGTVTYYLLLDNRFCVSTGYFGAEFQETNDSSLNHIHSGEVASPVGGHCFSENMDYQGVGMRHQFPVERKWTLCLKSQAQPHEIMTEVLKALEQLNVYWEQIGPYKMKCRRDVGILGHHGGMVNNYVVLFKVQVVTLIILIYVAFRVNTIFVPKNVNSLISES >RHN55619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20108002:20108676:-1 gene:gene30823 transcript:rna30823 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSGQGLVGHSFDIGESHNNHSSLLCPKGKGKLLNPSSLPSSGQLLMNEQLPQQSWTPRYQNMQVDTFGNAMSHAQYFGQDSATVPPHFDLDVQNHILLGVDFDSSDLLLPTIDSSDLLLPTIDSSDLLLPTTIPGYTTSLCETGASTMQLGEFGFEGFDDPWESFMNSIWHDEILSPEDIQKIG >RHN58644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3615163:3616310:-1 gene:gene20572 transcript:rna20572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyridoxal 5'-phosphate synthase (glutamine hydrolyzing) MAQDTTVTVYNTTAITTDPLQTPYSFKLSLTQTLRGGAIFQVTNLHQAKIAEQAGACAVTVSDQQRMTDPSLIKSIKRSVSIPVISPVRIGHFVEAQILEATGVDYIDENESLTIADDQNHINKHNFRTPFISSASNLGEALCRIREGAAMIRIQGEVKNSGNIAKTVMHVRCLMKELRVLSNMDDDEVFTFSKRIQAPYDIVAQTKQMGRLPVVHFAAGGIVTPADAALMMQLGCHGVFVGAEVFGYEDCFKRVKGIVQAVRNYNDPNVLVEIMMGLNLGDGDGDGDDDRVENE >RHN42504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38897302:38905120:-1 gene:gene48951 transcript:rna48951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA primase large subunit, eukaryotic/archaeal MEVVRPQSHRNLFSSNDAVSAPTIPLYRSAPPLEVRLEDFESFAIDRLRVLKGISDGLSRGKKPDEMEKLVKDLWKMNMRHQHASEVLNKDIISHFVLRLVYCRTEDLRKWFLSMECALFRYRFRFLTTEAQRAIMDEFDLTCKAVNNVEFESIKEKLGQVARSMGQPSPTVDAIFYKVPFEEVPELVARRQVFISQGYAYVAMNQVVSLVATLFRSQLSKTLVLTNRKWTSSIREQEKHRLTPIVEALSSSYLGPDFSQPREYAEISLKDIDQVAKSSFPLCMRHLFDKLKEDHHLKHGGRMQLGLFLKGVGLNLDDALAFWRAEFSKKVGLEKFEKEYSYNIRHNYGKEGKRTDYTPYSCSKVISSTPGAGDHHGCPYRHFSEENLRAALSRMGVNSRAMEDVMDKVRNRHYQLACTLTFEALHGVQCDAGINHPNQYFSDSQKILQPKKDSAA >RHN82229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52148453:52149948:-1 gene:gene6374 transcript:rna6374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MMIEGDQTSLTTATKEQPGEVIDRDKNIDDGSEDDSPKEWLNLSLGGTSLSTAGDPDSQSRPTKVFSCNFCLRKFFSSQALGGHQNAHKRERGAARRYQSQSQRTMALMGLSVNNPMLRSLGVQAHSLVHKPCRSGTMVAPSFQEAYAAGLGMAGTPFMSEDHTNQVWPGSFRMVPQQPQPPQETLKLDLDLRL >RHN70164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49235541:49243161:1 gene:gene18719 transcript:rna18719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloroquine-resistance transporter MAVHSTFLFNSSSLTFSFHSHFNFHNLKLKNKTFNLNSNSMSSSLPIKSPFHLPSSNLKHRTVFKFQSSSSSSSSDWSTATKKTVLLTSATTVSLAVANRVLYKLALVPMSNYPFFLAQLNTFGYVVIYFSILYIRYRLGIVTNEMLAIPKWRFFVIGFLEALGLVSGMSAGAILPGPVIPILNQTFLVWQLMFSALILRRRYSINQIVGCLLVAAGVVIAISSGSNAGQMLSKVDFVWPALMIVSCAFQAGASIIKEFVFLDSSTRLKQKSLDIFVVNSFGSGFQALFVLLFLPLISNLKGIPFVQLPSYLKSGAGCFLNIGAGKTGCEGAPLLPLLYVVTNLAFNISLLNVLKSSSAVVASLMLMLSVPISVYVLSLPLPYLPEGGTTLSPFFLLGCAILVCGLYTYNTTRPARNSSEVD >RHN77322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5994886:5995462:1 gene:gene766 transcript:rna766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MPVYVPSDKLKRVPMDQINIERKIIEKLEKADINNDGRYTKEELKKALKDLGSYYPGWRANRCLVKADFNKDGEISGEEIDTLIDYLLTRGFGKNYM >RHN68543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36342438:36346869:1 gene:gene16910 transcript:rna16910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase TTK family MDGKSNLPIKSDSSSPPDFLRHVQAALKRHRPLGTGQSNIIRPRRTILRQPTHDLISNTNIDSPETSTVIAPSQYANVPNKVPQGASDEKAIELENLSSYMSSLGFTEMEYIEGNRAEASIGLQDDLKQPKIQQVEPDFSLRSDGGMSCSMLPRRATVTRDDLQQFRNFLSQPATQSSVVGPSCATTTSVHSTSAPMMNSTTRLHLDSGSRVGAEPLGEIGVYPIAERVMESENTEASGEKDNKMSVDKAATAVQASDSCVGAELASKESDQSKKHQECMLKETGNLKYASSDVDKLTDGKEPVDVAHVRCQAPVPKTSFLDVKSEYSKLEKPEKAASSKGSSVSKKRSYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCRIYALKKIKLRGRDYATAFGFCQEIEYLNRLRGKDNIIQLIDYEVTDKALLEQVMKGSLSNKDGRVKDDGYIYMVLEYGEIDLAHMLSQKWKELDGSNETINENWLRFYWQQILQAVNTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMSDTTNIQRESQVGTLSYMSPEAFMCNETDANGNIIKCGRPSDIWSLGCILYQMVYGRTPFSEYKTFWAKFKVITDPNHEIKYEPLSNPWLVDLMKRCLAWDRNQRWRIPQLLQHPFLAPHVLPHPTLSQDSSSCKFVQLIAETCTYDPEASKLCQQLQRLLVDPIELTTHSLNSRDQQRTLLSQMSDLCIQLRERLIDTDVKY >RHN78401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14794156:14799057:1 gene:gene1953 transcript:rna1953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative N-acyl-aliphatic-L-amino acid amidohydrolase MMKLNRGSIFLIAFLLSTLTSLQSSSSSSSEESNIISRFQQYLQIKTNHPTPNYTQSSQFLISLSKSLSLESQTIELVPGKPIIILKWAGTSPELPSIMLYSHTDVVPAEHDKWVHHPFKAHVDDEGRIYARGSQDMKCVSMQYLEAVRILKSWDFQPKRTVYLVFAPDEEIGGHDGAEKFSLSKDFQDLNVGIVLDEGLASPDEHYRAFYAERSPWWLVIKAVGAPGHGSKLYDNSAMENLLKSIESIRRFRASQFDLIKAGLKAEGDVVSINMAFLKAGTPSPTGFVMNLQPSEAEAGFDIRVPPTADAESLERRIAEEWAPSCRNMSFRFKQKLSVLDASGKPVITKTDSSNPWWALLENAVQESGGKLGKPEIFPASTDARYFRRVGLPAIGFSPMANTPILLHDHNEYLHKDEYLKGIKVYESIIKAYASFDERVKDGTSKDEL >RHN60768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30257497:30260164:-1 gene:gene23127 transcript:rna23127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQILMFIYDLIIFLSIFIIVTNGGLIPCVSDADCPEELALVMKCINKLCELVMEIED >RHN43142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43511170:43516229:1 gene:gene49673 transcript:rna49673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GPI transamidase component Gaa1 MVENDSVTEKKPRIRPIVRLGFFLISHSNYVSLLCFLSGIVALLLLPILANNTYISENALMPGSANSMLSTQHVSHANKFINDLTHSDIESHKTVAQYMSALDAEVTYHKFYPQLNQFHPLHFFTSSDSGIISKNISCSSLGINVAGIIRAPRGDGKEAIVLVTPYNPKKVGPGEALSLGIAYSVFSLLSRVTWLAKDVIWLVADSQYGEYSAVSAWLREYQAPVFHEAEIVNSETCNDSIAISELGQNPYSDRNSYGGFRRAGTMAAALVIKVDEQGNHHEDSLNIYPEASNGQMPNLDLINIVNYLGVHKTGFRIKVKKMFSLLGSRWLNTLGGIFESLGQIARSLNPQWKFGISATEYVEGAATLASSMYSQGLGVPTGSHGAFRDYQVDAITLKISPKDSPTKMIRRNDFILRGGRLIEGVIRSINNLLEKFHQSFFLYLLSSSSKYVSVGVYMIPFALLVAPLPIVAASLHADASKSTLQSTYSSEVEVSHKSWKWLNSARKVLVIHLWGAVVSLLPYFLYQIPNSTPTTNFTVWGILSAFSLIILYFILGSPIFEAAPSQPEKGEWASLKSVTISTAFIGLSLMSVINFATAEIGALLIVPICLMARPLKLDAQARSWRALLRATCNLALGFIAFPPVAYFLVKGAFEDFNGTNVGDYWNWVESLWTWNSATYLYVGIVHLPCWALCIHILFHPC >RHN50640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8733341:8733871:1 gene:gene34950 transcript:rna34950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MVRFSILVVVFLLFVASSYADHDVDVQSICKQANDPSFCLVLLKSKPNGVGGDLKSLAQYTLNVLHTNTSNTLTLINKLIAQSGSDPKKQNHYKDCLALFGVEYKGVLGYVLDSLKQFKNSKFNQVGVDMVYLRFHVDDCISGDPSDTSLLPKYGNDVRNIADTTLIMTNMLVRRS >RHN56906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34628863:34631230:1 gene:gene32371 transcript:rna32371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MVRFFKIIFLFSTTLFLSLSESSTTNLYLYGGCTQQMYTPNSPYESNLNSLLTSLVNSATYSSYNNFTVLGSTQQDIIYGLYQCRGDLAMPDCASCVARAVTRAGDMCRDTCGGTVQLDGCLVKYDNATFLGVEDKNVLLKKCGPSVGYNPEAMGSRDAVLGGLVGLGGPFRVGGSGMVRGVAQCTGDLSFGECQDCVVEAIGRLKSDCGTADYGDLFLGKCYARYSTGGAHDTSKAHGKFGIEGEKRFALIIGLLAGAAILVILIAFLTKICRRQGK >RHN40529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17217659:17219945:1 gene:gene46689 transcript:rna46689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MRVNVCGLQLCANSGCNDGSCVIWDFEVRGVAKELRDDECCSPITSICWSKGGNRILASAADKSLSLWDVLSGKRIKRIVLQQTPLLARLHPGSSKPSLCLVCPLSRSPMIVDLNTENTTYLQVSVSDKPSGPNPASRNKGSDGSTSFTPTAACFSKYGNLVYMGNSKGEILVIDSKDGEMRAMVPISGGSAVKNIVFSRNGQYLLTNSNDRVIRIYENLLPPKDEVRALAELNENENIGDLNGVEKLKAVGSKCLTLFREFQDTITKVHWKAPCFSGDGEWLVGGSASKGEHKIYIWARTGHLVKILEGPKEALIDLAWHPVHPIVLSVSLSGIVYIWAKEYIESWSAFAPDFIEIEENEEYVEREDEFDLKPDTDNVKVSDVNEDEDVDIITVEKDPAFSDSDMSEEELCYLPVSPIPDVPEQDDRLLDSSSKIGDSNNSGSPFSEEVVANGHMMSRASSPVEDGAVATQIKRNRKPSEKVLASKSDKSSRTRSKYLVDESDDSDFYYDEFSDE >RHN64171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57080809:57082728:-1 gene:gene26958 transcript:rna26958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MQKHKGSVSATTMDKPLKLYFIPYLAAGHMIPLCDIASLFASRGHFVTIITTHSNAKILPKSNNNFNFQTFQFPSQEVGLPDGIENLSSVSTIDEGWKIYHATTLLHGLIENFVEQHPPDCIVADFLFPWADELAKKLHIPRFVFNGFSLFTICAMESLKLHPLPEDASGSFVIPNFPYDIVINSTPPLESKSFMDPLLTVALKSDGFIINSFVELDGKEYVEYYEKTIGHKAWHLGPASLVRKTTQEKANRGGESEMSVQKYLNWLNSKQDNSVLYISFGTICYFPDKQLYEIASAIEESGYEFIWVVPEKKGKENESDEEKEKWLPREFEERNKGMIVRGWAPQMVILGHPAVGAFLTHCGWNSVTEAISVGVPMITWPVHSDQFYNEKLITHVRGIGVEVGADEWITTAFRDMKKLVKRDFIKKAMRRLMDGGDEAVQIRNKAQELAKIAKDVVREGGSSHDNLTTLIDELKHLRDNRVPKQL >RHN40235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14491494:14496626:1 gene:gene46358 transcript:rna46358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Hemopexin-like domain-containing protein MSSIPPSSEARVCAANFPLPVRQEDIAEAQRLASNLDRVNRPILGHEVAGRVDDFEFDIRRPVYRWTTRPYQEILANGFQAPAQGNTPNNTYYNLDDFVHNAGAPLDPNRPVAHVFISTTVNNAWRPNPSTDVLPIGSQIQLYRYEIFAPGGIWVAVSLMGRYQHPNQAEITFVAGIQPQYIRSVQIYTATRRAPGFPTMTRERRLIMNGHFRPHMDLQFTIHTPITFYIDDNGIRRELPEETYTPHERDQVQKRDVSSSQDNEEVELHSYGAVENIPIYINAAFRASANNEAYLFMNNEYVLINYARGSTNDYIINGPLYICDGYPSLARTPFGEHGIDCAFDTDKTQAYIFSANLCALIDYAPGTTNDKILSGPMKITDMFPFFKDTVFERGLDAAFRAHSSNEAYLFRGGHYALINYSSKRLIHIETIRHGFPSLIGTVFENGLEAAFASHGTKEAYLFKGEYYANIYYAPGSTDDYLIGGRVKLILSNWPSLKKILPRNNGRLDLHTHKDHPDEDNGSYDHIEL >RHN50091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3982191:3987788:-1 gene:gene34344 transcript:rna34344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-AMPK family MDGSNSIVGGSVDICLTNYKLVKTLYHWSSGKVRLAENVLTGEKVAIYLIDCRWKENTQMEEKVRREINTLKLLRHPHIIQVYEVIETLTNIYVIMEYMESGELFDYIVEKGRLHEDEARKFFQQIISGVQHCHNNMVAHRDLKPETILLDSKFNIKITDFGLSNTMQHGQLLKTRCGSLNYAAPEVISGKLYDGSKVDIWNCGTLLYALLCGALPFDDENIPTLYKKIKGGIYTIPSYISPGASDLITKLLEVDPTKRITILEIHQHPWFQISMPHYMAMPPLLDKVQQSKKLEEEEEKLRLDGLDCKNVLFYILSRLSLLLPQKLAKICSRYFDEKFYTSTRVFSREDTNLLKFEKIHNGDNDSNMVTKTLEEKI >RHN45783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25530756:25537908:-1 gene:gene40182 transcript:rna40182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MLSRMMRCGTPMGKENAVAALLELCRSGGSAATERVVKAPAIAGLLQTLLFTGTK >RHN65076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64035005:64035358:1 gene:gene27969 transcript:rna27969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MRNPTLLLVLLFVVVLFSYAAARNQFAPGGWSPIDDINDPHVTEIANFAVTEYDRRSGAKLKFEKVINGESQVVAGTNYRLTLSASDGSYSKNYEAVVWEKIWQHFRNLTSFVPVHA >RHN61252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34162873:34164063:1 gene:gene23693 transcript:rna23693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MEAYNKVMIVGILLIIANTMLLVNGQSLCHMTKQGLKACAPYVSGDNSVNGQKPSDVCCSAIAKADLQCLCRYKDSGLLSFYGIDPNQAMELPVNCKLMDNFHC >RHN56434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30832728:30833102:-1 gene:gene31835 transcript:rna31835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MCELAGSNDGSCVIWDFETRGIAKELRDNECSSPMTSICWSKCVNRILVSAADKSLLLWDVMNGKVIKRKVLQKNPMQACLYSDSNLPYK >RHN43937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49578825:49579640:1 gene:gene50575 transcript:rna50575 gene_biotype:protein_coding transcript_biotype:protein_coding MEPERWLFSRKRVLSLVKLLNEVEMGPEKLLKPMLSRTMSGKVKRKSGI >RHN39978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12034413:12036745:-1 gene:gene46065 transcript:rna46065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MWVVFVARIAVVTGSNKGIGLEIVRQLASAGIKVVLTARDEKRGLHALETLKASGLSDFVVFHQLDVANAASVATLADFVKSQFGKLDILVNNAGISGALIDDKDLASLLISNPRALSEDEKKKAVTQTYELAEECLQINYYGAKITTESLLPLLKLSDSPRIVNVSSTTGKLKRIKNEWTREVFGDVDNLTEEKVDEVLKKFLEDFKEGSMESKGWPKTGGAYVLSKAAMNAYTRILAKNFPTLCINSICPGYVITDITGNTGLLTAEEGAASVVKLALLPNGSPSGQFYHRTEVMSSF >RHN44307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3381182:3383519:-1 gene:gene38410 transcript:rna38410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAKKYEGCAVGIDLGTTYSCVAVWLDEHNRVEIIHNDQGNKTTPSFVAFTDDQRLVGDAAKNQAPTNPENTVFDAKRLIGRKFGDSVVQNDMMLWPFKVICGVNDKPMISVKCKGQEKHLCAEEISSMVLTKMRKTAEEFLELPVKNAVVTVPAYFNDAQRKATIDAGVIAGLNIMRIINEPTAAAIAYGLDKRTNCDKVFQVKAIAGNTHLGGEDFDNRMVNYFAREFKKKNKVDISENSRALRRLKTACERAKRILSFAVITTIEIDSLFQGFDLFSSITRAKFEEINMDLFTECLETVKSCFADVEMDKSAIHDVVLVGGSSRIPKVQQLLQEFFAGKHLCNSINPDEAVAYGAAVQAALLSEDFKNVPNLVVQDVAPLSLGIEVIGDIMGVVIARNTSIPIKKTKGYSTASDNLTSVLIKVYEGERARASDNNLLGSFCLSVPSAPRGHPFEVCFTINENGILIVSANEKSTGSTNEITVTNDKERLSSQEIIKMIKEAENYRVEDEKFLRKANVMNALDYCVYKMKNALKKKDVNINLSSQENDKINSAITKATSLLDSTQQSEIDVLENHLKELESLLKLIIGKSV >RHN58515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2398640:2400022:1 gene:gene20434 transcript:rna20434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal transduction histidine kinase, phosphotransfer (Hpt) domain-containing protein MNRLLSFLFHQGVLDEQFLQLQQLQDETSPNFVYEVVNIYFHESEKLLRNLRALLMEREFMDYKKLGIDLNQFMGSSSSIGAKRVTNVCVAFRVATEQNNRAGCFRALEMLEHEYCYLKNKLHELFQIEQQRALAAGVRYPVQNE >RHN80958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42208238:42209650:1 gene:gene4944 transcript:rna4944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MGKGSTSSSSSSNSRRRKHNSSNVSSSITHQNPDQQHLFPDLNLGLSISTTQQYVDYSTSRGHWQPIQQQGGEVNDCSDYNSAFFVKVYMEGIPIGRKLNLLAHNGYHELVNTLEQMFDTTILWGTEMDGVQSERCHVLTYEDGEGDLIMVGDVPWEMFLSSVKRLKITRVDAFGF >RHN74993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38540657:38541046:-1 gene:gene11138 transcript:rna11138 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMMSRALILFLIIIPLVMSSEGRTFPKFSSMSPKKVSSELLLRDMIKSIARNNSEHIHKRSMLGNQLERVAPSGPDAHHH >RHN80854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41294168:41301260:1 gene:gene4829 transcript:rna4829 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVITPEDVLESLMNDGTIDALRLKIINQLKANEELKSTTIKMAEQSKVLNTPGAEKQTKRELFDALRQELEASVLEKASKSVWDLILDNNGLGKEISETVERVFCRLSGQEPPLFPLLNGEPQPDKEADSRKEKGKGKLKENENTSLITPSKKRSFSETNLDGADETATRSSDPATRSSDPAAISEGSGKSPLSISKT >RHN76599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51564519:51565915:-1 gene:gene12949 transcript:rna12949 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKFGFVSLEKNVETQQAVQNDAEDINMIDVEGNKSSQEEEIMEISRMRKGPVLDLMPLFFRESNCK >RHN53451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1729290:1730148:-1 gene:gene28359 transcript:rna28359 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKQPRGTEPHIVIQSNQPDTTSTIQRICVRILGFGGAIISKIEDGTNNKIGVLIKFGDSSSANVAISSNSIMKNKNSVDPTSSNSVSQGLDLSLKL >RHN71127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56671660:56672436:-1 gene:gene19776 transcript:rna19776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSFDIEQLRGLCEKMEGKEVLEQAEALRDALSCKKDAVDEKSNQQNGSVKVNGSSNSLASYVEKKEKPWTKEEIELLRKGIRKFPKGTSRRWEVVSEYIGTGRSVEEIMKATKTVLLQKPDTAKAFDTFLEKRKPAAQTIASPLTTREELEGVSVPAATTTTENGAAKTTTVPTPTTTTLTPITTNSISSEDSQGVFEQEVWSAVQERALVQALKTFPKEASQRWERVAAAVTGKIVGQCKKKFAMMKESFRNKKAAV >RHN81446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45982376:45988142:1 gene:gene5490 transcript:rna5490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase C MMTTLWFSLAVVLLLWSPPSSSAATINDEIAKNLIRNLNLFPSHDINILDAKPNVEENQNNNKIIVEKPLKFPNFSGEYDEGVCIDDLAHRAGYYPIQHSHAAKMFYFFFESRKNKKDPVVIWLTGGPGCSSELAVFYENGPFKIANNLSLVWNEFGWDKVSNLLYVDQPTGTGFSYTTDKRDIRHDEDGVSNDLYDFLQAFFGEHPEYATNDFFITGESYAGHYIPAFAARVHKGNKAKEGIHINLKGFAIGNGLTDPAIQYKAYADYALDMGVIKQADYDHINKVMVPACELAIKLCGTDGKIACTASYFVCNTIFNSIMSHAGDINYYDIRKKCDGNLCYDFSNMEKFLNQGSVRKALGVGDIDFVSCSSTVYQAMLVDWMRNLEVGIPALLEDGINLLVYAGEYDLICNWLGNSRWVHAMEWSGQKEFAASSEVPFTVDDSEAGVLKNYGPLSFLKVRDAGHMVPMDQPKASLQMLKKWTRGTLSKSGADEEILVAEM >RHN80256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36580548:36583496:-1 gene:gene4159 transcript:rna4159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling ARID family MANGSSLDRPEAETFTEFHKNGGCLVEGRVDSADHDNDKAKQKSLFFQLFSAYLKENCSRGNVRPVPVMLGEGQLLDLYQLFALVKEKGGYDAVSRKGLWNSVIVELGLDLRILASVKLVFDKYLNDFEGWLRKSFEEKSLKNGNDGLNSLPIDLEKEFQNLFCSNLKDKDDDFVPLESSNIIKHIDLVNQKSDGYLLDTKNQNNKCDGVQNVNSYGNGGDDEKLGTGVKDVMTASCVETEKEFNSRKRNRESPVAQPLTEPSKGKEYKGDQDIFVQMLRARDVLSVRKHAEPNRGSSSKVKMNPAKYEDLRRSKRLSVAKLHGMESEKIPMEKTTSKPDVMIKKKKSTSKAAVTKKKISTAKAAVTEEKKYDPFSDDSRDVSVGPLFQVEVPQWTGIVYGSDSKSLGTQVWPVKDDSRPTTETDLIGRGRQGKCSCNVQGSVDCVRLHIAANRMKLKFELGSAFYHWGFDKMGEEVSLQWTGDEEKRFKDIMSLKIPSQNKSFWNNPSSYFQKRTRKDMVSYYFNVYLIQLRSYQNRVTSETVDSDDDEIEFESFGDGFGRKAIKRPSIEFKECSENK >RHN41821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33342992:33344581:1 gene:gene48183 transcript:rna48183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MREIVMIATAATLGNLLVGWDSSTIAGGMRYIKQEFNLETDPTLEGLIVSMSFLTGTFVTIFSGTVSDMFGRRPMLITSSIMFFLSGLVMLWAPNVPVVLFSRLLNGIAIALAISLTPLYISEIAPPDIRGLLNTLPMFSSSLGMFLAYILVFSISLMDSPSWRGMLGIVSIHSVAYFFLAVFYLPESPPWLVSKGRISEAKRVLRRIRGVEDVSGELALLAEGMNPGGEATTIEEYIITPASELLSNKEAGKDCIKLYGPNQGELSMIAQQVTRQSSTISGGMLTISRQGSTTSHVSYSIKDNIVNLFSSMHENSPPEGGGSRGSMLISKVSSNDPSPFGTNDNLHTPLLLHEGSVFYQFNNNNNYIQDMPPNTHIIVTIILQGRR >RHN41733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32475577:32478395:1 gene:gene48085 transcript:rna48085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WEB family protein MEPQPESPSQTGDETSPNCDSGLKNVIDTAAPLKSVKDAVSKFGGIVDWKTRRTQSLERSKIGHEFGKPNTAEELENTKKLTEELKLNLESVERDELQVKEEVELVIRKIEELEQDLADEASFEAKAQLEVEKSMHSAAVSELEHLKKELDSLRKEYDFIVSGRDAAINNAEEAVAASKEIEKAVEDLTAELIATKESLISTQTAHLAAEEQASGVIDEETQNYKLELEQSEKELETLNEQVLSARVLKSKLEASSSLLLDLKTELAAYMESKLEDETNEERKKELEEVKMNIEKATNEVNSLREASILLQSELKEEKLILNNLKESEEKASAKVTSLQVELEKSKSAIAFLQMKENEAKEIMAELPKKLQAAAQEADEAKSLAQTAKAQLLEAQEEAEKAKANLATLESRLQATQNEIGAAKVSEKLAKDSIKALERSESARGGSNKNEVDSSSLVTLTLDEYHELSKRTQKAEEQANLRIAAANSQIEMAKESELRSLEKLEELNEELCVRRESLKIANENAEKAAEGKSAVEQELRTWRAEQEQRKANAAAAAAATVNVNDSPNSSKGKSPVDTTTTTTTAATTTTTSPTIDNNNNVEAGPASDGKKKKKKKSLFPSKVVMFFAKRKTHPSK >RHN52514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36250712:36251289:-1 gene:gene37202 transcript:rna37202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MRIINELTAVAISYGLDKRAECAGERNTFIFDLGSGTFDVSLLTIQDKVFQVKATAGNTHLGVEDIDNRMVNYLRLSSKEIQEMIKEAENYHVEDEKFLRKANVMNALHYCVYKMKNDLNKKDTNLKLSSQENDKINSAIKKDTNLLEISNQQSEIDILENHLKDLESIVACISVFDFSFPSTISK >RHN63207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49365628:49369142:1 gene:gene25883 transcript:rna25883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MEVKSLSSNSLSTFEVGKFSSTLFTSFRHKFGVRYSPKFKCRRGLFLKTCSASDDTYLADKAVIFSDDFASETSSHCINGSTSSLYYRNLILDAFDDEYGGILIDPNRLPHNPYAFASMLCLSLSHWKRMGKKGIWLKLPIEQSDLVPVAVKEGFQYHHAEPGYVMLTYWIPEGPCMLPANASHQVGIGGFVINQNNEVLVVQEKHCSPATLGLWKIPTGFIHEAEEIYTGAVREVKEETGIDTEFIEVIAFRHVHNVAFEKSDLFFICMLKPLSSTITVDDLEIDAAKWMPLVEFVEQPLIQEDSMFKKIVDIFIARLGKRYCGLSTHQVVSKFDGKITSLYYNVFDNDDSNCVGK >RHN48199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45730753:45731689:-1 gene:gene42889 transcript:rna42889 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFLLSANTGEVPSSKGLELLAISLSDSLTTSSGKFNTANEPLVEKAA >RHN45884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26525306:26526813:-1 gene:gene40308 transcript:rna40308 gene_biotype:protein_coding transcript_biotype:protein_coding MKAETRFWYIRSDQIHLRAMDSSTDDPFLMLSYSYAVVYV >RHN66093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8533263:8537792:1 gene:gene14008 transcript:rna14008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MSKLEQNLTMESKTLESSLSVPSVQELSLKSSQKVPQRYIRDDGDDVFSTFPSSDPSLTIPLIDKAKLVNADTQQDELHKLHLACKNWGVFQIVNHGVSSLKNMGNQVKRFFDLPLHEKKRWAQKPGSLEGYGQAFVTSEEQKLDWNDMIFLKSLPIQNRKLDLWPQNPPEFRETLEKYSEEMREEAISIMSFVTLALGLTDTKISESFSEGLYDIRMNCYPPCPEPERVLGIIPHADNSGITLLVDFGDFPGLQFLKDGKWVGVQPIEGAIVANIGHIIEVMTNGIYKAPEHRAVVNKMKERLTIVTFCYPSPSMDIAPAKKLIVEGNQQVYKNMTHAEYFDRFFNRKLDESFIDSIRL >RHN54566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10536789:10539833:1 gene:gene29630 transcript:rna29630 gene_biotype:protein_coding transcript_biotype:protein_coding MALISELLASVMILVTRGFSMLKEACLFPIRIGLVVIYTWTELIRTAIIFNVNIVLGIISWTIGLIFLPVRAVNAIQRERQLEQQLHRMQTEMENLEWKQNKLQERFQMALKECKMMEMLLAELEEEHDMAVAKIENLEGKLRGQINENLRLKEIQGKGYWNSKDQNIDNDRKIEKTNNGIPPPNLPWKSGNSENEVSLKDLLMHKDMWEDEDKTRIELLKLLKTGQKSAPANSAAKPEPISKDIEVSEVLDHHRYVAISQSIFSAVLSLIVGVTVWEADDPCMPLIVALFAVVGMSLKSVVQFFFSIKNKPASDAVALLSFNWFILGTLTYPTLPRVAPMLAPLVLRFLDQTMTRFGLLSLV >RHN55753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22576308:22577069:1 gene:gene30995 transcript:rna30995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MTLSSKKANCGDHLDRISDFPCNVIDGILEHLNIRDIVRTSILSKKWRYTWISFPRLEFDKEFFNQYDSEGHVDRGPEVSSIITEVLLVHNEPIYKFTLFIPYDFNITFGNLSKWILFLAGKGVNSIKLLNDKLDFYRMPSHFFSCQELTHVRICRFNLSVPPSFCGFKNLLYLHVERIKFESGALENLISSSSSLEELYIVRCFGYKCIDLSSSTLKVFQIEYCYTLILDLKILIQISFFNTDICQFSVILL >RHN40418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16076102:16079654:-1 gene:gene46566 transcript:rna46566 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRFSTPNVYIFLRVLLILFSASVACLYCCFVPSLKFWSVGGDPAEVLIVYFFVKEKRNSSIFILIVSLAHLMKT >RHN50297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5920526:5921390:1 gene:gene34569 transcript:rna34569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant specific mitochondrial import receptor subunit TOM20 MEFVCMMAEKTYKKTPRDAENLTRWAVALLRLSSDQSFPDSLHTVEVSILKLLEAFPLNPKNLDVLWLLGMAFTEQAILTPDDNDAAAVYFKRAFRQDPSNPSYQFSSELADFKYHEQTRGLCPRTKAHDQGKHAKIHTHGLIQQSSPAISCFKGNKTYT >RHN71877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3304936:3307279:1 gene:gene7521 transcript:rna7521 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLENLEWVNVYGKKRCRSLFWRMRATLKRALRNGNKQRQKFHYDPSSYALNFDDGCSFSHLRDTMEKKFIAEDVRAQEFKFNDIDNTIWVYVIWIKTK >RHN44664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7358208:7359995:1 gene:gene38808 transcript:rna38808 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMEERFENLSLSRLISILEKSENITNMNSQNSWDVSSLSSLSQEYVFYKLSQIQFSNGSKFKIRSILESPGRSFFLKNEIKDYFFRMQGTYNSKLRHKKRSDSLMNPWTNWFKVLYQYDLPEKRWSRLVSQNWRNRINEHRVAQNKDLVEYDSYEKNQLIWKELILSKKQEQEGDLLKIEIKNKIKKQYRYDLFSYQYLNFANKKKSSIYGYRSPNKNQAISYNYNISIQNYLEEYDILDMEKNLEKNLDRKYFNWMGMNVKRKKTSRPKDKFLIPGFWFFSKLSKLYCAYKMNPWILPIKFFVLQLDNLELTTEEYVNTVDEDLKSVSYYYKGSDSKYRTDLKGERDFLLSKYLGFYLHCDSSDEEIGMDNTNLFCLLLRMKKFNKIVIMSIKKLELDIEMLVDSRTKDFCYTECRDTEDLKERLIFFIEPIRLPRKKHEQSLLYQTIRLPLIHKSKTRKSWSWKKKKSRVDQKITENKDKNLYDLFVPENLLSTRRRRELRILTCFNPRNRNTVHRKTINDNENQIKNVSQVLTKNKDLDSETKKLMNFKLFLWPNYRLEDLACINRYWFNTHNGSHFSILRIHMYPRLKD >RHN40888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23790770:23795656:-1 gene:gene47132 transcript:rna47132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MATPFSTILQKSRNIAATFLLCKPYSSTVRNRRNLFARISPLGDPSISISPILENWVQEGNPLGYKQLQRIIKSLRSSKRFSQALQVSEWMSSKGLCPISAKDHAVQLNLIGKVRGLDSAKSYFQNLSDEDKTEKAYGALLNCYVREGLVDKSLSLMQKIKDLGFISVLNYNNIMCLYLQTEQYEEVFGVLESMKKDGVSPDTFSYRICINSYGTRSDFFNMEKLLEEMELQSHVRSDWATYAMVANYFIKADLKEKALVYLKKCEDKVDKRDTIAYNYLISHYASLGNKKAMMRLWKLQKENCKKQLNREYITMLGSLVKLGDFDEAEKLLAEWEISTNSYDFRVPNILLIGYSKNGLIEKAETMLQSIIEKGKNPIPNSWAIIAAGYVAKENMEKAFQCMKKAVSVQPKNKGWRPKIDVLSSILSWAINNRDIDEVEDFVNSLKNATSMNRDMYLSLIKMFDRSVKEVDKSLDSMRADKIEVDEIWESMKDDKTELD >RHN59660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12599166:12600167:-1 gene:gene21732 transcript:rna21732 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpoA MIREKVKVSARTLQWKCVESRVDSKRLYYGRFILSPLRKGQADTIGIAMRRILLGEIEGTCITRAKSEKIPHEYSTIVGIQESIHEILMNLKEIVLKSNLYGTRDASICFKGPGYVTAQDIILPPSVEIVDNTQHIANLTEPINLCIELQIERKRGYRIKTLNNIQDGSYTIDAVFMPVRNANHSIHSYVNGNEKQEILFLEIWTNGSLTPKEALYEASRNLIDLFIPFLHAEEENLNFENNQHKVTLPLFTFHDRLLKDKLRKNKKEIALKSIFIDQLELSPRIYNCLKKSNIHTLLELLNKSQEDLMKIEHFRVEDVKNILNILQIEKHFA >RHN42770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40845883:40854564:1 gene:gene49257 transcript:rna49257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetolactate synthase MATTLTPIQTFKFTHSHSPSSFPSQPNSTTLFFPPSTSRRNNNLILSAAATSGDTTVSSNGPPPPPSPSRSKVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNQDRALFTIVVSGTDRVLRQVVEQLQKLVNVLKVEDLSREPQVERELMLVKVHADPQNRAEIKWLVDTFRAKIVDISEHSVTIEVTGDPGKMVAVQRNFGKFGIKEIARTGKIALRREKMGASAPFWRYSAASYPDLEGKTAINALVGAKNVNPVDKTDTPVGGDVYPIEPSDSFTVNQVLDAQWGFLNDEDTSGIRSHTLSMLVNDAPGVLNIVTGVFARRGYNIQSLAVGHSEVEGISRLTTVVPGTDESISKLVQQLYKLVELREVRDITHLPFAERELMLIKIAVNAAARRDVLDIASIFRAKAVDVSDHTITLELTGDLDKMVALQRLLEPYGICEVARTGRIGLVRESGVDSKHLRGYSLPL >RHN45282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14320160:14325141:1 gene:gene39527 transcript:rna39527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MFHDSYIKKNKHSTQFNFRSVTKKKKQREEMERLPQDLVSRILTKLPAIELSKCKSICKSWLALITNPQFITNYYTIYNNQHEHLLVIRRPFLCGLKTYISHLSWNFNDPKKHIISSEIFNPPYEYNSDHKYWTEIMGPCNGIYFLEGNPNVMMNPSLIQFMVLPQSHFTAPQGFYSFSEYYGFGFDPKTNDYKVVLLKDLWLKETDERQNGYWNVELYSFNSNSWRKLDAEDLPLPFDIWGSSRIYTFVRNCCHWWGFIDGNIGDVVLAFDMVDERFRKIKVPKIEHSNSSGECFKTLVPFDESDTIGVIVYPVKGLEKWFDVWVMNDYSDEGSWMKLYSIGPVPVIYKLVGFYGSNRFLWKDSNERLVLYEAESGNIRYLQVSGKYDSTRAARYMESLVSLQRGNESGYQCFSCSLVHDPLLNLGE >RHN42990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42418005:42419468:1 gene:gene49506 transcript:rna49506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MMRPCIMIMISSFLVKCRFKEMLYSDGGVYIGNFKGEQHHGNGKFIWSNGSIYEGDWVDGERTGKGRIVHTSGDKYEGGFSGNRRHGNGTQTWKEGNVYIGNWNKDKFDGRGIMSWAQGDAFYGCWTNGLAHGSGVYISARGNVYIGNWKSGKMDGKGNFIWANGDDFNGCMSNGLRHGFGVYRFVNGDIYMGNWKKERMNGRGIMRWANGDIFDGCWSKGLIHGYGVFRFANGNVDIGNFKSKVLHGNNMHTCSNGTMYEGDRVNGKVTEKGLAIWAVQNPDGYWICPDSSPESPLVIKREYMEGVLIVEKIREYSQVRNNNKNKKQGKFSVKKVKKRSCVWTFLKTIKAII >RHN82638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55368730:55369135:-1 gene:gene6813 transcript:rna6813 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLSSYVHSVSNLLPSPSTSAANRLPQFRPPPPSSHCCLDVVVTIVAIPSYIIGNLLVFCSMKVAVNSHNGPVSNSISDVVALDPIIDGLIKSNGNDWNHKDVL >RHN58666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3780660:3788146:1 gene:gene20598 transcript:rna20598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MRGRQRVASRELLIVHDSKDDNLVRVQSAKPCWRRSLRHVIVASISSFLYGYHIGVVNETLESISIDLGFSGDTLAEGLVVSICLGGAFIGSSFSGWIADGVGRRRSFQLCALPMIIGAIMSATSKSLWGMLLGRFFVGTGLGLGPPVAALYVAEVSPPAVRGTYGGLTQIATCLGIMGSLFIGIPSKEIVGWWRICFWISVIPAAALALFMEICAESPHWLFKKGRTVEAEAEFEKLLGGLHVKPAMSELTKSDRGDESGTMKLSEILFGCHARVMFIGSALFALQQLSGINAVFYFSSATFESFGVSSKIGNTCVGICNLLGSVISMILMDKLGRKVLLLGSFLGMAVTMGLQAIAASSYASGFGAMYLSVGGMLLYVLSFALGAGPVPCLLMSEILPGKIRAKAMAICLAVHWVINFFVGLLFLRMLEQMGAQLLYSIFGSFSLLAVIFVKKYVLETKGKSLQEIEIALLAQEIV >RHN55077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14969017:14969346:-1 gene:gene30205 transcript:rna30205 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCNELHPALRDACDNIACKVTRLSKADISRLTEDELSRLMEDELSHLSKDEMNSLIEAEFSHCFALMEGLLSLLFPRKAKDKEITPPEYEHISDCFPVLIFKIFFLS >RHN66474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12793330:12797853:1 gene:gene14469 transcript:rna14469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L2, bacterial/organellar-type MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTSKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLKLPTDDNLLTQIKEGFAEGKDLVVSVMSSMGEEQINALKDIGPKN >RHN50635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8709645:8709980:1 gene:gene34945 transcript:rna34945 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPENSDDAQIGNYDALAGQQGMSTDKTQEVGTLQRQEVHPSKNIQHGLDLWERVREYDARSAAEAAVDASAGFMPVLTRNQKQKLKVQHVLSKKPSKSRARGDNKSTDQ >RHN71745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2374473:2377814:-1 gene:gene7377 transcript:rna7377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inorganic diphosphatase MAATTRAITIASNSTYTLLGKKPFLGGRALRLNNLKVSSRTTRSYTCKAIYYPEVSVKEEGEPQTLDYRVFFHDKSGKKVSPWHDIPLQLGDGVFNFIVEIPKESSAKMEVATDEPFTPIKQDTKKGKLRFYPYNIHWNYGLLPQTWEDPSLANHEVEGAFGDNDPVDVVEIGDRQGKIGEVLKVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNQAVNKDYALKVITETNESWSKLVKRSIPAGELSLV >RHN53204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26927:41859:1 gene:gene28088 transcript:rna28088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNAJ-containing protein MVKESEYYDVLGVSPTASEAEIKKAYYIKARQVHPDKNPNDPLAAQNFQVLGEAYQVLSDPTQRQAYDAHGKSGISTDAIIDPAAIFAMLFGSELFEEYIGQLAMASMASMDIFTEGEQFDTKKLQDKMRIVQKEREERLVEILKGRLNQYVQGNKEDFVNHAEAEVARLSNAAYGVDMLNTIGYIYARQAAKELGKKAIFLGVPFIAEWFRNKGHFIKSQVTAATGAIALIQLQEDMKKQLSSEGNYTEEELEEYMQSHKKLMIDSLWKLNVADIEATLSRVCQMVLQDSSAKKEELRARAKGLKTLGKIFQTVKTANGNESENIPNKAVHKLNGSETRNDVCSPSTSPKSSSTGFPTHAVASQSPYVEAPHFAGMQFDYNFPRPTAPPGAHRAAPTSKD >RHN49488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55155639:55156182:1 gene:gene44326 transcript:rna44326 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNPHALLVMQFYKKVILSTVCPFSFFNDILVTTYIVPNQTLSSENLVVNTSLLFL >RHN41783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32925600:32925932:1 gene:gene48143 transcript:rna48143 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKKVTHPHNMTFFLYFFFLSSFFPTTQVSIIKQNPLFRFINDVFYLYYKLGICINH >RHN43535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46621767:46629539:-1 gene:gene50133 transcript:rna50133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 26S proteasome complex ubiquitin receptor, subunit Rpn13, UCH-binding protein MSSSSIDAFPAIQETLLEFRAGKMSLEGKRVVPDARKGLVRIARGEEGLVHFQWLDRTLNVVEDDQIIFPNEAIFEQVNQTSGRVYILKFNSDDRKFFFWMQESNADNDSQLCSSVNDYLNRPLELLGEEEPDVSLPLEVSEDMVEDDISSRAANLVVPNFGVDATSDVTSSGPVKLADLQRILSNIGPAADSILDPDEGFELGDILKPDLILPLMETLPLEQRLASYLPEGTWSPEDILELLQSPPFRQQVDSFTYVLRTGQIDLSQFGIDPSKYKFTVLSFLEALEDSVSKSEESRQDDQELISQSCTPMDESKCLTR >RHN58156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44164107:44164538:-1 gene:gene33769 transcript:rna33769 gene_biotype:protein_coding transcript_biotype:protein_coding MMLADKVSIIFCLTSIMSSGNRKMEHPSFLATLIIYVEFNFLSSSVICTTDYI >RHN44922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9969363:9978035:-1 gene:gene39100 transcript:rna39100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MNEAKIMSTPMHPSSSLDKDESGKSISEKEYRGMIGSLLYLTASRPDIVFAVGLCARFQTCAKESHLTAVKRIFRYLVGTTDLGLWYRKGSSFDLVAYCDADYAGDKVERKSTSGSCQFLGQALIGWSCRKQNTIALSTTEAEYVSAASCCSQILWVRNQLEDYSLRSIWKCIQDSITM >RHN61287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34488991:34496725:1 gene:gene23731 transcript:rna23731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phenylalanine--tRNA ligase MGYVKSNHNTMGWPKPNISILFTTVSLFVVGIVVLVRVSGCEEKRSDAVLSTYLHLSVRRRRSIVRRFLGLRMAEEAVLGYLQNNDEINDSGEFAAERGIDHNEIVNVVKSLHGFRYVDAVDIKRETWVLTDEGNTYATLGSPEFQLILAIPPEGISRDELQKKLGPSVFKIACAQAAKNKWVEMGKQLISRKVQDVEDRVKNLLLQIQQGQGIGSDDIKALKARKLIVPQTWKGYSVKKGPNYAPKRKKVVTDLTRDNFQSGEWKEIEFKEYNYTAKGQPLEGGSLHPLLKVRAQIKQIFHCMGFEEMPTNNYVESSFWNFDSLFQPQQHPARDSHDTFFLEAPSTTKKLPEDYVQRVKQIHESGGYESRGYAYDWKREEANKNLLRTHTTAVSSRMLYQLAQKPFSPKKYFSIDRVFRNEAVDRTHLAEFHQIEGLVCDRGLTLCDLIGVLHDFFSRLGMTKLKFKPAYNPYTEPSMEIFSYHEGFKKWVEVGNSGMFRPEMLRPMGLPEDVQVIAWGLSLERPTMIMYGIDNIRDLFGHKVDLGLIKKNSICRLGIE >RHN56118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27910229:27911014:-1 gene:gene31465 transcript:rna31465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >RHN68175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33401501:33401826:1 gene:gene16488 transcript:rna16488 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGLLHTNRGLGWVEGLGPNPPDVHPYIYHLIYIASGVMIVYAFIYLHD >RHN40033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12444639:12445685:1 gene:gene46125 transcript:rna46125 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVIQNHHEGPINENEGGPHPKKAVVLPSARSYGTRKPNISSPLPLPMSPSRFITLFFLLLLSRFSVITVTVLLLWLLALIPLVLLLDLSITPLNSTSFQVSSMKFSNCMVCIFDALMMVRERWKKEKNKGQLVCMDLLFGGPNVVVLEIERKKK >RHN52989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40884001:40884450:1 gene:gene37731 transcript:rna37731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glyoxal oxidase MTTFHKVLLIVTLLSLSCLVFVVEAKVKKNHPTFEIPIPGPDQGIPKPDFETNSKGLWEILSINSGVSAMQINLMPTNKIVVYDATIFRISRLLLPKGVPCVPFQDLKSREDKVDCFAHSMEYDLATNQVRPLKVGIIIIQLEYIFNAI >RHN60584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28480884:28483352:-1 gene:gene22915 transcript:rna22915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MSKEEIKSLPLAVLRRTENTSNIRFDTEKCCQPNDVHMWVSKAFVNFALLRRTESIRKLRLHSDKGCQPHDVHLWVSKALDLKVQELDLDLFLHEKILLPLRLSTCESLVVLKLRGRIQPTLNSSFHVYLPSLKILHIRESCSTLQINAPSLEVLSLVDYSSAPRQYEYTNLSNLDEASIFICKRVDFNNLYTFLKGLSNVKSLALSSKTFHFLSMEDKLDNLHLLTFHNLLFLWVEISKNWSWNMLVSFLQNAPKLKDLAITRNIEINSRRKEVGNPSWVEPLISPTCLRSSLITFEFVGIQNIKTELDFTRYIVSHSSKLQKVKIFTPTSK >RHN48371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47036145:47039960:1 gene:gene43082 transcript:rna43082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small monomeric GTPase MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKSEPQTIKINQPDQGAGAAQAAQKSACCGS >RHN55238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16337896:16348187:1 gene:gene30384 transcript:rna30384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative actin cross-linking MEYFTKSKIIKLKSHQDKYLIADDNNGKVRQSRNGAIKRAQWTVEIIQKLQTHHHVIRLKSHNGKYLTSTETPYPLTLTGKRVILTELENGVDGKNEWQPIRDGFQVKLRSCCGRFLKGNGSNPPWRNSVTIDDSFVKMDGVLWDVEGVMEDEFENLLSSFGSDDVSFASDIASPMSVFSLGSSPPERGSFQTTKPNKLRSGMDFFHRAKAVRLRSHHDKYLLAEEDEESVTQGRNGSSNNARWYVEYVPNYDNVVRLKSCYGKYLTASDTPFLLGMTGRKVLQTSPKTLDSSVEWEPIRDGVRVKLKTRYGNFLRGNGGLPPWRNTVTHDIPHRTVTQDWVLWDVDVVEIHVSKQQPSAPAFETSMPPSPAVAVAPPSVSRSFSRQQSKDDASPRKMEGRIIYYYVAEDDAEVSDEGVQGYSLVFKGNGVEELSKKFEEETGLEGIIVCTKSPLNGKLYPLRLQLPPNNVTMQVVLVLPFSKVARELEEQGLL >RHN55923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25523341:25524143:1 gene:gene31215 transcript:rna31215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKLMMFFYVMIYFFVLVACQKRRRSTECRNDSDCEKMVKCVLPRIARCIKYRCQCRNFLESFE >RHN45708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24788792:24789997:1 gene:gene40092 transcript:rna40092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNLPPKKSQQRPPNCRSSPIILPDELITEVLSYLPVKSLMQLKCCCKSWNTLVSKPFFIRLHLQRSSKNPHFTLFNIPDMNKDDTDAVLISFTRLIESSLCLSKSITLTNDPYYRLENKSCCWIVGSCNGLLCLLGYSLNRDMWLHFWNPATRKISYKLGRFGGIPSLLDLTFGYDNSKDTYKVVNLLHGGARVFSLDDKVWRNIKSFPMGFYHRYISTGLHLSGIVYYLVIQNYSSSFYDCKNIIVEQFAIISLDLGTETCKDLLPPRGFAEVPHVKPSLCELLDCLCFSHVVKKAHLVIWQMTHYGVEESWSQLLKINLQIMTHYGVEHYGLEKYFDSQWLPLHLSRNYDSLVLINNLDDLPVVYNLRDDSVERIRIINGKRCWRYNKNYYAESLVLCR >RHN53826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4478605:4478883:-1 gene:gene28778 transcript:rna28778 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSLNCYRIGIYCKDSFCRWFVSWCQVVTKYSKARRSVRSPKSKSLISMRICMVQQI >RHN81873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49497239:49500095:-1 gene:gene5969 transcript:rna5969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MEDERDAEAAIRALDRIEFGRKGRRLRVEWTKQERGVRRPAERPKRSSANARPSKTLFVINFDTYQTRTRDLERHFEPYGKIVSVRIRKNFAFVQYESEDDACKALEATNNSKLMDRVISVEFAARDDDRRNGHSPDRGRDRQRDRSRDGRRSPSPYRRERGSPDYGRGPSPYKRERSSPDYGRGNSRSRSPHRRERGSPAYGRRNPSPYRRERDGAEAVRDTSRSPYHKERRRTDRSRSHSLEEGERIEPQKGHGSDPSPYGTVKDSPENGHDRRRSPDAKRNPSPFNGSRGSPSPDAKGNPSPYNDYGGSPNTMPEPRDSPNYGGPESPMNEQYRSQSQSPPAEE >RHN52432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35227825:35228349:-1 gene:gene37112 transcript:rna37112 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRANGNDLMAQYDRELMDVEECNLMHAEPVMQGFFPQTNDLIMLGDGIVMNHSGRSRLEQMEDDQHGSVRSIGVGFNSDAADIGSEVHGSFIGGSSEGDLEYFRDRDSGLGGL >RHN63878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54698912:54703462:-1 gene:gene26633 transcript:rna26633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGCWLGCGNHGKNRNCFDINKIWSRELIQYQSGQFSMASIQSNAVMEEHCQVEVGTDKALFVGIYDGHGGRDDVSKHLAHNFFRKLWRYMKVKDQEMSLVNILKQVADDMERDIKRCARENTELDKIGSSCLVALIWGERLYILNIGDSRLLLGSELGNTEIIKAKQLSTDHNCKNELIRIKVQKQHPDSKILNKDSCKITRVIGDAHLKRECNSSAEPEIISRDIEKCDRCIIIASHGFWKLMSNEQTALIVERNRQQGIAKKLLREALKEAAKRKEIDYEQLMKKAEGPEGKHIYHEDITVIVVFLNKKNWWRKDIQNMSYRGFRNTTVKSVFAYDY >RHN80760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40687650:40689635:-1 gene:gene4725 transcript:rna4725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative short-chain dehydrogenase/reductase SDR MFKCLTTHYTFNRTLCSSSRSLITISTLCNFPSKNKTQFLPIIPSTQKIPYISFPKILFVRMASGEQKFPPQKQDTQPGKEHVMDPLPQFTCPDYKPSNKLQGKVAVITGGDSGIGRAVCNLFSLEGATVAFTYVKGDEDKDAKDTLEMLRNAKSADAKDPMAVAADLGFDENCKKVVDEIVNAYGHIDILVNNAAEQYECSSVEEIDESRLERVFRTNIFSYFFMTRHALKHMKEGSSIINTTSVNAYKGNAKLLDYTSTKGAIVAFTRGLSLQLVSKGIRVNGVAPGPIWTPLIPASFKEEETAQFGGQVPMKRAGQPIEVAPSYVFLASNQCSSYFTGQVLHPNGGTVVNG >RHN41835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33446492:33450129:-1 gene:gene48197 transcript:rna48197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative periplasmic binding protein-like I MEKETVAIIGPQFSVMAHVISHIANEMQVPILSFAATDPTLTSLEFPYFVRTTQSDLNQMAAVADIVDHFQWRDVIAIFIDDDHGRNGIAALGDKLAEKHSKISYKAALRPDQLTTDEINNALFKVALMESRVIVLHVTLHFGLQVLHLAQSHEMMGSGYVWIATDWLSTILDSDPSLSTSATMNDMQGVITLRMYTPESKNKRNFTSRWNRNLSHNIGSDHDHNHGPSFGLNMFGLYAYDTVYVLASALDAFFNSGGTLSFSNDSNLNMLRGDTLHLDNMKVFVNGSMLLQKILEVNITGLTGNIMFDSNGNLMNPSYEIINVIGSGIRRIGFWSESYGLHTGVESPNHSNLRKGLYGVIWPGQTTHTPRGWVFASNGRRLKVGVPLKISYHELVSRIKGSDMFAGYCIDVFTAAVELLPYSVPCKYIPVGDGKTNPTYTDILHKMTEGDFDAVVGDITITTNRTKIVDFTQPYSESGLVVVAPIMKLKASPWAFLRPFAPMMWLVTGVFFFVVGSVVWIVERRFNDDFRGPAKKQFVTILWFSFSTMFSTHREKTVSTLGRLLLIIWLFVVMILNSSYTSSLTSILTVEQLSSSVKGLESLATSNDRIGYLRGSFSENYLTQELNIHRSRLVPLNSPSEYEKALKDGPTNGGVAAIVDERAYMEIFLEMRCEFGIIGQEFTKMGWGFAFPRDSPLAIDMSTAILKLSENGGLQRIHDKWLTRSSCRSEEEKQGMDRLDLQSFWGLFLITGIACFVSLFCYVFQMAYSYRKHYSKNNNNNVQFSSRSARLRSFLSFVKEKEEEDKCSENRIKKEKSSSRRVAHEDGPLDLDDSNVGVHVENDA >RHN82216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52029552:52032479:1 gene:gene6361 transcript:rna6361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MTSASELFHIRRHRLGRNDIDSSFHSSPSSDFHHHHRLHDSDDDDSPRIRRHYNVRRLRHHERVSERFDGRYRRSLVNEGVESGESVRGAPRASGSERLPVDVRLARERLLQRLRGDPVDRNRQNDRDSVDEDQESELSSEVPTEDSLITDLTSQMARFQLLHEQTRKPPGLTQEALNCLHLEVFSSIDTESESKVLQDCGICLESFMDGDKLIHLQCGHKFHSACLDPWIRSCGDCPYCRRCIVVNSHLP >RHN77400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6589373:6591765:-1 gene:gene847 transcript:rna847 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:aos MASSTLSTPSPNLLKHQNRPSSTTSSRRSSTFLPPIRSSVSEKPPFQVSISQPQTTKLPIRKIPGDYGIPFIQPYKDRLDYFYNQGRDEYFKSRIQKYQSTIFRTNVPPGPFIAQNPNVVVLLDGKSFPVLFDASKIDKTDVFTGTYTPSTELTGGYRVLSYLDPSEPKHEQLKKLMFFLLKSRSRHVIPEFQSCYREFFNALENQLAENGHASFADNNDQAAFNFLNRALFGVNPVDTELGLDGPKMVQKWVLFQLGPVLKLGLPKFVEDSMIHNFRLPFRLIKKDYQRLYDFFYASSGFALEEAERLDVSKEEACHNLLFATCFNSFGGMKLFFPNLMKWIGRGGVRLHTKLATEIREAVRSAGGEITMAAMENMPLMKSVVYEAFRIDPPVPLQFGRAKRDMVIENHENGFLVKKGELLLGYQPFATKDPKIFERAEEFVADRFVGDEGEKLLKHVLWSNGPESQSPTVGNKQCAGKDFTTLISRLLVVELFLRYDSFEIQVGNSPLGPSITLTSLKRSSF >RHN57356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38343134:38344406:1 gene:gene32885 transcript:rna32885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSNNNFPELFGSLRNLRFLDLHASFDGGRIPNNLARLLHLQYLDISSSVQSLINLKISFVLQYLDLSSNDLEGTIPHLGNLSHLQYLDLSGNDLVGTIPHQLGSLSNLQELHLGSNQGLKVHDNNNHAGGEWLSNLTLLTHLDLSWVQNLDSSHVWLQMTGNLKKLEELKLSRSINEDISTILLKLSGCARNSLQDLSLTSNKINGKFPDLSIFPSLIEISLSNNLLSGKVPDGERFLPTKLESLRFGYNSLEGEIPKSFGKLCSLRSLDLSSNKLSEYISVILQNLSVGRAKYSLQDLNLDRNQIICTIPDMSPLSALENLVLSKNQLYVRKDNAKFFIPRSKFPNYLIQTHSKEFKILGNYLKVFEFPHSITFS >RHN45241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13578970:13579387:-1 gene:gene39477 transcript:rna39477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MGANTRQMALDFVNNPQAYGFVTSQIACCGQGPYNGLGLCTPLSNLCPNRDEYAFWDAFHPSEKANSLIVQQILSGTIDYMYPMNLSTVLALDSKNT >RHN53276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:593762:595595:1 gene:gene28167 transcript:rna28167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MESDLPITAFTRPTKKPSKITKIANANAVEDTISNMLPEPVITHILSFVPTKDAIRTSILSKKWERRWTSITKLSLHDYQLSFDFTIRLKRMQNFSTFVDRALLLNDSLAMDHVSLFLFTLYNWSLLDSWLSNIFKRRVKILQIHSFFQIPFSVLASHSLFNNFLLLEELELLTDSISFINVPMYDEELKLLRNSFNSVDVPAPSKYIVFRNLKILNLCGINFNTDSPKSWRNVHLEFPLLTKFEAKNCAWFVDTSLVMIYAPLLESISIEHSVGVPCKRDKSFICFPDSEDLKEFSFCGFDISQNIIIKSPCHASAKINLYESQHFVSYMSDFHAAALLGEFSHSKSIKFESSKVLDLKKMLPKKLCVFPMLTHLEVGFVSVDILLTLLQKAPVLETLVLKEICDFGEELLSSADVPKRLAFLHVVKFEQVIGEDLELSLAKYFLDNCKFLEKMCFSIASQVVDKDEVVEEIKEKLQSFYNFIPEYLLEFSYD >RHN49532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55447599:55451148:1 gene:gene44374 transcript:rna44374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin reductase ((2R,3R)-flavan-3-ol-forming) MEARCKVCVTGASGYIASLLINKLLAKGYTVHATLRDLKDESKVGLLKSFPQSQDKLVLFQADIYNSVDFEPAIKGCEFVFHVATPLIHEPASQFKDITEASLAGSESIAMYCKKAGTVKRLIYTGSVVSASPRRVDGIGFNDVMDETCWTPLNDSLAYLYHDAYLKDYIYSKTVTEKYMLSCGNNENGGRLEVVTLLCGAVGGDTLQSFTPGSVAICISHITENAMGRKSLQFVQEFLGKIPLVHVDDVCEAHIFCMESTSSINGRFLCASSYVSLKEIANHYVLHYPEFTVNQEYADGPKKDMKWGSTKLCDKGFVYKYDAKMILDDCVKCARRMGDL >RHN50146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4506879:4511259:-1 gene:gene34401 transcript:rna34401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MVHEANAIVFNTYNELESDVVKALSIKIPSIYAIGPLSSFLNQNPQKHLASLGSNLWKEDMKCLESKEQGSVVYLVIGGSVILSSEFVNGTSDRGQIASWCPQEQVLNHPSVGRFLTHCGWNSTLESICARVPMLCWPFFSEQPTYCRYICNKLEIGIEIDTNVKREEVEKLMNELMVGQKGKKMRQKAVELKKKKAEKDTRPGDSSYMNLDKVIKDVLEIILQKHTSLHYTISFLKISKMGSFANRKPHAVLIPAPFQGHINPLFKLAKLLHLRGFHITFVNTEYNHKRLLKSRGPNALDGSRGFCFETIPDGLTPIEGDGDVSQDVPSLAQSIRKNFLKPFCELLTRLNDSANVPPVTCLVSDYFMSFTIQAAEEFALPIVIFFPSSASLLLSIHHLRSFVEKGLTPLKDQSYLTNGYLETNVDWIPGLKNFRLKDIFDSIRTTDPNDIMLDFVIDAADKVLGDSTIVLNTFHEL >RHN38599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:588741:590027:-1 gene:gene44555 transcript:rna44555 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESSADCDIQHILNISEIIEPSLWPQCCIYKVPTTLLKVKDEAYTPLLISIGPIHHNNNKKLEEMQEYKHRYFHYFWNRLDSKNDLMNYKEFLQQEDQNIRRCYQKKFYDISNEQMVDMILLDSVFIMELFLRENKKWEHKDDYIITQLCVSRSIQRDLLLIENQLPMYVLEKLYGTVVPNNVKNHNLFTMLAHDYFASCYPHHQESSKRMFEEKNWEKSFHFTDLIRSSYLPMKLSNQHIDSQRKCLKPRTATKLKQVGISFQKVHNRCLLDIKFQKKPFFSWFLCLGCLPCFKAKFLFPQLKVDHTTECVLRNLMAFEQCHYPDEPYICNYVSFLDSLIHTKDDAELLVEKEVIVHELGSDEELANLVNGLCKHIVMNSSCYFQLMEDLDEHWRTARGKLRRSFSKIAWIATLIFRVFQLLLRR >RHN67848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30842489:30847179:-1 gene:gene16091 transcript:rna16091 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYVFPISICKELPELKVLIIREADELEEIFVCEVDEKVNIPNLKFVAFVNLPSLSQTQGIHFQAAPYRFIQSCRELSLTSAITKDVSSYTLFNDYWEEDFDLYEDIKNVAAELLENPRIEVHAASGHMFTSSQELTNQQHLQGEIDASIKPFHGNNNLEGSPSERLVAESLSTIQVIDSKQKGIIEETALTNTKTTSKEITTSQDQRTQEGSTSGTKNDQDIQLPAPKQKSIQSSFEDVDLGDSHETTQTNNNQVSLNDDASKSEPSSSTTSPIASQIPSMPSKDFDLYFHVESLYRKFQEDSKGHDNGNENPNARTTKDFAAWVEAKAASWHALTSSKLEGSTSENTEAAKFSTISETKNEPPIQLDVAPKQKGNKICFQERTTSTDDKSITSSTHLLPATKYVDIGDSHETIDDIDKLIKEDSLLAFEMFLTGVPSFSIRTLLQELKTLLDSSSDLDHLVSNKESKSKLISLLHGLNQHQGLLPSDVKEFVEKVKTFFIDIINKHASHQQLLKKHKQLLDLKPGLLKKLLSAKSKQFHIVSETSTANAQIHKRSLETDELRKQLEDLENQIDDLKSVVNRCNVQKEELNAECSEWAQKSKEFASALASNDVDLREAERARNLATEGFSNLKSSFPTY >RHN66835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19830569:19830931:1 gene:gene14937 transcript:rna14937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MAGKKFISLSMLVMILGMLVTKFDARQIDDVSCTSALFSLLPCLPFLQGVGPATPTSYCCAGANDLNQKADSTQSRRDVCNCLKPAASRFGVKSDRSTQLPKLCNITLNVPFDPSVDCNT >RHN49851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1680752:1682627:1 gene:gene34075 transcript:rna34075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MASIIAIVLIFVGLVFYVLLRMCLPYGIYRIMRVDRGRRSLSKVDLEKLPCYDYVAKENGSNPLDCAICLENFTIGDKCRLLPICEHNFHAQCVDQWLLRNSICPICRSMVGSHSGDKVVIGNNGRESIESGSSRNVVIELRENIPTLEVESQEH >RHN52721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38104873:38107851:-1 gene:gene37422 transcript:rna37422 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKMVIKLQMDCDKCRNKALKTAAEVQGVTSVSLEGNDKDSICVIGDDVDTICLANQLKKKFNSVTILSVEEVKKKSDEEKKKEEEKKKEDEKKKMIEACRSVLQGTCVKCHDLSCNGKCDKCPKCESLKCDGKHCVTICFKCEDSKCDGKCKCNCSNCDNKKCNGCTPPTPPKKPPTPPEKPPTPPIQQCPQWCTCPKCYAPYRPYPPCSNPYPPYGKVVYEQNPETCSIM >RHN82182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51758361:51760716:-1 gene:gene6321 transcript:rna6321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endo-1,4-beta-xylanase MIYMFSAWFQLSEGSDIVSVVFKRNGSELVHGGHVIAKHGCWSLLKGGIVANFSSPAEILFESENPIVELWVHSVSLQPFTREQWRSHQDSSIERVRKSRVRFQVTHPNETVLEGATVVIKQTRANFPYGCAMNRHILTNSDYQKWFVSRFKYTTFTNEMKWYSTEKIQGHENYTIPDAMLKFAKENGISVRGHNILWDSERRQPEWDLSLSPDELREAAAKRMKSVVSRYKGQLIAWDVVNENVHFHFFEDNLGKNASAVYYSAAYHLDPTTNMFMNEYNTIEYSGDKDASPTNYIRKLKEIQQFPGNAGISLAIGLQCHFSSGVPNIAYMRSGLDLLAATGLPIWLTETSVDPQPNQAEYFEEILREGYSHPAVQGIVMFVGPAQAGFNSTLLADADFQNTPTGDVVDKLILEWGSGPHTAIANSRGIIDLSLHHGDYDVTVTHPLTNYSKTLNISVRKEFSLESIHVKMHA >RHN72383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7220494:7222685:-1 gene:gene8088 transcript:rna8088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNQNSTMILPLPFLPEELILEILIKLPIKSLLRFRCVCKSWLHIISNPYFIKKQLHFSTQNTHFTTNHRIILSATTAEFHLKSCSITSLFNNPSTVSDDLNYPVKNKFRHDGIVGSCNGLLCFAIKGDCVLLWNPSIRVSKKSPPLGNNWRPGCFTCFGLGYDHVNDDYKVVAVFCDPNEFFSESKVKVYSMATNTWRKIHDFPHGVSPYQNSSGKFVSGTLNWASNYSIGSSSFWTIVSLDLEKETYREVLPPDYEKEECSTPSLSVLKGCLCMNYDYKKTDFVVWLMKDYGVRESWIKLLTIPYLPNPEDFSYSGPYCISENGEVLLMFEFDLILYDPRDHSFRYPRIEGGKGWFDAEVYVESLVSPMKD >RHN64998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63528082:63530521:1 gene:gene27887 transcript:rna27887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MLSEDDNRGLIAPFTLEEIEKVVKDSDGNKSPGPDGFNFAFIKEFWHLIKHEVRIMFDQFYANEKLLRSFLSYFVTLIPKVNNPFTLKEFRPISLLGCLYKLLAKVLAGRLSKVMNSIISTSQSAFVKGRNLVDRVMVINEVVDFARRANRECLILKVDFEKAYDTVEWSFLEYMLKRVCFCPKWVAWMKACVFGGNMSILVNGTPTATEEICIQRGLKQGDPLAPFLFPLVAEGFSGLMRNAVNSNSFKGFDFRNNGLVVSHLQYADDTLCIGEASVENLWTLKALLRCFEMMSGLKVNFAKSCLIGVNVEREFMEAACNFMNCREGSLPFKHLGLPVGANPRSASSWEPLLECLHKRLNSWGNKYVSLGGRVVLLNAVLNAIPIFHLSFFKLPVKVWRKVVRIQRNFLWGGVNGGEKVCWVKWSTVCLPRAKGGLGVRDIRLVNLSLLAKWRWRLVQPDKALWKEVLICKYGSRIIFPLYPGDNVWPSVASRWWLDLMSLEGSVGTDWFNREVVKKVGNGDTTRFWLDRWVGNEPLCVTFPRLFSISCQKEMMVGAIWVGGVGGGDWNFMWRRNLFVWEEGLVLSLIEKLEGWERVELADSWWWNLEEEGVFVGIGRRKLRKGYWMVWHAVMWSIWKARNDRIFNSLVKDVADIVDDIKVISWNWANSRLKSPPCLFYDWCWNPKKCLLR >RHN80427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38048039:38050398:1 gene:gene4355 transcript:rna4355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flagellar calcium-binding protein calflagin MAHVLSEEQIVDFKEAFSLFDKDGDGCITVEELATVIRSLDQNPTEEELQDMISEVDADGNGTIEFDEFLNLMARKMKDTDAEEELREAFKVFDKDQNGYISASELRHVMINLGEKLSDEEVEQMIKEADMDGDGQVDFDEFVKMMMTIR >RHN66800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18974340:18975122:-1 gene:gene14888 transcript:rna14888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKTTKLIYVMILFLSLFLVAKNVTAQIRCNDAFECRRSAICNFPNKWKCNDHKCECV >RHN71426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50101:60540:1 gene:gene7028 transcript:rna7028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine S-methyltransferase MGWTTPTVDEFLQKCSQSGDAAYAALRSLLEGLEDLETRSQARIFLSLLQKRFPTKDSCDQCFQTYHFRIEDILLDQYEGYQGRNKLTMMVIPSIFLPEDWSFTFYEGINRHPDSIFKDRIVSELGCGNGWISIAIAEKWLPSKVYGLDINPRAVKISWINLYLNALDENGQPIYDEEKKTLLDRIEFHESDLLSYCRDNGIQLERIVGCIPQILNPNPDAMTKMITENASEEFLHSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPNGIMIFNMGGRPGQGVCKRLFERRGFRITKLWQTKIIQAGDTDIAALVEIEKNSPHRFEFFMGLSGDQPICARTAWAYGKSGGSISHALSVYSCQLRQPNQVKVIFEFLKNGFQEISSSLDLYFEDDSVADEKIPFLAYLASILKDDSYFPYEPPAGSKRFRNLIAGFLKTYHHIPLTASNIVIFPSRNAAIENALRLFSPRLAIVDEHLTRHLPRQWLTSLALENMGSIDSLDDTITVIEAPRQSDLMIELIKKLKPQVVVTGIAIFEAVTSSAFVHLLDATRDVGSRLFLDISDHFELSSLPGSNGVLKYLSGSPLPSHAAIICGLVKNKVYPDLEVAFVISEEESLFNALSKTVELLEGNTALISQYYYGCIFHELLAFQLACRRAPSERICENVKSVDMIGFAKSAVSVLNNAELAIDGVDNGSLIHMDVDQIFLPVPSPVKAAIFESFARQNMSESEIDVTTSIKKFVKSNYGFPTDTSTEFIYADNSKALFNKLVLCCIKEGGTLCFPAGSNGNYVSSATFLKADIVTVPTDASVGFKFTEKTLTGVLGTVKNPWVYISGPTINPTGLVYSNKEIGEILITCARFGARVIIDTSSSGLEFDSKGWGGWDLGECLSKLNSSFKPSFSVSLLGGLSLKMLNGVLRFGFLILNQSGLVDTFYSYPGLSKPHSTVKYAAKKLLELREQESSILSDAIVEHTQILRSRSKCLKEALEKSGWDVLESCAGISVVAKPSVYLKKTIKLKISSKGEVSQGNATVEIKLDDSNIRNAILEATGLCINSGSWTGIPGYCRFNIALEENDFKKALDCILKFREVALG >RHN56018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26871102:26871746:-1 gene:gene31333 transcript:rna31333 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFDVKSPTLDRTWPNNMFISGGNPHLTSRFCGVVLNSTTISKMVSELLQDPLGHLLSGFRYRTTHNLCPRTKPNNAGHEGVC >RHN38997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3407516:3412131:-1 gene:gene44981 transcript:rna44981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MDEDFDIPAVDSMNDDFDFAGAGDNPILKVGEEKEIGKQGLKKKLLKEGEGWDTPDVGDEVHVHYTGTLLDGTKFDSSRDRGTTFNFTLGQGQVIKGWDEGIKTMKKGENALFTIPPELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGLFKKIVKEGEKWENPKDLDEVLVKYEARLDDGTLVAKSDGVEFTVKEGYFCPALPKAVKTMKKGEKVILTVKPQYGFDEKGKPAHGDEGAVPPNATLEITLELVSWKTVSEVTDDKKVIKKIVKEGEGYERPNDGAVVKLKLIGKLQDGTVFLKKGHGDDEAELFEFTTDEEQVIDGLDRAVMTMKKGEVALLTIAPEYAFGSSESRQELAVVPPNSTLYYEVELVSFIKDKESWDMTTGEKVEAAGKKKEEGNALFKTGKYAKASKRYEKAVKFIEYDTSYTDEQKKSAKALKIACNLNDAACKLKLKDYKQAEKLCTKVLEFESTNVKALYRRAQAYIQLADFDLAEFDIKKALEIDPNNRDVKLEYKTLKEKVKEINKKDAKFYGNMFSKMTKLDSLDINKSAPKDVEPMSIDSKA >RHN55304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17068837:17069506:1 gene:gene30469 transcript:rna30469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNPIILFGYFSTSNLTITMIMKYLTLVYTHIKWVLDILTYYPFYKLHDSNFPIIGEIYDICNYEHTHGSNEDVECAVCLCKIEEGDEISVLRCDHMYHKYCLDKWISFKNHTCPLCRESLRPERAITELGAEILSFNFCVIHSDRDRDDWWLR >RHN76819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1540276:1543615:1 gene:gene198 transcript:rna198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSGLTCNSCNTEFTHDADQKLHYKSEWHRYNLKRKVAGVPGVTEALFLARQAALAQERDKSNESPMLYSCGLCGKGYKSEKAHAEHLKSRTHMMRASEGDSQSDGKAIIKPLPQRVVNKPPPKRVVDNSAEDDDSEDEWVEVDSDDDLVDDAAKSLTDMNMDENDENDDMDEDDVVDLDPSCCFMCDHKHKTIENCMVHMHKHHGFFIPDVEYLKDPKGLLTYLGLKVKRDYLCLYCNDRCHPFSSLEAVRKHMVAKNHCKVHYGDDDDEEEVELEEFYDYTSSYVDEQGKQLVVSGDADNNIELSDGSELVITKMSGDKKSTRTLGSREYLRYYRQKPRPSPANNIAITAELAARYRSMGLATVQSRQQIVRMKVLKQMNRSGVEHMRSKMGMKSNVIRNLPNNVTY >RHN43797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48549560:48560185:-1 gene:gene50424 transcript:rna50424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoporin, Nup155 MSWEDEIVIRDVTNAGLVVSDRIGREISSQLDLEESLEASRYASHPYSTHPREWPPLVEVANTWELPPVLIERYNAAGGEGTAFCGIFPEIRRAWASVDNSLFLWRFDKWDGQCPEYSGEEQAICAVGLAKSKHGVFVEAIQYLLILATPVELILVGVCCSGGADGSDPFAEVSLQPLPDYTIPSDGVTMTSVACTDKGRIFLAGRDGHIYELIYSTGSGWQKRCRKVCVTAGLGSVISRWVIPNVFNFGAVDPVVEMVFDNERQILYARTEEMKLQVYVLGPIGDGPLKKIAEERNLVNHKDAHHGGRQSSGSRVSSRSPKPSIVCISPLSTLESKSLHLVAVLSDGRRMYLSTSPSSGSLNGFNTSHHKPSCLKVVTTRPSPPWGVSGGLTFGTMALAGRPQNEDLSLKVEAAYYSAGTLILSDASPPTMPSLLVLNRDSSTQSSPSGNLGTGTRSSRALRETVSSLPVEGRMLSVADVLPLPDTSATVQSLYSEIEFGGYESSMESCERASGKLWARGDLSTQHILPRRRIVIFSTMGMMEIVFNRPLDILRRLLESSSPRSVLEDFFNRFGAGEASAMCLMLASRIVHSENFISNVIAEKAAEAFEDPRLVGMPQLEGSNALSNTRTAAGGFSMGQVVQEAEPVFSGAHEGLCLCSSRLLFPLWELPVMVIKGSLSASGTSFENGVVVCRLSIEAMQVLEHKLRSLEKFLRSRRNQRRGLYGCVAGLGDVSGSILYGGGSALGAGDRSMVRTLFGAYSKNMESNGGGAANKRQRLPYSPAELAAMEVRAMECIRQLLLRSGEALFLLQLLSQHHVTRLIQGFDANLQQALVQLTFHQLVCSEEGDHLATRLISALMEYYTGTDGRGTVDDISKRLREGCPSYYKESDYKFFLAVEALERAAVTIDDEEKETLAREALNALSKVPESADLRTVCKRFEDLRFYEAVVCLPLQKAQAIDPAGDAYNDEIDATVREQALAQREQCYEIIISALRSLKGDPSRKEFGSPIGSASQSALDPASRKKYISQIVQLGVQSPDRIFHEYLYQAMIDLGLENELLEYGGPDLLPFLKSAGRTPIHEVRAVTATTSPMGQSGAPMSSNQVKYFELLARYYVLKRQHMLAAHALLRLAGRPSTDGVPTLEQRCQYLSNAVLQAKNATNSDGLVSSTRSSSDTGLLDMLEGKLAVLRFQIKIKEELEHMASSSEVLHSTSNSVENGLVSDASPTVDANFANATREKAKELSSDLKSITQLYNEYAVPFKLWETCLEMLYFANYSGDSDSSIVRETWARLIDQAISGGGIAEACSVLKRLGPRLYPGDGTVFQLDIICLHLEKAALERLNTGVESVGDEDVARALVSACKGAAEPVLNAYDQLLSNGAILPSPNLRLRMLRSVLVVLREWAMSIYSHRMGTGATGSSIIIGGGFSLERTVASQGIRDKITSVANRYMTEVRRLALPQSQTEGVYCGFKELEESLISPHSFDRF >RHN61374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35239198:35240407:1 gene:gene23834 transcript:rna23834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructose-bisphosphate aldolase MASASASLFKSSIVLDKSEWVKGQTLRQSSVAAVRCNPAAPSSLTIRAGSYANELVKTAKTIASPGRGILAMDESNATCGKSLASIGLENTEVNRPAWRTLLVTVPSLGEYISGAILFEETLYQSTTDGRKIVDVLIE >RHN59316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9487137:9488043:1 gene:gene21326 transcript:rna21326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MLPLLFLFVLCLIIPLLMFFHKHRTIKHYPPGPKGLPIIGNLHQLDISNLYLQFSQFSKIYGPLFSLQLGLRPAIVVCSAEIAKEVLKNNDHVFSNRPKLYGQQKLSYNGSEIVFSPYSDIWREIRKISVVHLFSSKRVSYYSSIRKFEVKKMIKRISGHVDSSSVTNLSELLISLSSTIICRIAFGRSYEDEGTERSRFHGMLHEFQALLAEIFVSDYIPFMSWIDKLRGLHGRLDRNFKEFDEFYQEIIDEHLDPNREQITDEEVIVDILLQLKKKRSFSFDITFDHIKGVLMV >RHN65533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2999683:3006075:1 gene:gene13380 transcript:rna13380 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSAKLQPHFNSTPLSHQFLLQRFNCFSKRKCSTLFMHNHLCNNTNLFLTLRTKPCSSNTNDNAYELSDSTSHEGSAESEESKKGDSLSINEIMKKLKRYGVSGILSYGLLNTAYYLTTFLFVWFYIAPAPGKMGYLAAVERFLKLMAMVWAGSQVTKLLRAAGALALAPIVDRGLSWFTNKFKFQSQGKAFMAIVGFCFGLALIVFLVITLLWA >RHN77232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5255358:5256849:1 gene:gene665 transcript:rna665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Strigolactone esterase RMS3 MGSTSILDALNVRVEGSGDKYIVFAHGFGTDQSAWQRVLPYFTRSYKVILYDLVCAGSVNPDYFDYRRYTTLDAYVDDLLNILDSLHVTRCAYVGHSISAMIGMLASIRRPELFSKLILIGASPRFLNDGENYHGGFEQGEIEQVFSAMEANYEAWVNGFAPLAVGADVPTAVREFSRTLFNMRPDISLFVSRTVFNSDLRGILGLVKVPCCIMQTARDMSVPATVATYMKEHLGGKSTVQWLDTEGHLPHLSAPSYLAHQLEIALSH >RHN80309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37056906:37061821:-1 gene:gene4216 transcript:rna4216 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SEOc MATIIKGTLKTLLSAGEIESNPLTMSDDQILDQIYSTHVHSDTKFDAASLFTLAQNTLARSTHIVDSVVQGTKVSLEQADDKSLIPNFSSPLCTLKSISSEMSCKPPSEEIAHKTTLAILNKLSHYDWEAKAVLTLSAFALEFGEFWLLEQHLSTDPLAKSVALLKRVPILAKPAAIQKHRQAITELNSLVKITLQVIEFILELDYLNDRYDTKVVPALELAYEQIPVDVYWTIITIAAIVTQLDCLITESEHKQELSHYGQKINIILSRLRKQITVCRQQIDTAKYIQELKKLLQTPTEITVVLSFLIFPKDVPQLLYDGATKTTVDINVVLKKKNVYLFVSTLDVTEEEITAVRSVYESIKTNEQYKIVWIPIVEGWNEQLRKKFDILRSKMPWYVVQNVENIAGFKFINEEWDFKKKSMFVVFSPQGKVQHKNAFHLIKSYGIKAFPFTMDDEIRIQKDRNWIVSVVGNIDRNISIWTEQNKHIFFYGGHDKEWIQQFTKYATALANDATIKEAKISIELFYVDKEDKNLVSRFWSGIESLFVTKIHKTTDVVTQEVQKMLSYKNETGWALLSKGPSVVLSGHGTTILKTVAEFEKWKDVVIKKGFEFAFTEYHTNVARVTHRCSHLEIPIVAGKLPETIKCPDCPSTMEIFISYKCCHNKTNANGKH >RHN53477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1912016:1919002:1 gene:gene28386 transcript:rna28386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative de-etiolated protein 1, Det1 MYYRSRSSNIAARIFDRQICTPAPGTSVHHARRFYENLVPSYTIYEVECPDHSFRKFTEDGQYLISFSRNHQELIVYRPRWLSFSCNDEDCDQHDLPSKARRFDSFFTQLYCVPLASCNELICKDFFLYMESNQFGLFATSTAQIHDAPAVGGAVQGVPSIEKITFHLLRMEDGEILDKKVFSNDFVNLTHNMGVFLYDDLLAIVSLRYQTIHILQIRDSGNLVDVRAIGEFCREDDELFLNSNAQGMSLSDKNKQHQVPENNVENHMHQGQPNMGNSFLSGIKQRLLSFIFQGIWNEETDDTLRIQRLRNKFYFHFQDYVDLIIWKVQFLDRHHLLIKFGSVDGGVSRNADHHPAFVAVYNMATTEIVSFYQNSADELYMLFEQFGDHFHVTSRNSMYMNFVSSHSNNIHALEQLRSIKDKASNSSQFVKKMLASLPFSCQSQSPSPYFDQSLFRFDDKLISAADRHRQSTDHPIKFILRNPPHTLKFKIKPGPEAGSMDGRAKKISSFLFHPILPFALSIQQTLFLQPSVVNIHFRR >RHN61306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34695638:34695880:1 gene:gene23755 transcript:rna23755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MVGSDGERGGGKGIEIGIGIGRSKGNRTVMITGVSKGLGRALAIELAYHDHTIIGCSGDQDKLDFLQSQLPNNNIFIPQY >RHN81850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49330637:49331326:1 gene:gene5942 transcript:rna5942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-phospho-3-hexuloisomerase MASSSSVSQEDMASVATQICNQISSIFSTPTHPYPPPLDLLLTELSTISSQNGRVFLYGVGREGLMLKAFCMRLAHLGLSAHLVFDMTTPPITTNDLLIASAGPGGFSTVDAICSVARSNGGRVLLLTAQPETGSCVKHASVVAYVPAKTMANDKDEEDVKSRPLLPMGSVYEGALFVLFEMAVYKLGDILGESPEAVRSRHTNLE >RHN44795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8525528:8529131:-1 gene:gene38957 transcript:rna38957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPTNTVFDAKRLIGRRISDASVQSDMKLWPFKVIAGPGEKPMIGVNYKGEEKLFASEEISSMVLIKMREIAEAYLGVTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVTHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGVDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSQGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNSLENYAYNMRNTIKDEKISSKLSGGDKKQIEDAIEGAIQWLDANQLAEADEFEDKMKELETICNPIIAKMYQGGAGEGPEVDDDAAPPPSGSGGAGPKIEEVD >RHN49360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54274545:54276060:1 gene:gene44181 transcript:rna44181 gene_biotype:protein_coding transcript_biotype:protein_coding description:13-hydroxylupanine O-tigloyltransferase MVDCTGEGVMFIEAEADVTLDQFGDALHPPFPCFQQLLYDVPGSEHIIDRTI >RHN77423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6777742:6780974:-1 gene:gene874 transcript:rna874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase complex subunit SAP30/SAP30 MLEAMESSVNGGFSQHFQSFVGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLRGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEPPTGLEEDDDLEFENTIWHGSDMASDDTQKSYRSRPRMHRSLGSSHRSMSRSFSVDSQSKGSISMPQGWTKVDLSKLEMSALWRYWRHFNLVDAVPNPSKEQLVDVVQRHFMSQQMDELQVIRGFVKAAKRLRRTMCK >RHN47763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42327185:42328344:-1 gene:gene42400 transcript:rna42400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative angiotensin-converting enzyme 2 MHPSFGVFNYQVIYPLIFSVWQKLNEQNPEFFKAYYTRLALKQQIEKFNELLEKQKELMDSQTNVASLPNSNESHIPAIPENPAYSHVSVQTPEGLNSKNLQHGVVSNFSDLFNNKGSSFGMVDTSAHGDIPSMTFTQNSNMGMQQGVNGGMTISKPDNSSFSPHMFGADGKVGGSSAMPFPNFLNRGGSSFGKADMFVQGDIPSMAYTHNTNMGVQQGINGGMNTSKPGYSSYSPHMFGAHGNVVNASATSFTNAESSSHHGIEAVLGHPTSIFPRKFSFPNVSDLFPMGFGIISSHLCLYGLMCVF >RHN78994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20675753:20677224:1 gene:gene2670 transcript:rna2670 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFEMTEEMEQYTREVAASKIAEKKRMKILYEKERDERLKAAGYVPNPDIAALASELETVQYGATLLSQALKNKQASGATSSEPASEAPEAVHPEAQSSGNPSNAPTNTQIPSLPSSPSSSSTESDDQPLSQHIDKLLKTKPTKLTDLGTLDWEQTQIEFSKNRIKLCEKFNLPPTHPLYPDNPEPVSVQQPQPNPEPTTNSPHNSTTQKASEVASDATTSETPQHQESSTLHNLEKHLGGEMQPTPTKASKTVPEKTVLETQTETQTIPEQTVQEQTASEQVAPDQTTSDQHIPSDQTTEQQQQPDSPTIIDLTSDQPSTSNTTQTEPSPIPDHILESKYIEEQLIRLCDEIQALILRRTVPVPPIHYYDQWMDLQKSFDELLDQLRTKCVSSHSAMLKKLLDDMHEAAKEKELNFVPLLDITPFYPEEEYITRAARIQAGYKRRMREKDELLQKKDDQIKYLLEQLYKQAQP >RHN46502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32334055:32334362:-1 gene:gene40995 transcript:rna40995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll synthase MYRFFFLLVKSEAPDKAPAKNGSSFNQLLGIKGAAQESVSELQLYCFLFPFSDLDLKFDFNFRCGWMFEMITIRL >RHN66430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12216672:12216950:1 gene:gene14416 transcript:rna14416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps19 MTRSRKKNPFVANHLLKKINKLNTKGEKEIIITWSRTSTIIPTMIGHTIAIHNGKEHLPIYITDRMVGHKLGEFSPTLNFRGYAKNDNRSNR >RHN69849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46758421:46761147:1 gene:gene18373 transcript:rna18373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 60S ribosomal protein L6E MAPKTRTARVSRNPELIRGIGKYSRSAMYHKRGLWAIKAKHGGAFPRHDPVAKPAAPVEKPPKFYPADDVKKPLRNKHKPKPTKLRASITPGTVLILLAGRFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQAYVIGTSTKVDISSVNADKFDDKYFSKESQKKTKKGEGEFFEADKEDKKVLPQEKKDDQKTVDAGLLKAIDSVPDLKTYLGARFSLKAGVKPHELVF >RHN82819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56606678:56607339:-1 gene:gene7009 transcript:rna7009 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIPTNKTRPMNPQPLLNTLPMKPMLTRHLLHNTLSAIIFFNLNLLHIFYRLLL >RHN51126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14198554:14198952:-1 gene:gene35508 transcript:rna35508 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEELSSGSDSSSSSDDSDDNCCAFLYSTPRSGSRKEVIVYSPLVVARRDGGLLYGSGSELDMKGFSGDVQHYESSYHDKASVNFLRTKIFISSTGWKEDILLTPCFPGEKVCIHPPKSVKKMFHMYGAFS >RHN78224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12931250:12931840:1 gene:gene1758 transcript:rna1758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MLVQHVPDFHRIILQDKKLRVPKKYVDKYLKGISNPIFLIFPNGVEQKIFWVKSNGDIWFQKNWESFAKPFKYGYLLTFKFIGGSYFKVKIFGANTLEISYSNIKSVDEGAEDTKEAQESDEEATEEGEESDESDEESDKVEMPKKAQRTINDKRKFSGEF >RHN72324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6772606:6777571:1 gene:gene8022 transcript:rna8022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CRK7-CDK9 family MGCVFGKQSSVEERREEVREVKVDVGGGGSGREVENVKEGGEEKRVRPSGERRRRSSKANPRLSNPPNNVHGEQVAAGWPSWLSKVAGEAINGLVPRRADTFEKLDKIGQGTYSNVYKARDTLTGKVVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMAHDLAGLATNPAIKFTEPQVKCYMHQLFSGLEHCHNRHVLHRDIKGSNLLIDNDGVLKIADFGLASFFDPDHKHPMTSRVVTLWYRPPELLLGATEYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWKKSKLPHATIFKPQQSYKRCIAETFKNFPPSSLPLIETLLAIDPDERLTATAALHSEFFTTKPYACDPSSLPKYPPSKEMDAKLRDEEARRLRAAGRSNADGVKKSRPRERVRRGLPVPDANAELQANIDRRRLITHANAKSKSEKFPPPHQDGDLGYPLGSSQHMDPVFDASDVPFSSTNLSLPKADIHTWSGPLVDPGSIGAPRRKKKHGR >RHN43788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48482244:48483640:-1 gene:gene50413 transcript:rna50413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MLHEEKFKSSKHPLSLRIYWIVNFVIASLFAISAVFRIVNTSEEKMELSLRIDDIFSLVNLPLSAFFFVISIRGSSGILVIRISDVVATYTSVPTDGNLSPYAGSSFLSKTVWFWMNPLINNGYKTPLKLEDIPSLPLEFRAEKMSENFINNWPKPEENSKHPVMVALFRCFWKHIAITGFLAVIRLCVMYIGPLLIQSFVDFTSRKDSTTSEDIILILILFAAKSVEVLSVINTTSTRRKSVCLFVRA >RHN47753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42275386:42279749:-1 gene:gene42390 transcript:rna42390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate reductase MEIIPIPADSYTLGFIGAGKMAESIAKGAVRSGVLSPSRIKTAIHSNPARRTAFESIGITVLSSNDDVVRDSNVVVFSVKPQLLKDVVLKLKPLLTKDKLLVSVAAGIKMKDLQEWAGHERFIRVMPNTAATVGEAASVMSLGGAATEEDANLISQLFGSIGKIWKADDKYFDAITGLSGSGPAYIYLAIEALADGGVAAGLPRDLALSLASQTVLGAASMATQSGKHPGQLKDDVTSPGGTTIAGVHELEKAGFRGILMNAVVAAAKRSQELS >RHN46575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32898136:32898961:1 gene:gene41076 transcript:rna41076 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTNRWLLISPNLFIHWECWNLGGYHKKVRKGWRLIWQAAIWIIWKARNDRVFTGGGKGVDDLVEEIQLLSWRWLLSRTDFPACLLYEWQWYLEECLRR >RHN81462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46110086:46110438:1 gene:gene5509 transcript:rna5509 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPVRMSGFLLVWCGRDSVMGFFVCILAPSSSLVIDGRRGRETGEEK >RHN49783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1111558:1114493:-1 gene:gene33994 transcript:rna33994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MELKEAESSEKISMMTLLKTTSVRRGLYAGMGLQIFQQFVGINTVMYFSPTIVQLAGFASNQTAMLLSLITAGLNTFGSLISIYFIDKTGRKKLALISLFGVVLSLVLLTVTFRQTETHSPMISEIETYRFNNTCPAFTPSRGGWDCTTCLKASPKCGFCASDSNKVLFTLVQKKSFKSSACPI >RHN81809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49055302:49058456:-1 gene:gene5899 transcript:rna5899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MEFIWLCGIFVVLLATLCLLLLLILTFSWWIFPIQIHKKLKRNGFGGPTPSFPFGNIEEMKRKNSIKSCVASSNLTNDIHSQVFPYFSSWQKSFGKVFIYWLGTEPFLYIANAEFLKKMSTEVMAKRWGKPSVFRNDRDPMFGSGLVMVEGNDWVRHRHIVAPAFNPLNLKVMASMMIESTNQMIDRWTSQINFGNHEIDMEKEIITIAGEIIAKTSFGAEDENAKEVFDKLRALQMTLFNTNRYVGVPFGKYFNVKKNLEAKKLGKEIDKLLLSIVEARKNSPKQNSQKDLLGLLLKENNEDGKLGKTLTSREVVDECKTFFFGGHETTALAITWTLLLLATHEDWQNQLREEIKEVVGNNELDITMLSGLKKMKCVMNEVLRLYPPAPNVQRQAREDIQVDDVTVPNGTNMWIDVVAMHHDPELWGDDVNEFKPERFMDDVNGGCKHKMGYLPFGFGGRMCVGRNLTFMEYKIVLTILLSNFTFKVSPSYQHSPAIMLSLRPAHGLPLIVQPLN >RHN62972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47193089:47193550:-1 gene:gene25614 transcript:rna25614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA-dihydrouridine(16/17) synthase (NAD(P)(+)) MLKMLEEAGCLLLAVHGRMRNKKDGHKFRADWKTIKAVKEAVRIPVHANGNIRHMDDVKDCLKATGVEGVLSAETLLENPALFAGFCTEEYVSGCAMENNTLPCS >RHN70073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48468806:48475162:-1 gene:gene18616 transcript:rna18616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEEEDIEITSREEQEEALVALIEHRTREVNNLRHRLAYTKNQLDDAEKRLKDSESKLARLRGQTTKKITNSDDDNGTVAVKKERRSNSPIDRNERSYKSNKQSKTELVIPAVTPKISRSSGKGSVSEVAVSSVHTSSVSGGKSVKSKIDQQNVEGKDKGTKRKFEQKEHQELIPLIRKSSSKNLVHCQSSNHISSQHKRKLRSIALCPANDQLFATSALDGLVNFWKVQAKGSSASLLNSTDCASQKQRRWPEDIAWHPEGNRLFSVYTADGGDSQISVTNWNKIKGVERVTFLEDKPHVKGIINGIVFMPWEDTCFVTGGSDHAVVLWREQDDEDKWKPRPLHRNLHSSAVMGVAGMQQKQIVLSAGADRRIFGFDVGAGRAEFTHQIDSKCMSVVPNPVDFNLFMVQTGTHEKQLRLFDIRLRRTELHAFGWKQESSESQSALINQAWSPDGLYITSGSADPVIHIFDIRYNLNRPSQSIKAHQKRVFGALWLQSIPLLISISSDLNIGLHTLMK >RHN51202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15039348:15039903:1 gene:gene35593 transcript:rna35593 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSPQQGCGHLILRPLYQRPQRPLSLIRHLLLKISRSIVGRRPL >RHN51815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25828956:25829893:1 gene:gene36350 transcript:rna36350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor EIL family MLMMMEVCDARGFIYGVIPHEGKPMSGSSENLRGWWKDIVKFDKNGPAAIAKYEAENGIKTTNYEKLNGEPITLHSLNELSDTILGSLLSSLVPHCHPPQRSFPLEKGIPPPWWPTGKESWRKEMRFSEAPGLPPYRKPHDLKKVWKVYVLAAVIKHMSPNVHNIRNIVRQSRSLQDKLTMKETSIWGAIIDHEETIARKIHPEFFSSFDSRVEGSNYLLVEANDVDVVEGGEHNLAERKLSSSSSPSSSSLSSYEGTNKRKRKPGKRIGTHHNSFLNTHQHATPLDQHEFQQERNQ >RHN51602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20780860:20787417:-1 gene:gene36074 transcript:rna36074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MASKKVRVVAKIRGFSDPDTENSRTVDWVSVKTQDSGDVTISFKEQSSSRYSVDYCYNQHDDNEMIYSREVKPLVSAAFEGFNSTVIAHGARGSGKTHLIQGSLERPGLAALATSEFLSMAEKNGKSIAVSLYEVDHQDHAVDLLNPEQPQILVLEDHGRIQFKGLSQAPVKSISEFQNLYIAACSANKAAPKKGIERAHRSHIGLIVHVFSQNESMNGLVSKMNFVDMAGYEDARRKSSDASGHVENNKLNKSIYALLNVCQALSTNESRVPFRESKLTRMLQDSLRGTSKVLLVSCLNPSFCQDTVYMVSLASRSCQSIHQTPLDSMKKTASSTRQIATWDSTKKSASSAREIVTLDSTKKNASSARKIVTLDSTKKNASSVRQNVATDSSNKKSSSVRQTVTLDSTKKSASLVRQNVASDSSKKISSSVRQTVTLDSTKKSASSAIQTVTSDSTKKTASSARQTVASDSTKKTASSAIHTVASDSTKKSASSAIQIVASNSTKKSANSAILTVTYRKKKIPQSVSASTTKLPGSISHIKENGVIATNSAIKGRKLFDEASHSAVKAEKDNSISKDGNDAQVNSRLDKEISIADVTRASESLLDNSLSEDGNHDELNSRVEKDNSSLNASSEVEFDPTVEKVISSEDKHPYIINYSKDLSIADEGHNMNKENNNLIENEDFSPPISSQLRELQSLVSSTPLCMQLPENECISHDDDQISAKIAEPTTLDTKRRDVMNTKSPWETFNKHGSEMENSTEITEPRTPDAGRGDVMNTKSPWETFNMNGSRMKNSTEITEPRTPDTGRRDVMNTKSPWETFNLHGSGMKNSLVKDYLRILNTAEKDELKKLKGIGEKRATYILELREESPEPFKSLDDLKDIGLSEKQIKGMMKKEVGELFN >RHN58359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1080370:1085102:-1 gene:gene20264 transcript:rna20264 gene_biotype:protein_coding transcript_biotype:protein_coding MNATVKHTKIYLHRRNFTSDQRTGIRFRGAGVTIRSFFYFHSDLFKAIGGEKIEELEQLQHVVIHIFVFLGF >RHN42526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39027893:39032903:-1 gene:gene48974 transcript:rna48974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MHHQDQTITHTPGNENHGVYVCHKCGWPFPNPHPSAKHRRAHKKICGTIEGYKEEPTNFNGSDVDYKTPGLVELGSNNGGIERKFSRSESEVYSDAVDDFPDTGLSQGVKHNLQQEHTLNSAADVSPLIASSSNDCQIKNPKIMQSESFEVGNIGGTQGQLSGSTVDPLTSSIADSKNEESSIVHGDGFSGLSSDSSLGIAEAVPNLLPEKNIYAGENVTDCSLVCDEKELNLKGTDEVKSEKDRVEIMESTDNIVGETYEGTPKIVVNEAISLDHDMGNEAVNPKEKKGPGSLSLLPQYEFPQEVNSSIITNEAQVESAHAIHSTSNEVEVLPEKEDVNVNIDPLPVHDDKFDAAYPQSVSLKHEEHVTEENNFHFNTSQLSERNGVLSSEMHVMDNDTKTENIHAEDCSEVSLVELTTETYQISHEIGVSTKTEMDENDFPEEHEPDEIHENSQPESSLMVSANEFQREASFRSATDETFSIISNDTTEINDASVVGKVVGENVVNDSEVIVKDFQPRSDHLQSEVEQSSDLFRNNSDDAGENGKIEDLINSNIKLYEENKKPTGIAADLHEEQDEQLSVKAAEDFSRKHTSHSSTNAVLSVEPDSAVEDDSIGEPVQDQSHDNLVKLGSSGIDTSADSHEAWDAQLLVKATEDLASKYASHSSINSGASAEHDSAVEDNSGGREVSRVTAVPLPVDDQSNNNLTKLTPPRTDVSVDSGSRRDSLEGNWGSGSVISMISDAPAVTDVETLPSTGSLASTEAGKSDLNVRQAAPAERQLSGKSETFELPSFTTLVEPSHVASPKGTTSETTNPQQSNSTSPAGWFPTLNQVINESEAKKKNEEKITKITNRSRSKEHTPLKSLLGEATPRNKPKSPKIEENNGSGLTTVNSILGPESPSETQVVKEKAANEWNSPARYPANIKREKKKLKSRPFWIQLVCCTTVDPQRR >RHN55151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15593329:15595188:1 gene:gene30287 transcript:rna30287 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQQTHIQPGVIIMSSSLFLPSLLLFSHFHHHHRISYLPPTAPKPSSISNKVSTKTITRKIKDREKG >RHN62170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41375853:41382579:1 gene:gene24716 transcript:rna24716 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyadenylate binding protein MAQVQVQPQNAMTGPNGGAAAAAAAGGGGNFVTTSLYVGDLDMNVTDSQLYDLFNQLGQVVSVRVCRDLTTRRSLGYGYVNYSNPQDAARALDVLNFTPLNNRPIRIMYSHRDPSIRKSGQGNIFIKNLDKAIDHKALHDTFSSFGNILSCKVAVDGSGQSKGYGFVQFDTEEAAQKAIEKLNGMLLNDKQVYVGPFLRKQERESTGDRAKFNNVFVKNLSESTTDDELKKTFGEFGTITSAVVMRDGDGKSKCFGFVNFESTDDAARAVEALNGKKIDDKEWYVGKAQKKSEREHELKIKFEQSMKEAADKYQGANLYVKNLDDSIADEKLKELFSSYGTITSCKVMRDPNGVSRGSGFVAFSTPEEASRALLEMNGKMVASKPLYVTLAQRKEDRRARLQAQFAQMRPVSMPPSVAPRMPMYPPGGPGMGQQIFYGQGPPAIIPSQPGFGYQQQLVPGMRPGGAPVPNFFVPMVQQGQQGQRPGGRRGGGVQQSQQPVPLMPQQMLPRGRVYRYPPGRGMPDGPMPGVAGGMYSVPYDVGGMPIRDASLSQQIPIGALASHLANASPEQQRTMLGENLYPLVEQLEPDNAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMDVLRNVAQQQAGGAADQLSSLSLNDSLAS >RHN65879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6193007:6198450:-1 gene:gene13772 transcript:rna13772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MEQLTLPYLSSFTCNWTYDVFLSFRGIDTRNNFTGNLYNSLQNQSGIQTFIDDEEIQKGEEITPTLLKAIKESRIFIAILSPNYASSTFCLTELVTILECSKSKGRWFLPIFYDVEPTQIRNLTGTYAEAFAKHEVRFRDEKDKVQKWRDALRQAASLSGWHFQPGSQQEYKFIRMIVANVSIRINRVPLHVANNPVGLESQIIEVASLLEFKSDERVNMVGIYGIGGIGKSTIARALHNLSADQFEGVCFLGDIRERATNHDLAQLQETLLSEVFGEKGIKVGDVYKGMSMIKARLKRKKVLLILDNVDKVQQLRALVGAPDWFGFGSKIIITTRDKHLLATHGIVKVYEVRQLKDEKALELFSWHAFKDKKNYPGYVDIAKRAVSYCEGLPLALEVIGSQLFGKSLVVCKSSLDKYERVLPKDIHAILKISYDDLEEDEKGIFLDIACFFNSSEIGYVKEILYLHGFHAEDGIQQLTDKSLMKIDTNGCVRMHDLIQDMGREIVRQESTLEPGRRSRLWFSDDIVHVLEENKGTDTIEVIIADFCEARKVKWCGKAFGQMKNLKILIIGNAQFSRDPQVLPSSLRLLDWHGYQSSSLPSDFNPKNLIILNLAESCLKRVESLKVFETLIFLDFQDCKFLTEIPSLSRVPNLGSLCLDYCTNLFRIHESVGFLAKLVLLSAQGCTQLDRLVPCMNLPSLETLDLRGCSRLESFPEVLGVMENIKDVYLDETNLYELPFTIGNLVGLQSLFLRRCKRTIQIPSYVLPKSEIVISNKVSGFRSSNVVEKVGPKVSANAMCVYNEYGKSFLNVYSLNVSTNNVIEVCSPSWNQDGHKLKNIGYRLFCHPIHRARLIMDKVSRSNESPVHFWFRKKFPRIALCCFFEIGELFDNVVLDFKLNVLINGTKQLSTSSEYIYYIKRKTHQKLCCDLQCNKEGIFSENEWNHVEILCEIEHLMPYDSERGMVYHDWITKRILKSSVIHVYSENNEDDFNLVENPDFPLSTEQKFQEMQRRLKRLHFIQLHFHQI >RHN67173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24578885:24583495:1 gene:gene15327 transcript:rna15327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MGRGNGATATTAAEPGSNPVFFKEPRYRGVRKRPWGRFAAEIRDPLKKARVWLGTFDTAEQAARAYDTAARNLRGPKAKTNFPLQQPFYHNLDAAGDPFSDQRFFTGAGAGVVAGEYQDHRRPTSSGMSSTVESFSGPRPFLPPAIPSAVTGRRYPRTPPVAPEDCRSDCDSSSSVVDDGDNDNAASSVMLSFKRAPLPFDLNAPPLEDADVAGGEDLHCTVLCL >RHN62854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46245190:46249462:-1 gene:gene25478 transcript:rna25478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sm-like protein LSm5 MANNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLRGFDVYVNMVLEDVTEYEITAEGRRITKLDQILLNGNNIAILVPGGSPDPE >RHN68734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38115843:38117394:-1 gene:gene17122 transcript:rna17122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRHFCSLKQKLRKGLWSPEEDEKLFNYITMFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSKQEEDLIINLHEALGNRWAQIAAQLPGRTDNEIKNFWNSSLKKKLMKQGIDPATHKPFINNIESLIKEEKEKPSMIMPLSHSQPQRILATHTMLESSHDYSESLLMSDLINHYNIGGLALTEASRIFLMNNPTLDFDPLYYNSSLINYYQPSLLQFEQNQFGNNSSYFFSSMPCLNSSEFSDNINNSVSKFSSPLVNESSSNSTSTMSDYYQISNMINENAGGLISWEGEEFINKTSSWQEGQLLSHNNSIDFSTYPLTSLSEDLSNIEANFDVFHHL >RHN64689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61010571:61011011:1 gene:gene27532 transcript:rna27532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Agenet-like domain-containing protein MCTSVNIVNYQVRVLVEVCIKDEGFWGSYFKAKIVVCLENGKYVVRYKDLLEEDKFGPLEETLLSKDLRPMPPCVQNPPKFQLNQKVDVFCKDGWWLGKIIGKKEFRKKKYKISVYFPTIPRKRLCCCDQIRVHHELSGGEWITKP >RHN38937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2908686:2911136:1 gene:gene44914 transcript:rna44914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MASSLLLSFLLYSLILQSVGVVAQTNSTIAIGDSFTAETSNSTWLLSPSGDFAFGFLPIQDTDLFLLSIWYAKIPEKTVVWYANREIPAPKGSKVELNADDGLVLTSPNGVGLWNTTEELSAKVSHGVFNDTGNFVLEGGGWETFKYPSDTLLPSQFLQKGGSLSSRLKESNFSKGRFELVLQNDGNLVIHSINLPSGSANENYYESGTVESNTSSAGTQLVFDRSGYLYVLGENNEKYNVSEQESKVSTTLYYLRATLNFDGVFAVYKHPKNSIDGEGWTTVWSKPDNICTYIVSAGSGVCGYNSLCTLEVDKRPKCQCPKRYSLVDPEDPFGSCKPDFIQGCAEDEPSKNRNDLYEFETMTDIDWPYSDFVLQKPFNEEQCKESCMEDCMCYVAIFRYGDSCWKKRLPLSNGRVDATLNDAKAFLKVRKDNTSLVPLNPTIVNKTNNRETLVLAGSVLLGSSAVLNAVLIVAICISTSLIFKYKKKLRRVSKSDASFEIESHLRCFTYAELEEATNGFDKELGRGAFGIVYEGVVNNNTASKARVAVKKLNSFMLDQAHKEFRNELNAIGLTHHKNLVRLLGFCESGSERLLVYEYMSNSTLASFLFNEEKQKPNWKLRLELAIGIARGLVYLHEECITRIIHCDIKPQNILLDDYFNARISDFGLAKLLNMNQSKTNTGIRGTKGYVALEWFKNMPITAKVDVYSYGVVLLEIISCRKCVEEMDEEDEDKAILTDWAYDCYNDGALDALVEGDNEALEDKENLEKLVMIALWCVQEDPCLRPTMRNVVHMLEGTVEVQVPPYPSPISIQYSLN >RHN63430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51236010:51238463:-1 gene:gene26128 transcript:rna26128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MLLIISSIFAILFLLIKWYSNSTETKNSPPKLPIIGNLHQLGQFPHRSFQSLAKKYGPFMRLNFGRVPVLVISSADAAHEIMKTHDHVFANRPPKINYDILLYNFRDVSSAPYGEYWRQLRSICVLHLLSAKSVRSFRAVREEETGLMMEKIKHCSSSTSLPANLSELMATTTNDIVCRVVLGRKYSGENGKGFSKLLMDFTELLGAFVVGDYVPWLDWFSHLSGYYARAKKVAKQFDDLLEGVVEDHMNNPKGDSDEHDDFVEVLLWIQRTESLGFPIDKTVIKALLLDMFIAGTDTISTLLEWSMTELLRHPNIMKKLQEEVKRVANGRTHITEEDLSHMKYLNAVVKETLRLHPSIPLLVPRESRQDIKLNGHHIKAGTRVFINAWAIARDPTHWDQPEEFKPERFLNCSIDVKGKDFQVIPFGAGRRGCPGAVYATAVNDLVLANLVHQFNWELPGAAEGLDMSESFGFTVHRKIPLMAIATPYKN >RHN41992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34598045:34598704:1 gene:gene48374 transcript:rna48374 gene_biotype:protein_coding transcript_biotype:protein_coding MQFALTIHTKEGSNTWWRSIAFFTLQIISTLNQKNNEYFITCVVQHHLDIKFKTINII >RHN47816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42748522:42761403:1 gene:gene42462 transcript:rna42462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MDHSTLFNLQSLVNFELPEQDDDFEYYGNSSQDESRITRGVAIGSHSNGNVSGRDVNLLKKRSWSRNSDNEEKSGFYETPVMEERYRSMLGDHIKKYKRRFKGNSSSPGPNQVPVPFLKSNNGLKAHKPGNERNRGLHDDETLSEWINGSNAQKSGNFLDTDFIPQHRTNRVRYEPAYVDVGNGIAYKIPPIYDKLAPLVNLPSLSDIHVEDFFLKGTLDLGSLAEMMASDKKFGNRNRVGMGETLSQYESLQARLKDTSASNSTHKFSLKLSEADLNSSIPEGAAGRIKRSILSEGGILQVYYVKVLEKGDTYEIIERSLPKKQKVTKDAALIEKEEMEKLGKIWVNIVRRDLPRHHRNFTTFHRKQVIDAKRAADICQREVKMKVSRSLKWTRTASMRTRKLARDMLLFWKRIDKEMLEIRKREEKEAAEALRREQELREAKRQQQRLNFLIQQTELYSHFMQNKSIASEALSMADENTNDENALINSSAADPNEEEDPEEAELKKEALKAAQEAVSKQKMLTSAFDTECLKLRQAGESDSLQPEVSGASNIDLKTPSTMPVASTVRTPELFNGCLKDYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMVFLAHLAEEKNIWGPFLIVAPASVLNNWNEELERFCPELKVLPYWGGLSERTVLRKSMNPKDLYRREAKFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSSNSIRWKTLLSFNCRNRLLLTGTPVQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHSIIKPFMLRRVKKDVVSELTSKTEITVHCKLSSRQQAFYQAIKNKISLAELFDSNRGQLNEKKILNLMNIVIQLRKVCNHPELFERSEGSTYLYFGEIPNSLPPPPFGELENVYYSGGHNPISYQIPKLVYQEIMRSSETLNSAVSHGFCRGSFPKYFNIFRPENVYQSVFSEDMHVKSGTFGFTHLMDLSPQEAAFLVNGSFMERLLFSMMRWDQKFIDEVVDFLTETTDDDLECSSLEKGKVRTVTRMLLVPSRSETKFLQNRLPTGPSHAPFEALVVPHQERLFSNARLLHSAYSYIPPSRAPPIGAHCSDRNFYYKMIEELHDPWVKRLFVGFARTSDFNGPSKPAGSHHLIQEIDSEQPVYKPALQLTHSIFGSSPPMRNFDPAKLLTDSGKLQTLDILLKRLRAGNHRVLLFAQMTKMLNILEDYMNYRKYKYCRLDGSTSIQDRRDMVRDFQHRSDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILLRASQKSTVQNLVMTGGSVGGDLLAPEDVVSLLLDDVQLQQKFKDIAQVRDKQKKKQPMKGILVNEDGDASLEDVSNSVALATTDSDLAVDPEGSKSSNKKRKSASDKKTLRPKNSQKTSEFDAMPMDNELDDTDPVVQKPKRPKRIKKNVNEMFEEARTGTATMVPGQTQYQPPHDGGSKIESGQGT >RHN58432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1673653:1677714:-1 gene:gene20343 transcript:rna20343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MGFDLRQVVAGILTLTMFVMLIHMIKRDHFDSVQDNKFPGSTDDVTYENVKMDTTHVRKNIGLWKGDTDELKQCWVKPSGDEVEQTDGFVTFSLTNGPEYHLSQIADAVIVARNLGASLVIPDIRGSQPGDKRNFEDIYDVDVFMKSMEGVVKVVKDLPSHVTTKKIAAVKVPNRVTEDYIARHVEPIYRSKGNVRLATYFPSINMRKSGKKGDTDSIACLAMYGSLELQPEIHDLVDSMVERLRTLSRKSDGQFIAVDLRVEMLNKKGCQGNDDNDGEKTCFNAQEIAVFLRKIGIDKDTTIYLTQSRWDDSLDSLKDLFPKTYTKESIMPADKKTKFLDSKDSELENVIDFYISTESDVFVPAISGLLYANVAGKRIGSGKTQILVPAVISDSSASASSFLSPYISKKNHFAYSCYC >RHN57471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39383306:39384416:-1 gene:gene33018 transcript:rna33018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MKLILNSYSCIFGIESMEGRKSIQSSLLQIDLNESASAQDPSEHSMEQQQEKTGTNIDESGHAVAILNSNDNSVETLNSNENNLIQAESVPEESHAPRKGHWTEDEHKLFLKGLKKHGKGCWKDISKEFVVTKTPTQIASHAQKYFIHQNVKDIEKKEKKRKSIHDTTLNKNDTLVTVAVEQRDEIPSVELQSVIPPQGMQQTQTQQNEISPMLCLLPISSTIPSVQQQNELTPVVTAPMEQQHETPAILNNKDNENLQTQLVLLYPIGSTLPDMNKLEKMCNLLAKEL >RHN78900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19755473:19756330:1 gene:gene2568 transcript:rna2568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAPSILKFFRKKKKTIQKFEKSMAPTNEKVSIYVPDDITFSILSKLPLKSVNRFTCVCKSWTLLFENSYFMNMFIKNMALKYHSLTDESCLLLNHFEIFDGGWKLYLLSGERFENKVPLNWPSIFDQNNNYYYCLRDILGYAIDGTLCINHHSTIFLWNPTTEDLNIVPKNKARFHDKFKTEFIIHGFVYDQIKDDYNIIHCVEYIGDYFKAAPPGPYWDIYSLRRNSWKKLHVDMRRCYRRSLGVLVYLNGVCHRWGGTNSESYVVSFNLSTEGYYHFLTLRFA >RHN48862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50739292:50740895:-1 gene:gene43625 transcript:rna43625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative post-transcriptional gene silencing PAZ-Argonaute family protein MLTYLISLENPIEEESEDKVLPPKFKFKVSGVLQSSMIPLFTLKKRGALPGVYDYFDNQRKIALHYSADLPCINVRKPKRPTYVLIEICSLVSLKHYTKALSRL >RHN38689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1322755:1332176:1 gene:gene44650 transcript:rna44650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl-P-Man:Man(7)GlcNAc(2)-PP-dolichol alpha-1,6-mannosyltransferase MASSSTFVKNYGYDLVLGSIAAFYIVMIPYTKVEESFNVQAMHDLLYHRFHLHNYDHLEFPGVVPRTFIGALLVSLVASPFVFIASLLQLPKFYALLIVRMTLGCIILYTLRFFRQQIRNKFGHQVEAFFVILISIQFHFLFYCSRPLPNILALGIVNMAFGYWFQGRFYTALNSLIFATTVFRCDMLLLLGPLGLQLLLTKKISVWGALKYCTGMAFFCVGITILIDSIMWKRLLWPEFEVFWFNSVLNKSSEWGTHAFHWYFTSALPRALLAAYPLSLFGFLVDRRVRSFAFPALAFILLYSKLPHKELRFILSSVPIFNLSASVACNRIYNNRKKMIWNLLFLIMLGLLLMSLGVTITTFTASYWNYPSGHALKKLHGIGFHSDTNEQWVHIDTFSAMNGISRFCESDFPWRYSKEEEISLQEFEQRNFTFLINEHPVINGFKCLFTEHGFSRMRIKFGFPPILLVKDPKVYVHGNLENMVVVNQLWPGYP >RHN42766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40781211:40803516:1 gene:gene49253 transcript:rna49253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calpain-3 protein MEEGGDREILLSSAICGSLFSVLGFSSFSILWAVNWRPWRIYSWIFARKWPNILQGPQLHLLCGFLNLSAWSVVVSPIIVLIIWGSWLVVILDRHLIGLAVIMAGTALLLAFYSIMLWWRTQWQSSRAVAILLLLAVALLCAYELCAVYVTTGSRASDRYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRSAYKSAYSDGIEVGPVACLPEPPNPNELYPRQSRRASHLVLLYLGSLSVLLVYSILYGLTAKEENWLGAITSVAVIILDWNMGACLYGFQLLNSRVAVLFIAGTSRVFLICFGVQYWYLGHCISYAVMACVLLGAAVSRHLSVTNPLAARRDALQSTVVRLREGFRRKEHNSSSSFSEGCGSSMKRSSSVEAGNLGNVIEASRGLAAGDGSNWNNVMSQTASLPDGINSDKSIDSGRSSIALHLHSSSCRSAAHEPEVGISSDERNLDHNNSLVVCSSSGLDSQGNDSSASNSANQQPLDLNLALAFQERLNDPRIATMLKRRTRQGDRELSSLLQDKGLDPNFAMMLKEKSLELDPTILALLQRGSLDADRDHPENSDNTSVDNAMPNQISLSEELRLHGLEKWLQLCRLILHHITGTPERAWVLFSFIFILETITVGIFRPKTIKIVNATHQQFEFGLAVLLLSPVICSIMAFLRSLAVEEITMTSKPRKYGIIAWLLSTCVGLLLSFLSKSSVLLGLSLTVPLMVACLSFAIPIWICNGYQFWVPRINCTENAGNDRIPRTKGIVLIICMLVFVGSVLALGAIVSARPLDDLAYKGWTDQKSLVSPYTSSVFIGWAMASAIGLVVTSVLPIISWFATYRFPLSSAILIGIFAAILVTFCGVSYLEVIKSRDDQVPTKGDFLAALLPLVFIPAMLSLCCGLLKWKDDGWKLSRVVYIFVMIGLLLLFGGISALIVVIKPWTIGVAFLLVLLLMVLAIGAIHHWASNNFYLSRIQMVFVCFFAFLLALAAFLVGWFEDKPFVGASVGYFLFLFLLAGRALTVLLSYPIVVYSPRVLPVYVYDAHADCGKNVSIAFLMLYGIALATEGWGVVASLKIYPPFAGAAVSAVTLVVSFGFAVSRPCLTLKMMEDAVHFLSKETVVQAIARSATKTRNAISGTYSAPQRSASSAALLIGDPTISLDRAGNFVLPRADVMKLRDRLRNEELVAGSLFSRLRYASTFRHEPTSDVDHRRVMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTSKAEQAQDEVRLRLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQESYIREKEMEEEIFMQRREEEGRGKERRKALLEKEERKWKEIEASLLSSIPNASGREAAAMAAAVRAVGGDSVLDDSFARERVSSIARRIRASQLACRALKTGVTGAICLLDDEPTAIGRHCGPIDSSLCLSQKVSFSIALMIQPESGPVCLLGTEFQKKVCWEILVAGAEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIVTMTIDADLGEATCYLDGGFDGYQNGLPLCVGSSIWDHGTEVWVGVRPPTDIDAFGRSDSEGVESKMHIMDVFLWGRCLSDDEVSALYTSVASADLSALDYPEDNWQWADSPSRVDGWDSDPADVDLYDRDDVDWDGQYSSGRKRRSERDGMVLEMDSFSRKYRKPRIETQQEVNQRMLSVELAIKEALFARGESRFTDQEFPPNEHSLFVDPEDPPAKLQVVSEWLRPGEIARQNHPGCRPCLFSGPPNPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITQGYNEEGIYTVRFCVQGEWIPVVVDDWIPCELPGKPAFATSKKGYELWVSLLEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSGEAQLDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHISSSGIVQGHAYSILQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWTDRIKHKLKHIPQSKDGIFWMSWQDFQIHFRSIYICRIYPSEMRHTVHGQWRGYSAGGCQDYDTWHQNPQFKLTATGQDASHPIHVFITLTQGVGFSRTTAGFRNYQSSHDSLMFYIGMRILKTRGRRAAYNIYLHESVGGTDYVNSREISCEMVLEPEPKGYTIVPTTIHPGEEAPFVLSVFTKASITLEAL >RHN71157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56830875:56833291:1 gene:gene19807 transcript:rna19807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MNLCRFVSLINSDDEEADTVGCCTLKIENVTAQGHNKLKFDFLGKDSIKYENTVEVELPVYNAILKFQKDKHPGDDLFDKLDTSKLNAHLKELMPNLTAKVFRTFNASFTLDDMVKIDLKSMPRHSHCFQKEIILSLYGSCLNKETKDGDVAEKKDVYQHANKQVAIICNHQRSVSKNHSAQISKLNEKIVELQASLRELKIDLKRARKENSPLTSSDGKKRNLNPEAIEKKITQTSAKIEKMQRDMHTKEDLKTVALGTSKINYLDPRISVAWCKRHEVPIEKVWTSSLVMITIDFPPIDKNQLTVEILLDFPLSVCSLLKGRKLCCFPPELDQ >RHN51534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19527231:19529137:1 gene:gene35991 transcript:rna35991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKSLNYLNLSNAGFYGKIPHQIGNLSNLLYLDLSNGFNGKIPYQIGNLTNLIHLGVQGSDDDDHYVCQESLQWLSTLPSLVKLSLRGCILPTQYNQPSSLNFSSLVTLDFSRISYFAPKWIFGLRKLVSLQMESNNIQGSIMNGIQNLTLLENLDLSNNEFSSSIPVWLYNLQHLKFLNLGGNNLFGTISDAMGNLTSMVQLDLSFNQLKGRIPSSIGNLDSMLELDLQGNAIRGELLRSFGNLSSLQFLGLYKNQLSGNPFEILRPLSKLSVLVLERNLFQGIVKEDDLANLTSLQYCYASENNLTLEVGSNWHPSFQLYELGMSSWQIGHNFPSWIQTQKDLHYLDISNTGITDFIPLWFWETFSNAFYLNFSHNHIHGEIVSSLTKSISIKTIDLSSNHLHGKLPYLFNDSLSWLDLSNNSFSGSLTEFLCNRQSKPMQSSFLNLASNSLSGEIPDCWTMWPNLVDLNLQNNHFVGNLPFSMSSLTELQTLHIRKNSLSGIFPNFLKKAKKLIFLDLGENNFTGNVPTLIGKELLNLKILSLRSNKFSGHIPKEICDMIYLQDLDLANNNLNGNIPNCLDHLSAMMLRKRSKDSLIYSNTSIVEIG >RHN56101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27758352:27762762:-1 gene:gene31439 transcript:rna31439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 43kDa postsynaptic protein MAMKTKSNKVGNEFGCGFMERIFNLKSPRLRNSLVHSLPMKGNNIDHVKNEAKLFPNKEPKVPQKISNENRKRSSDATARSSTSSSSGASSTLKRVEQNHNTDDDVKLQIESARNSLQLARISTIRTRDNKSNKSITKDFSPLKLTGNLLVNNTPRRKSVDSLPKHLELNSVSSFYNGNNNGRKVVMGNIMRKNSNELAQFLSQRHNIADPEVLKSMGNEAYKKGKFEEALALYDKAIAIDSNKATYHCNKSAALIGLGRFQEAIIECEESIRLDPSYNRAHNRLATIYFRLGDVEKALDCNRSTSNVDSVLAFQAQALQNHLKKCIEARKFNEWSVVLKETQSALSLGADSAPQIYALQTEALLKLVRYQEAYAVYDNMPKFSDDWCNKIFGMATSAYLSMISALVYLASGRFEEAVKTSQQADRVDPSNREVNAVLRRAKAVTSSRMSGNLLFKASKFMEACAVYNEGLDHDPHNSVLLCNRAACRSKLGQYEKAIEDCDAALMLNPCYSKARLRRAYCNAKLERWEVAIQDYEMLIREKPGDEEVARALFEARLQLKMLRGEDIKDLKFGSNLVFISSNDRFRHYVTSPGMAVVLFSNKGTHKQVSMVLEQTSKRFPSVNFLKVEIEDHPYLAKSEGVSSFPAFKIYKNGSRVKEISGNNHEFLEKSVKFYSS >RHN42840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41393767:41397761:-1 gene:gene49335 transcript:rna49335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MSLWRCIMLILIGTIILELNPVVAVKGVPLGTSSRWIVNQDGERVKLACLNWVSHLDAVVAEGLSKKPVDVISNGIKSMGFNCVRLTWPILLLTNDTLSSLTVRQSFQNLGLLQSVAAFQSNNPSIIDVSLIQAFQAVVKSLGDNDVMVILDNHITQPGWCCSNSDGNGFFGDQYFDPNLWIQGLTKMATLFNGVSNVVGMSLRNELRGPKQNVNDWYRYMVQGAEAVHAANPDVLVILSGLNFDKDLSYIAKRPVNLTFKGKLVFEAHWYAFTDGQAWASGNPNQVCGQVAGNMKRMSGYLVDQGWPLFVSEFGVDLRGTNVNDNRYLNCFIAYAAELDLDWALWTLVGSYYFRQGVIGMEEFYGIFNWDWTQVRNASFLQRIASLQLPFQGPGITVGNPHKLLFHPSTGLCVIRKSLLEPLKLDPCSLSDGWNYTPQKILSVKGTYFCIQAVKEGMPATLSILCSNPNSKWDMISDSKLHLSSKINSGSTNVCLDVNDNNIIVTNACKCLSNDHSCDPGSQWFKLIDSGRRSISSISTLSELNLLELFMKPYLTSK >RHN79300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27276404:27277164:-1 gene:gene3073 transcript:rna3073 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMDSKSVKDLPERPSYRKRKAGYVGKSLQETSSATPAKTGDGTSIWDDNFPFGDFVDKHFNMKTDYSAFEAWELENCAQVMLENSVQSVVFVRCMGKKVCDLEKKNKAYVEENTELRNKLSESEKNVDKLEKNLEELSLEKSRSMVKEEDLAEENSKMKIKLLIKEDMIDKLKGVIEDLKAEIEEVKAEIPVQYNAGFDKAVKQILVSISELKH >RHN67769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30116023:30116370:1 gene:gene15997 transcript:rna15997 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCMTADRYYSSTVFLPVAKKGLACNESSEGCNCLPISNKAFSRTYIFVLQKELHVNPDINDEDYATCFIRFTHVVMMFDLTVAICIQRQVFNSIYVGTSLQILSKIIYIFLPC >RHN67328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26081771:26082493:-1 gene:gene15508 transcript:rna15508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MFLPELKKNYVFAVIENMYKPIKYVTDKFMPYSLSGYDHLSAGSQTTFTTLEWAMSELIKNPQVMEKAQAEVRSVYKEKGYVDESSLHKLKYLKSIIKETFRLHAPSPLLLPRQCSEKCEINGYEIPAKSKVIVNSCSICRDSRYWIEAEKFYPERLIDCSVDYKGVDFEFIPFGAGRRICPGIIFGIANIEISLANLLFHFDWKMPNGNNADELDMIESFGLAVRRKHDLWLVPTTYHS >RHN64863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62552788:62555686:1 gene:gene27730 transcript:rna27730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MILGNSSGKLSRNTLFSLINKASTFPHLAQTHAQFILNGYRFDLATLTKLTQKLFDFSATRHARALFFSVPKPDIFLFNVLVRGFSLNDSPSSSISLYTHLRRNTNLSPDNFTYAFAVAACSNDKHLMLLHAHSIIDGYGSNVFVGSALVDLYCKFSRVVYARKVFDGMPERDTVLWNTMINGLVKNCCFDDSIQLFREMVADGVRVDSSTVTAVLPAAAELQELKVGMGIQCLALKIGFGFCDYVLTGLISLYSKCGDVNTARLLFRRINRPDLIAYNAMISGFTANGGTECSVKLFRELLFSGERVSSSTIVGLIPLHSPFGHLHLACSIHGFCVKSGIILNPTVSTAFTAIYNKLNEIDLARHLFDESPEKTVVAWNAMISGYTQNGSTETAISLFKEMMKTEFTPNAVTITTILSACAQLGSLSFGKWVHHLIKSENLEPNIYVSTALVDMYAKCGNISEAWQLFDSMSEKNTVTWNTMIFGYGLHGYGHEALKLYNEMLHLGYNPSAVTFLSVLYACSHAGLVGEGEEIFHNMVNKYRIEPLIEHYACMVDILGRSGQLEKALEFIKKMPVEPGPAVWGTLLGACMIHKDTDIARLASERLFELDPGSVGYYVLLSNIYSVERNFPKAASIRQVVKKRKLAKSPGCTLIEVNGTPHVFVSGDRSHSHATDIYAKLEKLTGKMREMGYQAETVPALHDVEEEEKELAVNVHSEKLAIAFGLITTEPGNEIRIIKNLRVCLDCHTATKFISKITERVIVVRDANRFHHFKDGICSCGDYW >RHN60757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30218950:30220964:1 gene:gene23115 transcript:rna23115 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKWSILVALFILLISMEAAIAQGQGNGNGNGNNGNGNGNNGNNGNGKGNGNGKGNNGNGKGNDKEDGKDKGKDKDDKDKGKGKKDDDSSKYESLPALQSGQERGFCQAQNTCHFKTLVCPEECKQRKPKKNKKDKGCFIDCNKCEATCKFRRPNCDGYGSLCYDPRFVGGDGVMFYFHGAKGGNFAIVSDDDFQINAHFIGTRPQGRTRDYTWVQALSVMFDTHTLVIAANRVTQWNDKVDSLTVKWDGELVTIPSDGDAEWKSSGNQREVIVERTDDANSVRVTVSGLLEMDIRVRPIGEKENKAHNYQLPSDDAFAHLETQFKFKNPTDSIEGVLGQTYRPSYVSPVKRGVAMPMMGGEDKYQTPSLFSTTCKLCRFQRPSTSQGLIAEY >RHN77103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4105542:4108275:-1 gene:gene523 transcript:rna523 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFCAPNFEFIYQTVLLGFGICMHKKQTDKQSGLFNSLRILTMSSISSRK >RHN71117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56565507:56566245:1 gene:gene19762 transcript:rna19762 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTFFFFIIIFLLSYCILVLLVLCYQIVHVTFCLRELHLIHSLPSIPMQKGLAPEHCSKLLTDPPEHLLN >RHN79254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26715774:26716126:-1 gene:gene3019 transcript:rna3019 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCFPICLRGTLSKPGHLLRYRRNCRLDGDLISFVFSIKGHKVMMLSAVFL >RHN47008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36412922:36418354:-1 gene:gene41553 transcript:rna41553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSPLNNTSIVSKITNLHRLRQLHAQLVHHSLHHQNHWVVLLLTQCTRLLAPSSYTCHIFHAATHPDVRVFTFMLKYYSQIGVRAQVPVSLFKHMLRHCNIKPNTSFYSVMMKSAGSESMLFLAHVLKSGYDRDHYVRNGILGIYAKYGPIEFARKLFDEMPDRTVADWNVMISGYWKCGNEEEASTLFHVMGDQEISRNVITWTTMITGHAKKGNLKTARMYFDKMPERSVVSWNAMLSGYAQGGAPEETIRLFNDMLSPGNVQPDETTWVTVISSCSSLGDPCLSESIVRKLDDTVGFRPNYFVKTALLDMHAKCGNLEAAHKIFEQLGVYKYRSSVPWNAMISAYARVGDLPSARHLFDKMPQRDTVSWNSMIAGYTQNGESVKAIKLFEEMISSEDSKPDEVTMVSVFSACGHLGELGLGNWAVSILKENHIQISISVYNSLISMYSRCGSMQDAVLIFQEMATRDLVSYNTLISGFAEHGHGMESIELLLKMKEDGIEPDRITYIAILTACSHAGLLGEGQRLFESIKFPDVDHYACMIDMLGRAGRLEEAMKLIQSMPMEPHAGIYGSLLNATSIHKQVELGELAAAKLFKVEPHNSGNYVLLSNIYASAGRWKDGDKVRDTMRKQGVKKTTGLSWLEHNS >RHN63407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51017409:51021576:-1 gene:gene26104 transcript:rna26104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain-containing protein MANEGEEVQQPQLVLADKLFLLKQKDVQDIDKVRFKEDVFNFVKEHDMVPLYETLVADSVLDMDRALLDSMRAKIDDELKKLDEKIADAEENLGESEVREAHLAKSLFFIRIGDKEKALEHLKITETKTVAVGQKMDLVFYTLQLGFFGMDFDLISKSIDKAKSLFEEGGDWERKNRLKVYEGLYCMSTRNFEKAATLFLDSISTFTTYELFPYDTFIFYTVLTSIITLDRVSLKQKVVDAPEILTVIEKIPHLKEFLDSLYGCQYKSFFSAFAGLTEQIKLDRYLHPHFRYYMREIRTVIYSQFLESYKSVTIEAMAKAFGVSVDFIDVELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >RHN71383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58626734:58627074:-1 gene:gene20061 transcript:rna20061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MITRDRKPNSVSYYRLISGFCREGNLEEAKGLFADMKKREFRVDSALEIASGKVDDADELIEEIKEVSDELLKGKKNYEDDEGYMKMTKMVV >RHN71992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4222765:4224431:-1 gene:gene7658 transcript:rna7658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (adenine(58)-N(1))-methyltransferase MIMTYLTSLKLSCLSKHTLYILTHNHICNWLVDDIWFFFFTRLKNLKPFKMLLTSNPEKTIAFNRKIHDGNLVIVYVKHGNMKAVTVSEGSVFQNSLGAFKHSDWIGKPFGSKVFNNKGDFVYLLAPTPELWTLVLNHRTQILYIPDISFVIMYLEIVAGCLVLESGTGSGSLTTSLARAIAPNGHVYTFDFHEQRAASARDDFERIGLSSLISCGVRDIQGEGFPDELNELADSVFLDLPQPWLAIPSAAKMLKQDGTFCSFSPCIEQVQRSCDALQSCFTGWNGI >RHN55038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14616632:14621349:-1 gene:gene30161 transcript:rna30161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase transcription regulator Homeodomain-LIKE family MRCKKTLVDGVPFLFWCVWIFSVVIESCPNPQYMHLMVVGDKWDTYLELLQSGYTEGDALDSLGLVRYCCRRMLMTHVELIDKLLNYNPLEKHGAN >RHN66694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16657280:16661032:1 gene:gene14744 transcript:rna14744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonoid 3',5'-hydroxylase MVITLYQTFLYKELSISLFIFLITHLFFRTFLLKINHKKLPPGPKGYPIVGALPLMGTMPHLTLFKMSQKYGPVMYLKMGSHNMVVASTPSSAKAFLKTLDLNFSNRPPNAGATHLAYDSQDMVFADYGSRWKLLRKLSNLHMLGGKALEDWSKIRGDEMGHMIRTMYDCSKKDESIVVSEMLTYAMANMIGQVILSRRVFETKGYESNEFKDMVVELMTTAGYFNIGDFIPILAWLDLQGIERGMKSLHKKFDALLTKMIEEHVASSLKSHRVKPDFLDRLIAQSKEDSDGEKLSLTNIKALLLNLFTAGTDTSSSIIEWALAEMLKNPKIMKKVHEEMDQVIGKQRRFQEADIQKLPYLQAICKETYRKHPSTPLNLPRVSLEPCQVNGYYIPKNTRLSVNIWAIGRDPNVWENPLEFNPERFLTGKNAKIDPRGNDFELIPFGAGRRICAGTRMGIVLVQYILGTLVHSFDWKLPNGVVDLNMDESFGLALQKKVPLAAIVSPRLSPSAYIL >RHN80666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39894710:39900040:-1 gene:gene4620 transcript:rna4620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MDRVFSVDEIPDHLWSPQIHVSGSAGDESSKMSRSASEWAFQRFLQEAASPSPSSSSVADNTGFADAAAKDGAVLPNGPPPVAVDSDEYRAFLKSKLNLACAAVAMTRGSLTKSQDLATFPENGPQSSNATQVGSQPISEGSGPSGNDPPILQDKDAKVPPAVPSIPHVQKKTIVAIRPSTSGSSREQSDDDEAEGETYMIDSTDPTDVKRVRRMLSNRESARRSRRRKQAHLTELETQVSQLRGENSTLVKRLTDVNQKYTDSAVDNRVLKADVETLRAKVKMAEETVKRVTGLNPLFHVMPDMSSMPAFDGSPSDNLADAAVPVQDDPHHHFYQPTSINPMPCHDMRVSNGLGEISSIENVQPNNAAVVGGNKMGQTAPPLHRVASLEHLQKRIRGGGVDSCGPPSNGEQ >RHN42496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38836736:38837251:1 gene:gene48943 transcript:rna48943 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVFVSIVLFVLLTPGLLFQIPGRSRCVEFGNFQTSGASILIHSLLYFGFVCIFFLAIKIHLYIG >RHN46627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33443256:33444186:1 gene:gene41142 transcript:rna41142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wound-induced protein, Wun1 MDSMIEMQNKSIVEILYKALLGQGTMEMVAKLLASDLEYWFHGPPECQHMMKVLTGETNHKKGFKFEPRSVTSIGDCVITEGWEGQAYWVHVWTLKNGLITQFREYFNTWLVVRDLRPLRWEDHKQDNMTLWRSQPRDLYRRSLPGLVLAI >RHN48541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48308879:48313236:-1 gene:gene43271 transcript:rna43271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MFKTICILLIISVLSSFSQLGTAMLNLTLPGTHPDPDAVAHEVHRKVNASIARREMLSGSACMTGNPIDDCWKCDPEWPNNRQRLADCAIGFGQYAKGGKGGEFYVVTDSSDDDAVTPKPGTLRYGVIKNEPLWIVFPSNMMIKLKQELIFNSYKTIDGRGADVHIVGGGCITLQFISNVIIHNIHIHHCHPSGNTNVRSSPEHFGYRTMSDGDGISIFGSKDIWVDHCTLSHCKDGLIDAVMGSTGITISNNFMSHHNEVMLLGHSDDYLPDSGMQVTIAFNHFGEKLVQRMPRCRRGYIHVVNNDFTEWEMYAIGGSGEPTINSQGNRYFAPINPFAKEVTKRVETEQNKWKGWNWRSEGDVMVNGAFFVTSGDTLEVKYEKAYSVEPQSADRIVLLTMNSGVLGNARDNNLGMWSRGPSSNSDGVLDSGPDYTDDMSGSARVLPSSFTIVMCFLLSYRVLPLTIIQ >RHN66786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18700057:18702226:1 gene:gene14867 transcript:rna14867 gene_biotype:protein_coding transcript_biotype:protein_coding MASARVIQSVSKRTVWNPKETANFIASDNAVASASKTVIEDGKNADFAIKSCPSEFRKQIPYPTIVLWWKIAASTLHFITPRMDLYAQ >RHN43415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45659139:45659445:-1 gene:gene49986 transcript:rna49986 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMLKDVKEICIFLWGIGVLLLELLTGRKPFDRHASFESETECHAKERAKSGEMGFPFDGYQLVMEEEEDNIERVTRIFLSFDF >RHN42616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39732588:39743625:1 gene:gene49073 transcript:rna49073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo-like helical protein MDPESTQLQQSQLAAILGADPSPFETLISHLMSSTNEERSQAEALFNLCKQTDPDALVLKLGHLLHSSPHQEARAMSAILLRKQLTRDDSFLWPRLSSNTQASLKSLLLSSIQSENAKSISKKLCDTISELASSILPDNGWPELLPFMFQCVSSDSAKLQESAFLIFAQLSQYIGDSLTPHIKHLHDIFLQCLTSSAVNPDVRIAALNAVINFIQCLSGSADRDRFQDLLPAMMTTLTEALNSGQEATAQEALELLIELAGTEPRFLRRQIVDVVGAMLQIAEAESLEEGTRHLAIEFVITLAEARERAPGMMRKMPQFISRLFAILMKMLLDIEDDPAWHTADTEDEDAGESSNYSVGQECLDRLSISLGGNTIVPVASEQLPAYLAAPEWQKRHAALIALAQIAEGSSKVMIKTLEQVVAMVLNSFPDQHPRVRWAAINAIGQLSTDLGPDLQVQYHQGVMPALAAAMDDFQNPRVQAHAASAVLNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVIPYLKAILVNATDKSNRMLRAKSMECISLVGMAVGKEKFRADAKQVMEVLMSLQGSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMEFVMPPLLQSASLKPDVTITSADSDNDIDDSDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAGTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAIEKGQSQGRDVSYLKFLTDSIIPALVEALHKEPDTEICASMLDSVNECLQISGMLLDEKQVKSIVEEVKQVITASSSRKRERAERAQAEDFDAEEGELIKEENEQEEEVFDQVGEILGTLIKTFKASFLPFFEELSSYLTPMWGRDKTPEERRIAICIFDDVAEQCREGAIKYYDTYLPFLLEACNDETPDVRQAAVYGLGVCAEFGGSVFKPLVGEALSRLNAVIQHPNALHPDNVMAYDNAVSALGKICQFHQDSIDSAQVVPAWLNCLPIKGDLIEAKVVHDQLCSMAERSDSSLLGPNNQYLPKIVAVFAEVLCAGKDLATEQTAGRMVSLLRQLQQTLPPATLASTWSSLQPQQQLALQSILSS >RHN46462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31937547:31938065:-1 gene:gene40950 transcript:rna40950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MPNADRISALPEQVLCHIIELLPMKQIFATSLLSKRWRPLRRRIDNINLDDRTYMDDFDAWHYYRMGLVFLFNIQIPIKCFNLVYASPFCRSSKLDTFLHLLVNRDVEHVNLTFLQQPSIVCLPYKILTCTTLVVLKLNGLTIDYVHFLKIYSKKIYKLATLLLLLMMLQSS >RHN64494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59532696:59535882:1 gene:gene27314 transcript:rna27314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TMEM14 family protein MAETAAVFGVSNSTIPSLSLRPTTGSHTPTTFTRSFLASPPSFPYLSLSNSHSQLAVVSSDSKTTQFDLSAPDLDNTGGGGDIKGNGDDFSGGGGGGEGGGGGDDSGKGEEGSDGDKRNMALSMSQKLTLGYAILVGAGGVMGFLKSGSQKSLLAGGLSSALLFYVFTELPGRPVLASSVGLGISAALLVVMGSRFKKSGKVFPAGVVSLVSFIMTGGYLHGIMRSSH >RHN73577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17806796:17809616:1 gene:gene9402 transcript:rna9402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MEEISSTVAVPFSIGNLIQKESTVTNHMEITGLNLMINTSSVLILNPASIEGCQSFSVGSGEDGDADISPQHQQISVSLEVKEDQVAAEPVSEMVLESDSFERNDEEFKTAKDFQCIHNPSSQSSVSRKSSPFTGESTISRTNLSEMNTPNTVTVDDNIEDDKYGLNEPVTNMASVGMKHENEDRSISDGPESKPIAAVHEMPEQQTSCDNGLELSNTPLYGFSSVIGRRQEMEDTIVIKPQLFQVPSMMLMDDHVNENTKDSLAHFFGVYDGHGGSQVAKYCQKHLHSVLVEEIEAAESSLSENKEKDNWQDQWKKVLTNCFQKVDDVIVGVPEANVGKNSNDGSESSTEETLAPETVGSTALVAILTQNHIIVANCGDSRAVLCRGKEALPLSIDQKPNREDEWERIEAAGGKIIQWNGYRVLGVLAVSRSIGDKYLKPWIIPDPEVKFVLREKNDECLILASDGLWDVITNEEACDFARKRILIWHKKNGTNVSTGKDQGVDPAAHYAAECLSKIAHQRGSKDNISVIVIDLKAQRQLKKKT >RHN49519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55332226:55338846:-1 gene:gene44360 transcript:rna44360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MMSSSSSCSSILALQIPTRMDSHSVVTQKMFLKPLNLNFGRDRGIQQQLLKLNHHHRVLPLRCCSASTTSSGDHLHNNDADVVLHVQGMMCEGCASSVKKLLETQPQVLSANVNLASEIALVSLSPLLSQEKTATDWQKQLGEKLAHHLTTCGFTSTLRGQEDSA >RHN71596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1244616:1248367:-1 gene:gene7211 transcript:rna7211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MMMKNNLCNVVQGLKPTLLMVMVQIAFAGVNVLYKLAVNDGMSLRIVVAYRFIFATAFIAPIAFILERKKRAKMTWTILFQSFLCGLFGGSLAQNFYLQALTLTSATFASAMANLIPAVTFIMAVSLGMEKLNLRTKAGKAKILGTLTGIGGAMVLTFVKGVEIKMGSFHLNLLHHQNGVVTHTISTANTISGSLCALASSISYALWLIIQTKMSERYPTHYSSTALISFWASLVSIVLALCFERDLSQWKLGWNIRLLTVAYAGIVVSGAMVAVISWCVHMRGPLFASVFTPLMLLMVALAGCTILNEKLHLGSIIGAVLIVCGLYAVVWGKSKEMKKKNQLVPSKNPHEFDPVEIVVRSIEKDKSNLNNNNQMVKDNEDSQTDEHEQQSQEK >RHN64510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59653212:59655675:1 gene:gene27331 transcript:rna27331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MELCSSKQPSTTTLSITSFIFFFLLLSLPHSTSASSPNNFVGSSLRVSPTKFSNSANEVKTVLQKVTSILSTFTYTFSHHSNAISDCLDLLDMSSDQLSWSVSATQNPKGKNNSTGNLSSDLRTWLSAVLVNTDTCLEGLQGLQSTFAKSDVSSGLDRVLSLVKKNLFEVVLSNDQLATATSEDRFPSWINDGDKKFFEANETTADAIVAADGSGNYTTVMDAVLAAPKFSMRRYVIYVKKGVYVENVEIDRKKWNIMMIGEGMDATIISGSRNRVDGWTTFRSATFAVNGRGFIACNISFQNTAGPEKEQAVALRSDSDLSVFYRCGIFGYQDSLYTHTQRQFYKACKITGTVDFIFGNGTVMFQNCEILAKKGMQGQKNTVAAHGRTDPNVTTGFSFQFCNISADFDLLPFIATIRTYLGRPWRPYSRTIFMQSYMSNAISPEGWLEYNGSVGLDTLYYSEYMNSGPGAGVANRVKWSGYHVMNDSSEAEKFTVAQFILGDLWLPSAGVTYTAGLTV >RHN40937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24710466:24711070:1 gene:gene47185 transcript:rna47185 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CLE53 MATSTNSREFLIFICVLTLLVVRSEARLVPMLFSTKEKVMNSKFGLREVINDVRNSEWLRKRALLGGKPERVSPGGPDAQHH >RHN56062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27290326:27291237:1 gene:gene31388 transcript:rna31388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MMGNEACKMIFASTNGHIKETKFEKQSDNVWNEGNTKKLLSPETVVVRSVLGDKIDVENKLRDEAKKCKWLVLWFDNDPEGEYIAYEVHEICVQQNYEIIVKRARFSSLNPTAVDATIKTSLDDLNPCLLDAVAYRKEVDFKTGRVWTRFQSFLARKQIPNATNLTLSYGLCQSALLGIVVERFNQVAKPKAQKYNISFNDRGYGPKVTFTSPVWYDAKSKASKKLQSCSDEKATIVEVFVEITNKFNKFHSPPVPLTTMELEKLAAKYFKMTPKQTMNTAEDLYTQGFINYPRTETDGFSMN >RHN67423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27100453:27101777:-1 gene:gene15612 transcript:rna15612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MATLVHPVNRKRPRRKHCYKMWETLFDIHTKYRPIKPIGRGTYGVVCSSINMDTNEKVAIKKISNVFDDCGDALRTLREMKLLRHVRHENVISLKDVMIPDQRTSFKDVYLVYELMDKDLHRIIKSSKPLSNNHCKLFLVQEFEVQCSNALLQCFIDIALFQSKFIIFKVNICLFNISLFVTNHKLLFQLLQGLNYLHSANILHRDLKPENLLVNANCDLKICDLGLARENQVDGEIMTEYVVTRWYRAPELLLGCGNYGTSIDVWSVGCLFAEMLGRKPIFPGKDSLHQMKLIISVLGSQKSDLDLIVNPKTKAFIKSLPYTQGTHFSQLYPQADPLAMDLLQKMLVFDPTKRISASEALQHPYMADLCDDQWRNPHPQVPVNLNIDEDWDNKIIREMMWNEMLHYHHEAAFVNA >RHN79809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32402726:32408500:1 gene:gene3650 transcript:rna3650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MATEASMNNNNQGASTIENRDDISIQKGVASSEITEELPSGQQRTRQNLGIETQIKTHEETKEDFLRINIPLTPSPRRVIFSPCTSPGYFVETNDSPSPSSSKNRSTLKKTFIPKLSFKFKNTTSEIEKAAFLALEGSSTLPSKKPFLSRTLSRMKSRGRKTSSLPVSPVPRSNPVYVHGGKGFPAMGSEKELQLPIHRSRSVPSFTEDDTHVGGMFRIFRTIPQFNEKITTTVSVASPKGENVETEDGGEDIPEEEAVCRICMAELGEGADNFKLECSCKGELSLAHKECAVKWFGIKGNRTCDVCKQEVQNLPVTLLRLHQANLQLSRGHLDETSQYRVWQDAPILVIVNMLAYFCFLEQLLVSDMGSSAIAMSLPFSCILGLLASMTATTMVRRNHVWIYGTMQFCLVVLCGHLFFKLTKMGAVLAILLATFAGFGVVMCGTCILIEILKWRRRWLAQLNQQNVGSEDAVLPDQSSAATHQVQIDSQHTESNVGNSPRQMS >RHN68195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33588925:33592779:1 gene:gene16510 transcript:rna16510 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLAWAEDVGGNGGSESATEDSSIPILFADSQKTYVRDFDSKTNCLQRSIQDLRLRLPPHDIPHCLPHLHALFLASNNTLTLQLNAHSSTWH >RHN39606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8619203:8622174:-1 gene:gene45645 transcript:rna45645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein MASACASSAIAAVAISPSSQKNGSPMGTSKAFLGRKLKVNSNIAAPVRVRSTTTVCAAAEPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLSSDPESLKWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGEQEYFTDTTTLFIVELIFIGWAEGRRWADILNPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPQKLKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHATIFAAFTPK >RHN43333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44995671:44997334:-1 gene:gene49889 transcript:rna49889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ADF/Cofilin, ADF-H/Gelsolin-like domain-containing protein MANAASGMAVHDDCKLRFQELKSKRSYRFIVFKIEEQQVVVEKLGEPSDSYDDFMASFPADECRYAVYDFDFTTNENCQKSKIYFVAWSPDTSRVRMKMVYASSKDRFKRELDGIQVELQATDPSEMSLDIVKARAL >RHN75786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45025502:45028521:1 gene:gene12036 transcript:rna12036 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNKYASINFNHIYDNKPITTTKTNNASKNPSLSSSSSFSSASYSAVSAPNKHGRILVLTRPTPKPISHPTPPAQSQQSKPNPIQAPVSSDRPRSQPGPDSISLRPLGRTGSLSSGLLPNPVLNGEKEKSLPVKSGKFVPPHLRPGFVPREECLRENLRPKSGGNERMRKVSGSDTGFGNRPNSSG >RHN72220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5881654:5887714:-1 gene:gene7906 transcript:rna7906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MAENPNVHDNSSSSPTQQHGIRDNKTKQKVPFYMLFNFADHLDVTLMIIGTISAVANGLASPLMTLFLGNVINAFGSSNPADAIKQVSKVSLLFVYLAIGSGIASFLQVTCWMVTGERQAARIRSLYLKTILQQDIAFFDTETNTGEVIGRMSGDTILIQEAMGEKVGKFFQLASNFCGGFVMAFIKGWRLAIVLLACVPCVAVAGAFMSIVMAKMSSRGQIAYAEAGNVVDQTVGAIRTVASFTGEKKAIEKYNSKIKIAYTTMVKQGIVSGFGIGMLTFIAFCTYGLAMWYGSKLVIEKGYNGGTVMTVIIALMTGGIALGQTSPSLQAFAAGQAAAYKMFETIRRKPIIDASDTSGAVLEDIKGDIELRDVSFRYPARPDVQIFDGFSLFVPSGTTTALVGQSGSGKSTVISLLERFYDPDAGEVLIDGVNLKNLQLRWIREQIGLVSQEPILFTTSIRENIAYGKEGATDEEITTAITLANAKKFIDKLPQGLDTMAGQNGTQLSGGQKQRIAIARAILKNPKILLLDEATSALDAESERIVQEALEKIILKRTTVVVAHRLTTIRNADIIAVVQQGKIVERGTHSGLTMDPDGAYSQLIRLQEGDNEAEGSRKSEADKLGDNLNIDSHMAGSSTQRTSFVRSISQTSSVSHRHSQSLRGLSGEIVESDIEQGQLDNKKKPKVSIWRLAKLNKPEIPVILLGAIAAIVNGVVFPIFGFLFSAVISMFYKPPEQQRKESRFWSLLFVGLGLVTLVILPLQNFFFGIAGGKLIERIRSLTFEKIVHQEISWFDDPSHSSGAVGARLSIDASTVKSLVGDTMALIVQNISTVIAGLVIAFTANWILAFIVLVLTPMILMQGIVQMKFLKGFSADAKVMYEEASQVANDAVSSIRTVASFCAESKVMDMYSKKCLGPAKQGVRLGLVSGIGFGCSFLVLYCTNAFIFYIGSVLVQHGKATFTEVFRVFFALTMTAIAVSQTTTLAPDTNKAKDSAASIFEIIDSKPDIDSSSNAGVTRETVVGDIELQHVNFNYPTRPDIQIFKDLSLSIPSAKTIALVGESGSGKSTVISLLERFYDPNSGRILLDGVDLKTFRLSWLRQQMGLVGQEPILFNESIRANIGYGKEGGATEDEIIAAANAANAHSFISNLPDGYDTSVGERGTQLSGGQKQRIAIARTMLKNPKILLLDEATSALDAESERIVQEALDRVSVNRTTVVVAHRLTTIRGADTIAVIKNGAVAEKGRHDELMRITDGVYASLVALHSSAS >RHN67034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23115888:23118706:1 gene:gene15166 transcript:rna15166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MDPNNSMIQHEDEDIPYYYDPQDANAFRLIVLEEKWEEVIKKYEEHVFFHKIRIKGRGTALHVAVSNGNEDIVKRLVDVIVKKHNDQSGLEIKTEKGDTPLHLAAYRGFTSMCECIIGKNGERKHLIRDQNEKGETPLFCTVLAGINKKTFLYLHHFFPSDTSIAINNVGATILHVAIRRETFDMANIIMYLYPNFHSMEDKDGVSPLEDLATRTSAFKSGIRLIWWKEFLYRHCK >RHN46306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30560169:30560606:-1 gene:gene40786 transcript:rna40786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ctr copper transporter MGMPMAPGQSMPMSNGTMIMNMQMSFFWGKNAIVLFSGWPNNSLGMYILAILFVFILALAAEVLSNQPSIKRGTNPLKGGLIQSGVYFFRISFIYLLMLAVMSFNVGIFIAAVLGHSLGFFVARSRAIAVANGEDQRSDSATLKI >RHN54782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12204184:12204490:-1 gene:gene29868 transcript:rna29868 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDSVSLAVQHRWLEFVFLVVMDQSRGDVCLHMIYYGFDDFFFLCWFVVVRGGFHGGVDIGFFVVVFDGDACGGWC >RHN71807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2731119:2734902:-1 gene:gene7443 transcript:rna7443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MLGLLVQVNMLHILFFISTLYMIKIGCASMSTITSSQLIKYSETISSSDDAFKLGFFSPVNTTNRYVGIWYLNQSNIIWVANREKPIQDSSGVITISDDNTNLVVLNRHKHVIWSSNVSSNLASSNSNVTAQLQNTGNLILQEDTTGNIIWESFKHPSDAFLPNMIISTNQRTGEKVKYTSWKTPLDPAIGNFSLSLERLNSPEVFVWNQTKPYWRSGPWNGQVLVGLPSRLLYASDILTLSIGRKDNGSIVETTYTLLNSSFFAIATVNSEGKLVYTSWMNGHQVGTTVVQENECDIYGFCGPNGSCDLTNSPICTCLKGFEPRNVDEWNRQNWISGCARKASLQCERVKYNGSELGGKGDGFVKLEMTKIPDFVQQSYLFADACRTECLNNCSCVAYAYDDGIRCLTWSGNLIDIVRFSSGGIDLYIRQAYSELSTDRDGKRNFTKIIISMGVVGAIIFATASYFLWSWASKYSARRKIEKMLVSSTRQIHPENRNASLIGNVKQVKIEDLPLFEFQKISTATNNFGSPNKIGQGGFGSAYKGELQDGLEIAVKRLSKASGQGLEEFMNEVIVISKLQHRNLVRLLGCCIEGEEKMLVYEYMPNNSLDFYLFDPIKKKILDWQKRLYIIEGISRGLLYLHRDSRLRIIHRDLKPSNILLDGELNPKISDFGMARIFGGSENEGNTRRIVGTYGYMSPEYAMEGLFSEKSDVFSFGVLLLEIISGRKNTSFYNHQALTLLGYTWKLWNEDEVVALIDQEICNADYVGNILRCIHIGLLCVQEIAKERPTMATVVSMLNSEIVKLPHPSQPAFLLSQTEHRADSGQQNNDSNNSVTVTSLQGR >RHN59946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15196411:15196787:-1 gene:gene22118 transcript:rna22118 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHLRKIFEMWRDICSGFMANWSNKNIILLTSCFKYGIFDSQKMLKRFRILY >RHN44327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3573599:3574513:1 gene:gene38430 transcript:rna38430 gene_biotype:protein_coding transcript_biotype:protein_coding MERHKLIYFKAFLQRTEACLKDLVFYVESILPDFKRCYSEFLTFSDDKLVKLILIDSGFIIQLFCGMSLFKPWLVGGISSDLLLLENQLPFFVNLIRIFHLQHPIECRPGRINLGVDKLVIYPPSATELLEAGVRFKVNTKSECLLGLRFSGGVLEIPQLTVDDGTEILFRNMVALEQCHYPDESYIIDYVAFLDFLINTSMDVDILVKSEILENWLADSDSVAKLFNGLCKNVMHSSVSSHFPTLGKDLNDFCKNPWHRLKATLRRDYCNTPWQTAASIAGIVLLVLTLLQSVCSVLQVVQAS >RHN67597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28488862:28490328:1 gene:gene15801 transcript:rna15801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MCVYTWTGFGQCHLYFLIVSEHTLEIKPLHFNPPTQHCLLSLPPNFITELCVAMERKRSLIPSPRDTKRGKTGKEKVDVVETQELSPSFADLPFPIATDVLLRLPIKSVLVCKCVCRTWNTVISDPHFAKVHFERSPYGFLILTCDRRLVPRTNLTVNATAVI >RHN47687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41586776:41588559:1 gene:gene42313 transcript:rna42313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II MATSPFTSLFPFTSSSTTSHSKLSHFRAFIKASSSISVPRREFLKGIALSLPLIALTEPPQSQAREVSVGSFLPPSSSDPSFVLFKASPKDTPALRAGNVQPYQFILPPTWKQLRIANILSGNYCQPKCAEPWVEVKFEDEKQGKIQVVASPLIRLTNKPNATIEDIGSPEKLIASLGPFVTGNTLDPDELLEASVEKIDDQTYYKYVLETPYALTGSHNLAKATAKGNTVVLFVASANDKQWQTSEKILKTMLDSFKV >RHN79737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31703082:31703744:-1 gene:gene3569 transcript:rna3569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKKKMCFSDHKPKTNHVHHSSLQKPKYPSFSFIDYSNPNQHKTKTLSSTTNDAGKQKMIWFFSYSVGKQNQHSCDVCNKVFTSNKALNGHMICHSPTAASSSSAQSYDDHFLPPKKRQVLRRRSYMNNDDDAIAAETLLHISHGGFETPQDCKEPAASNQSIEEKKMNEGETELGSKVVKNFDLNELPSNDVMNFELPSDDVKNLDLNEFSSDDCEDETN >RHN59089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7401612:7402128:-1 gene:gene21077 transcript:rna21077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S4/S9 MQIIVRSLVALLVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGLPGRVKRKNLKAAAKKASGGDGDEEDED >RHN51177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14824787:14825032:-1 gene:gene35563 transcript:rna35563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-methylene-furan-3-one reductase MAAEVSSIDPTNITIPTHTNAWFYSEHGKALDILKLHPNWSIPQLKDDQVLIKVVAASLNPVDYKRMHALFKDTDPHLPVC >RHN70130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48987329:48991009:1 gene:gene18682 transcript:rna18682 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSGDANAAAQEQEQEWATMAQAWLCSFPEPKEVTMAEVEAWIDSNLSSLPEGIQSMPRPDLCHRLISIQNCMRLPNQEKEGNNVDVPHARFQRTDQWLPVYAWLETLNKDEVVKSKEISEWLEQNPDIQEQLCSRHTRYHLMHYVKKCHFKILKRRQKGKGLELPGKELSLKVQKDVPMKRPAPLPSTPVINLPRVPKDSDLFLAKKNEAYQKYQILLELEKLFSPIFSKLPITNQ >RHN64508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59625725:59634968:-1 gene:gene27328 transcript:rna27328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MKMFGNGVVGILSESVNKWERRTPLTPSHCARLLHHGTGVSKIIVQPSTKRIHHDALYEEVGCEISQDLSPCGLILGIKQPDLEMILPNRAYAFFSHTHKAQKENMPLLDKILAERASLYDYELIVGENGKRLLAFGNFAGRAGMIDFLRGLGQRYLSLGYSTPFLSLGSSYMYPSLAAAKAAVISVGEEISTQGLPLGICPLVFVFTGSGNVCCGAQEIFKLLPHTFVDPSKLSELHKTETNQARHGSKRIFQVYGCIVTAQDMVEPKDPMKVFDKVDYYAHPEHYNPIFHEKIAPYTSVIVNCMYWEKRFPPLLSYKQIQDLMRNGCPLVGIADITCDIGGSLEFVDRTTSIDSPFFRYDAITDSYHQDMEGNGLICLAVDILPTEFAKEASQYFGNVLSQFVTNLASATDITNLPAHLRRACIVHGGVLTSLYDYIPRMRKSDSEDVSENSANSLSNKSKYNTSVSLSGHLFDQFLINEALDIIEAAGGSFHLVNCHVGQSFDAISYSELEVGADDKAVLDQIIDSLTSLANPTENNRFSNQNSSKISLTLGKVQENGMEKESDPKKKAAVLILGAGRVCQPAAQMLSSFGSSQWYKTLLEDDFEDQIDVDVILGSLYLKDAEQIVEGIPNVTGIQLDVMDSASLFKSISQVDVVISLLPPSCHIIVANACIELRKHLVTASYVDSSMSMLDDKAKDAGITILGEMGLDPGIDHMMAMKMIDEAHMQKGKIKSFTSYCGGLPSPEDANNPLAYKFSWNPVGAIRAGRNPATYKYHGETVHIDGNNLYDSATRLRIPDFPAFALECLPNRNSLIYGDLYGIGSEATTIFRGTLRYEGFSEIMATLSRIGLFNNEAHTILKNEERPTFRKFMFDLLKIVRKDTDGALMREEDITEKILTLGHCKDQRSAMMTAKTIIFLGLLDQTEIPASCQSAFDVACFRMEERLSYSSTEKDMVLLHHEVEIEYPDSKITEKHRATLLEFGKIIDGKTTTAMALTVGIPAAVGALLLLTNKIQTRGVLRPIQPEVYTPALDIIQAYGIKLIEKNE >RHN39832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10915477:10916601:1 gene:gene45906 transcript:rna45906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MFSNFLITNEYLNKWILFLSRRGIKNIVLLNCGTFNRLPHMKMPFFVFSCQELTHFRLSGFNLSVPPNFDGLKSLRVLHLGCNRYELGSLESLIAGCPLLEELNIIFPPDAKSICLKNAKNLIDLSLTVNQDRVSGLIKSLPKIQRLDIESYGNKVRKQHHFYLLYADIIHPSQLISLKYLELFTLNMNDRGEVLYIVSVLKSASNLVELTIQSNNYDGVEEPNQSEELECNSCCLSQLQTVRIRVGGRFKNAMSLIRFILANSSSLKTLTFKVGFGIRILMQQFC >RHN73961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22212202:22212651:-1 gene:gene9864 transcript:rna9864 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSYKMSYLSMLAFFLTVISLNNITGNPKHQIHAQPNKGVIDYQPPPIDSETPVGDLNPSIGLIDYQPPPIDPETPVGDLNPSIGLIDYQPPPVDPETPVGDLNPSPPHFHFYRQYHHRSEGLNRPRIGGLEDIPHIRPRRPVRPPA >RHN54687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11300568:11304664:-1 gene:gene29761 transcript:rna29761 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHALPGTLFFVVGVWHIWASIVRYVRNPKMFRVRAWNPVPGFDGRLKYLELYVVAIGAFIDLCVEFLYSTHLKIFVGGVLNPSHMNDFEHSGMLLMFFIYGVVALLSEKTRFLPLQEGVLCFLAAMAFSAEYLLFSFHSTSHKGLEGYYHTLLVFQIGLCILSSIAGALLPNNFPADLSNGIALALQGIWFYQTAFVLYGPMLPDGCKIKDNKIMCNSVDSEIRGELLANFQLFVAILAVLVGTVAAYVFAASRYANSEVNDLHTIQDGLDQN >RHN62509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43949371:43950002:1 gene:gene25094 transcript:rna25094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LysM domain-containing protein MAKSTNIYFALIFSLILVMMFIVESRPTPTVAVPTCDTIHAVAEAETCSSIVQKFNLLEAHFLEINPNINCVGIFVGQWVCVEGEVN >RHN56582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32027157:32029780:-1 gene:gene32008 transcript:rna32008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDIINRTNHIQIFYMQTRKNMVQILKFVYAMILFISIVFLIRTQLSDIYEECETDDYCPKYRDLLYVFKCIDKRCELVEAHA >RHN81842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49292744:49294062:1 gene:gene5934 transcript:rna5934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MEGIVSNTRRWEDLDTDILVKIFLLLDIFELTSGIAHVCSAWRMACCDQLLWKTLDLSMLRSNFIKIPMEPFVYVAEQSDKKLTRLLKISLNLSRQSIVTLIFHFNLYVSDDQLTYTAERCPRLKRLVLPAWNRIKSTGMCKAIRSWKELESLTMPTIENAPYFLDEIATNCKNFSELKIMGPCNIFFASILATYFPKLRVLSLRCSTLYRDVLIFILDSLEHLEVLNISHCILMEGLPPPHYKKIINEIDSTIRQKASRLREFITCMDDSCIMCQRTRTDEGIIRWYKYEEGFWKVDEVKSLAL >RHN47578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40756978:40761216:1 gene:gene42196 transcript:rna42196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MGSEELNKNLLQHQNPSEEDEESLRKRVWEESKKLWIVAGPAIFNRFSTFGIMVVAQSFIGHIGSTELAAYALVMTVLVRFANGILLGMASALETLCGQAYGAKQYEMLGVYLQRSWIVIFLTSILLLPIYIFTKPILVALGQDENIAQVAGSISIWSIGIVFAFSASFTCQMFLQAQSKNKIIAYLAAVSISIHVFMSWLLTVRFKFGLNGAMTSILLAYWIPNLGQLVFIMTKCPDTWKGFSFLAFKDLWPVIKLSLSSGAMLCLEIWYNTVLILLTGNMENAEISIDALAICLNINGWEMMIALGFFAAASVRVSNELGRGSSKAAKFSIVITVLTSFSIGFVLFLIFLFLKGRLAYIFTPNPDVANAVGDLSPLLSFSILMNSVQPVLSGVSVGAGWQSVVAYVNIGCYYLIGIPIGVVLGNILHLQVKGVWIGMLFGTFVQTIMLITITFKTDWDKQVEIARNRVNKWAVVENDESNNT >RHN57636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40664967:40671915:1 gene:gene33213 transcript:rna33213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 3-O-glucosyltransferase MEERLLIFTKQKIYINQEFTILQNVPNSEFFSAINALLEAQKPNIIQAVSNLTTREGQHGHLSAFVVDMFCTTMIDVANEFNVPTLVFYTFSVASLGLNLYLHTLRERDNIDLTQLLQEKEAAIPSFANPIPSNPLPSFVRNKEREPFFMSLARGLKKVNGIIIYPVGPLLNPEPKTKGTVDADSDDIMKWLHNQPPSSVVFLCFGSRGYFDEDQVKEIAHVVENSGARFVWSLRKPLVKGTMAAPSDYSLYDLGPVLPEGFLDRTAEIGRIIRWAQQAQILAHPAIGGFVSHYGWNSTLESIYFGVPIAAWPLFVEQQANAFELVCELQIGVWRLHWIIGWSLVVSLTILTYFNSNTPSIIILIILYTIPSHIYKKKTQEYQTIQKTVTHRVSVYPSKMKKAEVVIIPSPGVGHLVSTLEFAKLLINRDNRLRITILVIKFPHTTDDDVDVYTKSLPISDSLQVINLPECSLPPNTEPRFVMNALLEAQKPNVKQAVSNLTTREGQPPGHLAAFVVDMFCTTMIDIAKEFSIPALIFFTSGVAFLGLMLHLHTLFERDNIDSTQLLQQNEVAIPSFANSIPINSLPSFLLHKEYESFFMNFAGGIKKANAIIVNSFEELESHAVQSFFSHPDLAELPVYPVGPLLNPEPKTKGTDESDDIIKWLDDQPPSSVVFLCFGSRGSFDEDQVKEIAHAIENCGARFVWSLRKPSPKGIMVSPSDYPLSDLESVLPEGFLDRTTGIGRVIGWAQQAQILAHPATGGFVSHCGWNSTLESIYFGVPIAAWPLYAEQQANAFELVCELKIGVEIALDYKVGFNEGPNYLVTADKIERGIRNLLDKDGEFRKKVIEMSEKSKKTLLEGGSSYTYLGHLIDYIMNQVSN >RHN77985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11078685:11079307:1 gene:gene1499 transcript:rna1499 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVDPILGFLWTTFGLGIGRCGLGWLYFWATRDFLLIAIFCLEFVFSLCLYSIERIQFELVIKKIQSELTEKKINVKTIVIKRKRTMSIICFLFLF >RHN38994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3394610:3397570:-1 gene:gene44978 transcript:rna44978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S8e MGISRDSMHKRRETGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNFSWGSEAVTRKTRLLDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKNVSKKEEEAEAATEEVKKSAHVQRKLEQRQKERQLDAHIEEQFGGGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKGAA >RHN61379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35295056:35297538:1 gene:gene23840 transcript:rna23840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAEGEATVLKSLEDFGPQKKLKRNKFACACAILASMTSILLGYDIGVMSGAAIYIKRDLKVTDVQIEILLGIINLYSPIGSYIAGRLSDWIGRRYTIVLAGLIFFVGAVLMGLSPNYAFLMFGRFFAGVGIGFAFLIAPVYTSEVSPTSSRGFLTSLPEVFLNGGILVGYISNYGFSKLPLRYGWRVMLGIGAIPSIILAMAVLAMPESPRWLVAKGRLGEAKKVLYKISDSKEEAQLRLADIKEITGIPLDCDDDFVSVTKVQGKGVWKELFLHPTPAVRHIFIASLGIHFFAQATGIDAVVLYSPRIFEKAGIKSDTNKLLATVAVGFVKTMFVLVATFLLDRVGRRVLLLTSVGGLIISLLTLAISLTIIDNSSATLTWAISLSIAAVLSYAATFSIGSGPITWVYSSEIFPLRLRAQGVSIGAVVNRVTSGVISMTFLSLSNAITIGGAFFLFAGIAIVAWIFHYTMLPETQGKTLEEIEGSFGNFWRKPKASATAEGANGKIQLSTNDKTYTTMD >RHN49210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53310356:53314758:-1 gene:gene44017 transcript:rna44017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CST complex subunit Ten1 MAFFEGKSGALVSLQDMRPSSPFFKQGTSVRIIGKLHEYSSETGLATVIDGNDILKVSTEHLKDLKFQVGSVYQFIGELLIRTDNEGVLQAHVGRNVDGIDLNLYHQSLLLLKQFQANHLNNSAT >RHN64666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60855548:60856879:1 gene:gene27506 transcript:rna27506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane transport protein MTLRASNLTGVEIYSVQTSTEPTPRASGFNQTDFYMPPSPKNGYPNSVRSNGNGTNGDVYSVQSSKGATPQYSIPEDENSKMNRNRRGRSIGGELFNNNGGSYPLPNPMLFCSTSVGTKKKDSIGGGNGLMENMSDSRRERDFEIEEETKSPYISQKKVDLEGVGDVNKNKQMPPASVMTKLILFMVWRKLIRNPNTYASLIGLVWSLISFGLHIEMPSIVNGSISILSNTGLGMAMFSLGLFMGLQPKLISRGKKIATYSMAVRFLVGPAVIAATSLAVGLRGVLLHVAIVQAALPQAIVPFVFAKEYNIHPNILGLYLEC >RHN44154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2016039:2021052:1 gene:gene38232 transcript:rna38232 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPYLVGMYSNHFITEPHGLVGFAVFILPTEPNQTKQQHKKNIN >RHN54487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9955552:9955930:1 gene:gene29537 transcript:rna29537 gene_biotype:protein_coding transcript_biotype:protein_coding MHYVDQQCYVLCFREIETASHLLPSCPKTRTNMRAYIWWCWSGPYSFLLLRVRLFYGYTIYS >RHN64285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57905446:57908205:-1 gene:gene27088 transcript:rna27088 gene_biotype:protein_coding transcript_biotype:protein_coding MIHILHMEPMKGGGGGGGRVNIGVEDDSIDGMQCIDHPYRNNPGGICAFCLQEKLGKLVSSSFPLPIHAVSSSSSSPSFRSDIAPSSSSTSTTRHCPSSSSSINTVSATTAVTSSSSLSLSVCPTKNENHGKHYHHEYYSRKTRIPFLLAKKKKKKTSVSASATSSNIILKRSKSTATPRRGSNSMVDADDEYFSPRKRNGFWSFLYHSSKSSTSSKSFSNTTASAKLKEKCCSGSSLGRKNDIVIVEEEEEDNSPNSNNTGSFERKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGKSKGVVASSNGIASVNRAQDHHHHHHHCMKERVLRRCGGIFSGFMMTSSSSSNSSTSSYWVSSNSADDAVNGGGKQGSVTVSQNRGGKSWGWAFASPMRAFSSKGSSKENHQNNRRDIIRDANDNNKNATPNLSAMPSLLSARG >RHN43596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47107657:47109459:1 gene:gene50202 transcript:rna50202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adaptor protein complex, sigma subunit MGIRFVLMVNKQGQTRLAQYYEYLTVEERRTLEGEIVRKCLARNENQCSFVEHRNYKIVYRRYASLFFLVGVDDGENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKSNILTPIQLMDKTS >RHN65252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:582476:583780:-1 gene:gene13072 transcript:rna13072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MHLLLFCCCLISGSFISGSKKKKVADDLLVPWDLLDIISRKLDFDDLFEFAGVCKNWREFHKIYLRNFLASEEPLLVQKSSHYEKSFSFISLPDQKVYHSKVINHFWHLAYCGSSSGYLIMTTQNNSFMLINLFTRRKMEINTSSFKVKFSTFAYHVLLAFGKGSEEFILVALCKSSGHLHVYQSRNFGWATYSTKGNPWKVVDFVVFHNTIYVVTDKINIGVLDLNYANINFLELNNTPDVSSSSHIRMISCDGQLLVIHITSQEILNVYIIDFSIKNFVKLETLGDIALFYASSEYFYALNNPERWGFESNSLHAINLSSIKCTVCLGDVNKLPKYIRQDRHRISARKRPYLLDWCFKHLHYEVDYFLV >RHN67516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27865991:27871338:-1 gene:gene15712 transcript:rna15712 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFKYYYFPTFLFIILCSFCFLFNQNRMITRNYKAYFFLMGLLVTLASTKYEALNTNPFQPLSPTMLLFLTSLCCHAVSSTADMSLSATIYIFHFSGVVGCETLLWIILSQISNWCIVNSFILVVTFLCHTNCIKLVHKLSCHTLDLFFLSKHSNSDVVSPPPNSEPQEASQV >RHN69520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44111865:44114311:1 gene:gene17999 transcript:rna17999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MYPFFKHTQYLHSTLSHCSTLLDHCLSHKSSNFLNIVHAHFLKLGLNSYTYLGNRCIDLYTEFGNINDALKVFDDISYKNSTSWNICLKGLFKSGQVGKACYMFDEMPVRDVVSWNTMISGYASCGFSSHALGVFVEMQGAGVRPSGFTFSILTSLVSSSCRAKEVHGMMIRSGMELSNVVIGNSLIAMYGKFDLVDYCFGVILSMKQLDFISWNSLIWACHRAGRQELALEQFCCMKAAELLPDEFTCSTLMSVCSNLRDLEKALLEDAVRLFEEQEQWDSALCNSMISCYARHDLGEDALQLFMPTLRKNIRPTKYTVSCLLSSVSIFLPVEVGNQIHALVHKFGFESDSVVTNSLVDMYAKFGFIDNALNIFNEIKTKDLVSWNTIMMGLSYNGKVCVTMDLFEELRREGMPPDRITLAAVLLACNYGNLVDEGIKIFSQMEMEFGVKPEEEHYSYVVEMLCRAGNLKEAVDIVEKMPYKTTTDIWRSILSACAVSGDLQDIEVVATKIMERAPQISLPYLVLAQVYQMSGRWESAVRVRKAMENRGSKEFIGCSWVGIKNHVYTFESNQLQHYGGKDIYLLLNLLVWEMETECGV >RHN80588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39233875:39236092:-1 gene:gene4528 transcript:rna4528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MVNFLVFSLCIVVIFGLANGFIGVDARRAFFVFGDSLVDNGNNNFLATSARANYPPYGIDFPTRQPTGRFSNGLNVPDLISCKELGSSPPLPYLSPKLRGHRMLNGANFASAGIGILNDTGFQFIEVIRMYKQLDFFEEYQKRVSDLIGKKEAKKLINGALILITCGGNDFVNNYYLVPNSLRSRQYALPEYVTYLLSEYKKILRRLYHLGARRVLVSGTGPMGCAPAALAIGGTDGECAPELQLAASLYNPKLVQLITELNQQIGSDVFSVLNIDALSLFGNEFKTSKVACCGQGPYNGIGLCTLASSICQNRDDHLFWDAFHPSERANKMIVKQIMTGSTDVIYPMNLSTILALDSKIPL >RHN47758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42294508:42297911:1 gene:gene42395 transcript:rna42395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondria fission 1 protein MEAKIGSIFESLGNFFTGGDQIPWCDRDVISGCEREVGEASNGDSDERKNESIMRLSWALVHSRQQEDIHRGIAMLETSLGNDRSPLHQREKLYLLAVGYYRATDYPRSRQLLEQCLEIAPDWRQALSLKKTVEERIAKDGVIGIGITATAAGLLVGVIAAALARKN >RHN64027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56011132:56014585:-1 gene:gene26802 transcript:rna26802 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHSSFVDDEGINRACGCPLLPLKSHIKGPAPVSDQDRTDIVDEAITFFRANVFFRNFDIKSPADKLLIYLTFYINVALKKLEGCRTLAEGTKAIINLGLENVPVPGESGFPFPGLFPLPQSHKEAELFRNYLKQIREETSGRLLSVAYRPNGTPNKWWLAFAKRKFMNVITR >RHN50534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7972526:7973384:1 gene:gene34830 transcript:rna34830 gene_biotype:protein_coding transcript_biotype:protein_coding MIINGLKALPPAQGTEAMTQIVDELLKWDNNIAKVQGKKVKTVGNPSENQTANDGWTEKVATRGVREGNQRATCSTSEGERQC >RHN72254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6135725:6136571:-1 gene:gene7946 transcript:rna7946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSISLRTADGVVFEATPSLTKNMKTVRTIIEDSDANVSVIPLLNVSSSHINKIVEYQTLSDDDKVKEFSVEDLNNDELKEFLLAVHYLNMESLFEVLTQAVADRIKNKNVVYVRNYFGIENDLTAEEEAAIRFKNSWTFDGAEVEPEEE >RHN63861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54566403:54570244:-1 gene:gene26616 transcript:rna26616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MFQAQQDLVNPFVSGFDGKRPSVLANNQNMVPWNDGQSNSVGGSIPNIVTPAQIGFPELPHLNLDMTHKASKSQVERKQKQKVGSCSGLANSSGTANTMGSSSGSSSTPTMQSRLPSWQQNGLGSLASTQNQLAHMDHLKSNGSLADNFESLSSLADADHNGRVDRGVSFNEMKHILASSDKVDCCHFSSDGKLFVTGGRDKKASLWCTKLFNLKSTLEEHTQRITDVRFSPSMFYVATSSADKTVKVWDVNNLGHSLRTFTGNTAVLSLDFHPSKHGLICSCDNKEIRFWNIANGSCIGIFKGGVTQVRFQPGLGKVLAAAVNNLILMFDTETLSCRFKLQGHMSLVRSVCWHSSGNYMASVCNDLVKLWAIGSDCRGACITQLNATESKFKTCVFHPSHNILIISCSESLMLWDYVESKKLIVPAHDKLVSALAVSDVTGLVASVSHDRTFKIWK >RHN39954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11893448:11893864:-1 gene:gene46038 transcript:rna46038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MASFNGFYDVVTIIIGFTLLFTTSLCSEISQPPSGGPSNDVASAPKPLSPYEKYLTNCASKLKPAECGKQIFSGVFVGNRIISYYCCHSLVNDVGKSCHYDLTRNALQWPAYAKNKTEILKRNDKVWNDCIAVRPILP >RHN39298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5683702:5685656:-1 gene:gene45308 transcript:rna45308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mixed-amyrin synthase MKNILRENNFKQSIASVKIEDGEEISEEKVTTTLRRAVHHISALQASDGHWPSLNAGPLFYFPPLVLCMYVTGHLDSMFPEEYRKEILRYIYCHQNEDGGWGLHVEGHSIMFCTVLNYICMRILGEGPNGGKENACAKARKWIHDHGGVTYVSSWGKIWLSILGIFDWRASNPMPPEFWMLPSFLLKHPG >RHN50180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4875323:4875586:1 gene:gene34441 transcript:rna34441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein CHLORORESPIRATORY REDUCTION 7 MRRRRENERTYTYVLLEPGKDERFVSEEELKDTLKEWLTNWPGKTLPPDLARYETIDDVVSFLAKSFCKLEIDREVGSVQWYELRLE >RHN79571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30217327:30219235:1 gene:gene3383 transcript:rna3383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MLPPVFKKGPGRPKKLRFRELGEGGGRFRRPGVAYRCTTCDKFGHNDRRCKENQNPAALKRKRKPAKNAIATEDDVSEADENGAAKENVIGATYATFDDDAFFDQAITNNADQLDVVVNNVVSQKTNASQSSSVSQPSNVYQPSSVSKKAKQPKAKQTKHPKQPKAMSVPKPKPAAMSVPNVSKGMSVPNPKPNPATRVKSPPLKKRCSQRLKTVKRLKNIDGPGCSVDQPMTIDNEEVAGVMTQESVAAVPAKVPVVARLGGCLNLMKSWGEISTRSTQ >RHN82477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54098619:54102300:1 gene:gene6646 transcript:rna6646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein phosphatase 2A, regulatory B subunit, B56 MFNKIIKRAHRKSGKLTFPEDSTNSVQFYEVVVKHASRSSTFNSEPPNLPPNTAAPVNQIVIESLPPLKEVPVSEREALFLRKIQLCCYICDFSNTLKFIVEKEIKRHTLYELVEIIQSSSFQFSEFQEELISMVSVNIFRCLPPASHENTGTETVDPEEDDTYSDPSWNHLQLVYEILLRYIVAPETDIKIAKRYVDHVFVLKLLDLFDSEDQREREYLKTIVHRIYGKFMVHRPFIRKAINNIFYRFIFETQRHNGICELLEIMASIINGFALPMKEEHKLFLIRALIPLHKPKCISSYHQNLSYCVVQFVEKDGRLADPVIKGLVKYWPVTNCHKEVLFLNELEEVLECTQPPEFVRCMVSLFRQIGRCLNSPHFQVAERALYLWNNEHIISLVAQNRNVILPIIFDALESNTKNHWNRAVHGLTANLRKMFQEMDAELFEECHKQYLEKEAMAKELEEKRELTWKKLEAVAAEAVVRDEMVLVN >RHN64867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62571426:62573842:-1 gene:gene27735 transcript:rna27735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 70 kDa protein MATKEGKAIGIDLGTTYSCVGVWQNDRVEIIPNDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPQNTVFDAKRLIGRRFSDESVQNDMKLWPFKVVPGPAEKPMIVVNYKGEEKKFAAEEISSMVLIKMREVAEAFLGHPVKNAVVTVPAYFNDSQRQATKDAGAISGLNVLRIINEPTAAAIAYGLDKKASRKGEQNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVSEFRRKNKKDISGNARALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYATITRARFEELNMDLFRKCMEPVEKCLRDAKIDKSHVHEVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGDEKVQDLLLLDVTPLSLGLETAGGVMTTLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVFEGERARTKDNNLLGKFELTGIPPAPRGVPQINVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKEEIEKMVKDAEKYKAEDEEVKKKVEAKNSIENYAYNMRNTIKDEKIGGKLSHEDKEKIEKAVEDAIQWLEGNQMAEVDEFEDKQKELEGICNPIIAKMYQGGAGGDVPMGDGMPGGGGGASNGTGSGAGPKIEEVD >RHN46072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28264618:28265406:-1 gene:gene40512 transcript:rna40512 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPITYPVVVEDKDLDDAALWAMIDSASASASVSHSSSKQKPLANKYHQSPSPISKPSPPSKFPRYSTDSGEVVQDPWPYRPPRKIARISGSGSDSCETSPLAVVRTVQRLPTPTPTPSPLPLAVAKVYSSPEIGKMNEVKEISSSYTTEVSPRCFGRNDHEEKENGMRHSLYGMFPTVSLFKEYQNAAMAWCLLSREMDRVQSPPCC >RHN53228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:240724:243017:-1 gene:gene28116 transcript:rna28116 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHHIVLVVIGLICVVFSSVGAQQAPSTSPNSSPAPPTPPANTPPTTPQASPPPVQSSPPPVQSSPPPLQSSPPPAQSTPPPVQSSPPPVQQSPPPTPLTPPPVQSTPPPASPPPASPPPFSPPPATPPPATPPPATPPPALTPTPLSSPPATTPAPAPAKLKSKAPALAPVLSPSDAPAPGLSSLSPSISPSGTDDSGAEKLWSHKMVGLVFGCAFLSLLF >RHN55959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26043828:26044165:-1 gene:gene31258 transcript:rna31258 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNNQERREEEIVVLMLCCWKKDGRRRLKKESEEEDENEKEIKKNQVLHKWLFE >RHN64787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61809162:61815201:1 gene:gene27644 transcript:rna27644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, ARF/SAR type, P-loop containing nucleoside triphosphate hydrolase MGLVFTRLFSSVFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAREEFHAILEEEELKGAVVLIFANKQDLPGALDDAAVTESLELHKIKNRQWAIFKTSAIKGEGLFEGLDWLSNTLKSGGG >RHN68560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36560266:36561496:-1 gene:gene16928 transcript:rna16928 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQKFENHHQFYNNRHKNTFLPMLCSRPSIKDVNLPRCRINPSSSCNDPLSPKIGCMGQVKRNNKIAGFPTSQYKLLSFNNKSNTPSIISPVVKYSKLKKLFSSKNLISTPSTTSTTTKTKQRVVGNSTNIVKVPKNIERCIRNENVVVGIKIDEMDPPLPVIKRVNKLEEGNKSENSLWKRRSGSSLAPLRSLQVQQIQVQTPKLCIEPTTV >RHN66071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8280835:8281578:-1 gene:gene13983 transcript:rna13983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-fructofuranosidase MNGEPKIVKKLILEDTTSSWVGKRVDLFKLVEDVMQACFEVLHDDTRKIDTLIEDFGERDNLPLFVSVQCNSYY >RHN54162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7459176:7461058:1 gene:gene29154 transcript:rna29154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MNHQDQMMLMSQLFPACEYTQIISQQGEKKPKPRRKRSKKNKGGENSANDMNKKRKLSDEQVNILEENFGNEHKLESEKKDRLAMELGLDPRQVAVWFQNRRARWKNKKLEEEYFSLKKIHESTILEKCLLETKLREQHSEALKLREQLSEAEKEIQRLREPFERVTSSSSSTSSMSQSVEVVDPPFLGEFGVDNGYDDDVFFMPNETCYYNGMEWISLYM >RHN57088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36356518:36359358:-1 gene:gene32584 transcript:rna32584 gene_biotype:protein_coding transcript_biotype:protein_coding MHHVLEKQFFSGCEVQLHISYADNFSRGVYFQHGMIIEYTTRDTIVDPPTRLIYVIITRISLQPS >RHN64819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62186178:62191757:-1 gene:gene27683 transcript:rna27683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde oxygenase (deformylating) MGAPLSSWPWENFGIFKYVLYGPIVGKVLYEILNEEPSYSNLSWWCIHLLVLCGLRTLLHVFWSSYSNMLFLTRNRRILQQGVDFKQIDKEWDWDNFLILQTLVATLVSYIFPFLQHLPLWNVKGIIVAMILHVGVSEPLYYWVHKKFHGDYLFKNYHSLHHSSPVPTPLTAGNATFLEHLILMAVIGIPIFGASMMGYGSGSLVYGYVLIFDFLRCLGHCNVEIIPHKLFKAFPFLRYVIHTPTYHNLHHTEKDTNFCLFMPLFDALGNTLNKNSWTLHETLSSGSGNGATVPRFVFLAHMVDISSCMHVPFVLRSASSFAYTTRLFFIPCLPVTFLVVMAMWLWSKTFLLSFYYLRGRLHQTWVVPRCGFQYFLPFATDGINKQIEQAILRADKMGVKVISLAALNKNESLNGGGKLFVDKHPNLKVRVVHGNTLTAAVILNEIPQDVEEVFLTGATSKLGRAIALYLCQKKVRVLMLTISTDRFQKIQKEAPLEYQSYLVQVTKYQAAQNCKAWIVGKWITPREQSWAPSGTHFHQFVVPPILSFRRDCTYGDLAAMRLPEDVEGLGCCEYTMDRGVVHACHAGGVVHSLEGWTHHEVGALDVNRIDLVWEAALKHGLRPVSSSPHTKTD >RHN58165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44233344:44233655:-1 gene:gene33781 transcript:rna33781 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRLILIFLSATLAVFFVLRNFRSQPKIDDDDEDVVSNPSSNQNSKVRAALESGFWTFLDMASGRYLWRHMVSSS >RHN47945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43749151:43749688:-1 gene:gene42603 transcript:rna42603 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSKKVLDDLASLANLKKLKDEQELLKPDLLSSLKRKLDAEENILAKEDENIAKEIFSKKREEVHRISDEEFENSHKKMDNMHVGGKRAKA >RHN77466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7041082:7042990:1 gene:gene928 transcript:rna928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MKQGDMFAQIGSIIASLMFIWAIFQQFFPYQLRNQIEKYSQKLVTFIYPYIQITFHEFTGERLMRSEAYSSIENYLSTKASTQAKRLKGDIAKNNQALVLSMDDHEEVGDEFNGVKLWWASGKNISKPNSFSLHHNIDEKRYYKLTFHKHNRDVILGTYLNYVLKEGKAIKVKNRQRKLYTNSGSYWSHVVFEHPSTFETLAMDFEKKKMIIDDLITFSKAGEFYARIGRAWKRGYLLYGPPGTGKSTMIAAMANLLGYDLYDLELTAVKDNTELRKLLIETSSKSIIVIEDIDCSLDLTGQRRKKKEKRELEDEEKDSREKKGGIMEERDGKTSNVTLSGLLNFIDGLWSACGGERLIVFTTNYVEKLDPALVRKGRMDKHIELSYCGFEAFKMLAKNYLNIESHNLFGTICELLKEVEITPADVAEHLMPKTASGDAEIYLKSLIQALELAKEEARVKSVEDSKKL >RHN50734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9925913:9927513:-1 gene:gene35058 transcript:rna35058 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MKLIIILMIFFFVLPFSLAQLRFGFYSFTCPQVEEIVGEVVQSYYQRDITIAGALIRLHYHDCIVNGCDASILIDSRVNRTAEKDAKPNKTLRGFNLIDDIKSNLEQQCPQTVSCADILTIATRDAIALSNGPTYNVLTGRRDGLVSNGFNVTIPGPFSNVSQALNFFTSKGLTLEDMVTLMGAHTIGFAKCIFFQSRLSSFNGNIDPTMDPNLDAFLVEKCGSRGNETSVFLDQKTPFDFDNEFYNQIVNKRGILQIDQQLALDPISNVLVWNFASGNFNFWERFGVSWSKLANLDVKVGNQGEIRRNCRAFNFPLLF >RHN63251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49688683:49691079:1 gene:gene25930 transcript:rna25930 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTKNMCNSEGQLDDAQFSKPMPWIGIYIATASLLCLISMSADLIKGIKTRKLWFPCKYFCLNATSLTIIAVSLKLSVDLNTPMPHRHDQLAKLASSALICTIMANSMPSLGVTPNNETMLNVLAMAILVVTMIVNICIQFGTGVIYEFWIEHGVIMFLMVILLMIMISSALCLPKMKHYMELKYKVNEDALREESKQKRHEYNKVTDKLRDELMKFWMMAHTSGPQFLLGRSVSCTASGAFCVLSTLTLLEAMLRSYLMSWSVKFCAGESDYKWSIILILIVQVAAVGVGTIAPAFRWFAAVKYRCPNVRERSRKRIFQVEGYWTDSLVVFRESPISFRIGNRWFRKLAHDVKLIMLCFCIKLQMGMVRVCKAAQFVSIYPMLWILGFCEFFKNWKSKFGGIDSGLGTGRKQDLKRFILHLEGEEELVEVMMKHNCDATAHWIEQGEKKQPKLVIELLEQKCSMLHGFKGVGEFDCDQILPLHGVEPPYNWSLPIVTLASIIVALPNMEKCLVKILISTVNEALPYVKFIENNIDKEGKLIKLRKTSEIVWHGADLYGKWLDVDLYKLSLQNKTPKETLETLAEFAKTRVCIVLLKRGRIVLEKQFMFLVKL >RHN54213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7857127:7858020:1 gene:gene29213 transcript:rna29213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HD-ZIP family METKMSQTTLGYNRDSSSSSPTPPPPPQVHTLVFNNPPQNSHPNHNTTTNLYPPPHLAPSSQRPIIDPDLTAATTTTTSITTQPQPQQIIPSTATKICYRECLRNHAASMGSHVVDGCGEFMPSGEEGTPQYFKCAACDCHRNFHRKHVQQQHSIPQQHVQHVPNYHHSNNNGHLNLPTPSSSSQRVSQPSSGQVPPSMMMTFGSVPAESSSEDLNMFGAQFSIQTPQQPLSKKRVRTKFSQQQKDKMMEFAEKIGWKIQKHDEQEVQQFCSQVGIKRQVFKVFMHNNKQAMKKQQM >RHN75416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42129727:42130495:1 gene:gene11618 transcript:rna11618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEILKIIYALIILHLLFLTMVVTGESFHPCKINEHCTTYKCLLTGQPWCFMDFCLCMYFN >RHN73554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17636870:17638055:1 gene:gene9379 transcript:rna9379 gene_biotype:protein_coding transcript_biotype:protein_coding MEINTSLPFLTQEDYNNLFQDIINPNTFKKRIRKDQGDQQHKPKKQNTTNNNNNIKDILASLMLLEEEETIEQKKYLLDSQQHRDMFNSNFNNQTQTMNHYMEELQNHYSQLDQHHQTTTKKARMSAITAASAIVSGPDQRGLDQPGTDSKDIINQQPIQRRLWVKDRPKDWWESCNREDFPDDEFRRCFRMSKQTFNMICNELDSSVTKKNTTLRDAIPVRQRVAVCIYRLATGEPLRLVSKKFGLGISTCHKLVLEVCAAIKSVLMQKFLRWPDEETTKETKQAFEGSFGIPNIGGVMYTTHVPIIAPKVGLAQCKIIQFWKKVLYMRGPN >RHN42052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35091392:35096019:-1 gene:gene48443 transcript:rna48443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MNPDAVKSTLTNLAFGNVIAAAARNYQKELLSEEKAAQSSSINEEVDLDDLMDDPELEKLHADRIAAFKKEAEKREAWKKKGHGEFREVTEGDFLGEVTGSEKVICHFYHKEFYRCKIMDKHLKSLSTKHIDTKFIRLDAENAPFFVAKLAIKTLPCVILFRQGVAVDRLVGFQDLGAKDDFSTRALEVLLIKKGIIAEKKDVDEEDQEYDESKRRSVRSSAAADSDSDSD >RHN77826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9655999:9656409:1 gene:gene1319 transcript:rna1319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MALFIQKFLLTCVLLLLTSHNVLAVHSTIFGQQKVHVYVYNDLPENVDLTLHCQSKDDDLGSHILHHGDNFNWSFGYGFFKQTLFHCSFQWNNELHSFNIVESGRDECFDCLWYIRESGPCLVEGGSPDSCIPWKK >RHN78374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14534353:14540712:1 gene:gene1921 transcript:rna1921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MGRGKIEIKKIQNKTTRQVTFSKRRTGLLKKTHELSVLCEAQIGLIIFSSTGKLSQYCSDSTRMDQIIERYERSTGKRIMAEHDDHQIHPRELEMFHDMAMLRQESLRLELGIQRYLGDDMKDLQFDDLSKIEHELEISLAKVRNRQNELMQQQMENLQRKERILQDENMNLSNWEHKAVMENKAVMDQFAFFEDQPLSRILQLAAPVNPYLQLGQPVFQDYNLKTRDLDHP >RHN46824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35148744:35152758:-1 gene:gene41355 transcript:rna41355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MAPSEDRISKLDDKIIHHILSYVPTKDAVTTSVLSKRWTNLWCFVPFLDFSDIKLADHESFLWFNQFFCTVMLFRETYASNSIDSFSLDIQYAHLNYFSILRLNNWLYLLGKRNVKYLNLHLNFLNALLVDVQHRKTLTPKLPSTIFTCKTLVVLNISWFAFKGFSFSSVGFGFGFPSLKTLHFNHIYFNHLSDFLLLLAGCPVLEDFKACHVFTLEEEEEATQESTLNLSNLIRTDIIDTNFDIPMKALFNSVFLRIQLCQRYTSYDFPTFNNLTHLVINYYLDMFIKVLYHCPNLQKLELYQTQTDCDQKGQQSWVNPTSAPQCLSLHLTTCTIRDFAFVDLQNDIMLARYILNNARVLQTMMIWSDKEQPQIERELSSCPRASTTCQLSVY >RHN55801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23926836:23927243:-1 gene:gene31066 transcript:rna31066 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGEIWWESGGNLAVLGLGSHRPNHVGRGFVGKNLHEARAKSRREGIRGQKSPARARVVEIFVVGQISLGARARAKPRRGAEIPRARLRTRIIKVIPVWIFFSRPGLDLPCQAKARWHFAIREPNGGLLFRFFG >RHN48053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44585524:44590567:-1 gene:gene42724 transcript:rna42724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gliding motility-associated protein GldE MFPQNLLLLLLNLLARKNDSFVTDGDDVPFGTLWWFVYAGVSFSLVLFAGIMSGLTLGLMSKRPVDLEILQRSGSSTERKQAAAIFPVLQKQHQLLVTLLLCNACAMEALPLYLDKIFHPVVAVLLSVTFVLAFGEVIPQAICTKHGLYVGASFIGLVRFLMFICYPIAYPIGVGLDYLLGHDDVMFRRAQLKAFVSIHSKEAGKGGELTHDETTIISGALDLFKKTAEQAMTSIDSTFSLDVASKLDWEAIGRILERGHSRIPVYSGNPKNIIGLLLVKNLLTVRPETGSLVSSFNIRKIPRFPADMPLYNILNEFQKGNSHMAVVVKVIREKNNLQEAGDFNNSKDEVGIKHRSQLTVPLLAGTYEKPDNVINIDKLSRHHNPRGYNDPNNADEQCEESDTSPNSVHDFPYDKDGEVIGIITLEDVFEELLQEEIWDETDIDVHRRIRVAAVAAASSVARVPLGQKVMGHKPVVKV >RHN69682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45497654:45503706:1 gene:gene18185 transcript:rna18185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MDTRSNEEEREIENHHIEEGQVAMDEELNRIAPWRKQITVRGLIASLIIGIIYSVIVMKLNLTTGLVPNLNVSAALLGFVFIRSWTKILSKANIVSAPFTRQENTIIQTCAVACYSIAVGGGFGSYLLGLNRRTYEQAGIDTPGNTPGSTKEPAIGWMTAFLFVTSFVGLLALVPIRKIMIIDYKLTYPSGTATAVLINGFHTPKGDVMAKKQVHGFVKFFSASFVWAFFQWFFTGGDNCGFVQFPTFGLQAWKNSFYFDFSMTYVGAGMICSHLVNLSLLLGAVVSWGIMWPLIKGLKGEWFPASIPESSMRSLNGYKVFISIALILGDGLYNFIKVLYFTGTNIHANMKKRDLNTFSSNQKPLPLDDLRRNEMFARENIPIWLACTGYVLFSIVSIVVIPLMFPQVKWYFVLFAYIFAPSLGFCNAYGAGLTDMNMAYNYGKVALFVLAALAGKSDGVVAGLVGCGLIKSIVSISSDLMHDLKTGHLTLTSPRSMLVSQAIGTAIGCVVAPVTFFLFYKAFDVGNPDGIYKAPYAIIYRNMAILGVEGFSALPDHCLQLCCGFFAFAIVANLVRDLGPQQVGKWIPLPMAMAVPFLVGGYFAIDMCVGSLVVFAWHMLNKKEAGLMVPAVASGLICGDGLWILPSSILALLKVRPPICMSFFPSR >RHN44713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7628392:7632786:1 gene:gene38863 transcript:rna38863 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLGWMHRKFRQNSSTEPFKDLVIGNSCNCLSGQPSLDEEQNYHQKPNLGIRFNKQTQKTQNNFRKSFAGLESTREEHEEEYGRQSQDDDAMFDLFPGFLAIGTLGSDQPISSNLSTPSFPISVQTITENENEDEVTENDLKLINDELEKVLGAETKDDVLSYDSSSRNSHVSTGRSSHVSTGRSSHVSIVTISGKPIEGTDTNAVCPLQGYLFGTAVEMSETAVTSVGKKEHRTSLGELFQRSKLADEISFGMKFEKEFDKRNERDAEKYSSALNMVKEKLKKRMFHSCSKNSSSTNGANVDSASAETKLNKILHMFRKKVHPENSTVGHKSGKHRKNENKKKNMNDGGPNKGYLVHPEEDPSSYREHWIKTDADYLVLEL >RHN42239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36585047:36589272:1 gene:gene48655 transcript:rna48655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGLCTSKPLSSPNSETTIHIQSQPEQPSSTNVVEIQNPKKSPFLPFYTPSPAHNLFSGKTPATPRTPRRFFRPPSPAKHIRSLLARRHGSVKPNGAASISEGSEGESVAPLDKNFGFSKHFGSKYQLGEEVGRGHFGYTCSAIVKVNYKGEIKGHRVAVKVIQKVKMTTAIAIEDVRREVKILRALNGHKNLIKFYEAYEDHDNVYIVMELCEGGELLDRILSRGGKYSEEDAKVVMTQILNVVAFCHLQGVVHRDLKPENFLFSTKDENSELKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRAYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRSVLKADPSFDEPPWPSLSDEAQDFVKRLLNKDPRKRMTAAQALGHPWIKSYKIVKVPLDILVFKLMKAYMRSSSLRKAALRALSKTVAADELNYLKEQFALLEPNKNGTINLENIKAVLMKNATDAMKESRIPDLVASLNALQYRRMDFDEFCTAALSVHQLEALDRWEQHARCAYEIFEKDGNRAIMIEELASELGLGPSVPVHAVLHDWIRHTDGKLSFLGFVKLLHGPSRSLVKPQ >RHN44804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8655077:8662036:-1 gene:gene38969 transcript:rna38969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MRKMSMSVEILDGATIVHFLEDEEAFNSSVGNRFARLDTNNDGLLSYEEMLKELRSLRVFETHFGIDVVSDPNELARVYESLFTQFDHNLNGTIDLEEFKKETKQMMLAMADGMGFMPIQMVLEKDSILKKAVEREYNNVAA >RHN73194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14249897:14250136:1 gene:gene8982 transcript:rna8982 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGWVGPIVRIRKDKDFEKVIKMVEAMAAHLGLGESVLFTMNHLIVVRFVQIDGVGCDGKCWCGGDGRHDGVNGGGRE >RHN67540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28110988:28114546:-1 gene:gene15738 transcript:rna15738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IV family MKKPSSPNLHQSNQRRNKIGHKSGSASNTNVTNKHNHEHNHSTMTYFKSVFKSFFTLITKTTSEAVANDSSRNKVRGVTSSTDVSSDSSKSSSKWKSSQSSTPTSTSRSQLGMGNFSFEELYKATGKFSPDNKIGEGAFGIVYKGRLYDGSLVAVKCARKDVQKKHLAEFKNEINTLSKIEHLNLVRWYGYLEHGDDKIIVIEYVNNGTLREHLDGVRGNGLEISERLDIAIDVAHAITYLHMYTDHPIIHRDIKASNILITDSLRAKVADFGFARLAPEDPNATHISTQVKGTAGYLDPDYMRTRQLSEKSDVYSFGVLLVEIMTGRHPVEPKKPLNERVTIKWAMQLLKQGEAVIAMDPRLRRSSASNKAVQKVLKLAFQCLAPVRRLRPSMKNCQEVLWEIRKEFRDRVSSRPPAIGSHHSSDFPQTDSRKNRRKTFGVAGDKRPQFVSA >RHN57629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40643656:40645403:1 gene:gene33205 transcript:rna33205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 3-O-glucosyltransferase MKKAEVVFIPFPGPSHLDSTLEFAKLLTNRDKRLRITVLVMKLPYTSESDVNTKSLPTSDSLDIINLPEVSLPPNIEPTSRMMAFLEAQKSNVKQAVSNLTTTREKHGTLTAFVVDMFCTKMIDIAKEFSVPTLVFFTSGVASLGSNLHIHTLRERDNVDSNQLQQQTELAIPTFANSVPIYSLPSSMLNKEWESFFMNYAGGLKKANGIIVNSFEELESHAVQSFFSHPDLASLPVIYPAGPIINPEPKTKGTVGSDDIIKWLDDQPLSSVVFLCFGTRGTFDEDQIKEIAHAIEDSGVHFIWSLRKPKPKGVAMVAPSDYSLTELGLVLPEGFLDRTAGIGRVIGWAPQTQILAHPATGGFVSHCGWNSILESMYFGVPIATWPLFAEQQTNAFQLVHELKMAVEIVLDYRVEFNGEPNYLVTADKIERGIRNVLEKDGEVRKKVKEMSEKSRKTLLEGGSSYSHLGRLIDFIVNQI >RHN51788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25179317:25180459:1 gene:gene36310 transcript:rna36310 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIPRDVYTELNTDLKESNALSNLMDDFPPICKQDPLEVQMNFIKEHFALTCTKIRLEDVLETMYGGALPVAKSRKTKRKALTEDEYLDDAPEQPRKKAKKAKKEKSSSKVNEVGLAVPTIQEEVQDLDADKVLNKRTRSGKVVSISHIQPPQPSIPKKKRKTANRKLKLAEYVSEDEEHIVAATDLVIRELEKKKAEDVAALTKIRELAKGIEVPISSIAREDVGTTEEVQEDDDASSGAAAPEADKGNSDSPHHSNVIVVESSSTTSSQSTSLTSSSDMDDVPLNKIYENLHKALSPSPSTKLHKEPAHEEFVHVYPQILKSIGEMSEMRNKSLLKTTCKPSFSTT >RHN81467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46174957:46182745:1 gene:gene5515 transcript:rna5515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo-like helical, nucleic acid binding NABP MASGSKVDVQTLDLPNRTLEDTLQSELERILQEQRNQQFINRERGYDIYRSGSAPPTVEGSLSAFGSLRNFDYRANNSGRSNNNDGVLTEDEIRSHPAYLSYYYSHESINPRLPPPLLSKEDWRVAQRFQAGGGSSSIERFGDWRKNATSNGDSSSLFSMQPGFSVQQAENDLMELRKASGRNLPRQSSTQLLDRHMDGMTRMPGTSLGVRRTCYSDILQDGFDQPTLSSNMSRPASHNAFVDIRDSTGIVDREPLEGLRSSASTPGLVGLQNHGVNSHNFSSVVGSSLSRSTTPESHVIGRPVGSGVPQMGSKVFSAENIGLGNHNGHSSNMTDLADMVSSLSGLNLSGARRAEQDNLLKSKLQVEVDNHANVMLSTPNNVNLPKHNELATDLNTFSLNERVNLLKKTASYANLRSNAHSTGNLTSIDFAGQVPSAYPANTTLNNVYNNHLETALRGRRDGHNIDALGNQVRSDFNSATLDPRIIQCLQQSSEYSMHGMSSSRDPFQMRNFSDASQHGDLEGLQKAYLETLLSQQKQQYELPLLSKSGLLNQGLFGSQPYGLGMPHSGKQISNSSLPSLGSGNPLFENEQISHINSMMRSSLGGSGSSWHADIGNNMESRFASSLLDEFKNNKTKPFELSDIIDHVVQFSTDQYGSRFIQQKLETASVEEKTKIFPEILPHARALMTDVFGNYVIQKFFEHGTDSQRKELANQLTGHVLPLSLQMYGCRVIQKALEVVDVDQQSQMVSELSGAIMKCVRDQNGNHVIQKCIESVPQNRIQFIITSFYGQVVALSTHPYGCRVIQRVLEHCDDLKTQEIIMEEIMQSVCTLAQDQYGNYVIQHILEHGKPNERTIVISKLAGQIVKMSQQKFASNVIEKCLAFGSPEERQILVNEMLGTSDENEPLQAMMKDPFGNYVVQKVLETCDDQSLELILSRIKVHLNALKRYTYGKHIVSRVEKLITTGERRIGLLA >RHN59052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7110028:7120903:-1 gene:gene21036 transcript:rna21036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MFSFTSNSKRHLKLKSTPSIRTPTNPKRARYDVYLSFCDQDKDLHSFVFSIYNALSRKAGVDVFWENERDGYGDREKPTSVLNVIRDCKVFVIVFSRDYFNSRSCLHEFKKITECCRTKDDLMVLPVFYDGVDLSFGSWERGMFGGETFHDCVDKILMKKTSKEEDKFMTWVASISKATIYTGQSDLEDRNSSIYIDDVVECVTNVLRHWKDFSRAFCPVSIKSGVQDVIQLLKQSNSPLLIGIWGMGGIGKSTIAQAIYDQVGPYFEHKSLLKNVRTFWQQNGGQVSLQKKLLMAVNKTTEKKILHIESGKVILKERLRHKSVLLILDDVNKLEQLKSLCGNRDWFGPGSKIIIITRDRHLLMEHGVDHIYKVKQLEESESIELFNWGAFSQATTPQGFSELSRQLVAYSKGLPLALKALGEFLHGKDALEWKRVLKSLERFSFPDQEVLQALETSFDDLKDEEKHIFLDIACFFNGMDQNYVLRTINRSTQCTSLQISLLEDKSLLTIGENNKLEMHGLLQAMARDIIKRESSNKTDQPKMYDVFLSFRGEDSRAKFISHLYSSLQNAGIYVFKDDDEIQRGDQISISLLRAIGHSRIFIVVLSTNYANSRWCMLELEKIMEIGRTGGLVVVPVFYEVDPSEVRRREGQFGKAFEKLIPTISVDESTKSNWKRELFDIGSIAGFVLIDSRNESADIKNIVKHVTRLLDRTELFVAEHPVGVESRVDAVTKLLNIQNSEDVLLLGIWGMGGVGKTTIAKAIYNQIGRKFDGRSFLLNIREFCETDANHVSLQQQILCDVYKTTAFKIRDIESGKNILKERLAQNRVLLVLDDVNELDQLKALCGSREWFGPGSRIIITTRDMHLLRSSRVDLVYTIEEMDESESLELFSWHAFKQPSPAEGFATHSTDVIAYSGRLPLALEVLGCYLSDCEITEWQKVLEKLKCIPHDEVQKKLKVSFDGLKDVTEQQIFLDIACFLIGMDKNDAIKILNGCGFFADIGIKVLVERSLVTVDNRNKLRMHDLLRDMGRQIIYEESPFDPENRSRLWRREEVYDVLLKQKGTEAVKGLALVFPRKNKVCLNTKAFKKMNKLRLLQLSGVQLNGDFKYLSGELRWLYWHGFPLTYTPAEFQQGSLIVIQLKYSNLKQIWKEGQMLKNLKILNLSHSLDLTETPDFSYMPNLEKLVLKDCPSLSTVSHSIGSLHKLLLINLTDCIRLRKLPRSIYKLKSLETLILSGCSMIDKLEEDLEQMESLTTLIADKTAITKVPFSIVRSKNIGYISLCGFEGFSRDVFPSLIRSWMSPSYNEISLVQTSASMPSLSTFKDLLKLRSLCVECGSDLQLIQNVARVLEVLKAKNCQRLEASATTSQISDMYASPLIDDCLGQVRPSGSNNYLKSVLIQMGTKHQVPSLAKDRILQTANGTWESFLHNSSEWKTFSCQGCSIIFDIPTMKGRNLKSMMLSVIYYSSQENITSEGCQGVLIINHTKTNIQAYKRDTLASFEDEDWKNLTSSLEPGNTVEVMAVFAEGFNVEKTTVSLLYDEPIDKEMEQCNAGDEEDITVSGDVNKNINVGDYIDVPVNNNISGPGEDKHSHAVNTNCIVSSDDESTDKETEHCNGRDEADVTVSEDVNKNVNFIDDVTNCIVSSDDESTDKETKHCNGGAEEDVTVSDDEYFDAPWDNNLIGPGKDKHSHAVNKNSTVSSDDALTANQNYAVSFGGDIPADKNVIVSGEDENRFHRLFTKPLSLVRAVLISRHFWLGLVAILVWITCRGSK >RHN43430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45822460:45825090:-1 gene:gene50004 transcript:rna50004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L30, bacterial-type MSMNAFKAYKSCVPIAYSPNLYITLVRGIPGTRKQHRRTLEALRLGKCNRTVMRWNTPTVRGMIQQVKRLVVVETEEMYKARKEKEETHRALRPPLVINHQPASSA >RHN41004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25639531:25640286:1 gene:gene47272 transcript:rna47272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MVGTYGYMPPEYAVHGRYSMKSDVFSFGVIILEIISGNKIKGFYDSENSLNLLGHAWRLWIENMPLELLDTHLFEMCISSEVIRSIHVGLLCVQQKPEDRPDMSSVILMLNGEKLLPQPKAPGFYSGKSSLEATSLSSNQMSLTIFEAR >RHN46731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34413211:34415089:-1 gene:gene41253 transcript:rna41253 gene_biotype:protein_coding transcript_biotype:protein_coding MEESMKEILDCSDEEDPYIEIELDTAVTTTIHKDENNNNCIDDDIELEQEEEYKLRISISSTISVSLQKESIISVDGASEIGATKAPMSPQPQQQQHSGVSHNDGVEFPWKTPQTCGPTTVLGTNSTRVIPYDNVDVLYAPRKQPSLTTTTTANGIMMKLLIKFRGIKIKSLFASLIKPRQTNYSSKKSITFFRCYQKELVNPSNGRNSKNWNFGEDDSMSASLRKSKKLMEMDLGALRGVFSRSKRRKTASTSCDNSPIHDGFSKDNSIQAAIAYCKSSFGQTDFTFTSSITSTPSRFVDVAYPN >RHN80658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39833946:39836169:-1 gene:gene4609 transcript:rna4609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MESTQRKLSLLVFCFLVGMMLVSGQSANGVRSTYHLYNPQNINWDYNRASVYCATWDANQPLEWRKKYGWTAFCGPQGPRGRDSCGKCLRVKNTATGAQETVRIVDQCANGGLDLDVNVFKRIDTNGQGYQKGHLIVDYVFVNC >RHN69411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43252773:43253269:-1 gene:gene17880 transcript:rna17880 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLGVSSVADELDLDKLRFRVICPSLGVVADLGVVLCRSAPLTASVFHLRCLFAGSLFPTNVCSDIFASQSRKQY >RHN59633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12564212:12564394:1 gene:gene21699 transcript:rna21699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MEVLMAERANLVFHNNVIGGTAIKRLISRLIDHFGMAYTSHILDQVKTLGSVKLLLHLFH >RHN68257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34022818:34023147:-1 gene:gene16588 transcript:rna16588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MKSNLQVTFLKLHSGLFKIASELCTLCGAYVALIIFSHGEKVFSFGHINVETIINRYLSQIPLQNNGILQFIEAYRNAKVRKLNALLTRMNDALDIEKNRCNEFEPAAK >RHN63944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55239290:55241284:-1 gene:gene26705 transcript:rna26705 gene_biotype:protein_coding transcript_biotype:protein_coding MILWSLWRRRNTKLWESILEQCLHVMARAKEVFSLWQFAHKETHNRKQTSRIENTGWMHPL >RHN47289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38518681:38523396:-1 gene:gene41867 transcript:rna41867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MIMNETMEEGSNNKCEWTKTRTTLMEELKKMGTIAVPMVATSVLQYLLQVVSVMMVGHLNQLSLSSVAIATSLTNVSGFSILSGMAGGLETLCGQAYGAGHYEKHGIYTYTAVISLTMVCAPITIIWTFMDKILILIGQDPTISLQARTFALWLIPALFASAILKPLTRFFQTQSLIFPMIISSFIVLCFHGVMCWTLVFKLGLGHVGAAISFSLGTWLNVLILLSFVKYSSSCEKTRVPFSMKAFLGIREFFGLAVPSAAMVCLKWWACELLVLLAGLFPDPKLETSVLSICLTISTLHFTISYGLGAAASTRISNELGAGNPKAVRFSICTAMFLATTEALIITAILLGCRCVLGYAYTNDSMVVHYVAVMTPLLCVSIFTDSLQAVLSGVARGSGWQYVGAYVNLGAFYLVGIPIGVVLGFIAHFKAKGLWIGIVAGSIVQTIFLSIITSLTNWKKQAIMARERIFDATSSDESVTDHTTRA >RHN48683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49461827:49466217:1 gene:gene43425 transcript:rna43425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative U6 snRNA-associated Sm-like protein LSm2 MLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVQLPPEGVDIELLHDATRREARGG >RHN69821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46529731:46533140:1 gene:gene18340 transcript:rna18340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L10e MGRRPARCYRQIKNKPYPKSRFCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMSKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGVCARVAIGQVLLSVRCKDNNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKINRADYLKLKSENRIMPDGVNAKLLGCHGPLANRQPGRAFLTASCNDEA >RHN44371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3973993:3975010:1 gene:gene38487 transcript:rna38487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MALSHSLVPLTFFMMLLVQAMSNGIDLNWYDAHATFYGDASGAATMQGACGYGDLFKQGYGLETTALSTALFNNGFTCGACYQIICVNDPQWCIKDAGPITVTATNFCPPNYNKPTENWCNPPLKHFDLSYKMFTSIAYYKAGIIPVKYKRVPCVKSGGVRFELNGNPYFLIVLVYNVANAGDVSHVSVRGSKTTGWISMSHNWGQNWDTRVKLLGQSLSFLVTTSDGKMLGFPFVVPSNWQFGQTYEGKQNF >RHN71936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3704915:3706408:1 gene:gene7591 transcript:rna7591 gene_biotype:protein_coding transcript_biotype:protein_coding MNLESSVQLVPFGLQPEAYTSHQVASLHAENTTVRSSFWNLASMEEYSHLN >RHN44156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2025297:2025847:1 gene:gene38238 transcript:rna38238 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAKYRKFNCFTILRSNRPKGKTDANPEINESHYNKQQPWPKLKNSQLQ >RHN54710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11494488:11495156:1 gene:gene29788 transcript:rna29788 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFCHDPWLESGKLKEIYSRLFVICCNANVSMKNMFRLGWWLLEVLGFGGIVYLYGKRVCL >RHN74307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31709959:31718950:-1 gene:gene10346 transcript:rna10346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MAFFRSVSALSKLRSRVGQQPSLANSVRWLQMQTSTNTDLYTEMKELVPEYQERVKKLKKEHGSVELGKITADMVLGGMRGMTALVWLGSAVDPDEGIRFRGMTIPDCQKTLPGAFPGGEPLPEAILWLLLTGKIPNKEQVDSLAQELRSRAKIPEYAYKAIDALPVSAHPMTQFTTGVMALQVESEFTKAYEGGIHKSRYWEPTYEDSLNLIARLPGIAAYIYRRIYKDGKIIPLDDSLDYGANYAHMLGFDDPEMLEFMRLYVSIHSDHEGGNVSSHTAHLVASSLSDPYLAFAAALNGLAGPLHGLANQEVLRWIRNIVKEFGTPNISTDQLSDYIHKTLNSGQVVPGYGHGVLRNTDPRYTCQREFALKHLPNDPLFQLVSKIKEVVPPILTKLGKVKNPWPNVDAHSGVLLNYYGLTEENYYTVLFGVARSIGVGPQLIWDRALGMPLERPKSVTLEKLEKLVGASS >RHN41031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26128047:26130511:-1 gene:gene47304 transcript:rna47304 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPHSILKMMKDYNALQLLKSVQESNLWYGDLYAKPRKFDSLTRLVDENEQRLQSIQQELNAKPREFDCLTRLVDENEKLLQSIQQELNAKHREFDCLSQMGLIKERNYEVDEKEKRLREKASDIENQEKDLESKEKFYQVRANLYNQTSHTTNDGGNFQLLSNGPGLNHNGILVNLQTAPNLGQLVLDIIRNTMIRREEGIIIEKTQIFLLDQLARISPHIDPDVKYEAMKLALELKETVRGYTEDSLVVLGFLLVLSSYELFPHSNFNEDEVLKLFEVVAHHKEAVELFRTLGFVDKISYFVDNLIKNLRHIGAVRFIFAYNLVDKYRLVSIVMLQLEMQKAKEISYEVVRREKHREPKVKARDTEIASLKDILQCISDCNLEHHHNLVGEIKKRILVLEQENHKENSVSIASDSESSSKEKKRARKAVSKNQVKEQQLAQKKTYDVAGTKNQVRVQHREEKQPQVEMQQIQERKHVNYQEYYDNSSR >RHN71203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57194840:57197059:1 gene:gene19856 transcript:rna19856 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSNLAEQLRDYQIRSKHDWASVSFFSSTSSNITNTSKVDVVVFVIWELVILAFLVFSVVSLYFKHIQLAFILVCITVLLLLCMKITKQVRLARKKKRRMLLPLSM >RHN60127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21301274:21303290:1 gene:gene22359 transcript:rna22359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MGALVNMEALVLRNNSLSGQLPSSLKNFSNKLAMLDLGENMFQGPLPSWIGDNLRQLVILSLRFNNFNGSLPSNLCYLTKLHVLDMSLNNLSGGIPTCVNNLTSMAQDTMSSTDHMYTLIINHVYYSRPYGFDISLIWKGVDQWYKNADKFLKTIDLSSNHLTGEIPTEMEYLFGLISLNLSRNNLSGEIILNIGNFKSLEFLDLSRNHLSGEIPSSLARIDRLTMLDLSNNQLYGKVPVGTQLQTFNASSFEGNSNLCGEPLDRKCPGEEPAKPQVPTTDAGDENSIFFEALYMSMGIGFFTGFVGLVGSILLLPSWRETYSKFLNTLLLRIFMWWK >RHN46383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31187962:31189167:1 gene:gene40865 transcript:rna40865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNIPPAKPLPKSLAQPSSRQVILPDDLIFEVLSFLTVNHLMRFRCVCKSWNSLISSPSFIKIHRNKSERNKQIIRIEGDTSRIVSKRLIVNYWPVRGLVEKPLITLVDEPYCGLKEEDSIIVVGCCNGLVCLLGYYKLEYWLYFYNPATRKVSDKLGSFTWTYGSNIAFGFDNSTDTYKVVHINKMSRDVKVFSLGDDIWRSIQSFPEFCLTPSDLQHYIDGVGVYFSSTLNWLVIRNDITYDKDSNYLNFKNYMIISLNLGTEKYTQLLPPQGSDEVLLNETSLCVLMDCLCFCYHYSYNGTANFYIWKMKNFGVEKSWSRFLKISHHNLQIFKTSYISLLPLFYSENGDALILEIPVLRQLILYNWRTNKVERVITSTKRKRWVFLNNYIESLVSTNGK >RHN63639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52870941:52873562:1 gene:gene26364 transcript:rna26364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MLGPNGAGKSSFISMMIGLTEPSSGAAYVQGQDIRTHMKAIYTNMGVCPQHDLLWESLTGREHLLFYGRLKNLQGSALTKAVEESLKVLNLFYGGVADKQAGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRNCLWNAIRLAKQDRAIILTTHSMEEAEALCDRLGIFVDGRLQCVGNPKELKGRYGGTYVFTMTTSSDHEKDVENMVQRLTPNANKIYHLSGTQKFELPKEDVKIANIFQAVEVAKRNFTVFAWGLSDTTLEDVFIKVAREARAFDTLS >RHN63165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49003972:49004998:1 gene:gene25836 transcript:rna25836 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMIVLVIRYGGMVLRWVDMSTYEEWRLNECYDEWGEDEDWIDNDEDELLL >RHN48381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47109546:47110260:1 gene:gene43092 transcript:rna43092 gene_biotype:protein_coding transcript_biotype:protein_coding MWVHWCILDHCYLVGIFVFAWNQISVEVAFVLLYKEIIKRDFDYAINDLPFGSLQLITYDLSSFIHTFFSRLNITYNLCYGNGTGKKLRPFCSYLQHCWNQFTKPKINNASILDLCLE >RHN68475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35869828:35872240:-1 gene:gene16837 transcript:rna16837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MISVENGIVNHQDEIEESNGSQVKEFASIDISTTPKTFPSNENPQRKFLKIGSASAKVNELVIEKDQISQSVPSPRSDGLKSRFSTMFSQKLERNSVKKRFKEWIKNPMNMALFAWGICVAVSGEILFLVMTGMLNNVLKTKSERNTWFEISNQILNALFTLMSLYNHPKRFHHLVLLCRWRSNDITTLRMIFCKNGTSKPREWAHMMVVVILLHVNCFAQYAVCSLNLGYKRSERPAIELGIAISVAIAAPATAGLYIILSPLGKDYDCEIDEESQVQVRDKPFEKKYAFVSKDQQGGIIENRPKWSGGILDIWNDLSLSYLSLFCPFCAFGWNMERLGFGNMYVHIATFMLFCMAPFWIFVLASVRIDDDNVRQALVAFGIILSFCGLLYGGFWRIQMRKRFNLPAYEFCFGKPSFSDCTLWLCCCWCSLAQEARTGNSYDILEDKLSMKEIDSRDQSSATEDVVSAKSGSSSSLERGKDGTMNPPIALVVQREAL >RHN43036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42724873:42733268:-1 gene:gene49556 transcript:rna49556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ERCC4 domain-containing protein MIPRLFEDGNQTTTESLTSEKMEPIILSDEDDPTTPFPVHSKKRRTSQPDPHPTVFLIDDDPTPQKQHHLPSSTPSLVVPETPFSPLFDSEIAIVKCTSAPTNASGISQMICLESDDEPENSGMGNWNENEDEARGLLSSYEPIVGNSTRTFDSIGAGSSHERFVSCGNVTQAEMSEDNPSNPTSSQAEEDPNERNMSLEQEENPNEKNMSQEQKENVDNMKSSKVSAKRANKATGKTKMAKEERSRLMEEKKLLKEQEKLKKEAMKAEAAELKKIEKEKQKWEKGKFAMKYIVAEIDAKVVESGSIGGHLLTRFAEKGLTYHITSNPISGSILWSMKVPEQISQLSTERVEIPYVLLVYEADKFCNLTVNDSIFDQLNSIRSHYPAYTVCYLTNRLFSYINKREQEKYKNPENNSCWRRPPVEEVLAKLTTNFTKIHSRQCVDEAELAEHVAGLTCSLASCQFRKKLTRLSVNANGSLVSKDSVDRNLIKKSTWLKALVAIPKVQPRFAIAIWKKYPTMKSLLSVYMDPTKSEHEKEFLLKDLMTEGLLGGDRRLGEVCSKRVFRILMAQNGSIRTDDVENGADFFER >RHN49313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53983626:53986011:1 gene:gene44128 transcript:rna44128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione synthase MAASAFGFGGIRFSKTIPLSKYIPPLQPHHNNTFFSFFPSSSSSSSSSSSSSINFSTKPLPMSHHKHLTINGDEQNIIKPSPLFVDDYHNIDQQLLDNIAYDALVWASLHGLLMGDKSYQKSGMVPGVGLMHAPFSLFPTSFPESKWKQACDLAPIFNELVDRVSLDGKFLQESLSRTKQVDEFTSRLLDIHSKMLQLNKKEEIRFGLHRSDYMLDEQTKSLLQIELNTISSSFAGFSTLVTQLHRYILTCHGKLLGLDSEKVPANNAVSQNAEALAKAWSEYNNPRAVIMTVVQAEERNMYDQHFLSAVLRDKYPYHCSYKLTIVILLHLISVTKCDIRGYEGYFII >RHN75972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46670272:46673419:-1 gene:gene12237 transcript:rna12237 gene_biotype:protein_coding transcript_biotype:protein_coding MQKYRRRNYGFYISCIEDYIPITKFVDLYPPMDHLHHHKFRAWSTIMEINLRMKDKFIDMHK >RHN38653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1027552:1028111:1 gene:gene44611 transcript:rna44611 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIFCEMYANFCSHLAYQLPDLSVDNEKITFKRLLLNKCQKEFERGEREIEEDNKVDEAEGEVELSNEEREQRRTKARRRMSGNIRFIGELYKKKMLTERIIHECIKKLPGLCQDPDEKDVEALCKLMSSIGEMIDHPKAKEHMDVYFESLKILSNNMDLSSRMASNEES >RHN59294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9159188:9161328:-1 gene:gene21299 transcript:rna21299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEILTSVGSKLVEFTVEPVLRQARYVLFYKCNLNKLSKDLKDLEAARQRVNHSIEEAKSNGEEIENDVLNWMKEVNQVINKVNMLHNDPNHSKAGCYRWDFPNLIYHRHQLSRRATKISLDVTQKLQSGKFDCRVGYNPRHQEDVVSFSSPSPKDVLLASRRSFLNNILEALKDPSSHIIGVYGLSGVGKTYLLEEVDRFAQQLKLFNLVVLAKTSNIENIQEVIAEGLGLKFDMQSIDARAIRLKKKMKGKENILIILDDICGTLDLQKVGIPFSMTDSHTGNHNKKPTNFKLMMSSKSKENLLKMGAPENFTFRLEPLDDTESIDLFQFMVGDVVRDHRIKSLAPQLALKCAGLPLAITTVARALVNKDINYWENAVRQLEDVGPEEIGALVYIPLELSYNSLECDEARTLLLLIAVLGFQKVESYLEVVMGLGVLKKIETVRDGRHRLHVLVGYLKTSCLLRESGKCEIIEMHNMVREVALNIASKDKHVFVKRPFSNDSMRNCTNDSFRNCSQIILNCDITLLPQRLDCPNLELLVLSNSKFLLEIPDNFFEGMVKLKVLHLTKFNLCQLPSSLCCLSDLRSLSLFLCVLENMEGIGALTNLQFLNFFGSSLISLPSQIGELIHLKTLDCNQTGLQEIPPNILSCLTELEELKCRNFIIWESNGTASEMESPDLRQLSNLAELRQLSKFAMDV >RHN62047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40298623:40298900:-1 gene:gene24576 transcript:rna24576 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRIARFFMEVAPPQYVSVMRHRTSKMMETITEDDREINSNDSVISPPKSSLASSLAASSTNATVNTRDFLKEVHRTLSSLNH >RHN51909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28201340:28203414:1 gene:gene36476 transcript:rna36476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin-44 dioxygenase MAIECITSTKAMTQSPKQNHGKNEDEESSLVFDASFLRHTINLPKQFIWPDEEKPCMNVPELDVPLIDLKNFLSGDPFAAMEASKIIGEACEKHGFFLVVNHGIDAKLIEHAHSYMDGFFENPLSQKQRAQRKIGEHCGYASSFTGRFSSKLPWKETLSFQFSDEKNSPNIVKDYLCNTLGEDFEEFGEVYQKYCEAMSTLSLGIMELLGMSLGVGKDCFRDFFEENKSIMRLNYYPPCQKPDLTLGTGPHCDPTSLTILHQDQVGGLQVFVDNEWHSIRPNFNAFVVNIGDTFMALSNGRYKSCLHRAVVNNKTTRKSLAFFLCPKGDKVVCPPSELVSDLTPRIYPDFTWPMLLEFTQKYYRADMRTLEAFTKWIQQKSS >RHN59265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8894985:8897027:-1 gene:gene21269 transcript:rna21269 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPILQKCQSIIVGHVSDRTLHRGVRLGFIAVSRLLRLEFELQN >RHN51802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25575072:25580732:1 gene:gene36333 transcript:rna36333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLFTSMLNKRFVSYSHNFLIAFQSHSSSMIRASFSHSLHPFIPKSNDFHVNDHVSSFHRMLLMRPTPSILEFNKILGSLVKTNNNHYTAAISLSHQLELNGITADTVTFNILINCYCHLGEMTFAFSIFAKILKLGHHPTTITFNTLINGMCLNGKVKEALHFHDHMLAHGFHLNQVTYAILINGLCKMGKTTEALQLLRKIDGKLVNINVVMHNTIIDSLCKEKLVTEAYELYSQMIVKKISPDVVTFNSLIYGFCIVGQLIEAFGLFHEMVLKNINPNVYTFNILVDALCKEGNLKGAKNLLAVMMKEGVIPNVVTYSSIMDGYCLVNEVNKAKHVLSTITRMGVPPDAQSYNIMINGFCKIKMINEAFSLFNEMRCRGISPNTVTYSSLIDGLCKLGRISYAWEFVDEMRDNGQPPDICTYNSLIDALCKNHHVDKAIVLVKKIKDQGIQLNMYTYNILIDGLCKQGRLKDAQVIFQDLLIKGYNLTVWTYTIMINGLCLEGLLNEAETLLSKMEDNGCVPDAVTCETIIRALFENDKNERAEKLLREMIARGLL >RHN52703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37887582:37891096:-1 gene:gene37401 transcript:rna37401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MSLHSSLIVEETKQNKEEERRKLVEEVKTQLWLSGPLISVTLLNFGISLISLMFVGHLSELSLSGASMATSFASVTGFSLLVGMASALDTLCGQSYGAKQYRMLGIHMQRAMFILMIVAIPLAIIWANTRSILIFLGQDHEISMEAGNYAKLMVPSLFAYGLLQCLNRFLQTQNIVFPMMLSSAVTTLLHLPLCWYMVYKSGFGSGGAAIASSISYWVNVIILSLYVKFSPSCQKTWNGFSREALAPNNIPIFLKLAIPSAAMVCLEIWSFELVVLLSGLLPNPKLETSVLSICLNTTAVVWMIPFGLSGAISVRVSNELGAGNPQAARLAVCVVVVIAIIESILVGAVVMLIRNIWGYAYSNEEEVVKYVANMMPLLAVTALVDGMTAVLSGTARGCGWQKICAFVNLGSYYLVGIPAAVVLAFVLHIGGKGLWLGIICALIVHVLCLTIITIRTDWEKEAKKATHRVYNAITTEILVS >RHN63943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55236978:55238873:1 gene:gene26704 transcript:rna26704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rab-GTPase-TBC domain-containing protein MRGRVVAPAFQFSLITLLNLRQSEHIWKEPKHLSSTKRHDNNAIDGPLRRHEIPVEDHPLSLDKESLWRQYFQHTEIAEQIDRDLQRTHPDMPFFSAETSFSRKNREAMKNILLLFAKLNPAICYVQGMNEVLAPIYYVFSADNDNQNAANAEADSFSCFVRILGDSVDHFCQQLDNSSSGILATLSRLSDLLKVNDEQLWHHLEFTTKVKPQFYAFRWITLLLTQEFKFESILRIWDTLLSNTFGVQDMLLRFCCAMLLCMKSRLLSGDFVANIKLLQHYPDDVNLEYLLQVAQDISPDTSSYIMSL >RHN42107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35438246:35443189:1 gene:gene48505 transcript:rna48505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MKWVENGNSKVSMCSEKTSSTKTAVATKKKGTEKTSSTTEGNVLYQRNVLNGGDNNVSKSYENHYLKIRFHYKGYFISDPMISYKKGEIHEYGGEWDIDEVNLQDLDNLIREIGVLGEYKLWYICPGFDIVDGLRLLNTDRDVVRFINEHRNVSVAEFYVESKDVEVEDCRYDSEVEEVVVVDKGKQPAESDERDESDPDYNGEEEGEIPDYEVEDEDGSVGDVSVDDSDFDEEWDWTTILPTQTVNPTLASQADNPNQAVVGVEVSRNPQVTGLEDFEDENEDSDFLESPDASEEEEGSRKRKLNRFKLGTNNDPVVFEEGQIFATGLLIKTAVKEYGLQSKTNVYLEKNEKKRIVVKCMPGCPYHIRFSRVPPQTHYVLSSLKSVHNCYPTGKIRVLSGQLLAKKLVPLLKHTPTMTLKGLKDECKNRWNVMLSSFQIYMAKLSALEMIHGASDEQYAHLRNYAEELLRSNPGSSVKIQCKPGVGGVFFQRMYVCFNACKRAFVSNCRPLIGLDGCFLKGRYGGHLLSAVGKDGNNQMIPIAFVVVEAETKDSWDWFMDLLLSDLNGVEFKRWSFISDQQKGLVNTIAAIGEHIEHRLCVRHLYGNWRKRHAGEKLKEALWKAARACTMPEFNKAMEDLKGLSVPAWEEMRQYAPGMWSRAGYSTHTNCDLQVNNMCEAFNSAILDLRDLPIISLVEGLKFYITNRIVKLRDYMLRYQGEICPMIRKIVEKAKKDTIGWTPIWCGDRESSMFSMTDGTNTYVVNLKDKTCACRKWDLSGIPCPHAIAEIYYNQANVDDYVAHWKQKFLDTYDNLILPSNGPKLWPEVNTQPILPLGARRAPGRPKKARRKENDEPKSANKKGKRNQETVRCRSCKELGHNTRTCGGKTGADRRIPPGGNKDITTQSAQTGIDAQAAQPNNNAPAQAGTSNVQSTVTKRHAAAKGKKPLKKKSKTAAGTPADAANGTTSVNNIATGTHAGTVNGTTVAPAATNTSGTMRGGNHVVGEVALFVPRKSRTTGVKRSINEVGNVGTQQSVNKT >RHN57902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42505789:42507187:1 gene:gene33493 transcript:rna33493 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIKFVLVIFTYKFHQCNIDGKNITLMKHEDNITSFNNVLLNTYFIKLTIVLNVYMYFFITNHRIEQTALSDNEILDN >RHN82280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52534539:52536842:-1 gene:gene6430 transcript:rna6430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin A2 MAIHHHHQQHTSSLFDALYCHEEKWEDDDNDDEGEVVDEEGEQSYVTTTNNDILDSTSLLPLLLLEQNLFNKDEELNTLFSKEKIQQETYYDDLKNVMNFDSLTQPRREAVEWMLKVNAHYGFSALTATLAVNYLDRFLLSFHFQKEKPWMIQLVAVTCISLAAKVEETQVPLLLDLQVQDTKYVFEAKTIQRMELLILSTLKWKMHPVTTHSFLDHIIRRLGLKTNLHWEFLRRCENLLLSVLLDSRFVGCVPSVLATATMLHVIDQIEQSDDNDVDYKNQLLNVLKISKEKVDECYNAILHLTNTNNYGYNKRKYEEIPGSPSGVIDAVFSSDGSNDSWTVGASSYSTSEPVFKKTKNQGQNMNLSPINRVIVGILATATSP >RHN58580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2987486:2991481:-1 gene:gene20503 transcript:rna20503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zein-binding domain-containing protein MAIEEIHSWSLGEIIGSYIDLFIAYVLLCGSIIAFFAFKMFRFFGLVLPCPCKGILGYRNSDFCLHKILFELPLRKICSIQVMAAKRFPFDLVWVKKGHSLDNSNENNIVVDVKEKTSDSRIVELEDESSCSGPRLLSLVDRDSGYDAKGKRILSLKRRSGTRRRKRGSYDYGKMDSVIRCDSFQSDVAFSSCLPCDGSSSVIKDRSSQSIYLDSGKEVNVHYDEDDRTCHDLDEKTCHSYEFNASTVDSPGTGIYSSTTQENIEIAGNEDNHVTMYENPLEGENAAYAALYLELEKERAAAATAADEAMAMISRLQEEKSSMEMEMRQYERLIEERVSYDEEEMNIMQEILIRRERENLFLEKELETFRQMSLTESCELHSKSAEVRLSEWKRSSPLSFETCDDPSQIESTVLIVKQDCTNNTEHEELDKNTEYKDRACDDLHSSFYDTESDVLDVHVIDDGQCASKTEFDNRVDGSKCKSLPFDSESDSSCLIHDERVRIDNEIEVLGERLKMVKHEKEKMTMFSEKGENEKGQLKLLDEIANRLQKIKQLRNPARGASLPPPWTKVRERKRRCQSVSLETSESC >RHN39117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4230960:4238904:1 gene:gene45113 transcript:rna45113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & lipid binding HD-SAD family MACKDGNKHLMDNGKYVRYTPEQVEALERLYHECPKPSSIRRQQLIRECPILSNIEPRQIKVWFQNRRCREKQRKESFRLQGVNRKLTAMNKLLMEENDRLQKQVSHLVYENGYFRQNTQNATKDTSCDSVVTSGQHNMTSQHPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAEILKDRPLWFRDCRAVDIVNVLPTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVVEDGSLVICERSLKNTQNGPSMPPVPHFVRADMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESPMVLAQKTTMAALRHLRQISHEVSQPNVTGWGRRPAALRALSQRLSRGFNEALNGFTDEGWTMMGNDGVDDVTILVNSSPDKLMGLNLSFGNGFPSVSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADHNMDAYTAAAIKVGPCSLTGSRVGNYGGQVILPLAHTIEHEEFLEVIKLDGVAHSPEEMMARELFLLQLCSGMDENAIGTCAELIFAPIDASFADDAPLLPSGFRIIPLESGKESSSPNRTLDLASALDIGPTGNRASSDNAGNSGCVRSVMTIAFEFAYESHMQENVACMARQYVRSIISSVQRVALALSPSNLSSHAGLRSPLGTPEAQTLAHWICNSYRCYLGVELLKSNTEGKESVLKSLWHHSDAVLCCTLKAMPVFTFSNQAGLDMLETTLVALQDISLEKIFDDNGRKTLFSEFPQIIQQGFACLQGGICLSSMGRPVSYERAVAWKVLNDEQNAHCICFMFVNWSFV >RHN82308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52734166:52734852:1 gene:gene6464 transcript:rna6464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MSSGKKGRGRQKIEMKKMSNESNLQVTFSKRRSGLFKKASELCTLCGADAALVVFSPSGKVFSFGHPNLDTVIDRYLSLVPPQNNGTMQLIEAHRNANVRELNAQMTQINNTLDAEKKIGDELSHFLKETEANFWWACPVDGMNKDQLELFKKALEELKKLLIQHATTRTLPFFVGNASSSNVYLHHQPNAQQAEMLPPQFFQNPMLQLQPHLFDGSMMPHHGFNNMV >RHN72881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11514901:11517418:1 gene:gene8645 transcript:rna8645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MVRQVQGRSDEVLVQQRSDGLVVDASQEDAGLGENESETWSQSQSQNGSQYEQDQEELNSFNRENSYDIGEVGRERVRKIFRGWMSNGGSRVVHQILVEGIVVRGVNCLGELTRKELVSEEQPAEFGNQIECVRDGLVVNQNEGQSEHISRRRIRKLRGRQVLLDMVKKAEMERQREVQELLERRAVSHFPHRNRIQALLRGRFLRNDRPIDNNRSTSIAESELGLLRQKQTVSCLREGFSFRKDNLGYGQAASNLSDTSSESDIDVNRIEQTGATSSQVVASVNSEQSKPNNKGCDRLGMSCAHEEETRICESVERKDGTAQNVDTMPSEDTGNNLTQQSLQIEVREHINIQEQEQEPSDIHTEESLQGDITGERSNLSNHNNRVEGNIIDNVDLVESVALEREQHEEIIIENEGSDWHQTNVEWRDSTQESVAASEVWQEDGSFQEAVEIWLGGPSDNGAAPVGRIHGFYFPEDDNVYSVELRELLSRRSVSNLLRSSFRESLDQLIQSYVERQGHAHVEWEELQETTPSSSSTEQDLEQQRRDQIVDTEDIVNNSLNLPLPPPPPPLPLWDRHTRHDNWTQQNGINNQRRGTVNSFAGHNRFPLADDWDNISDLRIDMVRLQQRMNNMQRMLEACMDMQLELQRSIRQEFSAVLNRSTGSSGVHDHVSPDESKWECVTKGLCCICCKGNIDCLLYRCGHMCTCSKCANQLLDSRKKCPMCRAPVVEVTVLILYNYFCFKDHITKYRIKFYPLDVSRYFLLLLLLLLLFLN >RHN75898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46024326:46024574:1 gene:gene12157 transcript:rna12157 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRGNLWYYHFVPSVALGHVSSSSLAIKITSVSKTSRPTVVTSASSRYLGVLHFSVAIAFTAYFLFCGVVFGNKILAAEIA >RHN58468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1940397:1941123:1 gene:gene20385 transcript:rna20385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MNIESFSHDPTTTITTTLNLPKHNPSLEGIAAIVGEQILFGPHHNKTTPSTCVSNPKTLTLTEPTKTNNTNPLQKNYRGVRKRPWGRWSAEIRDRIGRCRHWLGTFDTAEEAARAYDAAARRLRGSKARTNFKIPSVLPVPLSPTSSSSSSDMKGKNKKSVRNSVRKCCVVSSVGELFSGVPEVRRERDNNDNGNGVFGGRSTRMVM >RHN55073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14937934:14940184:1 gene:gene30201 transcript:rna30201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MLTKIALGLETIFVASDFFCNCIKVCINKDLDMKGNFKYHYCCNPSLLRADDNGEGGTFALNSLLCRYAKVNSLPNCQLADEELSEYKKDGCGGGVSNGKGFAFRLKSTLEKRKVLQKFLLVLALIETCMVIGLAVITVMLVTTCLMSLVIVLCWHHNVFFSLAFVLFFGTIESVFFSASLTKFLQGAWVSIALAFVFITVMYVWHYGTHKKYEFDVQNKVSINWLLGIGPSIGIIRVRGVGLIHTDLVSGIPVIFSHFVTNLPAFHQILVFLCIKHVPVPHIRPEERFVVGRVGPQNFRIYRCIVRY >RHN76673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:309234:316463:1 gene:gene36 transcript:rna36 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar-phosphatase MLPRFSNLLRHPPFNSIMSRAITCSLPQPHSSYSVSFNNSSVFPFSNSPPRRILFALFAQQPQPFRGVRAAGGGGKREYRKFRSRAPKRKKELELSVPICIEESLPDDPEILNIAEMLRLNVPMAMKLAFDGLKGSEYKTRDTAIDDVGRFESVELSVLLCNDEFIQKLNREWRDEDHATDVLSMSQHEPGLNLPILMLGDIVISLETAARQAEERGHTLLDEIRILMVHGLLHLLGFDHELSEEAEVEMEKEEELLLQSLGWKGKGLIKSAYDAEENASSQQNSSDDRKKEGSLRFYKPKFSYIFCDMDGTLLNSKSQISTSTAKALREASARGVKIVIATGKARPAVIDIFKKVDLAGKDGIVSEFSPGVFLQGLLVYGRQGREIYRSSLDPDVCREACLYSLESKVPLIAFCEGRCLTLFHDPLVDSLHTIYHEPKAEIIPSVEDLLASADIQKMIFLDTAQSVSDTLRPYWSDATKGRATVVQAVPDMLEIVPLGTCKGNGVKVLLDHLGVTANEIMAIGDGENDVEMLELASLGIALSNGSEKTKAVANVIGLSNDEDGAADAIYRYAF >RHN42263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36830206:36831882:-1 gene:gene48683 transcript:rna48683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MEGSVGVERPLKLYLLPFLSPGHMIPLGDIATLFASHGQQVTIITTPSNAHFFTKSLSSVDPFFLRLHTVDFPSQQVGLPDGVESLSSNIDTDTTHKIYVGSMLLHGPIKEFIEKDPPDYIIGDCVFPWIHDLANKPHISTLAFTGYSLFSVSLIEALRVHRSNSHTNSDSSSFVVPNFPHSITFNSGPPKTFIEFEEGMLKTIIKSKGLIINNFVELDGEDCIKHYEKTMGHKAWHLGPACLIHESVQEKAERGNESVVSMHECLRWLNSKRDNSVLYICFGSICYFSDKQLYEIARGIENAGHEFVWVVPEKKGKEDESEEEKEKWLPKGFEERNIKNKKGLIIRGWAPQVMILSHNGVGAFMTHCGWNSTVEAVSAGIPMITWPMRGEQFYNEKLITVVCKIGVEVGATEWSLHSFQEKEKMVSRDSIEKAVRRLLDDGDEANEIRQRAQEFGRKATHAIQEGGSSHNNLLTLIDDLKRLRAGKPVN >RHN57172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37076706:37080661:1 gene:gene32676 transcript:rna32676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MAEDHFLKQKKYPFILTLSILLISVTFFLLTTTTTTFNPLLFYSNIQHPHQPIAKPPLVLDSDSTTVSEELKLPSKEEESPQKEEALNIDWKLCKKPVTVDYIPCLDNYKAIQALKSRRHMEHRERHCPDTSLNCLLPLPKGYKVPVHWPKSRDMVRLILCLIWYDNVPHPKLVEYKKDQHWVVKSGEYLIFPGGGTQFKDGVDHYIEFIEKTLAKIQWGKHIRVVLDVGCGVASFGGYLLDKNVITMSFAPKDEHEAQIQFALERGIPATLSVIGTQKLTFPDNGFDLIHCARCRVHWDADGGKPLYELNRILRPGGYFAWSATPVYRDDDRDQKVWKAMVAITKAMCWKVVAKADDSSGIGLVIYQKPTSSSCYEKRTENNPPLCENADGKNSSWYARLNSCLTPLPVDGKGKPQSWPMPWPQRLTSKPPSLPNDSDATDEFNKDSNRWSQLVSNVYADGLSINWSSVRNVMDMNAGYAGFAASLIDRPIWVMNVVPIDVPDTLSIILDRGLIGMYHDWCESFNTYPRTYDLLHASFLFKYLEQRCGLVDVIVEIDRILRPDGYLVIHDSMEMLNKLSPTLRSLHWSVKLHQNQFLVGRKSFWRPTSLGN >RHN61519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36109464:36112451:-1 gene:gene23992 transcript:rna23992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant specific eukaryotic initiation factor 4B MAATVSAWSKPGAWALDSEEHEAELLQQHTTNNVVETKPLAEFPSLAVAAATKPKKKKAQTLSLAEFTAKPVSSYTAQDPVDLPTGPRQRTAEELERDRTKIGGGFRSYGDRDRTRSDESSVSRWGSGSGSRVSEDGRRNGRDRDSSRDSGPSRADEIDNWAAGKKAVVGNGFERRERGGGGGGFFDSQSRADGSDSWVSGKVPSEGRRFGSGGGVGGGGFDRERKVGFGVSGGADSDNWNKKKGEFSVGSERNENVGNGSGGGGRPRLVLQPRTAPVSNENQDAVATNTNGGVVSGNVAKPKGANPFGEARPREQVLAEKGQDWKKIDEQLETMKIKETAVVEGFGKRGFGSGNGRGEDRSERSWRKSSPSDDGRSERLYLLRKWRRNTSKKTKELSITFIPTDRLTRELERNIEYLKLIFLLPIEVLFLLTCSLL >RHN55164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15707823:15708959:1 gene:gene30301 transcript:rna30301 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARASDEYRLGQNADFHENPPNHMVLSLTLIPVIIVWLI >RHN44477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5166014:5170038:1 gene:gene38600 transcript:rna38600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MRKFSCIKKMKWSMALSVITYVQGTRFHSLGKKRLEICIGAAKGLHYLHTGAKRPIFHRDVKPYSILLDNNMAPKLSQFGLSLQGKLSKSESKPIEVNAICGTYGFMAPEYAFHGILTDKSDVYSFVFMNIMKRCLNSGEPNERPTMGEVEVELEHALALQEEADRGESNILTFYE >RHN45782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25530568:25532727:1 gene:gene40181 transcript:rna40181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MSIPQKNPSKDIEWLKDMKSSISLTASLIATLTFSLATNPPGGVVQASVGDSNECGKILISTINTTICVGEAILATRSHDKYLAFLICNTICFIASLSVILVLVSGIPIDNKFSMWLLSMGMSIILSSLALTYLFAANMVTPNSIWNSLSGNGFGISLFVWIAVVLTVYIILVVCACVKCCRKCGS >RHN49446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54851713:54857168:1 gene:gene44277 transcript:rna44277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain, protein CHAPERONE-LIKE PROTEIN OF POR1 MALTISNILHCPKFNNNNNSSNHFRSQFSTSLRFPKKTSWINPIIISASSSASAAGSTNPNDDFNPYEILGVSPIEKFDTIKAAYTKKRKEAEIKGDEQTASRLEKAYDKVMMAQLSNRKKGVTFGSFKVSKDIKYADKQPVIPWGPRFAKSSENDMRINLAISAVFTAWIAVTQSAEYKPLQFLAFAFVYRLFEKLKSFESPKSSTINEDGEDPGEGLRMGKRLLRSLALVFGCIAVSSLAYTFALNIVESASGYIPSLLYNSQELIITASSAFMLFILGSFYR >RHN40641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18752922:18758386:1 gene:gene46822 transcript:rna46822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CDC50/LEM3 family protein MASTSVGSNDLTTNRRQTKRPKYSKFTQQELPACKPILTPQAVISAFLIVTIVFIPIGVASLIASRDVVEIVDRYEAACVPSNWTDNVAYIQSTADKTCTRTLHVEKRMKSPIYVYYQLDNFYQNHRRYVKSRNDEQLRDAGKANSTSGCDPERDVNGVPIVPCGLIAWSMFNDTYSFSRNNNNVTVNKKEISWKSDRDHKFGSDVFPKNFQNGNITGGAHLNESIPLSEQEDLIVWMRTAALPTFRKLYGKIEVDLEKGDEIKVVLQNNYNTYSFSGKKKLVLSTTSWLGGKNDFLGIAYLSVGGLSFFLAMAFTIVYFVKPRQLGDPSYLSWNRNPSGH >RHN71390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58682566:58683576:-1 gene:gene20068 transcript:rna20068 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTYCMPCSLTSFNNALNKLTCNFVQVFISSWLLNTLQSFYAGSHCQRVPTQRPSLVHRSSRSHRLHNISPTSICTYWQTPSNDLPQSCYIRSYAKVFLSTSIRQTKSSHNFIKHEKGIVLLGDFAQGTKEIRFRSNETRISNNRFEEDYSYLSFVGFKDLLNSSKVIEGGCKGFIGGSFRNTRGIRKS >RHN40082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13071660:13073614:1 gene:gene46178 transcript:rna46178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MEDGVGVERPLKLLILPFLAPGHMIPLGDIAALFASHGQQVTIITTPSNAHFFTKSLSFVDPFFLRLHTVDFPSQQVDLPDGVESLSSTTNPDTMAKICKGAMLLHEPIREFVEKDQPDYIIADCVYPWINDLANKPHISTIAFTPFFLFTVSLIESLRIKRSYSDKNSSSSSFVDPNFPHSINFCSTPPKLYVAFEERMLEAIRKSKGLIINNFVELDGEDCIKHYEKTMGYKAWHLGPTCLIRKTFEEKSMRGNESVVSAHKCLGWLNSKQDNSVLYICFGSISYFSDKQLYEIASGIENSGHEFVWVVPEKKGKKDESEEEKEKWLPKGFEERNVENKKGFIIREWAPQVMILSHAAVGAFMTHCGSNSLVEAVSAGIPMITWPVQGEQFYNEKLITVVRRIGVEVGATEWSVHGYKGKEKVVSRHSIEKAVRRLMDDGDEAKEIRQRAQEFGKKATHAVEEGGSSHNNLLALINDLKRSRDRKPLE >RHN56734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33292577:33294922:-1 gene:gene32174 transcript:rna32174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MASSTLIAIMFFLFIEMHFHGVIANYGGWQSGHATFYGGGDASATMGGACGYGNLYNQGYGTNTAALSTALFNNGLSCGACYEMRCVGDPRWCKHSTIVVTATNFCPPNSALPNNNGGWCNPPLQHFDMAEPAFLQIAQYKAGIVPVSFRRVSCIKKGGIRFTINGHSYFNLILITNVAGAGDAHAVSIKGSKTSWQPMSRNWGQNWQSNSYLNGQSLSFKVTTGDGRTVTSYNVAPANWQFGQTFHGSQF >RHN77664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8498119:8499814:1 gene:gene1138 transcript:rna1138 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKQSKLRNKFMKAITITFQNAPFSPGRDHKFRSENSNTKWGAKTHAVGKGFSGPMVTMIPYEARRKPKDGGGNETQEPTSPKISCMGQIKHHKKKNIPKPNKDLFTPKVDTEVKRSKFQRIFSQRSKAKFAERKSDGSGFVDEGNNKAPPMGDMRRFASGREAFSKFDWKAVIEPEEIDQRECFTDGEDDEILIPFSAPILGVGGNTTGTSDFKFKPRNEINLWKRRTMAPPRPLQLNPVLKAK >RHN46455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31868207:31871718:-1 gene:gene40942 transcript:rna40942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MRPKFVLFGSSIVQYNHYGEGWGATLAHLYGRKVDIVLRGYAGWNSTRGLQVVDDIFPKISIEQRDQPALAIVYFGGNDSHLPHPSGLGPHVRLEEYIQNMCKIANYLKINSPKTRLIFLSCPPIGDEQTEGNIDAFGKAIRTNEVRKQYSDALLNMCSHMNIKAIDLWSLIQRRDDWKEVCFIDGIHFSAEGSEMVSKEIIKVIKEAEWEPNLYWKTMPVEFGEDSPFDPIHPLDPTKTINISNIPFPHGEDWEWE >RHN74212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30253703:30256470:1 gene:gene10233 transcript:rna10233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MASLIRLSISIDPFNSNPSNSTHTPISKSHLRSSKTIITHQNPNQPIKITHASIRALTKKQDWETAENLLRKIILASDSEPQLTYQIFNTLIYASSKRGLVKLTSKWFRMMLDCNVTPNVATFGMLMRLYQKNWNVEEAEFVMSHMKRFSVVCESAYSSMITIYTRLGLYAKAESVVELMEKEVMVLNVENWLVILNLYCQQGKMVEAERVLAIMEEEAGFCVENIVVYNTMITGYGKASNMDGAESVFLRLGGRIEPDETSYRSMIEGWGRAGNYEKARWYYEELKRLGFKPSSSNLFTMIKLQANEDDLDGVVGTLDDMVRCGCHYSSIIGTLVSVYERAGKVYELPRLLKGSFYRYILVNQSCCSTVVMAYVKNKLVDDALRVLSDKKWKDSRNEDNLYHLLICSCKEAGLLEDAVGIYNQMMKSNADEKKLNKHIVCTMIDIYSVMGCFKDAEMLYLKLKKSSSPNSLDMIAYSIVVRMYVRAGSLEDACSVLDDIDKRPDIVPDVFLLRDMLRIYQRRNMVDKLAQVYYKILKDRLNWDQEFYNCVINCCARALPIDELSRLFDEMLQRGFMPNTFTYNVMLNVFGKAKLFKKVRRLYFMAKKQGLVDVITYNTIIDSYGKKKDFRNMSRTVRKMQFDGFSVSLEAYNSMLDAYGKDSQMDAFRSVLKMMKESNCASDLYTYNIVINIYGEQGWIEEVSDVLAELNECGLRPDLCSYNTLIKAYGIAGMVEEAVELIKEMRKNGIEPDQTTYTNLINALKRNDKFLEAVKWSLWMKQIKL >RHN55699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21325138:21326972:-1 gene:gene30924 transcript:rna30924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MSNSKTHIFIFPYPAQGHMLPLLDLTHQLALQSTFTITIIVTPKNLPILTPLLSTHPNTIKTLIFPFPSHPKIPSDVENLREIGNPGNYPFINALSNLQNPIIQWFNIHHNPPVALISDFFLGWTQQLATQLNIPRIAFYSSGAFLTAVINRCWRNPLFFQSQEVVEFNEIHGTPSFKRDHLPSLFRRYVESDPESEFVKESFISNDESWGFVINTFSALEGGYLDNIEGQFGNRRLFAVGPLGYDRVGSDLEDGSDVLRWLDRWDEDGSVLYVCFGSQKLMRRDQMEALAFGLERSGIRFVWVVKVPSTVEQIEEGYGLVPEGFEDWVSGRGIVVKGWVPQIAILGHRVVGGFLSHCGWNSVLEAVVAGVGILGWPMEADQFVNAKLLVEDMGVAVRVCEGMDTVPDPNKLGRVISSIMSGDSPQKKRAKLMKEEALRAVSKDGVSSKELNELVHALQQLGVKERS >RHN66096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8546452:8547302:-1 gene:gene14011 transcript:rna14011 gene_biotype:protein_coding transcript_biotype:protein_coding MENILNLFMQDTNQRLKNLSCQMEKMQLQLSDIDVQISNLFLHEKHEDVSTENEEFSEIVEEGVDQTEEGTTLEGAQEIDTSHKEEFPQERPYTEEAKTVENEEVMEVTEKKERILSKEESMEGKGKKVNKVELIGS >RHN38622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:770420:771061:1 gene:gene44579 transcript:rna44579 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPYTFTKRICYKVDWLFEDLTAIFLRAAAIELHTNDRKMIPYIIGEILIYVSSKMSSKYGGKKMSHLGGCWWDYENLGLDKDVTLEKMKQVLRSEFEEKNVIKVWEDLPPIEWSDEGFNYNSTPKGSNEADYEILKDIIFWRLKVKWTAERCTLCQIFMSWAMRVMIKRVQKFQFADLIKDMVSNDKVLKKFCGKPS >RHN43564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46895767:46900380:1 gene:gene50165 transcript:rna50165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MAESNDSVSIDMEKIFLGGKEHHIQTGCGSVSVIVYGDQDKPALITYPDLALNYMSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAAICSDNPAPSAEDLADQIVEVLNYFRLGAVMCMGVTAGAYILTLFAMKYRNRVVGLILVSPLCKAPSWTEWFYNKVMSNLLHFYGMCGLLKECLLQRYFSKEVRGNVEVPESEIVQACRKLLDERKKTNVLRFLQAIDQRPDITEGLEKLNCRTLIFVGDSSPFHSEAIHMTSKLDRRYSALVEVQACGSMVTEEQPHAMLIPMEYFLMGYGLYRPCKFSHSPRSPLSPSCISPELLSPESMGLKLKPIKTRVSLEV >RHN78677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17418852:17419543:1 gene:gene2314 transcript:rna2314 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRRGAASAPPHLWLFGVSGFRRWFSWVLEKVDLVAVLVVLMKHCFCGNPLPQLAIWCMFHVACSFGDGSSLALLSPKSLVHTSHITLGTICCYFHNTMAQYYWVKCSPPILIYVM >RHN45603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23581100:23582051:-1 gene:gene39980 transcript:rna39980 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSAADEGEEDAYWWLICIDKYFAAKNTPETEKLAEVVTVLRGCAHQWWFWWHHRHPHASWQSFVTAFLWHFKPEYRDILPIPDEEEEHDIQSMSLTVQGSFDVQQGTNPGNNVQIEMEKDMEKIQSENEGKRNEGSMAEPLKECLKETREISDIEGKMAVIDQDVKEEVKVEDAEKMKEFLAVNHSVRPIIVALPSPFSTIISGSCCWDSNNHFEEGGQGLLMEKGGGSIVRYRTPKAISYRILVVYLIY >RHN61211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33882305:33884977:1 gene:gene23650 transcript:rna23650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cold-regulated 413 protein MWNKMNFQEEAVQVMNSDFKDLSEVASRLANHAIKFAGIGWGGSFFGFFAAVAAIYLLVLDRTNWKTNILTSLLIPYIFFSLPSFVFYVLRGEIGKWIALVAVVLRLFIPKHFPDWLELPGALILLIVVSPDLVASTFRNDLVGIIVCLVIACYLLQEHIRASGGFRNSFTKANGVSNSVGIILLLVYPVWALLTYFF >RHN42711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40390274:40392825:-1 gene:gene49194 transcript:rna49194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynamin GTPase MTIGNKSSTKANKLDESSSLVLVHVDVDQPQPLSIAPIVSSYNEKIRPVLDALENLRRLNIAKEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQNHLLPQPELVLEYNGKQVLTDEANVSDAINTATEELAGTAKGISNTPLTLIVKKNGVPDLTMVDLPGITRVPVHGQPDNIYDQIKDIIMDYITPEESIILNVLSATVDFSTCESIRMSQMVDKTGLRTLAVVTKADKSPEGLLEKVTADDVNIGLGYVCVRNRIGEESYEEARNEEHKLFESHSLLSKIDKSIVGIPVLAQKLVHVQAMIISKTLPEIIKKINEKLYNSVHELKILPPNLSSLADAMSAFLHIVSLSRDSLRKILLMGEFEEYPDDKQMHCTARLVEMLNSYVSDLQNCAEIDSTKDFLMEEIVVLEEAKLIGLPNFMPRTAFLTLLQRKVKGISHMPTNFVDNVWSYLERVVISVMNRHSANYYQLQVATRRAVEHVIAKKKSNSIQHVMQAVDMEKHTDYTCNPEYLQEYNELMSHQEAFLKKVLDDDRCSSTVKLEGVDDIKVNHLIMYPINVLNQAFDLKARLIAYWKIVLRRLIDSIALHLMLSINELISNDLQKEICNELGGSVERLLEESPSISGKRQRLSSSVKVLRESKETVANIMDRIGVYGVN >RHN76563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51251486:51252459:-1 gene:gene12911 transcript:rna12911 gene_biotype:protein_coding transcript_biotype:protein_coding MKESYLPELNWMYQKIATKLQQHDSLPHQPKSDQIEKLKVFKMMLDRLLTFLQVSKSSISPNLKEKLGSYENQIINFINTNRPREMSSLQPGKLPPPHMHSMSQTQPQVTQRQQLHQMNDVNDMRMGQIIGVKQGILEQHIGNALAPSSGKSTVTEELMDHLIKAVSSLTPVALSAAVSDISSVISMIDRIAASASGNGSIVSVCEDLVAMTNCHL >RHN65979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7232326:7236349:1 gene:gene13888 transcript:rna13888 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTGRSSALNPNAPLFIPAAFRQVEDFSPQWWELVKSSTWFHNYWLSQHKEEDFVESGGNDATNDDDIEHMLSETFDLGIEEDFNFLDNEFEKLVMSDEAQECPVMDEPVEEKKPVHGVNKDAAALIKILSPKERGSKSPIGSPKQFEKPAQYVNLKCAPQRIHQPR >RHN53576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2637653:2639947:1 gene:gene28497 transcript:rna28497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-phosphofructo-2-kinase, Fructose-2,6-bisphosphate 2-phosphatase MGIAITSLPLSVSQQKHLKIKPNTNSNYSKTNNTYLLIQCCHSQTDPIDSLTNNNGHTRFPEKNPLINPLLASKVGAVPPRPRRIILVRHGESEGNVDESVYTRVPDPKIGLTNRGRVQAEECGQRIKNMIEKDSDENWQLYFYVSPYRRTLETLQSLARPFERSRIAGFREEPRIREQDFGNFQNRELMKVEKAQRHLYGRFFYRFPNGESAADVYDRITGFRETLRADINIGRYQPPGEKNFDVNLVIVSHGLTLRVFLMRWYKWTVEQFEGLNNFGNGGELVMEKGYGGRYSLSMHHDEQELRQFGLTDEMLIDQEWHKFAKPADLNYDCPMVNSFFPHLHEEANRSQQKG >RHN61456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35572082:35572774:1 gene:gene23926 transcript:rna23926 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLYNTFTIPCLEVSNMGDQIHLSPVSLCLLGIEFIVSIVLIVEYDSSA >RHN76184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48339565:48343197:-1 gene:gene12470 transcript:rna12470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-(apurinic or apyrimidinic site) lyase MFVFPFTYVSVCLVRISVFSSITRATCFSSKTNPHQVFQFNTRKNTTQLQPKKHLLQIQDQKKFGLTEIEGSAYTGTNGLGQTNESPANWENVLEGIRKMMYSIDTTGDREDADIHPPKDRRFAVLASSLLSSQTKEHVTRGATQRLRQNGLLTADALNKADEETIKKQIYPVGFYIRKAGNLKKIADICLTKYDGDIPNSIEELLSLPGVGPKIAHLVMIIAWNNVQGICVDTHVHRISNRLGWVSRPGTKQRTSIPEETRKALERWLPREEWVAINLLLVGFGRTICTPLRPRCGECGVSRFCPSAFKETSSSSSRSNKSQLNKKPESS >RHN68551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36450761:36456060:-1 gene:gene16919 transcript:rna16919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nitrate reductase (NADH) MSTSIENRRPYYNGLEYNVVEPFKTMSPKTPLLSDPSNKEEDEKYLSMVKNIILKGISEVESSVLDPRDVGTLDHWIQRNPSLIRLTGKHPFNSEPPLQSLMHHGFITPVPLHYVRNHGHVPKACWDDWSIEVTGLVKNPACFTMDQLINDFPSREFPVTLVCSANRRKEQNMVKQTIGFNWGPSATSTSVWRGVPLRTVLKRCGIFNRKSGALYVCFEGAEELPGGGGSKYGTSILSEVALDRTSDIILAYMQNGEVLAPDHGFPVRVIVPGFTAGRMVKWLKRIIVTTKESDSHYHYHDNRVLPSQVDAELANKEAWFYKPEYIINELNINSVITTPCHGEILIVNSDTTLMPYLLKGYAYSGGGRKVTRVEVTMDGGETWQVCKLEHQEKPSKYGKYWCWCFWSLEVEVLDFFGAKEIAVRAWDLANNTQPENLNWNLMGMMNNCWFKVKTNMHKPQKGEIGIMFEHPTQPGNQSGGWMVKEKEQVYQKPSNSDPDPKNNIVSSSLHTNNISSKTYTMFEVKKHNNSDSAWIIVNGHVYDCTHYLKDHPGGVDSILINAGTDCTEEFEAIHSDKAKKMLDDYLIGELLTTDTKGNSVEDANKVIITQMQNNVALINPRERISCKLVSKSSISHNVRIFRFALPYEDQLLGLPIGKHLFLCDTIEEKLCMRAFTPTSSVDEKGYFDLLVKIYFKGVHPKFPSGGLMSQHLDSLPIGSILDIKGPLGHIEYIGRGNFLVHGKHKFAKRLAMLAGGTGITPIYQVVQAILKDLKDLTEIYVVYANRSEDDILLREEMDSWSKKHERFKVWYVVQESKREGWKYNVGFITESILKKHVPEASEDTLALACGPPPMIHGVKFDLENLGYDIKNNLLVF >RHN40690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19507204:19514486:-1 gene:gene46879 transcript:rna46879 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRPPLVFYDSEMPSVASNSAIPLLGRASMANTDVRRVLIDTGASCDIMHTGLFKTL >RHN74725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36140716:36142723:-1 gene:gene10833 transcript:rna10833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDVVMGVIINFATKTAEFTVEAIRRQFDYKGNLTKMTTDVQHLEGIKDILQHNVDEARRNGEEIENIVQNWLNTVDNTVADANEIIDSEGHAKAQCSMRHFPNLCTRHQLSKKMIKMMQTISEIVAKGSFDKISYRAASQITVTPFGRGYEALESRTSMLNEIILALKDPSIFIVGVYGMGGVGKTTLMKELSWKAKNDGSFGTIVMATITSLPNLETIRRQIAEALDFKFKKKTEEGKARELRDRITKEKRILVILDDIWGRLDLTELGVPFGNDHKGCKLVVTSRDLNVLICEMGTQKEFRLEVLLEEDSWKLFEKMAGDVVHEFNIKPIAIKVAKCCAGLPLLIVTTAKALRKKQVSNWKDALNELQRFDQEGLNKKVYSTLELSYNCLESEELKLLFLLIGSFGLDYLYTGPLLVCYWGLGLFRHSHKFADARIRFNRLINDLKASSLLLESEFDRVRIHDYVRDMAKSIACRTRPTYGVKRYTKVNQWPGMDELQKCHQIILPWSFIYKLPEKLKCPELKLLQLQNIGDYLKVPDDFFSGMIELKVISLYGMMFAPSPPTSLCLLTKLQTLVLTGCVLEDISIVAELKSLEILRLERSDIKELPKEIGQLNNLRMLNITNCSALRFIPANLISSLTCLEEEATIKAKMQV >RHN39997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12176777:12178092:-1 gene:gene46084 transcript:rna46084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MEEENKEIKSFKDLGLDELLVEAFEKMGWKNPKEIQLKAIPQALQGKDVIGFSQTGSGKTGAFALPILHALLDAPRHGFFACVISPTRELAYQVPEQFEALGSEIGVKCAVLVGQMDMVQQSIEIAKGPDIFVGTPGRVLDHLKNTKGFSLDRLKYLV >RHN45343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17221339:17221764:1 gene:gene39623 transcript:rna39623 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPKQLVFNKRLHYENLSMLSDFLVKHVLLKDTSLAKKYKGYAYNCLQTHDVLDVLGSSNSKLVELIQDVHIFAFDKDRLDGVEKHTLFPSLQVSLDTLQKLLDLKHILTQHVEDLKHQLPFLESVLESITQQEAQTLAF >RHN55729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21952706:21954268:1 gene:gene30960 transcript:rna30960 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGLHSQNLPTDVSQLIDQLERHCLAPDGSLISKPLYNDLQLAREEMCREKLRYLEATAIYSEAIAMVEEYHHAISGSSIGGIRDTGGLYPQFGIRNSPQVLKYYFWKVSLILKQI >RHN79363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28095645:28099586:1 gene:gene3144 transcript:rna3144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKKRNNMAQISKSFYALIIFLSLILVVTGIKLIKCTVSDDCPMNFRCPPNTFVRCISDLCTCRSLLDEQS >RHN71621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1433642:1440629:1 gene:gene7239 transcript:rna7239 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SERK2 MEQVTSSSSSKTLFLFWAILVFDLVLKASSNVEGDALNALKSNLNDPNNVLQSWDATLVNPCTWFHVTCNGDNSVTRVDLGNAELSGTLVSQLGDLSNLQYLELYSNNITGKIPEELGNLTNLVSLDLYLNHLSGTIPTTLGKLLKLRFLRLNNNTLTGHIPMSLTNVSSLQVLDLSNNDLEGTVPVNGSFSLFTPISYQNNRRLIQPKNAPAPLSPPAPTSSGGSNTGAIAGGVAAGAALLFAAPAIALAYWRKRKPQDHFFDVPAEEDPEVHLGQLKRFSLRELLVATDNFSNKNILGRGGFGKVYKGRLADSTLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTSTERLLVYPYMANGSVASCLRERNEVDPPLEWPMRKNIALGSARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDKKLETLVDAELKGNYEDDEVEQLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAEKWEQWQKEETYRQDFNNNHMHHHNANWIVVDSTSHIQPDELSGPR >RHN74351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32088020:32091638:1 gene:gene10395 transcript:rna10395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MMQGSGELTVPPGFRFHPTDEELLYYYLRKKVSYEAIDLDVIREVDLNRLEPWDLKDKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIYHTNSKRIGMRKTLVFYTGRAPHGHKTDWIMHEYRLDDDDNAEVQEDGWVVCRVFKKKNTNRSYQQEIEEEDHHMINHMRSTNGPNHFLEPKHHHHMQQLGLYDYNNNTTTTFDGTIHLPQLFSPESAIAAPPTSFHNSSMDILECSQNLLRLTTTNTSSGLNLMQQQQQQGERLISGSDWSFLDKLLASHNGMDLNLRNKLCNTPNNHQAAPSAVGTSSAQKFPFHYLGCESHDIMKFSK >RHN49165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52961904:52964480:-1 gene:gene43967 transcript:rna43967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol 3-alpha-galactosyltransferase MTPTPNSLIHSKKPSFIMAPAITTTAVNATVEKPKLDGGKGRAFVTFLAGNADYIKGVVGLAKGLRKTKTMYPLVVAILPDVPEEHRKILVSQGCIVKEIAPVYPPANQTEFAMAYYVINYSKLRIFEFEEYSKMIYLDGDIQVFENIDHLFDLPDDYFYAVMDCFCEKTWSHTPQYKIGYCQQCPDKVQWPSNFGPKPPLYFNAGMFVFQPNVATYHDLLEKVKITKPTPFAEQDFLNMYFKDKYKPIPNVYNLVLAMMWRHPENVELEKVQVVHYCAAGSKPWRYTGEEQNMDREDIKMLVKKWKEIYEDETLDYNNNVRVERFTAALLEAGGLKSMPASNAA >RHN54630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10894346:10896519:-1 gene:gene29699 transcript:rna29699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxymethylglutaryl-CoA reductase (NADPH) MGVQQKISKNEKKNQKKQEDSQSILYLTNVVFFGLFFSVAYFLLNRWREKIRTSTPLHVLTISEILALVSLIASFVYLITFFGIAFILHYDEEEEDIAAKTTQGVLPKKLPTLPPPKISDQKVMSMEDEEVVSAVVSGSIPSYSLESKLGDCRRAANIRNQAVERVTGRSLEGLPMEGFDYDSILGQCCEMPIGFVQIPVGVAGPLLLDGNEYTVPMATTEGCLVASTNRGCKAIYVSGGASAVVLRDGMTRAPVVRFNSAKRASQLKFFLEDPQNFDSLSHTFNKSSRFARLQSIKATMAGKNLYTRFTCSTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVIGISGNFCSDKKAAAVNWIEGRGKSVVCEAVIKEDVVKKVLKTSVESLVELNMLKNLTGSAMAGALGGFNAHASNIVSAIYLATGQDPAQNVESSHCMTMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKETPGANSRQLATIVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSNKDVTKVAS >RHN78515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15897693:15898196:1 gene:gene2092 transcript:rna2092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MTNGFSNTRHDVLNSVACRLCNRVFISSQAFVTHIESHMEHEGAAIRRLYSTDHINPQVQFPSHCIPPGFHVPIDTQNNNDGRIFQPQPRRNHFLNVGSMQLTQHGLPNPHVYQWKHLEEEEESSNDGTKAYIMQLEKPIKKIDFIDLVGNDDDNSGPQKLDLALKL >RHN47351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39091709:39100097:1 gene:gene41941 transcript:rna41941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartate kinase MATQVGYVKSVFPVATTRKISHCQSSLLPCRIGYTARVSHVPLVKKVSSDAVRISCCSNNARESDFGAEKLEETEKSYSVVMKFGGSSVANAVRMKEIANLILSFPEERPIIVLSAMGKTTNKLLLAGEKAVSCGVTNADSIDELSDIKDLHLRTVEELGVDRDVISKHLEELEQLLKGIAMMKELTPRTQDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFEIGFITTDDFTNADILEATYPAVAKRLHSDWVSDPAIPIVTGFLGKARKSCVRTTLGRGGSDLTATTIGKALGLQEIQVWKDVDGVLTCDPNICPQAQPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKASGTLITKTRDMSKALLTSIVLKRNVTTLDIVSTRMLGTVGFLAKVFSIFEDLGISIDMVATSEVSISLTLDPSKLWSRELIQQELDYVVEELEKISVVNLLKNRSIISLIGNVQMSSKILEKAFQVLGSLGVNVQMISQGASKVNISLVVNNDEAEQSVRTLHKIFFESGKLSELENESIPENGSVRALS >RHN46408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31450381:31456313:-1 gene:gene40890 transcript:rna40890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative T-complex protein 1, beta subunit MAIDRIFKDEASEEKGERARMSSFVGAMAIADLVKTTLGPKGMDKILQSTGRGREVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVAAKIHPMTIIAGFRMAAECARNALVEKVVDNKEDAEKFRLDLMNIARTTLSSKILSQDKEHFAKLAVDAVMRLKGSTNLESVQIIKKPGGSLIDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMTKVAEIEGAEKEKMKEKVNKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGQCELIEEIMIGEDKLIKFSGVAMGQACTIVLRGASHHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAKEIDALARKTPGKKSLAMEAFSRALLAIPTTIADNAGLDSAELISQLRAEHQNEGCTAGIDVISGSVGDMFERGICEAFKVKQAVLLSATEAAEMILRVDEIITCAPRRREDRM >RHN44044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1221800:1222174:-1 gene:gene38092 transcript:rna38092 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAYIVLKTKETLLNSISEIHYVDVGLNSTGAYLTNHDVFERISKRLIQGARQLRFVLHGTLRQWTDEQRVWIQKEKDKMLLLLESEAGKSGAKLDVLARYYFGDKPTNIQMHFEIIESLDVS >RHN69555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44356199:44357518:-1 gene:gene18037 transcript:rna18037 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKNTNFVKWCIRVDLCNTSGMNHSVLAECRCTDEMVNGLSLKRES >RHN44088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1534729:1536340:-1 gene:gene38146 transcript:rna38146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSQPETSAVEAMEEEVDRISILPDDILVHILSPLPTKQAFVTSVLSKRWKNLWCLVPALEFVGTKTVTVSKYVVSRQAAGNHYMHNWERIYPEFPNTIFTRETLVILKLSELFMGSGFCNYLIRLPSLKTLHLKDIEFQQYGDLDCLLEGCPVLEDLQLYDISYVSLLFSNACRKTLTKLNRADIIQCDCGVRMKALSNVEFLHIKLSKGYLHYVFPAFNNLTHLVLNYSHSIDLQMLHDCPKLQTLELYQNRGSIRGDGSYGKQDWSVPKSVPSCLLLNLTTCTLRDIGFPDFRQHILLARYILNNSAVLETMSVGCCWNLSKIKGKLFSSSRVSATCKLSVYLEQYY >RHN60365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25842431:25842895:-1 gene:gene22670 transcript:rna22670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kinetochore protein Nuf2 MSNYEYPRLGRSEIILTLSQFEIETVADHQISNPRPNFILHLYNRILNHLDFLLDEDNHQLDFNAVEHLENPDLHVGSVPVIKVYNKIKEMLNTLECPKKYTFNLADLVKPDPHRTEFFLGALLNFCLDRFVIMNVSQRSMKLSNFTRISTRIC >RHN69245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42066943:42071012:-1 gene:gene17687 transcript:rna17687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ELMO domain-containing protein MVQHLRIKRTLLGSASSTAHSSDDAATCGSPYWIGKGLTCVCFKPKGNCQPICINLTPLQEERLRRLKRRMKVYFDASKLDHQDALRALWSASFPGHELKGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQNLLMKQGRKGVVFEYPFAVAGVNITFMIMQMLDLDAATKPRTFIRTVFLQMLSENEWAFDLLYCVAFVVMDKQWLETNATYMQFNDILKSTRVQLEKELMIDDVLRIEDMPSYRLLS >RHN63576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52359248:52362248:-1 gene:gene26294 transcript:rna26294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynein ATPase MAHRSSRRRNTAPPETNPPPALAKQPKPTTTKTTIFSSSPSPSHYPKPNQDLIQATKSSSFLVKPFQSLNLGSKKTKQHSQTKHVDSRLQGKSMTTSAIFDSSKPNNNTHQTKITVLTPNHEKESVFLHSKKTLKEKPPQKPSSELERWKLQGLLKNEKKVVEVEKSRKVTVVEKEGGDVKERVSVSMGQSGGGGRRKSLCGSMIDLGDFFAINGAKMVSADMPPFMQIHAVDCARKAFDSMEKFTSKTLALSLKKEFDGVYGPAWHCIVGTSFGSFVTHSVGGFLYFSMDQKLYILIFKTAVQKAD >RHN79554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30073364:30077925:-1 gene:gene3363 transcript:rna3363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MAMAGTGTLSFSQFSVHRPVSFPRTKLIPRNRICIRAMSETETSSSTSTSSSPSVSITPPPNFKPPEPKRFAIRSDKTFEILGASLPLLFRFATGVFVSGYSFSVVSKDEIPLNEYAFRISGITVKETSKVGARPAKPIEIYEFESCPFCRKVREIVAVLDLDILFYPCPRNGPNFRSKVAQMGGKTQFPYMVDPNTGVAMYESDEIIKYLVGTYGDGNIPLTLSLGFLTSLTCGLAMLGRITKGTSYTPSKLPPKPLKLWAYEGSPFCKIVREVLVELELPHLLVNCARGSPKRNILYQKTGNFQVPFLEDPNTGVEMFESAEIIEYIRATYTL >RHN81147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43645552:43649875:1 gene:gene5149 transcript:rna5149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MDLYGRSPTRNGSNPLNQHEWRSPAADLGESMWHLSLGSGGGVESYPERHGVPNCAYYMRTGFCGYGGRCRFNHPRDRAAVAAAVRATGDYPERLGEPPCQYYLKTGTCKFGASCKFHHPKNGGGYLSQAPLNIYGYPLRPGESECSYYLKTGQCKFGVTCKFHHPQPAGTSLPASAPQFYQQVQSPTVPPLPEQFGGASASVRVARPPVLPGSYVQGAYGPVLLSPGVVPFPGWSPYSAPVSPVLSPGAQPAVGATSLYGVTQLSSSTSAFARPYTPLPSSPGPGGSTLQEKVFPERPGEPVCQYYMRTGDCKFGLACRYHHPRDQVAARPLLSPFGLPLRPEGSQF >RHN54961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14011603:14011924:1 gene:gene30073 transcript:rna30073 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVEASEALVEAKVPLLKNNIDEHENEVLGRRVWNESKKLWHIAGPAIFNRVSNYSMLVITQVFAGHLGDMELAATSIAMNLILGLDLGIMK >RHN58654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3703065:3703688:1 gene:gene20583 transcript:rna20583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MSSTTITASYTIIPNEPTPNSPLILSDSDQIVRRSHTSTIHVYKENPTTSERMRESLSKILVHYHPIAGRIRWTEGGRIELNCNAKGVMFLEAQSTKTLAQLGDLAPNNDDTKYEFVPKIDYTQPLEEIPLLIVQLTKLFQHGVVIGISLCHILGDGLAAISFINSWAKLCRNETLLDQEIPFLD >RHN42746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40615235:40616329:-1 gene:gene49230 transcript:rna49230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MKSFQSRFKSLIKKVVEAPKHFLFSTKTNSLLILDRFYYKDNNVCGQHLFLLDTKTKHLKELHIPRVTNSDMGYKIIASCNGLFCIAHYSLDQYSTLFLWNPTTKQTKRIIEPPHRQQPLLMPPNCLIGFYESNNDFYVIRFHSFENTKRDSSRRTYAVGGEKYSLSKRLWREIKGCDQNLILKGHLFWTENNVTMEGTLFWIAMEVTEKVSHEVIISFNSIDNVISKIELPINPFKDCDEVYKKLVIYKDSVSLITCLKSKSMEQWLDLWILSDEYEGVECWMKVQTLGMFTSLERPVGVWKNEVLMATDKMIHSVGGVVAWLPEDDVGAEFSYNIINYQERFFPLHDVEEIDYFESGGFLFI >RHN59659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12598566:12598985:1 gene:gene21731 transcript:rna21731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6-f complex subunit 4 MGHNYYGEPAWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSVPVGLLTVPFLENVNKFQNPFRRPVATTVFLIGTVVALWLGIGATLPIEKSLTLGLF >RHN43895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49304964:49305400:1 gene:gene50530 transcript:rna50530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DYW domain-containing protein MRSKMRDVGVQKVPGYSWFERKREYRLLRRTRSKNEGEGYVSSIKLVLHDVEEEEKERTLKYLSENLAAALGILTIPVGRPNRVMKKRVYVCEDCRSAIKHMSKIVGRLITLRDSHRFNESICSCGEYW >RHN53429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1614032:1615332:1 gene:gene28333 transcript:rna28333 gene_biotype:protein_coding transcript_biotype:protein_coding MWVMKEYGIEESWTKVYKYDTSFNPFSWCSGLWPIQHFEEGAAILLYDYNCLIYYEPAKYRCKAFEIHGSRSDCFEIIPHIPSLISLKDVLKGDNIEVLNIHSRCAKFKLREETEGLSLSQLTV >RHN60577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28415956:28416996:-1 gene:gene22908 transcript:rna22908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MKLIFVTGGCVGETARGSMSPHVLIVKPGEDVVGKIFAFYQKGPSSAVCILSATGTISSVTIRQPSASDGFLTYEGHFEILSLSGSCTFTTGAQRKIGMLSVSLAKPNGEVFGGGVENTLIAATPTQVRIIFNIHMYSLN >RHN43387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45357011:45363466:1 gene:gene49952 transcript:rna49952 gene_biotype:protein_coding transcript_biotype:protein_coding MANQQNLEKMQLRQNYRNLWHTDLMRTIQVDTPYCCLSLWCAPCVSYLLRKRALYDDMSRYTCCAGYMPCSGRCGESKCPEFCLCTEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMLCLNQVACIFSIVAMIVGSEEISEASQILSCLADLVYCSVCACMQTQHKVEMDKRDGKFGPQPAMAVPPVQQMSRIDQPVPPSVGYAPQPAYGQNYGYPPAPPPAQGYPATGYPSAAYPPQQGYPPTAYPPQGYPPSGYSR >RHN43514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46430058:46437715:-1 gene:gene50107 transcript:rna50107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(+) synthase (glutamine-hydrolyzing) MRLLKVATCNLNQWAMEFDSNLLQIKDSISKSKQAGAVIRLGPELEIPGYGCEDHFLELDTINHSWECLKDILVGDWTDNIVCSIGMPIIKGSERYNCQVLCFNRKIIMIRPKMWLANDGNYRELRWFTAWKQRDQLDDFQLPLNVSEALGQKSVPFGYAFVKFQDTAIAVEVCEELFTPSPPHAELALNGVEVFMNASGSHHQLRKLDVRLRAFIGATHTRGGVYMYSNHQGCDGGRLYYDGCSSVVVNGDVVAQGSQFSLNDVEVVVAQIDLDVVASLRGSLSSFQEQASCKVKVPSVDVPYSLCLPFDLKIRLSVPLKIKYHSPEEEIAFGPGCWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQMVVKEIANGDEQVKADAIRIGNYKDGQYPTDSTEFAKRIFYTVFMGSENSSEMTKSRAKVLAEEIGSWHLDVSIDGVISALLSLFQTLTGKRPRFKVDGGSNVENLSLQNIQARIRMVLAFMLASLLPWVHNKPGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSISKQDLRSFLRWAAIHLGYSSLADIEAAPPTAELEPIRSNYSQLDEVDMGMTYEELSVYGRLRKIFRCGPVSMFQNLCYRWGAKLTPSQVAEKVKHFFKYYSINRHKMTTLTPSYHAESYSPEDNRFDLRQFLYNARWPYQFRKIDELVHELDVKDVQESANHESVAASLDGVGGMGVAATGSGNPNVGI >RHN53844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4652804:4653682:1 gene:gene28798 transcript:rna28798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MYKVVESIFIRYMHRKPNMTKFFKFVYTMFILISLFLVVTNANANNCTDTSDCSSNHCSYEGVSLCMNGQCICIYE >RHN56419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30738024:30740525:1 gene:gene31816 transcript:rna31816 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSAFSRPGGFRPENLGQNTMAMIGNVCFSVFVVGVLVFTIMAATYEPEDPLFHPSTKITTLFTSKSNATFKSDNSVVKTGEDFMATNESVFGSILNMTDVDNSVSGETNEAEVTQCEGNSGPIDCKNPEVFHLMMRATIEKFKDVHFYKFGKPVPGSNDSTCDMAWRYRPKDGKAAAFYKDYRRFVIEKYENCTFSVVSMGDYHTGMNARKRKKNQKAGLEKTSSNLDQVNALPVVGEFVNDSLPVVESESSFSQGKYLVYVGGGDRCKSMNHFLWSFLCALGEAQYLNRTLVMDLSICLSSIYTSSKQDEEGKDFRFYFDFEHLKEAASVLDKDQFWADWSKWQQKDGMNLHLVEDYRVTPMKLIDVKDALIMRKFGDVEPDNYWYRVCEGETESVVQRPWHLIWKSRRLMEIVSAIASRLNWDYDSVHVVRGEKARNKELWPNLDAHTSPDALLSTLRDKVDEGRNLYIATNEPDASFFDPLKDKYSTHFLDEYKELWDETSEWYSETTKLNNGVPVEFDGYMRVSIDTEVFLRGKKQLETFNDLTSDCKDGINTCNVAEN >RHN40268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14713457:14715137:1 gene:gene46400 transcript:rna46400 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDSSDFCYFYETFFTQLGMKLPLTRFECEVLNWLNISPSQLHPNSWAFASAFECLLTYYGLEVSAALFFCFFQVKIGKQVGWVSINGIPGRKLFESFDQSYKNFKARFFKIWAVPGEPYFLLNKDDGKPLFPLYWSHDPQPIKEVDETRLPRNEFCIVQFLKKLPSLSTVKILAAQNDPNAMDAYLTSMAPKMSKKRMEELLEPTKKEGTSHVTLNAVPSVFKDNIAEAVPLATTFVVSTSKAPTNTCTSWAEHLREFDKGEDSLWNLSIDRSNIIDEHIMRPTDEAKFARAGDIGVCKALTSHNLRSIAMVHHLGHAIIQKDVSIDTLKNKIANLEKELAEKNKLATVYSNLKKEKKDLAANCQVQD >RHN53868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4828881:4830266:1 gene:gene28828 transcript:rna28828 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLVMPVSLIRRRSTSSRLGYRPLTNDGLDQQDSDSRVTVVVGKEKKVFLVDPIILQENPFKVLMDISMKKDPTKKKKDHFHFSSSQQRVIFVDVDDILFEHMLWLMNNDTSSLFQLNLKDIVDFYTHDDM >RHN55286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16821929:16824168:1 gene:gene30438 transcript:rna30438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MAKLYPIFLLTCLVVTISTLVHANVKEDKAYWDRIAPVLNTTYWQQKASAAAMKNDKAYTPDPYAVSGNLSHSVSEMIIGNQGRRNLAGGKARGPCMATNPIDRCWRCDPNWANNRQKLADCVKGFGRKTTGGKGGPIYVVTDPSDSDMVNPRPGTLRFGVTRNGPLWITFARSMTIRLNQELIMTSHKTIDGRGADVTIASGAGITIQFIENVIIHGIKIFDIVVGSGGLIRDGQDHFGQRTMSDGDGISIFGSSNIWIDHVSMRNCRDGLVDAIMGSTAITISNSHFTDHNEVMLFGASDGYGGDEKMQITVAFNHFGKRLIQRMPRCRFGFIHVLNNDYTHWEMYAIGGSMHPTIISEGNRFIAPNNGHAKEITKREYVDESVWKSWQWRSINDVYLNGAFFRQGGPELKDRPFSRKDMIKSRPGTYVGRLTRYSGSLRCIVGKPC >RHN70409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51262714:51271821:1 gene:gene18988 transcript:rna18988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UVR domain, chaperonin ClpB, P-loop containing nucleoside triphosphate hydrolase MSRVLAQSINIPGLVAGRRHVRMMYATQTATIRLSGFSGLRPVNSLDSMLRYKQDFHSKVLTQIGTNRVRGGRGSRCVTRAIFHHFSEKPVKVIMLAQDEARRLGHNFVGTEQILLGIIREGTGIGAKVLKSMGINLKDSRVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGTENLLLDLLREGEGVAARVLENLGDDPTNIRTQGNRLFAWLVRVVTMLVLEVVIARCQLWRNMAVWHQFDQASRGGRQPQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKQVITLDMGLLVAGSKYRGEFEERLNKLMEEIKQSDEIILFIDEVHTLIGAGAAEGVIDATNILKPAIARATTLDEYRKHIEEDPALERKFQPVKVLEPTVSETIQILKGLRERYEIHHKLRYTDDARVAAAGLSHQYISGRFLPDKAIDLIDEAGSRVRLQPQHAQLPEEARGLEKEVRQIVKEKDEAIRDQEFEKAGELRDIEMDLKTQISALIQKNKEMSKAESEAGDVVALVTEVDIQHIVAAWTGIPVDKDGRLTDSKGRTVDFKNTLLIMTSNVGSSADLDYDEKDNSYNRIKSLVIEELKQYFRPEFLNRLDEMIVFRQLTKLEAKELGDS >RHN44231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2603432:2606375:1 gene:gene38323 transcript:rna38323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MGCANSKQKRCRHCNNTYSPVPRSYSMHVHHPPQSDEDSYHVVALTSTTLGTLKPLNSSSSNQKIVPSVNGVHDFKFCNGKVKESESFRFDRESLMQKLKKEKENERREKEKKEEALESDEKVKEFSMGLIEAKTWSNMIEEKLTKVVPKTPTRTPPGEPETINTWELMEGLEDISPFRSPNHFKSFSFDVNGGGEGVDPPKPMWLQITEEESKLNQVDFDPEVISSFRKSLQEQSQDSPFYLKQTSIDEEEMKDDVFVDVKIGGKEKVVFYFTSLRGVRKTYEDCCQVRMILRGLGVRVDERDVSMHLGFKEELRELLGDLYGGGGLPRVFVGKNYIGGVEEIEKLHEDGKLEKLLECCEKIEDSCGGCENCGDIRFVPCETCFGSCKIYYEDGDDDEEEYDDHGREEGKFGFQRCPDCNENGLIRCPVCCY >RHN38392.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000029.1:7228:7678:-1 gene:gene50791 transcript:rna50791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MVMMDCCFFNSGVRKGGGYLLVATDVAARGVDFPEMTHIYNYDLPKTAIDYLHRAGGPVENPFLM >RHN62566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44380197:44383494:1 gene:gene25158 transcript:rna25158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H dehydrogenase (quinone) MQYIKHRYPVNVLINQRHLISSFAREKALHIHLDQWLPLKFTFYYSMYGHVEKLAEEILKGAASVEGVEAKLWQVPETLPAEVVGKMGGPPKSDVPIITPDALPEADGLLLGFPTRFGMMAAQFKAFLDATGGLWRTQALAGKPAGIFYSTGSQGGGQETTPLTSITQLAHHGMIFVPIGYTFGAGMFEMENIKGGSPYGSGTFAGDGTRQPTELELAQAFHQGKYFAGIAKKLKGSQ >RHN54853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12914067:12915443:-1 gene:gene29947 transcript:rna29947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyketide cyclase/dehydrase, START-like domain-containing protein MEKAESSTASTSDQDSDENHRTQHHLTLPSGLRQHEFDSLIPFINSHHTYLIGPNQCSTLLAQRIHAPPQTVWSVVRSFDKPQIYKHFIKSCSLKEGFQMKVGCTRDVNVISGLPAATSTERLDVLDDERRVTGFSIIGGEHRLKNYRSVTSVHGFGDGDNGGEIWTVVLESYVVDVPEGNTEEDTRLFADTVVKLNLQKLASVTEGKNRDGDGKSH >RHN51868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27364424:27365034:1 gene:gene36423 transcript:rna36423 gene_biotype:protein_coding transcript_biotype:protein_coding MENFGNFTMYAKDREAAGAVCLTKGRTNIEWCTCVGFHVQGNAGGEIDKRVMKDLVSLRTFHMEYDICSR >RHN76285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49140414:49140800:-1 gene:gene12584 transcript:rna12584 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLGCPHQKATIQLSFVQACFHLLEPILWNVLFVMTFVTAFVSIVKLIRSWAFRVPIILLLCLNFLYGLFKYVNAASMFNVLCYSYRMNGVLFVSLGFLVLCCFILVLWCLCVFFVETSKPNRGGVM >RHN48234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45991894:45995447:1 gene:gene42926 transcript:rna42926 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKTMHPPPRRVPRASTCSTNNNNNSKHKEMDHDGDSKPKICNDNNNKGSNLSLNNTLFAGYLAHEFLTKGTLLGQQMSSMSSSREEPLQQKYNRYVEVAYLLKSDGTHFPDIVNPTQLGLELRLP >RHN44885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9430911:9431981:-1 gene:gene39052 transcript:rna39052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MASKSSTLSATSQDQDDSSSHTKEIVNMKENEDQAANSNSIKATDFVKLPRDDSVSKLKMHEGSAFRPYQSGSSSSLPNKNNEGKEDENKKHQSPNTNSNKSFDFTKLSKDHSLCKSKVQEHGFFSPIPAGSTSPFQHKNNEGKGDSRSFSCTYCKAKFSTAQALGGHQNAHRKERAFEKQCQQRYDQNPLGLGQPPSFNPYFSYRSTLFTPYNYRLPGVRMESMIQKPPYTSAKITPHNVGYGHGTLCLNDILNPSLVRSRNNRGAGILGFGGATSSKIEDGNKIRAILNYGDSFTNIASCSNSNKDKEIIVAPTSTADDIHNQEPNNEEHSDSEPNNEEDSDSESSELDLSLKL >RHN40054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12810732:12811631:-1 gene:gene46146 transcript:rna46146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSTAPIIGDNDVNPVIFREYIGVKSYPDSLNNFPADIIGRHIPEFHFILGFAHETYVDGKGTGIFNASRKIPFFGPDNVDDIKTNHGNVKVVISIGGRDTKYPFHPAHKLEWCDNAVESLKKIFQLYNRTNSCYNLIDGIDINYEYIHPDVSEEDFSYCIGDVIKRLKKDAGIDVTLYLARTNDINWVNYQFYIDTLKSKDEFVNLFLNLSDEYGSKKLLAGASTDPADAGKGKLSREDFLEGCVDLHSTQSLRGIFIWNANDSASNPNGKPFSLEKKAQEILNN >RHN51961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29189222:29191847:1 gene:gene36544 transcript:rna36544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartic peptidase, active, retrotransposon gag domain-containing protein MEEENAQLRAELASLKEDLAKAHDTTAALLAAQEQPTSSIPVIASVIPSTSADARFIMPAGFPYGLPPFFTPNTAASTSTTNSGPIPWVNLASTNTALTQATTTVTEPIMNIVPQGIHAGTPITGTMEERMEELAKELRREIKANRGNSDPVNVKNHDLCLVPRVDIPKKFKVPEFDRYNGLTCPQNHIIKYVRKMSNYLDNDSLMIHCFQDSLMEDAAEWYTSLSKDDIYTFDELAAAFKNHYGFNTRLKPNREFLRSLSQKKDESFREYAQRWRGAAARITPALDEEEMTQTFLKTLKKDYVERMIIAAPSNFSEMVTMGTRLEEAVREGIIVFDKGESSVSAPKRYGNGHHKKKETEVGMEFAAGQSMATVAPVNATQLPPPYLFAHYSQHPFYPPFYHQYPLPPGQPQVPVNAIAQQKQQQPPAQQQQQQQTRPTFPPIPMLYAELLPTLLHRGHCTTRQGKPPPDPLPPRFCSDLKCDFHQGALGHDVEGCYALKYIVKKLIDQWKLTFENNVPHVLDNPLLNHAVVNMIEVCEEAPRLDVRNIVTHLVPLHVKLYQASLFSHDHVSCPECLLNPLGCCIVQNDIQSLMNSRYLIVSDVCVIVPVFHDPPVRSMPPKGNVEPLVIRLPGPVPCTSEKAIPYKYNATMMENGVEVPLDSLALVSNIAEGTTAALRSGTVRPPLFQKKAVTPTTPPIDKAILPDVSLVTGDVSRPGQSIDDSNLNEILRIIRRSDYKIVDQLLQTPSKISVLSLLLSSKAHKNTLLKVLEQAYVDHEVTVDRFGDIVGNIITCNNLWFSEDELPEAGKYHNLALHISVNCKSDMLSNVLVDTGSSLNVMPKSTLNQLSYWETP >RHN78058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11564065:11571882:1 gene:gene1573 transcript:rna1573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-associated protein MEEIYGDGGAVATPAPFSGSFREGRTSSRRRGSARQQSMDADEFMNLLHGSDPVKVELNRLENEVRDKDRELSEAQAEIKALRHSERLREKAVEELSEELSKVDGKLKLTESLLETKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKSKEAALLEAEKTVQFALAKASMVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEESVLAGGAAANAVRDYQRKVQEMNEERKTLNRELGRAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAIVERTAKSEAQLKEKYQLRLKVLEESLRGNSSGSSRSTAEGRSMNNGSSRRQSLGGVDNISKLTSNGFLPKRTPSPQLRSSLSSSSILRSAKGTSKSFDGGSRTLERSKTLLRGAPQSYSFNQSLEETKEREADDNWKGNSGDKPNDFPPADTEDSIPSVLYDLLQKEVVALRKAGHEKDQSLKDKDDAIEMLARKVDTLTKAMEVEAKKMRREVASMEKEVAAMRVDKVQENRAKRFSNIKGPVNSAQNQLISGRNVTQRGLTRSTQ >RHN48492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47916535:47920450:1 gene:gene43212 transcript:rna43212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phenylalanine ammonia-lyase METISAAITKNSANESFCLIHAKNNNMKVNEADPLNWGVAAEAMKGSHLDEVKRMVEEYRKPVVRLGGETLTISQVAAIAAHDHGVQVELSESARAGVEASSDWVMESMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGNGTESNHTLPHTATRAAMLVRINTLLQGYSGIRFEILEAITKLLNNNVTPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAHGPSGEVLNAKEAFNLAGINAEFFELQPKEGLALVNGTAVGSGLASIVLFEANILAVLSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSAYVKADKKLHEMDPLQKPKQDRYALRTSPQWLGPLVEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLALASIGKLMFAQFSELVNDFYNNGLPSNLSASRNPSLDYGFKGAEIAMASYCSELQYLANPVTTHVQSAEQHNQDVNSLGLISSRKTYEAIEILQLMSSTFLIALCQAIDLRHLEENLKNSVKNTVSQVAKKTLTMGVNGELHPSRFCEKDLLKVVDREHVFAYIDDPCSATYPLSQKLRQVLVDHALVNGESEKNLNTSIFQKIATFEEELKSLLPKEVESARTAYESGNPTIPNKINGCRSYPLYKFVREELGTGLLTGENVISPGEVCDKLFTAMCQGKIIDPLLECLGEWNGAPLPIC >RHN58375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1303271:1313248:-1 gene:gene20282 transcript:rna20282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGIYLSTPKTEKASEDGENGMLRFGLSSMQGWRASMEDAHAAHPYLDESTSYFGVYDGHGGKAVSKFCAKFLHQQVLRHEAYLAGDIATSLQKSFLRMDEMMRGQRGWRELAVLGDKMEKLSGMLEGFIWSPRSSEANERADEWAFEEGPHSDFSGPNCGSTACVAVIRGNKLVVANAGDSRCVLSRKGQAHNLSKDHKPDLEVEKDRILKAGGFIQVGRVNGSLNLARAIGDMEFKQNKYLPAEKQVVTADPDVTSVELCKDDEFLVIACDGIWDCMSSQQLVDFIHGQLKTENKLSVVCEKVFDRCLAPTAGGEGCDNMTMILIQFKNPLTSDASVTDQPESSVQPTPLPPAQQSESDRKLD >RHN60402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26243767:26250093:1 gene:gene22711 transcript:rna22711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding protein MGVPGKWIRALVGLKKSEKRESLEKDGNASKFRHRRKNSVEIDNGKLQNEFDNDGAAPIGDADHANPQSNLEAHYSPSTSQQVQDPAHNHQITSEEWAAICIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRLSLESQTEQQKLQQQLVNEARVREIEEGWCDSVGSVEEIQAKILKRQEAAAKRERAMAYALAHQWQAGSRQQAISSGFEPDKSSWGWNWLERWMAVRPWENRFLDINTKDGVKADENDVMDGRNGIRPQFKSTNTKSNLANIHPSVVSHKTGPSLSDGCDSSSTSKSAGLLETSNTQSVKPKSNANVQNPVEETNSKSGVQRSQSNPKERNSQVDKPAKKRLSLPNHGVGSGAQTAKYPTRSNMKGTRSTQKPTRDKPKLNGGGDVNLTKSVPPPAVV >RHN39791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10527100:10528702:-1 gene:gene45854 transcript:rna45854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MEDLSKLGATSITHLPDDCLTIIFHGLDCRTDRESFGLTCRRWLRIQNFNRRTLQFECSFSILNPSSLSAKGLDVYTVHIHRLLRRFQHLESLSLCGCTELDDSGLTRLLSYGSNLQKLNLDCCLKVTNYGLSLVASGCPSLTTISLYRCLIITDEGLETLTTACLSLKCINLSYCSQISDKGLKALTQQCRQLQAINVSHCERISGVGFEGCSKSLVHVEAESCKLSLEGVVGIVSGGGIEHLDVSCLSWSPSGDPLRGIGFSSSLKILNFRMCRSVSDASIIAIAKGCTLLEEWNLALCHEVSISGWQAVGLYCQNLKRLHVNRCLNLTDNGLRALRDGCRSLSILYLNGCARVTPLALELFKSHRGDVCIKEEEVMCIKPYSPFR >RHN73671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18719243:18719713:1 gene:gene9517 transcript:rna9517 gene_biotype:protein_coding transcript_biotype:protein_coding MINFLFYVFVFFIISTDHMLNETYKQEFSDWDHAILAIGTLGNNNLNENSNKSIDEEDSHSFQDFTHEFSFEEVGNFQNELKMNLEESGNFYPSNNLICNRGRKSLDKSNKNEVSNQSLSFLLKKIFVCGGELPPTSVFKDPLSTESRMEKVSEKP >RHN69618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44971310:44977690:1 gene:gene18109 transcript:rna18109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase Group-Pl-4 family MALCRTGPYPILESNVLAYSHINLSTRLVLPVPLRFAGQSSNAGKRKHKVICSSSLRRSASASSMESHEEVPKTSSVCLEEETDHVMRFKMSDFKVLDRVSIGLGGRADEVVFEGKVKDSGSPLYNTRVILRQLYSSQAQRRGKRAIEVLKKLGRRKLLYHSYSMQVHGYISLPASGGSGSFILVHGYHGSFSLRHWLQQSDWLQTLEATLALDEESVRKVGEDTTGGPAISRQLRLIRILMRDLLIGVNYLHSHGLAHTDLRLENVHISPIDRHIKVGTLGNAADFCEDGSNSGSMENMDRRQMMIAFDMRCMGFIMAKMVMGELMDPLIFAKFKSFLTKGNDPSCLRQLMMEILGRNSPYGNAGLQMLDRNWGAGWHLLSLLLATKPSRRISCLDALRHPFLCGPRWRVVPSMDIIRWGLGCTAMRISEEYIYRQPQRSRLAHFIDLLEMLNPHPKPKNWLELLPGKWRLLYCTGRHVGLTLRQPPVRVLVGDLHLTVNRESKLKANLSFVSDIGFSVMIGQDWPHDKAGKRGRVQVNSSFILRAGRRLYLKQDNTSERLSFRPSGNEEALAQKFSSKKWRKITPFKEFPSSLPAAKFTSGDIDVTMNLDDPLNQNIDTVQNVLQELRTQIPPEIFDLSKIVCGTYVDSRLLVLRGVNGSALLFTRSFVDTDSSS >RHN49040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52009885:52015689:-1 gene:gene43824 transcript:rna43824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-fatty-acid--CoA ligase MKSFAAKVEEGREGKNGKLSVGPVYRNLLAKDQFPPSDPDLTTAWDIFSVAAKKYPQNRMLGWREFVDGKFGSYVWKTYKQVYDEVMHIGSALRASGAQHGSRIGVYGSNCPQWIVAMEACCAHSLVCVPLYDTLAIVCFTKLTNEEKDKATSIGVKPYSWEEFLQMGKETPSTISPPQAHDICTIMYTSGTSGDPKGVVLTHENITYFVRGMDIFMEQFEDKMTVEDVYLSFLPLAHILDRTIEEYFFHKGASVGYYHGDLTALRDDLMELKPTLFAGVPRVFEKVYEGIKKAVEELNPVRRTVFGMLYKRKLGWMKKGYKHCNASPFADLLAFRKVKARLGGRVRLIITGGAPLSSEIEEFLRVTSGAFVCQGYGLTETCGSTTLAFPDEMCMLGTVGPVSIYNEIQLEEVPEMGYNPLGNPSCGEICLRGKSVFSGYHKNPELTKESIVDGWFHTGDIGEMQPNGVVKIIDRKKNLIKLSQGEYIALEYLENVYGITPIVEDVWVYGNSFKSVLVAVVVPNEEITKKWAYTNGFVGSFSELCSLDEFKKYVLSELKLTAERNKLKGFEQIKGVILDPHPFDMERDLVTATLKKRRNNMLKYYQGPFYVLLHMSISDKLLIYFKQVEIDEVYQRSTGDKHKI >RHN61669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37315798:37318392:1 gene:gene24157 transcript:rna24157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine decarboxylase MSTYYRDIMESLEGSNMTMAIIPDAEMLPTVVGNAKLSGEERHANIHEEDINRLTNIAITQCIGETDANLDAVITHYVETINKYNLRNLGYPTNQDFNYEALAPLLQFHLNNAGDPFLGSSFSLNSMTFEVSVLDWFAKLWEIEKDQYWGYITTGGTEGNLHGILVAREQFPDGILYTSQDSHYSIFKIARMYRMQCVKVGSLLSGEIDCVELEASLLSHKDKPAIINLNIGTTLKGGIDDLDLVIQTLDKCGFTRDQFYIHCDGALFGIMLPFINQALRISFKKPIDSVTISGHKFLGCPSPCGVLITRLKYINALSRDVEYIASRDATITGSRSGHAPILLWYALKKRGLIGLENEVHECITNARYLLNQLRDAGIGAMLNEFSNIVVFERPFDDHFTRRWNLASNENIAHVVVLKHITIEMLDTFVHEFIQKRSIWSKDGHFQPPCIASDVGSKNCACSIHSLSRNY >RHN45268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14011333:14014191:-1 gene:gene39511 transcript:rna39511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein METSIKNKKKSPSFGIPHGLPNEAIKIYTSSRARGIKPDKPVFMAVAKACAASRDALKVKQFHDDATRCGVMSDVSIGNAFIHAYGKCKCVEGARRVFDDLVARDVVTWNSLSACYVNCGFPQQGLNVFRKMGLNKVKANPLTVSSILPGCSDLQDLKSGKEIHGFVVRHGMVEDVFVSSAFVNFYAKCLCVREAQTVFDLMPHRDVVTWNSLSSCYVNCGFPQKGLNVFREMVLDGVKPDPVTVSCILSACSDLQDLKSGKAIHGFALKHGMVENVFVSNALVNLYESCLCVREAQAVFDLMPHRNVITWNSLASCYVNCGFPQKGLNVFREMGLNGVKPDPMAMSSILPACSQLKDLKSGKTIHGFAVKHGMVEDVFVCTALVNLYANCLCVREAQTVFDLMPHRNVVTWNSLSSCYVNCGFPQKGLNVFREMVLNGVKPDLVTMLSILHACSDLQDLKSGKVIHGFAVRHGMVEDVFVCNALLSLYAKCVCVREAQVVFDLIPHREVASWNGILTAYFTNKEYEKGLYMFSQMNRDEVKADEITWSVVIGGCVKNSRIEEAMEIFRKMQTMGFKPDETTIYSILRACSLSECLRMGKEIHCYVFRHWKDWDLARTNALVDMYAKCGGLSLSRNVFDMMPIKDVFSWNTMIFANGMHGNGKEALSLFEKMLLSMVKPDSATFTCVLSACSHSMLVEEGVQIFNSMSRDHLVEPEAEHYTCVVDIYSRAGCLEEAYGFIQRMPMEPTAIAWKAFLAGCRVYKNVELAKISAKKLFEIDPNGSANYVTLFNILVTAKLWSEASKIRKLMKERGITKTPGCSWFHVGNRVHTFVAGDKSNMESDKIYNFLDELFAKIKAAGYKPDTDYVLHDIDQEEKAESLCNHSEKLAVAFGILNLNGQSTIRVFKNLRICGDCHNAIKYMSNVVGVTIVVRDSLRFHHFKNGNCSCKDFW >RHN67297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25784040:25787087:1 gene:gene15477 transcript:rna15477 gene_biotype:protein_coding transcript_biotype:protein_coding MCAINRVASHHKYSSFLGIQYVTIVFLIKVHFTFLLFIFMLSLFFSLSTMNVSE >RHN61054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32564127:32566635:-1 gene:gene23466 transcript:rna23466 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSFGLRHLPQLIYAIAFCVIASSVAADDYKPYYGGQPNNNYPQPTPPSHGQQPPYYFKSPPYYYKSPPPPSPSPPPPYVHKYPPYYYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPTPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPAPTPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSLPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYMSPPPPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSASPPPPYYYKSPPPPSPSPPPPYGYKSPPPPSPSPPPPYIYKSPPPPSPSPPPYHPYLYNSPPPPIY >RHN50850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11126689:11128749:-1 gene:gene35189 transcript:rna35189 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYPPPGFGSPYPPPPGPPPHQHHEGYPPPGYPGGFPPPPPPPHHHHHHGPPHDSYQGYFDNGYPPPPPAPPQYHNYQHVDHHHHHGHHGDPGCCSFLRGCLAALCCCCVLEECCCLF >RHN67852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30899290:30900718:1 gene:gene16095 transcript:rna16095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MFKEFKKSMEKEFNMSDLGKMHYFLGVEVIQNEEGIYICQRKYVTDLLERFGMEKSNLSRNPIAPRCKLIKDENGVKVDATKYKQIVGCLMYLAATRPDLMYVLSLISRFMNCPTELHMHAVKRVLRYLNGTINLGIMYKRNGSEKLEAYTDSDYAGDLDDRKSTSGYVFMLSSGAVSWSSKKQPVVTLSTTKAEFIAAAFCACQSVWMRRVLEKLGYTQSGSITMYCDNNSTIKLSKNPVLHGRSKHIDVRFHFLRDLSIK >RHN64690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61031486:61034932:1 gene:gene27534 transcript:rna27534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MSSCFSGTGGRTIGLDLDIVKSSPPCSWSRTSQTSSSPSSTISESSNSPLAIYTTKPRTPRKRPNQTYNEAATLLNTAYPNLFSNPNLKTNPNHNNNKSTKLEKAYEFDSSELLLPFRVLDTSSSFLLHGKPDFPSEPKPVKPCQSPGEISSMVNCLELNDDDDDFDAESILDEEIEEGIDSIMGGRIEENESNVSSSHPWIGFGGKFDFRLGLQRGGVRALRHVDEGNWWNFPAVDMLKISPKICSVGGKTTAAAVTEKKKKKKVVAVERLNAELKEMESPKLKSGLLLKLNYDGVRKAWSDRGTPFADDSPVSDASGNDVNARLSQIDLLWENGGGSGVREASVMRYKEKRRTRLISKKIRYQVRKVNADRRPRMKGQFVRRLNASSNAHK >RHN81699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48248285:48252894:1 gene:gene5780 transcript:rna5780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MVNKNPSIRDEENSENKDPVINDDDGYSIETQAALMALEEKPETETVESAAAKKKRKKKEKEKEKEKKAAAAAAGSTPVIETAETSKPTENDSKTKGVGKKVTKLVRERQEELTKRKEAEEKRKKEEEENLRKEEEEQKRLEELERLAEEAKRRKKEKEKEKLLKKKQREQAERFDAIRRQLCNPEAAKNQTVSKPVAEETEDREDAKVVVEEKNEEHDTQQAAVPSRPSDSNLRSPICCIMGHVDAGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRDRTKELKADATLEVPGLLVIDTPGHESFNNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLKMRNTEFIVALNKVDRLYGWKTCRNAPIRKAMKQQSEDVKNMFKTRVNEIVTQFKEQGLNTELYYKNKEMGETFSIVPTSAISGEGIPDMLLLLVKWTQKTMTKKLTYSEEVQCTVLEVKVIEGHGTTIDVVLVNGVLHEGDQIVVSGMQGPIVTTIRALLTPHPMKELRVKGSYIHHKEIKAAMGIKITAQGLEHAVAGASLHVVKPNDDLKYIKKAALEDVESVLSRIDRSGEGVCVQASTLGSLESLLEFLKTPEVNIPVGAINIGPVHKKDVMKASVMLEKKREYSTILAFDVKVTSEAKELADKLGVKIFIADIIYHLFDQFKVYMANIKEEKKKESADEAVFPCVLKIIPNCVFNKKDPIILGVDILKGILKIGTPICIPTQNFIDIGRINSIKINDKLVDRATKGQQVAIKIVGRNSEEQQKMFGRHFGINDELVSHISRRSIDVLKNYYQDDLSMEERKLLVNLKRIFKIQ >RHN44533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5796843:5802215:1 gene:gene38662 transcript:rna38662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine aminopeptidase, S33, alpha/Beta hydrolase MGAVTSSMAAKFAFFPPNPPSYGLGVDESTGKNKITGVSTRENVDVLKLCTKRGNNIVALYIKNSSASLTILYSHGNAADLGQMYELFSELSIHLRVNLLCYDYSGYGQSSGKPSEQNTYADIEAAYKCLVEMYGSKEEDIILYGQSVGSGPTTDLAARLPNLRAVILHSPILSGLRVMYPVKRTYWFDIYKNIDKIPMVNCPVLVIHGTADDVVDCSHGKQLWEHCKEKYEPLWVKGGNHCDLELYPQYIKHLKKFIAAIEKSSRNRIESGPTPDQPDIPRNSTDFREKPRPSMDIRENSRRSIEFKDKARISTDQKEKSRSGVDKRDKSRKSVDRADKVNNGAEIPEKARNSIDRFGGMVRSVGFCNIDCFRPSATHA >RHN75612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43735969:43738274:1 gene:gene11844 transcript:rna11844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geranyl diphosphate diphosphatase MVALKMIDSIQGLGIGHHLEDEINIQLGRICDWDLSLDLFGTSLQFRLLRHNGWPTCSDVFKKYLDKSGNFKESLTKDIWGMLSLYEASHLGTEDEEILKRAMKFSSAHLNELIPHLSPEIGRNIAKSLTLPKHLRMARLEARNYMEEYSKGSNQIPALLELAKLDSDMVQSLHQRELTEICRWSKELGLIERLSFARDRPTECFLWTVGIFPEPCYSNCRIELTKTICILDVIDDIFDNYGTLEDLVLFTKAIKRWDLDGMEQLPEYMKICYMALYNTTNEIAYRIQKEHGLTVVSCLKKTWMDMFDAYLEEAKWFNSGYVPSFKTYLDNGVISVGSCMALVHSTFLIGDGLSKETISMMKQYPRLFSCSGEILRLWDDLGTSTEEQERGDNACSIQCLMKENNISDENEARKHLRLLIGNLWRELNGLAMSKTIPLSVVKASLNMARTAQVIYQHGDDQSTFTVDDYVQTLIFTSFPNS >RHN68825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38878154:38879453:1 gene:gene17234 transcript:rna17234 gene_biotype:protein_coding transcript_biotype:protein_coding MDETYIWDMLESAEGEEQESHEYVLNENTANHDESKEVGKETNFGSLLSLSVIEPPLEQSHQEFHVTPFTKCMVQKSAATKTQICEKERRIVPDLHPPPETPDPPSSQSPPPESLDSSQPATDLQQREPPPKPQDWLSSYATRDRPRSKEEGKEPTINTQHIPPPLKWPEPPDINQLAVVRPLPTTSNALATERLHFNVASVGKDCEVKRITWSIVKVGISFNLMYQTQVIFCLVWKVLEMWTGIELLGSVQFNDSHWIMKKWVAIVDDTNKKEKNSGTDWSGCMLDLTINLVGQAQNNSSKWVREIKRWSNVESADSKNSIKHRQPYGCHLEVFASNLLRQSLVIHPSVIKMLLMKGLLKDNIRTNILKHTIRSNRNSISRSLEQPISDC >RHN81636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47708108:47714375:1 gene:gene5707 transcript:rna5707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MDPHAAEDLPQYIHINQNDFFMRRHKKQKEEDIAICECRYDEDDPDSACGDGCLNVLTSTECTPGFCPCDIHCKNQKFQKCEYAKTKLFKTEGRGWGLLADEEIKAGQFVIEYCGEVISCKEAKRRSHTYEIQGLKDAFIISLNASESIDATRKGSLARFINHSCQPNCETRKWNVMGEIRVGIFALEDIPIGTELAYDYNFEWFGGAKVRCLCGALKCSEFLGAKSRGFQEDTYLWEDDDDRYSIEKIPLYDSAEDELTSNVGGQSEQSMAIILKAEEPSESTVLNVQPLNSIGINGLGIQKMKTEIESEDTKLYSQDTKQDLAQKNAMISRIRSNTAGGNSISTKRSKGGKLKNRIQKKIDAKYAAGLLASKEAQEEILDYEKRKDDSTEALDALYNEIRPAIEEHERDTQDSVSTTVAEKWIQASCLKLKAEFDLYSSIIKNVACTAQRAPDQAKGTEVDNEDKMKLLTFSASSNP >RHN57921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42577989:42579107:1 gene:gene33512 transcript:rna33512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MMQTIVIELPLHCAKCKKKILAICTTADGVTMVTLEREGRDRVVIKGEDVDAARVTEHLREKVTRHARLVSVTNDE >RHN40595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17993329:17993640:-1 gene:gene46761 transcript:rna46761 gene_biotype:protein_coding transcript_biotype:protein_coding MYSISTNQYLATLQRAHLVVLRSPQNAHGVCLLAASPCSDVRGLSCQSQTKSRSDSSTGCSSSSSSTSLVL >RHN67301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25802047:25805699:-1 gene:gene15481 transcript:rna15481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEMAVSLAIQQLLPLLRKEANLLGGIHKEFAYIKDELESIQAFLKDAEKRAAVEGDNVREGVKIWVKQVIGVAFRIEDIIDEYMIYEPRKPRHPKYPDSLHKIVQLIKTLIPRHRIGSKIQEVKSSVCMIKERSERYGFQIQPQESSGIQNAKWYDPRLDTLHMEEIDVVGFQESRERLIGWLVKGRVERTVVSVVGMGGQGKTTLAKNVFDSKEVCGHFDCRVWITVSQSYSIEGLLRDMLRKFHKSLPRDIPTEMDRGSLIDEVRNYLQQKRYVVVFDDVWNMHFWNEIESAVIDNKNGSKIFITTRNIDVALSCKRSSLVKVHELQPLTEEQSLELFNKKAFQFDKCCCPKELIDISYDIVKKCKGLPLAIVAIGGLLSLRDKNSIEWKRFCENLLLELNKDSNLIGIKEILSLSYNDLPYYLRSCLLYFGLYPEDYEIESKILLRQWIAEGFVKEERGKTLEEVAETYLSELINRSLVQISSINIDGKVKSCRVHDLLRVMIFEKFKDLSFCCHISEDSQSSLSKQFRRLSIETNSNDLMASIEGSHVRSLLVFTDKEITEEFVNKITTKFKLLKVLDFKDTSLDFVPRNIGNLIHLKYLSFRNTDVKSLPKSIGMLQNLETLDVRGTDIHEMPKEISKLRKLLHLRGYTMSFIKLKDGIGDMTSLQSLRYVVLDGEEVVELIQELKKLKQLRELGLVRLRREHGSVLSSLVNEMQHLEKLHIREKPTNTNEVNIDLHLISCPPMLRDIRLYGKLEKLPEWIPKLQNLVELKLECSQLTDDPMESLKHMQHLLSLYISHHGYEGESLYFQNGGFHKLKELNIGNSSSLRSIIIDKGSLCSLRKLELWRNTQLKTVPTGIQHLEKLKVLNIWDMPTEFVQSIAPNGGKEHWTIQHVPFVKFYPAGGKSVRYFRTKN >RHN80546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38984943:38989461:1 gene:gene4485 transcript:rna4485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative R3H domain, SUZ domain-containing protein MSMTQFAMVEELAFLVKDNLPCKHLVLTMEELLVNFLEAEDTSSDGILELEPMNSYNRLLLHRLAEIFGFAHESVGEGEDRHLILERCPDTSIPPILVSDILGTYDEPQSLFASHQILRRKEASPVSQTNTTSIQQTLEERKAAYLLARERIFSMNLEDAKEPNEQKPRSVPVVARRMIAHALGQRINTKNPNELVNDGTNNRGFLDELNAQDKNSEKSDLAKDFEESLSLRKNSNSRTRNTGSSNAAPLNKRNDQTPVDKGSPPLSQDGKQGQSVSKECMRKEHLGAAKRMFAHALGVHSVKDGSVPRSRNGENTKN >RHN67259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25245006:25245705:-1 gene:gene15431 transcript:rna15431 gene_biotype:protein_coding transcript_biotype:protein_coding MPESEDSFSLPNIPRTCCVVYEPETKNKSKSNVGDRFEFVVVSDERFSSLKLDALPIIHGSW >RHN72028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4503724:4504469:-1 gene:gene7695 transcript:rna7695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative periplasmic binding protein-like I MINVQKVQVIIGMHTWPEAAIMEDIGSKAQVPIISFAAPTITPPLMNNRWPFLVRLANNGTTYIKCIAEIVHAYCWKRVVVIC >RHN54977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14161184:14169215:-1 gene:gene30091 transcript:rna30091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-transporting ATPase MPPLLTNTHHYHYLLPPSTSHNRHHHRHRHCHQPLITFSSVNRHHFTLSSSKRILLSSSIKSSSINEVSIHNNSESASNASDEFLDRIRKLVSFLPSIFPGGTWWNFSDDVEVSMFNQPVTVWYALGKMWNLVAKDRWVIFAAFSALIIAAVSEISIPHFLTASIFSAQGGDIKVFHGNVRLLILMCVTSGICSGIRGCFFGIANMILVKRMRETLYSSLLLQDISFFDNETVGDLTSRLGADCQQVSRVIGNDLNLILRNVLQGGGSLIYLLILSWPLGLCTLVICSILAAVMLRYGWYQKKAARLIQEVTASANNVAQETFSLIRTVRVYGTEEEEHGRYKWWLEKLADISLRQSAAYGFWNFSFNTLYHSTQIIAVLFGGMSILSGHITAEKLTKFILYSEWLIYSTWWVGDNVSNLMQSVGASEKVFNLMDLSPSNQFITEGVKLQSLTGHIEFVNVSFHYPSRPTVHVVQHVNFVVNPSEVVAIVGLSGSGKSTLVNLLLRLYEPTSGQILIDGVPHKDLDVMWWRERIGYVGQEPKLFRMDISSNIRYGCTRDVNQEDIEWAAKQAYAHDFISALPSGYETLVDDDLLSGGQKQRIAIARAILRDPKILILDEATSALDAESEHNVKGVLRSVRSDSSTRRSVIVIAHRLSTIQAADRIIVMDKGQIVENGSHRELLLKDGLYARLTRKQADTME >RHN65116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64328200:64329585:1 gene:gene28017 transcript:rna28017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSSAKSTEIMEQHSHSSEIMLNNNNEIEEVDDSIETFDDDEIEQAAESCVYVAVGKSNTSMEALSWTLTNLFPITHSTNNTILYLIHVFPEIKHIPNPLGVGMVPRNQVSVEQVESYMEQEKDKRRQLLHKFLQSCSLSKVKVDTILIESDFVAKAILDLIPILQINNLIIGANKSHLIRKSKSKKGSGGVGDQVLKSAPESCKVRIICEGKEVNEQMMLSSPSPQIFTATNDDTFVNTKENDSVLCCFKPKFK >RHN44935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10097682:10098357:-1 gene:gene39116 transcript:rna39116 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGEEYEATPQVRFQLFSLVLPSYRFQLKIIVFNLHIIDPSHDV >RHN71911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3522691:3523128:-1 gene:gene7562 transcript:rna7562 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWNVGVSFMMKCLVLILLRVGDLSGEAGSENVAVKLDSMVSEREVRDQSIIGTRSCTLEDVVGAAGSLFSDKLQTIDSIFPDMPIGNIDDIESMSNFNIVVDVIETIDKVDGKTTILHEVEKCNAKEQIIADKDVSGVSALNV >RHN78986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20585223:20588101:1 gene:gene2662 transcript:rna2662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide METISLSSHNNILLLKHSHSSSSSSSPQQKPHHSRNLVTTKKPIFQQPNSLLIHNDKHKHSSSSSSLPSLMLHYTQNGYFHKSQTTWEQLLNSSFHPSLHFISKLFKSYAEQHKFDHIINILHSLNSRNLTLLPQFYSLAISCFGNAGNLKLMEETINEMVSKGFRIDSKLGNEILLYYSVFGSLNEMENAYGRFKRSRFLIEENVIRAMAYGYTKKRKFYELGEFVRDVGLGRRNVGNVLWNLLLLSYAANFKMKSLQREFVRMVESGFRPDVTTFNIRAMAFSRMALFWDLHLSIEHMRSENVVPDLVTYGCVVEAYLDRKLGRNLEFVLNKMDVDDCPRLFTDPFVFEVLGKGDFHLSSEAFLEYKTQQQKWSYRILIQKYLKKHYRRNQIFWNY >RHN60440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26795325:26795861:1 gene:gene22752 transcript:rna22752 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFQESNMNFGNSEGNIFQPYPHQPQLFNNINVQYQPITQSQEYYYLMNGSDQLATGSSSTQKDVSNLSVPFNPTNPIFPMNDNNQLLNGFPSSQKNGPRSLTSEFLLPSLESNMNFISPQSPYSPQLSPSLFSMLSSPKYPFYPYLQNENLFPDPPSPFSSSIFPSFTGPNRSDNQ >RHN41292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28929510:28932285:-1 gene:gene47599 transcript:rna47599 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTWCLVPFLIPSSSSSIPSLCFSQTTTKCIQRFSFPSINRKVSTLPFSSIKRSITRAAEYKFPDPIPEFADSETEKFQNHLLNKLSKKDVFEESVEEVVGVCTEIFSTFLHSEYGGPGTLLVDPFIDMADIVNERGLPGGPQAARAAINWAQAHVDKDWNEWTGGNSNE >RHN56645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32538517:32539848:-1 gene:gene32077 transcript:rna32077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MENYDIISTMPDHILSHIMSFLPTKFAISTTILSKRWASPYKSLTSLNFNDESVDNEDAFLRFCRFVDKVTFYHPLIKTFILECSSKHWENGFHVQHLISGEKRHHVENLQISCISLSISNVERWIQLTTSIFIFPNLVVLKLTYCHVLGNIDFNLPALKTLHLNDVHFKNNYEFNKLIYGCAILEDLIANIYYIGQVQDDTVSRREFETLSKLITADINPLDLPFGAITNVETLKLKVLDINLYSGEFSVFQNLINLELYFHTFPHWDCVVELLQNCPNLQVLTIEKWEDECNQDLVTKWKDPSHVPKCISSHLRSCTLICQPFVDELRFAKYVLHNAPHLEVMDISITDKLVPLSLRVLEEELNSVLAISPKCKFSISLKDIGL >RHN74950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38089146:38089668:1 gene:gene11089 transcript:rna11089 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKYWFSSSWFSFEKGSLENVTLKSDPESFEFGFDFFVANSTTSGSSIIGRPVKNSTLTYLRLGIDGNIRFYTYFLDVQDGVWKVTYTLFDRDFDESECQLPKRCGKFGLCEDNQCVGCPLENGILSSSNNCSAKPVVVCKASEFHYYKIEGVEHYMSKYTVGDRVSKVNWS >RHN81589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47317676:47322375:-1 gene:gene5658 transcript:rna5658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose/H+ symporter, plant, major facilitator superfamily domain-containing protein MEPFSSTKQNHNNNNTLTKPSLHVESPPLEPSPLRKIIVVASIAAGVQFGWALQLSLLTPYVQLLGIPHTWAAYIWLCGPISGMLVQPIVGYHSDRCTSRFGRRRPFIAAGSFAVAIAVFLIGYAADLGHSFGDDLSKKVRPRAIGIFVVGFWILDVANNMLQGPCRALLGDLCAGNHQKTRNANAFFSFFMAVGNILGYAAGAYSKLFHVFPFTKTKACDIYCANLKSCFFLSIALLTAVATAALIYVKEIPLSPEKVTGNGVTDEDGNVTKSSNPCFGELSGAFRELKRPMWILLLVTCLNWIAWFPFLLFDTDWMGKEVYGGTVGEGHAYDKGVRAGALGLMLNSVVLGATSLGVDVLARGVGGVKRLWGIVNFLLAICLAMTVLVTKLAQHSRVYADASHTDPLPPSGGITAGALALFSVLGIPLAITYSIPFALASIFSSSSGAGQGLSLGVLNLAIVIPQMIVSVLSGPWDALFGGGNLPAFVVGAVAALASGILSVVLLPSPPPDLAKSVTATGGGFH >RHN81622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47618470:47620293:1 gene:gene5693 transcript:rna5693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hypoxanthine phosphoribosyltransferase MTMQSHIERILWNQDQISLRVSQLAAQIVHDFPASSPPPVFVGVITGAFIFLADLVRKIDLPITIDLIRAQSYGSGTVSNCAPTVSSDLKVDVNGRHVILVEDIVDTGHTLSRVIGHLKSKGASSVSVCTLLDKPTRRKVNVELVGEGKFYRGFECPDYFVVGYGMDFAELYRNLPYIGVLKPEHYS >RHN42506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38915203:38918769:-1 gene:gene48953 transcript:rna48953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zeta-carotene isomerase MASTPLVFSTSISSKSHLLHHHPHLNSLPSSSLCFKSKQSFQYFSSNPLLLSHKLVTRVSSSEERATTQVTDSDLVGEDSATFDIQNQKLSSWFYFTAVLGVVLSVLNVIWIDDSTGFGKAFVDSISGISDSHEVVMLVLVLIFAGVHSGLASFRDTGEKLIGERAYRVLFAGTSLPLALTMIVYFINHRYDGLQLWQLQDVPGVHQLVWISNFISFLFLYPATFNLLEVAAVDKPKLHLYETGIMRITRHPQMVGQVMWCLAHTVWIGNSVAVAASFALIAHHLFGVWNGDRKLAERYGEDFEIVKGRTSVVPFAAILDGRQKLPKDFYKEFIRLPYFTITALTLGAYFAHPLMRAASLKLHW >RHN73520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17321657:17322055:-1 gene:gene9342 transcript:rna9342 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCSRWLLFHIRFWYIQRTNSISHLPRYTALGRFVEGHLCALLHRNATSKLILMVEPISNLLQHSSLNFIEAVTLSSTIQAWLHALSSSHTDLSAGIFTCFEGFFSTVDEQPPLYRNSSTIVRDRHQHEC >RHN81244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44311579:44320799:1 gene:gene5257 transcript:rna5257 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGKEKNLYEGGTGGKFRKRPFRKTQTTPYDRPPAALRNPNSNNNGWISKLVDPAHRLITHGAHSLFSSLLRKRLPPPPPAPNSSGMEQETGQHNHQEEAVEMEQEMRQENHQEESVAKESSGNQQRAVGESNVQVNCSDSDQGGLTELEKLLKQKIFTRSEIDHLTALMQSRTVDVAVRGEEKRSEMVPSEPILPSGQKEEYPKTPTVENGIENHLALTQPVTSSVPIEDVASPVELAKAYMGSRHPNVSTSMLGVRYPARGEDTTPLKSEKSPFKSPTMSIVPRATRHTAIHENGFVTPRPRGRSAIYSMARTPYTRIYPTSTLKGGGLAVHGEPSSSTQSAMDYAMFSGSTQGGIKRRNLAVDNNIGSVGPIRRVRQKSNLLYSKGSSSPLSGSALSVYRNGLGIDAAQQPSSSLHKPVLLDEVKHKSEENVSGTKSSMSFPPSSSKSSEMATKILQQLDKIGSPREKSSESRLPVVSDSSSMKLSPSMLRGQALRSMEMVDAFKLPDNLQGNNLDGTFGNLTSSAQNQKSISHRDKGENGPLKLVASSNESVPIVTTTETTKSRNQVLSSDNSFMMKSVSNPTQKKRAFHMSAHENSLDLGDNAYRAVSFSSAEKETKSSTVIEDKVSSGSEAIAHESPSTSSVVLPSTRFTIDAQAHVKTTDGGAHVKTTVATVAVTAPTITTFGSDKPALSNGSTANPSLFNFANKNISSAELSTSVASSKEIAKSAPVFGLEKVDPSKEAGGPSVNFDTKQNVFKVPPIPFTASSSVGGESTPKFGASFDSQPGGSISFTTVAGSTGSMQKVRESDSGDANKNTGFSVGASELAVSSAASTSLLTPPNSIFKFGHSSNQNNGSLGSGPSFSSSFPSLISNNFSNSSNQNNGSLASGPSFSSSVPSLVSNNFSNSSSSLSASGGINSTSASTGISMITPALAASSNNCSSSSTPVVATSSSTTSLFKFGSSPVTSAGLSVSSSGSKPLETGNRQDAGISSFSSTAFGSSSAAVGSTASAIFGFSSSAMTTGASQSQSSFGAGSGSLFGAQASPPAGIFTTSTQTQSVQSFASSPLFGSTGKTDISSGSSLFPSLSSATNTSFSSGSSMFPSSSTTNIFNSSTTFGLGTSASSLAVNSSSGTGSSLFGASGWQPSNSLFGSTFSSSPSSGFGTSSTTVASTSSPMFASTTSASAPQFSFTSAVASTSTQPAFGSPNPVFAFGSAPVNNDQMSMVDSMAEDSVQATPPMSPMFGQQPAPVQSNFVFGASTQSGTSPFQFASQQNIASQVSAPSGTSPFQFASQQNIPPQNPSPFQASGSLEFNAGGGGSFSLGSGGGDKSGRKIIKVKHRNRKK >RHN70014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48024042:48036450:-1 gene:gene18553 transcript:rna18553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MATELCGGSLFLSVKTQRLLHHSQRTPITATSFFSSSSKPRFTFSRRNSSVFCRVASTDTVSNFDSPAKDGQDRLSKVPVSNIRNFSIIAHIDHGKSTLADKLLQVTGTVPQREMKEQFLDNMDLERERGITIKLQAARMRYVFENEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALDNNLEIIPVLNKIDLPGAEPDRVLKEIEEVIGLDCSNAILCSAKEGIGIMDILNAIVARVPPPSDTSKKPLRALIFDSYYDPYRGVIVYFRVVDGTIKKGDRVYFMASGKDYFADEIGVLSPNQLQAEELFAGEVGYLSASIRTVADARVGDTITHHFRKADNLLPGYEEATPMVFCGLFPIDADQFPELRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLSLITTAPSVVYRVNCANGDTVECSNPSLLPEPGIRRSIEEPVVKIEMLTPKDYIGPLMELAQERRGQFKEMKFMSEIRASLTYELPLAEMVGDFFDQLKSRSKGYASMEYTVTGYKESDLTKLDIQINGECVEPLATIVHRDKAYSVGRALTLKLKELIPRQMFKIPIQACIGAKVIASESLSAIRKDVLAKCYGGDITRKKKLLKKQAEGKKRMKSIGKVDVPQEAFMAVLKLEKEVL >RHN63541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52063973:52068486:-1 gene:gene26253 transcript:rna26253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CALMODULIN-BINDING PROTEIN60 MSLKRGPDDNKTPDDKRRKPPPFSSVVREVMKLQSVRNLMEPILEPLVRRVVREEVELALKKHLSSIKQTCGKEMNTSESRTLQLQFENSISLPVFTGARIEGEDGSNLRIRLVDALTGKVVCTGPESSAKVEIVVLEGDFEEESDIWMPEDFKNNIVRERDGKKPLLTGDVILYLKDGLCMVGEISYTDNSSWTRSRRFRLGVRVVDNFDGIRIREAKTDSFIVRDHRGELYKKHHPPSLSDEVWRLEKIGKDGAFHRRLSREKIRTVKDFLTLLNLDPAKLRTILGTGMSAKMWEVTVEHARTCVLDTTRHVSFASHSQQPHVVFNAVGEVTGLLAESEYVAVDKLSETEKADAQISVISALNQCDFASFEDEVSLMDGYSHLTNVHYSPSSPRTEGSSANKLLALQKTGGFNYTQESASSTDIMPSIYSVGGTSSLDDYGLPNFESLGLRYDQHLGFPVQVSNSLICDMDSIVHAFGDEDHLQFFDADLQSQCHIEADLHSAVDSFMPVSSTSMTKGKAQRRWRKVVNVLKWFMVKKRRNQLYR >RHN58291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:550217:550741:1 gene:gene20189 transcript:rna20189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c assembly protein MKQTYQISNDFVTCGSLLSVAILVITFQEAIQILTFTKNLDFLNKSVDFVEIKYMNMNERNNGFLFLTICILSGAVWTNEACGSYWNWDPKETWAFITWTIFAIYSHTRKNKKFEGVNSSIVASIGFLIIWICYLGINLLGI >RHN77159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4519346:4526335:1 gene:gene585 transcript:rna585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MADESQYDSTPPSLKRKYDEQPPPHSRTTGFSDGPPPPSYNNVPPPATADFELIKQRAQQVAARLLSGAAAPSDVVKRTKFDNGPPSPYDSSDLKSQYAAPMSIPSYSHQGSSKKIEIPNGRVGVIIGKGGETIKYLQLQSGAKIQVTRDMDADPNSPNRLVELTGTSDAIATAEKLIKEVLAEAESGGNGLVTRRMTGQGGADEFSMKIPNNKVGLIIGKGGETIKSMQATTGARIQVIPLHLPPGDTSTERTLKIEGTSEQIESAKQLVDSILSGENRLRNPSMSGGYSQQGYQARPPSSWAPPAASQQPGYGYAQPGAYPGPTPQYNVPQQAYAGYPPHSAGGYSTNWDQSTATTQQSTYDYYNQQPQQPQNPGGPAPPADGTAYNYSQPPSAGYNQPGQGYSQDGYGAYQQPPQSGYAQPTSYDQQQGYGSAQEGQTTTNYGSQGQGDATQVPPVQPSQQGYGSSQQPSPNAAKYPPQGAAQPSYGVPPTSQTAYGSQPQTQSGYGPPQTQKPSGTPPAYGQSQSPNAAGGYGQPGYPPSQPPPSGYSQPGYPPSQPPPSGYSQPGYGQAPQSYNSGSYGAGYPQAPAYSADGNASGNARGGSYDGAPAQGAQQASVAKSPQS >RHN50125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4281255:4285786:1 gene:gene34380 transcript:rna34380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Calmodulin-binding domain, plant MASESVELPVIPDVTKSPVIEKRRHSAGKTSSGKSNGKIVPHYLRASTGSCHDFCKYGKEHAFASKERRSIPNRATRKQLHQSSQESVGRVIAKSKISADSKPTSKMSTAVLEESVDSKPTKMPTVVLKESVDSKTGISDALDANSHKLPSKSVVKEKHIVNEVKVNRKKTPVVDKPPSLLSKSHASPSTSQEFSSSTDKEVRSLSKSTSTKVETPLKSTPKKVKTPSKSTPAKMETLSKWIPKKVENTSKSTTKVITSSSIAGEVEAVSKPTLKKVENPSRSTSKAKTTKTTGTSLQLPSLNVKEMKLSAKHSISLNSNRVARKKVSSSMSSSEGFEDKRNSEIKMEKKVSPSKTAPKKLISPIKALSSPRASLKRVSSLNSRKNKSLKIVSHLRNQNTARKVELDEHNNNEVEEKTLYVVNMESENNTLQSDQTASYDDDSYLPQLSTPISSSTSITQSLSEEDQEESEYTTSEFEVDSLSASCEIECMENEETLEDEKKGKPRKVKDVEDKDCEMMKLKFRRGKVIDNQIEKNTPRKLKFRRAKMLPEKANVEDDGGRKSYKKRDETSSESNIASQEKVVLRHQDIEDKKDAQGLLNNVIEETASKLVEARKSKVKALVGAFETVISLQEKKPSAANSVS >RHN71406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58771861:58774019:1 gene:gene20085 transcript:rna20085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA sulfurtransferase MEGKRSLRMCCLCNERRASLKRPKTLQQICRECFYLAFEDEIHQLIVDNRLFTRGDRIAIGASGGKDSTVLAYVLSKLNRVHDYGLHLFLLSVDEGITGYRDDSLETVHRNQIEYGLPLKIVSYKDLYGWTMDEIVKLIGLKNNCTFCGVFRRQALDRGAAFLKADKVVTGHNADDIAETVLLNILRGDIARLSRCSSIITGEDGPIPRCKPFKYTYEKEIVMYAYFKKLDYFSTECIYSPNAYRGFAREFIKDLERIRPRAILDIIKSGENFRISTTTKMPEQGFCERCGYISSQKWCKACVLLDGLNRGLPKLGIGRSRVAIGFKEENKSHGTKSIESKQCGSLDF >RHN58380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1322353:1322601:1 gene:gene20287 transcript:rna20287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MFGEMCAKQDHFLMVKKPNCLLLPIYDAGFAWGRPTFMGPGWIGCEGRCFILPSSTNDGSLSVAIALQHEHMEVFKELVYDI >RHN70954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55363762:55366686:1 gene:gene19590 transcript:rna19590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamyl-tRNA reductase MAVSTTFSGPKLESLFLKSSSRLPTPTHLSVFGKPRTTFIQKGIIRCDAQNSDQTIASTTTLSALELLKTSAADRYTKERSSIVVIGLSVHTAPVEMREKLAIPEAEWPRAIAELCSLNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVMEWMSKTSSVPVSELCEHRFLLYNKDATQHLFQVSAGLESLVLGEGQILAQVKQVVKVGQGVNGFGRNISGLFKHAITVGKRVRAETNIAAGAVSVSSAAVELAYMKLPEASHDNARMLIIGAGKMGKLVIKHLVSKGCTRMVVVNRTEERVAAIREELKDIEIIYKPLSEMLSCVCEADVVFTSTASETPLFMKDDVKDLPPASQDVGGLRLFIDISVPRNVGSCVSDIESVRVYNVDDLKEVVAANKEDRLRKAMEAQAIIGEELKQFEAWRDSLETVPTIKKLRAYAERIRVAELEKCLGKMGDDISKKTRKAVDDLSRGIVNRMLHGPMQHLRCDGSDDRTLTETLENMHALNRMFSLETEISVLEQKVRAKVEQNQK >RHN52884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39937535:39938167:-1 gene:gene37616 transcript:rna37616 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSQPKTQSNLTISTSPTSESGSNTTTPLPKGQCLCSPTTHEGSFRCRLHRSSSSSSSSSSTSTWMKRNKSMPNNNNKHVVFVTPNSTS >RHN77810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9550154:9551455:1 gene:gene1303 transcript:rna1303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MICIVGLNKFTGKNKFEEEKPNRYYLYEVGFVTGRYVVPYFTLRITLGIILFSALLIYKYRRRHVSIYENIEDFLQGNTLMPIRYSYKEIKQMTKNFKVKLGEGGYGDVYRGNLISGPFVAIKMLKIKSKTNGQDFISEVATIGRIYHSNVVRLIGFCVEGSKRALVYEYMPNGSLDKYIFNKEGVISLTNDQIYEISLGVARGISYLHQGCGMQILHFDIKPHNILLDENFVPKVSDFGLAKLYPIDKSIATLTAARGTIGYMAPELFYQNIGGISYKADMYSFGMLLIEMASRRRNLNTHAEHSSQLYFPFWIYDQLSENREREMEDVIMEEINDVLKKMFLVALWCIQLKPIDRPSMNKVVEMLEGDIENIEIPPKPLLYPHETIQENLDTNSNETESDTGSTSYVEEIASNTLLKCSA >RHN56000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26589038:26596862:-1 gene:gene31310 transcript:rna31310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MDHVWDDGFVDLTIDDIRVLIGDTPKAKDGYAGSKFIFCSGGLMKKREEEEEEEKVDEVEEKGEADEVGLKIEEEENGDIIGEFSRPCSFTTSQEDDSSSTTTDTRSNSISPNVRLKPVITPGSWQKGELLGRGSFGTVYEGISEDGFFFAVKQVSLLDQGSQGKQSVVQLEHEIALLSQFEHENIVRYIGTEMDESNLYIFIEFVTKGSLLSLYRRYKLRDSQVSAYTRQILHGLKYLHDRNIVHRDIKCANILVDANGSVKVADFGLAKAIKLNDVKSCQGTAFWMAPEVVRGKVKGYGLPADIWSLGCTVLEMLTGQVPYAPMECISAMFRIGKGELPPVPDTLSRDARDFILQCLKVNPDDRPTAAQLLDHKFVQRSFSQSSGSASPHIPRRS >RHN55502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19087711:19089455:-1 gene:gene30693 transcript:rna30693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MIQTTSQKKKKGEEVSNSNGIGSYIPHDIHFSILSKLPVKSIKRFSCYPNSFFYFLSGHKFQNKLKLNFPHPFNTPELDTIDILGSVNGILCVIGYIRNIGTSTILLNPATEEVKVLPVSRGELLSEITTELVFHGFGYDNVRDDYKIIQYVDVVEGKDPFWEIYSLKTDSWRKIRIDMPVRCGVYANRDVYLNGVCHWLGQVEGNDEKFNMVMVSFHLGNEVCFVTPLPFLEDMEYGFEDVKLQVFNGSVAVIFNHIETKSFHISILGEKIGVKESWVRLFDIGPFSCIMDPIIVWKKGNILVRKEDDQLACFDLTTGMIEEIGVKAEQFCQVVVYKKSELPFGVIDLCCPCGKAVRHGHPNNCLYSRLIAFIAFL >RHN38694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1342241:1342770:-1 gene:gene44655 transcript:rna44655 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFGSGKSRAQGYVIGHHCSGKCRFLVFQVFNKVKGSSNTLMAEMWGLVSALEAAWELGAWFLSSSGGV >RHN81113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43405821:43406427:-1 gene:gene5111 transcript:rna5111 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKTSSIFSSGSPAVKPCHIPGYNFMVLSTLLALSYNALLTSGSVTLSSSPCNTKKGNFTWCKLVSTLKLVLSSSNAVFNLGLS >RHN75293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41039418:41042378:1 gene:gene11470 transcript:rna11470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cellulose-binding family II/chitobiase, carbohydrate-binding domain-containing protein MKFFKEGKTSSYQTLLLLLLSCVFVFSGLEICHGQFDDYDVATPPPPPKGLDTCNGIFLTYSLNSREKEWPHVKNVAKQAWAFKAEASLTNVGDEELKGWQMYIGFQHKEVLVSADGAVPVDSEDFPAEVGNGTTIAGSAMMDLKTAISTANDWNQIAVRIQMSGTQFGLGKSGKPMPKTIKLVNDGFKCPNPSRQGTTMFVCCRVDPKAKAKLKKKTKFMPRRYGDLTIAYDILQAFQSNYFAQVTIDNNHPLGRLDHWNLTWEWPNGEFISSLKGAYARRKDPSECLYGPAGKYYGSLDFSQIANCQKNPILSDLPAEKELDDKVGKLPFCCKNGTVLPPVMDKNKARSLFQVQVYKMPPDNNNRTALTPPKKWKIDGVINPKYTCGVPVRVDPQQFPDPTGLNAISTAVASWQIVCNITKPKPKENRCCVSFSAFYNESAIPCNTCACGCHDTRKCNPNASPLLLPPDALLVPFVNRTEKAKAWAKLKHRRIPTKLPCGDNCPVSINWHVSSDHKEGWTARITLFNWEDSSFEDWFTAMKFDKAFEDFQDVYSFNGTRIPGIKTVFFQGLKDMNYLAGETNGTHEYDPRVPGKQQSVIAFHKKHTKNFNVERDAFPSKVYFNGMECSMPPRRPTKSAGHKSSISIVAVVFTAFMTFLLMTDRFF >RHN39159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4573827:4574102:-1 gene:gene45159 transcript:rna45159 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGHMLNKLHTKGTMKQQDQGSKRYKKRCPPMPSRDKKNQMQPMLLHSNHRPKPMPPIMKLKQIQRIVFNCHGSRQNPILRYQSNNTKKQ >RHN39948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11876345:11877276:1 gene:gene46032 transcript:rna46032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MIPEALSIMIQVKVLFDRLNNFLLDEEIYNDDGERNLKQCSVNSMEIQDGDFICDHKSVSPTLRDVNIEIRWGQKIAVCGPVGAGKSSLLYAILGEIPKISGTVKVGETLAYVSQSSWIQSGTVQDGKTMDKTRYEKAIKACSLHKDINDFSHGDLTEIGQRGINISGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAAILFNVSKYNILSC >RHN55967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26144019:26149762:1 gene:gene31267 transcript:rna31267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol synthase MEVERVQTIAHKSKNTTIPSMFVRSETESPGTTTVQGVKLGVPIIDFSNPDEVKVQNEIIEASKEWGMFQIVNHEIPNEVIRKLQSVGKEFFELPQDEKEVYAKPVIGSDVSSEGYGTKHQKELSGKRGWVDHFFHIIWPPSSVNYSCWPNNPTSYREVNEEYGKYLRRVSNKLFNIMLVGLGFEENELKSVADENELIHLLKINYYPPCPCPDLVLGVPPHTDMCYITLLIPNEVEGLQASRDGQWYDVKYVPNALIIHVGDQMQILSNGKYKAVLHRTTVNKDETRMSWPVFIEPKPENEVGPHPKFVNQENPPKYKTKKYKDYAYCKLNKIPQ >RHN71058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56147608:56152984:-1 gene:gene19701 transcript:rna19701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MADSGGSSGRMWCSIPERFQLHAAMLALQFGYAGFHVVSRAALNMGVSKLVFPVYRNIIAFLLLLPFAYFLEKKERPAITFNFLLQFFGLALVGITANQGFYLLGLDNTSPTFASAIQNSVPAITFVMAVILRIEKVRLNRKDGIGKVAGTLFCVAGASVITLYKGPTIYSPVPPLNSIIINGGSESISISSSSLGLGDAKGKNWTLGCLYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGLIQFLIIALILERDAQAWIFQSGGEVFTILYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASLALGEEFYLGGIIGAVLIIVGLYLVLWGKNEEKKFARELAAITSTPEHSNIIRSSSHHAKTSLSQPLLPSSTENV >RHN68861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39171898:39177167:-1 gene:gene17272 transcript:rna17272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative homoserine dehydrogenase MKTIPLILMGCGGVGSHLLQHIVSSRSLHSSQGLCLRVVGIGDSKSLVVVDDLLNKGFDDSFLLELCRLKHGGESLSKLGDLGQCQVFVHPESEGKILEIASQLGKKTGLAFVDCTASSDTIVVLKQVVDLGCCVVMANKKPLTSTMGDFEKLLTYPRRIRHESTVGAGLPVISSLNRIISSGDPVNRIIGSLSGTLGYVMSEVEDGKPLSQVVRAAKSLGYTEPDPRDDLGGMDVARKALILARILGRRINMDSIQIESLYPKEMGPDVMTDDDFLSCGLLLLDKDIQERVEKAASNGNVLRYVCVIEGPRCEVGIQELPKNSALGRLRGSDNVLEVYTRCYSNQPLVIQGAGAGNDTTAAGVLADIVDIQDLFP >RHN70390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51109363:51111119:1 gene:gene18964 transcript:rna18964 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLSFSRKHQKRLILIALLGFSGYGAYKAYHLPYVVQKRHRFTKLLKAFVSLAELASNSAETVSIVSNDLNKFLKSDSDEIPNSLKQLSKIAMSDEFSITISRVSKSLTQGILMGYGEFEKPLEIGAEKSNFSDRVLDKLTSEAGTGFVSVVVGSFARNLVLGFRSKLENVDSGSKSDGVSGFVSVICDEKCGKVIGDCVQTFVATAVAVFLDKTMDVNTYDEIFAGMTNPKHHEKVKGILVSLCNGAVETLVKTSHQVLTNPKGKSDLSSPRENGLLKPEAYLQKFRNGGSVDGVGDSGWLEQVKSTLSVPANQRFVFDVTGRVTFETARSFVEFMLWRISEGLKRGVNKVHDDVVDKGMQVVRYVGAKSSVIITLCLALYLHILGGSRILVAA >RHN39720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9926428:9929518:-1 gene:gene45774 transcript:rna45774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MGCSSSKVAENIMDGSSEVGKDKNLPSQVKENELISCSEDFSILASETPFTVREVEILKELYKKLSAVIVKNGLIQKEELHFALFRNRNKRNLFVDRMFDLFDVNHNGHIEFGEFIRSLGIFHPKAPRADKIKYAFRLYDLRHTGYIEREELKEMVLMILVESDLVLSDDVVETIVDKTFLETDTKGDGKIDLEEWSEYVGKNPSLLKNMTLPYLMDITLAFPSFMLNAETEESHS >RHN79471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29275619:29276290:-1 gene:gene3265 transcript:rna3265 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCGRKPFPVVYNDGETETNLGIITVYPTMNIKTLLSKLSHKIGILPHQFSVFIADQNSDRKIPFTTKVNIPAVACEDATYAFYVSRCGSYKKALVKKNNNLSEKKMLQCRDDGSRPVSAAEALVFERGEMERRMLKFKMEREAFLMRMGNKVESFRVEPPMDNGGGSRGGSGVYCKECVMAEVIRTKADFHLCIRDEVIIGFKTSVGPICRPVRNSDEDGH >RHN50361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6486012:6486707:-1 gene:gene34638 transcript:rna34638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative taxadien-5-alpha-ol O-acetyltransferase MYVQTSMEYNLKRIMGAIRVLSSDIIKAPTSADQEIDLTPWDLQFLLIAPTKIGLFYDHSLVVNQIERLRHSLSSVLAFFQPLAGRLKMTENEDNNVSCSITCNNAGVLFVHAAIEDTRDVDIVGPTYLPLIVHSFFPFNGARNYEGISKPLLAVQVTELVGGVFIGFRFNHVVTGGKSMWHFISSWAEISRGCCNQISKLPSLERCFQMIFSVPYDFLPQWSLKIITLMG >RHN42900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41799080:41802565:-1 gene:gene49404 transcript:rna49404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acylglycerol lipase MENGLFVVKNIDEAAMILIPQTPEKMKQLVKLTFVKPFKVMPACFLTDFIDVMLMQSGLCLHKKWKVMCTEFRQEKKELIETLYKDRKLSNLPKITQPTLIIWGEQDQVFPLELELAHRLKRHLGENAQLVVVKNAGDAINMEKPKELSKIFKSFLIDSTTLPMQENLSNGRKLD >RHN48973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51482042:51485368:-1 gene:gene43750 transcript:rna43750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MAFHNFFILTLCFSLSLSILASLPSSSLADNSKVPPQTICESTVNPPFCKTLLANQNGTVIDYGRFSIRKSLSQSRKFLNLVLSYLQDKSSLSQPTISALKDCQFLAQQNFEFLSNTHQTANKTSNVLPISQGEELQTLLSAVLTNQQTCLDGLVTASSDQTVINDFSSTISDDTKIHSVSLALFLKGWVGDKKKQTSWPQNGRHLNFHNGHLPFKMSDKVRAIYDSARNRHGRKLLQTIDESVTVSEIVIVNQDGSGNFTTINDAINVAPNNTVASSGYFLIFVTEGIYQEYVSIPKNKKYLMMVGDGINRTIITGDHNVIDGSTTFNSATFAVVAQGFLAVNMTFRNTAGPSKHQAVAVRNGADLSTFYSCSFEGYQDTLYTHSMRQFYRECDIYGTVDFIFGNAAVVLQSCNIYPRLPNSGQFNAITAQGRTDPNQNTGTSIHNATIKATDDLAPLIGTVKTYLGRPWKEYSRTVYMECFMESLINPSGWREWSGDFALSTLYYAEYNNTGLGSNTSMRVNWIGYHLINATDAANFTVDNFLDGDSWLPQTGVSYLSGLII >RHN51598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20717699:20723762:-1 gene:gene36070 transcript:rna36070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA reductase MASLYQLLQVQYNIVITLFTHTTRATENHTILVMTSSGFSLSSTAEEVTHGIHGSGLTAIVTGTTHGIGIETARVLALRGVHVIMGVRNINAGKVVREEILKEIPKAKVSVMELDLSSMASVRKFASEFNSSSLPLNILINNAGICAAPFTLSKDNIELQFATNYLGHFLLTNLLLDTMKKTANESKKEGRIIIVSSDGHNYTYPEGILFEKINDESSFDRWKAYGQSKLANILHANELARYLKEDGVNITANSLHPGAIITNIVSPEVGQTIPKGLLNVLGEFVIKSVQQGAATTCYVALNPQLNGISGKFFSDSNVVEPSSQAKDTDLAKKLWDFSLKLIK >RHN55152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15610688:15619324:-1 gene:gene30288 transcript:rna30288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MVVRGKRSKRAQGRGRSDPPQPSTSLGVKNDKNRIQKKGPVKGFDALKNKQKFAPFNSEQNDEDNNYNDGEDEEMQFVNIYQQQLQATVANNSEICIEAGGGSHVETDRQKVISLEGASNPGRRITRGQGRGHSDLPGPSQPCIDPPPQPVHTSVSTGVKNDKNRMQKKGRGKGVDPLNKKQKSTALNSEQNEHCDNNGEDEELQLIIDKVCQSKLHKQEATDANNVEQLIGSNFGLSNNARSSSVGYPVEADTRKVISVEGISNPGIGTKRGRGRGRSDLPGRSQLCTDPPPPPLPQAAAHTSVTPGVKNDKNTNKKKGRGKGVDTLTNKQKSTAFNSVQNDEHYANNVGEDEELELITEKMIQPQLHKQQATNANNVEKYIVSNFGPHNVRSSSVGYNVETDTREVISVEGISNPAAIRGRGIRRGQGRGCSDPRRPSQSCIDPPPPPAHTSVSTGVQNNNNRVQRKGLSKGFDALNNKQKATAFNSGHNVEYDDYSDGEDEAQLMEKIYQRQLLKQQTAIANNVKKCIEDSFGLSHNVRPSSGGSHIEADRRKVVSVEGISNPGRGIKRGRGRGRSDPPGPSQSCIDSPAAAAHTLVSPGAAADCDSWQNAHPTFFHRGDTSATKSMKNDRNKIPKEGLVKGLTALNNKQKFTAVSSGESDEYSDYDDGEDEDPDYDDGGDKEMELIGEKSIEQQSLRQQVADTNNVKQCNGGNSGVSNHGSMDSASSDSDPHPAHPPGNDPTSLLFCGRSGVGIDRRKVISIEDNSNPRRFVPQSVTREIISTVIARMPTAAAKWKDYPIEMKDELFREFMGKYNFASDSERNIARIVWNRTCMDRYPDHLKNARKTALRQVNSTNLADTKGHGPKGLKPEVWNGLVDIWLKPEWTKKSDANRCNRAAKPDSALHTGGSISFREHKKRMEEEMKQEVSYRDVYAHVHKSKDGGYISRRSKKLIDLYDTMMLEKYGEDFSMHPEFDSEVWAEVSGMNKKRRAYEAPSLVIGNPGPRYTSHADAGGPSHTAAGPFRTVAPTEEYIKEAVNTAMTSFVQTQLAPMLQPIFSMIGSSMRQALSKGRVAEKDSD >RHN51206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15056048:15056916:1 gene:gene35597 transcript:rna35597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MTEARWLNENYIPTTEEYMRVSRTSCCYSLLILASYIGMGDKVTENIFKWVTNEPKIVNGAANICRLMDEIVSTEFEQKRGHVCSLLDCYKKHHGMSREAGIQECQKGVAIAWKDINRDCLRPTEVPMDFLTRALNFSRFMDVFYTDKDNYTHAEGLMKTYIKNVMVDPIPI >RHN39750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10249529:10251106:-1 gene:gene45812 transcript:rna45812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MEYQQQSRTTPKQELPPLVAMFPTPGMGHLIPMVEFAKRLSKHNLPITFIIPNDGPPSKAQTTVLTSLPHGISHVFLPPVTLSDLPPNTKPEPLMIATILRSLPSLHQTLLSLMTSHRLSALIIDLFGTDAFDMAAELDIPSYLYFPSTANMLSFAFYFPQLDQKVQGEFRDILEPLNIPGCFAVHGKDLPDPVQDRKDEAYKCFLHQMTRHRLAKGIIENSFFELEPEAITFLQKNEPPVYPVGPLVNEDSINNGSEFDMCFQWLDEQPRGSVIYVNFGSEGILTSAQTDEIAYGLEMSEQRFLWVLRCPKDKVENDSNFIANSNVDPFEFLPNGFTERTKGKGLVLPYWAPQAQVLSHISIGGFVSHCGWNSTLESVVNGVPLIAWPLYAEQKMNAVLLSENVKVAIRPKVGENGLVQREEIASVVKRLMVGEEEQKIRYRMKDLKEAAINALKENGSSTKQICELALKWKGVTIPN >RHN69361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42901334:42902086:1 gene:gene17816 transcript:rna17816 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNSYHLILLMVIIFIPHLLLYPASCFYQPHSAIPPRGLLFEEKNRLGSAPPTCHNKCNQCHPCMAVQVPSHEHVQPGHTASTSSTEGVNFFLQGNNNGYSNYKPLSWKCHCGGNFFNP >RHN54456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9732792:9734057:-1 gene:gene29502 transcript:rna29502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKKIENRSCHKSCIIWLFKYHYSFVNTLTSSPFALFNEPGGNSSNGLKASDVDPRLVFHHGVPSGATKFTCDNIHNILALSTNDGRIKLFGKDNAQVLLESNKLVPSKFLQFIQNQGILINVTSNNHIEVWDIDKKLLSDLYIVKEEITSFAVIQHSLYM >RHN53069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41693021:41693879:1 gene:gene37819 transcript:rna37819 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLYQTRGADHVSLNCSSVQGTWSQGYTAHVTCLCKTCGVF >RHN73102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13472359:13476622:1 gene:gene8882 transcript:rna8882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative importin-alpha, importin-beta-binding domain, importin subunit alpha MSLRPNARTEVRRNRYKVAVDADEGRRRREDNMVEIRKSKREESLLKKRREGLQNQPQFTPLQSSSIVEKKLESLPAMVAGVWSDDNNQQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVQFLVREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLSSPSDDVREQAVWALGNVAGDSPRCRDLVLSHGALIPLLTQLNEQAKLSMLRNATWTLSNFCRGKPQPPFDQVRPALPALERLVFSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCGRLVQLLLHPSPSVLIPALRTVGNIVTGDDAQTQAIIGHGSLPCLLSLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIEAGLIAPLVNLLQNAEFDIKKEAAWALSNATSGGTHEQIKYLVSQGCIKPLCDLLVCPDPRIVTVCLEGLENILKIGEAEKSFGNAGDVNLYAQMIDEAEGLEKIENLQSHDNNEIYEKAVKILETYWLEDEDETLPPGDGSQPGFNFGGNDLPVPSGGFNFG >RHN75540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43137261:43141477:-1 gene:gene11764 transcript:rna11764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MVNQENLLLYEKIWIKRTLQRAIDLLILLLLFSLLCYRIFFIISNKTFTIPWFLAFSCESWFTYTWIILLNTKWSPAVTKTYPNRLLQRLPENELPCVDLFVTTADPVLEPPIITLNTVLSLLALDYPANKLACYVSDDGCSVFTFYGLLEASKFAKFWVPFCKKYNIQVRAPFRYFSQVTNSDDDSAEFKQEWLKMKDMYDNLSHKIEDVTRNSTSFQFEGEYAVFLNTEKRNHPSIVKVILENYDSLSDGLPHLIYISREKRPKYEHNYKAGAMNVLTRVSGLMTNAPFMLNVDCDMVVNNPKIIQHAMCILMDSKNGKDVAFVQCFQQFYDGIKDDPFGNQWVASFEYIIKGMGGLQGPFYGGTNTFHRRNAIYGLYPDEIQYGRKGKITEKMLIQQFGSSKEFVKSVTHAFEGSGNSIDGISPSNLLDKAIQVSDCGYEYGTSWGKQMCWLYGSISEDVPTGLNMQRKGWRSECCTPEPTAFMGCAPGGLLTTMIQQKRWSSGLTVVFFSKHSPVMCTLFGKIQFRAGLSYCWLTNWGLRSVFEVSYAALVAYCIITNTSIFPKGLGLWIPLTLFVIYTIHTLQEYLSKGLSLRFWWNNQRMITMRSTSVWFIGFLSAMLKLLGISDTIFEVTQKESPTSGVIGDDANAGRFTFDESPAFVVGTTILLVQLTALVVKILGVQLVVHSGNGCGLGELMCSVYLVVCYWPFLKGLFARGKYGIPLSTIFKSALLTFIFVHFSRIAVVS >RHN78035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11424801:11426864:-1 gene:gene1549 transcript:rna1549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSTLCPRQNKSITFLNTHCHNTLPPIKLTTMSSPIGTIVFSTIGRAQYGFDVFYTNLKNHSNHDHCLTDGISINFNAQFTDDDQKTIVFVSERTGSPRFYLSKLGNEPELLPSPLNAVFLDRPTIRNGKLYFVSTHEQPNELFKSWTAVYSTAINSAGTSTFTRLTPYGVVDYSPAVSLTGSLIAVASYGTRRWNGEIRELETEIVVFEESVPENRVVVSERGGWPTWLGDSTLFFHKIAEDGWWSIFRIDLPDTNLNGFPVPSVRVTPPGLHCFTPVAMHDGNHIALATRRRESDFRHIEIFNLETQTFQKITETINPNFHHYNPFISRDSCYLGYHRFRGESSQGESTYPHLDPVKSLDPNIQLLRLNGSFPSFSPNGDFIAFNHGFDVRNNSGVKIIKSNGSKRWNLIKGRMCFGHAWSATEKNIIYTTIGPIFQLVSKTVQIARIEFDSVHLTNDQEEIPYTLKILTKDDSGNNAFPSCSPDGKFVVFRSGRSGFKNLYIVDAVNGEFDGGLRRLTEGEWIDTMPCWSPKGDLIAFSSNRHDGGNSQAFGIYVVRVDGSGLRRVEVGKGVDGEREMLNHVRFSGDGEWLLFTGNLVGLTAEPASIPNQYQPYGDLFVVKLDGSGLRRLTCNAYENGTPAWHYGDLLLSNDDDDDDDDDDGDACDWDKLKGAFEESLWITTSDN >RHN75275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40847275:40847742:-1 gene:gene11451 transcript:rna11451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDHFTSNKIRKKCFVDFIDRVLLHLLSSEDIQSFSLALARTYDSSYINNLISVVLSYRIKKLYVDLQKELTVSSYALFKCKSLEELMLNGCAVSLPSLVCFSSLTILKLSRITITCDSSNKSKTLALNFPAIRKYETLDCTWSGVNSVTLRVPLL >RHN56792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33779416:33779716:1 gene:gene32245 transcript:rna32245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSPMSPNSVSHKCYPCKWDVSIFALVTCHHFLLCIMCVRQLANSIENRHCMIAQQLMLVTQATEAVLRF >RHN82744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56058212:56062926:-1 gene:gene6928 transcript:rna6928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative U4/U6 small nuclear ribonucleoprotein Prp3 MQKLLSAKLKKIPQLNKSSTSNSEESTQFGNKTESTAPSLSAGMASRPATSASAGPVANMSIFPAAAVNPPASGTGAPGVATATNFEAVRRAQELAARMGFRHDPQFAPLINMFPGQIATPDVTIPQKPTKAPVLRLDAQGREIDEHGNVVNVTKPSNLSTLKVNINKQKKDAFEILKPVLDVDPESNPHFDERMGIDKTKLLRPKRMNFLFVEEGKWSRDAESIKLKSKFGEAQAKEQKAKQAQLAKAKAAPDINPNLIEITERVVMKAKLKDRIPDIEWWDVALLHSGNYGDIANGTIDEGNIKMEKINFYVEHPRPIEPPAEPAPPPPQPLKLTKQEQKKLRTQRRIAKEKERQEMIRQGVIEPPKPKVKMSNLHKVLGTEATQDPTRLEKEVRNAAAEREQAHIDRNIARKLTPAELREKKERKLFDDPNSLDTLVSLYRVNDLSHPKARFRVDVNAQENRLTGCAVICDGISVVVVEGGSKSIKRYGKLMLRRINWSDVSKEKEDDEDSDDEKPANKCVLVWQGSVAKSSFNRFSVHDCITEAAARKVFVDAGVPHYWDQAVNYTEDDAV >RHN39572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8339158:8339694:1 gene:gene45609 transcript:rna45609 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIMGLYTSTSILRHHCILRHHKPGYTNYRDSPQVTNNIEVYISKMVQVEVIIQSLVNERLDPNIQQKRKQGTKAAALEDVSCAGLDGTLGLKKKKKSGAKRNRMKITNNTIKHHKASPISEFKFAYTRKPVERVMHGTADAKHDRDVIGWLPEQL >RHN57379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38520896:38526302:1 gene:gene32914 transcript:rna32914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDFELRRAREKIEKEQKERKEKARLKLQKEKKAKEEARIQREAIEAAQRSRRIDAAEAQLKADQQMQENLIVGRGIVFYRLLEAVPYQGSGDKIKLPPSCFTDLSDHGALDKGPMYFQLSLTHKEGSSSTQDTDKEKMGTTHSGVLEFTADEGSVGLPPHVWNNLFSEGCIMESPLIEVRYVWLPKGTYAKLQPERGGFSDLPNHKAILETSLRQHATLSQGDIFTVNYGKLEHKLRVLELKPSSSVSVLETDIEVDIVDPIDFSEQTDQHVLIPTVFGTSQTGTVDEGKFVYYKFSIDNGTWERISSGSSIIEVKLESETNEGDTDLFISRHPLIFPTRHQHEWSSHDIGSKTLILSSKDKNLGSGTYSIGVNGFKGLTKYKLSVLIQDNFNQKLGQQASSSMSSMGLDTEQCRNCKHYIPSRTIALHEAYCSRHNVTCQHEGCGVVLRIEESKNHIHCGRCGQAFQQAELEKHMKVFHEPLQCPCGIVLEKEKMVEHQASVCPLRLISCRFCGDMVPAGSSAMDVRDRLRGLSEHESVCGSRTAPCDSCGRSVMLKEMDIHQIAVHQKG >RHN59390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10175650:10176105:1 gene:gene21416 transcript:rna21416 gene_biotype:protein_coding transcript_biotype:protein_coding MEQITAYANICGKRQLYVSLVWIVFHAYLLSSISLHLHKFFFHLKPKINIVRGITVAQTFNALQLTYSIVSMDEKKNSKFNRKRKKKQYKQTAGKSAGKAIGKTAVTAEIPAEFTKETLKSYSPIVPQRNLQQNSSYLRIFLWLMNSQETS >RHN40879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23624342:23624955:-1 gene:gene47122 transcript:rna47122 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEYPPIGSCLLRFLEVNTQFLAQLSIFSHYVSLFRNWSID >RHN61147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33457364:33459816:-1 gene:gene23581 transcript:rna23581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIMRKNMVQILSFVHALILFLSLFLVAKVRTPCVSDDDCLEAFLPPIWKCVHNFCENI >RHN71593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1219939:1221516:-1 gene:gene7208 transcript:rna7208 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMKMKMKKTVFAMMVLFVIFSQMDSVVPDAFDCLDGCQTGCVQRDSRLTARCERKCSIRCGPDSMFEKGMD >RHN71920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3597549:3599961:1 gene:gene7572 transcript:rna7572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MGLSSKQVSSRGVDWNQTLLQDQNLELPKTLPMRKQQQQNHQLEAVNCPRCDSTNTKFCYYNNYNKSQPRHFCRACKRHWTKGGTLRNVPVGGVRKNKRVKISTSISTTSKTTISTSFTTTSNANRLNKKNTPSSMHESLIHPPSFLPPQNLISTKDQSEGNNEEFGIGNGMFLSSSMPFHQNQSFIFPFSNSSTLRSNNGYNYGDEEFKIMEESTINNSAMPSTSGSGTITQPWEIPATSSGMDMSNYWSWEDIDSLVSTDLNVPWDDSDIKP >RHN81409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45660892:45662651:-1 gene:gene5447 transcript:rna5447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MALACKSVSQDGIASHFFHRTVKAYSTGSRKRRRICGGKEFSYVRWHKTGKTKPILLNGVQKGCKKIMVLYMFPENGGKGAKTNWVMHQYHLGTEEDEKEGGHVISIVFYQQQQAKLGEKDDQDVRETAEATIANVALITPNFVTTEPPLITPNFVDQAQETHHIPQILENLPWLEEWLLNSEDDCEEMDNIDLPLNLLDSQQLPETFSWFEDFIQSQSPKRHRENDEQKNQPSLSAYAHLGPEQLKKDKEECQNLDHTGNVQLDSESSDIRLSQQEFSSQELYRLLGW >RHN72858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11286191:11290794:1 gene:gene8620 transcript:rna8620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MPAKNNRKKNSRDPFFDDGPTKRRRVAADEDQDAEIESDSDFDEDGFVPSKGEGEQESEEETTAEARKRLAEEYLRKFKDSARREKEQRDEDGDGSDDDDDEDEDGVRDSLSTKMIKEQQEESGRIRKAIASRVKLDGDGGFENLVKHKQCVTAVALSEDDSKGFSASKDGSIVQWDVESGKCERYKWPIDSVLKSHGLKDPQGSAAKQSRQILTLAASSDGRYLATGGLDRHIHIWDTRTREHLQAFPGHRGPVSSLAFREGSTELFSGSFDRTVKIWNVEDRTYMNTLFGHQSEVLSIDCLRKERVLSAGRDRSMQLFKVHEESRLVFRAPASSLECCCFVNNEEFLSGSDDGSIELWGAVRKKPIHILRNAHALLTDSKKSDQNDSERLPNGNLENGHHHPENHHCSSVHSWVSAVTVCRNSDLAASGAGNGFVRLWTIENETKDIKPLHNVPLVGFVNSLAFAKSAKFLVAGVGQEPRLGRWGRIREARNGVSILPLKLS >RHN50496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7646140:7651346:1 gene:gene34786 transcript:rna34786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGGQSSKEDNNLRRDSLRSSSSASASSSWNSYPDPHSGYGQGGYPPYTYDSQQPTYESQQPSYQPYYDARPPPPPQNYGYEPQTSGRVPRHDYQRKLDRKYSRIADNYNSIDEVTEALARAGLESSNLILGIDFTKSNEWTGKHSFNRKSLHHIGNGPNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPDERICNGFEEVLSRYREIVPNIKLAGPTSFAPIVETAMTIVEQSGGQYHVLVIIADGQVTRSIDTEHGRLSPQEQKTVDAIVEASKFPLSIILVGVGDGPWDMMKEFDDNMPARAFDNFQFVNFTELMSKNIPPSRKEAAFALAALMEIPSQYKAAIELNLLGSRKANAPNRVALPTPSYGSASFGTSKPYGSASFGPSKPSPHAASFERSAPSYPPSYQDVVKQVGAAPSAPSSTYENQLCPICLSNEKDMAFGCGHQTCCECGQDLQTCPICRSPINTRIKLY >RHN57801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41796105:41796676:-1 gene:gene33389 transcript:rna33389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MIYGRCAACKSQRRRCPSDCIFSPYFPANNPQRFASVHKIYGGGNVGKMLQQLPHYVREQAANTLYLEAQCRIQNPVYGCVGIISKLYQQIHDTEAELAKIQTQIACHKLQNQQYEAGSNFNLLPPQSSSMEQFQWPNQTPNWFN >RHN42012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34756332:34764753:1 gene:gene48397 transcript:rna48397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MATASPPTPTSFLSSTQRYAAAALFGLSLHDSQINQTRILPLPASDDSISNINRISSSSSSSSDSVSDDPHLWVHHHSGLLRPVFKFLDIDSSAWYGLEETAGSPSATHHVGPFMRLLSQESDDNSAESAQRLEQELALSKAVDAIVLEMEKNLQPSNSKRERINEYEHQCREKYSAPDVQSNPEKADVDVNLDNQKETDAAPLINLEDPPQQRSDNSKIDEKPIEEVLMLSDQRKVTVLYELLSACLSDLREDDKECKRRRKGYDARHRVALRLLATWLDVKWTKMEAIETMVTCSAMAIIKEQESNKEEAQGKGSKWAKLKRGGIIGAAAITGGTLLAITGGLAAPAIAAGLGALAPTLGTLIPVIGASGFAAAAGAAGTVAGSVAVAASFGAAGAGLTGSKMARRVGGVDEFDFKPIGENHNQGRLGVEILISGFVFEKEDFIRPWEGLNDNLERYSLQWESKNLIAVSTAIQDWLTSRLAMQLMKQGAMMTVLSTLVTALAWPAVLLAATDFIDSKWTIAINRSNKAGKLLAEVLLKGLQGNRPVTLVGYSLGARVIFSCLQCLAKTENGAELVERVVLLGAPISIRDENWEAARKMVAGRFVNAYSRNDWILGVAFRASLLTKGLAGIEPVDIPGIQNVDVTDHIEGHSSYLWATQHILDQLQLDTCFPVYSGVSCIQSGAEPEIWMKGGQDQKEL >RHN81490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46380210:46383301:1 gene:gene5539 transcript:rna5539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MLVHVCRIHILVGNCCVRKREKESSSLLKTLQLFLTHHSSFPFSSLSLSLSLSPFSSSTPSLSLHNNLTLLHSKNMFVVMDSMEKTSEKCLDSQLWHACAGAMVQMPPLNTKVFYFPQGHAEHAHNKVDFSKTRVPPLIPCRISAMKYMADPETDEVYVKMKLTPLRENELDFEEDCFFGNNGLESQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTIIAKDMHGQCWKFRHIYRGTPRRHLLTTGWSNFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKKGGIGGGTDQFSNSSSTWNRVSPLFGGVGSGFLCGNDNRKNGCDDLMGKVGAESVVEAVNCAVNGRSFEVVYYPRASTPEFCVKVSSVKSAMQIQWCSGMRFKMPFETEDSSRISWFMGTISSVHVQDPIRWPDSPWRLLQVVWDEPDLLQNVKCVNPWLVELVSNMPNFNLSPFTPPRKKPRFIQDPYFHLMNQSSSPSISNINLLNYTKSSLCNIQDTTTNSSFASSIQGARHAQFGPNYNNPSDLIPFNKLLQQDMFLGNLSLSRFNQQQPITRPPYGPYKSINNNNNTKTNVDLSCLLSVGNSGQSFKESNIEAKAPHNHNHILLFGKIIHTEQNSSNISKSDSVSEGTSLKTSNASSSDPVENSSDGGSPWYKDQQHKSDLVGTENVTTLCMAS >RHN75081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39229919:39231654:-1 gene:gene11233 transcript:rna11233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MNVFTHFLITFTCGFLQNVVSNANPLSYEAFFNFGDSISDTGNAASILLPMPNPIPYGSSYFKHPSGRMSNGRLIIDFIAEAYGLPFLPAYENKSIDQDIKKGVNFAFAGATVLNVEYYVKNGLPLPDTNNSLSIQLGWFKNIKPLLCKSKEDCNIYFKKSLFIVGEIGGNDIMKHMKNKTVTELREIVPFMVEAITNTTNVLIEEGAVELVVPGNFPMGCSAGMLTLVNSNKKEDYDEFGCLIAYNNLVEYFNGQLKNSIETLRQKHPEVKIIYFDYYNDAKRLYQTPQQYGFDKDAIFKACCGGCGSLVATVCSDPSKRINWDGPHFTEAAYKLIAKGLVEGPFSNPSLKSPLFKIA >RHN62760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45680649:45687132:1 gene:gene25367 transcript:rna25367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MKRIICNSLQGLKPVVLMVVCQIAFAAVNIMYKLVINDGMSMRVATAYRLSFAAAFTVPLAVYFDRKKKPKITWKVLYMTFLCGLFGGSLLLNLYGMGLTFISATFMMSLYNLIPGVTFIMAISFGLEKLNWGLAEGKAKVIGTIISISGAMVMIFYRGVEIDIWTSNINLMHPHHNQNGLMEPLHADNKLLGIPCAIGSCCSFSLWLIIQAKLNEEFPEHNSCSALVCTMAAIQAIVFALCIDRDWNQWKLGYDIRLLTIAYSGIVASGLVIVVISWCIKMRGPIFTSAFNPLQLLLVAISAYILLDEKLYLGSVFGAVLIVCGIYAVLWSKNKEMKKKNKSSSLEINMENKPEFVIPSPNK >RHN81026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42720402:42721829:1 gene:gene5020 transcript:rna5020 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQKKSKEFFFNYIAIYTPTRIFHLLTITILTLLLPLSFLLLASLSGAKFYLQIHSQQPLPYLFSFAIHTSPCILYVLVSIVSVATLINGLMGKINLMNDSSNSILLQTRLYMSWKWILLFTFQICVGLGIEASIAAGVFDSDNDVFDDGSSSFGVERSFISRMIFLLGLHETTQIWSRVVVRPVVDDTIFGVGKTERLWIEKVVVAGSLGTLWWWKLREEVENLGVMAETKKDEMMEVGIEEFVGWWLYYLTVTIGMVRIVKGLMWIFMISLCRRRVTQINEVELELSQNDDKV >RHN55362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17668895:17670614:-1 gene:gene30532 transcript:rna30532 gene_biotype:protein_coding transcript_biotype:protein_coding MVISATTFTSQNNNNNLRGGSFSSYLNNKEVKDPFADSIHPFISNRKEPLHLGVKKEEDGEIGVFDAEKYFNGVEIETPRIATLDANKLKYLKNQQENRKCKVQYGTPSVGSESSLNSQSALLQTTLKNSTSSNSRKNQVHRKSLLATLGCKCSCYDKNSVDVSDHAGEISFNKSAVQGKTTSEKLFKHDQDASDHSIKNKKTETRATSELLMNKDVYLQKQEKVSPYKMQLQQVDNPRKTLEVFGSPILDERCKSISFDMRLKIPSWEAASKLEEQEIDFSTNCDDDDDDVGSDASSDLFEIESFTGNKTSSFLSRTTTSHVASGCTSPNFYAPSEASIEWSVVTASAVDYSAVSDHEDQGSVVTIRSPIRTSFNSLNGKHKINKEMPRRRPGKLLGCNSQKAVGVAGDAFTATNEKHGTNAKMLPQMTRFKVESFGARNEQHGYGKPPLRRSNSPHGAQLLYI >RHN49542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55532408:55535766:-1 gene:gene44384 transcript:rna44384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DOMON domain, cytochrome b561/ferric reductase transmembrane MAKLRSLVQIWPSFVRICMLNLIFNFKTIALGDDTASSESTCKNTNFQIFLPPPYQNISSPICKPVWHTYELRYTKNGDTTTIILSAPYTVGWVGIGFSRDGKMVGSSAMVGWINKHGHAKIKQYYLRGNKSSEVIANKGELPLNNVPAAVATNGAEIYLAFQLQTTIPFGKQPILLAFSTKHPHEHHLSKHDDKTTIIFDFSSGAKSGSMDPASNSLIQMRKNHGIIGIIGWGLILPVGAIVARYFKHKEPLWFYLHSIIQFVGFAFGLATVLLGLQLYSQMHVHIPAHRGIGIFVLVLSILQILAFFLRPNRDSKFRKMWNLYHSWFGRMALFFAALNIVLGMQAAGATNAWKTSYGFLAGIIIVVVIVLEILAYLKRSEKRSLPPNFQMDPLGEEIFPRNNQTKGPG >RHN47051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36799393:36809760:-1 gene:gene41604 transcript:rna41604 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTIANLKENLNQIALDVHNDDDDEDDIVSYAIPNDGESPSVSDRRNSRGSTRSNSIPRSPIPNGIADHPYSSEIEQYRAEIKRLQASEAEIKALSVNYAALLKEKEDHIIRLNKENGSLKQNLEATSPASSNGNHRVKGSSDQSSNRQHRSATQMKNRYTTNNGTMSNLESNAIPSKMVSNHSNLQVKDKELADLVEGKNSPTAAAQVQHTHDTRKLKLELEQERDKLENIQLQFQEEQKLNKSFQEELKLLKLERDKTTTEVRQLHKELNEKVSEIKRLQLELTRQRSKEASNAMDSSKRLIETLEKENTTLKMEKSELEAAVKASSASDLSDPSKSFPGKEDMEISLQKMSNDLKKTQQERDKAVQELTRLKQHLLEKENEESEKMDEDTKVIEELRDSNNYLRAQISHLERALEQATSDQEKLKSANNSEILTSREVIDDLNKKLTNCISTIDAKNIELINLQTALGQYYAEIEAKEHLEEELARAREETANLSQLLKDADSRVDILSGEKEEILAKLSQSEKVQSEWRSRVSKLEEENAKLRRALEQSMTRLNRMSVDSDFLVDRRIVIKLLITYFQRNHSKEVLDLMVRMLGFSNEDKQRIGLAQQGPGKGVVRGVLGLPGRLVGGILGGSSTESAVNVGSDNQSFADMWVDFLLKETEKRELSGSTGESMGDLRDNSTSTNSASSPLSSQRFSTGAASISSPTNNQNTSPISHGYFQHSEQIGSEFSTVPLTYSDSKTTSSKLFPRH >RHN43134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43465038:43474522:1 gene:gene49665 transcript:rna49665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAD/NAD(P)-binding domain, GidA associated domain 3 MSTVPFTLHFSRFNRNLRKTLFPTSSITLFYPPSLNFRLTTRRKISFSASTFSRRFSTVTSASSSRDLDYEFGTEKYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGAPAKSQLVHEVDALGGDIGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYALVMKNVVESTPNLSIREAMVTDILLGKNDNVEGVCTFFGMKFYAPSVILTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQQLGFETDRLKTGTPARVDIRTVDFSGLESQPGDEEVSWFSFDPDVHIEREQMCCYLTRTTSATHQIIRDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRDVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDYLPAHQCSRSLMTKKIEGLFFSGQINGTTGYEEAAAQGIISGINAARHADGKSLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREIGLIDDRRWKLYQEKQARISEEKKRLKSVKISGGDLAAEITVMSGQPVKNSSTLESLLKKPHIQYGLLDKHGFGDKNLSRMEKECVEIDIKYEGFILRQQSQLQQMVTQQHKPLPEDLDYYAMINLSLEAREKLSKVRPQTIGQASRVGGVSPADITALLIELEAKRRKAQGQRRYKMINAIEANTQDEEPEVSLTETARSG >RHN48828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50531094:50531285:-1 gene:gene43586 transcript:rna43586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MRQFMSHFTYKIPSVGEDKLKECSPFPAQAHINPMLKLAKLLHFKGGFHVTFVHTEYNHKRET >RHN61612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36823251:36824683:-1 gene:gene24095 transcript:rna24095 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYYCKPRANDTHTSNVVLFLAVALILLVIPKLFSSEPEEEVEVESGSSPFVLPILVLLMVLLVSWLGSSSKRVCAKPRYCYCTHACYCYR >RHN51970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29357418:29357849:-1 gene:gene36557 transcript:rna36557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kinesin motor domain-containing protein MCDVVYNDYLTSHTVQGQYFDDSEPIFPGNKPTSRSWGLHESNVLRSLSADKERANNVAKIKVAVRKRPLNKKEIAKKEEDIITIDSNFLTVCERKLKVST >RHN39414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6945148:6945551:-1 gene:gene45435 transcript:rna45435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase response regulator and transcription factor RR-A-type family MLGLKRNDPIPNPPYDYILMDCQMPVMDGFEATRRIREIEKPFGVRIPIIALSADIDRSTSVTGMDFYIEKPLRKEHLHEAIRILNGKE >RHN51378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16971375:16973025:1 gene:gene35808 transcript:rna35808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MRDLKYIDDDLYESSSKRAFISLKYLTLRGLPNLERMLKAEGVEMLPQLSYLRIASVPKLALPSLPSLETLDSGGINIELWKLLFDCRWIEVVDLFPKGIVCNMHKLKSLFIIHFKNLKVLPDDLCYLSALEELRISNCDELESFSMHAMQGLISLRVLTIQQCDKLISLTEGMGELACLERLEISFCPRLVLPSNMNKLTSLRQGSFRCFSGNSRILQGLEDIPSLQNLSLAHFHYLPESLGAMTSLQRLEIFSCANVMSLPNSFQNLTNLHTLLIVGCPMLEKRCKKGTGEDWHKISHVPELELTEAELHFRNNYSHWKKEVHLLHRNAEPYYMSSEDEFNTIVDAF >RHN55498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19060360:19061450:-1 gene:gene30688 transcript:rna30688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MAKLAISVSFFSLILLALAYSSNAGSIAIYWGQNGNEGTLAQTCATGNFEYVILAFLPTFGNGQKPMINLAGHCDPYSNTCTKLTSDIKSCQAKGIKVLLSIGGAAGGYSLVSSQDAKQVATYLWNNFLGGQSPSRPLGPAVLDGIDFDIEGGTNLYWDDLARYLKGYSKKLYLSAAPQCPFPDAWIGKALKTGLFDCVWVQFYNNPPCQYSGGAISNLEDAWKQWISDIPAKKIFLGLPASPQAAGSGFISATDLTSKVLPAIKDSSKYGGVMLWSRYYDDQSGYSSSIKNHV >RHN44742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8035412:8040793:1 gene:gene38901 transcript:rna38901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L25/L23 MAPPKGENAKKADPKAKALKTAKAVKSGQVIKKKTKKIRTTVTFHRPKTLSRERNPKYPRISATPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDLRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >RHN45179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12658484:12659001:-1 gene:gene39404 transcript:rna39404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVETLKFVHVLSVFLSIFLAMIVSTSSFPFMPIPCLTDKDCPKKNGYVGKCRKGYCVGI >RHN76145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47987598:48000830:1 gene:gene12427 transcript:rna12427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthine dehydrogenase MGSLKKMDSVERDLKNDSPILYVNGIRRVLPHDLAHFTLLEYLRDIGLTGTKLGCGEGGCGACTVMVSHYDTNLRKTLHYAINACLAPLYSVEGMHVITVEGLGSCRLGLHPIQESLARTHGSQCGFCTPGFVMSMYALLRSSQTPPSEEQIEACLAGNLCRCTGYRAILDAFRVFAKTNNMLYTGVSSTGLQEGQSVCPSTGKPCSCNLDSVNDKCVESVDRHKPTSYNEVDGTKYTEKELIFPPELLLRKPTFLNLTGFGGLMWYRPLTLQHVLDLKAKYPDAKLLVGNTEVGIEMRLKRMQYQVLVSVMHVPELNILEVTDDGIEIGAAMRLSILLNFFRKVVTERAAHETSSCKAFIEQLKWFAGSQIRNVSSIGGNICTASPISDLNPLWMATRAKFRIIDSKGNIKTVPAENFFLGYRKVDLASDEILLSVFLPWNRTFEFVKEFKQSHRRDDDIAIVNAGIRVHLKEHSENWVVADASIVYGGVAPCSLSAIKTKEFLIGKIWDQDMLQNALKILQKDIVLKEDAPGGMVEFRKSLTLSFFFKFFLWVSHQMDGIKESIPTSHLSAVHSVHRPPATGSQDYEIMKHGTSVGFPEVHQSSRLQVTGEALYADDTPMPPNGLHAALVLSRKPHARILSIDDSVARSSPGFVGLFLAKDIPGDNMIGAVVADEELFAVEYITCVGQVIGVAVADTHENAKTAARKVHVEYEELPAILSIQDAINARSFHPNTEKHMRKGDVDHCFQSGKCDRIIEGEVQIGGQEHFYLEPHGSLVWTVDGGNEVHMISSTQAPQKHQKYISHVLGLPMSKVVCKTKRIGGGFGGKETRSAFIAAAVSVPSYLLNRPVKIILDRDVDMMITGQRHSFLGKYKVGFTNEGKVLALDLEIYNNAGNSLDLSLAILERAMFHSDNVYEIPNVRITGRVCFTNFPSNTAFRGFGGPQGMLITENWIQRIAVELDMSPEVIKEINFQGEGSILHYGQILEHCPLSQLWNELKLSCDFVKTREEVDKFNAHNRWRKRGIAMIPTKFGISFTTKFMNQAGALVNVYTDGTVLVTHGGVEMGQGLHTKVAQIAASAFNIPLSSVFISETSTDKVPNSSPTAASASSDMYGGAVLDACEQIKARMEPIASRHNFASFAELVNACYMERIDLSAHGFYITPDINFDWITGKGNPFSYFTYGAAFAEVEIDTLTGDFHTRAANIILDLGYSLNPAIDVGQIEGAFIQGLGWVALEELKWGDKAHKWIPSGWLNTCGPGAYKIPSINDVPLKFNVSLLKGHPNVKAIHSSKAVGEPPFFLASAVFFAIKDAIRAARAETGCTDWFTLDSPATPERIRMACLDEFTSSFLNSDFHPKLSV >RHN79374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28193404:28194871:1 gene:gene3158 transcript:rna3158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAAEADADQPPYLPDELITKILVRLPVKSLIRFKSVCKLWFSLISDSHFANSHFQLTSSTHTRRILFITGIPEFRSIALDSLFTSDSAPALLNPNFDLPKSHFDLKVIGSCRGFILLECFSNIYVWNPSTGVHRQIPSPPPNYSRLNFYGFGYDESRDDYLVVLVSYDCIPYSHDVLSRIWIFSMRANVCNEIVSPAHLPFYSELSPFAYSVVESVFNGAIHWLAIRHDVCEYVIVSFHLIERILLEIPLPDDHDDDIEYGSTDCSLWVFRGFLSLWVMRDEDKVDIWVMKEYKVQSSWSKTLVFDLHTISYISLVCCTKSGDIVGTDGCTGLVRYDNEGEFLEHNDYCKDSENGFGLVVYTESLLSLPSDIEQA >RHN53527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2287225:2288091:-1 gene:gene28443 transcript:rna28443 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQKLSQEEKENKFSVSSNYSNNTVWDCGSTLYDSFELNSFKNQLDSAIASSNILNRTLSMSHLPERQVTVLQKSSSSSSSYSSSVMSKKSYKISRSFQNFIRSVFKSGNKKSDLSSSITGTSSSNSFKMQEKYSKECLYMVYDKSGPVLSTIPEVPEFEIGVLSPEVFSLVKRSASDRFTTTTIGIACV >RHN54254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8084244:8084966:-1 gene:gene29257 transcript:rna29257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, SWIM-type MPDFNRAMDKLKSLSQAAWDEMRQYPPGMWSRAGYHTHTCCDLQVNNMCEAFNSSIIELREQPIISLIEGLKFYITNRIVRLRDYMLRYSGDICPMIKKILDKAKKDANNWSPTWHGDREYAHFSVSDGSDMYVINVKERTCSCRRWELSGIPCPHAVAAIYYNSQNPDEYVAHWYSKLSWIHMIILSCLQMDQSYGQK >RHN74502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34013518:34014454:1 gene:gene10587 transcript:rna10587 gene_biotype:protein_coding transcript_biotype:protein_coding MQISLMLLQMLLLMKAFLILRKMVKDLPLSLKLVLLKSNMVIWLLYFSNHLWFLKHLPQVLLAPIILLLLFHLSPQDMKSQKMIGLGNICDGLYKLNTASCNQKSFVSSSTSLCAPVSLNSCNSVCSSVAISFIPSNAI >RHN66236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9956614:9957120:-1 gene:gene14180 transcript:rna14180 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKYVDICVFKRRFYLVDNTGRTVTIESDSRVQLVDNPFIHGDIKLLVESEGELLLVNIYENLKTFDVFCLDEKNKKWVKLTSLGDRVLFFVNKCSFSASALDMCVTKGNCVVFIDDDASKLHENYVYLLDRSQLSSVSDYRKCFNYVSDGLEYLSLFSAPEWIVKS >RHN44076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1465755:1466015:-1 gene:gene38133 transcript:rna38133 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTLPPLLVMEVMSLLTFVTGKPAMDLSPTNGATAPDCTEEARLTVVTAEEDIIEEAMFFSLTLFTSKIYFCLNCKAYTQTCFI >RHN51732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23690514:23691771:-1 gene:gene36241 transcript:rna36241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABA/WDS induced protein MAEEKHHHHFHHEDKSGYVEEVDYRKEEKHHKHLEHLGELGTAAAGVYAMHEAKKDPEHAHKHKIEEEIAAAAAVGSGGFVFHEHHEKKEAKKEDEEAHGKKHHHLF >RHN43012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42542283:42548277:-1 gene:gene49531 transcript:rna49531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, sigma-54 interaction domain, ATP-binding site 1 MFWRERERENKEHNGGVLCGQVRVLVVGDSGVGKSSLVHLIVKGSPIARPSQTIGCTVDVKHTTYGNSGSSSSSLKGDSERDFFVELWDVSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKTSLQKWAAEIAATGTFSAPLGSGGPGGLPVPYIVIGNKADIVAKEGARGSSGNLVDVARQWVEKQGLLPSSEELPLTESFPGGGGLIAAAKEARYDKEAVIKFFRMLIRRRYFSDEIPAPTWSIPSPQRSSQRIDEISSQRIDESFIDDDQSYNAGRSSDPYKYNMLPPLPAQRNLTPPPTLYPQQPVSVSESYSYPRFSLSGSSEMSASSRTKRSDINV >RHN56387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30486528:30486800:-1 gene:gene31779 transcript:rna31779 gene_biotype:protein_coding transcript_biotype:protein_coding MTISDEILNPNVAHDLEILQQHLSKGNDSRNTVPRVYTDEEDREAAIIYLKNRSAATEEPFTEVVSKATKKKKQKGFQVHNTRSKGRLLD >RHN39597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8568501:8569327:1 gene:gene45636 transcript:rna45636 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSILESLIEALLKNLWIFSISSLLLTFSVFYSVLYMLFVIKSKFSMRLRPKRTCYRVVCFGGFLMQKVCNLFLFLRVDLT >RHN44956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10270026:10271754:1 gene:gene39144 transcript:rna39144 gene_biotype:protein_coding transcript_biotype:protein_coding MGADWGPVIVAVALFIVLSPGLLFQFPARFRCVEFGNMSTSGISILIHAIIYFCILTILIVAIGIHVHFN >RHN67846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30818858:30825904:1 gene:gene16089 transcript:rna16089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEKVLNKAIEKSRNIFCFTCIVKEFNEEKDKLEEGRATMKEQIQVATNKGKGIKANARLWEEHARKLIQENTETKQSCFFGFCPDCIWRYKRGEELTTKIKEIKDLMVKGEKFENVELDRPLPGVERYSSQYYISFKSRELKCEELLAALRDESNYITGLHGMGGTGKTTLAKEVGKQLKTLEQFDHVIDTTVSVTPVIKKIQDDIAGPLGLKWEDTTKSDRPKKLWSRLTNGEKILLILDDVWRNLNFDDIGIPNRDNHKGCKLLITTRNLRVYNQMVCENTIELDPLNEEEAWTMFKLHADLTDISPKSILDKGRKIATECKCLPVAIATVASSLKGQECREEWDVCLQTLQKPLSKGSVHNDLVYIYKCLKFSYDFLKDKKAEGLFLLCSTFPEDEEISTEVLTRLGIGVGLFEDVYGSYEDARSQAVASKKKLLDSCLLLETEKGDVKMHDLVREVAQLIAKNEIKIVNFSNKSQKSLVESDKKIKYLICEGDLRDLFAFKFDGSELEILIGNMHMEDILHIPISFFENIPRLRVLNLSCHNSYHHPLSLPQSMKPLSNIRSLSFESVDLGDISGFGGLQSLETLELTKCTIDELPREIEKLENFRLLELKNCKVRNNDPFEVIQRCTSLEELYFMNSFNDSCKEITLPTLQRYFLKDVTGYAYMMKYTISRGVSFEWDYLSEATFKYVMETAEVLHLKGTNKGWRNLMPGILPIDNGMNNLIELVLKNCHQVQCLVDTKHNNSEVPSVFSKLVVLKLKEMKNLEELCNGPIFSDSMNNLEELTIQKCGILRSLFKCSINFCNLKNVTIYSCPMLVSVFDLSTSRGLPLLEKLRIDDCEQLENIITTECEEIVDGDNDNNDSYNSMFPNLKFLNIIRCPKLQFVLPCYYAGDFLLLESIWIQNCDELEYIFGQHQDVQLASLKELKLDHVPIFIDIFPKPSFNRYLAQMSYIYRQTFFFYQLFFGQTAQTHCILLFLFLFFA >RHN51759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24604338:24607679:-1 gene:gene36277 transcript:rna36277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP synthase, F1 beta subunit MASRRLVSLIRSFLRRSSSKPSITASTTRLTSQSRVSPYGYLLNRVADYAIVAAAAPAPFAFPAKEEVSRDDQSKYVLCFAGALTSTWRSSFVGLIQ >RHN46485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32197036:32201242:1 gene:gene40976 transcript:rna40976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative copper chaperone SCO1/SenC, thioredoxin-like protein MASMISTKTNLFRYASRSLFSHLLRNPTPTSSLLSPSLSRHLSHQPRQVEKQVYGNGSLMLHQRFLSSTDNHDKSPPPPNKPPSDSNSSNSDSDSGQGKESGGEQKQNSDYQKSLRGSPVAWLSFVFLILTGGGLVYYYDKEKKRHIEDIQNVSEAVKQGPSAGKAAIGGPFELTNHHGKRVTDKDFMGKWTLMYFGFTHCPDICPEELQKLVAAVDKIKEKSGIETVPVFISVDPERDTVEQVAEYVKEFHPKLIGLTGSPDEIKSVARAYRVYYMKTAEEDSDYLVDHSIVIYLMAPDMGFVKFFGKNNDVDSLADGVIKEIKPYIK >RHN39643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9038469:9040161:1 gene:gene45685 transcript:rna45685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MLLGLQTKSKTSVGLCSSAYAHGSTLWGKGSYVHDYVVEIRIVRPSDYEDGYAKVDILNEQNNEDFNAVKVSLGVLGVISQAVAFGQEHEFADITWYPSEHKAIYRVDDRLHVNTSGDGQYDFIPFALALERTIGQ >RHN62910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46721741:46722281:-1 gene:gene25546 transcript:rna25546 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIRPIGYSGTKLPIWNPPVERMVMVEQDTGEFTRGIEVGPIDCGVPSMRVLHKRLEGWVQRSQMGKVRWIL >RHN68414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35303419:35305742:1 gene:gene16764 transcript:rna16764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MENKFQNSTLLELSAIDDIEAFKREVEEKGYDVNEAGFWYCRKIGSKKMCYEKRTPLMIASLFGSIRVVKYIIETNMVNVNMAIGSENVTALHCAVAGGSKSKFEIVKLLLDAGADVDFLDEVVRQKLSVANSKELVAEKKDSGYAIDTSLPDINNGVFVTDEFRMYSFKVKTCSRGYTHDWTECPFVHPGENARRRDPRKYPYSCVPCPEFRKGTCQKKDSCEYSHGIFESLLHPSQYRTRLCKDEIRCTRKVCFFAHKHEELRPLYASTGSAMPSQESLPISNVSTPPMSPLVADSSPKNGNYMWKNKINLTPPSLQLKNALSARDLYQEMDLLHGVSMQPSTPSQFQSMSRLQLNQNRNHVQASYPFNNIVSSPMRKSSPFGFDSSAAMAAAVMNSRSSAFATRSQSFMDRGVSRQYIGASESNSRMNSGLSDWISNDWDELHKLKKSASFGFRNNMAAASPVARPQHAEPDVSWVHSLVQEVSSENSEIFGAERLHYDLYKQKLSPWTEQIVA >RHN77670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8522102:8528240:1 gene:gene1147 transcript:rna1147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MGNSTSVTTTKGQKPPETHTHNNEVSVINLSSHQGPDAVLRDGKEILFQAFNWESHKYDWWANLESKVPDIAKAGITSAWLPPPTHSFSPEGYTPQNLYSLNSKYGSEHQLKALLQKLKQQKVRAMADIVINHRVGTTQGHGGMYNRFDGIPLPWDERAVTSSTGGLGNRNTGAIFHGFPNIDHTQDFVRKDIIGWLQWLRHNVGFQDFRFDYAKGYSPKYVKEYIEGPKPMLSVGEYWDTCNYNGSTLDYNQDNHRQRIINWIDGTGQLSTAFDFTTKGILQEAVKREFWRLRDAQGKPPGVIGWWPSRSVTFVDNHDTGSTQAHWPFPSDHVMEGYAYILTHPGTPTIFYDHFYDWNNSFHEQIVKLIDTRKRQDIHSRSPIRILEAKHNVYSAIIGEKVCMKIGDGSWSPSGREWTLSTCGYNYAVWHK >RHN79432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28810166:28811835:1 gene:gene3222 transcript:rna3222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MNQDIEVTPFFLCPISLQLMKDPVTVSTGITYDRESIEKWLFSSENKTCPVTKQLLTHDANHLIILTPNHTLRRLIQAWCTMNSSYGIERIPTPKPPTTKILIEKLLKEASDSPHLLIQTLKKLKTIASESETNRRCIESAGAVEFLASIVTQNNTSCSSSCSATELIEASFDDDDVEGFAFDFKIGAEDEAINILYNLQLSEQGLKTLLNFKNGEFLDSLIRLLQKGNYDSRTYAVFLLKSMSKVADPSKLANLKTEFFVELVQLLKDQISKKASKATLQTLIQLVEFGRNRVKAIESGCVYALIELLLDCKERKPCEMILVLLEMLCQCADGRFELLNHGCGLAIVSKKILRVSTMANDRAVRILLSVSRFSATHFVVQEMLRIGVVAKLCLVLQVDSGNKAKEKAREILKLHSKSWMNSHCIPFNLLASYPTSG >RHN61163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33567913:33569542:1 gene:gene23599 transcript:rna23599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rhomboid protease MGKPLIYEILEKPATSVIIAICSAIWFYIQKKNIGYSHVGLSYETAMEGQYWRIITSAFSHISIIHLVFNMSALWSLGAVEQLDHVGLGVEYYLQYTLVLVVVSGVLVLAMYHLLIQRFKVEYFRRVTAVGYSCVVFGWMTILSVKQPSSKLELFGFLSLPISFAPFESLIFTSIIVPQASFIGHLSGIVVGYAIAWGLIHGMTNYWALTLLGWIVLVFVLSLKKSSALDISFIEIESVTDPSLPSVRFLGSGNGRTLQMSALPDGNVDIV >RHN60407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26387974:26389316:-1 gene:gene22717 transcript:rna22717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MAEIEIPPYFVCPISFQIMEEPVTTVTGITYDRKSIEKWLMKAKICVCPVTNQSLPRSSEYLTPNHTLQRLIKAWILSNEAKVVDNQIQSPKSPLNRIHLQKLVKNLELPNCFQASMEKILELAKQSDRNRICMVEVGVTKAMVMVIKKKFKEGNTNGLEEALKIIRLLWNEAMINNMMKPLVGKNMDFMNSLTWILKIYIDTNNFEMVNEVMPLLKLTIDVVDSNLLGNLNIEFFVTMVRVLRKRRLFSKQAIKSALYVLIETCPLGRNRTKIVEAGGITELIELELEKPEKNVTELVFNLLAHLCSCADGREQFMRHAAGIAMISKRILRVSAATDDLAIQVLSVIAKNSTSKEFVLEMLQVGAVSKLCMVMQADCASYLKEKARDILRLHSTTWNNSPCIQLYLLTRHQR >RHN39552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8154059:8165219:-1 gene:gene45583 transcript:rna45583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative VHS domain-containing protein MLLGKRPVQAAVPVTKKGGVVKSGTKKKVDGVSQKASKSIETPEDVEPTEMGLEEIESQIGSLIQSDTIALLKGAGWKERLEAISSLKQQVEGLQNLDQSVEILIRLLCTLPGWGEKNVQVQQQVIEVITHIASTATKFPKKCVVLSLLGLSERVADIKTRAHAMKCLTTFCEAVGPGFIFERIYEAYKIMKEHKNPKVLSEGIMWMVSAVEDFGVSYLKLKDLIDFLKEIGLQSSAAATRNATIKLLGVLHRFVGPDIKGFLTDVKPALLSALDAEYEKNPFEGASAVPKKTVRASDSSSSVVAGGLDSLPREDISGKITPTLLKSFESPDWKVRMESIDAVNKILEEANKRIQVTGTGELFGSLRGRLYDSNKNVVLATLTTIGNLASAMGQAVEKSSKSIVSDILKCLGDNKKHMRECVLNTLDSWLAAVHLDKMVTYVAIALVDSKLGVEGRKDLFDWLSRQLFGLSSFAEAAQLLKPASSAMADKSSDVRKAAEACINEILRVSGHEMSFEPARAASVGVTSKGVTKVRKSTASSVSKPGNRSVSARAGAIKGTKSEPISVQDIAVQSQALLNIKDSNKVFLAEIFTKHYLKSSKDVWLNFQFSCMQEERERLVVRRFKFQDPRIEQIQDLENEMMKYFREDLHKRLLSADFKKQVGGLEILQKALPSTAKEVIEVLDILLRWFVLQFCKSNTTCLLKVLEFLPELLDTLKDEGYSLTDSEVAIFLPCLVEKLGHNIEKVRKQMRELTKQFVVVYSASKCFPYILEGLRSKNNRTRIECADLVGFILDHHGAEISGQLKSLQIVASLTAERDGDIRKAALNALATGYKILGEDIWRFVGKLTDAQKSMLDDRFKWKIREMEKKMEGKPGEARAILRRSVRSDVTEQSGGMVRSLPGLLLRNYAQLDSNSERQLIHHPVAVPSGPIDWNEALDMISFGSPEQSVEGMKVVCHELAQATDPEGSAMDELVKDADRLVAKTFNFSLSGDSSRSCKYALNTLMQTFQNKILAHAVKESTLDSLITELLLWLLDDNVPRMDDGSQLLKALNVLILKILDNADRTASFVVLINLLRPLDPSRWPSPAPNESFATRNQKFSDLVVKCLIKLTKVLQSTIYDVNLDRILQSIHLYLQDLGMEEIKRRAGADDKPLRMVKTVLFELVKLCGAAIQGHLSMVPFDVKSPPIILAYIELNLKMAKNAAAGRTPSSLPMPTTPPPVSLNISSPDFAPLSPVNANDLNDAK >RHN43757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48240696:48248628:-1 gene:gene50379 transcript:rna50379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartate--tRNA ligase MSSLFLRALPLTTLRRTPSIFFLSRLSKTIPTLISRTRTRTRTLSSVSASIQQQQPLPSETETLNEPLQWVSRTHHCGELSSNDIGKTVRLCGWVALHRLHGGLTFLNLRDHTGIVQVTTLPDEFPVAHSAINNLRLEYVVAIQGIVRPRPNQSINNKIKTGFIEIAANDVQLLNSVNAKLPFLVTTTDHAKDSLKEEVRLRYRYLDLRRQQMNSNMLLRHNVVKLIRRYLEDIHGFVEIETPILSRSTPEGARDYLVPSRIQQGTFYALPQSPQLFKQMLMVAGFDKYYQVARCFRDEDLRADRQPEFTQLDMEMAFTPLEDMLSLNEELIRKVFLEIKGVELPNPFPRLTYAEAMNRYGSDRPDTRFDLELKDVSDIFSGSSFKVFSDSLECGGVIKVICVPSGAKKYSNSVLKKSGANGGIYNEAHKSGAKGLPFLKITENGDIEGISALVSSMDSATREDLLRRCSAGPSDLILFAVGHHASVNKTLDRLRVYVAHELGLIDHARHSILWITDFPMFEWNDSEQRLEALHHPFTAPNPEDMNNLASARALAYDMVYNGVEIGGGSLRIYKRDIQQKVLETVGISMEQAEAKFGYLLEALDMGAPPHGGIAFGLDRLVMLLAGANSIRDVIAFPKTTTAQCALTRSPSEVDPQQLKDLSITT >RHN68642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37364106:37369359:-1 gene:gene17020 transcript:rna17020 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHDLRRPFKRPLISDQERRRQQSLLRQAQNRLDAQHHARFLVSTAFSLSSSQTPEPETEPIHEPESHQNDELDVLEASKLKGAEARKWFAKQLMHPEWMIDIPENLTNDWFVLARPSGKRCFVVSSNGTTISRLRNGSILHRFPSKLPNGARTKDVNSGSSNSYSILDCIFHEQDQTYYVIDMVCWRGYSLYDCTSEFRFFWVNSKLAETGAFDPPSYYHKYSFSLVPVYGCDQSGLCSAYSAPVPYVKDGLLFYNKHAHYQAGITPLALVWKDENCSQYVMDTDSKGQVPNQQQVVLELQEDGKLTTCDDPPVVFGCLDGSFIQQSDLHSGYLLRFAIGEEGLVLVGGKLEKADLQYLGKANRARASADSFSKVMFQYSVRHSPLKLDDLLGSVSSPVDQESKACDIEMDG >RHN58152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44136187:44137524:1 gene:gene33765 transcript:rna33765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 60S ribosomal protein L6E MAPTKERASRVSRNSDLIRGIGKFSKSQMYHKKGIWVIKAKNGGVFPHHDPKPKPETAVEKPPKFYPADDVKKPLRNKHKPKQTKLRASITPGTVLILLAGRFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRCVNQAYVIGTSTKVDISGVNVDNLDDKYFSKEAPKKKSKGEGEFFELDKEEKKVLPQQKKDDQKTVDAALIKAIDSVPDLKTYLGARFSLKAGVKPHELVF >RHN48303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46466100:46467281:-1 gene:gene43005 transcript:rna43005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC transporter, P-loop containing nucleoside triphosphate hydrolase MESDEISLMKWDSIQRLPTVARLRRGLLTTPEGDSNEIDVHKIGLQERTYLLQRLLRNNTVEVDNDHSFLLKLMRDRIDRAGVDIPTIEVRFEHLNVQAQVHVGKRALHTITNYMLDLVEVPLKYILKRRKQQLNILQDVSGILKHSRLTLLLGPPNSGKTILLLALAGKLDPNLKFAGKVSYNGHEMNEFVPQRTAAYVSQNDTHLGN >RHN82748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56092892:56094522:-1 gene:gene6932 transcript:rna6932 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMLMYNVVFARLSDEARKDEAVKHVALGNYCMLHSLDCLYKAVPKLHFCLVLRQDFHVKVEPPFCSFLKSQQCCFSKPANVQPNTQNTGLIG >RHN64651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60743405:60746468:1 gene:gene27486 transcript:rna27486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSIPTKTAQLQLQLQQEYILLTMPRRLLQLQETPPSSPHHVSGALYFFLGVIVVLVILLIILFVFWKRFRRGSGKTEELPEETAPPPPSQTQKQEEVMKRIIPTNQQSGFMEFISGNLRTISYFDFQTLRKATKNFHRRYLLGSGGFGPVYQGKLADGRLVACKKLSLDKSHQGEREFLAEVRMITSIQHKNLVRLLGCCSDGPQRILVYEYMKNRSLDFFIHGKSDEFLNWSTRFQIILGVARGLQYLHEDSHVRIVHRDIKASNILLDEKFQPRIGDFGLARFFPEDQAYLSTQFAGTLGYTAPEYAIRGELSEKADIYSFGVLLLEIISCRKNTDLTLPSDMQYLPEYAWKLYEKSMVMELIDPKLIEKGYVEKDVMQAFHVAFLCLQPHPDLRPAMSQIVALLTFKIDMVTTPMRPAFLDRRRVMDDENHSWEVISEVLQTPAASDSTL >RHN81546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46889446:46895481:1 gene:gene5609 transcript:rna5609 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVHVIVHFIIDFYFIMKKACFNGGKRLLRISNRIYSISKFEHDKLCLNKESVCILVKKNGIGILSF >RHN41979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34498190:34501159:-1 gene:gene48360 transcript:rna48360 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVKQLLAKPIQLADQVSKAAEEGSSSFKQECLDLKSKTEKLASLLRQAARSSSDLYERPTRRIIGDTEQVLEKALTLVLKCKVNGLMKRVFSIVPSAAFRKMSSHLENSIGDVSWLLRVSAPAEEGSYECLGLPPIASNEPILGLIWEQIAILHNGSFDDRSDAAASLVSLVRDNDRNGKLIIEEGGVGPLLKLLKEGKKEGQENAAKAIGLLGRDPESVEVMIHAGVCSVFAKILKEGPMKVQAVVAWAVSELVSKYPKCQDVFAQHNIVRLLVSHIAFETVQEHSKYAIVSNKAISSIHAVVLASGNNNNPDSNDVKKENEDEVKIKMQHPLGDKSAHQMHKVVASTMAMHAANNNNKQQTNEGSKVSLNSQPPVQVASIPNGNGNGNTKQGYSYSGINVKGRELEDAESKADMKAMAAKALRYLAKGNSAICRSITESRALLCFAILLEKGPEEVKYNSALALKEITAVAEKDPELRRSAFKPNTPACKAVVDQVIDIIDKEDKRLLIPCIKVIGSLARTFRATETRIIGPLVRLLDEREAEVSKEAADSLAKFASNDNYLHLDHCKAIISFGGVKPLVQLVYLGEPPVQYSALVLLSYIALHVPDSEELAKAEILGVLEWASKQPNMAHDEAIEALLQESKSRLELYQSRGSRGFQKLHQ >RHN41248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28627012:28630103:-1 gene:gene47550 transcript:rna47550 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNTLSDFVPYDILSLLLIKTCVGYDELCLSPVASPLGASPSLRLLPRILQAYRP >RHN54429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9468524:9480613:1 gene:gene29467 transcript:rna29467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GRIP domain-containing protein MGRSKKNKKSMASGEGDTGGKMEIRAEDSSRPENHLSDSDMNQQRKDESDVNIVFENGLSDGNEGPADTGGTVEIRAQDSSKPEEHLLDGNEGPTDTGGTMEIHAQDSCKPEEHLSDRNEGLADTGRMMEIHAQDSSKPEEHLSDSDMNQQSKDGSNTNLVLDNRFSDGNEGSADTHDELLQMVVDLKSQNEFLKSQIEGFSNVESVSRESSMQKEVGGTEDGESDIVKELRERIELLNKEFLIEKQTRIASEEALKHLQIAYSDAEAKAKDLSEQLVEAQNKLEHEIKERDEKYSELDAKLNRLHKRAKQRIQEVQKEKDDLEARFSELNESAERASSQQSALQQELERTRKQANEALKAMDGDRQQLRSANNKLRDTIEDLRRSLQPKEEALESLQLSLAEKEQMLEDMRGLLRTAEEKRQAALAELSAKHQKNMESLEAQLNDAVSDRRKAAESISSLQVLVAEKESKIAEMEAASTGEAARLRAAMESVKGEISHVKQEHEKERESWEAASQALKAKLQIAESNCIRAEVEVAKIRSQLESEVSAQAKILSMRDSELLAAKEEISSLEREFSSYKARAHALLQKKDADLIAAKDSEQLKALEEALKEAENEVLSITEERDRALQDLQSAMANNEKELAERDTTLENVKQQIRSLEIKLDSVSAQHLKEKEEWGLSLQNVEETWRIRCEAMKAENEAAAAEDMQKELEELKQRCKKLKDEHASFHDLADRMIEDKDNEISRLLDENKNLRQSLQSRPPAGQNDNYNTVLHKLDPTNLSPSDAEHQILILARQQAQREEELAQSQRHILALQEEIEELEHENRLHSQQEAMLKSELRNMERAKKREGVDMTYLKNIILKLLETGEVEVLLPVIGMLLQFSPEEMQKCQQTYQNSTTDVPPSPASDSSGSGLSLFSRFSFT >RHN75798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45138034:45140965:-1 gene:gene12049 transcript:rna12049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L10e MGRRPARCYRQIKNKPYPKSRFCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMSKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGVCARVAIGQVLLSVRCKDNNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKINRAEYLKLKSENRIMPDGVNAKLLGCHGPLANRQPGRAFLNASCNEA >RHN77478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7139163:7145464:1 gene:gene941 transcript:rna941 gene_biotype:protein_coding transcript_biotype:protein_coding description:FACT complex subunit SSRP1 MTDGHLFNNITLGGRGGTNPGQIKIYSGGILWKRQGGGKSIDVDKADITGVTWMKVPKTNQLGVQIKDGLFYKFTGFRDQDVVSLTSFFQNTFGVTVGEKQLSVTGRNWGEVDLNGNMLAFMVGSKQAFEVSLADVSQTNLQGKNDVMLEFHVDDTTGANEKDSLMEMSFHVPSSNTQFVGDEDRPPAQVFRDEIMSMADVGAGGEDAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVIISLDPPIRKGQTLYPHIVMQFETDYVVESELAMSEDLYNSKYKDKLELSYKGLIHEVFTTILRGLSGGKVTKPGKFRSCQDGYAVKSSLKAEDGILYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLIRLKSEQEHLFRNIQRNEYHNLYGFISSKGLKIMNLADAQPTVGVAKVLENDDDDAVDPHLERIRNEAGGDESDEEDSDFVLDKDDGGSPTDDSGADDSDASQSGGETEKPAKKEPKKDLPSKASTSKKKSKDADDEGGVKKKQKKKKDPNAPKRALSGFMFFSQMERENLKKTNPGISFTDVGRVLGEKWKNLSAEEKEPYEAKAQEDKKRYKDEMSGYNKNPQPMNIDSGNESDSA >RHN42335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37456279:37459190:1 gene:gene48765 transcript:rna48765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geranylgeranyl diphosphate synthase MVTENLIAIKNRRKILERLKRKIYIFIGIKNIFNYEFNRVEHLPFNKNSIKSSPTIWKVNVCLSKGSTYLKYNFNFIRCYHLENEKHQCQTQYSHAISHCHLITTTPFSYRVRMAPFTIATMPSLNIGRFQKSPNRILPIRCSMAAPSPSSVSIRSKAVHFDLKTYWTSLMTQINQKLDEAIEVQFPQQIYEAMRYSALAKGAKRSPPVMCISACELFGGSRLAAFPTACALEMVHAASLIHDDLPCMDDSLSRRGQPSNHTIYGVDMAILAGDALFPLGFQHIVSHTPSDLVPEPRVLRVIAEIARCVGSTGMAAGQFLDLKGGPNAVGLIQDKKFGEMAECSAVCGGLLAGAEDDEIERLRRYGRAVGVLYAIVDDILEERLKPEGDKDRENSGKSYVEVYGVEKAAEVAEELRAKALEELDGFEKYGDQVLPLYSFVNYAIDRSFNVDEASR >RHN73861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20769780:20773285:1 gene:gene9744 transcript:rna9744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAYHHKVVFCICDGFGYGFGVRTYIHIHVTRLIPVFFKSEKTQTYIQIPNPIKTRKTNQIGFGLGGNHFHSSKEMAHGNDNRNDVVYSQSLTEETTNTQRQRLATGTLTYPPPELPTLPFDLIPEILCRLPVKLLIQLRCLCKFFDSLISDPNFAKKHLHMSTKHHHLMLTNNGMLTDDDDYDYKLVMYESPIASLFY >RHN68512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36109010:36111661:-1 gene:gene16875 transcript:rna16875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MGAWLKNAKPYLLLLAVQFGSAGMFIFAMDAIKKGMSHYVFIVYRNAIAAVTLAPFAFHLERKIRPKMSVRVFSEIMLLAFFEIILDQCFALLGMKLTSASFLSAVMNSAPSVTFVFAVILKLEHMKIKEIACQAKIIGTIVTFGGTLLMALYKGPVVSVVRSSISHATRQPENVSDPSGDRWIIGTCFLLIGCAGFSAFYILQAITLRKYPAPMSLATWVCFIGALQSFVVAFFAERHNSHAWALGWDTRLFAPAYAGIVTSGVQYYIQGLVIKTMGPVIVTAFNPVRMIIVTALACIILSEQLFLGSIIGAIVVVLGLYLVVWGKSKEYKARNHVDMPPSPTKEDSLQDQQQQLPVTAPRNESNDINKAQLVIHSEEKCHGCGQN >RHN73322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15369106:15375131:1 gene:gene9123 transcript:rna9123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MVFDMEKSIYTFLTIHRWESLNRMNYRLGSLRPIHGMLALKFLNWVIKKPNLEINHLTHIISTTTHILVKARMYGYAKTTLSHMLHMDVGFNNVFGALMETYPFCNSNPAVFDLLIRVCLRENMVGDAVEAFRLMGFRGFSPSVFTCNMVLGSLVKDREVDLFWSFFKEMIANRVSPNVATFNILLNALCERGKFKSAGVLLRKMDETGHFPTAVTYNTLLNWYCKKGRYKAASELIDAMASKGIAADVCTYNVLIDDLCRKSRSAKGYLILRRMRKNMVYPNEITYNTLINGLVKEGKIGVATKVFEEMSLCNLLPNSVTYNTLIFGHCSNGNIEEALRLCDVMVSHGLRPNEVTYGALLNGISKHAQFGLVSSVLERMRMDGVRVGHISYTTMIDGLCKNGLLEEAVQLLDDMLKVSVSPDIVTFSVLVNGFLKAGKVKNAKEMMCKMYKTGLVPNRVLHSTLIYNYCKMGNLKEALNAYAVMNQSGHVSDHFTSSVLVASFCRCGRLEEAEYFVDHMSRMGLAPSSVTFDCIIDTYGNSGDALKAFSVFDKMNSLGHLPSQFTYEGLLKGLLIGGHINEAKIFMHRPSSIPYAIGSTFYNTMLTLTSRSGNLSNAVALLDEMVMNNFVPDSFTYTSLIAGLCRKGKMVPALLLSGRAIEKGLLSPNPAMYTSLVDGLLKEGHSKAALYMFEDMLNEGVQPDAIAFNVLLDRYSRKGKMSKVNDILSTMRSRSLCFNLATYNILLHGYSKRHGMARCSKLYNEMIIHGFAPDRLTWHSLILGYCKSGSLDVAVKFLRKITVEGFKVDCFTLNVLVSKLCERNEIKMAFDLVKQLNMLGVTPNVDTYNSLFNGFVRTCSFDEARCILHALLENGYAPTCKQFTTLIRGMCRMGNVKGAMELQDEMKILGVSSQGVAMSAIIRGLARSRKTDEATRILGIMLEMQIIPTVATFTTLMHTYCKEGNVAKALELRSVMEQCHVKLDVAAYNVLISGLCADGDIQAAFKLYEEMEQRDIWPNTSIYIVLIDSFLCTGNYIVESEKLLRDLRTRELMSLDLHGGIEILNELLMIARKELIHTRYKTKRKYG >RHN40842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:22811404:22813002:1 gene:gene47071 transcript:rna47071 gene_biotype:protein_coding transcript_biotype:protein_coding MENEEAAALDSSWLGEEPLETESVFANDGVGEIPFGPIGDVEDRELMFSSTYDRVCSEYKNHVFPMYEVVFIDMGFRLPFSEFQREMLCWTKMSPLQIHPNSYAFMRAFELLCVYLCLPASKNVFFSFFTVQSGTDWVSFRQTQKMFEVFAGKVRSFKERFFLVRLRSAAALDTLFKVAKDGVQERHPFFPLSWSQNHFRFESKDFGQTVTNLSDEEIDIRQQLWAFFQSLPRRTKIDKRANPLMSADGTLVTEPRLINTHELLTSENFEDCLGKKMKDLGALVSAGSKKISAKKRRKNVQSLEHLIAGIGVGSSFGPVVDLEGEDPPEELVQKPAKKEKVGTPSKQSDLGPPGRSKAIADGAIATMKALEVAAALNNVSLENEIRVNALAQERDALTAKVAAIEEDERSKRSVVEERDRQFTAMEGQLAEARTALEEALALLISWLRIRRLWRKL >RHN60714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29824271:29829888:1 gene:gene23069 transcript:rna23069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MGSKWRKVKLALGLNSCVHIPQPLDDSSAARFSGATSSAASSLAGDTSGYSPSIQSPSSSGFRLLKSPKGTCAICLNTMKPGNGHAIFTAECSHSFHFHCITSNVKHGNQICPVCRSKWKEVPFQSPTLNPRSSQLTREDGWPPAVRRLPSPQANAGGQISSLYHVSEPAIFDDDESIDQHASIPRNSNNNEANHNVIDKVEIRTCPEVSSVPKSASCDAFAVLIHLKAPQSESKQNIPGNNTDSSPPPVEKSRASVDLVTVLDVSGSMLGTKLALLKRAMGFVIQNMGPSDRLSVIAFSSTARRIFPLRKMTEIGRQEALQAVNSLVSNGGTNIAEGLRKGAKVFSDRRWKNPVSSIILLSDGQDTYTVNSRPNVGTNYQSLVPNTIHRNNSSVGLQIPVHAFGFGADHDATSMHSISEISGGTFSFIEAEDVIQDAFAQCIGGLLSVVVQELQLEIRCVHPQLQLGSVKAGSYRTSLTTDGRMASITVGDLYAEEERDFLVTVNVPIDSSNDEMSLLNVKGFYRDPITKEMIALEETSEVKIERPNIGRELVVSIEVDRQLNRLRAAEAMAEARVAAERGELSAAVSVLDSCHKTLSESVSAKAGDRLCIALAAELQQMQERMANQHVYEQSGRAYVLSGLSSHSAQRATTRGDSTDSSSLLQSYQTPSMVDMVTRSQTIVLGAPLRRSLQPAKSFPERHRR >RHN45437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20755393:20757622:1 gene:gene39777 transcript:rna39777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casparian strip membrane protein MRRVHIDDNASGENNLPMLKLLDSSLRLCSVPLSLATIWITVTNKQDNTSYGTLKYSDISGLKYMIFVSALCAGYAIVAAVCSWVRYLMTKAWIFFISDQVVAYLMVTSIAATMEIYYLAYNGAREDSWSQACSSYGKFCSKVKLALILHMITFGCFFVLAIISAFRAFSVFDPPSVNSQEVYEDRH >RHN47664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41352876:41354097:-1 gene:gene42287 transcript:rna42287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MRKDKKVLIVLDDVWDILDFECIGLPYLEHEKYCKILLTSRDEKVCKNLGCNVNFQVSVLSEDEAWYLFREMSGGIVDTYDINPIASEVAKECGGLPLAIVTVGRALSNEGKSAWEDALRHLRNFQSSPFSDVGKFVYPSIELSLKFLDSREHKLFLMLCGLYPEDFDIPIESLLCHGFGLGPFKDISASWEARNRVHTLVEDLRRKFLLLDSSVPGCVKMHDIVRNVVISVAFKNAEDKFMVKYTFKSLKEEKLNEINAISLILDDTKELENGLHCPTLKILQVSSKSKEPMFWPELFFQSMSTLKVLSMKNLCIPKLPYLSQASVNLHTLQVEHCDVGDISIIGKELKHLEVLSFAHSNIKELPIEIGNLGSNFTIE >RHN78166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12409592:12412765:-1 gene:gene1691 transcript:rna1691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MTTLQHLSLSDEEDELDIQFEEIPTQTNDPRLCLVGRFHTNRPIRSYMMMEKIETFWSPVKGMKILEIEPCLYTFQFNHHLDFQRILKKGPWYFDNHLLVLNIIPENGSPNQVPLHFVPFWIQVHDIPTGLMTENAGKEIANYIGEFLEYDAKNNSNFLRSYMRIRVLIDITKPLKRQKKIKRQGGDSSFIKFKYERLGNFCYYCGCLGHIEDYCEKLYSVEADDGIRLWSSELRADRQKNTSGGARRNSVMGGATSASSTVSVTDTGVNAINTVSKTANPESLLQLLRNPHLMQPRLSVNAPKPNQNDEILQEENHESVIINNKAKRSRESTDNAVINHDITVAISQNASSSANAINEVAEENSHSLNKVPDNSASPMEVSQSVTHFLVAEPGSQACRAQ >RHN50095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4005711:4011263:-1 gene:gene34348 transcript:rna34348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CRK7-CDK9 family MGCIGSKHAVAGNISPAPIVGEIVEVVPSSKGHSGLVVLDSNSKSSLHENKNSKLKKNNSKKSSGSFSFRIGFTQRYVEAEQNAAGWPPWLTASAAEAVQGWIPLKADAYQKLDKIGQGTYSSVFRAREVETGKMFALKKVRFDTFQAESIRFMAREITILRRLDHPNIMKLEGIITSRMSNSIYLVFEYMEHDLAGLVSRSDIVFTDAQIKCYMRQLLSGLEHCHVRGIMHRDIKVSNILLNNEGVLKIADFGLANSISPNNKHPLTSRVVTLWYRPPELLMGATNYGVSVDLWSVGCVFAELFLGKPILKGRTEVEQLHKIFKLCGSPPEEFWKKTKLPHATMFKPQTNYESSLRERCIDFPESTIGLLETLLSIDPSKRGTASSALISEYFNTMPFACNPSNLPKYTPSKEMDAKGHEDTSRKKSSDKMREAATSRRQQKQRRVSKAFHDHNNFVKSTSKENISQNARKDDGKANLPKGKGDTMHKDHSKTSMNAMLETSQKLNVADGNGYSVPVNVKGVSNDFSWTKRRKQDASSTLSDGSRSKISALDPNFAKGTYDLPNQRVSMDFDPKELMNTQAQKEEIGAYWNDGVRRAARKSRFGRDI >RHN61247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34146583:34147677:1 gene:gene23688 transcript:rna23688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MEAYKKIMIVGILLIIANTMLLIDGQSLCQMTNKGLKACEPYVSGVKIAAFQIPSDACCHATAKADLECLCSYKDSGLLSFYGIDPDQAMDLPVKCKLVDSFNC >RHN77873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10121405:10123908:1 gene:gene1375 transcript:rna1375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MDIAHFIFGVFGNAFGLFLFLAPIITFKRIIVNKSTEKFSGVPYPMTLLNCLLSAWYGLPFVSPDNILVTIINGTGAVIEIIYVFIFIVFAPKKEKLKISGLFAFVITVFAAVVFISLFALHGNSRKVFCGFAAAIFSIIMYGSPLSIMRLVIKTKSVEFMPFFLSLFVFLCGTSWFIFGLLGRDPFIFVPNGVGSFLGTLQLILYFIYRGNKGNAKTPTTKEEAMEMGTAKPMGEGELKGDTT >RHN65333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1263460:1269728:-1 gene:gene13159 transcript:rna13159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase MDVPLPLDKLALQLINNEPSPGNTSDGKIYVILVATGSFNPPTFMHLRMFELARDALNSKGYCVIGGYMSPVNDAYKKKNLISADHRIQLCHLACKSSEFVMVDPWEANQNTYQRTLTVLFRVHASICETGLISRESLKVMLVCGSDLLHSFGIPGFWIPDQVKSICRDYGVVCIRREGQNIEKTISDDNILNENQL >RHN81190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43938918:43944752:-1 gene:gene5197 transcript:rna5197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKKGKGKNNGLLPSSFRIISSCLKTVSTNACTVASTVRSAGASVASSITSDDHKDQVTWAGFDKLELVDQKIFKRVLLLGYLNGFQVLDVEDGSGFSELVSKRDGPVSFLQIQPFPVGLGSDDQEGFGKSHPLLLVVAGDDGQKREGDKVETQSGNGGNLATAVRFYSLKSHSYVHVLRFRSVVCMIRCCSKVVAVGLATQIYCFDALTLENKFSVLTYPVPQLSGQGTIGVNVGYGPMAVGPRWLAYASNNPLPSNVRCISPQNLSPSPGVSPSTSPSSGNLVARYAMESSKHLASGIYKYCQEFLPDGSSSPVPSNSGWKVSRVTGNDVDNAGMVIVKDFVSRDVISQFKAHSSPISALCFDPSGTLLVTASVYGNSINIFRIMPSCARKGSGVPSCDWSATHVHLFRLHRGITPAMIQDICFSHFSQWVAIVSSKGTCHLFVLSPFGGDTGFQIISSKGEEPSLLPVLSLPWWSTSSLISHQQSLPPPAPVVLSVVSRIKYSSFGWLNTIQNSTTNVSGKVFVPSGAIAAIFHNSMSHSPPLVKSKMKSLEHLLVYTPSGHIVQHELLPSVGPEPNEIGSRTQSASTLHMQEDEFRVKVEPIQWWDVCRRLEWPEKGDSCGNTFDRQDGINRVQERMYSGDGYGFNFVNISDGVGEKAAKPSTRKPHEMSHWYISNAEVQLNFGRIPIWENSKICFYSMNSGRTVSSAGGESEIEKISANEVEIRRKELLPVFDHFHSIRPSWNESLPEGKYLRPVSPALDGAEDKQTADMTVICHSKPASLSSTESSDGGSSRRTENLLDLDQVSSCCQILGEIYLERMEATNAEPSQQNKIVLENLLQSGNMKHVDSPYDHCLNGSPLLQGKKSITARDGIEGVGISDSSTLFPNHDLDKINFLDAASEIQNASIEHSFPDDHCKTLVHDESNVLTEEVTDDVDSSSSSHEREQPEDGEDDEMLGGLFAFSE >RHN70972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55508204:55518373:1 gene:gene19612 transcript:rna19612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK-LRK10L-1 family MIIEHPLLFLLLLSLIFLPIITCQVNYRECHQSCGSHKPFPYPFGFSSGCEIRLNCTVDGEIFIGEFPVQSVNSNSIIVNIESQCNRSFDTFHQLFSHKHAPTSGNVILLQNCTENLLPCSIPETLLPSQFESESDGCGGAGSGKLSCYFENTTSRFVNETKLERIGCKYFMSSISIEDLRKSSDSAISLEVATIELGWWIHGDQCLCSDHANCTKIVSPIDRKPGFRCQCDEEFVGDGFLAGTGCRKKASSTCNPAKYMSGRCGGTTRFIVLIGGFVFGVSLMITLASVCCYFRRRTKLRVTKSTKRRFTETTNNYSVPIYPYKDIEKATNSFSEKQRLGTGAYGTVYSGKLYNDEYVAIKRIKHRDNESIEQVMNEIKLISSVSHPNLVRLLGCSIEYGEQILVYEFMSNGTLNQHLQRETGNGLSWPVRLKIATETAQAISHLHSAIDPPIYHRDIKSSNILLDQNFGSKVADFGLSRLGITEISHASHVSTAPQGTPGYVDPQYHQDYHLSDKSDVYSFGVVLVEIITGLKVVDFSRPHNEVNLASLAVDRIRKGLLDDIIDPIIFLHSQATSEGWTLSSIHKVAELAFRCLAFHRDMRPCMTEVATELEQLRLNKWNSFEENNSIIASIDHLSSCSSSSSSESEKPLRSTTTLKDVGPKGKSHLKLETGPISFKSVERPNSSSPVSVQDPDPWFSEQSSPSNSFPSKSFDRGSVSYGQQSSL >RHN44261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2977939:2981612:1 gene:gene38357 transcript:rna38357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MVNKELEVCTLDGSIDSHGHPAVRERTGTWFAGILILVNQGLATLAFFGVGVNLVLFLTRVMGQDNADAANNVSKWTGTVYIFSLLGAFLSDSYWGRYITCAIFQVIFVVGLVALSLTSYIFLLKPNGCGSKELPCGTHSSYETTLFYVSIYLVALGNGGYQPTIATFGADQFDESDPSEQHSKIAFFSYFYLALNIGSLFSNTILDYFEDDGLWTLGFCVSAGSAALALVLFLCGTSKYRYFKPVGNPLPRFCQVFVAAIRKWKVQMFDGEDKLHEVEDCLSNGGRKMYHTQGFRFLDKAAFITPKDLKQMEENKCSPWFLSTVTQVEEVKCILRLLPIWLCTILFSVVFSQMASLFVEQGAAMETKISTFHIPPASMSSFDILSVVSFIFIYRRILDPLVARFTKKSKGITELQRMGIGLVLAIIAMVSAGLVEIFRLKYAIKEEKNCSHCEGTSSLSIFWQVPQYVLIGASEVFMYVGQLEFFNSQAPDGLKSFGSALCMTSISLGNYVSSLLVAIVMKISTRNEGMLGWIPGNLNMGHLDRFYFLLAALTAADLLVYIAMARWYKYVKFHGNNIEQGNNIEENVV >RHN40220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14342539:14348434:-1 gene:gene46343 transcript:rna46343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGAACCVSARDKTIQSGPTSEVWHRNARCSPTWSLRWDHRSRVAGEDTSINWFSDGVSSNDRLENKNESHVSEDGSPLQSYQRNRWQKSPISEGTAQHTKSFSDQSISRNVSIDGRMEQVNELEESAAASISCPFPTKTSPTLSSTSLSISPLPSQSRLPPSSSTPSKWPSHSRGFQLSRQVSDSRIMGFKSPSSFYASEERPVFPSRSNEYGMHSGGGSSDYCSRPGFTELMGNPHMERWSVDSESFGFNCDRLARFSSRFSTSPVDLQTCGVCSNHLTEKSSWSTQKIIANNDLSVVSVLICGHVYHAECLESITPEINKYDPACPVCTFGEKQTRKLFEKAMKAEMDSKARNKKSRNQILDNEIDDDDDDSVVFDQFKDKRRQNKGPRMDSSSSKRSSSGKPFLSRHFSFGSKGSKSMLDNHPTRKKGFFWAKSSKE >RHN73597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17993217:17998439:1 gene:gene9425 transcript:rna9425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EEIG1/EHBP1 domain-containing protein MMLSRMESGKKSSGVSGSQKKLLKDVETMNKAMYLDRSASRNSVSGSNSRSKTAGKSLFPDPKPKGKGSNSNDDNDGLQKDKRSIWNWRPLKALSHIRNKRFNCSFYLHVHLIEGLPSTFDGLSFSVYWKRRDGVLVTRPAKVVQCVAEFDEKLTYTCSVYGSRSGPHHSAKYEAKHFLLYASLLSAPELDLGKHRVDLTRLLPLTLEELEEEKSSGKWTTSFRLSGKAKGAVMNVSFGYTVVGDNTSATKDGHNAPNVLTSRQNSLALTKPDVKQRQFDGSSSMRRAGSLQNYSSRYSSQAVEEVKDLHEVLPSSKSALASSIGILYKKFDEEKACSSLDNEPDPDLSKENLEPIKPDGYTSSDIRKETPEVHAVNDGNTCPVLDTPELDAFHENLETDKPDGCLLLDSGKENLDCQDNEFFVVDKGIEMSSIEPVKVEESFTKASEDTSTVDSAFTYDTGGLHVSSEDSLIHASLDEANDGCKDQSVVDESACEEDDLFTNELLQELESAINSVSDFETAALESPNVAEFKSEYKMRKTHSFDDVTESVANEFLSMLDTDRSPAGSNSDNEPESPRELLLRQFEKEALDGGFSLFDFDMDCDDEADDGYDASNGSEQWNFSEGMHSSSLFQDLQKKHLVESQDVKGKQRAQMLEDLETEALMRQWGLNEKAFHHSPPKDYTGFGSPIQLPPEELPTLPPLAEGLGPFLQTKDGGYLRSMDPSIFKNSKASGSLIMQVSNPVVVPAEMGSGIMEVLQCLASVGIEKLSMQAKELMPLEDITGKTMQQVAWEAMPALEGTERQCHLQHDSIIGQDTTFVQKDLKGTPPSRLKSGNFNSNSVANQTGSEFVSIEDLAPLAMNKIEALSMEGLRIQSGMSEEDAPSNIVAQSIGEMSALQGKGIDIDGSLGMEGAAGLQLMDVKDSGDVGVDGIMSLSLTLDEWMKLDSGDIDDVDNISEHTSKLLAAHHANSFDFIRGSSKGGVRRRGKGSGRKCGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVEREFVLPKQKIFCSVSELRNNNNDEDDEESEIVAKVEMKDTNKEEKISEAELIPQFKITEVHVAGLTPEPQKKKLWGTSTQQQSGSRWLLANGMGKGNNKLPTMKSKAASKSIAPVTTKAQPADTLWSISSRFFGSGKKGKEPETLNPHTRNPNVVIPNDTNRRS >RHN68964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39908991:39919076:1 gene:gene17389 transcript:rna17389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MASKKPWRIIPRPLLETVLNNHAQHHRVHQPLILHGPRGVGKTTFILERVLNDWNKGPHFTSYVDFAQLAQPSISSPWASWSNFPPPSLSDCRNNLNQCLESMAEKALQLGSLTSRQIFNSLNKWHGLTTALRRVLNDTVSKGSTAVLWDRAVLAMTKECSGDEIDRVLGFGKKVKSVMSVEESLYFKESVVALKLAKRVIEIQQGFKGNAIANMNRTGTFSRTLTHSSTDWPFLLLDMLSQAAEIDHFQPKLVINNIEVLKHATENDEFSVSGPLYHDSLIWRIMALGANERCLPVILVTSDSYYSYEAFSDFGHMDVFISREAFGWTPQEAQMHMVTDYFSHSEWKVIAEVLGPNPRHLFELYALKQNNHLHTTAADRASTFEDIVDAYLAYLQISVVNPAMDRASEILQKFAIDVRNGKVSDDKLRFGAPWRHPPKVDDPKLCIEWAKLQLMDFVKSLINAEFGVNYRIDYSEEIFDDPSALALLQVGLLYAQRDPPFFRPISRGIQRCLVRWLVQQRMELSFPQLIRFQWHRIIRGRFYRHLMVQVDSKY >RHN44466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5091840:5092262:1 gene:gene38589 transcript:rna38589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MNEIEMLSQLRHIHLVSLIGYCNEDAEMILVYDFMQRGTLSEHLYGSDNEPLRWNQRLEILLGAARGLNYLHEGAKHNIIHRDVKSTNILLDEKWVAKVSDFGLSKVGPTGISMTHVSTMVKGSIGYLDPECYLLSYPKF >RHN43866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49088007:49090944:-1 gene:gene50500 transcript:rna50500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKKLEIIGPEFYYVQAGEGSNSSFQPFPSLEHIKLHKMSNWKEWIPFKGSNFAFPRLRILTLHDCPKHRRHLPSHLSSIEEIEIKDCAHLLETTPAFPWLSPIKKMKIKKHTDSLGYSIKTPPTLLENDSPCILQHVTISHFYDLFALPKMIFRSYCLQHLELYAIQSLIAVPLDGLPTSLRSLAIVRCKRLAFMPPEICSNYTSLESLWLRSSCDALKSFPLDGFPVLQRLNISGCRSLDSIFILESPSPRPSSL >RHN70163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49214575:49232262:1 gene:gene18718 transcript:rna18718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MTTLRSSWHSRLRQLLSNEGSIGPSIKLDSEPPHKIKAFIEKVIQCPLQDIALPLSGFWWEYNKGNFHHWRPLLLHFDTYFKTYLLCRNDLTLSDNLEDDTPLPKHAILQILRVLQIIFENCPNKSTFDGLEHFKLLLASTDPEIIIATLETLFAFVKINPSKLHGSSKMVGCGSVNSNLLSLAQGWGSKEEGLGLYSCVMANEKVQGEAQCSFPSDAENGSDQSNFRVGSTLYFEVHGSCAQSKDQNVDTVTSSLRVIHMPNMHLCKEDDLSLLKRCIEQYSVPPELRFSLLTRIRYARAFQSPRISRLYNKICILAFIVLVQSSDAHDELVSFFANEPEYTNELVKVVRSEKTISGSIRTLAMLALGAQLAAYTSSHERARILGGSNMTFTGGNRVILLNVLQRAVLSLKSSNDSSSLGFVEALLQFYLLHVVSTSSSGSNIRGSGMVPTFLPLLEDSDLAHIHLVCFAVKTLQKLMDYSSSAVSLFKELGGIELLAQRLQTEVHRVIGFVGENDNLLLTGESSRCNTDQLHSQKRLIKVSLKALGSATYNPANPTRSQHSNDSPLPATLISIFQNVNKFGGEIYYSAVTVMSEMIHKDPTCFSALHEMGLPDAFLSSIVSGILPSSKALTCIPNGLGAICLNAKGLEVVKETSSLQFLVDIFTSKKYVLAMNEAIVPLANSVEELLRHVSSLRSTGVDIIIEIIQKIAFFGDGNGTGSSGKDTESSAMETDSEGKGNESLVGSDDSAAEGIKGEQFVQLCIFHLMVLVHRTMENAETCRLFVERSGIEALLNLLLRPAIAQSSDGMSIALHSTMVFKGFTQHHSTPLARAFCSALREHLKIAIAGFGVAPRPLLLDPRMTTENNTFSSLFLVEFLLFLAASKDNRWMAALLLEFGNDSKDVLENIGHVHREILWQIALLENTKPETEDDGACSSTDSQQVEVDANEADDQRFNSFRQILDPLLRRRTSGWGMESQFFDLINLYRDLGRSTGSLHRTNSVGPSNRRLSSSNQLHNSGSVDVSGANNKEYDKQRTYFTSCCDMVRSLSFHITHLFQELGKIMLQPSRRRDDVVSVNPASKSVASTFACTALDHMNFVDHVTESSISTKCCYFGKVMDFFDIILMERPDSCNPVLLNCLYGRGVIQSVLTTFEATSQLLFTVTPASPMETEDGNAKLNDKKDTDHSWIYSALASYGKLMDHLVTSSFILSSSTKHLLAQPLSSGDAPFPRNAEIFVKVLQSMVLKAVLPVWIHPQFVDCSHDFVSIVISMIRHVYSGVEVKNVSGSSNARITGPPPNETTIATIAEMGFSRSRAEEALRQVGTNSVELAMEWLFSHPEDTPEDDELARALAMSLGNSESDINDAAENDNAQQLEEEMVQLPPVDELLSTCTKLLQKESSAFPVHELLVMICSHDDGRYRSNVVTFIVDRIKECGLVSGHGNNTMLAALFHVLALILNEDVVAREAASKSDFIKIASDILHQWDSSLDQGEKRQAPKWVTAAFLALDRLLQVDEKLNSEIVEQLKKELVNSKQTSITIDEDKQHNLESTLGLSSKFADIHEQKRLVEIACSCMKYQLPSDTMHALLLLCSNLTRNHSVALAFFVAGGFSSLLSLPTSSLFSGFDNVAASIVRHILEDPQTLQQAMESEIKLSLVDASNRHPNGRVNPRNFLLNLASVISRDPIIFMQAAQSVCQAEMVGERPYIVLLKDRDKDKSKDKEKEKDKDKGQNNDGKVVLGSTTTAVSGNGHAKINDSKGVKSHKKPSQSFANVIELLLESIYTFVVPPLKDDTASNIVPSSPTSSDMDIDVCTVRGKGKAVATVSEGNETNSQEASASLAKIVFTLKLLTEILLMYPSSVHVLLRRDAEVSSTRGTYQKSQADLSGGGIFHHILRNFLPYSRNSKKDKKADGDWRQKLATRGNQFMVAACVRSTEARRRIFTEIGHIINEFVDSCTGVKPPGNEIQVFVDLLNDVLAARTPAGSSISAEASSTFMDAGLVKSFTRTLQVLDLDHADSSKVATGIVKALELVSKEHVHSVDSSAGKGDNSTKHSDPSHGRTNNIDNISQSMETTSQVNHNSLQVEHVEPYNVIPSYGGSEAVTDDMEHDQDFDGGFAATNEDEYMHETAEDARGREEGIENAGLRFEIQPHGQENLDDDEDEDDEDDEDDMSGVDGEDVDEDDEEHNDFEEDDVHHLPHPDTDQDDHDGIDPDEIDSDYGAFLNEFEEGEDEDDEDEDGVILRLEEGINGINVFDHIEVFGRENNFPNEALHVMPVEVFGSRRPGRTTSIYNLLGRTGDNSTPSRHPLLVGPYSSFQQSTGQSDRVTENSTGLDNIFRSLRSGRHGHRSNLWSDNNQQSGRSNTGVVPQGLEELLVSQLRRPAPEKSSDNNSNEAGLDSKIVETSQMHNSGGSGVDIPGESNATQEGGMVTHESTDNNNNNADDRPAGNGSPQADVSNTHSQAVEMQFEHNDAAVRDVEAVSQESSGSGATFGESLRSLDVEIGSADGHDDGGERQVSADRIAGESQAARTRRANMSFGHSSPLGGRDASLHSVTEVSENSSRDADHDGSAGEQQVNNDAGSEAIDPTFLDALPQELRAEVLSTQQGQVAGPSNAESQNSGDIDPEFLAALPPDIRAEVLAQQQAQRLHQSQELEGQPVEMDTVSIIATFPSELREEVLLTSSDAVLANLTPALVAEANMLRERFAHRYSRTLFGMHPRSRRGETSRHGEGTGTGMDGIGRSIASRRSGATKVFEADGEPLVDTEALHGMIRLFRIVQPLYKGQLQRLFLNLCAHSETRTSLVKILMDLLILDVRKPSSHCSTVEPPYRLYGRQSNVMYSRPQSFDGVPPLLSRRILETLTYLARNHPYVAKMLLQLRLHRPASGDPDSADIARGKAVMVIEDQVNLGENNEGYISIAMLLSLLKQPLYLRSIAHLEQLLNLLDVIIDSAGGKCNSSEKSHISTEPALGPQISVMDEDVNTNSVTSSGLDAHPNIDDSSEPTPSGSKECENQQVLGNLPQTELQLLCSLLALEGLSDNAYGLVAEVMRKLVSIAPIHCQLFVSHLSGAVRDLTSSAMDELRIFSETIKALLSTSTNGAAILRVLQALSSFVNSSTEKENDGISRAHFEFSEINSALEPLWHELSCCISKIESYSEPASEIITPSTSSVSKPSSVMPPLPAGSQNIQPYIESFFVVCEKLHPAQLGANNDNGVPYISDVEDASTSGTQQKTSGAAMKIDEKHGAFVKFSEKHRKLLNAFIRQNPGLLEKSFALMLKIPRFIDFDNKRSYFRSKIKHQHDHHHSPLRISVRRAYVLEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGAKVTYHDIEAIDPAYFKNLKWLLENDISDVLDLSFSIDADEEKLILYERTEVTDYELIPGGRNTKVTEENKHQYVDLVAEHRLTTAIRPQINAFLEGFGEIIPKELISIFNDKELELLISGLPDIDLDDLRANTEYSGYSAASPVIQWFWEVVQGFSKEDKARLLQFVTGTSKVPLEGFSALQGISGAQKFQIHKAYGSSDHLPSAHTCFNQLDLPEYPSKQHLEERLLLAIHEANEGFGFG >RHN67971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31885874:31888001:1 gene:gene16241 transcript:rna16241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H-quinone oxidoreductase, subunit N MLASASLGCGGPTCSNIQQPPRNSFMAENHNNSVRLMMGWRGKQCNSNRSKRVVKCSSGIGLGDFIGGDLVKFDIGRWLSDVEEHKALAIYTPHEGGYEGRYLSRLQRQGYYFLDLTARGLGDPETTLTKVHPVCPAHLGKQPIARWYFPPEVDYRLEALPPNAKGLVVWIIEAKVLSKAELQFLALLPTLRPNVRVIAECGNWRKFMWKPLNEIAGLTTSQEA >RHN71888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3376482:3377322:-1 gene:gene7535 transcript:rna7535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-farnesene synthase MWIEEREVNALWKGKMSYNRVSYLYNDELLQLATLNFELKQLLYKNELKELKSWAENYEISNMGFGREKTSYCYFAIAAALTSLPYDSYVRMFLAKSAIIITVADDFFDSIGSLNELQILTDAVQRYIHNQNCLDI >RHN46997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36356920:36357198:-1 gene:gene41542 transcript:rna41542 gene_biotype:protein_coding transcript_biotype:protein_coding MITPTDSLDDLKAQLNTYFEHLGENQYTRHLFGQMSCIDLGEDRDEYAWKTASYMPWLIRNDSDVRFMFRNMVEDNTLYMYVRSICNCVECK >RHN74566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34780290:34781621:1 gene:gene10655 transcript:rna10655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MTDFRFVEGTCINYEELCDILEDGIGDLVVDEEGAGIDRRKHTPAHKKFYKKHHTIRGALVKAIPKAKYMKMSDKFTAKSMFTSLCANYEGSKKVRDAKALMLVYQYELFKMKDDESIEQMYLRFQTLVSGLQILKKGYVASDHVRKILRSLPARWRSKVTAIEEVKDLNTLSVEDLVNSLKVHEISLNEHEPSKKSKFIALPSKGKSSKALKAVKYEEELSDGDSDEDPTEKMSMLSNKLEYLAKKNKKFMSKKGGYKNSKKEDQKGCFNCKKPEHFIADCPELQKEKSKDKSKKSSFNTRKFRK >RHN72440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7629690:7631810:1 gene:gene8151 transcript:rna8151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MEKASCKRCRSQQSHDFKSELVILSSSQSSHDESEDEKVQNYDSTASTPEVLRKVVHEAAKTERKASKTNSTADLGTNSAPPHVKTNSLPDLELTRVKKNPFCKPEEEDDNDLYLNYHPGHTRGYEGYDAPFEIPSWMPFTFRPPPAMKLNEICAYIAAYVFMPDAYLNGEEELIRSTKEVSGYRKTLKSLMPRIFVDQEVINLVVSRQNWVMDSLSKTNPRRVWYLPTSFAVFTTTDLTRSFYLFVLLLKSIMFVLLMQQIALGCRHTPQEVRKIFQKDFIPKIFVPINDQGVHWYLMVVDFSERKLVVLDSLPCLERNYIRQREVLKLAIFIEEILSIDSVVDNVDSTNSLSNFCLISPRAFPTQRTGSNDCGVWVAKWMIECPFSSEYQKTNVVTASRMKLALHLVNSDNNTLFNSVVLPKAADYWKVQEKNRKALVKV >RHN44986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10469765:10470707:1 gene:gene39176 transcript:rna39176 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMRNCPSLSEIKMENIGSKSLDNSDSLPDFGVYPQLKSLYLAYNTWIFSSFGIRKEA >RHN56859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34272486:34272772:-1 gene:gene32319 transcript:rna32319 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDKPSVVVSGIPGNLLFWWLGCGNLLSSVELVLVDQNV >RHN76872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1990726:1999143:-1 gene:gene257 transcript:rna257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQIEGKKMVKTLKFVHVMIFFLSLILVAHNCFLVAQNSDAYVPCITVADCPPNTWFKIYRCEKGICRYHKLWIV >RHN75099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39364205:39370582:-1 gene:gene11258 transcript:rna11258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CRK7-CDK9 family MGCMCCKPSAIEDSKDSPRERLSNKPVLDSRVSRGASSRREEAYRVKDRNDNNNDARMALIDKHGQGNGSVRVHGDNFERKREKMEYVIAPHPGIGSVPKAMEGEHVAAGWPSWLAAVAGDAIKGWLPRRADSFEKLDKIGQGTYSNVYRARDLEQRKIVALKKVRFDNLEPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASHPGLKFTESQVKCYMQQLLRGLDHCHSRGVLHRDIKGSNLLIDNNGVLKIADFGLASFFDPNLNQPLTSRVVTLWYRPPELLLGATYYGTAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQQPYRRCVAETFKEFPAPAIELIETLLSIDPADRGTSASALISEFFSTKPLPCDPSSLPKYPPSKEFDAKVRDEEARRQGAAGSKGQRHDPERRGVRESRAVPAPDANAELVVSMQKRQGQNYSQSRSEKFNPHPEDAGSGFPIEPPRPSQAAEANVDPQANQHKRASHSGPLTHRAAWAKAGKNQDDAPKISVGGDLSTMSGLVAARRSMLSDDRRESSGSSQVEAPKLITRFPGSFKEASESLMQQNQNQKHHVHASQKEDAKGSNKDPNNVGYGSKGYKIHYSGPLLVPSSNMDQMLKDHDRQIQEAVRRARLDKAKMRRLQAEGNQINNSLFVSGR >RHN63790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54040398:54043566:-1 gene:gene26537 transcript:rna26537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rhodanese-like domain-containing protein MAMEITAMSSLPTPKPSLPSPSTLPHTITTFSKPQLRRISLPTSTTISLLTLFTPPNEARAAVNISKDQIVSSLTQVEQTIDQVQVVGSGFLDSAQRVAEAVGSALKPGFDTALPIVQQAGQEALKIASPAFSEASKKAQEALQSSGLDTQTAAKTVADAAQQTTKVIEGAKPIASSTMETITSSDPTVIAGTAGALFIAYLLFPPIWSAISFNFRGYKGDLTPAQTLDMLCTQNYILIDIRSEKDKDKSGIPRLPSSAKNKMVAIPLEEVPNKIRGLVRNVKRVEAEIAALKISYLKKINKGTNIVILDSYSDSAKIVARTLTGLGFKNTWIVGDGFSGGKGWLQSRLGTDSYKFSFAEVLSPSRIIPAGVRGGFGTTSRQSTQKLLPGAD >RHN52091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31399500:31399817:1 gene:gene36711 transcript:rna36711 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMKLSHFAFDKRNNRIESNNIGNQGFKLPPIESNNSGNKGFKFPPIFFISRVHAT >RHN73059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13122122:13129786:-1 gene:gene8836 transcript:rna8836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde dehydrogenase (NAD(+)) MAFWWSILVLAFAFAICKFLLLLIPPKVPSIDVDASDVLEVGNQSQENNFIYVPPRGTAQQSGKKVQCYEPATMKYLGFLPALAPDEVKERIEKVRKAQKMWAKTSFKQRRQFLRILLKYIIKHQALICEISSRDTGKTMVDASLGEIMTTCEKINWLLSEGEKCLKPEYRSSGRSMLHKRARVEFHPLGVIGAIVSWNYPFHNIFNPMLAAVFSGNGIVIKISEHASWSGCFYFRIIQSALAAIGAPEDLVEVITGFGETGEALVSSVDKVIFVGSPGVGKMIMKNASETLIPVTLELGGKDAFIVCEDVDVDHVAQIAVRAVLQSGGQNCAGAERFYVHRNIYPSFVSKVTKIIKSVTAGPPLAGKYDMGALCMHEHSEKLLGLVNDALDKGAEIVARGSFGHIGEDAVDQYFPPTVIVNVNHSMKLMQEEAFGPIMPIMKFSSDEEVIKLANDSKYGLSCAVFSGSQSHAREIASHIHCGVAAVNDFASNYMCQSLPFGGVKHSGFGRFGGVEGLRACCLVKSVVEDRWWPFIKTKIPKPIQYPVAENGFEFQESLVEALYGLSIWDRLQALVNVLKMLTEQNSTGGNKKEK >RHN70553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52257389:52261508:-1 gene:gene19143 transcript:rna19143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lariat debranching enzyme, metallo-dependent phosphatase MKIAIEGCMHGDLDNVYKTLQHLEKTNNTKIDLLLCCGDFQAVRNKNDLKSLNVPDKFLSMNSFWKYYSGLEVAPYPTIFIGGNHEASNYLWELYYGGWAAPNIFFLGAAGVVKFGNIRIGGLSGIYKHHDYKLGHFERPPYNHNTIKSVYHVREYDVRKLIQVKEPIDIFLSHDWPVRITDHGDWEQLVRRKPYFQQEIEEKRLGSKAAAQLLEKLKPQYWFSAHLHCRFAALVQHGEGGPVTKFLALDKCLPGRDFLQVVEIESEPGPYEIQYDEEWLAITRNLNYVFPLTPKAADFRGVNFEMEDCRKWVKSKLQERGCKPPEFVRTVPCYDPSQADVNGDSSVNPRNPQTEYLLRLLELPYLLDRNSEGKDVSPYSSLIPRGPADNNSEDIPIDDVDDDDDEGLPTET >RHN55162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15702290:15703104:1 gene:gene30299 transcript:rna30299 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFKGSNPHLVRTMVSFVPVSRVLLSSQSHQLLPNVIRLQDYSRSNNIRKKVSFECSIAYQLIQDYS >RHN51414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17449455:17449897:-1 gene:gene35845 transcript:rna35845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase-associated protein Rtf1 MDNDSNSSVESCNSARFFSTGKKRNNFQQQLVIGSKRVKIDNEETSGSKSYIKQESSFRNWISSMVKGLSQSTQDDSNTLAVSLANPNLHNAWFDEKVSHAKRIKILSRKIQDSNPFFSPCIVQV >RHN81713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48392386:48397790:-1 gene:gene5795 transcript:rna5795 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBP2 MADGFWNRQQQHLPPPGGMLKRPRTEYDTAPSGVTSGNEVHNYIAQNNGHQMLNDTKILGSAYDRFLQSAGLTSFNSGEASVIGGVGFARGVGELPGHSLGDPSAMGHLSGVGGGPDLSRNGRDVNFGGQLPIDAVSRPGPETIPLPRDASSTLYVEGLPSDSTKREVAHIFRPFVGYREVRLVAKESKHRGGDPLILCFVDFANPACAATALSALQGYKVDEINPESSYLRLQFSRSPGRRSGGPGPRGKR >RHN53612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2844291:2849533:-1 gene:gene28537 transcript:rna28537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EEIG1/EHBP1 domain-containing protein MFRSSKWRSEKNRIKAVFKLQFNATKVLQSGVDALVLSIVPGDIGRPTKRLEKATVQDGNCRWENPVYETVKYYQDPKTREINDKIYKFLLSTGLSKASAVGEVSVNFADYVDATKPSHVSLPIRNSHGDAVLHVSIQRMQEKNDQIQREEDECEDIKLKFDDMSSRNQFSNGDTDESTKSYFSEDVSSKAIINRTSSGSDVTLSSSDDSSGVDTPCELGLRKTNIQPTTNQFVPVMSRAAESPNAAVNALTPMHDLHQRSQWGWSSSSELGLSMGDSTNGSQNALSKESSQEASHLEIERLKAELAALARHVDVSDMELQTLRKQIVKESKRGQDLMKEIIILKDERDALKTECDNVRSFHKRMDDAKVRNRSQLESGDHHAFVEEIRQELNYEKDTNANLRLQLKKMQESNAELVLAVQDLEEMLEQKNMNMSKHSNGQEHNKNSQELEMKLSQCETSDDEDQKALDDLVKEKSDAKETHLLEKKIIDLYGEIEMYRRDKEELEMQIEQIALDYEILKQENHKLVHKLEQSQLQEQLNIQYECSSPPGAMNGIETHIENLEKELKEQSEDFSNSLATIKVLETHIRRLEEEMEKQVQGFEADIEAMAREKVEQEQRAIQAEDALRKTRLKNANTAERLQEEFQRLSMQMTSTFDENEKATLRALTEACELRSQKTILEEMLHKVQEELQSTKTDYEVKLNDLSNQIDTMKFQIQQMLVEIEDKSKQLENQKKLGEQVNRDFSEEFDMLKAENENLKLEISLLNEQVEGKEILRTDLELMKKSIEESETLLHQGTVERDELVSTIASLKKEAEHSLNELSKMRNFKEEKEEEARLLKSELEAIRVQCSDLKKSLFEDEAEKEKLRKQISQLKSEIKKKGDALTSIEKRFRDSNGRNQLSDGSKTIPINKKIASSPHHSKEMASLREKIKMLEGLIKSKETALETSTTSSMKKEKELQSRIVELENKVEEFNQNVTLHEDRSIKSSNEISEKVRNRLEHADNSLSGVLTELSSLKERNKSMESELKEMQERYSEMSLKFAEVEGERQILVMTVRNLKSVHKG >RHN77723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8962460:8963438:1 gene:gene1207 transcript:rna1207 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTITHRQISFISMVDYFNVVSPNSISFIDSIEKSIFFLSQNCTILLSK >RHN73556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17640099:17640686:-1 gene:gene9381 transcript:rna9381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MTNNVFSRFRQAQAQSYRFRHTRFYFFISTLELFVFLLSRLRRSPHSASSYDFFLLGLLVKYNNNELCTKKLYLTCFSLILLSFPFPFASKSSTYPKKLSAYKCGSDPFDDVESRFDIRIYHVSISFIILDREVTSQQDSSVWILVYEGLFIDFDDQISLYEWKVGA >RHN54457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9763478:9768834:1 gene:gene29505 transcript:rna29505 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLHFFEFNQGRMAKKVHAHKRHHSDFETHRNNLDLQVETSQKYSPEGELPHYYHVEEELSENNRYSNAGSMKKLINEELSNRSSTRQNAPSLVARLMGLDMMPVDTKPAPSDKRISENMGKKFSNKGTNGRSSVSWESSNFNSSSHIEFDSFHKVKDDGDDDGWSQSFGEQRRREHPQEKELQKFKKEFEAYQAARFQECSKFAEIGSVSSRLIFQENRNSEKVALAHNTSMQRKIFPSKSRTLSRDFEESLMIKSYNRLDASSPTRIVILKPGPDCIFNHEENWTSSTGTLPGRHSIEDFLEEVKERLKCELQGKTVGKGYAVRGSDIETLRNKKPSDPKLIARQIVKQVKENVTRDNDSNSVCSESTRSYEGEGKFNGPSFPEFISRDSRSYLSEKLTDVVKSERHDTFDNHRFRLKKTEDILKCANEWEISKEETEIQTGSFRHEQDNNILFHRELLSPRNLVRSLSAPVSRSGTSFGKLLLEDRHILTGAHIRRKLEAVETMSLDVKKQKKERFNNIKEKVSNLRYSFALRGRIFGKRNQSMVESHGTEYRPTMRDITSGPTVLVNDGGERHENYTEVPPSPASVCSSVHEDFWRRTEYLSPISTPDLSSRDDTAVPQVFRDISSGLNELRRQLNQLDSSDVEDFTTNQKPSESELVQINDPAESYIRDLLVASGLYFGSWNKSLLRGDTYAKPIGNSVFEEVEESHKKLIKENNENSMKDQSENKLDHKILLDLLNESLSVVLGPPLTLSRFRKKLCNSSMLSPPQGKELLKLVWENIRASLYPSLDMSLYSLDTLVAQHLTSIPWSGIINDEINILEREVECLITNDLVEELTKDLL >RHN72173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5527038:5527743:1 gene:gene7856 transcript:rna7856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLNYLDLSNANFTGIVPNHLGNLSNLRYLDISDQFSPIMGRDLSWLSALSSLHYLGMDFVNITNSPHELFRAVNKMSSLLELHLSSCNLASLPPSSPFLNRLKCSSLNLVSLSSLN >RHN42250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36716211:36717065:-1 gene:gene48667 transcript:rna48667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative encoded peptide MAYKFQYTMKYFALFLALIACNYSLQSHARLIKPSNHHNVPISTSEKKVESTIKSNNEVASYFGDSSEAHTNAFQPTTPGNSPGVGHRYFTDEDIDVNSKKTVAQSKDDNKYVTEDTTNEFQKTNPGHSPGVGHSYQNKIGN >RHN52784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38782606:38783476:1 gene:gene37494 transcript:rna37494 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIYVKLVLIVQEPIYCSFLVCGSALTVDVDMYIFSNDDTSCTSTRPKRVHKHHGGKNEFLYW >RHN46844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35267939:35270675:1 gene:gene41378 transcript:rna41378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MHKFSSKVLSFPHNPCKFMAFLRSIHTTTAASYESIYQTNQLLNQLSKSGQVNDARKLFDKMPQKDEYSWNTMISSYVNVGRLVEARELFDGCSCKSSITWSSIISGYCKFGCKVEAFDLFRSMRLEGWKASQFTLGSVLRVCSSLGLIQTGEMIHGFVVKNGFEGNVFVVTGLVDMYAKCKCVSEAEFLFKGLEFDRKNHVLWTAMVTGYAQNGDGYKAVEFFRYMHAQGVECNQYTFPTILTACSSVLARCFGEQVHGFIVKSGFGSNVYVQSALVDMYAKCGDLKNAKNMLETMEDDDVVSWNSLMVGFVRHGLEEEALRLFKNMHGRNMKIDDYTFPSVLNCCVVGSINPKSVHGLIIKTGFENYKLVSNALVDMYAKTGDMDCAYTVFEKMLEKDVISWTSLVTGYAQNNSHEESLKIFCDMRVTGVNPDQFIVASILSACAELTLLEFGKQVHLDFIKSGLRWSQSVYNSLVAMYAKCGCLDDADAIFVSMQVKDVITWTAIIVGYAQNGKGRNSLKFYDAMVSSGTRPDFITFIGLLFACSHAGLVDEGRKYFQQMNKVYGIKPGPEHYACMIDLFGRSGKLDEAKQLLDQMDVKPDATVWKSLLSACRVHENLELAERAATNLFELEPMNAMPYVMLSNMYSASRKWNDVAKIRKLMKSKGIVKEPGCSWLEINSRVNTFISDDRGHPREAEIYTKIDEIILRIKEAGYVPDMSFSLHDMDKEGKEVGLAYHSEKLAVAFGLLAAPPSAPIRIFKNLRVCGDCHSAMKYISRVFTRHIILRDSNCFHHFREGECSCGDYW >RHN55472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18776653:18782570:-1 gene:gene30656 transcript:rna30656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative argininosuccinate synthase MAQLKSFPSHPCTTTSAPSLRSTEHILLFNRFWKANPCSYKELRPRTSVDATRLQVVKAVLRSDTDVEVSEAKKGSGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCDVVCFTADVGQGIKELDGLEAKAKSSGASQLVVKDLKEEFVKDYVFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAKEVGADAVSHGCTGKGNDQVRFELTFFALNPKLNIVAPWREWDITGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKKDMYMMSVDPEDAPDQAEYLEIGIESGLPVSLNGKTLSPASLLAELNEIGGRHGIGRIDMVENRLVGMKSRGVYETPGGTILFAAARELESLTLDRETIQVKDSLALKYAELVYAGRWFDPLRESMDAFMQKITETTTGSVTLKLYKGSVTVTGRKSPFSLYRQDISSFENSDIYDQADAAGFIRLYGLPMKVRAMLEQGI >RHN76272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49058053:49059038:1 gene:gene12567 transcript:rna12567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MDIGICTFVLLLLMASSSRSQSVVLDVTKHATISVGEITEALIATWKEACASTSSSKILIPKGTYKLKQVNLRGPCNAPIEIQVDGTIEAPADLTQLDGKYQWIIFGYFDFFTLSGVGTFDGLGEVAWKQNGCGKNKNCNMLSMNFGFYFLNNSIIKGITSKDSKYFHVNVFGCKNLTFMDFSATAPATSPNSLTPMEFTSENQHNEFLH >RHN49073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52253755:52254300:-1 gene:gene43862 transcript:rna43862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MILNEPLPPMPNIPSELLTEILSMLSMLPVESLLRFRSTSNSLLSLIDSYNFTTLHLKNFFNFNLIVRCDSDFYKLDFHNLSIAYPGNRIMLTSNNNRVTLFGSCNGLLCISKVPDHITFLNPNIRKYRNLPKPPLLIEQKQEEEEEEEEDTRDSICNHGFGFDPLTENYKLVRITSFGGT >RHN50806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10682055:10688216:1 gene:gene35141 transcript:rna35141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative YTH domain-containing protein MSTVVASSPDTTKAADLMQNLSLDSAEPRTIAVPEPAKKAGHGQANEVPKTFNPNASYVPNGHPSISYYYGGYDGQGDWNDWNVYQNYVNANGGMAQGVYGDSGSYMYPQGYGYAPYGSYPSPSTSPSLPHDDKLYGLQQYQYPSYYQPSASANGTYGATANKTNVHGGKTSTPVTAEHVPSSVMNKGSTTTMTNGYSSNNNVSNDSYQRAGYAAYAPVSGYAGTYGTQPSYPSDPLLFSDRQSKNGSKTGYSTPAVPRKDFSSQRNSSLSQPLPQYSNLHGYSSGLGPYSGYPNGMYPSNTMYSQYGNTYRGRSNYGSTYGSRTGSYDNKYRSTSYGRGFDHVKRNVDGFGELNKGPRGSNSSDDKNDKSLGPVTLLLKGQDLPIKSDDDKEVHPVPDKQQYTGEDLSENYSDAKFFVIKSYSEDDIHKSMKYNVWTSTPNGNKKLDAAYLEAKEKSADCPIFLLFSVNTSGQFVGLAEMVSPVDFDRTVEYWQQDRWTGCFSVKWRIIKDIPNNVLRHITLENNENKPVTNSRDTQEVKFEKGVEILKIFKEHSSKTCILDDFAFYEAREKTIQEKKAKEQLSKEIVNKSNDSATVGTDVLPKSQDGTLVNESVVPDAAGTGEKLVEANGPTSPTEPPADSLNSSLTSDDVKQ >RHN58240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:204829:207281:1 gene:gene20129 transcript:rna20129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MGEETQDRKQAKVVVEDKKKNHDSQHSAVPCKPGDGNLRSPICCIIGDVNTGKTKLLGGIRGNNFQEGGIDQQSGTTYFPVHGSRRLLVIDTPGHQSFNNSISWGSSLCDIAILVVDIMHGLDPETVESFRLLKTRNTQFIVALNKVDRICAWQTCRNAPIREALMQQYGSAKYEFRRRVIKIISQFRMLGVNTQLYYENKTMGETFNIVPTSAISGPKRPKLRNLHTVKMCRLACTVLEVKVIEGYGTTINVVLVNGVLHEGDQIVVSGMKGSIVTTIQALLRPHPVKELLVKGSYIHDKEIKAVMGIKITAQGLEHAIAGSSLYVVKPDDDLEYFKKAALEDVESVLSRFERSGESIYVQATIPGSLLKRGVMNFKKTPEVNIPVSAIDHVHKKDVKKASARAMLEKNVQENSTILTTFDVKVTPEYRELASDSLRFTPTTLRKRKGKNLLMRPTSHAW >RHN40013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12277396:12278577:1 gene:gene46102 transcript:rna46102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MHFITWNPLGLRATQLRFITWNTTEKNYTRMAKMKETLYLPSELIIQILLRLPVKSLLCFKCICKSWLSLISDPHFANSHVDVSAAKIVSISRTRPLAEIRFIDFETSINHDSVSLDHSFLLPKGYFFYEIKGSCRGFILLNCLTNLYVWNPSSRFHKEIKLSPFACKFLAYNPRHLLGFGYDGLRDDYLVVLLSYDPTLVKTSSYLEFFSLRDNKWNEIEGPHITYLNATANRKAGGSFFNGAIHWLASPYHKIPLEVIVVFDLMERKLLEIPLPDDYDHGPEHYGLWVFGKFLSLWNMNFDNRTVEIWEMKEYKQQSSWTKTLVIPIDNDIPWFSPVYSTKSGDIIGTSNIGLGLVKYNNKGQLLEYFAMNSIASEVAMYTESLLSLPGGP >RHN40447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16378351:16383963:-1 gene:gene46599 transcript:rna46599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MGDENMREPLLEKKQYYKDCPGCKVEQAKELNKGVSITNLLVIWMVVLCSTLPASSLFPFLYFMVRDFKIAKSEADISYYAGYVGSSFMLGRTLTSVLWGMVSDRYGRKPVIVFGVFTVVVLNTLFGLSTSFWMAVITRFLLGTLNGAIGPVKAYATELFREEHQALGLSTVSAAWGTGLIIGPALGGYLAQPAEKYPNIFPKGSFWDKFPYFLPCFIISGVSFVVVIACLWIPETLHKHNGSSESIDDAEAIENGSKKVDKEKIVQKNENLLMNWPFMSSIIAYCVFSLHDIAYQEVFSLWSVSPLNLGGLNFTTDDVGNVLAITGLALVIYQLTIYQYMEKACGPVNISRITGIFSIPVLQSYPFIAMLSGITLYIIICLASILKNIVSVTITTGLFLIQNRAVEQHQRGAANGISMTAMSLFKAIGPAAGGTILTWSQKRMDASFLPGTHMVFFFLNLFEGLGILMMFKPFLGEKKKTHLDQLH >RHN50427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7068184:7069455:1 gene:gene34709 transcript:rna34709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MFPTSKSSLYDEYLDDYMSKIAMEHLPQDRPLWEIHIIKYPTKNAAGTLVFKLHHALGDGYSLMGALLSCLERADNPFLPFTLPSSKIRPKSIFNTKAFFKRSFPSIFSKVFDTISDFGWSMLKSSLVEDDVTPIRSCEDDIKLRKINISSVSFSMDRIKEVKSRLGVSTNDVIAGLIFFGIRLYMHQMNQDSSKNQSTALVLLNTRNIGGYKSVKEMVDIKNNNDGSAWGNQFAFLHVSIPKLSDKKYENPIEFIWEAHKEINRKKNSLATPLTGMILNMVKKLRGPEVGSYA >RHN50900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11634503:11640816:-1 gene:gene35252 transcript:rna35252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosinetriphosphatase MEQKHVFLSALSVGVGLGVGLGLSSGQAVQKWVGGIRDIDEVSGEQIVEELKRLVVDGKDSKVTFDEFPYYLSEKTRVLLTSAAYVHLKHLNFSKHTRNLSPASRAILLSGPAELYQQMLAKALAHYFDSKLLLLDVTDFSVKLQSRFGIPRKEAYFRRSISEMTLERMSGLFGSFSMMPSTGETRGTLRQQNVESSNNPPRLRRNASAASDLSSTSSQSGSTNPASLRRTSSLCFDEKLFVQSLYKVLVSISGTDSIILYIRDVEKLVLKSPRLFNLLQRMINKLSGSVLILGSQISDLEYDCKELDERVARLFPYNIEIKVPEDETHLGSWKGQLEEDMKIIQFQDTRNHIAEVLAENDIDCDDLNSICHADTMILSNYIEEIVVSAISYHLMITKEPEYRNGKLVISSNSLSQGLSLFEEGKSSGNLKTNDSNKENVDDIAGVKNDVRNENKSEGEKSIPVTKKEGEIPVPVKAEVPDNEFEKRIRPEVIPANEIGVTFADIGALDEIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVAPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLSGPNEQILVLAATNRPFDLDEAIIRRFERRIMVGLPSAENREMILKTLMSKEKHENLDFKELATMTEGFSGSDLKNLCITAAYRPVRELIQQERKKEMEKKKKEAESVNSEDASNSNDNDEPEIILRPLSMEDMKQAKNQVAASFASEGSVMAELKQWNELYGEGGSRKKQQLTYFL >RHN74107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27935209:27943809:1 gene:gene10089 transcript:rna10089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MAGRNDAAIAAALEAVAQAVGQQPAAGNGEVRMLETFFRNHPPAFRGRYDPNGAQNWLKEIERTFRVLQCSEVQKVRFGTHMLAEEADDWWVSLLPVLEHDGAVVTWAVFRREFLNRYFPEDVRGKKEIEFLELKQGDMSVTEYAAKFVELAKFYPHYTAETAEFSKCIKFENGSRADIKRAFGYQKIRTFSEFVSSCRIYEEDSKAHYKVMSERRGKAHQSLPKPYSAPADKGKQRLNDERRPKRRDAPAEIVCFKCGEKGHKSNVCTKDQKKCFKCGQKGHTLANCKRGDVVCYNCDEEGHISSQCTQPKKIRTGGKVFALTGTQTTNEDRLIRGDPE >RHN68983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40067160:40073089:-1 gene:gene17409 transcript:rna17409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MEAPSSQNNDNITSPLLSKKNDSEENSPIKQVALTVPTTDDPSLPVLTFRMWVLGTLSCVLLSFLNQFFWYRTEPLTITAISAQIAVVPLGQLMASKITKRVFFKGKSWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHIVTAVKVFYHQHITFCVSFLVIITTQVLGFGWAGIFRRYLVEPAAMWWPANLVQVSLFRALHEKEERTKGGLTRSQFFVISFLCSFAYYVFPGYLFQMLTSLSWICWVFPHSVLAQQLGSGLKGLGIGAIGLDWSAISAYLGSPLASPWFATANVAVGFVFVMYILTPLCYWFNVYNAKTFPIFSNQLFTSKGEIYNITEIIDSNFHMDLAAYEKQGRLHLSTFFAMTYGVGFAALTATIVHVALFHGREIWEQSKSSFNEKSMDIHTKLMRRYKQVPEWWFVCILIATIATTIFACEYYNEQLQLPWWGVLLACGIAIFFTLPIGIITAITNQSPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMTQAITFLQDFKLGHYMKIPPRTMFMAQVVGTLIAGLVYLSTAWWLMESIPDICKDTSSVWTCPGDTVFYDASVIWGLIGPRRIFGNLGTYENINWFFLGGAIAPLLVWLATRAFPQQEWIKLINMPVLIGATGMMPPATAVNYTSWIIVGFLSGFVVYRYKPEWWQRHNYVLSGALDAGLAFMGVVLYLCLGLEEISIDWWGNNLDGCPLAHCPTAKGFEVQGCPVFT >RHN70379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51013987:51016065:-1 gene:gene18949 transcript:rna18949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small VCP/p97-interacting protein MGLCFGCFDGGNKRMTKEEERLASEEARARAAEAAQKKLEQQGEVKQSGEKQVENSNNGEPFVKV >RHN69899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47173161:47173809:-1 gene:gene18430 transcript:rna18430 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHSRKLQTSLLLLLLFLCIFGHCDGSRATNVFKVKPKYEHKGHFFGFLPRRIPIPYSSPSRKHNDIGLQSLRSP >RHN54338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8838511:8841753:-1 gene:gene29354 transcript:rna29354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MLLFSNNNFAAEKWLGFVTAIWVQAICGNNYTFANYSDVLKSLMALTQMQLNDLSVAKDVGKAFGILSGLVSDRWPTSAILIIGAIMGFIGYGAQWLVVSQRIAPLPYWLMCIFLCMGGNSSTWMNTAVLVTCMRNFPKNRGPISGILKGYVGLSTAIFTDICTALFSSDPSKFLLMLTIVPAIICLIAAIFLHETPPASTSTEENKETQFFNVFNLIAVTVAVYLLAFDISGPHKHVISLVFTVGLLILLAMPLLVPLYLVVFKTRPSVDKEKEVHEPLLAQKVSEEKEETRTKEEEVEIKRKPVIGEEHTIIEMVKTIDFWVLFVSFLCGVGTGLCVMNNLGQMGQALGYHDVSLFISFISIWGFFGRILSGSLSEYYIRKSGMPRLVWNAASQILMFFGYIALAMALPGSLYIGSILVGICYGVRLTITPAAASELFGLKYYGLLYNILILNLPIGSFIFSGLIAGYLYDIEATSVPGGGNTCSGAHCFMLVYVIMAFACVLGCGLDLFLAFRTKNVYSKIHTERNLVNSQVQH >RHN63200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49299759:49303544:1 gene:gene25876 transcript:rna25876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylglutaconyl-CoA hydratase MCALRVLNRLSNTTSYFKTWKPYHQHHTHRTLILDSSASQFVKLHNLTGSDSGIIEVSLDRPEAKNAIGKEMLRGLSNVFELINQNSNANVALIRSLVPGVFCAGADLKERRIMDLSEAKFFVNSLRSTLTFLEAIRVPTIAVMEGVALGGGLEMALACDIRICGANALMGLPETGLAIIPGAGGTQRLPRLVGKAVAKDIIFTGRKINGIEALSMGLVNYCVAAGEAHSKALEIARDINQKGPVAVRMAKRAINEGVETDLTSALVLEEDCYDQVLNTKDRLEGLAAFAEKRKPRFTGE >RHN73481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16882449:16883432:1 gene:gene9298 transcript:rna9298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase SIN MSCPNEKYGCRETIDYSQKTKHEEKCIYVPCYCPISGCDFVASSEVLSNHFSNKHEDSQIKFSYGQSFIVSLKSDDDAIILQEKYDGKLFILINSTITTLLGNAVNICCFGPNASESEYSYGIKARSQRCKLKLHSFVTNVQQVTLGTLSPEFLMIPNGSSKPLKLEICITCTNPVMQIFVRDLNGKIITLKVKSLDTIFSVKEQIHDKKTYPVQDQRLTFCCRQLHDSMTVADNNIQKDSTLHLTLRLLGD >RHN74283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31473472:31475521:-1 gene:gene10320 transcript:rna10320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MELGLSLGDSSKPLIGLMEKHPHQTSKELGLGFNTTLSICPIINTTPRDQLHQQQEEEKTQRDININNNSNRTENPNHVLHQLDLLPQLSFPWNNPSQNGNLLSTEFGGCSRGLDVNVVPPATVVVMADDEIALSSSPNSAASSFQMDLCMYSRGGSGGRSLSGSGGNKREFSDGEGYDQRNSSRVSDEDDNCGVRNTRKKLRLSKDQSAFLEESFKEHHTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLHKELQELRALKTSNPFNMQLPATTLTMCPSCERVATNSTATSSVTNTSATINGNNNNKINQ >RHN50249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5491333:5494482:-1 gene:gene34518 transcript:rna34518 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVISSFCVLVLVSYAVAYDPLDPNGNITIKWDVMSWTPDGYVATVTIHNFQMFRHIMNPGWTLGWTWAKKEVIWSVTGAQTTEQGDCSKFKGNIPHCCKKIPTVVDLLPGVPYNQQFSNCCKGGVVAAWGQDPAEAISAFQVSVGQAGTSNRTVKLPKNFTLLAPGPGYTCGPAKVVPSTNFLTPDRRRKTQALMTWNVTCTYSQFLARKNPSCCVSLSSFYNETITPCPHCACGCQNKKNCVKSESKILSMVGVHTPKKDNEPLMQCTHHMCPIRVHWHVKTNYKDYWRVKIAVTNFNYRMNYSLWSLAIQHPNLNNVTQVFSFNYKPLVPYASINDTGMFYGMKYFNDLLMEAGPKGNIQSEVLLQKNKDTFTFKQGWAFPHKVYFNGDECMLPPPDTYPFLPNSAPARLVTFKALILSLFLLLAVW >RHN72177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5529234:5530135:1 gene:gene7860 transcript:rna7860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSSTFPPFVGSCRKVHGFKLPQTYFIYSMYSLTISGYVPYTRHIELVLKGRITEYLNQSPVHSIIDLSKNNLSGEIPEKIAQLIHLGALNLSWNQLTGNIPNNIGSLTNLESLDLSHNHISGSIPPSMASITFLSLLNLSYNNLSGQIPVANQFGTFNELSYVGNAGLCGHPLPTNCSSMLPGNGEQDRKHKDGVDGDDDNERLGLYASIAIGYITGFWIVCGSLVLKRSWRHAYFNFLYDMRDKLLYLMP >RHN59378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10065351:10080446:1 gene:gene21404 transcript:rna21404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polynucleotide adenylyltransferase MAHRQLIDSLTSHISLYHSHSPNPNPNPNPRSSILKWFSSLSIHHRLSYLTILDHNFILILLQMLNKLQSNRHTSFILLADLPSRDPPFLPTLCFKKSHGLLSRIADKDTACQFISESVRLFDSREGENSSSSPSHATCSMKCLDGKNSKKSQATCSMKCLDGENSRATCSTKCLDAMTFTEKFVENVDRFVEVMDEISGGGFLRGEESEIGEDWVELNWLKSKGYYRIEAFIVNRVEVSMRLGWMNSCGGKRRGVKLKEKMNLAGVAANVYWRKKGCVDWWRNLDSVMRKKVFDTILMKSAKALTYEVLKMASSASKDAVWLYNRGEGVDKLLDYNCTASSQRTIRAFRDDTEFGRIITPVSLRKKPAALSRALHSLSVLQDISILLTSSLNSEYDVETLFFSSLGSVSTISDCILRKLRGFLMVISLDCTKHELLEEFDKPSSGKPKEKLGLSNRKKKGRTRNTKKQNPAQKSSVNGVSHENIHKDIDCIVDNEKKTDIAKPRELPDVPLVKDISTEGSSSTVKIVDNTRELNVGKPRTTPRKRRKEKNKNKSTPVDSAVVDSHKSITHVASTTAISKVEVAICDSSFDSSTIQNVKNDDSIGNDILASNSSLCCSLNGLTKENSSTRSVEEENVGGLAESCNSSGPQCCLLSNERKKLSSELDTCDVECKVITPPVPASKNGSLSGNKDTCSLNTTSAAKADVKSTINDKPIREVNVKEFGMLKERDKCLFDSRNSAFSKCSPYEWPGIPSIYFPSFNSHLPPATDRLHLDVGRNWHNHFCHPFVPTLQQARNTPVPMSFDWPPVFRGGVTPSPNCNYDSSFMSRRQCKFSKGLAVHSMQVDATTHDDERRYSGDTLDLPDQINTQFTDEFDNLYVSEEEYDFHAVSGIDYNQYFGGGVMYWNPSENPGKGFSRPPSLSSDDSLWALREADMNRTVDDMVAFSSSYSTNGLASPTAATFCSPFDPVGTGTQTVGYVMSGNDVPGKVLHSSSVTDSAVDDDASSSLGNNLPGEIEGKAGDSHPYPILRPIIIPNLSRERSICVDHKSPCVPPTRREQPRIKRPPSPVVLCVPRAPRPPPPSPVSDSRKQRGFPTVRSGSSSPRHWGMRGWYHDGSNLEDGCLRMDGAEVVWPSWRGKNIAVQPLIQPLPAALLQDRLIAMSQIARDQEHPDVTLPLQPPELRSCSATSASLSSMHGMLHDEIDSFCKQVAAENLARKPYINWAVKRVTRSLQVLWPRSRTNIFGSNATGMALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPQDVITSSAPTLHSLKEESHSTTGEHVNDSHSDIIQLEDSALLKRSQTNVYALKDSNSVRVDISFKSPSHTGLQTTGMVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEHHLGRPINQNYGSLLVDFLYFFGNVFDPRHMRISVQGSGLYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYTVLENELTFLSGDGESSSRPSYRLLPKIIPSLDVS >RHN43781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48439548:48440168:-1 gene:gene50403 transcript:rna50403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation protein, beta-barrel MQAFVLKKRRELIEIVSEVDDKLSEDFHGGSQISESVLDDAIRRATIARKFVPIFMGTFSDERYEGLELLREGVIRYLPSPIDVSNYALDLNKNGEKIELSGSIDAPFVAKAFTNNLNRSDLLTYLRIYQGVIKKDDFITNVNTGEKIQILTLCKRHDDEIEYVDEAHAGEIVMLFDALLKSGDTFTDGSVRYTMTSADVPTYSVS >RHN76514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50885510:50889332:-1 gene:gene12854 transcript:rna12854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MFHTMEYFFTLLMILSMFKLGLSITTTFNVLQYGAVGDGKTNDSPAFLKAWKDVCNSKSGTSRLIIPAAKTFLLRPIAFRGPCKSNYIYIELSGNIIAPKTKSEYSGSPINTWIGFSFVNGLIISGKGTVDGRGSMWWKQPCIGNPPPGTSCRPPTAITLNRCYRFQIKGYTSINPARSHITLTSCKKGIISNIRLIAPGESPNTDGIDISASRDIQVLNSFIATGDDCIAISAGSSVIKITAITCGPGHGISIGSLGARGDTDIVEDVHVKNCTLTETLTGVRIKTKQGGGGFARRITFENIKFVRAHNPIWIDQFYCVNQMVCRNMTKAIKVSDVTYRGISGTSLTDKAINLNCDQNVGCSNLVFDRVYVRSAVPKMKVFSFCHNAHGRASHTKPILNCLLK >RHN81251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44353076:44354830:-1 gene:gene5266 transcript:rna5266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNIDNNGTSEPPHNNNNGFDANGFTYILILVFGLVFLVLSIVIACVRLRSSRSRNILNILSGFPPHRHEDSIMEQGLRHHTDTSFEGYPKLLYSQIQKNGSSTSISCSICLGDYKESDMLRLLPDCGHLYHVACVDPWLVLHSTCPICRKSSLAST >RHN76794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1331809:1332244:-1 gene:gene171 transcript:rna171 gene_biotype:protein_coding transcript_biotype:protein_coding MCTNFVGIQNMKSSAVTLEKALELLSGEDARNVGGPHKRYSRVKDVEAL >RHN63730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53579089:53581449:1 gene:gene26470 transcript:rna26470 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGDNKVNIDLRTGHATNAYQPPPPLTEQELGRASNNPLEAHSHIPDINQAPVTSLSSSFQNPFIGPSEATNDTVEDDTNIGSSNTHIYNSPNEIHSSTNVISNLNSPTSTYELSQESSFNEKTNTNNSDSDDVVKSWQTQPSPCDIVSTNVESHHPFKEIEKGQQGVDDTIHTGTSSFPTLESLNQEQTCASINVTSNANQDLGLASRMVGESNNNEVATKSEPNHQHSDVAEHSTPTSSNQNIEHHKIKGPEIQNPPIQLMERPSENVTNAQYVFPSHVFARNNTNAPVEWSTASNESLFSIYMGNTSFSSELACFKSSDLDKSGDVCMSDQYIASPNHQPPVPVNKFNAISKGTAELHEEGLKVTEAKAAETMREVIMESSQTTKYVVKKEDKKSNSRHQSHGSTGSYAFKTSKDGDKSVSSKGVGENNTPNMKSEQNEKTEEVDQTQKSNTDAPPNRWLSCFSCCTFCH >RHN59768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12906370:12911542:-1 gene:gene21883 transcript:rna21883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MSPLLYYSLLSLSFIFTLKLLLQRRKFKNLPPSPSITLPLIGHLHYINLPLHRSLHNLSQKYGNIFSLWFGSRRVVVISSQALLQQCLAKHDVVLSNRPHFLTGKYLFYNYTSLDSAPYGDHWRNLRKIITLDILSTQRLNSFRETRRKGTLKLITNLVRDSCDGFTRVELRTRLTDMMFDNMTAMVVGDAAEFKKFKEMVSEMMPLFGANNKGDFVPLLRLFDFDGMVKKMKDIGKRGDLFLQGIVEEIKSGKHNENTMIQHLLTLQKSQPEYYSDEIIKGLIQGTFLGGTDTIVTTLEWAMSALLNNPEILKKAKDELYTKIGNDRLVDESDISNLPYIQNIIYETLRLYSPAPLLLPRFSSDECNIEGYTIPRDTIVLINAWAIQRDDETWNNAACFNPERFEKEGEVNKLIAFGFGRRACPGIGLAYRTMWLSLALLIQCFEWKRLNDEEIDMAENSTGVVMEKLIPLEAMCKARPIVKKVVQELII >RHN81203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44053267:44055087:1 gene:gene5213 transcript:rna5213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BSD domain-containing protein MNFFKSVFSDDPDPPQPESEPKPNNVDQDPNSGAGDGDTWNFGGLMKTLASKSESIIETYRRDLQEFSTGLKNEIEVAQDSVGEIGTTVIKGTAQIISQGKEAILAVNLDSDSDNSTATANRDSNRLDSKRYSRFDAQVRAIQGDVGTYTEMPEDLSEFDEWKLGFVLDEKSDEMEKLSRENDAMESVYKRVVPNVVDHESFWFRYYYKVYRLKKAEDVRARLVRRISREEEDLSWDVEDDDDDVEEEENEGEVKVKSEIVINKEIGGEQLVEKVDTDLKIGASGSGASDEKNSSVEEGQHLREEELKVEKKDNVMQSNELGDEKDKSVEESRVFENKDDDGGVNKASKSVVDDAANKEVSVGESDEKVIERKDGDEKPSSKNESSVVESKHMENEEEEDLGWDDIEDLSGIDEKKETQSQSQSDSTSKVDLLKRLSTAEEDEDLSWDIEDDDDEPAKA >RHN79666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31053911:31055784:1 gene:gene3486 transcript:rna3486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine-cytosine permease MTLYNRDHSFIYLYILQPPETLQSTMNNMLLKSLTLTLHHHSTTPFLRPFYPSTHLPIPSKPTNPMHGNSKHSSMKCSNSSSNSIHMQPFKNHEFEPDPSLTNEDLKPTTPSQRTFSGLEIASLWIGLVVGVPSYYLAGSLVDLGMSWWQGISTVVLANIILLFPLILTGHAGTKYGISFPVLARSSFGIHGAHIPTLLRALVGCGWYGIESWIGGEAIFILLPNSLKEITFLSNSLPWLGTSPLEFSCFMVFWVAQLAIVWRGVDGIRQLEKYSAPILIFLTSCLLIWSYVKAGGFNHIFSLSSRLTNSEFLSVFFPSLTANISFWITVALNIPDFTRYAKSQKDQVIGQIGLPIFMGLFTFVGLVVTSSTKVIFGEVISNPIQLLGRIGGFTTSVLAIVGISLATLTTNIAANVVAPANALVNLNPSWFTFRRGAILTAILGIVFQPWRLLKSSESFVYTWLVGYSALMGPIGGIVLVDYYLVQKMKLRVSDLYTRSPLGAYYYTKGFNVAAIVALVVGILPVVPGFLQKVGILSSIPNAFVVVYNNAWFISFFSAGFLYWILLSLRRKPGESAVIDPLLPDAK >RHN43172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43729808:43734248:-1 gene:gene49708 transcript:rna49708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MPTCHETSSTTTQLRLHTLTLTSMITACRASSSRANPLSLRSSLHSKPSFLSLSLFFPRHFHKSIALSSTTQCKPRSHLGGNLNNGLEEDGDREVHCELQVVSWRERRVKAEISINADINSVWNALTDYEHLADFIPNLVWSGRIPCPFPGRIWLEQRGFQRAMYWHIEARVVLDLQELLNSEWDRELHFSMVDGDFKKFEGKWSVKSGTRSSSTNLSYEVNVIPRFNFPAIFLERIVRSDLPVNLRALAYRVERNLLGNQKLPQPEDDLHKTSLVVNGSSVKKINGSLCETDKLAPGQDKEGLDTSISGSLPASSSELNSNWGIFGKVCSLDKPCVVDEVHLRRFDGLLENGGVHRCVVASITVKAPVRDVWNVMSSYETLPEIVPNLAISKILSRDNNKVRILQEGCKGLLYMVLHARVVLDLCEQLEQEISFEQAEGDFDSFHGKWTFEQLGNHHTLLKYSVDSKMRRDTFLSEAIMEEVIYEDLPSNLCAIRDYVENQKASQFLEVCEQNTNSGQQIILSGSGDDNNSSSADDISDCNVQSSSNQRSRVPGLQRDIEVLKSELLKFVAEYGQEGFMPMRKQLRLHGRVDIEKAITRMGGFRKIATIMNLSLAYKYRKPKGYWDNLENLQDEISRFQRCWGMDPSFMPSRKSFERVGRFDIARALEKWGGLHEVSRLLSLKARRKRTRQDNNLGKDKKNDHRESADVDSEINAASRPYISQDTHKWHTELKELDINWVE >RHN61531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36186682:36198305:1 gene:gene24004 transcript:rna24004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Arf GTPase activating protein MASSFVKLDDSPMFQKQLFSIEETADELKDRCQNLFKGCKKFMTAIGEAYNGELAFADSLEAFGGGHDDPVSVSIGGPVISKFITALRELATFKELLRSQVEHVLVDRLTEFINVDLQDAKESRRRFDKSVHSYDQSREKFVSLKKNTPEDIVAELEEGLQNSKSSFEKSRFNLVHSLMNIEVKKKYEFLESISAIMDAHLRYFKLGYDLLSQMEPYIHQVLTYAQQSKEQANIEQDKLAKRIQEYRTQAELENIRASSSNTEPMHGADGYRPLGLKPYKSFEAGMQSATKGEIQTVKQGYLLKRSSRVRGDWKRRFFVLDNHGSLYYYRSKGTKPAGFQSYNYSRSSEQNSGMFGRFRSRHNRAVSLNEDILGCCPVDLCTSTIKMDAEDTDLRLCFRIISPSKTYTLQAENEADRMDWVNKITGAITSLFNFQFLQQPHYGKLHSENKNSVTGSSLTSQQEDSNKSLMDNAFSEKVDSVSNILRGVPGNDKCAECSAPEPDWASLNLGILLCIECSGVHRNLGVHISKVRSITLDVKVWEPTILELFNNLGNTYCNSIWEGLLLHHNERVGESDVPSKPCSTDPSQFKEKYIHAKYVEKSLVIREEDIPENPSVFAKIWQAVQTINVREVYRLIVTSTSNPINTKYDDVVPHADTEGPQHDPEACLRIKEANETESCFRGWSILHLACHSDSKLMVELLLQFGADINMCDYHGRTPLHHCISSGKNPLAKFLLRRGAKPSVKDAAGHTALERAMEMGAITDEELFILLVERQ >RHN40114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13390777:13394212:-1 gene:gene46217 transcript:rna46217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-XI family MPSRPPPPQPLPTIATITPPHHHHHRREFLIGGLIAAVIFLTAAIISLTIFLYRKLSHNRTTPVDQNHRRFSYSVLRRASNSFSTSTRLGHGGFGSVHKATLPSGETVALKVMDSPGSIQGEREFHNELSLCSNLRSPFILSLLGYSSDRSGRKLVLVYELMSNRSLQDALLDRRCDELMVWSNRFDVVVSVAKGLEYLHHECNPPVIHGDIKPSNVLLDREFRAKIGDFGLARVKCLEDSGMEMMVEEINHHHHHHEKKKKKDDFVVEDCSSVSVVEEFESAVSVTNTTAGNDIDRSPESCNVRVLVDSDASPEVAVVSQSSVVSDGCFDKFSIDSGNQRKRGGGGGGGSGRDWWWKQENNGGGSESGRVKDYVMEWIGSEIKKERPKSSEWVGSGSSICSGGGGDVVAAQSKVEGKKKQRKKLEWWASLDEEKVKGKKNRKPREWWKEEFCEELSKKSRKKKRSLDCRGNGGESWWQRDEDVGGAAVEKKKKRKSKSSRGSIDWWLDGLSGELRTNGRRNSQDWGNGDIPKSGGISSTPSMRGTVCYIAPEYGGGGQLSEKCDVYSFGVLLLVLVAGRRPLQVTASPISEFERANLISWARQLAHNGKLLDLVDSSIHSLDKEQALLCITIALLCLQRSPGKRPSMKEIVGMLSGEADPPHLPFEFSPSPPSNFPFKSRKKAR >RHN76971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2838551:2840950:1 gene:gene370 transcript:rna370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glomulin/ALF4 MLPCTVQALVSAGVSYTASSCHSLVLQLSRISSYCGLSYLSLVTTYDVEVVASAVFGENKDDYMDCLSHIKHGCALSVICGHVSEEVAHAAKEDMTVVKDELRNNQIKRSQAIGTLKHVLSFVSLPWELKKHTINFLLCITDGDIHGNCDDEQSQWSSYMPNLFSALQVLADIPISQSLDILIALITNTDSSSMVFLLLAILFLRSIAILVDLVRREMHTEISSSTSVVKDVQHIDISFWTPSVLELVESILRPPQGGPPSLPEQSDATNYTGVLSRSSLNKVYNEWLLPLRTLVTGIMAENKSDYDELAIDAPLIHSSWCCIGALSL >RHN77125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4275659:4277225:-1 gene:gene549 transcript:rna549 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQSLTSSSPTFWAGLYSWFTPTIFFLLLQLVIATIYITSTLANATQKHLQQQDPNFQQPHHQQLFRSPSVLQRLKSINFYSYQPYRSQQEQPQQYQQLQTYENEIHVPQLARSPSVLQRLKSINLYSYLPTQPFTTKLSPDNSNNVFTHETHKQHVEVKETEEEHEEDDVLGHIRDNLGGSYEEEGHVSIEEVFMKLQGQGGNFTRTHSDTKPDSGEVPVKLSRKMKKSASSKSAFSHFKEDDIVEKRRPATVKEAKVVPAAVDEDELVDSKADDFINKFKQQLKLQRIDSIMRYKDMINKGSSK >RHN71273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57798491:57801656:-1 gene:gene19932 transcript:rna19932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MTHKVVRSLLPFVLLLLINGHASCARDMKLQHENVEEKQVDQPYLDGWLKNSPLTNKKLNSNPGYLDGWLKDTPEENKKAIHNTNQVYLDGWLKDTKNQKEKTTHNSNQVYLDGWLKDTQVQKTKSTPNSNQVYLDGWLKDTQVEKAKSTSDSNQVYLDGWLKDPVEKAKSTPNSNQLEKAKSTPNSNQAYLDGWLKDSQVQQGKSTPNSNQVYLDGWLKDSQVDKARSTPNPNQVYLDGWLKDSQVEQVKSTPNSNQVYLDGWLKDAKDQMKKPIHSLDQAYLDGWLKDSHAANSMKKIGQDMAESKGKPSSKVDHTEAFKLAFFTMDDLYVGNVMTLQFPVREYANFLPKKVADYIPFSKSQLPSLLQLFSLTKDSPQGEDMKDIIDQCEFEPTKGETKACPTSLESMLEFVHSVLGTEARYNIHTTSYPTTSGARLQNYTILKISKDIYAPKWVACHPRPYPYALYYCHYLDIGSKVFKVLLKGQYGDIMDALGICHLDTSDMNPNHFIFQLLGIKPGEAPMCHFFPVKHVLWAPLPPEATH >RHN44032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1163518:1163766:-1 gene:gene38080 transcript:rna38080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alkane 1-monooxygenase MSVFAYKFTAFNGGPRLCLGKDFAYYQMKYVAASIIFGYHVKVVENHPIVPKLSLTLYMKHGLKVNLHRRCDEEIHKYLKVS >RHN67337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26174045:26179491:-1 gene:gene15517 transcript:rna15517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ent-kaurene synthase MSLPHFSTHLPCFSSTSDSSMIASALKKKNNSTTALCFEDTKERIKNIFKKVELSISSYDTAWVAMIPSSTSPHAPFFPQCLNWLLDNQLVDGSWGLPDRHPLLMNDSLLSTLACILALKQWNIAEDKINKGLEFIESNFTSIIDDQQQHPIGFDILFPSLIEYAHSLGINIAIRSTTLEAIIQKRDRELKRGFECNSEGWKAYLAYVSEGMLNSMDFNTIIKYQRKNGSLFNSPATTAAVFQHSNNADCLSYLQSVLQKFGNAVPTVYPLDIYGRLYMIDSLERLGIDRHFEKEIQSVLDETYRYWLQEEEDIFLDPTTCAMAFRILRLNGYDVSSDPFYQYSEDKFANSLKGYLKDVSAVLELYKASQVIIHPDESVLFKQSSWTRHFLEQDSSTYQLYADKLRIYVDNEVNEVLNFPQHKNLERMLNRRSVEHYNANETRILKTSYRSCNLASKEILKLAVGDFNLCQSIHNQELKQLEGWIVENRLDKLKFARQKQAYCYFIGAATLFSPELSDSRLALAKSTVLVTVVDDFFDVGSSEEEQLNIIQLFKIWDVDVDTVCCSEAVKIIFSAMRSTICEFGEKSVKRQGRNVTENLIKIWSNMIQSMFIEAEWSRSKTIPTIDVYMENACETFGLEPLILTALYLLGPKLSDDVATNKELNYILKTTSICGRLLNDIQTFKREFEQGKLNAVTLHMVHGNGVVTVEDAIDKLKSVVEENRMELLRLVLQEKGSLVPRPCKDVFWKMMKASYLFYDENDKFTLNEMYSTVNAVIKDPIFLNELLGDSKKHTLSKALVV >RHN78187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12554356:12556669:1 gene:gene1717 transcript:rna1717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-rRNA-processing protein TSR2 MACPNGGEWIQVFKEGIGLVLGRWSALQHAIEQGWCKGNSRLEAQNLASNILSWFTHSKNLDVYDLETLLDKAMDFFKLVHQEGSVEEVAKLLMKMYEECLVGNFMSVERLREASRNQVAHPPVGKVVNADGDDDDSDSDDEDSGSGNKDNNSGCGQFKIRIQHEFHEQAG >RHN45234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13359730:13361054:1 gene:gene39468 transcript:rna39468 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGIRTPTFFRAAYLAAVVPNLIHCFGNALLAIKMNVTR >RHN79189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25343268:25343597:-1 gene:gene2922 transcript:rna2922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MGFKSLVLIVIVLLVSAATNQAIPVDINDPHVIKVATFAVTEYNKHNTEANLKFEKVINGVSDVTENGTNYRLTLSANDGSTSNNFSAIVLENPADNFTLTAFAIIPHG >RHN59012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6653230:6654144:1 gene:gene20993 transcript:rna20993 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNKVHPMLVVLIVLIISIGEKPLVIEGRVLSLISHQGYSKIFATLGVVCKCCDDGIEGACKETWTDSCSNIQCLPWKTH >RHN79296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27233686:27234075:1 gene:gene3066 transcript:rna3066 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGHFNGIYQASLEYPFKVHVLKFFSKLFLNEMLINKVSKWEMIIPDRCSITIITTNDVIEHRYPHFIHPFKRTELAASRNLHRRR >RHN77594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7977318:7979720:-1 gene:gene1064 transcript:rna1064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MSDERSMRTAFVNNDKCRPLKCHQECQMICPVVIIGKSCVEVTPESKIAYISEELCIGCRMCVKRCPFGAIEIINTKDFNKDITHRYGPNTFMLRRLPVPMPGQVLGLVGTNGIGKSTALKILAGKLKPNLGRFTDPPDWQEVLTHFQGSELQNYFTHIQEGDLKAVIKPQYVDDIPNHVQGNVGQVLDQKNERDMKEKLCVLILNLIKL >RHN58007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43081744:43082184:1 gene:gene33612 transcript:rna33612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDFVAYPQLKHLRLAQNPWLSDEDIIMFASIFPNLQLLDLSNCCRIFDEGITQVLRICCNIRYLNLSGCSIVKLLEMNFKVPKLEVLNLSYTKVDDETLYKISKSCCGLSKLLLKNCYDVTKKGVNHVVEIRELHATENDQFGWLS >RHN41858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33638937:33645058:1 gene:gene48221 transcript:rna48221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MPSSSDHPWIYDVFINFRGKDTRNDFVSHLNAALQNRGIKTFLDDEKLGKGEKLGPQLEKAIEGSLISIVVLSPDYAESSWCLNELVHILKCQKTYGQVVMPVFYHVDPSVVRKQTGDFGKALELTATKKEDKLLSNWKTALKQVATIAGWDCYNIRNKGELAKQIVEAILKILDISLLSITKYPIGLESRVQKITKFIDNQSNKVCMIGIWGMGGSGKTTTAKAIYNKIHRRFEGRTSFFESIREVCDNNSRGVIHLQQQLLLDLLQIKQEIHSIALGMTKIEKRLRGQKAFIVLDDVTTPEQLKALCADPKLFGSGSVLIITTRDARLLNSLSDDHIFTMTEMDKYQSLELFCWHAFQQPNPREGFCELTKKVVAYCGGLPLALEVLGSYLSMRKKLEWKSALSKLEKIPNNQVQQKLRISYDGLEDYTEKDIFLDICCFFIGKNRADVTEILNGCGLHADIGIVVLIERSLIKVDKNNKLQMHDLLRDMGRAIVGEISVKEPAKHSRLWFHDDVLDVLSKKTGTDTIEGMILKCQRTGRIIFGTNSFQEMQKLRLLKLDGVHLMGDYGLISKQLRWVDWQRSTFKFIPNDFDLENLVVFELKHGNVRQVWQETKLLDKLKILNLSHSKYLKSTPDFAKLPNLEKLIMKDCQSLSEVHTSIGDLKNLLLINFKDCTSLGNLPKEVYKVRSVKSLILSGCSMIDKLEEDILQMESLTTLIAANTGIKQVPYSIARSKSIAYISLCGYEGLSCDVFPSLIWSWMSPTINSLSLIHPFAGNSLSLVSLDVESNNMDYQSPMLTVLSKLRCVWVQCHSENQLTQELRRFIDDLYDVNFTELETTSYGHQITNISLKSIGIGMGSSQIVLDTLDKSLAQGLATNSSDSFLPGDNYPSWLAYKCEGPSVLFQVPENSSSCMKGVTLCVVYSSTPQNLISECLTSVLIINYTKLTIQIYKRDTIMSFNDEDWEGVASNFGVGDNVEVFVAIGHGLTVKETAVYLVYDQSNAMEIVPSNIMEIEPLHEVEMQPSPNVKTEPSAEEDVQPSLDVKTEPLLVVKNEPIPKTNRKIFTRLAKRLGECLCLIQN >RHN54058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6591863:6595686:-1 gene:gene29037 transcript:rna29037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator SAP family MDERRGKRTVIVLSSSSEEEEEDYDDDSEEQNTDCDDSSQSDTCEIEDEEDDEEDRDEASGSDNCDVDDESLSEKVVSLLQGGKDIDSLKLNECKAYLRKHGLRLTGNRAVCIARIKEHWRLKHGSGYTLYPRSSFNINCTGDVCMGDVVLFRQKVYEKFSKGARHGRVRGSRTVAGRVVKESYGAAKQQHTFTVEVLWSSGVRKLPPLTPLLVKGRNLYKMKTYRQKWKNEADRVKVLSEKHRRGAAARFVRGLRQKKKTCNANGSKGSKRQHEIQNTKQSKKGRSGDINKVRHLDGSRRADEYQHQVAKSSTQATWNESTSSRAFRSARGRVHESAEFDRYQAPAYPLQASSQQIPYQYHVHAQSRSGPNEFVYHGRGPNPNMRGFPPYRPGVSDFTTRTNIFNDGYHAPTNRYDFEMKNLNADRMDRPLYLSPRDRYRGRYYGM >RHN39986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12068375:12070334:-1 gene:gene46073 transcript:rna46073 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLMLYLFVSSTPCMEENQRDIGEVSTHKFKSLRSFQDVGMLLDLVNAIESFLLKINNFPYRMILGKHRWFV >RHN78730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17918119:17918547:1 gene:gene2377 transcript:rna2377 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSKTKAIQGVGFTAKPVFVKENCIKKKRKFVSINALTKKMQLESEKERVAVDHQTPCQQTPPKKLVDPSIDDPQVKNTLSNWRQLKSQSRQDQREEARLKLEKIQNTACFNDNMEAMNDFHKLIGCSEESILCYQHGRNK >RHN63525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51958290:51960798:-1 gene:gene26231 transcript:rna26231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSFSSYNITLPYTIQVQLNSKQNNYSVLLYYEFVCFNSIMTSTHLTNQRDRRILSFPAVHPCENISPTTLITSLINLSQTISNFQPQIFPTQKRNARETIRQITIISIFLNEIKDHGSIIPNSIILCFSELHFTLQKIHFLMQDCTLEGSSLLLLAKSQHVASQLRSLIRAVAITLDILPLHRVEICDEVKELVELVAKQARKGKFEVDPNDEVASKKLHYVLHQFERGTEPNLNTMHEILHYLKIKSWNDCDREIKFLENEISFLEDRNCDEREVPLLSSLIGFLSYCRAVIFEDFDENLNKLEARRSTEMITVNCLNPEDFRCPISLELMTDPVTVSTGQTYDRASIQTWLKAGNKTCPKTGENIKNTELVPNTTLKRLIQQFCSDNGISFTRFSNRNRDITRTILPGSSAAAHATQFLSWFLTRRLVFGTEQQKNKAAYEIRLLTRSSIFNRACLIEVGTVPPLLDLLATEDKTTQENAISALLKLSKYATGPENIIDHNGLKPVVYVLKNGLSLEARQIAAAIIFYLCSVKEYRKLIGENQDVIHGLVELAKEGTTCGKKNAVVAIFGLLLLPRNHQRVLEAGAVHALVSILNTLCNKEELVTETLAVLAALAENFDGANAVLEASALPLITGLLRSAPSRAAKEHCVSILLSLCVNGGVDVAGVLAKDVTLMPLLYSLLTDGTSHAAKKARFLIKVLQDFNETATSRLKGSAILRQLNVLELRN >RHN71715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2124102:2146003:1 gene:gene7344 transcript:rna7344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MGRGKIAIRRIDNSTSRQVTFSKRRNGLLKKAKELSILCDAEVGLIVFSSTGKLYDYSSTSMKSVIERYNKQKEEQHQLMNPDSEVKFWQTEAATLRQQLQYLQESHRQLMGEGLSGLGIKELQNLENQLEISLKGVRMKKDHILTNEIKELHQKGNLVHQENVELHKKMDLVQKENAELLKKVYEARSTNEENAATNLSRTIRNGFDLHAPISLQLSQPQPQYSEPAAKVMKLGLQLH >RHN48422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47379870:47387744:1 gene:gene43136 transcript:rna43136 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YB2 MSETPASPGGGSHESGEHSPRSNIREQDRFLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKIYLTRYREMEGDTKGSAKGGDTSGKKDVQQGSNPQLVHQGSFSQGVSYTNSQGQHMMVPMQGPE >RHN80479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38443459:38448581:-1 gene:gene4412 transcript:rna4412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-galactosidase MAKMVVLVFCILVGFMNIASIPSSTAARLLGSNNKTNVSTLEKNVKSYMLQNGLGRTPPMGWNSWNHFGCDINESLIQDTADAMVSTGLAALGYKYINLDDCWAELNRDSKGNMVPKASTFPSGIKALAHYIHRKGLKLGVYSDAGNLTCSKRMPGSLGHEIQDAKTFASWGVDYLKYDNCENNGISVRERYPPMSEALLNSGRPIFFSMCEWGWEDPATWAKSVGNSWRTTGDIEDNWKSMTSIIDANDKWASYAGPGGWNDPDMLEVGNGGMTTEEYRAHFSIWALAKAPLLVGCNIQAMDNTTYELISNSEVIAVNQDKLGVQGKKVKSNNDLEVWAGPLSKNKVAVVLWNRSSSNATVTASWSDIGLEPETIVDARDLWEHSTQSLVSGEISADLDSHACKMYVLTPKRS >RHN82071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51054286:51055639:-1 gene:gene6189 transcript:rna6189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative The fantastic four family protein MAAIVYHPGLQSHLESHLVESRTVRLRLPSPKQVPTPQSVDLAFKSCLFDSNIKTHHHEENINKTETFQNKSNNNGGWNFLDALSNNIAQNTSKKETTTATYLPPQQKCSSLVLSPKSLELCTENLGNESGTDIVENDLLLSSMGTMEQRQPCSQVLAATKKVKTQNFPPPLTTIRGSESLHVRTHRGDGRLVIEVTKVPPSTSCFQADRSHGCLRLYFLTNETTSFDPEEEQEEDGEVDADDENEQLQNEDEFSENEMIGGEIQNADKETKENKSQGVFVASECEGMKLRMESRVRRSKEGGENENNESLNWGENVNFWVATTS >RHN58543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2695663:2695827:-1 gene:gene20465 transcript:rna20465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MELINNIAKAHGSVSVFGRVGERTREGNEFLLRNWFQFVYSDLNIISKLILIRI >RHN40402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15960139:15964750:1 gene:gene46550 transcript:rna46550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MNNSIIDPLQGDFPEVIEEYLEHGITKCIAFNRRGTLLAAGCNDGSCVIWDFETRGIAKELRDNECSSPITSICWSKCGNRIIVSAADKSLSLWDVMSGKRIKRRVLQQTPLLARLHPGSTKPSLCLACPLSCAPMIVDLNTENTIYLQVSVSEKPSGPNPASRNKCSDGSTSFTPTAACFSKYGNLVYVGNSKGEILVIDNKDGEVRAMVPISGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPPKDEVRALAELNENLSDLNGVEKLKAAGSKCLTLFREFQDAITKVHWKAPCFSGDGEWVVGGSASKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVRPIVVSVSLSGIVYIWAKDYIENWSAFAPDFKEIEENEEYVEREDEFDLNTETDKVKGSDVNEDEDVDIETVEKDPAFSDSDMSEEELCYLPASPCRDVPEQEDRFLESSSKIGDSNNSGSPFSEEVVANGHMMSHASSPVEDDAVGTRIKRKRKPSEKVLELQAERVDKTSESSKSSRTKTKSLADESNGNGFYYKDLSDE >RHN63746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53711165:53716175:1 gene:gene26489 transcript:rna26489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MGRGRVELKRIENKVNRQVTFAKRRNGVLKKAYELSVLCDAEVALIIFSNRGKLYEFSSTSCMMKTLEKYHKYSYNELETNQPANDTPNYQEYVRLKAHVEILQRSQRNLLGEDIAQMNTGELEQIENHLEAALKSIRSTKTQFMLDQLNDLHYRETVLVETNNDLRSKVKIISKPNTDLMFSIEI >RHN77582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7867081:7867542:1 gene:gene1049 transcript:rna1049 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLPQLRDRHNELLLLRSCLGITKIFFGLRTCQPIHMEEATMLFDKELGGVVEDVVVGGGSFFGDLQWRLASSSIRFEGLGLYSRIEASSYIFCDYKAQSWVLQDHIMRDSGICDIDLDFDNVLDGLHGTIPDFDLVVLLARISSLLKHNIF >RHN45103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11829714:11829914:1 gene:gene39309 transcript:rna39309 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPNSPEQSMYARLVVRPEAIDVIDHFLEYKPIMKFSINGKHVWARKYIPKLPPPFEPGTSQYFP >RHN50287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5822003:5822792:1 gene:gene34559 transcript:rna34559 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRRTNPNANFVRQLFDGGGGKLFEGNLLLLRVVAMGCCCCCELRGNEHLYCWVCVSGFERKSEGIVLI >RHN71825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2840841:2844587:1 gene:gene7462 transcript:rna7462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative equilibrative nucleoside transporter MDTIITTSNGTGEPRKPGGKYKAMAVCFFLGLGSLVAWNSMLTIGDYYYNLFPKYHPSRVLTLVYQPVAIGTIVILTYYESKINTRLRNLAGFTLFFAATFLVLILDLATSGRGGIGPYIGICVLVACFGIADAHIEGGMVGDLYFMCPEFVQSYLAGLSASGALISLLRMLTKFAFEKSHNGLRKGALLFFAISAFIEFLCIFLYAIYFTKLPIVKYYRSKAASEGSKTVAADLAAAGIQTKTINDQAEYDNKQMERLSTKQLFLDNIDYAADLVLIYVVTLSIFPGFLYENTGTHQLGTWYPIVLIAMYNVVDFIARYIPLVPWLKLESRKGLMIATLSRFLLVPAFYFTAKYGDQGWMIFLTSFLGLTNGYLTVCVMTVAPRGYKGPEQNALGNVLVLCLLTGIFTGAVLDWLWIIGKDSF >RHN77080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3840836:3841024:-1 gene:gene492 transcript:rna492 gene_biotype:protein_coding transcript_biotype:protein_coding MIWFSQLVILTLPLLVVCRIFIWVCKFVVGLLIELFVIRFVHIEGRFGNLEFEKPLTDVAIN >RHN65139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64447246:64448457:1 gene:gene28042 transcript:rna28042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKKILKVDSDESEEGSLNRDVISHILTRVPAKPLLSMKCISRGWNHIISSRSFIKDQLKNTNLVLNGFIVQDRYMFCKHDVKTISYIPVEPKNNAAKLVVHHNVFSFLPQDVVVLASCKGIVCCRTCFPSPNPTIYLCNPSNKDFIQFNCPPQCDITDSIALAFDFDFDFDPSKFKLVRVKRIQKYHDDYDVDESFYFTFEVYSSETKAWKKSNETCDCNYGLIKNKGLYIGGVLHWLTEGDQIITFNVEKELSLMISVPVPACEFRTVPQACIGEYEGRLHYVQVSEQGLHVWCLDSLEDYFDFKWVLKHCKLLEDFEAEYPRLFLNLRNRVLESDDTNPWMNPLGFKDGKLLIKVSAELYIYDMKNHKIAHACSFLQLNPQSLSHPTVFPHSLTLVSLKDP >RHN41602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31423702:31424405:1 gene:gene47940 transcript:rna47940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDESLKFVYTMILFLSLFFVAMNVKSLDCHSDHECYNQLSCIIEEICLDGSCHCPPIL >RHN65574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3334867:3345454:1 gene:gene13427 transcript:rna13427 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCKKVPMLCLVSKAVAREHEMLLSKHHQTKASTSLIEDVALHE >RHN77959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10850483:10850849:1 gene:gene1470 transcript:rna1470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MFEGKKQSAKEKVETIDDDNKVITYSFFDGEVGESYKSLKVTLQVIDKEHGGGIVKWTFEYEKLKEDITGPSPDSFLEFAAKVTKDIDAHLIKE >RHN43965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:378298:388666:-1 gene:gene38007 transcript:rna38007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microbial collagenase MASSSSSSRFGLCFSLSVLLLLLATTAFASNDVKRDDDRAPKSKTCNNPFQLVKVKNWADGEEGVIQSGMTARFGSSLPEKAENSVRTRVLFSNPTDCCSSSTSKLVDSVALCIRGGCDFQLKATIAQSGGATGVLIINNEEDLVEMVCTDSTEANITIPVVMITKSAGEALNTSLTSGKRVDVLLYAPPRPLVDFSVAFLWLVSVGTIVCASLWSDLTTPEKSGERYSELYPKESQNVAAARGGSDKQVLNINSKAAVVFVITASTFLVLLFFFMSSWFMWLLIVLFCIAGIEGMHNCITSLTLRKWQNIGQKTVNVPLFGEISIFSLAVFLFCLAFAVFWAATRHESYSWIGQDTLGICLMITVLQLAQLPNIKVATVLLSCAFAYDIFWVFISPLIFHESVMIAVARGDKAGGEAIPMLLRFPRLFDTWGGYDMIGFGDIIFPGLLVSFAHRFDKDNKKGVLNGYFLWLVIGYGIGLVFTYLGLYLMDGNGQPALLYLVPCTLGVFIILGCVRGELKSLWNYGTDPSLSTEPSDSEV >RHN50351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6419742:6420341:-1 gene:gene34626 transcript:rna34626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFLSNTDLLLVANCFPLLKELNLKRPLVIDETNFINGIRNLLSKCQCIQHLNLDCIYFLKDQHVVELSFYMGNLVSISLNDCYLLAETTLFSLVRNCPSLSEIQMQSSAIGEESLGHSDSLVEFGVYPQLKSLYLGYTSWLSDEIIIMFASIFPNLKLLDLKGCHQIFDGICHVLRKCRELKHLNLAYCEQVKLRAMNF >RHN53834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4599551:4605063:1 gene:gene28786 transcript:rna28786 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELVQQPQPQQQERLNQAVQQQLNLEQVKTRAISLFKAISRILEDFDAYGRTNTNPKWQDILGQYSMVNLELFNIVDDIKKVSKAFIVYPKNVNAENATILPVMLSTKLLPEMETEDTLKRDQLLQGMQNLPIATQIDKLKARIDMIAAACEGAEKVLADTRKAYCFGTRQGPAIAPTLDKGQAAKIQEQENLLRAAVNVGEGLRIPGDQRHITASLPMHLADAFTVNESAQSFPDGSSNNVYMKNTPLSSNSMGGQNSLLQTSGSQLLGRSAASPSAATSATSFDNTTASPIPYANSPRSTTNMMNTPSPQQQTPQPQPQQLTAQQQQQQRQKLMQQLPQQQQQQLLAQQQYRQSAMQQGLGQLHGQHQMQFSPQLGHQQFQGRQLSSAHMQHSIGQSQLNQGNQMTRLNQFSGPANSALFSAAQTTPNTQMIPNISAGITSQSLLPRMQFGLSGNNPQRSHPSHMLSDQMFNMGGGNPGGMMSIQQQQQQQQQQQQQHSSQGAFGGMASNAQNLQSGMMTLQNAQQNHPNFTQQRQQNQQ >RHN73661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18662852:18663889:1 gene:gene9506 transcript:rna9506 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKSIISFSFICALVFISGVATESSKDEKQFGEIEEFKSKVSWKLGAWTWPKYVVKGKGGKGGSKGGSGTGGNGGEGGAQGGGRKIRGGSDKESGLDARGGGGGENNKIGWGYLKNWEGYSGDISSKSEGNQGPS >RHN38951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3015625:3017726:-1 gene:gene44929 transcript:rna44929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEQIPYAVAASLVNRLASAAFREFGRIYGVMDELERLKNTVESIKAVLLDAEDKQEKSHAVQIWIRRLKDDVLHPADDLLDEFAIEDMRQKRDEARKNKVTQVLHSLSPNRIAFSRKMAYEVEKIQKKFNDVVKDMSVLNLNPNVVVVQQTNSVRREKSSFVLESDIIGRDDDKNDIVSMLRQSHENQRVSVVAIVGIGGLGKTALSQLVYNDGEVTNYFEKCMWVCVSDNFDVKTIVKNMLESLTKEPINDTLSLENLQNMLRENLTGKKYLLVLDDIWNESFGKWAQLRTYLMYGAKGSKVVVTTRSKIVAERMGVSVSYNLNGLTLEKSWSLLTNIITYGDETKAVNQTLETIGKKIAEKCSGVPLAIRTLGGLLQGKNEEREWIDVLQGDFWKLCEDEESIMPVLKLSYQNLSPQLRQCFAYCSLYTKDWKIEKDELIQLWMAQGYLECSDEKQRMEDIGNQFVTILLMKSFFQDAEIYHGDIRSFKMHDLSMKVAGNDCCYLDSETKRLVGSPMHIMLKRDAIGFLESLSSNKMRTLILLTDFSEKLNEKELLVISKFKYLRVLKLMRCSLSNLCDSIEKLNHLRYLNLQECEVVGSLSTSISNLVCLQTLLLHRCKVEFSTIDISKLISLRYFDIEYLKHLNRRREHLDLENWV >RHN66873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20614204:20615003:1 gene:gene14985 transcript:rna14985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribose-phosphate diphosphokinase MHSLLVLPQVVASFTLVLPFFPTGSFERMEEEGDVATAFTLARMLFYFGDEVLPLFETGIPLLKQRLLQFPDADNMTVHGSDSTSCSTIIQWSVSHKNLREGDKRIVRLKEGHVSGHHVVIVDDLVQS >RHN77842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9821898:9823032:-1 gene:gene1336 transcript:rna1336 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSEYISQSTCSATKKGKNLYSNYDQSSEEDSDFVEDLLCAVIPKRKRTRNVFISESESDDDEEDDDLPIGKLVRNHVQERSADELEESRRRRRRPLRKCVTKSHDDKVNYQQSIPTNDDAESIEDLSQSEEGNLKGFIVDDFDLSGCEVTSSKSQDGGRNGDVDSDLNDSQDINDHSKDSDSR >RHN55485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18900005:18902819:-1 gene:gene30673 transcript:rna30673 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALTKVASLKIGLGDSWITKKAKEEFTNISQDINTFSSTVEEKAKWVFNKLKGKPQKGLPDLLREYSLPLGLFPQNIICYEFDETKGKLIVYLPSACEVSFKDSSVLRYATRVKGVLTRGKLSAIEGMKTKVLVWVKVTSVTVEGYKSDKIWVTAGVKKSRPKDAYDTPRDAIRVSEF >RHN78158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12389307:12393768:1 gene:gene1682 transcript:rna1682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MPPRPSFHKLILPSSLQTRQLRIPDNFLRKYGAQLSTIATLTVPDGTVWRLGLKKVDNRIWFVDGWQDFVQRYAIGIGYFLVFTYEGNSNFIVHIFNMSTAELNYQSAMRSRTEGPCYANYHPIFEDIEDIDSFEFLDSSPSNLTPGALQDKVFSGSLDQLTPAKNHTPSLQNLFNGGSKLNHVNWGDIGGTLSSKSAIQSTRDIGVQFNANEFKKSTEGLKLRYPNEEGVNNTDTKKTSRKKRKSDPSAQEATAENDEEAERYRFYESASARKRTVTAEERERAINESKTFEPTNPFCRVVLRPSYLYRGCIMYLPSCFAEKNLNGVSGFIKLQISDGRQWPVRCLYKGGRAKLSQGWYEFTLENNLGEGDICVFELLRTREVVLQVTLFRVKEDDSGLFNPSTLPSQNVSHAKMLNPHLQHRVSSTKSAKN >RHN66991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22534977:22535225:1 gene:gene15120 transcript:rna15120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MVTIQASYNVTPNEVTPNGHLWLSDIDQTVRFNLHTPLIYIYKQNQNQNNKIIETLKNSLSKILVHYYPVAGRYSYTKGGRI >RHN43696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47763345:47764472:-1 gene:gene50311 transcript:rna50311 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDSLTRSKFNFNWIKNYHFSRWFVAETFIPEKSTPSPISTVAASTTSAQSSPFSSAAFAHSLRFLQRLLVMAAVYSLYIINKSGGLIYYKDMDSRRRWK >RHN53896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5138939:5141363:-1 gene:gene28857 transcript:rna28857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-aminocyclopropane-1-carboxylate synthase MKLLSTKATCNSHGQDSSYFLGWQEYEKNPYDEVQNPKGIIQMGLAENQLSFDLLESWLAKNPDVAGFKRDGKSIFRELALFQDYHGLPSFKKALVDFMAEIRGNKVTFDPNHIVLTAGATSANETLMFCLAEQGEAFLLPTPYYPGFDRDLKWRTGVEIVPIQCTSTNNFQITESALQQAYEEAKNKNLKVKGVLVTNPSNPLGTTMSKSELNLLIDFIKDNKDMHLISDEIYSGTVFTSPSFISVMEILKERNDFKDFDADISERVHVVYSLSKDLGLPGFRVGAIYSENDMVVAAATKMSSFGLVSSQTQYLLSSMLGDKKFTKNYIFENQKRLKKRQKMLVNGLQKAGISCLETNNAGLFCWVDMRHLLNSNTFEAEMELWKKILFDVRLNISPGASCHCTEPGWFRVCFANMSEDTLNLAMKRLKDFVANSINGEGCSNNKKKTRSTQASRSFTKKSISNWVFRLSSRDHREQEER >RHN75561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43310423:43310953:-1 gene:gene11788 transcript:rna11788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S54, rhomboid MFNILYYDIYFEKKFGYVRIEILHTMSGMGSSLFSALFIPTSVSVGVSGAIMGLVGGTLSDLIMHPNKSIWTLIGAIIIRSGLVLIISQANNFGIIGGLITEILLGYVLLISRKHSRFAPCQQALRVNSSVLLTIRLMGGMVLFLKGVAMSDHCSWYHYLRCVPIKRNCKPNHVEI >RHN81877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49517583:49517870:1 gene:gene5973 transcript:rna5973 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYLNRNCFSLKLSLILFIYLLLVMFDIFVQITSYSYVSAHLFRSLIYRATYQIF >RHN58842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5384607:5385539:1 gene:gene20796 transcript:rna20796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSEGLTMAAAATGSYLPDELWERIFKFLNDEVKNIYDIRADGMLAYNLSFLSLRDSFKSLSGVSKQFLSITNSLRFSVTITDRTIPFIPLLFERFPNVTSLNITLSSRVLNVLNTLLILISTLTLNIKSLALYHPIKLPTKGLRAFSQKMKNLTSITCYRIASEVYQSHLFFFADWFPFLDELMLTDLGYPPTYYNNNRLLALPKLRKIVLSRNFIGTQSINHLCRNCDLLQDVKVIECRLTHQPRRLPQQPPRRAIGNIQPSLIAMPIGYIQPSAIGYPGAIGYLPGNAIGRLPWDRPVLWSSSRGQSR >RHN70272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50158502:50161532:-1 gene:gene18836 transcript:rna18836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PAN/Apple domain-containing protein MARGKYLCSFNNTTLFLCLFNIAIVALFVFRSLYSSLSIHFAEVSSDVVVSYSPDQIHKMEESVHIRMAYKPVELINLVKVLEGEFLRENLAVELPRYLKQKIVDEILHTLRSLNSSSINIAKEREVVESWRKEKLKEVKLAHVKGTSNSTIPGEEAGLLVRALESDWDLLCEEVGLWIPAQIVNEEHDDKPEGAEEFDEEILPGRPLPPECNAEHHTDYDGTAVRWGLTHHKDSAADCCQACLDHARHAKEGVMKCNIWVYCPNEFGCHSPDIYEHKHRECWLKYDEKPQLNFKDKYPESYRNSYPSAPVVVPWVSGVVSS >RHN64653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60754989:60760897:1 gene:gene27488 transcript:rna27488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase, protein trichome birefringence-like 5 MIFHNNRHTVATLFFLALFFLTIFFYSFFFFFNTTTTPLSPHTDLYLQLKPSLLSLSPPPPQQSSTTVTAIQPQSDIDSATVDDHESVESIFDTRTDEVDDAILALKECDLYNGTWVEDGDYPIYEPGSCPYVDEAYDCKINGRNDTRYTKWRWKPHGCDLPRFSAKDFLARLKGKQLMLVGDSMNRNQFESILCVLREGLDNKSKMYEIHGHTISKGRGYFVFKFEDYDCTVSFVRSHFLVREGVRMNAQGSSNPTLSIDRIDKTSNRWKRADILVFNTGHWWAHGKTARGINYYKEGDYLYPKFDGVEAYRKAIKTWGKWIDNNINPKKQIVYYRGYSSAHFRGGDWDSGGSCNGETEPVLNGSILNNYPLKMKIVEEVIQGMKVPVKLLNVTKLTNFRKDGHPSVFGKSITGGRKVSLKRQDCSHWCLPGVPDAWNELIYATLVLQQTNSRN >RHN42728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40508655:40509008:-1 gene:gene49211 transcript:rna49211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stachyose synthase MTPKSEPIKYIIQPSTFELYNFVPLTKLGGNIKFAPIGLTNMFNSGGTVLDLEYAESGAKIQVKGGGNFLAYSSESPKKFQLNGSEVAFEWLGDGKLSLNVSWIEEASGVSELAIFF >RHN55795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23854970:23858298:1 gene:gene31053 transcript:rna31053 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCTEHLENLQNWTLDQRIKTRLEDTGFSHFNKLAKCQHDNKLMECVVSHFNSETCGFEFGVIKLIFGLKDVLNITGLHITSKPRHHADHPIH >RHN54177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7579189:7582735:1 gene:gene29174 transcript:rna29174 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKMLLFSPISTPKTFTNHLNHPLRKPKSHHVRLKLKITNMAKEGSDTNSSPTEIAAIADEGVFAVYQARGFQLPYPTNPETNSPARTTRLPSRFHCMLIPPW >RHN69815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46470089:46470788:1 gene:gene18332 transcript:rna18332 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPQKKQNLQLAVALLILLSLISSSSSARPLNDFSQLAETSNIALPSERAMPEEKEGHISVVRERGGAKSYGPLILNMLPKGKVPSSGPSKGTNNLNN >RHN76134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47918217:47920454:-1 gene:gene12415 transcript:rna12415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Tim10/DDP family zinc finger MAANGMPPSGLDKDQIFGMAEKEMEYRVELFNKMTQSCFNKCVDNKYKESELNMGENTCIDRCVSKYWHVTNLIGQLLGTGGKQ >RHN77706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8848336:8854220:1 gene:gene1188 transcript:rna1188 gene_biotype:protein_coding transcript_biotype:protein_coding MERALIMFNLDDSHTDDKTKRLERILRRRKARNNSLKHNRVVSASRTTAMICGNTNVLIDVDDHKIDVDIGSHMSENLKSPDTDNKRKGLKRLSRRQEARSDSLKRKRVTSASRTTAMICANTNVLENINDHTGDDDDELDEDYKSFLITYDPDNKNVSDEVDSDYATLLATYDPIVEIDSASNRRGSSNIGVSNINDNHQVDADYQSFLPRYDPGHISDDVGVNEDDHRLVNLGSESLGKSSSVKRNSLVPVTIVDGDKEYIRGKNTKNTSKVEDSESLGQSSSVNRNLLVPVTIVDGDKEYMRGKNTKNISKVEGSESLGQSSSVKRNSLIPVTIVDGDKDYMRGKNTKNTSKMEGSESLGQSSSVKRNSLVPVTIVDGDKEYMRGKSTKNTSKMEGSESLGQSSSVKRNSLVPVTIVDGDQEYMHGKNTTNTSKVEGSESLGQSSSVKRNSLVPVTVVDGDKEYMRGVPVTIVDGDKEYMRGKSTKNTSKMEGSESLGQSSSVKRNSLVPVTIVDGDQEYMHGKNTTNTSKVEGSESLGQSSSVKRNSLVPVAIVDGDKEYMRGKSTKNTSEMEGSESLGQSSSVKRNSLVPVTIVDGDKEYMRGKSTKNTSKVEGSESLGQSSSVKRNSLVPVTIVDGDKEYMRGKNTKNTSKVEGSESLGQSSSVKRNSLVPVAIVDGDKEYMHGKSTTNTSKVEDGGIPSDPDVTILKSYPICEANPFVPSNIYDSSCFGEEVNPKDNMQIAAYDDSQFRRRLLEHLDRPYDQKEYKSLLIELCDKKQLERQYETWKGEFESYDTEGVTTPYYVMYPDLSKAIAKEPKNKRRVLFLLRGFFFYLKNVCHMGSFQPWLDESCLELMRKI >RHN59267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8916019:8916294:-1 gene:gene21271 transcript:rna21271 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNCAHSIINDNNLRARSVDSWVRVVIGPHLEELDLDLLPDLDDDDLDGPNFKLPLSLFTCPNLVSLRHVLPFFTASFFFFKRQMFFWES >RHN72555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8599826:8602507:1 gene:gene8281 transcript:rna8281 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative COG0325 protein family MTSSSQGGAAASLKAVLDRVHHAAERSSRDVKEIRVVAASKTKSVSMLRQVYDAGHRCFGENYVQEIIEKAPQLPEDIEWHFIGNLQSNKVKPLLAGVPNLAYVESVDDEKIANLLDRAIAKIGRKPLKVFVEVNTSGETSKFGVEPAQCLDLVKHIFTNCPNLEFCGLMTIGMLDYSSTPENFKTLSKCRSEICAALGISETQCELSMGMTADFEQAIEMGSTTVRIGTAIFGAREYPQKEEK >RHN71674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1837184:1842064:-1 gene:gene7298 transcript:rna7298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sodium/sulfate symporter MESFALHSLSTTSSPTFFLHHLHHHRSSRPIFRSQFSPLPQNPSSRSIPSLKSSQSFSFPSKVSTFNLFSKPHFPIHANSTPPPSPSSPPKPNILQGAKPIPFAISILIGLIVRFFVPKPVEVTPQAWQLLSIFLSTIAGLVLSPLPVGAWAFLGLTASVVTKTLTFTAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWMGKSTLGLSYGLTFSEVLIAPAMPSTTARAGGVFLPIIKSLSLSAGSEPNSPSSKRLGAFLIQNQFQSAGNSSALFLTAAAQNLLCVKLAQELGVVISNPWVTWFKAASVPAFVCLLATPLILYTIYPPEIKDTPEAPALAAKKLETMGPVTQNEWVMVATMLLAVSLWIFGETLGIASAVAAMLGLSILLVLGVLDWDDCLNEKSAWDTLAWFAILVGMASQLTNLGIVSWMSDCVANSLRSFSLSWPASLAVLQAAYFFIHYLFASQTGHVGALYSAFLAMHRAAGVPGTLAALALGYNTNLFGAITHYSSGQAAVYYGAGYVDLPDIFKTGFIMAFINAIIWGGVGSIWWKFLGLY >RHN81863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49410579:49410953:1 gene:gene5957 transcript:rna5957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MELSTIRSYNVLRIIGILILLSSSPFVFAYIECSTVSQLFSSCSVFINYGTPDPSPGSRCCDAMSGLSIIANSGGGNKQSVCRCVMSLIQNYIQNATAIGTLPGLCGISLGFTIIPNSNCLVYD >RHN54273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8187598:8194556:-1 gene:gene29278 transcript:rna29278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA-2-methylthio-N(6)-dimethylallyladenosine synthase MASALSSIINQPHTAQRLKLHSPSCLRFFKHKHYAFLPSPKPFSSSRPLHLITASRTFSSLSTKPKNPSLRNFIAQASLTASQFQQPHLVADTEAPSTGRIYHETYGCQMNVNDMEIVLSIMKNAGYNEIVTAPENAEIIFINTCAIRDNAEMKVWQRLNYFWFLKRNWKANVATGRSQSLRPPKVVVLGCMAERLKEKILDSDKMVDVVCGPDAYRDLPRLLEEVEYGQKGINTLLSLEETYADINPVRISQNSISAFVSVMRGCNNMCSFCIVPFTRGRERSRPVESIVREVAELWKEGVKEVTLLGQNVNSYNDASVVEKEAEAGSNWKLSEGFSSISKVRTTGLRFSDLLDRLSLEFPEMRFRFTSPHPKDYPDELLYIMRERHNICKLIHLPAQSGSSKMLERMRRGYTREAYLSLVQKIRSIIPDVALSSDFICGFCGETEEDHSDTLTLVKEVGYDMAYMFAYSMREKTHAHRNYVDDVPEETKQRRLTELIETFRESTGKCYDEQVGTTQLVLVEGPNKRAPDTELIGKSDKGHRVIFVNLPISDREDVNTKRNPAVGDYVEVQITRSTRASLFGEALAITKLSSFYNNLEREAVACSM >RHN68265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34107408:34110572:-1 gene:gene16598 transcript:rna16598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSFSCPTKKPKLESTTARAKPNWLELPRDVTSNILTKARYVCPYWWNICKDPFMWRKIHMGRSHLYFQFANRGTPYLNRLVNLCQYAVDLSSGHLEKIDIYGFGTDDLLQYIADSRVSNEGWCKVAKKFPLLEEINISYGIQSGKSLEVIGQSCPLLRSLTFNGASYRGRFKCDDEAFIIAKTMPGLRHLDIHGNPLSEVGLLAILDGCPLLESLDIIGCYNLDFNGNLGERLRNQIKHFCGRHCTAWSFVSMQCNFV >RHN58412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1546057:1547115:1 gene:gene20322 transcript:rna20322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase/kelch, beta-propeller, F-box associated interaction MPMFRTNFISMHCSLYNDTYLFLNIKEILPHPQEGSELYLLSGDKFENRVNLKWPVSFQQDEVYSYTNMLDSGINDVLCLSDRDHEFVALWNPATKELETVPSSSAQFLPFNTVWFHLHGYGYDPVNDDYKIIRRVYVNQYKPNDNVDWNYLPTTPQPFWEIYSVRSHSWKRLDLYMPIDIGRKVYLNGMCHWWANTNVADAYMVSFNLSNENFFTTHLPLDMQDSYPNEWVKIETDPDEWVKRYFDLVVLNGFVAMISKHVKTSSFHIYVLGELGVRESWTKLFIVGPLPGVERPIGAGKKGDIFFLKNDNEVACFDLNTQTIEDLGVKGEPFFCHFAICKKNLSFIGIRN >RHN53581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2667237:2670727:-1 gene:gene28504 transcript:rna28504 gene_biotype:protein_coding transcript_biotype:protein_coding MGQELDLNDKSTVILSPNSVLPSHQNCLNVKKEKSCRSHRLEENIETRRGSIYLSPNQKKMATIQERKKIEISSRTSDNSYSGSIFYALCSGSDDEDSVEVETPSSVISQDSQLSSQSPSVSCSCTCMDHNISSSFIEFCINSGVCDKKSSVVEVVGSVNSKIRSNKVNDSLVIGNNSPLQKVKITHSHQPYPSESDCSSRVSSKVPFTPIRKRLNPFTKSKKVARNMACQKSLLNDFSNTTKGADIVSEFVNREIKDSGLACSHVHLHGNLKMKNKQGLPYFEFKVNSPEDVFVAKTWRVGNALNWVYTFHSFHTRTKSNAGLGSQHCDKDSSSMVAQMLVSCSLCSELEDGVIGNYMVTEFVLYDFTHSRKSVIPKKKSYSKQDASKTLNASSLESAAIVLRIPFHKRESLKYKRGDRMKTKAHSKQSDVSSPVEQVKVVLPIGNHGLPSAESEGPSSLLDRWKHGGGCDCGGWDMACPLILLGNPSVQFHEDRPLVEKYQPLELFSQPQGTKEINPTFSMTLVEEGQYAVDFHAKLSSLQAFSICVAILHGTSTFSAKAEQAKNQPLSQCSSLNTLIEEVELFIKSVIAEEKRKMSNIHKGIHRPSMLNQPFSPIGRV >RHN59047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7078769:7087185:-1 gene:gene21030 transcript:rna21030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MSSFTSSSKICQEFESILTTDPKKRRYNVFLSFCAHDKGYFLSSLEEALSLEAGINVFGDIKRFQHVESVLNVIQDCKVAVVLFSKNYTNSSSCIQELEKITQCCRTSDLVVLPVFYQGVGPFYHGDMFGGDTFHDFLDRISMEEISKEEDKLMTWVAAITKANKYLGSRDLIPKPIYRYEHVSITDYIKDIVEHITCVINKNRDFCANSCTPSVKSGVQDVIQLLKQSKSPLIIGIWGMTGIGKSTIAQAIYDQIGLYFEHKSFLKDLGVLWEEQNHDQVLFKGHQHHRVLLVLDNIDKLEQLDVLGLRRSRKWFGEGSKIIITTRDRHLLKKHGIDHIYRVKELDESESLKVFNLAAFSQATTPQEDFSELSRQLVAYSRGLPLALKELGFFLNGEEALKWKNVLKSLKRLSIPAPRLQEALEKSFSDLSDEEKRIFLDIACLFVGMNLNDVKQILNRSTQSAALEISNLEDKSFLTIDENNKLGIHVLLQAMARDIIKRKSSNNTDQPKMYDVFLSFRGEDNRAKFMSHLYSSLQNAGIYVFRDDDEIQRGDHISISLLRAIEQSRTCIVVLSTNYANSRWCMLELEKIMEIGRNRGLVVVPVFYEVAPSEVRHQEGQFGKSFDDLISKNSVDESTKSNWKRELFDIGGIAGFVLIDSRNESADIKNIVEHITRLLDRTELFVAEHPVGVESRVEAVTKLLNIQNSDDVLILGIWGMGGMGKTTLAKAIYNQIGSKFEGRSFLLNIRELWETDTNQVSLQQKILDDVYKTLTFKIRDLESGKNMLKEKLSQNRVLLVFDDVNELEQLKALCGSRDWFGPGSRIIITTRDMHLLRLCGVYQMYTIEEMDKIESLKLFSWHAFKQPSPKEDFATHSTDVIAYSGGLPLALEVLGSYLADCEITEWQYVLEKLKCIPHDQVQEKLKVSFHGLKDFTEKQIFLDIACFFIGMDKKDVIQILNGCGFFADIGIKVLVERALVTVDNRNKLRMHDLLRDMGRQIIYEEAPSDPEKRSRLWRHGEVFDILEKRKGTEAVKGLALEFPRKDCLETKAFKKMNKLRLLRLAGVKLKGDFKYLSGDLKWLYWHGFAEPCFPAEFQQGSLVSVELKYSRLKQLWNKCQMLENLKILNLSHSLDLTETPDFSYLPNLEKLVLKNCPSLSTVSHSIGSLHKLILINLRGCTGLRKLPRSIYKLKSLETLILSGCSMIEKLEEDLEQMESLITLIADKTAITKVPFSIVRMKSIGYISFCGFEGFSRDVFPSLIRSWMSPSNNVISLVQTSVSMSSLGTSKDLQKLRILCVECGSDLQLTQDIVRFLGVLKATSYQKLEASAISIPSEISDMYASPLIDDFLGKVCTSGSMNHLKSLLIQMGTKCQVSDIAVDVLQTADETWDSFFLPCDNNSEWSSFSCKGCSIIFDIPTIKGRNLKSMILFVVYYSSSENITSDSCQGVLIINYTKRTIQVYKRDTLTSFEDEDWQSITSNLEPGNKVEVMVVFGEGFIVEKTTISLLYDEPVNKEMECCHVVDVDDVIVSGNDDNNVGVSSGDNEVINQFGEETPNHLQIISPADGWYADVVGPVLLVPYDLHQLAEAEAEELHAAEEKHDQEQQVLPLKCEPEQHAIVASATIEPTLGAQILDAIRELRADFIRQELTVTARLNAVDVRLERLEDGIAHILHGSSFESTHS >RHN72614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9162363:9167408:1 gene:gene8357 transcript:rna8357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ceramidase MAETISSFWGPVTSTIECCEKNYAYSSYIAEFYNTISNIPTILLAFIGLINAFRQRFEKRFSVLHVSNMTLAFGSMLYHATLQHVQQQSDETPMVWEILLYMYILYSPDWHYRSTMPIFLFFYGAAFAVVHSFVRFDIGFKVHYVILCLLCIPRMYKYYIYTADVCAKWIAKLYVATLLLGSLFWLCDRVFCKEISQWPVNPQGHALWHVFMGLNSYFANTFLMFCRAQQRGWSPKFVRLFGVLPYVKIEKPKSQ >RHN43097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43156579:43158297:-1 gene:gene49621 transcript:rna49621 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEEDELVQMVHDFIESDHSPNSATTFITSSNHHPLHNRSQYFILKDILRSDTTSTEAKVMKYVLKHLRGKHGSDKTTILSRWLVKRMRKDGLIASLYQTSWSTSLGCPAGEYEYIEVIIEDENNIDDPMRLIVDIDFKSQFELARPTQYYKELIDSLPLIFVGRENKLCKIISLLCSAAKQSLREKGLHVPPWRTTTYMQSKWLSGCRKEPNPVGDGFGIGDNIINGNSNSNSNSNMVVSIVKPNKRDLGGESGLSSQLSNMSINCC >RHN50825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10857316:10860297:-1 gene:gene35161 transcript:rna35161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MPIYTLLYFIHKIIKKYTIIHKIMNSSLWNCLILLSSFVYASFAANPRTPIDVPFGRNYVPTWAYDHIKYLNGGSEILLNLDKSTGTGFQSKGSYLFGHFSMYIRMVAGDSAGTVTAFYLSSQTAEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKAYHRYSVLWNMFQIVFFVDDIPIRVFKNINQLGVKYPFSQPMKIYNSLWNADDWATRGGLEKTDWSKAPFIASYKGFHIDGCEASVNAKFCDTQGKRWWDQPEFHDLDVAQWQRLRWVRQKYTIYNYCNDRKRYPQVPQECTRDRDI >RHN57630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40646794:40648673:-1 gene:gene33207 transcript:rna33207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 3-O-glucosyltransferase MKKAEVVFIPFPAVGHLVSALEFAKLLINRDNRLRITILVIKLPQTAESDVYTKSIPISDSLNVINLPEVSLPQTSDPGSAMNALLDAHKPNVKQAVSNLDVTTFVVDMFCTNMIDVAKEFSVPAFVFFTSSVAFLGLNLHIHTLFERDNVDSTQLEQLTELSLPSFANSVPTKSLPSAVIRKESESFFMSYAKGLKKADGFIVNSFEELESHAVHSFLSDTILAGLRIYPVGPILNPEPKTKDPIDYDDIIKWLDDQPPSSVVFLCFGSRGSFDEDQVKEIALAIENSGARFVWSLRKPPPKGAMIAPSDYPLSDLGSVLPEGFLYRTVEIGRVIGWAPQAQILAHPATGGFVSHCGWNSTLESIYFGVPLATWPIFAEQQTNAFELVCELKMAVEISLDYRVEFLGEPNYLVTADKIERGIRSVLDKDGEVRKKVKEMSEKSKKTLLEGGSSYTYLGRLIDYIIDEV >RHN79578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30252096:30252739:1 gene:gene3391 transcript:rna3391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LysM domain-containing protein MACGCSSTAMAEKISWNCALFVALMLVLSFCESNTSDDELNVQIQNMLQENDFSNTHVNKNKVCDEIYVVGEGETLQTISEKCGDPYIVEENPHIHDPDDVFPGLVIKINPFSVSS >RHN72742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10360352:10366657:1 gene:gene8495 transcript:rna8495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cleavage/polyadenylation specificity factor, A subunit MERVVASLSLSTSMCGKDLLVAISDSGNLSVLAFCNEMNSGCFIAASEYEDQLALFSVSMSDNDIIDKKIIHPFDSGETESASINMKSTSICGTIWSMCFISLDSRQTSTAKNPLLVAIILHRRGETLNELLLLEWNVKSQTTTVVSQYVEDGPLAHNIAGDGLLMNFRDPCNPFFVYRTSLNSFPVAVEDLCIRGTRKDATLNKKAANYHPVRKDDDNGDTNLGRKYICSWSWEHGNNEVPRMIFCVDTGEFFMIEVCFDSDAPKVKQSDCLYKGLPCKELLWVKGGYLVAIVEMGDGVVLKLEDGRLCFTDSILNMAPILDVAHEEEHGQMFACCGVAPEGSLRIIQSGISVEKQCRVGYIYEEVVSTWAVLMKVTDSYHSFIVLSFVSETRVFPVSLSFKDVTASVGFQPNVCTLACGLVMDSTPLSSPNCTFWHPRNMNISLGAVGHNFIVVSTTNPCSLFILGVTYLSAYEYEVFEMKHLKFQNEISCISIARQNIGEKQSNSSILENNSFVIGTHRPSVELWSFAPDGEVIIIAHATISLNNAICIPQDVHLVFVGKYYVLAGLKNGMLLRFEWPSELFHSTTKAFEKLNDLPSVLQLITIRHIGINPVFLVPLDDTLDADIIALSDKPWLLHTARQGLSYTSINVQSSSHLTPICTSECPQGILLVAENSLHLVEMFPNKRLNMQKFHLEGTPRKVLYHNESGMLLVMRTELNHGTYSSDICCVDPLSGSVLASFELEHGEVKSMELVKFGSKLVIVVGTSLSSKSASLSQKTSPFHELVVHYLEPLSSCSLSSIPDNNSLDGIKLEYDTTLIGRVKVIKYATERTRFIIKSLTAHCSRIAVGDGRDGIIFFSYDEDAQKLSFFSGDPSQRLVADCTLMDDNTAFVFRSFGRLSCAYFMGEVVMSIQKFLFFIAVLGDMVPNTNVDSLQNAVIASTLSGNIMIFFPLSREEYKLLEAAQAKLVVHNLTAPILGNDHDEFRSRENPVGVPKILDGDILTQFLELTCMDQNTILQSQMVKKVVQILDGVNYALN >RHN73794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19923068:19923585:-1 gene:gene9660 transcript:rna9660 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGKKSAEHIFLSPPHKDNEEVQIIFYITYSKSLLGSDSVERYNLSSYSSPNQRLLRYLHIELLKEPHLENYRFLVRVRS >RHN57447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39222164:39222526:1 gene:gene32992 transcript:rna32992 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRGSLVHSPPAVVMLMCMFSSIVHGQQYTEETDSNVAANGRNGSLLSSLFILGDSSVDCGDNTLLYPLLHGRLSLYPCNGSDSSLLPQLIEKCYQI >RHN77334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6081477:6089958:1 gene:gene779 transcript:rna779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PAN/Apple domain-containing protein MMARSEWGIQLQSRGGGKWVCSYKKITILVCLFNIAIALYCLRSLYASLYIYSGSVSRNIVVYKPDQIRKMEESNRIRTSYKPVKLMKLVKELEGEFSIQNTEVELPQHLKQKIIDEVLQRLVSLNSRSNNGSHSQGIAMERDAVENWRKEKLEEVKLVSAGGISNSTISHEEAGMLVKALESDWAVLAEEIGLWIPVEVVNVEHNDKPDGVEDLEEEVLPGRALPPECKPELHTDYDGSAVRWGLTHHKDSAADCCQACLDHAKRAKEGEKKCNIWVYCPSEFGCHSPDIYQHKHMECWLKYAEKPKLNFKDRYPEWYRNSRPSAPVIVPWASGVVAA >RHN47768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42396302:42399978:1 gene:gene42407 transcript:rna42407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase transcription factor WD40-like family MNSMIIVSAIFLALFAIIFTSYNPQNFFSPVPIPGSKNSLHAAKLLDLTGAVGPESLVFDSNGDGPYTGVADGRILKWEGEERGWTEFAVTSSNRSDCVRPFAPELEHVCGRPLGLKFDKKNGDLYMADAYLGLNVVGPAGGLATQVATEAEGLPFHFTNDLDISEDEDVIYFTDSSSVYQRRQHMLVTLSGDKTGRLMKYDKSSKEVKVLLSGLFFPNGVALSKDGSFLLVAETSISRILRLWLNGPNVGQIDTFAVLPGFPDNIRRNSEGHFWVALHSKKTPFTKWISSNLWARKALLKLRNFKRLQALLATKPHAAAIKLSDEGEIIESLEDREGKTLKFISEVEEKDGKLWMASVLMPYIGVYSL >RHN61507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36015668:36017629:-1 gene:gene23980 transcript:rna23980 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVKEILARPIQMADQVSKSADEAQNFKQECLELKTKTEKLAGLLRQAARNSNDLYERPTRRIIDDTEQVLDKALGLVMKCRVNGIKRLFTIIPTTAFRKTSMQLENSLGDIQWLLRVSASAEERDDEYLGLPPIAANEPILCLIWEQVAILLSGCSIDERSDAAASLVSLARDNDRYGKLIIEEGGVPPLLKLLKEGRLDGQENAARAIGLLGRDPESVEHIVNCGVCSVFAKVLKDGHMKVQIVVAWAISELAAHHPKCQDHFAQNNAIRLLVSHLAFETIQEHSKYSITNKQNMSSLHSLVMASNTNTNVPNRSDNSKGAHEDYKLVAHPGTNQTSNQMHNVISNTIAIKGNNSKDSKEDNANDVEKHNININSHSHNNHNVSIAGTSIKGREFEDPETKAQMKAMAARALWQLCRRNVTICHTITESRALLCFAVLLEKGTDDVQHYSAMALMEITSVAAEHAELRRSAFKPTAPAAKAVVEQFLKVVEKGDSEDLLIPCVKAIGNLARTFRATETRFIAPLVKLLDETEPVISTEASKALIKFAETDNYLHETHCNAIIEAGGAKHLIQLVYFGEQMVQIPSLLLLCFVALHVPKNETLGQEEVLIVLEWCTKQTHIMAEKKIEAILPEAKSRLELYQSRGTRGFH >RHN60129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21317866:21318978:1 gene:gene22361 transcript:rna22361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDISNNNLTGMNPNLELKLTNSPHIDLSLNQFEGCIPLFLLQAGAVQLSDNKFSDLASFTCSKSKPSNLVILDLSSNELKGELPDCWNDLTSLQYVDLSNNKLSGKIPFSMGTLTNMELALLDLGENKFHGPLPAWIGQSLHQLVILSLRFNNFNGILPSNLCYLTKLHVLDLSVNSLSGGIPTCVKNFTSMTQNFMNSATSMEHWYSLNNYLYVKYEYNLFLMWKGADRRYKNADKFLKTIDLSSNNLTGEIPTEMQYLVALISLNLSRNNLRGEIISNIGNFKSLEFLDLSRNNLSGRIPSSLAHIDRLTWLDLSYNHLNGKIPIGTQLQTFNASSFEENSNLCG >RHN41428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29944114:29945079:1 gene:gene47746 transcript:rna47746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MGIQNNPTGTNEIVGEQYCPLLQTKTDEKIEVEIEHGDDGSNSNKNNESAASFSGSVFNLSTTIIGAGIMALPAAMKVLGLTIGIASIIFLALLSHTSLDILMRFSRVAKAQSYGDVMGYAFGSLGRLLFQISVLFNNFGILVVYIIIIGDVLSGTTSSGSHHFGVLKGWFGEHWSTGRTFVLLITTLVVFAPLGFFKRIGTFYNTMNSSMLNDLPQIVG >RHN69586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44615585:44620364:-1 gene:gene18069 transcript:rna18069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative class I myosin tail domain-containing protein MAMKDQINDEPILSKRDYINIHSHPNLIKILRKQGDQEVLFADKVLKFTSSGKMKSRILLITDFAIYIVDPELDSLKRRIALAAVDKLCLSKLNDNFLAVIVPSEYDLLLASARKMEIVIAFNEATMKSSDYELEVVSSNRFEYNAASDLVKEIEFEEVEGGVKTRILRK >RHN68304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34447925:34457203:-1 gene:gene16639 transcript:rna16639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydrofolate synthase MLRNHSLIPQTPLFLSSNPSFSIPFPRYNNSLILSTNTQSLSFTLQSKLYMAQEGDNGSPKSSPYEEALEALSSLITKRTRVGDVNMEERFLVLFEYLKMLELEEAISNLKIIHVAGTKGKGSTCTFTESILRNCGFRTGLFTSPHLIDIRERFRLDGVEICEEKFLAYFWWCYDRLKEKTDDKVPMPTFFHFLALLAFKIFSEEQVDVCIMEVGLGGKYDATNVVQKPIVCGITSLGYDHMEILGNTLGEIAGEKAGILKHRIPAFTVTQPDEAMDVLKEKASQLNVPLQVTSPLDAKLLNGLKLGLEGEHQYVNAGLAVALCSTWMNINGHLEESHLKQIQHTLPEQFINGLTTASLQGRAQIVRDEHVNNKISNELVFFLDGAHSPESMEACAKWFSLAIKDQNQTLFHQIADNSRFSNKVVKTHQSETQKSAQILLFNCMSVRDPQLLLPHLMKTCADHGVYFKKALFVPGLSMYHKIGSHALTPSDSNIDLSWQFTLQRVWENLMQGNKGKNNSAASEELAGMEMSVSNCEHSAVFSSLPLVINWLRDRVQQNQSTRFQVLVTGSIHLIGDVLKLVKK >RHN59445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10787449:10789231:1 gene:gene21475 transcript:rna21475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Repetitive proline-rich cell wall protein 1 MASSNFLVLLLFALFAIPRGLANYDKPPVYQPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPIYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVYKPPVYKPPVEKPPVYKPPVYKPPVEKPPVYKPPVYKPPVEKPPVYGPPHHP >RHN78361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14402747:14403367:-1 gene:gene1905 transcript:rna1905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MEAKVHCMGDSSWRNTLACHDFPILLQRTIVGPFLNGSVNWLTYHNLNCHLYERENVTINQLLIFSLDLRKETCKYILLPDSTTVVSQDLLKLAVLRGCLCFYYNHMRTHFVLWEMKEFGVQESWTQLVNVSYVHLQIGEFLNWLLLPVCLSENGDVVMLVCEEEDEAIMYNQKDGIVEPVELSNNQIRYADEHMQSLVLPCPRPH >RHN39373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6543276:6546820:-1 gene:gene45391 transcript:rna45391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane associated eicosanoid/glutathione metabolism-like domain-containing protein MATADGIKGDYAPILDPEHPPLGKFDKPLPCFGCGIGWFSFLLGFACPLTWYYATILYFGNYYHKDPRERAGLAASAIAALICTITVVITILVIFL >RHN81111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43396188:43398880:1 gene:gene5109 transcript:rna5109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MEEDQNDAVPCSSLAVESMLRVGTAGAIWGLCTGPYDATIQGLRGIPRASFVANSVRIYGTQCGLVAGVFSITRCGVKKYRGRNDWVNGLIGGAVAGATVAARTRSRMQVFGMAGLVSVFCAVAEYSRT >RHN69542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44231591:44239229:-1 gene:gene18023 transcript:rna18023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LIM-domain binding protein/SEUSS MVPPGPGPPTPIGGAQSLSPSLMRSNSGMLGAQGAPMSSLVSPRTQFNNMNILGNMSNITSMLNQSFPNGVPNHGLTGPGSSQRGGIETGSEIGQLSSVGNGTSFPNSPSSFGQSNMTSAGSSGQVQGQQFSNSSTNQLLPDHQHSQPLDTQNFQHSQQSMQQFSSPLNTQQQQHFQSMRGIGGMGPVKLEPQLNNDQLGQQQLQSMRNLPPVKLEQQQIQTMRSLAPVKMEPQHCDQPLFLQQQQQHQQQQQFLHMSRQSSQATAAQINLLNHHRLLQLQQHQQQQQQLLKAMPQQRSQLPQQFQQQNMPMRSPVKPAYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYYAPNAKKKWCVSMYGSSRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYHNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQIGAVAQKYQALTQNATPNASAPELQNNCNMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLAKFPRRTVNSCGVRNQAQQHEDQLKQQQQQQMMVHNSNGDQNPVQAASMQIASSNGMVSVNSSVNSASASTTTSTIVGLLHQNSVNSRQNSMNNASSPYGGNSVQIPSPGSSSTVPQAQPNSSPFQSPPTPSSSNNPPQTPRSILTPPNQMNTTNSPANISLQQNQYQPSLSGDADPSDAQNSVQKIIHEMMMSSQMNGTGGMAGAGSIGNDLKNVNGILPMSANTGLNNGNGTVNSNSGVGNYGTVGFVPSTMPNGIRAAMVNHSVMNGRGGMASIARDQAMNHQQDLSNQLLSGLGAVNGFSNFQFD >RHN53971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5858168:5873698:-1 gene:gene28946 transcript:rna28946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKIFEATPEPWHGPCSHWHDLCQTLPSENPPNSRNLARSVPPAGTAVPDPRLDSVLFRELDRTCRLLPFFRTSVFCRVDTNSTLSLSLSLSLSLHTHTHATWLQSLPSNSTISYFVTFKNQWSFSHSAFVFGNEGGINKCPIDGNMVPPGALVTFVQKGLQFLEMEANLNNCDADFDEDFSFLQPLDLITKDVHELKKIINERRRKLQKGKNKESEKEHDGDRGLTREKETHEREKECEKDKEKVENHKEQELQNENQTSREMVSDQEDKVNGAKKEDKVNGTKKEDSKKEDKVKVKHEEDGAFGGPEPMDICTTSTSQPCEFLMSDVTILEGHKSEVCACAWSPTGSFLASGSGDSTARIWTIAERTRKLDSKNDPSTVLVLQHIKGTTNEKSKDKDVTTLDWNGEGTLLATGAYDGYARIWTTNGELKSTLSKHKGAILSLKWNKKGDYILTGSCDETAIVWDVQAEKWKQQFAFHKGPTLDVDWRNNVSFASSSTDTMIHVCKIGDNHPIKTFEGHQSEVNCIKWDPTGSLLASCSDDNTAKIWSMKQDKYIHDFREHSKEVYTIRWSPTGPGTNNPNKKLVLASASFDSTVKLWDAELGKLIYSLSGHRDGVYSVAFSPNGEYLASGSPDKSINIWSLNDGKIIKTYNGNGGIFEVCWNKEGDKIAACFSNNTVCVLDFRM >RHN56158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28249573:28251769:-1 gene:gene31515 transcript:rna31515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (pseudouridine(54)-N(1))-methyltransferase MFSTQDQAVGNEEVDKSVAEIPGVYFIIENASFVVACLYKNYQILDSEEHVDFLRRKNMNFPDDHRPDIVHQALLAIMDSPLCLSGRVSAVFIRTDEGILIKVDPQGRIPETFGKFCNMMSELLQKSSIKSKGNEGRLFELIQNPVTQHLPVNSLKIGLSFNSPKAVKINDFVAANCDNHKSLVFVVDAMAHGKVDAMAHGKVDADYIDDFISVSGYPPNADTCLYRICDALESYWKIF >RHN50435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7105660:7106981:1 gene:gene34718 transcript:rna34718 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLVDDMNVMLHTADAPSTDNRCIYKVPSVIRKHNEDAYTPNFVSIGPFHHGHPQLKNMERHKLIYFKDFLQRTNASLSILISDIYSILSDFKCCYSETLSFPQDEELVKLILIDSGFIIQLFWKYFKKDFLEPWLDAGIRSDLLLLENQLPFFVIEKIYGLSWSSTNGSFLELTINYFQYFNQSKLVFDNNSQCIRHFTDLIRIFHLQHPIESQPSRDKIDEQIIHLPSATQLLEAGVRFQVKPKSECLLDLGFSEGVLEIPRLEVEDGTEILFRNMVALEQCHYPYESYITDYVVVLDFLINTGKDADILVRKEILTNLLGDSDSVANLFNRLCKNVIHHNISSHFSILCKNLNAFCSNPWNRLKASLRRDYGKTPWQTAASVAGILLLVLTLLQSVCSVLQVVQAS >RHN72983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12342084:12343756:1 gene:gene8752 transcript:rna8752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MKRKYEGLAIGIDLGTTYSCVAVWQEQYCRAEIIHNDQGNRTTPSCVAFTKDQRLIGDAAKNQAAANPQHTVFDAKRLIGRKFSDQVVQDDIMLWPFKVTAGVNGKPMITVKYKNQEKQLCAEEVSSVVLTKMREIAEAYLESPVKNAVVTVPAYFSDSQRKATIDVGNIVGLNVMKILNEPTAAAFAYGLDKRINCVEKRNIFVFDLGGGTFDVSLLTIKDNVFQVKATAGNTHLGGEDFDNRMVSYFVEEFKRKNKVDISGNPRALRRLKTACESAKRSLSYLVVATIEIDSLCDGIDFSASINRAKFEEINLELFNECMKTVESCLTDANTDKSNVDDVVLVGGSSRILKVQQLLQDFFKGKELCKSINPDEAVAFGAAIQAALLSEDIQNVPKLELQDVTPLSLGRSLVGDIMDVRTRACDNNLLGSFEIVGIPPAPRGSHASEVCFSIDENGILTVSVKNNISGTSNEITITLSHERLSS >RHN79768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31983331:31984286:-1 gene:gene3604 transcript:rna3604 gene_biotype:protein_coding transcript_biotype:protein_coding MRREKEEREGVRDSRERGKRTSKGHKYEGGGQRPESSRHGGNHVDRVSTSYSQRRFPTQIQHRREEVVQKKVEAGLSYSGVVLGERRPEKVGGESPVLPVLEILPAEDLLSELESCFVGRLFQHLEVEALQTCLFIEGWRGIKVVPLGEKLVLLKGNNMQDIVKAREEKQAWWSATFSEVVPWSPNLVATIRRVWIQLRGIPLHI >RHN47403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39471452:39473716:1 gene:gene41997 transcript:rna41997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MEFSLWIRSLILLSLTSAALSATPRKPVDVPFGRNYVPTWAFDHIKYFNEGSEIELLLDKYTGTGFQSKGSYLFGHFSMNIKMVPGDSAGTVTAFYLSSQNADHDEIDFEFLGNRTGQPYILQTNVFTGGQGNREQRIFLWFDPTKAYHRYSVLWNMYQIVFFVDNIPIRVFKNSKKLGVKFPFDQPMKVYNSLWNADDWATRGGLEKTDWSKAPFIAGYKSFHIDGCETSVNAKYCAKQGRKWWNRPEFRDLDAAQWKRIKWVRKKFTIYNYCTDRTRFPQIPPECRRDRDI >RHN45878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26482916:26486441:-1 gene:gene40300 transcript:rna40300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MITNLSFISIMVLSKCKNLISVLNFITSLLCCSLFVHVVATQQIIKDSETLSSNSGNFTLGFFTPQNSTNRYVGIWCKTQLFVVWVANRNQPLINDSSGVLEISNDNNIVLLNGKKNVVWSTNLNNVSTSNSSVTLSDYGNLILFETTTEKTIWQSSDHPTNSILPSLTFTSNMTLTSWKTSNDPSNGSFTLGIERLNMPEVFIRKENRPYWRSGPWNNQIFIGIEDMSALYLNGFHFQKDRTGGTLDLVFRADDYGLVMYVVNSTGQMNEKSWSIENEEWMDTWTNQRSDCDLYGFCGSFGICNSKGSPICRCLEGFEPRNNQEWNRQNWTNGCVRKTPLQCESANNQNKSANGNDADSFMKLTLVKVPDFAELLSVEQDECENQCLMNCSCTAYSYVADIGCMSWNRNLIDIQQFETGGTDLYIRVPSSDKGNKGTVIITVSVLVGIIIIATGAYFIWIKVSKPVRNKKKHRIFQFNKIEKDEEYKTDNVIGELSQAKLQELLLYSFEKLAIATNNFHLSNKLGQGGFGPVYKGILQDGKEIAVKRLSRSSGQGLEEFMNEVVVISKLQHRNLVRLVGCCIEGNEKMLMYEFMPNSSLDAYVFDPSRNKLLDWEKRFSIIEGIARGLLYLHRDSRLKIIHRDMKASNILLDENLNPKISDFGMARIFGVSEDHANTQRVVGTYGYMAPEYAMQGVFSDKSDVFSFGVLLIEIVSGRRNSSFYEHENSLTLLGFAWIQWKEDNILSLIEPEIYDHSHHRSISRCIHIGLLCVQESAADRPTMAAVISMLNSEIMDIPPAREPAFLLRQNMLNTVPSEERNEFYSNNALSITDIHGR >RHN41911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34045740:34048751:-1 gene:gene48284 transcript:rna48284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Transposase-associated domain-containing protein MELRKGVIFKVMDKEWTNLHRLNPEYRKGVESFLDFAFTKGKPQGSTILCPCSNCRNRYWKRRKVVRDHLIGSGFLQGYDVWVNHGEQITLPMEIDDGVEDKENSRDDIDGLLYDTFRHVAEVEGRNKGPNEDAKKFYNLINEAKQELYPG >RHN67804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30386132:30386817:-1 gene:gene16040 transcript:rna16040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative taxadien-5-alpha-ol O-acetyltransferase MLNMLVGMRRNLMDFDTLPKGYYGNSTMDAKVVLKVSELDEMPLYEIVKLIKETKNISFTTDYVTNSINSVETNQEEDFSMELEASGAVTVLTEWKHLGFHENIDFGGYEVVNLVPAPCKMLATVDACIFSSPNKLDDHDPSMDGGVRIFTSLPVDAMPKFKDEIEALRFLYSKL >RHN71653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1690300:1690970:-1 gene:gene7276 transcript:rna7276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQSRKKKNMVEILKFFYAMNIFIFLIILSAKVRGGKPFLLSYSHFLLCFISSYTIFYHVLITLFYYLFSLQHILILLSLFITAHIKCETDDDCPKSLNNLLAIKCIDHVCKFVSNLSQFEDLF >RHN40940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24745597:24748213:1 gene:gene47188 transcript:rna47188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MLSLKSSIDRRIQSIFPIILYSILIFAVTRLVLDSLKSKQSRVFQLYGMSSGGRNRLPVVVLPEDRIEEGCNIFEGKWVWDNVSYPLYEEESCPYLVKQTTCMKNGRPDSFYTNWRWQPHECNLPRFDPLKLLHMLRNKRMMFIGDSLQRGQFESMICLVQSVIPEGKKSLQRIPPMKIFRVEEFNATIEYYWAPFMVESISDHATNHTVHKRMVMLDSIAKHGKHWQGVDILVFESYVWWMHSPFINATHGSPDEVQEYNVTTAYKLALKTWANWLESNIQPLNQYVFFMSMSPTHLWSWEWKPGSDENCFNESYPIQGSSYWGTGSNLEIMKILHDSLQELKIDVTLLNITQLSEYRKDAHTSVYGERKGKLLTKEQRSNPKSFADCIHWCLPGVPDTWNEILYAYLFKNYQNFS >RHN75620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43811911:43813281:-1 gene:gene11853 transcript:rna11853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MRNVVLFSLPFLLICFQLCLATNTLHFGNNISQDNSNNLVSLERKFRLGFFSLPIESGSNTENLKKYLGIWYHDLEPQTVVWVANRNNPIVDSKGVFQIAKDGNMVVADASQSYWSTNLEASSSRKRVVKLLDSGNLVLMDDDHGYLWQSFQHPTDTFLPGMKMDINLALSSWKNENDPGIGSFAFQKAQTGDPRSYRVNNQSQLYWAFDGHNSDKMFNIILDLLENSTSNSLHKYRDITIKQRSFNYDKSRLLMNSTGDIQFWRWYDIQWMNEWSRPSDVCDRHNYCGSFSSCNKNNWIPCKCLPGFRRRLSDNDHGYLGERYQGCVRKSSKQCVTAATDNNMIFIKLTNIKVGNPDQGFSSETKADCQSLCLNKCSCNAYSYKATYNDRSYFSCWIWTRQLPTLQEEQDDGRDFSILVNSSDIGNLYLLNLYFKEEYYLLLSPFNFFDLFIIYH >RHN61166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33591323:33595396:1 gene:gene23602 transcript:rna23602 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIFCKPKTILIVELLAHVLLVFAAAVFGVEDWQQTAMLTGISGSYQPPILGGLDQLQRQDVVGWEGLSLLGLQYIA >RHN78582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16426413:16428344:1 gene:gene2200 transcript:rna2200 gene_biotype:protein_coding transcript_biotype:protein_coding MWIMFQIRNQSNGPYVILLPNYVQIGLDKKRKKLVPTFRTKQSENNIIKLKNRYQSFLHEIENHFIPIGIAFPLSHSFNPSISNSFSHIFSFQPIIPINFVPINMSRMKLSKKLKPAKKAWKNLSNNFQSKLHKLNISKTFKTTLQHILSLFHSLTHLITSKTKTHRSLTSFKSSYAASPSYYHFQHKSFAAININDLYSQTHSVSSMNSSSNIHHAQGETSRDIEKVHVDDNNNDVETIEDAWKVVVSRSPMLQVDQKAEEFIYKFREDIRLQKEKSLLEFHERLARST >RHN66001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7435783:7438168:-1 gene:gene13910 transcript:rna13910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative remorin MNIQYSSIFNYITIKGNRRRFHTFLKFQKWRIGSTKEVSFSSPPQSQQHTRDDEFVTAIAATAFSIYSLEEAGILDLKKVRDSQKFSKNLTIRGKEENIPKQPSYGETSMRRPFRQETRTPEGALPLRSSSGASPTGGYQNQKGIVLHNKNNKAKAEAWEKAKMERIQKRYEKMKSQILSWEGEKRVQAKQQMERKKNELDYTRANAIEHYKRKIARIDMIGQRAIKELEDNRRKEELKVKEKANKIRKTGKVPVTCFCFKSL >RHN70410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51272947:51273261:1 gene:gene18989 transcript:rna18989 gene_biotype:protein_coding transcript_biotype:protein_coding MMGWWESFIVIPPNLFILWECWCGGERKKSIRRGLRLIWHATIWVLWKARNDKIFNNRNLVVDIVEDIKVVTWWWSLEIMAMSPCMFYEWCWNPRDCLSRLC >RHN61523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36145808:36149611:1 gene:gene23996 transcript:rna23996 gene_biotype:protein_coding transcript_biotype:protein_coding MDKINHNETKTLNSLCPKKVTNLYQKNLNSLCSTTMPSISFKYAFVLSKSSGSVLSKIVLAFVITF >RHN43158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43639399:43644677:-1 gene:gene49692 transcript:rna49692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase MARCH MGKENESPVKKEEVSDDGSSNVEMRDLESQSRLSLEREMGLASCRVCQCAESDKRGDAALEFLGITPVIESKGEVKLDGKEIPKDTTPKKNFECKPGMMEFVGPNGEVFVCKNDLEIGLSHEDRLIELGCCCKNDLALVHYACALKWFINHGSTICEICGHIANNIRIADFNKVIGSLKEYEALRERTVNGDLGPAQDHPNTGVDPDAVAAIRRQRLSEIALWFCPHNSNNFNNNSNVDSVSQLVSEQPLNFIVEEPEPVQNTRTKWAVECTGILLATGLLTITLAWLIAPRVGKKTAGSGLHILLGGVCALTVVIFFRFFVLTRIRYGPARYWAILFVFWFLVFGIWASRTHSAHTT >RHN50495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7629451:7629924:1 gene:gene34785 transcript:rna34785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MLHHAVKQGQCDTKKSIIITHGDINIIYEASESTGLTSIHVAIDVEKEDIIQNLFATEQKSLEARDSWGYTPLAFAANQYSEEKKIVEWLINEGGNDLLTMEITSNEQTKSYIPVILATTKRHKKLNKYLLLKMNWNYMFFNDSYCADKLLTLCIHA >RHN71983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4162584:4164209:1 gene:gene7648 transcript:rna7648 gene_biotype:protein_coding transcript_biotype:protein_coding MNWYVIKKKTEKVMPSKYQMNGYIHRVFPFYRLSRKNRNNEFD >RHN57288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37840911:37842210:1 gene:gene32811 transcript:rna32811 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVFLKGYLMVMSYNLADDTSNVVDEMDNLMKHGVVDSCHFTHEEISLLIEMCVEYPKQHFGKANVYNNLVLAKDDLVIFITDKENDLVGLQPMYGSPERLWNNIINIAIKMGPIEDLTEVVGEDCFMLDFPLSFSVNLGMESIINLPSEPRIVGHSGYLRSTDTPPIRRVPCRTRGYYATSKCLVSDLQLVQMMLMSVFNVVENLGAFGIFGLPSGNVKTDPYFNSNLSNWGLRSEEDGNNSLLHKWKGFRGVPFFLAMMGNLRNVDVALAGEIREGVCSRLRPQLLHILPFSRCRNTIWGIIRGHKIPELFSMPSKQEKVKQTSLLKAFAWVMGLTKKVPMVGLNAVGQLFSKSLSNEELKFIVLSDGTYDLCLMNHKIIDQVL >RHN75688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44319043:44319958:-1 gene:gene11926 transcript:rna11926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WWE domain-containing protein MFYKNDKWLDYPEDIVDLVKKDFKIKKTCVEVELDGQKVLLDFLHMHNVDFKTGFKQPIAWIDEEENCFFPEEMFLIGMTILGITESDIVDIYCNSSMLMQTRLELFQKQADLTNGIQGEANVRYASLYCDVDEDGIKYLVLCRVKMGKMELLRPSNDQRCSSGYEYENEVDDIQSP >RHN45532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22436055:22438023:1 gene:gene39893 transcript:rna39893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MEEKPHIVVVPSAGFTHLVPILEFSKRLVNLHPQFHITCIIPSIGSPPSSSKSYLQTLPPTISSIFLPPINVDQVPDAKILAVQISLSVKHSLPYIEQELKSLCSRSKVVAVVADVFAHDVLDIAKDFNLLCYIYLPQAAMVLSTYFYSSKLDEILSDESRDPNEPIKVPGCVAFDLKDLPLPFRFRSNIGYTKFLERAEKYHLFDGVFVNSFLEFEEDAIKGLKEEKKKPMVYPVGPIIQKVSIGDENEVKCLTWLEKQEPKSVLFVSFGSGGTLSQEQVNELAYGLELSGKKFLWILRSPSGVANATYFVGENEIEDPLRFLPSGFLERTKEQGLVVPCWGPQIQVLEHNSTGGFLSHCGWNSVLESVVYGVPIIAWPLFAEQGMNATMLCDGVKVALRPKANDGGLVERDEIGKVVRELMDGEERVEIRKRIEHLKNAANDAIDEMGSSTKALSQVVAIWNGI >RHN64670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60884210:60885063:-1 gene:gene27510 transcript:rna27510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MDMVNQRKKNMGRKKIEIKKVEKESQKQVTFSKRRQGLFRKASELCVLCDVHAAIIVFSPGDKLFCFGQPDTYSVLNSYIKGTTEFEDSKVAENFLTYQDYNRQYVEAQKMLEMEKKKLEDVQNLAKIFNKGGDWWNDSIDDMRWNDSIDDMSSDQLEQFMISIYELRRKLVERADELVMKQSAMP >RHN51954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29096563:29097552:-1 gene:gene36535 transcript:rna36535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MYRDINITDYTTNQNWFTSTLSNQLSQLANDTGDSDERYKTNSLKLNDKQTLYSLGQCTRDLSSEGCATCLNNVITTSIPWSNLGSVGGRIIYPSCNLRFELFPFYMEGDEAQPPGSPPLPRNAGEVFAPILHVWRQWMDQTPLSILDPNIKEDYSTNEVIKCIQIGLLCVQNDPNARPSIVTVASYLSSYAIDLPTPQEPAFFLHGRTYSDVLAQESSSTQSANSSALFSYNQMSASTFIPR >RHN81930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49883822:49884136:-1 gene:gene6028 transcript:rna6028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative abieta-7,13-dien-18-ol hydroxylase MEFIDFLFAMKPLFPILIAIGLAGFIIKIHGIRNFDKKRKYHPVAGTVLHELFNFHRLLEYSTDITSKRKIYRLLSFNRSEVYTSDPANIEHILATNFSNYGKV >RHN53209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:69783:85258:1 gene:gene28094 transcript:rna28094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, acetyltransferase A, auxiliary subunit MDSETQQHTDEEEEHLFRRLQDSPDDASLQFDIGLFLWNKDSKEKAAQHFILSAKLNPNNGDSFKYLGHYYARVSHDTQRAIKCYQRAVTINPHDSDSGEPLCDLLDQAGKDTLQLSLCLQASQTSPRAFWAFRRLGFLLVHQNKWSEAVQSLQHAIRGHPTCADLWEALGLAYQRLGRFTAAVKSYGRAIELDNQMVFALVESGNISLTLGQFKKGVEQFQQALEILPDCVPAQYGLALGLLSLAKDCINLGAYQWGASLLEEASEVARKSAHSFRNISCIWKLHADIQLAYARCNPWIEEVQELESNKEALSASIISWRKTCFLAARHARFSYQRALHLSPWQANIYSDIAVTSDLITSLSQNYKQDLSARQLGEKMSIGALVLEGDNYEFWVALGCLSDHNALNQHALIRGLQLNVSLAVAWGYLGKLYFKAGEKQLARQVFDRARSIDPGLALPWASMSAESCVSREPAPDEAFESCSRAVQIMPLAEFQIGLTKLALLSGHLSSSQVFGAIQQAVQHSPHYPESHNLHGLVCEARKDYKSAATFYRLARHAFSIGSESNQNSHIRDISINLARSLSKAGNAADALQECENLKKEGVLDEEGLHVYAFSLWQHGQNDLALSVAGSLAASLSSMKKASVAASICFISRLVYFICGLDAVITSIAKMPKELFQSSKVSFVMSAINALDEQNRLGLVVSSTRCFLKYQEEISRMHFLIALGKLVKNESDGCLDIKSGVAYLRKALHMFPNCNLMRNLLGYLLLYCEELNSYHVATRCCKLDRPDMSDQEGLKSAYDIHGAGAVACYATENNNPKFTFPTCSKKCSSQPRAIKYLQKYIHQKPWSHDARYLLVLNYLQKAREQRFPHHLCSILNRLIQTALSNELYSETEIRHQYRHFQLLLCASEISLQCGNYMSCITDAKKASQLVLPDDYLFFAHLLLCRLYALKGDRLNFRKEYAKCLELRTDCHIGWICLKLMECQYELEIDSNAIDLNFEECIKRDENSWNVWMAAYNLARAMNLMQKRDLVSAEEFVAQACSLAGFESCLFLCHGAICMELVRQHNSPHFLSRAINSLTKVHELSLIPLPFASILLAQAEGSLGSKERWDRNLRLEWYNWSSEMRPAEVYFQMHLVARQLKVGPSSISRESSQSPERWVIRAIHMNPSCMRYWRVLQKLME >RHN79784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32105175:32109809:-1 gene:gene3620 transcript:rna3620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phenylalanine ammonia-lyase MEGITNGHVEATFCLSKNGGDPLNWGAAAESLTGSHLDEVKRMVEEYRNPLVKIGGETLTIAQVAGIASHDSGVRVELSESARAGVKASSDWVMDSMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGNGTESNCTLPHTATRAAMLVRINTLLQGYSGIRFEILEAITKLLNNNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPSGEILNAKEAFQLAGIGSDFFELQPKEGLALVNGTAVGSGLASIVLFEANVLAVLSEVMSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSAYVKAAKKLHETDPLQKPKQDRYALRTSPQWLGPLIEVIRFSTKSIEREINSVNDNPLIDVSRNKAIHGGNFQGTPIGVSMDNTRLALASIGKLMFAQFSELVNDFYNNGLPSNLTASRNPSLDYGFKGSEIAMASYCSELQYLANPVTTHVQSAEQHNQDVNSLGLISSRKTNEAIEILKLMSSTFLIALCQAIDLRHLEENLRNTVKNTVSQVAKRTLTTGVNGELHPSRFCEKDLLKVVDREYVFAYADDPCLATYPLMQKLRQVLVDHALVNTEGEKNSNTSIFQKIATFEDELKAILPKEVESARTAYENGQSGISNKIKECRSYPLYKFVREELGTALLTGEKVISPGEECDKLFTAMCQGKIVDPLMECLGEWNGAPLPIC >RHN39149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4504448:4507702:1 gene:gene45148 transcript:rna45148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding, P-loop containing nucleoside triphosphate hydrolase MGKASRWFKSLLGNKKKEKEKDHSDINSGSLTPDIKKEKRRWSFAKQGKNVEVEPPNITPTSSSDGSWLRSYIADTENQQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSQGRGTLFSGSREKWAAVKIQTFFRGYLARKALRALKGLVKIQALVRGYLVRKRAAATLHSMQALFRAQTSVRTQRARRSMSKESRFLPEIIARKSLERYDETRSEFHSKRLPTSYETSLNGYDDQSPKIVEIDTCKTRSKSRRFSSAMSECGEELPPFHALSSPIPPGRISVPDCRNHHQHQQEFDWYFNNLEECRYPTTHNTPRFSNSSTRPPNTPSKSVCGGDIFRPYYYSNFPNYMANTQSFKAKLRSHSAPKQRPEVKKRLSLNEMMSARNSISGVRMQKPSSNFQTKQEDEESWNS >RHN64700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61098366:61105818:1 gene:gene27544 transcript:rna27544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MKSDKDWRLGSMGDMQILPGSRHRPPMKKPMWIIVLVLFVCVFLIIAYMYPPQSSSSACYIFSSRGCKRFSDWLPPAPAREYTDEEIASRVVIKDILTSPPVVSKKSKIAFMFLSPGSLPLEKLWDNFFQGHEGKFSVYVHASKSKPVHVSRYFVNRDIRSGQVVWGKISMVDAERRILATALQDPDNQHFVLLSDSCVPLYHFDYIYNYLMHTNISYVDCFKDPGPHGNGRYSDRMLPEVEVKDFRKGAQWFSMKRQHAVIVMADYLYYSKFRAYCQPGLEGKNCIADEHYLPTFFQIVDPGGIANWSVTHVDWSERKWHPKSYRDHDVTYELLKNITSVDVSVHVTSDEKKEVQSWPCLWNGIQKPCYLFARKFTPETLDKLLHLFSNYSTPWT >RHN72581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8859386:8866864:-1 gene:gene8308 transcript:rna8308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosinetriphosphatase MEHLLRSLRSSRFRFRSLPSSHSSRRLHSSISPRVEAASARSSSSSVSDVSRVLRGDLGQYSMLPAVLAGLFGIELVETAYAEEQANSNEDVQEIAIKERQRIQDLLTTKGIRPSSVPRFNVAVKGQKVSIKFQIPPGCEVSQVIANLTAHLGLKSEGHGGGSDMILRAWDSTVAWQLTLTHPSKQKHIQQNDPSLTDTIAHDTDLCILIFHSLIGTDKVEIEFMKQGNLSPEELDAFISVLQLAGNKLVERNPLERKRREETEQPQSVDKSISSLEAMGVKVYGLNEPIGISNSEISWDIIAGYEDQKRVIEDTVLLALRSPEVYDDIARGTRHKFESNRPRAVLFEGPPGTGKTSCARVIANQAGVPLLYVPLEVVMSEFYGKSERLLGKVFSLANNLPNGAIIFLDEIDSLAASRDNDMHEATRRMLSVLLRQIDGFEQDKKVVVIAATNRKEDLDPALISRFDTMIAFGLPDQQTRQEIASKYAKHLSKAELDELARVAEDMAGRDIRDVCLQAERSWASKIIRGQVSKDGEQANLPPLQEYIACATNRREALLSAAANRKIRSSSHQRMINE >RHN54337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8829644:8831686:1 gene:gene29353 transcript:rna29353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MQLLPFRFPPPLPPNPPPLHSFSPQKKSDNIYYTISYNYYIILLVQTLGKANILISFQVSSPIFYMALLSHNQHYPQPFLCVILFLIFKGVLVSGATFTFMNKCDYTVWPGIYGRPELGTTGFELAKGTSRTFQAPTGWSGRFWGRTGCQFDDSGHGTCSTADCGSGEIACNGATASPPATLAEFTLGTGSMDFYDVSLVDGYNLPMLVATSGGTGPCDVTGCSSDLNKKCPSELRVDDGGACNSACGAFGKPEYCCNGAFSNPDTCKPSVYSQMFKSECPKAYSYAYDDKTSTFTCSGADYTITFCPFSPSLKSAADSSTKNPNETDSSASGADPNQSEMASASYLADMAIASGASTRSITSMVSLLLVVVGFIFTIFYV >RHN52538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36474464:36475998:1 gene:gene37227 transcript:rna37227 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKPVSTTKKNEIVSTQVRSSSTYISDDIAFSILSKLPLKSFKRVESVRKSWSLLSEDTHFMNMFRNNFLSSNSYYDGASLFLKVTTWPDMQQMQVLYTLSGHRFQNIVNSDFSNPFKHDRDFQIFGFGSINGTLLLHQRCCYRHALWHPSTKKYKILPPSQFESYILDDVKRYYSIMTIKSFVIFSLQIQTMSAINLWEINILNPYGRYSLRSNSWRILDVDMPPSLDTTEGNHVYMDGVCHWLCQKDYGYWKKHNISFQPSLVSFYLSNEVFFITPIPSDVDVCFDVETNWRNFFKGTNWRNLAVLNGTIALFSYHEKKTTFQISILGEIGMKESWTKLFTMGPLPCVDRPIGVGMKGEIFFIRKDKELAWFDLSTQMIEELGFKVDRPGCRITIYKESILPFEGINNYLFFLFLPTDI >RHN51402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17288032:17288681:-1 gene:gene35832 transcript:rna35832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MLICFGENTGIGNSLHAELSGAMRAIELAISHQWLNLWLEVDSELVIRAFKNQSIVPWHLRNRWMNCMLLTRNMNFIATHIFRERNVCADLLAFTGHNLDNFTVWMNVPTCITEPYIKNRLGMPNFRFVTY >RHN48067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44689288:44696510:-1 gene:gene42739 transcript:rna42739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SecY/SEC61-alpha family protein MEATATRFSSFYHFHPHNFQPKPNTILHKTRISIFTRNSPSLQRRISVNFSDRLRTNHTPHIQTPILSEQLVPETRGGDGEAIVASPGTLEFKPRMFKNRFLNFVRFGSVINGAAETFFKSEIRRRLFVTAVLIVISRVGYFIPLPGFDRRLIPKDYMSFVSGSSVDELGDFSSELKLSLFQLGNSPQIIASIIMQVFCHVVPSLVKLRKEGLDGHEKIKSYIWWMSLGFAIMEALIVSCYSLPYSIYAASYRFKHVMVTTLLLVCGAMTITWICDTISESGFGQGSSLFICVGILIGYMDTLHKMVTQLSVSAVSWWPYVLAVLGLFTIVTMWAVVVTEGCRKVKLQYYGFKLASAAREQSPITEVEPYIPFNINPAGMQPVLTTSYLLAFPSIVAGLLGSPFWEHVKEMLNPDTSVGAEPWVYYSIYAFFVFLFNIFDIANLPKEIADYLNKMGARIPNIKPGKATIEYLSKVQASTRFWGGLLLSVLATTSSVLDHYLRRTNAGFAIGFTSVLIIVGSIIELRRSYQAYNVMPGLSNALKRYGV >RHN62311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42544645:42548215:1 gene:gene24873 transcript:rna24873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl-phosphate beta-D-mannosyltransferase MDEQHQKQKNKYSIIVPTYNERLNISLILYLIFKHLQGVDFEVIVVDDGSPDGTQDVVKQLQQVYGEDRILLRARPRKMGLGTAYIHGMKHASGNFVVIMDADLSHHPKYLPGFLRKQLETGADIVTGTRYVKGGGVHGWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYKKSALEDIISCCVSKGYVFQMEMIVRASRKGYHIEEVPITFVDRVYGSSKLGGSEIVEYLKGLVYLLMTT >RHN81376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45355691:45360745:-1 gene:gene5401 transcript:rna5401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative enhancer of polycomb protein MPSPSVATRQTTTVFGVVKGGGGDTGRVLRSGRQLWPDSGNVSDDRRKKPAKTNLHNDGSDRFYGKMYSRKRKRNVENNESKIVRFQRQRMKDPCKIAVIVKPCSEDIGLFSCFLFLVLRTVVMFGLTFEDLAAFVLSEPICSVYASRGIQFLQGSVTANVGICQFFGVRRFIPLFCVDFSAVPQCFKSLHSAVVLRYMFRSLFLACNLVNVAIDIEDGVDLSDFEMELQISCDSFMKETFEFETISITPEVIETNDDLSLHESVTSSKLAGRNGKRHSMNAQCVQMRRTSPRIREAQNPSTKNMSNNELPSDSKGGWEKSSAGVASNKKPRRLTNSCTSLYLSEAKSVMEDSREAIDSSCCSANILIVESDRCYRVEGAVVTSEETPKSGEWHLAVKKDGLTRCTLKADKIMRPCSSNRYTHVKMVSLINGWKLEFANRQNWLAFKNLYKECSEREIPIPAAKYIPVPGVCEVSDYADSYTFPFNRPDSYISTNSDEFYRAMSSKTAIYDMDSGDEDWASKFNKEFQEHVSEDDFESIVDALEKTYHYNPDDCCDAKTVSYWCKNLVSKKAVEAVHAYWMRKRKHNHSSLLRIFQSYQSKISPFVLKPSLRKKRSFKRHPSQINRSENPNVLQAAEAEAAKAAANESTELAIQKRKEAQSLAENADLAVYKATMLVRMTEATQAGGSVDALAGHFLD >RHN58853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5452154:5452350:-1 gene:gene20807 transcript:rna20807 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMMCCYDEVEKNRRRGSLLEEKKGRKRFGIFNLSLGVF >RHN48496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47950009:47950786:-1 gene:gene43216 transcript:rna43216 gene_biotype:protein_coding transcript_biotype:protein_coding MNREEQRTKSYRDDLSQFHSQIFENQHFLLSLLSHSPYHSSPSSFPLHLLLLSLSFYLSHHQYLYHHSRFFLPQFQNLPSST >RHN50137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4423130:4424883:-1 gene:gene34392 transcript:rna34392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MSNFAEKKPHAVLTPFPAQGHINALLKIGKLLHLRGFHITFVNTEYNHKRLLKSRGPKAFDGFTDFTFETIPDGLTPIEGDDEVSQDLFSLTQSIMTNFRHFFDEFLAKLHESATAGIIPPVTCLVSDCYMPFTVDAAEEHALPIVLFSPVSACYLLSTSLIPKLFQNGVLPLKDESYLTDGYLDATVDWIPGLKNFRLKDLPDLIKVTDPNHLIIKYKNEVTDKCQRASAFVINTSYELESDVMNSLYSIFPSLYTIGPLASFLNQSPQYHLETLDSNLWKEDTKCLEWLESKEPGSVVYVNFGSITIMSQEKLLEFAWGFANSKKNFLWIIRSNLVIGGSVVLSSEYLKEISNRGLIASWCPQEKVLNHPSIGGFLTHCGWNSTTESVCAGVPMLCWPFFADQPPNRRIICNEWEIGLEIDTNVKREDVERLINELLVGEKGKKMKQKAMELKKMAEEDTRPGGCSYMNLDKVIKEVLLKQN >RHN67083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23619435:23620425:-1 gene:gene15224 transcript:rna15224 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNQVQGCSEVQVSKISEVIRSHYYGSSIGPKLVPLSTPLCPLCSTTLLIASLRFLSSPQ >RHN42036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34979545:34982373:-1 gene:gene48424 transcript:rna48424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase-like protein MVAAILTMRTGTSDFFTQKLFPLNIITTNTKPRIQRTSSYYFFQPYQMAEPPRTDNTPAPHPIQPKPNSTVEKKEIPPPPEKPDPGDCCGSGCVRCVWDVYYDELEEYNKLYKDKDLDSNPKSKP >RHN53578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2649441:2651715:1 gene:gene28500 transcript:rna28500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MSADSDIYTIDISFHTHSSSNIVSYDPNYPFNYIETVQEDLNNSLDSFSSSFFSFSSPTSDQLGNFSALDGSSLKTKECQMGVDYTYYQKLMQRSYNCNNFHEKLDFPSEAYHNTHMDSPIFQCHELSSPENSFFNGQIRRACSAGDLQNMATPGSQTDEVNFKVGRYSLEERKEKISRYRAKRSRRKFNKIIKYACRKTLADNRTRIRGRFARNDKTNEIPKPVCSETEQYHEDVFWVDFIEGLNDELLY >RHN61883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39132241:39134597:-1 gene:gene24394 transcript:rna24394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative actinidain MTSPNNFIIPMFLIFTTWMLPYVMSSRVLEPYLSNKHEKWMTQFGKSYKDAAEKEKRFQIFKNNVEFIELFNAVGNKPFNLSINHFADLTNEEFKASLNGNKKLHDKFDILNETTSFRYHNVTSVPASMDWRKRGAVTPIKNQGSCGSCWAFSTVASIEGIHQITTGELVSLSEQELIDCVRGNSSGCSGGYLEDAFKFIAKKGGMASETNYPYKETDEKCKFKKESKHVAEIKGYEKVPSNSENDLLKAVANQPVSVYVDAGDYVFQFYSGGIFTGKCGTDTDHVVTIVGYGVSLDYTEYWLVKNSWGTGWGEKGYMKLKRNVDSKKGLCGIATNPSYPVA >RHN44194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2345736:2347292:-1 gene:gene38284 transcript:rna38284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MKYVEDKMVFVRSLVLLLKFLFLYSLFSFTFTTCFPQIHPKCHGDESHALLQFKEGFVINNLAHGSPKIASWNSSTDCCSWDGIKCHERTDHVIHVDLRSSQIYGTMDANSSLFRLVHLRVLDLSDNDFNYSQIPSKIGELSQLKFLNLSRSLFSGEIPPQVSQLSKLLSLDLGFMATENLLQLKLSILKSIIQNSTKLEILFLSFVTISSTLPNTLTNLTSLKKLSLYNSELYGEFPVGVLHLPNLKILDLGYNPNLNGSLPEFQSSSLTNLLLDKTGFYGTLPVSIRNLSSLIILSVPHCHFSGYIPSSIGNLTQLTEIYLRDNKFRGDPSTSLANLNKLSVLAVGLNEFNIETIPLSFANLTQLHYLDATDCNIKGQIPSWIMNHSNLACLNLRSNFLHGKLELDTFLKLRKLVFLDLSFNKLSLYSGKSSSNMTDSRIQILQLDSCNLVEIPTYIRYLDDLESLMLSNNNITSLPNWLWKKASLKNLDVSQNSLTGEISPSICNLKSLMSLVIP >RHN64708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61174832:61179469:-1 gene:gene27556 transcript:rna27556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative magnesium protoporphyrin IX methyltransferase MASRPERVAPPEIFYDDTEARKYTSSSRIIDIQASLSERALELLALPEDGVPKLLLDIGCGSGLSGETLSEEGHHWIGLDISPSMLNIALEREVEGDLLLSDMGQGLGLRPGVIDGAISISAVQWLCNADRSSHNPRLRLKAFFTSLYRCLANGAKAVFQVYPENVDQRELILNAAMHAGFSGGIVVDFPHSSKKRKEFLVLGCGQLSTKASLSKGKIEDEEKLSDEESEDEENQTVRLSDRHRPVKKQRKNNKSGKGKEWILRKKDQMRRRGNDVPLDTKYTGRKRKGRF >RHN71161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56845013:56847373:-1 gene:gene19811 transcript:rna19811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MKGNSVLLVLIFYSLFLFLGESRSSLTPQSENDNQVYIVYMGASHSTNGSLREDHAHILNTVLKRNEKALVHNYKHGFSGFAARLSKSEANSIAQQPGVVSVFPDPILKLHTTRSWDFLEMQTYAKLENMFSKSSPSSSDIVIGMLDTGIWPEAASFSDKGMGPIPPSWKGICMTSKDFNSSNCNRKIIGARYYADPDEYDDETENTVRDRNGHGTHTASTAAGNFVSGASYYDLAAGTAKGGSPESRLAIYKVCSPGCSGSGMLAAFDDAIYDGVDVLSLSIGPYSSSRPNLTTDPIAIGAFHAVERGIVVVCSAGNEGSERNTVINDAPWMLTVAATTIDRDLQSNIVLGSNKVIKGQAINFTPLSKSPHYPLVTGEAVKTTTADLAEARMCHPNSLDTNKVKGKIVICDGIDDGYTIYDKIKMAQEMGGLGLVHIIDQEGGEARNYDFPATVVRTRDAATILQYVNSTRLMDIHHQYKVPIWGWPSGWLGILEFAPPEVSGSIPFGANFGGLSPYRVCYGFKRAPASERWDWSPRISRFLDRIPSFQKKNIYI >RHN41983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34518249:34525697:-1 gene:gene48364 transcript:rna48364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-(apurinic or apyrimidinic site) lyase MKIVSYNVNGLRQRITQFGSLRNLLNSFDADILCFQETKLSRQDVTADLVMVDGYESFFSCTRTSQKGRTGYSGVITFCRVRSAFSSNEAALPLAAEEGFTGLLCSSQTSEGKLPFFMEEDLEDFSKDELLNVDSEGRCVVTDHGHFVLFNIYGPRAGGDDTERIQFKKTFYRILQKRLESLLHQGRRIFVVGDLNIAPFAVDRCDAGPDFEKNEFRRWFRSMLIENGGRFSDVFRAKHPDKMDAYTCWSQSSGAEVFNYGSRIDHILCAGSCLHKSDDLQSHSFIGCHVKECEILTQYKRFKPESTLSSHRWKGGQSIKLEGSDHAPVCATLMGIPEVSLHSTPSLSSRYVPMVHGVQQSLVSLLMKRRVSESCKMANGDILTVSTCERIEEPVDKIGSSTCECDLFPNQDSEGSILKPNELSAGSSQESVSKSGSVYEKSITQKCNESKKKARNSQSSQLSIRSFFQKSTSLDNGVKDSCISYSNNEAEPSQPNSQLLETCTIIDHSSNPGQDEINADVCGQDLAEINNSSRKEEKSNVASQEWQRIQKLMQNSIPLCKGHKEPCIARVVKKQGANFGRRFYTCARAEGPASNPEANCGYFKWATSKSKNK >RHN40218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14333432:14334513:-1 gene:gene46341 transcript:rna46341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GH3 family protein MSKLLKPNPELADIIHKKCLGLNNWYGLLPELFPNAKYIYGIMTGSMEPYLGKLRHYAGELPLVTSIYGASEGFIAANINPKLPLELATYVLFPQNGYFEFIPLTQVKNEGTELCVDPHPVGLTEVKVGEEYEIVLTNSAEKFTAKLLAEEELEVIEFTSHVDLSNEPGNYVIFWEISGEASEELLSKCCNCLDKSFTDPSYSFSRKANTIGALELRVVGKGTFQKILDHYLGLGTSISQYKTARYLGLTQNIVLQILNENVVKKHLSTAFN >RHN40184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13965262:13968019:-1 gene:gene46300 transcript:rna46300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MTDPQSNLFDEWFNFMLLNPHHHHSSSSSSHQTNMFEIQPSSSSSSMNPPSPPLREALPLINKLSLINHQQQNEPSNIFNTQTIEDELEDKRMETHGYNHDDDDDDVDDESVTVALQIGLPSNSSSDLGSRMVSSNCIEMAEKEEVNMISEQLPLDKLNNKGQYWIPTPSQILIGPTQFSCPVCSKTFNRYNNLQMHMWGHGSQYRKGPDSLKGSQPTAMLRLPCYCCAPGCKHNIDHPRAKPLKDFRTLQTHYKRKHGIKPYMCRKCGKSFAVKGDWRTHEKNCGKIWYCLCGSDFKHKRSLKDHIKAFGYGHGAFGIDCLQEEDEAGSEIEHDGGSSM >RHN76623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51734848:51739223:-1 gene:gene12974 transcript:rna12974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MAKLLLLVRPPYLSVIPTSSNSFSFKKPSHSLRFSCVRCCNSDDEKKKKLSEQSSWETKDSEGRDYLYRLGAEADNMNIAVGQRAGIIDDVFAGNFLGRDSDIVFDYRQKVTRSFQYLQGDYYIAPLFMDKVVTHITKNYLSHLLNAKVPLILGIWGGKGQGKTFQTELIFRAMGVEPVIMSAGELESENAGEPGRLIRERYRTASKVVQNQGKMSCLMINDIDAGLGRFGNTQMTVNNQIVVGTLMNLCDNPTRVSIGQDWREADVTNRIPIIVTGNDLSTIYAPLIRDGRMDKFYWQPNQEDILNIVQRMYEKDGISRDEVEKVVKTFPNQALDFYGALRSRTYDRSILKWVDDIGGAESFASKFLKRRKDQNLPVFIPPEQTIDALLESGYSLLKEQQLIMETKLSKEYMKNIED >RHN74913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37732376:37741718:-1 gene:gene11047 transcript:rna11047 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLVSNFIQSQLTAEITYCSDCYPVRSRLLLPSHHNSLYITRKGKYTTHLR >RHN42841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41398898:41402853:-1 gene:gene49337 transcript:rna49337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MHLELDTFTALVVVLCFLALIFKETVMGGKSSKGSRRRDVNSSSPSTSYGSVGSSSSSWENNYGYPQSTYPYPPQQNPYQRPNHRPPTQAPSHDYSRPNRKLDRRYSRIADDYHSLDEVTAALSHAGLESSNLIVGIDFTKSNEWTGKRSFNRKSLHHIGNGQNPYEQAIAIIGKTLTAFDEDNLIPCFGFGDASTHDQDVFSFHSDERCCNGFEEVLSKYREIVPCLRLAGPTSFAPIIEMGMTIVEKSGGQYHVLLIIADGQVTRSVDTENGKLSSQEKKTIDAIVKASEYPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSRNVDSNRKETEFALAALMEIPSQYKATIDHSILGTQRGYSPDRVPLPPPQYDRASSSNAAISFRSNSFQQSTHTYASNENEMSTEPSSGGLYDNKVCPICLTNAKDMAFGCGHQTCCECGESLEFCPICRSTIDTRIKLY >RHN51393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17156615:17157793:-1 gene:gene35823 transcript:rna35823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKRKKGSITPVRASQRCNKRKWRVEEAESDECRHYFDILPSHLTAHILLQLPIKSLLICKCVCKIWKRMISESHFAKLHFEQSPISLMIRTRYYKRVSRTLYLLECDPEKFEIGSNNHVNLEPIFRLPLRGDVKSLGMKSDKIKNKYKHVYIAGNSDRDKFNIVNSCNGLLCLSEPTTGNPIVICNPFMGEFIRLPETTTVRMPNDRVHVIGQEAGFGFYPKTNEYKVIHIWRRSVIHVNSSDFEHVFLVEIHTLGTPTWRNINVDPQISFSCLMNPTCVNGALHWFTFEGREMSILCFCFESEKLHSFPSPPVVIGSHLQDQIDKYGYLSGIIHISMGELKGFLYISDSNFFEYVTMWVMNEYGIGESWTKVYHIDTCTNLYRGVMFCVFR >RHN53029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41363993:41367228:1 gene:gene37774 transcript:rna37774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MSILLFIFFFIYANCESQLYNQEHEILLSIKNHFQNPSFLSHWTKSNTSSHCLWPEILCTKNSVTSLSMINKNITQTIPLFLCELKNLTYIDFQYNYIPNEFPTSLYNCSKIEHLDLSDNFFVGNIPNDIDRLASLQFLSLGANNFSGDIPMSIGKLRNLKSLRLYECLFNGSIANEIGDLLNLETLSMFSNSMLPRTKLPSSFTKLKNLRMFHMYDSNLFGEIPVTIGEMMALEYLDLSGNFLSGKIPNGLFMLKNLSIVYLYRNSLFGEIPSLVEALNLTEIDLSENNLAGKIPNDFGKLQSLTWLYLYMNNLSGEIPHGIGNLKSLKGFYAFINKFSGTLPSDFGLHSKLEYFRIEVNNFKGKLPENFCYHGNLQVFTAYENHLSGELPKSIGNCSNLLVLEIYKNEFSGKIPSGLWNMNLVIFMISHNKFNGEIPQNLSSSISVFDISYNQFYGGIPIGVSSWTSVVEFIASKNYLNGSIPQELTTLPNLERLLLDQNQLKGSLPSDVISWKSLATLNLSQNQLNGQIPISIGHLPSLSVLDLSENQFSGEIPPILTHLRNLNLNLSSNHLTGRVPTEFENSAYDRSFLNNSDLCVDTQALNLTHCKSGLKKHWFLGLIISLIVVTLLFVLLALFKIIKRYRKREPTLENSWELISFQRLSFTESTIVSSMTEQNIIGSGGFGTVYRVPVDGLTYVAVKKIKSNKNSRQQLEASFRAEVKILSNIRHRNIVKLLCCISNEDSMMLVYEYLEHSSLDKWLHNKNESLAMLDSAQHVVLDWPKRLRIATGIAHGLCYMHHDCSPPIIHRDIKTSNILLDSEFNAKVADFGFARFLTKPGQFNTMSALVGSFGYMAPEYVQTTRVNEKIDVFSFGVILLELTTGKKATRGDEYSSLAQWAWRHIQAESNIIELLDNEVMEQSCLDEMCCIFKLGIMCTATRPSSRPSMKKVLHTLLRSEVGIVFGQRNDIAGEYDIVPFH >RHN78494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15609769:15615851:-1 gene:gene2066 transcript:rna2066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWI/SNF-like complex subunit BAF250/Osa protein MQKRDQGKAGGATGGGSATPAKRGRPFGSGNNSAAAAAAAADTAAPSTLLGPSLHVHSAFADQNNKRIVLALQSGLKSELTWALNTLTLLSFKEKDEMRKDATPLAKIPGLLDALLQVIDDWRDIALPKELVRTTRVRSLGVNSVATGFGNEYQALGSTGTLQRPSLGSGTASTESAQQNGTAKPRFSELRFDEDGLFNLDDEGRAEKQQCAVAASNIIRNFSFMPDNEVIMAQNRHCMETAFQCIEDHIVEDEELVTNAIETIVNLAPLLDLRIFSSSKPSFIKITEKRAVQAIIGILNSPVKAWHCAAAELLGRLIINPDNEPFLLPFFPKIYKHLIDLISLPATDAQAAAIGALYNLAEVNMDCRLGIASERWAIDRLLKVIKAPHPVPEVCRKAAMILESLVSEPQNRTLLLVYENAFAEILFTDSKYSDTFARILYELSSRPGHKVATARGIWGM >RHN42201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36341872:36342048:-1 gene:gene48615 transcript:rna48615 gene_biotype:protein_coding transcript_biotype:protein_coding METVCHKVVVEENCKVRSVDIFSKSVSTGVKEITRVQMDARPSLRWESLNSKRSTVIA >RHN53782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4117506:4118556:-1 gene:gene28728 transcript:rna28728 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVDTTEYPWAHLGDRKKFFHLKRAGCEEYLKQNMRFSFVVVEIKRKCVGSLFHPSTCKPVSVKERSTGVLRGIDLVFETFIPAAPVGFYTEMVKCEVKITSVAGKKVKKIGSTWI >RHN47694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41681020:41681686:1 gene:gene42320 transcript:rna42320 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDTSTKDSYETYCNGGKFPPPSLPTSRGMLPLCRCLPMKPMILYIATTLENQGRRFWRCRDWQKKYKTCNEWIWDDELGPATRPMAQCYSAVSNSKEADSAPLIREDGNAHLIREDESTPVNGPVIREAESAKSGRYTNQHCNCGELWEKKKDKWKMKVLAEKKKVELLKWIIIASWLIFAVFFAKK >RHN62789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45854001:45855030:1 gene:gene25398 transcript:rna25398 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRGIGELRRRWALQFQASDHSCIGQSAEIDNLQWASSRAQLVIWCRVVEYFGHIASTVAFKSYGSNSKAMVVALSSYMLT >RHN71349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58452829:58453913:1 gene:gene20022 transcript:rna20022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MLKNMAQILKFIYVLIISISLFSVTSAGRRPFNPFNCQTIIDCKYKACHRPLTRKCIDEKCVCM >RHN82455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53929181:53931717:1 gene:gene6623 transcript:rna6623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trans-cinnamate 4-monooxygenase MTLLQLTKKPIFFTLFAILIPIITTKLFFTPSLSTSIACATFITLLLWFIYLTNSNSNSHKKNSSPPSPFSVPIFGNWLQVGNDLNHRMLASMSQTYGPLFLLKLGSKNLVVVSDPNLATQVLHSQGVEFGSRPRNVVFDIFTGNGQDMVFTVYGDHWRKMRRIMTLPFFTNKVVQNYSNMWEQEMDLVVQDLKANEIVKSEGIVIRKRLQLMLYNIMYRMMFDAKFESQEDPLFIQATRFNSERSRLAQSFEYNYGDFIPLLRPFLRGYLNKCKDLQTRRLAFFNNYFIEKRRKIMAANGEKHEIKCAIDHIIDAEKKGEISEDNVTYIVENINVAAIETTLWSMEWAIAELVNHPEVQSKIRDEISKVLKGESVTESNLNQLPYLQATLKEILRLHTPIPLLVPHMNLEEAKLGEYTIPKESKVVVNAWWLANNPLWWEKPEEFRPERYLEEENGIDAVAGGGKVDFRFVPFGVGRRSCPGIILALPILGLIIGKLVSNFEMKGPNGTKIDVSEKGGQFSLHIANHSTVLFHPII >RHN57029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35858640:35858957:-1 gene:gene32513 transcript:rna32513 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLHTLLHFHLTPIVMKNGSSRRDLLLNSQNLFCSIPAGAKNPLLFCMRYRSLWGSRNRRALILGWAYYLDAFSSYPLRTWLPSVYRWHDNWYTIGASFPFLSY >RHN52520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36274087:36274908:-1 gene:gene37208 transcript:rna37208 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALDVILSICFFRVGCSVPSVCFPCALPCSVFRYIYSGRI >RHN82660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55514031:55515810:1 gene:gene6838 transcript:rna6838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GRAM domain-containing protein MLTSINHELVVGTPIIYHQFQNSINRYLLGSASHQCQYPSKHQSKSLTNSNKKRLARKADSLSQRVQEHVRVGANISKTIKRTLSLGAQILQMGGVEKVFTQYFSVTEGERLSKVSQCYLSTTSGPLAGLLFISNEKVAFCSERSIKVFNQKGQMRRIRYKVAIPLKNIKCVNQSQNVEKPTQKYIKIVTEDGFDFWLMGVLKYQKTFKYLEQAISQSRVDEYNKSTISA >RHN69352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42840886:42843505:1 gene:gene17807 transcript:rna17807 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQHRMEQYDSKLSQTKEQMSKMEEEKGRAINELIEMKKVAEKTNDMIDEVIAAKKVSEPKNNHSEISLKHEQQLSEKDVLLSEYKRKLQESEAELEKRKESEANLFDTLVMQTKQLEHNKVLLEESKLEIGSLEEKLKTLQLGENKIKDLEDKKVTEKELPKIKVKETQKGVGEGQSMKAENLIEQLNMLKSELKSATKAEENSKKAMDDLAFALKEVATEANQVKTKLTLSQVELEHTKGDAERWKTMLESTEEKYKELLDATRKEAERFKNTAERLRLEAEESLLAWNGKETEFVTCIKRADEERLLAQQETSRLLDLLQEAESKTKVSKEENQKLRDILKQALNEANVAKEASEIAKAENGRLQDSLSLLVHENEMLKIHEAASFENIKELKKMLSETSTKEFRIEDLEKYLTTKEGTKTKGHHHHSGEQHHKEPKESKSLSKTFSLNIKDMITPHKQQHKGGNNEEVVNKEIIVEDDTLKGSIFDEVEDDSPDSETHVEMGIHEDFDHLDESHFDDSEADRNNRKSKALLRRFGDLIRRKSTTTATNNNTRKELPNEEHLQT >RHN46413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31473194:31485164:-1 gene:gene40895 transcript:rna40895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MNRLGLKVEVFEGDARLGELDYFPVIAFQNFRFPNNEIHIHHRTFRSERCPPLSILQSVSAFNVRCKLDSSLSVEQPLLINLHASMFHEMKTAVAVIGDEELHLVAMPSKRKKFPCFWCYTVPARLYDACMGMLNLRCLSIVFDLDETLIVANTMKSFEDRIDALRSWLSRETDPSRVQGMSGELKRYLEDRLLLKQFAESDCVVDGNGRQYQVQMEEVPSLSEQKVMRPVVRLQDRNIVLTRINPEIRDTSVLVRLRPAWEDLRCYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPGAHLIGSKQVFDRVICVKSGSRKSLLNVFHDGMCHPKMAMVIDDRSKVWEDKDQPRVHVVPAFTPYYAPQAETANAVPVLCVARNVACNVRGCFFKEFDENYLQRIAEIFFEDEVGSLPHPPDVSSYLMSEEVPNGNGNAPISEGMAGAEVERRLNQPDDKLSADLVSRPMVNSVEFRHETSQPTAGITPNVAGPGSSRPLIPSQSKPGLLGPPLKHDGSFIDRDNDMKKGLLTTRHGPDLRGQSSAEPPLISRPPIPSYGGWLVDDDISNKTQTNNWPFASAKESNLPKSEKHQAQPKPFSHRMEVSASTVPLSQASKLKAEEATSVSDFQRRNIPSKSRLTEDVISPNHTSSNSKDFQNEVGKFDPSLSIGVLQEIGKRCSSKVEFKPIVSTSKDLQFSVEVLFTGEKIGFGMGRTRKDAQQQAAENALRSLAEKYLGHMEPQSKAVNTEFDKLSIEHENGFLWDVVNPESVELQTEDGLQRESASEASDAETLPLNPNPINQQIEKRASFPRTHHSVSSKRLKE >RHN38671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1209675:1210637:1 gene:gene44630 transcript:rna44630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MLETEMMNSYVWLQTCDGSIQQVDQEIAMISPFILQEILQKGTGSCKSSPICLPQQVSPSLLSSILDYCQFHHIQGHSNKERKLYDAKFVRIDPDILFALAFAAKSLQLKPLNDLTCHALARLIEGKSPKEIRDMFIWPHDLTEEEILESIINKTCDCKIRHLNRLNINRRKERERVLENVRVKQEEVVVEDERSIEELLSFINGSNDGETKGNKTSKNKKKNRKKKKDQQKNSSMKEEEEEEEDHVVEFDDKIDQALTAKIDKQVEKEDDPRVEFDDEIDQDPMTDREVEEFARRLNCTLEERIKETLRDRDNHRDIVA >RHN47455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39888449:39893780:-1 gene:gene42061 transcript:rna42061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative YTH domain-containing protein MPSVAPSSEPASLLQNLSLDSQPKTIVGDAEPVKKNGPVFANGAAKGTGKPFNPNPSYVPNGYPSTYYYGGYDGQGDWSGYSNYVNLDGGMTQGVYGDNCSYMYHQGYGYTPYGAYASPNSSSPVVQHDGQMYGLQQYQYPCSYYNSPTSADGSFAPNKTSVPQREMSTAVNADRITSNVMNKGNSVSMVNGDCTNQNGLKAFMKSSQHTSLNTKDSYQGSSLPACAPLSGYQGPRLSTHGAQSAIPTDVSLVSDRQSKHGGKVGLSSQVANIKDFSSQRNQRHSQSLPQFMNLNGSRHPSGMELLPGFMNGMYPSNNLFSQYGSSFRANSRYGSSAYGSRTGSFDNKYRATGNGYVANDSRRNGDGFSELNKGPRAAKSSDNKSVKSPEPVTLLLKGQNLPVKSDDEVVPLVLNKEQYNGEDLSENYSDAKFFIIKSYSEDDVHKSIKYSVWASTPNGNKKLDAAYQEAGGCPIFLLFSVNTSGQFVGLAEMTGPVDFDKTVEYWQQDRWTGCFNVKWHIIKDIPNGVLRHITLENNENKPVTNSRDTQEVKFEKGVQIVKIFKEHASKTSILDDFGFYESREKTTQERKFKEQQLPKQVNKASDITFGSVTLPKSLDTTLMKESATADAAQGNVNSEVLLERNGSTPAFEDSSKSS >RHN60164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22224176:22228976:-1 gene:gene22405 transcript:rna22405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ULT family MEKMENGLEKGNGLSMFSEEELREMSGVSVFDEYVEVTCGCTSHRYGDAVGRLRVFLSGYLQIICECTPGCDEDKLTPAAFEKHSGRETARKWKNNIWVIINGDKVPLGKTVLLKYYNQVAKAANGSQRSQNGRVGHRDEFVRCTRCNKERRFRLRSKEECRIHHDAVSDANWKCSDLPYEKITCDDEEERGSRRVYRGCGRSPTCKGCTSCVCFGCDICRFSDCDCQTCTDFTSNVKA >RHN45526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22118758:22122672:-1 gene:gene39883 transcript:rna39883 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLHHLSKSFPNYLSLQTHKPKMTTVNVSKIHTDSQYYDVEFQSKLGSHL >RHN62249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42036609:42040752:1 gene:gene24803 transcript:rna24803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-OST1L family MDRPANVVGPGMDMLIMHDSDRYELVRDIGSGNFGVARLMRDKQTEELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMEVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEEPKNFRKTINRILNVHYSIPDYVHISPECQHLISRIFVADPAKRISIPEIRNHEWFLKNLPTDLMDDNGTNNQFEEPDQPTQSVEEIMQIIAEATVPAAGTQSLNPYLTGSLDIDDDMEEDLDTDPDLDIDSSGEIVYAM >RHN66476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12829658:12845188:1 gene:gene14472 transcript:rna14472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, formin, FH2 domain, protein-tyrosine phosphatase MALFRRFFYRKPPDRLLEISERVYVFDCCFSTDVLEEDDYKVYMGGIVTQLQDYFPDASFMVFNFREGDRRTQISDILSLCDMTVMEYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYSGELKTLEMVYKQAPRELLHLLSPLNPQPSQLRYLQYISRRHLGSEWPPSETPLYLDCLILQVLPLFDGGKGCRPVVRVYGPDPSNPANRSSQLLFSTSKTQKHVRHYLQAECMLVKIDIPCRVQGDVVLECIHLSEDLVREEMMFRVMFHTAFVRSNSLVLGRDEIDILWDTKDQFSKNFKSEVLFLDADAVIPNLPAVKVSRDENETESGSPDEFYEVEDFFINVNDGPDSPVVRDDAVDSGNHKDVWKEYSDPPASQDSSTPDDGIHQQIGRTDSGINEVKDITVDDVKYKLEERVDSDTHAVKDIAVDDGNNKSTSTAVTFDMMETLDTQEVTLDANDELAVMQNNYDDDNNATLKELEPEDGQQKHDLARSISAEEKQLPLNSNPVGDVVAEKEKTEQQEPQGFHAKQAKENETTRGIPSTKGSYNESMHVLYPPTRHSTSPTALSNDTSPRGKMTNAKGRLGSSFHVNEAVDSMETTNDLKSCNRDNSESSESIGEIDSKAQLSSLMPITESCHQSTTPASQFSTDQVLQPHPPPPPPPPPRRISPSSSLDGKVSTIPQPPPQPPPPPPHALPIFYKKNVEMDLQAATPPTPPPPPPPPFSGQNRGGSFPLSSPWMPIFSSIAVVAKNSGTLPPSTPIVGSEIASEVSKLVGAVSLSYPSPPPPPPPPLPPKYAVKSIPPPPPPPLPPKYAVKSIPPPPPPPPPLSYMDRAPLVPPSTPTSLHTPPPLPHPVVYTDSTSPPSSSFTRAPPPPPPLPLSLCIVPSPPPPISISPPPPPRPPPSPPPLPPSSGEPRPPSPHPMSKAQPPPPPPPPPLPLSTGAPPPPPPPSLSKAPPSPPPAFYETPLPPPLTASSQAPPPPPTPPPPPPPSVSSTPPHPPPPPPPPPPPYLSSSIGAAQPPSPLPPPSSFYETPSPPPLPASSRAPPPSPPPSMSTAPPHPSPPPPPPPPPPSFYKTLSPPPLPASSQAPPPPTPAPPPSISTAPPHPLPPRPPPPPPPYLPSSIGAAQPPPPPPPPPPPFYASPPSPQPYLPSSHGAPPRPPPPPPSMSKAAPAPPPPPSYLPASSGAAQPPPPSMSKVPPPPPPPPPFYASSPSPPPYLPTSHGAPPPPPPSMSKAAPAPPPPPPSYLPASIGAPPPPPPPPSMSKAPPPPPPPFYGAPPPPPPSYLPASSGALLPPPPPPPPSMPPPPPPFHGAPPPPPLYLPASTDAPPPPPPPPSMSKAPPPPPPPFYGAPPPPPPSYLPASSGAPLPPPPPPPPPSMPPPPPPFHGAPPPPPLYLPASTGAPPPPPPPPSMSKAPPPPPPPFYGAPPPPLATHGARAPPPPPPPGSQGPPPPPLQGFGGPPPPPPPGGRGPPPPPPPGGQGPPPPPPPGGRGLAPPPPPGARAPGAPAPPRAPGGAPPPPGADPRGRGRGLARPTGPVTRRSSLKPLHWSKVTRALKGSLWEELQRHGESQSGQEFDVSELEKLFAANVPKPAASGGKSGGQSKSAGSKNEKITLVDLRRAYNTEIMLTKVKMPLPDMMAAVLALDDSVLDSDQVENLIKFCPTKEEMDLLKAYTGDKENLGKCEQFFMELMKVPRVESKLRVFCFKIQFLSQITEFNKNLKLVNSACEEVRNSLKLKEIMKKILYLGNTLNQGTARGSAVGFKLDSLSKLTETRASNSKMTLMHYLCKVLAEKSPALLDFHRDLVSVENASKIQLKSLAEEMQAITKGLEKVNQELAACEKDGPVSEVFRKTLQDFVDGAKSQVEFVSQLFEEVGKSADALANYFGEDPKRVPFELVTATMLNFIRLFLKAHEENIKQAEMEKKKAEKEAEMEKKAEKEAGMEKGKGSKLRRKSEKDNKEES >RHN74319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31803036:31804959:-1 gene:gene10359 transcript:rna10359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MEILSQMWSLLGLLTVLQNVLPTQLLSVLHSLYESLQDLLSPYSYFEIPEFNGYCGVELNDLYRHVHLYLNAVNHSSATACRRLTLSRSPSSNRISFAVAPNHTVHDTFNNHRLSWTHHVDTMQDSVEEKRSFTLRFPKRHRHALLSAYLSHVTSRAEEFERVSRERRLFTNNNGTGSFESGWVSVPFRHPSTFETLALEPDLKKQIKDDLTAFASGKEFYHRVGRAWKRGYLLHGPPGSGKSSLIAAMANFLCYDVYDLELTKVSDNSELRSLLIQTTNRSIIVIEDIDCSVDLTADRMSKKNGAKSLSKSKKHKTTSFSGSSCDESSRVTLSGLLNFTDGLWSCCGEERLVVFTTNHRDSVDPALVRCGRMDVHVSLSTCGVHAFRELAKNYLGLESHVMFEAIEGCIRSGGSLTPAHVGEILLRNRRDVDVAMREVLSLMQGRVVVVTSAADQTDNEELAMIGVRSPESVLLMGSPENWDSLSGKKRKEQQHGSNNLDKKVKFFVRLRSLTKSDSGR >RHN68956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39858978:39861877:-1 gene:gene17380 transcript:rna17380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MGCVDLKIFPKKLEMFSLKMLFLSDCSNIKRLPNFGKNMTCITELNLLNCKNLISLPNSISNLKSLRILNISGCSKICNLPDGINQIMALEDIDLSRTAIRDLDPSLLQLGNLKRLSLRSCRDPATNSSWNFHLPFGKKFSFFPAQTTNLTLPPFLSGLSSLTELDLSDCNLTDSSIPHDIDCLSSLERLILSGNNFVCLPTHHLANLSKLHYLELEDFPQLQSLPILPPHVRMYVTDSDAKEANAVDPQKIWKVFESSEKEFLVTCTSSMFDFLYPMYFKIPSRFDNQNFFPLSSSYVSKLDSVALVTVDIPDDCLSSDWWGVAVFVALEAEVPQDSKEATKGFLLRFMRLYWNFDTLGPEDGPSLSLSAGSTAYNDLYLITMVVSGDFIYIRRHRRGDRKSMQESFSKHRKPEFTENSSLRFEMRVTGCKIRKCGWRMLRKEEYLEDLQMLNSSGLVVAPSNSGHSAGMEKSSVDESNGKDTTALDVLNIERSNENFSLGKIFHNIRKGLGLSVLALISVMVGVTVFRSPMHDLRFKKPTTTIETITNTILKSHWISSNDIVLKVNTLQLQHIHRNRNVRQRLPCPTYQPPRRLSLSQNHFCLTR >RHN76266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49003416:49007351:1 gene:gene12561 transcript:rna12561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MWETGFPGSRRILKKLRPSMPDSARQDKDNNEAVEGEDMFDDIPDCVVRHILSFMETKDAVRTCVLSKRWTCLWTSVPSLNFNSKSFSRLADFRKFVLWVLFRRDSSAVKVLTYCRAGVDYATDQNLFNKVIDHATSRGVEEIRVNLRAKVHGSPPVDIPLSLLKCESLKRLELKDCHPMKVTWPLACVPEIKLLRLEHFTMDHARLDFSKSFATLGNLFGFATLTTLNLSNLILCCTGNESLNPIGSCVNLKNLYLREVCFKSELVPNDFMISAPQLNNLTLMCNRFKCKLVIDAPQLINFSYLYSSPCAFFEFSIPSANNLTIDIREPNNNLEESHQKTREKTCHGLINMSRECHDAELSFSTTMVSCGTAVMPKEECSTKEECSTLRKLKSLNLSVGSTYKIFISDLDHITAYFRRCSQHEDFEIMPV >RHN59737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12730318:12730440:1 gene:gene21844 transcript:rna21844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP47 reaction center protein MHTALVAGWAGSMALYELAVFDPSDPVLTQCETGMFVIPL >RHN71691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1938628:1939235:1 gene:gene7317 transcript:rna7317 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRYLWFRHTRHTRNLRNLWHTRNSRNLRNLRYSWYLRQSWNT >RHN77435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6853291:6855845:-1 gene:gene887 transcript:rna887 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAASHKGKIFIFCLVLFLSFLYVSSDPLSPESCSLQSPSNDESVSESKANSDNFNQQVLLNKLEELVRNLSDIVARLESKQPQQEVPKVVQEKSRFTQIKGDVDDGRSSDKKVVEDVEFEGKAQNGERAKGMSVTKYTPFWSERFQFASAVKLDSDATCINVLPFKDHEGLSKYVAVSDEKGRVYVFLRNGDVLVEFDTLLDSPITAMVSYTSAYKNESFVVTGHENGGMLIHRVWEGSSGEDWSSVFMENVGKFASPENHENGLAITLLEVHFVGRMKYILSADISGKIKVFKENGVFYGSVTPSARPLVFLKQRLMFLTETGAGSLDLRGMKIRESECEGLNHSLARGYVFDATERSKAYGFTSDGDLIYVLLLGDVMNFKCRVRYKKKFEMDEPLALQAIKGYLLIVNPKKVFVFNVSSPHYVRIGVPRPVFSSSIDELRSSFLNHPTPSLDDEARVMIPLIASDREKLVIVGLGGGYVGMYHSNLPIYKGEFNTMLWTSPVLFFVLFLFGAWHFFAKKKEALTSWGPDDPLASASGAPLASSSSGERSFVDSSSRTSADVMDLRSGALRGPPPPRRYGSPTRFPAGAASTYRLGSADHNPRPASVDPDFRAGSEIKYRASTMDPPGFPKRRDGMFVGNQAVNDRS >RHN38990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3335188:3335799:1 gene:gene44973 transcript:rna44973 gene_biotype:protein_coding transcript_biotype:protein_coding MESETESTRSESKSFPVESHTFPSSSSRKPCTSSERDGEESHSPVPECSLPLLTSLSRRRSAPTSRALASSLNGSVTRFFLAKFIITVRENGFVLWIWIICLRKKM >RHN64512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59674738:59676855:1 gene:gene27334 transcript:rna27334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MAFQDFDLINERRKSEKRAQMRKRIIIGVISSVVFVGLIGCAFFVATTKYNPFGGGGGGSHPEDSSTANGSKHVAHSEKVVKLVCSSADYKEKCEGPLNKAVEKDPKLQHPKDLLKVYLKTVEDEVNKAFNKTNSFKFNTKEEKAAFEDCKEMIQYAKDDLATSIDQLSEADMKKLASKTPDLNSWLSAVITFQETCVDGFPDGKLKTDLQKLFQGSREFVSNSLAIVSQVSTFLSSLQTMGAPRMLLSDNSPVASMDSEGIPSWIQSEERRVLKAADIRPKPNVVVAKDGSGNFRTISAALAAIPPNFLGRYVIYVKEGVYDEVVTITDKMKDITIYGDGSQKSIITGSKNFRDGVTTINTASFVVLGEGFLGLAMGFRNTAGPEGHQAVAARVQADRAVFANCRFEGFQDTLYTVAHRQFFRSCIITGTIDFIFGDAAVIFQNCILVVKKPSVGQSNAVTAQGRLDNKQNTAIVLHKCTIKADDALVPVKATVKSYLGRPWKQFSRTVVMESDIGDFISPEGWSPWNGNFALSTLYYAEYANTGPGASTTARVKWPTFKVINKAEASKWTVGTYLTGTWVQNSGVPSQLGLYK >RHN73348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15560946:15563304:-1 gene:gene9150 transcript:rna9150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MLHDNDIALQDANGNTAFCIAAAVGNMKIVDLMLKRNPDLPIIRGALGYTPIQNAALQGRYKMAWHLYDKTIHCFEDNDWELLFFACIYTGIYDLALKMARGRNALAFARDVNEETALHLLAQNQMPLDSCCHCLEHDHHPIMTNPGMKNHVVFQLVKFLWTTILDRHYTSKDELNEIINKPSQLIFDAAEVGNFGFLSELISAHPSLIWEMDSKNRTILHIAVLHRHASIFNLVHQIGHIKDVIVTFEDEDRNTILHLAAKLARRGQLELVSGAAFQMCVELVWFEIMLPAQIKSKNSKDMTAEELFSNEHEKLREDAESWMKKTAESCMLISTVIATGVFAAATTLPGGTDDTGKPNYLKKPSFLVFAISDTFAFISASTAILIFLSILVSRYREYDFYKSLPLKLIFGLITLFISITSMMVALSTSFFIIYYHGSMWIPSCITILSFLPILLYIRLQFKLFSDIIYSTYYWKTLSKLGKNMIYVLEK >RHN79197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25659915:25662246:-1 gene:gene2932 transcript:rna2932 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKRMVAKRMGGMKSRKTSESSSRSSQSSPSVQEDRTHDDDNDDDDDEDEDEDEDEDEERDDDHNE >RHN66961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22181577:22182622:1 gene:gene15086 transcript:rna15086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MILGENKFSGIIPMNLSQKLEVVILRANQFEGTIPRQLFNLPYLFHLDLALNKLSGSIPECVYNLTDMVTFEEEELPAGTTIELFTKGQDYMYRVSPKRRTIDLSANSLSGEVPLELFRLVQLQTLNLSHNNLIGTIPKVIGGMKNMESLDLSNNKFCGEIPQSMSVLTFLGFLNLSCNNLNGKIPTGTQLQRINASSYIGNPKLCGAPLNNCTMKEENPKTATPSTKNEDDDSIKESLYLGMGVGFAVGFWGICGSLFLIRKWKHAYFRFVDRVRDKIYVTLIVKLNRFKRN >RHN41048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26399272:26406083:-1 gene:gene47322 transcript:rna47322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein HGH1 MATELEELVSFLSSPSPQITKAAVDIVRGLTGSEEGIHSLANQSKNLISALSRLLTAPEEVSEAAAEALVNLSQNSNLAEEMVKLKLVETTMDVLYKPECCVTRLLVMLLVNLTQLDAGTDSLLQIDDEKVRGLYVMKLVRSFCRTTHEKDDDSFEHVGSILVNITKQRAGRELLLDPKRGLLKQIIRQFDSNSSLRKKGVSGTIRNCCFEAENQLQNLLLVSEFLWPALLLPVAGNKIYSEEDRKKMPLELGTALSIEREPVNDPEIRTQVLEAIYLILLQEAGRRAFWSVNGPRIVQVGYEDEEDPKVMGAYEQLGSLLINGSGMEEPSTETRE >RHN45578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23186278:23187151:1 gene:gene39950 transcript:rna39950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase MTSSSTTNPQHKPFQVSNRNFTERALLFKNVSTRRVLPKVQINTETKETPIRKLHLKRRCEDATSGNKPSLTSDDSRRKKVKTTLGNFPALCGKLGKELTADGLPIEVSRILKNRGKFIHDGKKIIGKVSGIEVGDKFLYSQELNVVGLHRQNLCLIDHVLKDGNLIATSVVSYHFDDMDDTNVFFYTGEGGNVINSGECDKGTVALMNSYHFKNHIRVIIKFHSKNGGGGARGEVYCYYGL >RHN45758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25310688:25313201:-1 gene:gene40151 transcript:rna40151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L7/L12 MSLLLRIRHCLPNSLLYRQPLTASTLGLNARTLNVFTRNLGQAAREEEEEDVEEVEIDQRSLPTDFDPATFDPTDHRGPPSERVFKLVDEVASLTLAEGAELGLTLMKKMGIKEMPNVGFMKPGSVNLAGMAAKASIEAKEEQKPEKTVFELKLTSYEAASKIKVIKEVRGFTDLGLKEAKDLVEKTPSIIKKGVSKEEGDQIIEKLKALGAVVVME >RHN60230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23963090:23963428:-1 gene:gene22494 transcript:rna22494 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIGFVVLLLVHILVVQVQAMTHESKAQSPFPLEHKTQIGVELHDHNTDKVEDHKVSAESAEAPNIRRLGKHHSTDKSVAGGGVIIGGFVTAVFAAVFCYIRVTRKRDTGY >RHN49120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52593979:52596353:-1 gene:gene43914 transcript:rna43914 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSLGILIDIVDEDWMRDTLPQDDLPLPPTLVVRTDDTEDSNQEAQQVNKDAWHDLALGQE >RHN45555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22883559:22883900:1 gene:gene39921 transcript:rna39921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MYFCPLIMNPSSSIVGFSLTISSTSSTLQSREASALLKWKASLDNQSQALLSSWSGNNSCSWFGITCDEDSMSLSNVSLTNMKLKGTFESLNFSSLPNILVLDLSRNSFQGRP >RHN82453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53909002:53913465:1 gene:gene6621 transcript:rna6621 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHSGGISTGCLAVFLKHIHISVMYHTPAVSVSILKSISFLIFVSINAFDGPRHPVSYDDIVIQSYHFCLWMDVLRICNYIHSFLQCFQ >RHN41588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31280918:31281240:-1 gene:gene47924 transcript:rna47924 gene_biotype:protein_coding transcript_biotype:protein_coding MQIHDNVEQANVNVNNAFGADVVTNVVESGGRGGNVDLNGSLRNPSSDNDEGNSSRAEFDDDDDGQDAGKDDDGGGDFDDGQDFIGALD >RHN49648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:47905:54977:1 gene:gene33850 transcript:rna33850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionyl-tRNA formyltransferase MIRRLCCVQSQSSTFTFTTTTNKKKPVVLLGAPQVSTIVLDALLTASASPHSSFEVAAIVTQPPARRDRGKKLSLSPLANFALERGFSSHLIFTPQRAGDDTFLSDLKALQPQLCITAAYGNILPTKFLDIPPSGTVNIHPSLLPIYRGAAPVQRALQDGVKETGVSLAFTVRALDAGPVIATETIQVDDHIKAPDLLELLFHKGSKLLIRELPSIFDGSARANAQPQDDSKATLAPKIGPDESWLSFDQEASVLHNKVRAFSGWPGSRVKILVADKNGQNKTLEIKIITTRVCTHKSVQFNEADDISFVNGALVFPCGRGTTLEVLELQLPGKKAVNAAAFWNGLRGQKLKKI >RHN67431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27143275:27143907:1 gene:gene15620 transcript:rna15620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin D MPETLYLCVNILDRVLSKINFEVKTMEKLKLIGLSSLLLASKYEQRRAVGVYDVEYLADYIYMPEEICQMEKLILQELGWILTVPTPYVFLVRNIRACNLSDEDKIMEHMVFFFSELSLTNHSIVCDYKPSMIAACAVYLARFIVGRYPFWSNDLKMCTGYSEDKLLSCAHVMMESCIQICGEGIMEVFMKFSSLYQCRVSCIAQEFLEV >RHN79065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22042104:22048029:-1 gene:gene2761 transcript:rna2761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-formylglutathione hydrolase MAGGAVTELSSGKMFGGYNKRYKHYSNTLGCEMNFHIYFPNSDSNKSFPVLYWLSGLTCTDENFIFKSGAQRAASEHGVALIAPDTSPRGLNVDGEADSWDFGVGAGFYLNATQEKWKNWRMYDYVVKELPELLSHNFPQLDTSKASIFGHSMGGHGALTIYLKNLDKYKSVSAFAPVANPTKCPWGQKAFTNYLGGNKSDWEDYDATSLVGKYPNVSATILIDQGEDDKFLHDQLLPHKFEEACKNGNVPLLLRLQPGYDHSYYFIATFIDDHIKHHAQALGLN >RHN52462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35584652:35585661:1 gene:gene37145 transcript:rna37145 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLPPFCYFPKPILQIKHLTIVILILIALPNSLGSSGAINAKNLLSLLIFVQYIAKLFRFLPRLIGRSSTQIIYASTGANLTTGLLIFMLSAHGVGSCWYLFALVRVNQCLQDACHRSNLPGCMDLIDCRSKESNISARWINDKGANACLNATSGAFSYGIYANAIPLTIETKVINKYVYALFWGFQVCINLFFYFLPSVVIIHTFFPKMNLLYKTT >RHN79721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31570813:31576121:1 gene:gene3551 transcript:rna3551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heparan-alpha-glucosaminide N-acetyltransferase MDNEAKRMEEGINSPHNEYGHTTKLETNGGGDTIENERDIRTTLEGESVQQVPKEQEIKHKKSRVATLDAFRGLTIVLMILVDDGGGAYPRIDHSPWNGCTLADFVMPFFLFIVGVAIALALKRIPKVKVAVKKIIFRTLKLLFWGLLLQGGYSHAPDDLSYGVDMKFIRWCGILQRIALVYCIVALIETFTTKLRPTTLSPGYLSIFTSYRWQWLGGFVAFVIYMVTTFSLYVPDWSFVDYNSSKLKRYTVVCGIRGHLGPACNAVGYVDRQMWGVNHLYSQPVWSRLKACTFSSPADGPLRKDAPTWCRAPFEPEGFLSSISAILSGTIGIHYGHVLIHFKGHSERLKQWLSMGVVLFILGIILHFTNAIPINKQLYSISYVCFTSGAAGIVFSVFYILIDVWGIRTPFLFLEWIGMNAMLVFVMAAQGIFAAFVNGWYYKDPDNSLVNWIQNHVFINVWHSEKLGTLLYVIFAEITFWGVVAGILHKLRIYWKL >RHN65525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2893656:2894515:1 gene:gene13372 transcript:rna13372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKFLSGMSISFHLSRLYIRIISFNSRDLTNTPRLLPLSLSILFILSMKSCVVKKKRTMGKRKPRSFFNNLPINIQTKIFCRLSLEDQSKAMCVSNEWRNHVLNTTLPKKDPLLPFVVAHNLHNPFIELEQLFNWCSLVMCSRAKPKKLINTCNGLLLFCHNDGEATNILRGVYYYYVLNPVTKQCVTVMKPIGQTSGGYSYAALAYDPAESWFFKIVRFQGLRHVNIFSSVTGECFGSS >RHN82311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52751685:52756980:-1 gene:gene6467 transcript:rna6467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiol oxidase MNLLYFALFLCTISVSSSATSSSSSSFPGRSILREVHDNDQTGTTDHDYAVELNATNFDAVLKDTPATFAVVEFFANWCPACRNYKPHYEKVAKLFNGPDAVHPGIILLTRVDCASKINIKLCDKFSVGHYPMLFWGPPPKFVGGSWEPKQEKSDIHVIDDARTADRLLNWINKQMSSSFGLDDQKFQNEHLSSNVSDPEQIARAIYDVEEATSLAFDIILENKMIKPETRASLVKFLQVLTAHHPSRRCRKGAGDLLVSFADLYPTDFWSSHKQEDDKSSVKNFQICGKEVPRGYWMFCRGSKNETRGFSCGLWVLLHSLSVRIEDGESQFTFNAICDFVHNFFVCEECRQHFYDMCSSVSTPFNKARDFVLWLWSSHNKVNERLSKEEASLGTGDPKFPKTIWPTKQLCPSCYLGHDQKSNKIEWNQDEVYKALKNYYEKTLVSLYKEKDIAGNDGTKGAALEDLIVGTNAVVVPVGAALAIAVASCAFGALACYWRSQQKSRKYFHHLHSLKNI >RHN69818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46505073:46509211:-1 gene:gene18336 transcript:rna18336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (guanine(26)-N(2))-dimethyltransferase MLLSLSWSFTFSPHSPQKHSRCQCCNFVPGNVSQKERGLEFNTGGAFYRQESATGRDLGVLAASLQKKANGSLRVLDALCGCGIRSARYLKEAEADFVVANDGNDSYGRIIEENLSRVSAKEEGRWVVTHLEANRVMTDYYMQKNLFDFIDVDSFGSDSSFLRSAIITLKFGGLLYVTSTDGFSSGGHRPHHSLAAYGAYVRPMTYSNEIGLRMLIGGVVREAALLGYHITPLFSYYAYHGPVFRVLLRLNRRKLHDSRHYGFIGQCDQCGNSHEFSWDQLGQMSCSCSVSKVSDSLKVSGPLWTGPLHDAAYLTDMLDLAKQWGWIGCDGKDSLEKLIKLMVDESDPRLPFGYIKLDEMASRAKINSPPLRALMSAMHEKGYAASRSHITANAIKTNCPMTEFISIAKELVLISVS >RHN52441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35338374:35342125:1 gene:gene37122 transcript:rna37122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-S-isoprenylcysteine O-methyltransferase MTEILSYTAYRQLSQMFFAVIFFHVSEYFLAAVIHGKSSVTLQSLLISKHYILAMVFSLLEYLIEVAFFPELKEHWVISDLGLVLVVIGEIIRKVAIITAGQSFTHIIRVRPDEHHHLITHGIYKFIRHPGYCGFFIWSVATQVMLCNPLSTIGFAVVVWKFFSTRIPYEEYFLRQFFGIEYVEYAQQVVSGVPFVN >RHN48895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50976453:50984958:-1 gene:gene43661 transcript:rna43661 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNNDERSDSHEDKQKRLTMKKNKRRNVSRLGGSGLSLNAFANAKSNNSGYNPSLIKKQREIYNNAKKVKKYKKMLKQQNHQSDPSVSQRHIENLNETEEDKDKNGRRRRKKDSAFSLEELYKKQHEEKERERMEREAVFKEKKEQREKAEARRKSLREKMFKKTRKGQPIMKYRIEHLLETIQGSAGNKS >RHN59102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7485041:7490833:1 gene:gene21091 transcript:rna21091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S10, serine carboxypeptidase, alpha/Beta hydrolase MNMMVPPSHNSLHYSCQILLAFGLFTLNMLTHIEAYGSKVEHLPGFQGPLPFELETGYVGLGETDDDMQVFYYFIKSENDPQKDPLMLWLTGGPGCSSFSGLAYQIVLLCSIIFVNLPLGTGFSYAKNVTDHRSDWKLVHHTFQFLRKWLIDHPEFLSNEFYIGADSYSGIPVPAILQEISIGNEKGLQPLINLKGYLLGNPITTHREKNYQIPFTHGMGLISDELYASLQRNCKGEYVDVDSRNELCLRDLRSYDECLSGLDMFHILDRFCDDDPRLWRRSLTRELKESLISRLTVPELNCQFYSFYLSTKWANDECVRKALHIREGTIGKWERCYSNDFENEILGSFEFHVNLSKKGYRSLIYSGDHDAVVPFMSTQAWIRNLNYSIVDDWRPWFVNGQVGGYTRTYSNRMTFATVKGSGHTAPEYTPEQCFAMFTRWISNLPL >RHN42127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35599950:35603924:-1 gene:gene48528 transcript:rna48528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MVFSILPRVVSILSILKINIPGKGTLVNTWLIGPNTSVFLQLLPVVMHLTMGAVRLVTDAESNKPRGYAFIEYLHTRDMKAAYKQADGRKIEGRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGGDEVNQRHSGREQQQSGPSRSEEPRVREERHADRDREKSRERGKDRDRERERSRERSSDKARDRDRDHREDRHHRDRDRNRDRDRGKERDRERDRGRDRERGRDRDRGRERDRDRERDHERDVEHDRYREKDKDYEVGETDRGRSHDRGADYDHVESKHEKEPHGEIERDYEPDDHHGRYKEPGHGHRHADPEHDPDHYNHYEHQRGRGQYDEGDDRGDYNQYPDHAKMEDDHHTERAKSKSRDRDRNRDKDHDYHRSERSHSREYDY >RHN48277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46251187:46253619:-1 gene:gene42978 transcript:rna42978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLLRSSSTPVLGSLLSSSGSFTDSPIHHHTHSLHPESYQALKHLPPTASSLQHHHHNHKLSCTSSPISPSISDLERQNKGLIRRVQSEGNLEDLAYATNCNNNMDSSSKRYSVRQRGFALETIPSFSLSKQTGLREEETDFEDEGYDDDFSSVLNSTASGVVVNDEVKDRVFRVSFGEEGKVGNKEMYLAKGLGVDGIGGCSGGNGGGDYNSMGSGGNDGDSNHGVEEYYKKMVQQNPGNPLFLRNYAQFLYQCKQDREGAEEYYSRAILADPNDGEVLSQYGKLVWELHRDEERASSYFERAVQASPDDSHVQAAYASFLWDTEEDEDAAGSNDPQCLPQHFHFGAMATTGA >RHN62077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40537872:40539484:-1 gene:gene24609 transcript:rna24609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAVEPPHMNFFSPQSQINHRDITKFNQQFYNASMNGAIHMPMSSTIPESFFPFHQPSFCEPKADSTVTYHIPDSRKRFRDSTEESYTQKNIKLSSQPSFVDQNLLYHLQNQQSEIDLFIAQHTERVRMEIEEQRLKQSRMLQAAIQEAVTKKLKQKEEEIQRMEKQNLMLQEKAKTLIMENQIWREMALTNESAVNTLRNELEQVLAHVENHRNDDDAASSCGSNHHVKEEVVVEEASSPVVGKLCSGCGERESVVLLLPCRHLCLCTMCGTHIRNCPLCFSGINASVHVNFS >RHN58467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1925477:1925716:1 gene:gene20384 transcript:rna20384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MIVNVSLSLEAQLESHLLMFIDMNLLSSDIGDPIFVPTQHIFIGLYVLTTENHRDICANKYNPFSCRNFKNEIFCNNKS >RHN54658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11096766:11099473:1 gene:gene29730 transcript:rna29730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MGKPNPQKSGTGGDLHAAARSGDLIAVQSILISNPLAVNSRDKHSRTPLHLAAFSGQTEVVSYLCKNKADVGASAMDDMAAIHFAAQKGHLEVVRALVSAGASFKASTRKGVTPLHFAVQGSHLELVKYLSKKGASLTIKTKAGKTPLDLATNDEVRAFLEGFEKSAKNGESKNEDKTEGSDPKENEESDPKAAEESDPKASSSLGSEGNLSCEPPAASVDEESGEKEKRKGNEDDARENSSHPKKARVQLSHLQSSDDVQEEETL >RHN52267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33283373:33289873:-1 gene:gene36920 transcript:rna36920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA polymerase III, clamp loader complex, gamma/delta/delta subunit MLWVDKYRPKTLDHAMVHSDIAQNLKKLVTEHDCPHLLFYGPSGAGKKTLIMALLRQMFGPGAEKVKVENRAWKVDAGSRSIDLELTTLSSANHIEMTPSDAGFQDRYIVQEIIKEMAKNRPIDTKGKKGFKVLVLNDVDKLSREAQHSLRRTMEKYSAYCRLVLCCNSSSRVTEAIRSRCLNVRINAPSEEQIVEVLQFIGKKEGLQLPSSLAARIAEKSNRNLRRAILSFETCRVQQYPFTDKQTIPPMDWEEYISEIASDIMKEQSPKRLFQVRGKLYELLTNCIPPEIILKRLLYELLRKLDAELKHEVCHWAAYYEHRMRLGQKAIFHIEAFVAKFMSVYKSFLIATFG >RHN73306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15254577:15259140:-1 gene:gene9105 transcript:rna9105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endothelin-converting enzyme 1 MATGTQAYGESWYWDNRYTNEPGPFDWYQKYITLAPIINLYVPKNQSILVVGSGNSAFSQGMVDEGGYKDVVNIDISSVVIDAMQKKYRDRPQLKYLKMDVRDMSAFASETFGSVIDKGTLDSILCGNNSRQHATKMLEEIWRYNETMNKKSILMTHYRVLKAKGVYILVTYGAPLYRLRLLRDSCSWTIKLHVIEKLASEEKSVNPLWELTKPIPLNNDGSSVDETLGLNPDVHYIYICTKEISANSNVKA >RHN66251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10033921:10036321:1 gene:gene14196 transcript:rna14196 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMSDWSALPMDLVNLISQGIDTEIDLIRFRSVCCNWRSSSIRKHHLNILPFEFPLFKFRSLFDSIINDNTIPFFCYLSKCSFFLIKPPHEQQQTLIRHRPWLVRIRQYSNGKTQILNPLISYQSPFDSLHSIDFNKLSVIHLGTDFIVHDDSLLYDYMYPEKIVAFTGNEKKPIVLGAFTTTSKPILLKCSNENRNGIPVMTAWFEDICIFKGRPYVVHKTGRTATLGLDDFTFELVAESLVSGGGDIKFLVESDGDLLLVDVYESIGFDLMVNVLRLDEKEMRWVNLMSLGDRVLFLGNGCSFSASASDLCVSKGNCVIFIDDAILNFNFENILYGNCIFDLVEGRVSPLCDCPEYFNLFWPPPDWIVKRS >RHN67701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29466496:29466831:1 gene:gene15918 transcript:rna15918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MGGNVGSGSSGSKRSHEDSVGSSARPMGREAAKRKGKKKSNDADGLEQVEKEWVQVKEIKDREIEQLKEFNSNQQEKIRLKKMKLYVKLSSEEHLDDRKKALLEELVRELF >RHN43450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45983283:45986725:-1 gene:gene50030 transcript:rna50030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MENECNWEHNTLINELIQGMDVAKRLKEDLRTPYSLGTRDSQMQMILSSYEKALQILRCNESTSKSQPVTPVITSLPESPVSTNGSPLSEDFDGTFQDHQEVKNKSKKRKVAPKWMDQIRVSCDSSLEGPNEDGYNWRKYGQKDILGTKHPRSYYRCTFRNTQDCWATKQVQRSDEDPTIFDITYRGKHTCSQGNNAIEPYKSQEKQEKPHIHNNNIIHHAQQSQESFTKFSNTLTVKTDNLGNEEMTCPFTFPSTSYGYTTQENHSWVPPALENDSFLSSLFQTHLLSPATPESNYFSSPSFNMNDFDGFYNNPRSESGITEIISTNTSVTNSPIPDFHFPLDPVEIDPNFPFNTPGFFS >RHN45217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13116018:13118556:-1 gene:gene39448 transcript:rna39448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein glutamine amidohydrolase, alpha beta roll MAATSTMDVSLFHHTPFYCEENVYLLCKKLCSDGIANSEGSDLFVAFISNDKKHIPLWNQKASQRADGVILWDYHVICIQTNQGGGLPLVWDLDSTLPFPSPLPSYVSETIRPSFQLFSDYNRLFRIVHAPIFLRCFASDRRHMKGSDGHWIEQPPPHQPIVAQDGTVHNLNEYFNISSSDATADVRTGSVKDALFTQKHGVVIKENQLEELFSQISLQ >RHN41106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27009284:27011216:1 gene:gene47395 transcript:rna47395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb/SANT-like domain-containing protein MLLCSSFFIIFSQNPAKPFSENRQRSINLVINIHCISVLSATPIAAAADHCSAMATEIPPCKTENLVKATWKISSDTKMLLDICMSEIRKCGKPGIAFKNKKWEEIREEFNKRADKNYNQKQLKNRMETLRTDWTTWKQLIGKETGLGWNHQIGNIDVDASWWDAKIRGNVKYAKFRYQGLEFRDELEFIFGDAVATSQRQRSPALGVPFESSDKNTTTDVPQEIIDSDDSEFDIGDHFSPVENTQPKKKRKVSPDIGGKATKGKAKVGTATNMRKTFERLVEAAEGHNEVEKAQIAATSHVHGEYSIPDCVKLLKSAKDNGFLNGQQFSYALEMLKDEQNRVLLITLKDSKEDLVEWILYNYVDKKPSNI >RHN43962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:365929:367719:1 gene:gene38004 transcript:rna38004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter N3 MAISHNTLAFTFGMLGNVISFLVFLAPISTFYRIYKKKSTEGFQSLPYLVALFSSMLWLYYALLKKDAFLLITINSFGCVVETIYIILYIIYAPRDARNLTFKLLSAMNVGSFALILIVTNYAVHGPLRVQVLGWVCVSLSVSVFAAPLSIVAQVVRTKSVEFMPFNLSFTLTLSATMWFGYGLFLKDICIALPNVLGFVLGLLQMLLYAIYRNGGEKAMKKEKKAPIEPPKSIVIETQLEKIEQEKKNKDDDNEEKDKSEEPIGCGV >RHN42928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42000378:42004997:-1 gene:gene49436 transcript:rna49436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative clathrin, heavy chain MDKVSSDCPYPGCFFCVMKEANPSKRRASVLKFFRELPCQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMSALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAESSVHAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYASTFPTLASHGEILELSIQLAMSSLEIVYSHFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKQEFLTKLPGMWGGLVNENSPAGIGLLRTICHQKLGRGPVASCPGIIEALCNIARSSDDWQYMAIDCLLWLLQDPNTCHKVIDKVVPALVDLAEITTLGDHKKLGDSIVSVLQDCIETQGSGRSSISSRTKEQIEDLLSSKERLKWEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNISGAASKYSEALALCPMRSKKERVVLYSNRAQCYLLLQQPLAAISDATRALCLHRPVNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAVKHGGVHNQGDGGDIYGPETDDSEWETASESDMGNDGIGDDDDGDWNNDDDRKE >RHN58293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:552883:556085:1 gene:gene20191 transcript:rna20191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FMN-binding split barrel MLLQTRNFTAPSCFTPTTKTNTKISTHPSNSISFRNTKCSVTVSTQLEQSKTENKIKKPFPAEVSRTIMDLARTGTLSTLTQQGYPLGVGVRFVVDSQDGNPFFYFNHNAIPTTNNNIDTPSSLHVKFLQSGLRTPQCTLQGTLTNPQDPALIKRLVSLWKKRFGEEVNQDFMYFIAVDRVLHLDDFQEDGVWFTSLDYKNAQPDPLREFAENLVAEINTNNMEDITRFCNVYVDLDFQVTEAKVIWVDRLGLDMRLFSPNNGLFEVRIPFPREVTDEKGAKSTFNCMSQLAWEVERNFHPLDFEKVTELKHIKS >RHN49923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2299466:2300473:-1 gene:gene34155 transcript:rna34155 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSTKEKERDVTMGNGFGADEGEGERVKAPLHSFNFPSSLKWGYQRQLRCQKVPENGDGSSQREMVSSQESAMERMGMLKIDYGDDEGVDAMRERLTLDMKVEGRRIRDAIMKKDKENGVGSGGEGSSKAAAGEKAWNFRTRKGVPGDAGKGLKIDEKNPNISSPLKGGGSTEKKAMKFSLSLTKKEIEEDFIKMTGQMPPRRPKRRSKNVQKKMNALFPGVWLSEVNADSYKVPDALENGKICTSRRSIENGNWKACISSLWELQHLRI >RHN58082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43603284:43604468:1 gene:gene33689 transcript:rna33689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVISPTATTVQVSSSYLPEDIVFSILSKLPVKSLRRFECVSKSWSLLFDDNYFMNMYRNYFLSKDSSSSLLLHVEGGDYKYGEYPPYNLYSVSGERFEKRVKLDWPNPFVKTRGDPSPYGTVLSSKLLSCASVNGTLCIHSSYGGNVMFIIWNPTTDEFKVIPSSFEFPEFYWRPYTTHHLFGFDRVKKDYKFVQYVREVPHDQETEDDNFFWEIYSLNSNSWKKLKVGIPHSYRIDEQVYMDGVSHWLGESRTRTYLVSFDFSSESCIKLPIPSYINDNRKVERHLVILNGFIAFILAYKETSIFHISILGEIGIKESWTKLFIVGPLPFQLEYPIGAGEKGKILFRRKNDKLALFDLRTGMIDEIGTASKKKFGCNILFHKESILPIGGIYV >RHN62254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42084863:42087606:-1 gene:gene24809 transcript:rna24809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 3-dioxygenase MGELDLAFVQEQEHRPKLSIIEAEGIPEIDLSPIFHHEVQDQSAIDSLVKKIGSACKEWGFFQVTNHEVPLSIRQRLDEAARLFFAQSLEEKMKVARDEITPIGYFDKEHTKNVRNWIEVFDFFARDPTLVPLNCDENDDQVIQWSNPSPKYPPKFRNIIEEYIKEMENLASKLLELIALSLGLEAMRFEEFFKDTTSVFRINHYPPCPSPELALGMGRHKDAGALTILAQDEVAGLEVKHQISQEWVLVKPTPNAFIINVGDLTQVWSNDEYESVEHRVIVNFEKERFSIAFGVFPAHYIEVKPLDELINDENPPKYKPFNWGKFLINKKSDTLKKKNVENIQIHHFKIA >RHN77377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6437783:6438060:-1 gene:gene824 transcript:rna824 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTRITCFWSSSSLSLERRNFINRPLLGPFAVCLGGNRISQELLRGNRFICVFCLSTPLFDLSIPTFSNKIRSCLLTETISIKD >RHN61049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32514014:32518558:1 gene:gene23461 transcript:rna23461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfurtransferase MLKMAARLNWRPLCSLPLSHFTHQNLRKLNPNLRFHTSQLFCSASLQTSPSPSLNAELDPYLHCSMQQQKPLRVAVLISGGVDSSVALRLLHAAGHSCTAFYLKIWFQDDFENFWTECPWEDDLKYAKDVCNQVEVPLEVVHLTDEYWKNVVSYIIEEYRCGRTPNPDVLCNTRIKFGAFLDAIGGMGFDYVASGHYAKVIHPFADEMDGSSALELSEDTVKDQTYFLSHLSQSQLKRLLFPLGSIPKDEVRRLAAKFDLPNKDRKDSQGICFLGKIKFSEFVARHIGEKEGIILEAETGDFLGKHRGFWFYTIGQRQGLKLSGGPWYVVEKDVKNNVVFVSRNYFSFDKKRRVFRVGSFKWLSGMPPSQTSQLRCKVRHGPGFYDCSLQMELREDNSIDSAVVCISEDDQGLAAGQFTAFYEGRICIGSGVILESGDDQSFPVCAKALEIARMEDKSKIGKPVKIKFKPDNLPEVCDSVELASKAS >RHN49507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55268718:55269908:-1 gene:gene44346 transcript:rna44346 gene_biotype:protein_coding transcript_biotype:protein_coding MCLITRTFTRKDVIDASRQYDEAEFSDDEKEAEYQRLRKQNKRGRNNQNTDQEDGKQQKASSSKRWFHPYNVCLLHLVDSVDRSTIEKCPCTPALAV >RHN44946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10220799:10222340:1 gene:gene39133 transcript:rna39133 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFIPFKFHFSSFISKDRIMIHQNEDDQQSKVLYELCSMIIHTLKFPLPSPRYSTQHPYSSTSIASSSSSSSSKRQPWWTTTLSTSPKDSPAAFASLFFGICVALMLFGSVTFLIGLLLLPWITLLVLVFYVAAFVSNLSLLGRFIVGSFGVALAQACSR >RHN45683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24439511:24441134:-1 gene:gene40066 transcript:rna40066 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLSGLAVGFLLAITLLGFGVFCIYSTPCICSSFYPAWCVLHGLEVIILIV >RHN38872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2528764:2529541:1 gene:gene44846 transcript:rna44846 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIIYLSRVLIVLFQTNNNSILVFCLFLSFFVVLVRKSGTLLKSYLVHYFPCCYHMLFFIFS >RHN67717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29629228:29631817:1 gene:gene15936 transcript:rna15936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Nin-like family MANRKNNPSIEGATFKFDDDDAIDPNFFNMSNPTLNDIYMNNNNNGPTNLSQPNHHSNLSNPNPTNLSINRNNNGPHQIYHGLGILQRGQQSSNFNGHFSNPLSQQNCHGLGILLQGYGSNEQFHTTLSQQNHQNVVEHGHGSNIGNVPFNSNAMPQNDHGIQHGRSGNYDPFNYNLVSQENDHGILQHGGGRNYDPFNYNLISPENDYGIQQGQDGNNDLFSHAMSQQNHPGIQHGHGTTNETFSLPMVQNQLGQPNNNVSFGMNNFEAGSSSRTNENQQQTEALALDQWPPEQQQNSSSGSQVLRRIIHSNGFQYENLEIHGSLELITHAIHHVTPVNGGPTFDRMIDFSGQGLGEIKNFLDEYCIARNSAGYFLIQDSMSTYYETLCTGYDWIDDINMEGPIDNFSDEIMEQEQEQVGEIETPEKRNLSEQRKRCAQFTLADFVDYFHLPMEEAAARMNIAASTLKKISRRTKLRRWPHRKVKCLLRQIAILQNQLDRQDPATRKRTEEEIKKIEQEMIADCRGHRPTALNYVANFLPPEQLQQQQQ >RHN72482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8016950:8019929:1 gene:gene8199 transcript:rna8199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CDP-alcohol phosphatidyltransferase MVIFRSLKSILTNSNTIARTFLTPTTSTTITLPFSPLLHNALFLFPSHHSGPLFLASPPWKLSQSATPLYHHAKAVVFRRNAVNLNLLRITTTPLPNHRRLDSNFNNSPQNSPFYTFFNAPNLISFARLVSGPFLGWMIVNDMYTSAMVGLAISGGSDWLDGYVARKMKIDSVVGSYLDPLADKVLIGCVAVAMVHQDLLHPGLVGLVVFRDTFLVGGAIFQRASSLGWKWKSWSDFFNLDGAGRQKVEPLFISKVNTVFQLALVAAALLQPDFGTPETQSYITYLSWLVASTTVASSAAYGVKYLRSPVVLKSA >RHN40062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12914832:12918911:-1 gene:gene46158 transcript:rna46158 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDSTVAVTVDTCSTEEWLLNSQELVPIALTKAREVKGFQGRWKMIISKLEQVPSKLSDLSSHPCFSKNALCKEQLQAVSKTLREGIELAELCLKEKYEGKLRMQSDLDALIGKLDLNLKDCSLLTKTGVLGEVTLQFNVSGSITESDIETHCNIKELLARLQIGHLESKHKALDRLHDIMREDEKNVLAVFCRSNVVALVQLLTATSTRIREKTVSIICSLVESGGSCESWLVAEGVLPPLIRLVESGSAVSKEKAIVSLQRLSMTEDTTRAIVGHGGVRPLVELCQIGDSVSQSAAACTLKNISAVPEVRQVLDEEGVVKVMINLLNNGMLLGTKEYAAECLQNLTASNENLRRSVISEGGVRSILAYLDGPLPQESAVGALRNLVASVSEETLVSLGLLPCLVHVLKSGSLGAKQCAASVICRICSSIEMKKMLGEVGCIPLLINMLEAKANTARELAAQAIASLMILSQNRREVKKDDKSVPNLVQLLDPSPQNTAKKYAVCCLGSLSSCKKCKRLMISYGAIGYLKKLIDIEIPGAKKLRERLERGKLRSLFSRK >RHN46312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30632943:30639285:-1 gene:gene40792 transcript:rna40792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MGIPAFYRFLAEKYPRVVVDAVEEEPVVIDGIQIPVNTSMKNPNNNEYDNLYLDMNGVIHPCFHPENRPSPTSFDQVFESIFAYIDRLFVIVRPRKLLFMAIDGVAPRAKMNQQRSRRFRAAKDGSDAAAEESRLRDEFEREGRKLPPKQESQIFDSNVITPGTEFMAVLSVALQYYIHLRLNNDPGWKNIKVILSDANVPGEGEHKIMSYVRLQRNLEGYDPNTRHCMYGLDADLIMLGLATHEIHFSILREVVFTPGQQDKCFLCGQMGHMAAECEGKAKRKMGKFDEKGDAIVAKLPFQFLNIWTLREYLEYEMRIPNSPFEIDFECILDDFIFICFFVGNDFLPHMPTLEIREGAINSLMTIYKNEFTKLGGYLTNGSKPNLSRVEHFIQAVGSHEDEIFQKRARLHQRQVETIKRRKRQARGDDVGPQVQPKSLAAISQFHGSRLASAPMPSPFQQSGHNKEVLEKPSKVSRLSSGAAVSVAIVEAENSLEIDVQDNEDELKAKLKKILLEKSDVFNSKGGNEDKIKLGEPGWKERYYEEKFSAKTPEELDAIRKDVVLRYVEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKGLSELNINFELGAPFKPFDQLIGVFPAASSHALPEPYRKLMTDPSSPIIDFYPTDFEVDMNGKRFAWQGIAKLPFIDETRLLEAVQKIENFLTPEEKRRNAIMFDMLFVNSCHPLSACISTLDNKCRNTSNCERANVKEKINPIESGGMNGYISFCDGEPCRPFFRSPVAGMEDIIDNHVICAIYRLPDAHEHINRPLHGVKFPKKTVAIEDLKPEPVLWHEDSGRRYVESQRKNHSGTYSCRQLKEAAHRLVVNSLHVKMDANIYHHPMNGSRIYSD >RHN49309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53972131:53972548:-1 gene:gene44124 transcript:rna44124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MAGGSKNNNVLIIVHIVLFCTCLAVANSVEFNFPAVFNLGDSNSDTGELTVGLGFQLVPPYGQNYFKTPNGRACDGRLIVDFLSIIFILYNPFSKISSFIIVLQ >RHN40129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13516337:13520717:-1 gene:gene46235 transcript:rna46235 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP704G5 MNMLFLIMFYFILILFLCLFLLISIITLSTFIGQSIGNPKYPPVKGTVFHQLINFKTLFHYFTKIAQTSPTFRLLAPKKSKIYTCDTKNVEHILKTQFHKYSKGKFNHDTIFDLLGDGIFAVDGAKWRHQRKLSSLEFSTRVLRDFSCNVFRRNAVKLVRVVLGFSNAGLAFDIQDIVMKCTLDSIFKVGFGVELNCLEKSSKEANIFMKAFNDSNAFVFKRYLDPLWKLKRVLNFGSEAALKKNIKIIDDFVHSLIKTRRELLSMQKDFSDKEDILSRFLLESKKDSSNMTDKYLRDIILNFMIAGKDTSANTLSWFFYMLCKNPLIQEKVAQEVINVTSTSQESNLNLDEFVSNISDATLDKMHYLHAALTETLRLYPAVPMSGRTAEEHDILPDGYIVNKGETVYYLSYAMGRMPYIWGDDAQEFLPERWLKDGIFQPESSFKFISFHAGPRICLGKDFAYRQMKIVSMALVRFFRFKLENETNDVTYRTMFTLHIDHGLPLYATPRCDVAE >RHN71101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56487747:56488115:1 gene:gene19744 transcript:rna19744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSKSKKRRSSIPIEERNKVSSLPDSIIYHILSFLPTKDTVATSILSKRWKPLWLSVFTLNFTDHIIFTKTTTICSMVYSVMLSRDNTHPIRSFRFKCCFRYDQPNQGRSHPPSSLGQLQLSL >RHN53068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41684392:41686147:-1 gene:gene37818 transcript:rna37818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MVFLFIILHKIISIEALTITNDVTIIICLQVNMRLISKSFIFSLSILVMLSSTAYSQPQSPKIIHSTTYLSKKFDVGPGEVADIHMYNIEFPKGHVGIKSFDVDLVDEHGNSVPLHETYIHHWFILKYNIKKNMSVSQNPNDHSKPSGDLIYKRNDGTCNNGILPHQWSSGSETRGTSTKLPYPFAVEIGNRADITEGWEEQWLLGVLVIDTRGAENKKICIQCRCDQFNLPENFYDVTVGFHGKVTPEYKAGVLCCQDKFQCKMRKGFQAPRRNLAIKYNITWVNWDQHQIPVRFYVLDVTDRVTTNGSETIHNCQHPMKKGGYLIYASGHAHTGVINATLYGQDGRTLCTSTPTYGTGKEAGNEEGYLVGMSVCYPKPGSMKIDDGETVTVESIYKNEFLPAVMGDMHFYLADELSHDA >RHN47265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38377914:38379890:-1 gene:gene41841 transcript:rna41841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MECQKCVDSDGVCGSNDGGNEFRCFCKDGSHTTSCASQKVLTSINSTKRNWSLIVIGVVVAGFGVTMLFIIMISSYLKKGIGRQKMSFFRKRRKVVNHNVEVFMRNYNSSIPRRYSYTEVKRITNSFRDKLGQGGYGVVYKASLIDGRHVAVKVLNESKGNGEEFINEVASISRTLHMNIVSLLGFCYEVNKRALIYEFMSKGSLDSFIYKSEFPNAICDFNWNTLFQIAIGIARGLEYLHLAQGFYFCPKISDFGLAKICQKKDSIVSILGTRGTIGYMAPEVFSRAFGGVSYKSDVYSYGMLILEMIGGRKNYDTGGSCTSEMYFPDWIYKNLEQNNTLLNCLTISEEENDMIRKITLVSVWCIQTKPLDRPPMNKVIEMLQGPLSSVSYPPNPFLHSP >RHN48811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50444582:50449472:-1 gene:gene43564 transcript:rna43564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MIEYILYHTSTIVTSCLMVCRRCHLYLRSPAVDGDGDEVALDFDYDKEVSHNHILRPTNGQEVSGECPAASHERLSTPSPVVGGGKHVKILPNSSDVNQFPNTRVADAGLGNIAWKERVDAWKMKKEKNAVPMSSSQASSERGDGDVDSRSDVPVDDSLLNDEARQPLSRKVSIRSSKINPYRFVIVMRLVILCFFLHYRITNPVRSAYPLWLISVMCEICFAISWILDQFPKWLPINRETYLDRLALRYDQEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTLEALAQTSEFARKWVPFCKKYTIEPRAPESFFAQKIDFFKDIVQTSFVKDRIAMKREYEDFKIRINELVEKATEDPEEGRVMQDGMPWPGNNVRDHPAMIQVFLGQSGGLDTDGNELPCLVYVSREKRQGFQHHKKAGAMNALVRVSGVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKYVCYVQFPQRFDGIDRNDRYANRNTVFFEINLRGLDGIQGPVYVGTGCVFNRTALYGDEPPLKLKKKKPGFLSSLCGGSRKKSLKSSKEGSEKKMPKKHVNPTVPVFNLEDIEGGVEVDDKKPLLSKELLGKRFSQSAVFLDSTQMENGGVPQNVTPEMLLKEAIQVISCGYEDKSEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKWLQRVAYINTTIYPLTAIPLIVYCSLPAVCLLINKFIIPKVSNIEILWFILLFISIMATGILEIRWSGVGIDEWWRNEQFWVIGGVSAHLLAVFQGLVKVVFRVDTNFTVTSKASDENGDSAELYKIKWTFLLVPPTTLFIFNLIGVVAGVSYAVNAGYRSSGPIFGKLFFAFWVIIHLFPFLKGLMGRKNRIPTIVVFWSIMLATVLSVLWVRLDPFTTRVIGPKAEKCGIGC >RHN70034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48229036:48230007:-1 gene:gene18574 transcript:rna18574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA hydrolase MLTKKSESGIMNIYCPLVIIDYEREHKLEGPSERAKQRNKNRMGKAEERTKLRSVKNYLEKRGKTASTLDALPPKFLEHLICHGLRLDLLQPGCIVFSMKIPPRLLNSGKYLQGGVIASLVDMVGGVAIPTGGVSVEINVSCLDAAYVHEEIEIEARVLRVGKVIAVVSMEFRKKKTGQVFAHGRHTTYLSITSKM >RHN79622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30644365:30655365:-1 gene:gene3439 transcript:rna3439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-CTR1-DRK-2 family MEMPARRSNYSILSQVPDDQFSYAASASAPAPSSSSDGKASRGGWELVGDHRQGNNRIGNLNLYSSIGLQRQSSGSSYGESSLASGGDYYAQNLSAVAASEVDAFGYLHEVGEVRGKLAESAAWNGGSSGKSWAQQTEESYQLQLALALRLSSDATCADDPNFLDPVPDDAASRLSASAEAVSHRFWVNGCLSYSDKIPDGFYLIHGMDSYVWTVCTDLQENSRIPSVDTLKSVHPRIDSSLDVVLVDRRSDASLRELQNMVHNISCSSITTTEVVDQLSKLVCNCMGGSASAGEDEFLSFWRDCSNNLKDCLGSVVFPIGSLSVGLCRHRAILFKVLADAIDLPCRIAKGCKYCKRDDASSCLVRFGLDREYLVDLIGKPGHLSEPDSLLNGPSSISFCSPLRFPRLKPAETTIDFRSLAKQYFSDCLSLELFFDNSSAEQLDGKCNESNNLRPISSDININSHLALYPQAPLPSTLDQASETYMLCNPPQNIIESTTVGKYPPPLKHKRPVAIANDDVIEGRRFIEGSQLIPSKPTREVAFDMEDLAIPWSDLVLKEKIGSGSFGTVHRAEWNGSDVAVKILMEQDFHAERFKEFMREVAIMKHLRHPNIVLLMGAVTQPPNLSIVTEYLSRGSLYRLLHRPGAKEVLDERRRLSMAYDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDIYSFGVIMWEIATLQQPWGNLNPAQVVAAVGFKHKRLEIPRNLNPQIAAIIEACWANEPWKRPSFASIMDSLRSLLKPPTPQPGLPSTPCLT >RHN61643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37117699:37118348:-1 gene:gene24128 transcript:rna24128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MATSSTCLALTILVVFGTLLYNTNQVSGQCGGSLPDLISQCSKFVEKSGPNIPPSPGCCAVMRNFDVPCACNLITKEMEKFVSVQKAISVARSCGLKVPAGMQCGSVRVPPKAMK >RHN55681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21065022:21067702:1 gene:gene30901 transcript:rna30901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptidase B MNYCERTRIFSMAHRALIHLPHCHAAKLKLELTNVTITSLFSTLCHHPTTTLPPQPPPSPRKLPFTVSVHGRTWEDPYHWMSNTDDPHLLEHLNRENSYADAFMADTLKLRSQLSSEMKARLPPSICTPPERWGPWLYYQYIPEGKEYPVMCRKLETERSGWMNTFLHRHGMAGSKREEVLLDWNELAEKYGYVHVGTCRVSPDHNYLAYTVDISGGERFMLQVKDLRSGLHDPNIEVDDVVSLAWARDASSLFYTQSDENQRPYRVLCRKLGCDLVNDLPIFTESDSSFCVDITSTKDGKFITVNSNSRTSSEEGLYVLFYEFITNSF >RHN42258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36790477:36791000:1 gene:gene48678 transcript:rna48678 gene_biotype:protein_coding transcript_biotype:protein_coding MRYICHRSWLGGWLKPQKKSYFALQLPKRWWVFGLDLALHNDIDVYQFFIII >RHN74469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33790713:33798623:-1 gene:gene10552 transcript:rna10552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-Pl-6 family MDPRNEYQPGSQSIMHDHMDGIYMSRRPHDLNTSEVKPVQHYSIQTGEEFSLEFMRDRANIGKPVFSNVSDPNYTTGYMELKGILGISHGGSETGSDMSMLSMMDKYPKEFDRSNYGSIRSIPRTSLHQDNRQFVHGYGSAESYDNSSIMLKFLCSFGGRILPRPSDGKLRYVGGQTRILRIRKDISYHELIQKALMIYNQVQTVKYQLPGEDLDALVSVSSDEDIQNMMEECNHLEDREGSQKLRMFLFSISDLQDAQFGLTSMGDDSEVQYVIAVNGMDLESRKNSNMVGLSFSANDINELEGENIDRQGNGPQTNNFDSSLATHFSQPMLPTSSNSYGMYPVFYGDQMIRHGEPNAHGQYFMNHGVDPSYKPFIEGSPINMLPHMPNTQQGIFNEGYPPNGVQVQNSEVPETLVRMMADSSIQQETLSLSPSQLFDGYIKNDFPEASVVVTAPEGNSLPPTRTNQLSDNDEASSTSSSAFVAGPYVDSRNNAVDLSCLHPPPLPKRVYYSERIPREQVESLNRSTKSDDAHNNQFQVSDLLSGVHLQDSAIDSGNNLHDGNLSNLAKEMNITAKPLPADGEGTKDILNKENAVAMLGTDRNDNHKESPLDGKPDIPVKESNGDFNMQAPPVPLNANTTAKVDPQAQGDILIDINDRFPRELLNDMFSKAILEEEDSSSQHPLASDGMGLSINMENHEPKSWSYFQKLAQEGNENASLIDQDRLGFSPGVVGDNRDQHVAPLTTDEDPLNRAEFHLNFGEEIQKDLHGENEVETTVLKSNYDQSQINDTESMQFGAMIENLRAEESEYEVEKFEKNNSSLPPLDPSLGEFDTSTLQVIMNEDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKKTCFTGRSSEQERLTVEFWREADILSKLHHPNVVAFYGVVQNGPGGTMATVAEYMVDGSLRHVLLRKDRYLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPLRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPNYCDIEWRTLMEQCWAPNPAVRPSFTEIASRLRTMTTAAVPTKSPGHKASK >RHN65637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3972618:3975205:-1 gene:gene13501 transcript:rna13501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 14-3-3 protein MCIYRYRDSHNHTLTLDRKTKQSNKREKRIMGFTVAERLRREEYVFHAKLAQQAERYEEMVSFMQKIVVGYTPASELSLEEMNLLSVAYKNATEPLRAALRILSKEEEGRKNEDDHFVHVKKYKSKVESELENVCGSILELLDSKLIPSASSSEIRVVYYQMKGDYQRYMAEFKIGDDKKSAVEDIILSYKAAQDIAAADLRSSHPIRLGLALNFSVFYYEILNRFDEGLDMARQALDEARNELKLGDEYYKDSTVRMQLLRNNIILWTFDDMVKCLYNNHHVKAF >RHN81560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47019714:47021727:1 gene:gene5625 transcript:rna5625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoliquiritigenin 2'-O-methyltransferase MGNSYITKEDNQISATSEQTEDSACLSAMVLTTNLVYPAVLNAAIELNLFEIIAKATPSGAFMSPSEIASKMPASTQHSDLPNRLDRMLRLLASYSVLTSTTRTIEDGGAERVYGLSMVGKYLVPDESRGYLASFTTFLCYPALLQVWMNFKEAVVDEDIDLFKNVHGVTKYEFMGKDKKMNQIFNKSMVDVCATEMKRMLEIYTGFEGISTLVDVGGGSGRNLELIISKYPLIKGINFDLPQVIENAPPLLGIEHVGGDMFASVPQGDAMILKAVCHNWSDEKCIEFLSNCHKALSPNGKVIIVEFILPEEPNTTEESKLVSTLDNLMFITVGGRERTEKQYEKLSKLSGFSKFQVACRAFNSLGVMEFYK >RHN48327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46679966:46682147:1 gene:gene43033 transcript:rna43033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-pyruvate monooxygenase MENLFRLADHQDFLSRRCIWVNGPVIIGAGPSGLATAACLREQGVPFVVLERADCIASLWQKRTYDRLKLHLPKQFCQLPNLPMPEDFPEYPSKKQFISYLENYANKFEINPQFNECVQSAKYDETSGLWRVKTNEVEYICRWLVVATGENAECVTPEIEGLSEFKGEVVYACDYKSGKNFEGKKVLVVGCGNSGMELSLDLSNHHALPSMVVRSSVHVLPREIFGISTFELAVMMLKWLPLWIVDKLLLILTWFILGDMEKYGIKRPSMGPLQLKNTVGKTPVLDIGALEKIRSGDINVVPGIKRINKNGEVELVNGEKLDIDAVVLATGYRSNVPSWLQEGEFFSKNGYPKMPFPHGWKGNSGLYAVGFTKRGLSGASSDAVKIAQDIGKVWKQETKQKKQRTTACHRRCISQF >RHN41376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29622699:29631289:-1 gene:gene47694 transcript:rna47694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbonic anhydrase MAGESYEEAIAELTKLLSEKADLGHVAAAKIKQLTTELVAVGSDGFNPDERIRSGFEYFKKEKFLKKPELYGELAKGQSPKFLVFACSDSRVCPSYVLNFQPGEAFVVRNIANMVPPYDKSKYSGTGAAIEYAVVHLKVENIVVIGHSCCGGIKGLMSIPDDGTTASDFIEQWVQICNPAKSKVKEASNSDFSEQCTNLEKEAVNVSLGNLLTYPFVRDAVVKKTIALKGAHYDFVNGAFELWDIDFKISPSVSF >RHN52660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37468067:37469734:1 gene:gene37354 transcript:rna37354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MAFTIVATKLTMTKLSSMLRYATLYLLPTPNSYLLRRLHIQPLPSFINNVDDAVSSFNRILHISSTPVFEFGKILSSLVKLNQFNTAVSFSHQMELKGIQPDIVTLSILINSFCHLNQLNYAFSILGKILKLGYQPNTVTLTTLINGLCLNGQIRKALYFHDHVIAKGFHLNHVSYGILINGLCKSGETKAALQLLEKIKGFLVKPDVVMYNTIIDSLCKDKLVSDGYDLYSEMIVNKIHPNVITYNTLIYGFSILGQMKEAVGLLNQMSMKNISPDVLTFTTLVDGLCKEGEVKKARNVLAVMIKQRVEPDIVTYSSLMDGYFLVKEVNKAKHLFNTLSLRGVTPNVFSYNIMINGLCKNKMVDEAVNLFKEMHSKDMIPNTVTYNSLIDGLCKLGRVSDVWDFIDEMHDRGQPTDIITYNSLFDGLCKNHQVDRAIALLKKIKDQGIQPSMYSYTILIDGLCKNGRLEDAQEVYRDLTIKGYHLDAKMYTVMINGLCKEGFFDEALSLLSKMKDNGCTPDGVTYEIIIRALFESDRNDKAVKLIREMIARGLL >RHN70027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48123852:48130161:-1 gene:gene18567 transcript:rna18567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase MQSGFLQNGMDSSPGKSQDGSFRKSSSVISASTVSGASGLSKFVPISRRALKGLKEYGRKLVDLELFTQYLDEWVMENLNSDSVDGMHGFSSLFTIGELRKLDVALEGVPFQQLVRMPIFSEVSEELIEDQYLAVEDFLHAVIIGLWRTFWHKSGPLPLCVSCPSYPGSKFNSVEKAISRGRLREMRGLGLISKASTDSKIKWDQVVEFAIFKPELLLDNALRVSANTICEALFYGFHVLVSRSLSKISSVNSDSVFLLVLDSKSGVVIKFSGDLGKLDLSNSNNPYLSVAEWIKTYAEICITPAEPIWNQLGNPNWGDIGALQILLATFYSIAQWNGPPRKSVATLISDHSFRLQKRRTECCIIETENALVPYYDHQDGEIVEVDQNDLFSNNRPSRLKLKHGDVVVLDDPQQGQRSFQIHEYLVRGNYYLYSAICLDHPLALLSLYVGAHTSRLEPSWEDMSLWYQVQRQTKVLNILRNQGILSKYLPEIVASGRIVHSGHCNKESPGGKCDHPWCGTPILVTSPVGEPLSSVVSNEGTFSADEAMRLCRDCLVALRSAAIANVQHGDICPENIIRVVEKQGNNIRNHHNQAMYVPISWGRAVLEDRDSPAINLQFSSSHALQHGKLCPSSDAESIVYIIYFITGGTMSQQDSIESALQWRENSWAKRSIQQHLGQVSALLKGFADYVDSLCGTPYPVDYDIWLTRLNKAVEGSADKGKMIEEVAITLRLEDAAESSGASGP >RHN59884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14369755:14373091:-1 gene:gene22050 transcript:rna22050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MSNLNHMHTFPIQATLLVSLLLALCQITSGLTQFEALLKWKQSLPQQPILDSWIINNSSSTQTPCLWRGITCDDSKGSVTIINLAYTGLEGTLNHLNLSVFPNLVRLDLKTNNLTGVIPENIGVLSKLQFLDLSTNYLNGTLPLSIANLTQVYELDVSRNDVSGILDRRLFPDGTDKPSSGLISIRNLLFQDTLLGGRLPNEIGNIKNLTILALDGNNFFGPIPSSLGNCKHLSILRLNENQLSGSIPPSIGKLTNLTDVRFFTNNLNGTVPQEFGNLSSLVVLHLAENNFIGELPPQVCKSGKLLNFSASFNSFTGPIPISLRNCPSLYRVRLEYNQLTGYADQDFGVYPNLTYMDFSYNAVQGGLSSKWGSCKNLQYLSLAGNSVNGKIPSEIFQLEQLQELDLSYNQLSGTIPPQIGNASNLYQLNLGGNRLSGKIPIEIGKLSNLQYLDLSMNSFLGEIPIQIGDCSNLLNLNLSNNHLNGSIPFQIGNLGSLQDFLDLSYNSFSGEIPSNIGKLSNLISLNISNNNLSGKVPNQISGMLSLSSLNLSYNHLEGNVPKSGIFKLNSSHALDLSNNQDLCGSFKGLIPCNVSSSEPSDGGSNKKKVVIPIVASLGGALFLSLVIVGVILLCYKKKSRTLRKSSFKMPNPFSIWYFNGRVVYSDIIEATNNFDNKYCIGEGAFGNVYKAELKGGQIFAVKKLKCDEENLDTESIKTFESEVEAMTETRHRNIVKLYGFCCEGMHTFLVYEYMDRGSLEDMLIDDKRALELDWSKRFEIVKGVASALSYMHHDCSPALIHRDISSKNVLLSKNLEAHVSDFGTARFLKPNSPIWTSFAGTYGYAAPELAYTMAVTEKCDVFSFGVLAFEILTGKHPSDLVSYIQTSNDQKIDFKEILDPRLPSPPKNILKELALVANLALSCLHTHPQSRPTMRSVAQFLEMEST >RHN56449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30957835:30958971:1 gene:gene31854 transcript:rna31854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSCIYLPDELVAEILSFLPVKSLMRLRCMCKSWKTLIYDSAFVKLHFQRPSRKKHIAVIKYEAGYIAETKNFPLNHSLENPSVSIASNSYYRLEYKDCIRVVGSCNGLLCLLGYSYSSNHNQDETIFWFRIWNPATRIISEKLGTCHQPCNLFKLSFSFGYDNSTRTYNAVVLCTSEVKVFHFGDNIWRKIANFTPYNLVDTLGHDGVNQQGVYLSGTVNWISIYPEDVTLDKFAIISLDLGTETYKKLLPPPGAVNLVPPYTEPTIATFMDRLCFSHHRKKTHFVIWQMIEFGFEQSWTQFLKISFQNLLVDNFGHSKYYLFPFCLSENGETLIFASCVQHKAILYNLKTNRVKKAIGSAITWCYSKDYVESLAWIC >RHN72349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6970645:6972176:-1 gene:gene8051 transcript:rna8051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin-containing monooxygenase MATQDNLPIMVSRIGIIGAGVSGLAVVKQLSHYNPIVFEATDSIGGVWRHCSSKCTKLQSPTCNYEFSDFPWPERESSEHPSYVEILEYLRAYAVYFDLFKYVMFNYKVVEIKFVRDKEGFDFGCLPPDHGNPLPGRMVWELFVQTNESYVIQRYHFEFIVVCTGKYGDIPLMPKFPYNKGPEVFKGKALHTIEYCKLDNESTTDLVKGKKVVVIGFKKSATDIAMECAQENQGKRNSCMVSMVCPNVYDEAILSLFNDSNISVVSLFLAILVLIYFSLYNYSKHSQLIICDTTKNYHSQHDFSLKFKTKKSLCM >RHN39186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4835177:4841051:-1 gene:gene45189 transcript:rna45189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MMGTVLHFLLVFFVLIKAQDQSGFISLDCGLPENLNYSSSKTGINYISDAKFIDTGVSKRIARTDKFSLQQLEYVRSFPSGVRNCYNISVTSGTKYFIRTSFLYGNYDNLNKPPQFDLHFGANVWDTVKLSSNASLFTFREIIYTPSLDYIQPCLVNTGKGTPFISAIELRPLNNTAYVTYSAKSVLTNFFRLDVGSITNLEYRYKDDVFDRIWFPYGFIDWARLSTSLNNDDLVQNDYEPPATVMSTAVTPLNASAPLQFEWGTDNVNDQYYTYFHFNEVEKLAENETRAFNLSVNGNHRHGPVIPGYRETYTIFSPTPLTGAKSYQISLTKTENSTLPPILNAFEIYKVKDFSQSETHQDDVDTITNIKNAYGLTRNWEGDPCAPVNYVWEGLNCSIDGNNIPRIISLNLSSSGLTGEISSSISKLTMLQYLDLSNNSLSGPLPDFLMQLRSLKVLNVGKNKLTGLVPSGLLERSKTGSLLLSVDDNPGLCKTESCKKKKKLFVPLIASFSAFIVILLISLGFRMFRRQTVISSSKERGSMKSKHQKFSYTEILNITDNFKTIIGEGGFGKVYFGILQDQTQVAVKMLSPSSMQGYKEFQSEAQLLTIVHHRNLVSLIGYCNEGEIKALIYEYMANGNLQQQLLVENSKILNWNERLNIAVDAAYGLEYLHNGCKPPIMHRDLKPSNILLDDDMHAKISDFGLSRAFGNDVDSHISTRPAGTFGYIDPEFQRTGNTNKKNDIYSFGIILFELITGQKALIKASEKTIHILEWVIPIVEGGDIQNVVDLRLQGEFSINSAWKAVEIAMSCTSPNAIERPDMSQILVDLKECLSLDMVQRNYGSTRAIDDMISLATVSETTLSAR >RHN61705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37667400:37667786:1 gene:gene24194 transcript:rna24194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLCLYIKISMRYILKKKIFWAPKLGRPSSVELFAPPQGRPWQRVSRYMCQSQEEEVDCAVCLCTMKEREEIRVLKCEHVFHKDCLDTWYSFKYNNTTCPLCRVSVGPLRDLDAKVTIHDADFQIPWLR >RHN42534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39123470:39123703:-1 gene:gene48983 transcript:rna48983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucoside 5-O-glucosyltransferase MRSFFEEQFKDLDVVETNQTVLVNSFEELEPEAFRAFENLNMISIGPLIPSDFLDKKLDPTEDNKFGGQIHIFQPSD >RHN41660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31871365:31874480:1 gene:gene48003 transcript:rna48003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H/ACA ribonucleoprotein complex, subunit Nop10 MYLQCYINDNGDKVYTTKKESPLGSATESAHPARFSPDDKFSDHRVRLKKRFGLLPTQQPPRKY >RHN77412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6679292:6681887:-1 gene:gene860 transcript:rna860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LysM family MNQVVFNLILFTLSMLHSSKTKAQQNYSGNSILSCKNNDDAGPSSAFLYTCNGLNKSCMTYLTFKSVLPYNSVSTISSLISSNPNELARINGATLLTVFPSGKDVIVPVNCSCLTNDYYKAETKYILGPNPTYFIVANDTFQGLSTCDSLMRANPYGELDLLRGMELNVPLRCACPTYHQKTNGTKYLLTYSVTWGDNISNIATRFNIPVGNLIDANGFSTQTELLFPFTTVLIPLASEPVSLTTIVANDPPTPLGCSFKKCKSNTKVLLIALTTSLLVLCVFLFVLFLIRFLLRKRSARFVKRCLEVNNKNGVFSEEIREEIAIIEHLSKVYSFEEIKEATENFSSKNRIKDSLFRGIFNNGKEVLAVKRMRGDASKEVNLLKRINHFNLIKLQGYCENDACIYLVYEYMENGSLREWLCKDNSIEHQSWAKRIQIALDIANGLQYLHNFTEPCYVHKDINSENILLNKDLRAKIAKFAHAEESKRMITSGSPASHVVGFMGYLAPEYVEARIVSTKMDVYAFGVVLLELITGNDSITLQDGREVMLYEIIQNIIGQENEEEKVSLFIDPCLIESCRKACALQLVKLSLACLIQEPDSRPNIEEVVSSLLKIQANDMQQRISPSINKSLSLER >RHN59715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12702447:12704752:1 gene:gene21819 transcript:rna21819 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:accD MEKWWFNSMMFNRGLEYRCGLSKSIDSFGPIENNSVNEDPSILTDMDNIILIDMDNTIHVDVRVVKTIVRILVIDMNIPNLLSDKTFLVSDSNSYFIYDWNNIINSCIEGYMLSEIGIDSSIIHNIIENNNENNPQEEDKNEKLHKSSYRFDYRFDYRFDVRFEVRFETDSDKEKDSGNDDDKLQKASDILEPVNYSAENYSDSDNDSDDNDPDDDYDTLQKGTDTLEPENDNDTDDYDKIQRAHYILEPENDSDTEPDDEPDYEPDDEPDDEPDDDDKLQKASDILEPENPSDSEKVQNSSEIESTGIMENPSDSEKVQNSSEIESTGIMENPSDSEKVQNSSEIESTGIIRKDFSHLWVACDSCYGNNYKRFFKSKMNICEYCGCHLKMSSSDRIELLIDPGTWNPMDEDMFPVDPIEFNSEDEPSEKGLEDEDEPSENSLEDEPSENSLEDEDEPSENSLEDEPSEKGLEDEDEPSEKGLEDEDEPSEKGLEDEDEPSENSLEDEDEPSENDDYQNRLDSYQDRTGLLDAVQTGTGQVNGIPVAIGIMDFEFMGGSMGSVVGEKITRLIEYATNQRLPLIIVCASGGARMQEGSLSLMQMAKISASLYNYQINQKLFYVPILTSPTTGGVTASFGMLGDIILAEPDAYIAFAGKRVIEETLKIEVPEGIQSAEFLFEKGAFDSLVPRNYLKEVLSELLHFHGFFPLTQTEN >RHN42308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37301187:37301892:1 gene:gene48736 transcript:rna48736 gene_biotype:protein_coding transcript_biotype:protein_coding MANKALKAFSELGDDEELGPADWPTVKRYISCLNDFEGERVRPHGASKSDGDLDLMNLKDIRVRLLNGMQTSDGDLDLMNLQDMRVRLLNA >RHN44442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4792917:4794227:1 gene:gene38563 transcript:rna38563 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMFRLGILSSPFRFCFCCCNLFFSSSPVIETWALWVIQVSH >RHN72277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6386183:6388709:-1 gene:gene7971 transcript:rna7971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-beta-dioxygenase MVVLSKPTLNNFLLVKSCKPSTTLLNGIPVVDLADPEAKTLIVKACKEFGFFKVVNHGVPLEFMSNLENEALRFFKKPQSEKDRAGPPDPFGYGSKRIGSNGDVGWVEYILLNTNPDVISNKSLSFYRENRQNLRSAVEDYIAAMKKMCCLVLELMADGLGIEPKNVLSRLLKDEKSDSCFRINHYPPCPEVQQAALNGRNLLGFGEHTDPQVISVLRSNSTSGLQICLTDGTWVSVPPDHTSFFINVGDTLQVLTNGRFKSVKHRVLADTTKSRLSMIYFGGPPLSEKIVPLPSLMLKKEESLYKEFTWLEYKKAMYNSRLADYRLGPFEKSYGK >RHN47962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43854527:43855972:-1 gene:gene42621 transcript:rna42621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-25/LEA-D113 MQGGAKKTGESIKETAANIGASAKSGMEKTKATFQEKTEKMTAHDPLQKEMATQKKEERVNQAELDKEAAREHNAAASAGHQLGVGGHHTTGTGGAAQNRA >RHN45536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22593526:22593888:1 gene:gene39900 transcript:rna39900 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLGMSYADARAEIRTESTGHIIYPTLKWVYEHHLTEARKLEEPQTREELQERGMRRVWCVRSFLLYLVGCAMFTNKTNRHIDLIYLDCMADLQAIGKWSWGGMALATFMIIGMILLF >RHN54724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11635794:11636920:1 gene:gene29802 transcript:rna29802 gene_biotype:protein_coding transcript_biotype:protein_coding MHVDRYNSNFGFKFILWFFDIESTERRKKIIRITRKINLNERVSVQDPSEHSMEQHQQEKSNTDITELDRAFMKLFLNTDENNLIQAESVPGESHAPKRINRKRVHWAEDGKSVDVPVLVVGTKSWPALSDVQTPKAKNLVDNVSAKGENVAVSVPSVGHIAPRAPSVQKANSSGNFSPMNKMPSPSYQKPGPKRNVRPVAPPPHIAVPAYAFPPGSGPYPNAENPKPVSPVAAGQGFTPPARAIDAKHFPSFPGKISN >RHN77460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6994395:6997620:1 gene:gene922 transcript:rna922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, CRAL/TRIO domain-containing protein MFNLWGGSQQDQQNKEAYAESKIKELKLAIGPLSGHDLKYCTDACLKRYLEARNWNVDKSKKMLKETLKWRSVYKPEEIRWDEVAVEGETGKMYRAGFHDRQGRTVLILRPGMQNTSSIDNQIKHLVYLLENAMLNLPPGQEQMAWLIDFTGWSITNNVPLKSARETISILQNHYPERLGIAFLYNPPRIFEAFWKIVKYFLDNKTFHKVKFVYPKNKDSVELMRSYFDDENLPSELGGKSILNYNHEEFSKIMAQDDLKCADYWGTDEKLRNHRHCAAEVAPEPVCSETPAT >RHN73958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22120865:22127424:-1 gene:gene9860 transcript:rna9860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain, tetratricopeptide-like helical domain-containing protein MGKKKKQVGETSEENGNHSNDGDKSPNAYDNDTLLFIAKAQELKEEGNKMFQKRDLRGAMVRYEKALKLLPRDHIDVSYVRSNMAACYMQMGLGEYPRAINECNLALEVTPKYGKALLKRARCYEALNRLDLALRDVSTVLKIEPNNIMALEISDKVKSALEDRGLRVNDEKIELPPDYVEPSFDVPSEKAKEKKQKKKSKKEKVKASDEIVEKQAEEKLEEKKEEDNIAPNKAEDDHLKEKKSNKSKKKAAKEKIDKQKEDVKEVNEEKSNGRSVDVPKKTAKLVFGDDIRWAQLPINCSLFQLREVICDRFPSLGAVLVKYRDQEGDLITITSDEELKWAETGSQGSIRLYIVEANPNHDPFYEKLHLNYVEKVEMDNAPKSGSVVKSKEIVSSSCVEDWIIKFADLFKNHVGFESDRYLDFHELGMKLYSEAVEETVTSEEAQGLFDMAGGKFQEMTALALFNWGNVHMSRARKKVYLTEEDSSKEHMCEQIKSSYEWAQKEYAKAGEKFEAAIKIKSDFYEGFLALGQQRFEQAKLSWYYALSSNIDLPTWPSTEVLHLYNNAEENMEKGMLIWEESQEQQLNETSTTGLDGLFENMSSDETAVLAANMRSQINLLWGTMLYERSLVEFKLGLPVWHESLETAIEKFEHAGASPTDVAVMLKNHCSNNTAVDGLGFKIDEIVQAWNEMYEAKKLQKGVSSFRLEPLFRRRVSKIYHAFELV >RHN55871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24911541:24911963:1 gene:gene31149 transcript:rna31149 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTSCLRHTLRHYSTTPLTSKEKSRSTLRLLNSETNPETILSICRAASLSPDSHLDRLALSTAVSKLTAGKNFDILRQFLDEFLQSRSDLQNERFVSHTIVLYGQANMMNQALDTFKFMREKGFIATKIRLRGGRRRV >RHN66209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9737633:9743270:1 gene:gene14150 transcript:rna14150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MPRKRKQPIPGVLWRLFRNRARTLTETILSLLPSPHPSPDLCCCNGRICLGCCSDAKSFLVRPNDPVGYRKLLENCYVVVKENVNPIWFFIPYSHCSQFQIVNSIVELMGEVDPVSANVLCSGYDKSKCPNVELLSCPSWCLLLSRVGDDLMVYLLKNTSIFLPTSHGKHYQVAGPPISRLCFDKLNKCSSKFDNQQPSLHKSGAQKRKRSADIDDTTVQKQKRHISCHTDGPVGFGSNVGLTDKSSMQLGRHHGSRNYDVSVSEAPMSTRTVTVTRKAELEGKPDLNCITTKPGKRSRPCRWKRLRGKKLKQSTVEEDNLNMQCNLLPTNTDVLHANLQHDNTSLSCHEKMLRKCSCCLILHSLPAIPKWTDINRKYIFYNLESSLSVLPKKRIHQ >RHN52195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32588959:32589637:1 gene:gene36839 transcript:rna36839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor EFTs/EF1B MVSWNDGLYKSSLGKKAWQVQTRKQLDSYIHDSRISVLVEVNCETDFVSRGEIFIEHVDDITMQVAACPQVENVVTEDVPEEFVMIETEIGAK >RHN53261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:478752:482255:1 gene:gene28152 transcript:rna28152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MVFSEEEITRLYRIRKTVMQMLKDRNYLVGDFELNMSKHDFKDKYGENMKREDLVINKTKKDKPSDQIYVFFPEEAKVGVKTMKTYTNRMNSENVYRAILVCQTSLTPFAKTCVSEIASKFHLEVFQEAELLVNIKEHVLVPEHQILNDTEKKTLLERYTVKETQLPRIQVTDPVARYYGLKRGQVVKIIRPSETAGRYVTYRFVV >RHN69066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40752631:40759601:1 gene:gene17494 transcript:rna17494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-fatty-acid--CoA ligase MVDPNSSSSWLKSLNICETFGLKDHGELGTVFAIVIGIIVPVLFSVFFLGKKKGKVRGVPVEVSGESGYAVRNARYSELVEVPWKGAPTMAHLFEQSCKKHTHNRFLGTRKLIGKEFVTSSDGRKFEKVHLGEYEWETYGEVFARVSNFASGLLKLGHDIDSHVAIFSDTRAEWFIALQGCFRQNITVVTIYASLGEDALIHSLNETQVSTLICDVKLLNKLDAIRSKLTSLQNIIYFEDDSKEEHTFSEGLSSNCKIASFDEVEKLGKESPVEPSLPSKNAVAVVMYTSGSTGLPKGVMITHGNIVATTAAVMTVIPNLGSKDVYLAYLPLAHVFEMAAESVMLAAGVAIGYGSPMTLTDTSNKVKKGTKGDVTVLKPTLLTAVPAIIDRIRDGVVKKVEEKGGLAKNLFQIAYKRRLAAVKGSWLGAWGVEKLVWDTIIFKKIRTVLGGNLRFMLCGGAPLSGDSQQFINICVGAPIGQGYGLTETFAGAAFSEADDYSVGRVGPPLPCCYIKLVSWEEGGYLSSDKPMPRGEVVVGGFSVTAGYFKNQDKTDEVFKVDEKGVRWFYTGDIGRFHPDGCLEIIDRKKDIVKLQHGEYISLGKVEAALASGDYVDSIMVHADPFHSYCVALVVASHQSLEKWAQETGIEYKDFPDLCNKPEAVTEVLQSISKAAKAAKLQKTEVPAKIKLLADPWTPESGLVTAALKLKREQLKAKFNDDLQKLYA >RHN64988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63484635:63487387:-1 gene:gene27877 transcript:rna27877 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIYAPRAILNFHLYQDSSSTQQTYYSYKINNVNFINFHPAFPKLYGSPCSNIFLSSSFSWNCEKRHKCLQCGSHDSMSSNDEYRSSRNIAITLFRRYRNFTDRGGGDNLKDFITAGVNAYELGCTDEGLRKELTDMKDSGFEIEAMQSYGGSTSLKSKIISNEIDECILWLSIIFITILCTPPPTIVRWSSTTPVSDEVRLQWKGFCALIANAYFMKGMAWLPVKTLQLEQTAVMGEAETPSIVAGRMRLVFSTLEVVSPQWPRV >RHN65894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6284345:6287708:1 gene:gene13787 transcript:rna13787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MSTAINASTSAPAQRLLGKVAVVTGGASGIGESIVRLFHTHGAKVCIADIQDDLGQKLFDSLSDLENVFFVHCDVAVEADVSTAVSIAVAKFGTLDIMVNNAGISGAPCPDIRNVDMAEFDKVFNINVKGVFHGMKHAAQYLIPKKSGSIISISSVASSLGGLGPHGYTGSKHAVWGLTKNVAAELGNHGIRVNCVSPYCVATGLALAHLPEDERTEDAMAGFRSFVGKNANLQGVELTADDVANAVLFLASDDAKYISGENLMVDGGFTRTNHSLKVFR >RHN82378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53303046:53303369:-1 gene:gene6541 transcript:rna6541 gene_biotype:protein_coding transcript_biotype:protein_coding MDENNDDCYHCYGIRIGFLAAEACLLVGSTTSAYKTKYQGYYSTLGLSCSALRKGVFAVGAVLTLFSMLTSILYYWAYCKADIADFWMRHQNEDIEMDTRNHVPERQ >RHN43169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43703836:43708449:-1 gene:gene49705 transcript:rna49705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative filament-like plant protein MDRRSWLWRRKSSEKSPGETESSGSLSSLSERFSDEQVYPIQATLSPEVTSKLAPNEEVSTPKKYNEEGIDVKTLTNELAAALLEISAKEDMVKQHSKVAEEAISGWEKAENEVSSLKQQLDAARQKNSGLEDRVSHLDGALKECMRQLRQAREVQEQKIHEAVANNSHDSGSRRFELERKVAELEAQLQTSKAEAAASIRSDLQRRLEAVEKENSSLQLELQSRLEELEFRIAERDLSTQAAETASKQHLESTKKVAKLEAECRRLKSMTRKTFNVNDNRSLTASSVYIESLTDSISDGGELNEYEPSCSDSCSSALITEFDQFKNKKVTRKNHIATSTEINLMDDFLEMERLAALPDTESGSHYANGGRVLDQSIVGQGTMEAMIEKNAELEKKLEKMEAAKLDVEMSLTECQMQLEKSENRIRAAELKVEELQTQLALANKSNQEAYEELKETKTKKEIVESKLKFAQTEVEELISKIHSLEEEIQKERALSAENSIKSRKLEGELSRMKHEAQVQQDAKTLHKENINRDLKSKQDKELALATSKFAECQKTIASLGKQLKSLATLEDFLLDSDNSPMELTCEVTQSPQKGGEKLKLNHSDLSMPKRDSINSSITHEKSRNGFGKFIPRSKSVSRAR >RHN54780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12159046:12164801:-1 gene:gene29865 transcript:rna29865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MKSTSVPSSVGIVPEVLTFDNYERWSVLMKNYLMGQGLWDVISGDSAEAFLEENSEALKHLSNKKGKKFEATPDIEQGLVDAHQSVSEACQREVSFKMKNAKALHIIQLSCGRQIQEELCHFELAKDAWSHLSVVYGKTLKINLDKLQQDDDDIVSVKHKELFRMVERGDLIEDIKIDQNVYDDITSTSARTLLHIAVNAGNLKNVEMLVREGRDEFVTKQDRYGDTALALAACYNAKIDIVKCMVDSKMGQMLLMKHNTNGELPVHMAAGKGHKKMTSFLYSETPGEVFKKDSRYRVLLLDRCITAEVFDVALKLLKLYPDDLFHEASYGEINDEEQKEESNKFSTLVSLAKFKLHPNFPNRRFLQPAKYFIYNHLSLKQFEDNYGIPESEILEYIRLIYVDRSVHATSSSVKCWSAPGFLMVSKFLFLPIKLLDRLLHKFAYLLVKVFKYLDIFGTREIYARKYTLYEVVGIIKYLIQNLKGFNGLGLRQASAHEAMLYAAQNGIITLINAMRNANPYLLAVTDNSGRGILWYAILNRRRYVFQLIYSLNGLEKEMIKYRTDSVDNNLLHMAALLVPSSIRGGIWGPAMQVQREIQWFKAVEEVVHPMCKEARNEDGKKPYDVFIESHEELVKAAEKWTKDTASCYIAVASLVLTVMFAAAFTIPGGNNQQIGTPISLDQNTFKMFLLADSVSIITSATSVLFFISILTSSCHAIDFLKVLPVKLITGLTLLLFSVCSMMVAFYAALNMILKQNQTGSRVVVLGPILSLGSVPVFILLASQIRFISRVLYFTMKNRIKG >RHN79629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30741591:30742183:-1 gene:gene3446 transcript:rna3446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucomannan 4-beta-mannosyltransferase MEAFKVASEGVGMQLEFIWKNIREPLIVPMLRWTVYLCLGLSLIMLVEKVYMAIVICYVKLFKRTPEKCYKFKAIEDDVELGNSNYPMVLVQVPMYNEREVYQLSIGAACELSWPSDRIIIQILDDSTDPTIKVCYTKLFFFNPLQLKSFVLFPQFRLFKIVMD >RHN38931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2876437:2877162:1 gene:gene44908 transcript:rna44908 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHIAYGVATRLINRLASAAFDEFGRINGVMDELERLKNTVESIKAVLLDAEDKQELKDVLLPAVDLIDEFLIEDMIHKRDKADKNKVTQVFHSFSLSRAAFCHKMALEIEKIQKSFNDVVKDMSG >RHN52859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39619388:39619768:1 gene:gene37583 transcript:rna37583 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVVVVPLLFRSEIHGAGGHHLQFVLATVPSILVTTITLVRKPLRRPHHRRHRPPIPSDLNTPFSPPSMSPSIMNSSTSADHDLHRLSLPLSSTSHAEKIDWREERWW >RHN39323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6039106:6043661:1 gene:gene45333 transcript:rna45333 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEPKLKDSVSEKDVKAPNILERAKEEIEAVFHHDKSPRHDKETHGRNDDIDEGTSVEEVKAPGVFERVKEELEAVVEAIHPKKVSDTKDSPSK >RHN59566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12056381:12066295:1 gene:gene21612 transcript:rna21612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (N(6)-L-threonylcarbamoyladenosine(37)-C(2))-methylthiotransferase MEDIEDLLIGVATGAPPGFRSPLSSVTVGVATKKIQRSSLLPSPSPSIPGTQTIFIKTFGCSHNQSDSEYMAGQLSAFGYSLSDNPDEADLWLINTCTVKSPSQSAMDTIITKGKSSNKPLVVAGCVPQGSRDVKELEGISIVGVQQIDRVVEVVEETLKGHEVRLLTRKTLPALDLPKVRKNKFVEILPINVGCLGACTYCKTKHARGHLGSYTIDSLAGRVKSVVSDGVKEIWLSSEDTGAYGRDIGVNLPTLLNALVAELPADGSTMLRIGMTNPPFILEHLKEIAEVLRHPCVYTFLHVPVQSGSDAILSAMNREYTVGEFRTVVDTLTELVPGMQIATDIICGFPGETDEDFEQTVNLIKEYKFSQVHISQFYPRPGTPAARMKKVPSNVVKRRSRELTNVFESFTPYSGMEGKVERIWITDIATDGVHLVGHTKGYIQVLVIAPDDMLGSSALAKITSVGRWSVFGEVIETINPASDNKALNKQVPTQDMSSLCCNQTKTCEISEEPESCACGNVDSCCSQSTLVKTDNSRDTVVLPQNQNNKNLFGWILRKRKNLHKRVESELASGSDLKQERSMRKWDFVDKALLGGISISILTIIALLVCLRFSVLWSQ >RHN39770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10373154:10375058:-1 gene:gene45832 transcript:rna45832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific protein-tyrosine kinase TKL-Gdt family MEQFRQIGEALGSLKAVMVFRENIQINQRQCCLLLDVFSFAYESIADEIIQNLKFEEKNGKWKVLEHPLREIHKIFKEGENYIRHCLEIKDFWAKAITLCHNTDCVEFYIHNLLCCMPIVIEAIESASETSGWDQDEMQRKRLINSNKYRKEFRDMKLFKWKFGKQYLITQDLCNRYDTAWKEDRWLLFNKIHEKKVSDAATKYEKKLIDLLSRNSEVSESLEAKLLSSSILVCSKDYQVRRRIGNGSQYKEIQWLGEYFVLRQCSGDTDALESEIKELLSLSHPNIMDCLCGFTDEEKKECFLLMELMSKTLSNHIKEVYGPRKRLPFLLHVAVDIMLQIARGMEYIHSKKVYHGELNPSNILVKPRSTSPEGYLHCKVSGFGLPSVKDLNQKGNANQNGTLSFIWYSPEVLEEQEHSGGVSISKYTEKSDVYSFGMVCFELLTGKVPFEDSHLQGEKMSRNIRAGERPLFPLNSPKYVINLTKRCWHTDPNQRPNFSSICRVLRYVKRFLVLNPGYNRETDPPVPLVDYCDIESALLRKFPSWGSSELSPISNIPFQMFAYRVIEREKVRTCSRDFSESGSDASACGDELVTSGDEPFPSVTEKKSSLAPEIVNNRRLTTRKSLDMRIKQPG >RHN70809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54193917:54196192:1 gene:gene19430 transcript:rna19430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MLSLTPTSKLPSAKTLTFLIGRLEERNVSIHVFLTLIQKERKKGHLRLLCAKSEARRGAICGADCYYGTNVILNGKLPEVEAYNTRMKGADIDMSQGVSQMSTHESVEVYFAMTINKSQGQSLKHIGVYLPSSVFSHGQLYVALSRVTSREGLKILISNDDGEDDCVTSNVVYREVFHNLS >RHN49074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52257289:52259518:-1 gene:gene43863 transcript:rna43863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated domain, type 1 MADLLSELLTKFISLLPLSEIENETVSCFNIDDAVLGECLCMIVNYQTNEIDVWVMKEYGSRDIWCKLFTLVKSCFNFHLESLRPLCYSSDRSKVLLVTNHASYLYCMYILGSCFGMISTVNNLLMFKEFLLSMK >RHN81025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42712226:42718175:1 gene:gene5018 transcript:rna5018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase Clp MSHSSLLTPSSSLTLNSPLLSPTTGSSSHSLSLPSHPFLSGKLRKPVEFRKITRKSAVKAVYGDQFWGHERSSRQGIWSIREDLGVPSSPYFPTYAQGQGPPPMVQERFQSVISQLFQYRIIRCGGAVDDDMANIIVSQLLYLDAVDPNKDIVMYVNSPGGSVTAGMAIFDTMKHIRPDVSTVCVGLAASMGAFLLSAGTKGKRFSLPNSRIMIHQPLGGFQGGQTDIDIQANEMLHHKANLNGYLSYQTGQSLEKINQDTDRDFFMSAKEAKEYGLIDGVIMNPLKALQPLATAEEGKDRAIL >RHN55857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24777725:24782192:-1 gene:gene31133 transcript:rna31133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MAFKDSAKSELQEIAIKSSKNFPLFGTSLASIESLSMPKVHEVVLSADMQCEKCQKRVIDIITKMNVETESMEVNVLEKKVTLTFILPTVAKGITRQITPISRNHLPKVAITKRLFQSSLH >RHN75675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44226429:44229571:1 gene:gene11912 transcript:rna11912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative QWRF family protein MKARNTSPVNPRQQRRFLPSPSISIESTEFPSPSSVIRKSSIRSLEDDRRHKITAEESVLRRQLWPSSAKNNSGTLADHISEDRIIEQNEKNKHKHNKTSFPLSQKSHREIRTFENDQTRAIGGSGRFTGKLGSSSSLKKLNSNNGSGIVPGRFSLDENAKLFKRNSSITSSVDTESNDEALASPARKPAVDFPTRLMNDATLRRARRGASDSNIGNLNGDSLKPMMKRTNSITGYKSSTSQWALSPGRSEFSSPTKAKRVEKLLNFGFDFFKSKKSVGLNSPSNGFGNNEDVHKLRLLDNRLIQWRYANARAQIVNANISRHTESNLICVWDGLTKSRNTVMKKKIQFAREKLEMKKAFILYYQLKLLEAWGSMERQHVSTITATKECLHSAVCRVPLLEGAKVNIQFTSIAIRQASDVAASIKSMLTSFSPAVDQTAAILSELAKVVTQEKQLLEEFYDLLHNISVVELQESSVKCSLVQFEGWQRKYQLQDLLLGITS >RHN63011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47594647:47595189:1 gene:gene25659 transcript:rna25659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MTFFKESNDNEKASINKQKNLDDESHSIGEWLSLGTKGNIPLNQDSQSSKPLHNKKTYSCKPLHNKKTYSCNFCMRKFYNSQALGGHQNAHKREREAARNYQLSQNSLASRSLGIQPHSLVHKPIRERAMVEARLNNDADSFIGTTLAPLGLEHAGDFVWYGSFRMQESDTHELDLDLRL >RHN49323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54036523:54038801:-1 gene:gene44140 transcript:rna44140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MKNKFKDFSRLLSSIREEASSVPTSILILTTLAAVSGSYVFGSAVGYSSPAQSGITDDLNLGVAEYSLFGSILTIGAMVGAIVSGSLADYAGRRAAMGFSELFCILGWLAIAVSKVAWWLYVGRLLLGCGMGILSYVVPIYIAEITPKDLRGGFTAVHQLMICFGVSLTYLIGAFLNWRLLAIIGTIPCLAQLLSLSFIPESPRWLESTCH >RHN76829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1632374:1634273:-1 gene:gene208 transcript:rna208 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVFRLVENPQAESEVRHGKRKMLVHLPTGEVVTSYAFLERILIGLGWERYYDGDVDLYQFHKHCSIDLISLPKDFSKFNSIHMYDIVIKNPNVFHVRDKW >RHN58880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5681550:5682029:1 gene:gene20835 transcript:rna20835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MRAHITFLLFLIPFSLINSNSNNFLVNGYCHGHERSLLLLLKNSLIFNPKKSSKLVQWNQIDDDCCQWNGVTCVEGHVTALDLSQESISGGLNDSSALFNLQYLQSLNLALNVFRATIPQELHQLQNLRYLNFSNIGFEGQIPKEIFHLKRLVTSCPKT >RHN52939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40553707:40556403:-1 gene:gene37681 transcript:rna37681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxiredoxin MAPIKVGDVIPDGTLAFLDEENKPQSVTIHSLSAGKKVIIFAVPGAFTPTCSLKHVPGFIERSEELKGKGVDEIICISVNDPFVLNSWAKTFPENKHVKFLADGSAKYTHALGFALLVEDLKVKVANVEEGGEYTISGAEEIIKAL >RHN40882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23662853:23702783:1 gene:gene47125 transcript:rna47125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MCNLTSLIVDNCVELKYLFSSTMVESFMNLKHLEISNCPIMEEIIAKTERNNAGKKVNFLKLEKIILKDMDSLKTIWHYQFETSKMLEVNNCKKIVVVFPSSMQTTYNELEKLEVTNCDSVEEIFELTFNENNSEEVTTHLKEVTIDGLWNLKKIWSGDPEGILSFQNLINVQVVNCESLEYLLPFSVATRCSHLKELGIKWCGNMKLIVGEEKESSMNEDPIFEFNQLSTLLLFHSPKLNGFYAGNHTLECSSLRNIYVFKCTKLNLFRTLSNFHDDKHSVSTEQPLFFDEEVIPNLEELRMEQADADMILKTQNPSSLFSKMTILGLACYNTEEATFPYWFLENVHTLESLVVEWSCFKKIFQDKGEISEKTHPHIKRLTLNQLPKLQHICEEGSQIDPVLEFLECLNVSNCSSLINLMPSSVTLNHLTKLEITECNGLKYLISTLTARSLDKLTELKIKDCNSLEEVVNGVENVDIAFISLQILMLECLPSLVKFCSSKCSMKFPLLEKVIVGECPRMKIFSAGDTSTPILQKVKIAENVSEWHWKGNLNNTIYNMFEDKVGFGSFKHLKLSEYPELKELWYGRLEHKAFRSLKYLVVHKCDFLSDVLFKPNVVAVLMHLEELDVEDCDSLEAVFDSKDEFAKEIVVQNSTQLKKLKLSNLPKLKHVWKKDPHYTMKFENLSDVSVVGCNSLISLFPLSVARDMMQLQSLQVSKCGIQEIVAKEEGTYEMVKFVFPHLTSINLEYLTKLKAFFVGVHSLQCKSLKKIKLFGCPKIELFKAEPLRHQESSKNDVLNISTYQPLFVIEEVAFPDLDALKLSSLLHLNQIWDDNYQPMCNLTSLIVDNCVGLKYLFSSTLVESFMNLKHLEISNCPIMEEIIAKTERNNAGKKVNFLKLEKIILKDMDSLKTIWHYQFETSKMLEVNNCKKIVVVFPSSMQNTYYELEKLEVTNCALVEEIFELTFNQNNSEEVMTQLKEVTLGGLFKLKKIWSGDPQGILSFQNLINVQVISCASLEYLLPFSVATRCSHLKELGIKWCGNMKDIVAEEKESRVNAATIFEFNQLSILSLLGLYKLNGFYAGNHTLACPSLRKISVSRCTKLKLFRTLFTRSSNFRDGKHSVLTEQPLFIAEEVIPNLEVLKMAQADADLILQTQNSNSLFSKMTFIGLSDYDCEEARFPYWFLENVHTLESLLVEWSCFKKIFQDKGEISEKTHTQIKTLMLNELPKLQHICEEGSQIDPVLEFLEYLDVDSCSSLTNLMPSSVTLNHLTKLEIIKCNGLKYLITTPTARSLDKLTVLKIKDCNSLDEVVTGVENVDIAFMSLQILMLECLPSLIKFCSVKCFMKFPSLEKVIVGECPRMKIFSAGNTSTPILRKVKIAEIDSEWHWKGNLNDTIYNMFEDKVGFGSFKHLKLSEYPELKELWYGRLENNTFRSLKYLVVHKCDFLFDVLFQPNLLEVLMNLEELDVEDCNSLEAVFDLKDEFAKEIVVQNSSQLKKLKLSNLPKLKHVWKEDPHYTMRFQNLSDVSVVGCKNLINIFPLSVAKGMLQLQSLRVSKSGIQEIVAKEDGTEEIVKFVFPQLTSIILKHLPKLKAFFVGVHSLQCKSLKTIKLFRCPKIELFKEEPLRHQESSKNDELNISKYQPLFVIEEVLANVENLNLNNKDFDKILQTQYAGVQFNNLKHIAVCEFYNEEANFPYWFLKNVPNCESLLVQWSLFTEIFQGEQTIRMEKETQISPQLKQLKLLHLSKLQCICKEGFQMDPVLQFLESIYVYQCSSLTMLVPSSVSFSYMTFLEVTNCNGLKNLITHSTAKSLVKLATMKIKMCNWLEDIVNGKEDETNEIEFCSLQTLELTSLERLSRFCSCSCTIMFPLLEVVVVKECPRMELFSFGVTKTTNLQHVQTEEEKHWVGDLNGTIKKMFVDKVAFGSFKHLKLSEYPELKELWYGNLEHTAFRSLKYLVVHKCDFLSDVLFQPNLLEVLMNLEELDNSTQLKKLKLSNLPKLKHVWKVDPHYTMRFQNLSDVSVGDCESLISLFPLSVARDMKQLQSLRVSKCGIQEIVGKEEGTNEIVKFVFPQLTSITLHCLTKLKAFFDGGHSLQCKSLKTIKLFRCPKIELFKAEPLRHQKSSRIDELNISQYQPLFVIEEVLANVENLNLNNTDFGKILQSQYSGVQFNNIKHITVCEFYTEEATFPYWFLKDVPNLETLLVKWSSFTEIFQGEQIIGTEKEPEIIPQLRKLTLWNLSKLQCICKEGFQMDPVLQFLESIYVYQCSSLTMLVPSSVSFSYMTFLEVTNCNGLKKLITHSTAKSLVKLTTMKIKMCNWLEDIVNGKEDETNEIVFCSLQTLELISLQRLCRFSSCPCPIKFPLLKVVVVKECPRMELFSLGVTNTTNLQNVQTDEGNHWEGDLNRTIKKMFCDKVAFGKFKYLALSDYPELKDVWYGQLHCNVFCNLKHLVVERCDFLSHVLFPSNVMQVLQTLEELEVKDCDSLEAVFDVKGMKSQEILIKENTQLKRLTLSTLPKLKHIWNEDPHEIISFGNLHKVDVSMCQSLLYVFPYSLSPDLGHLEMLEISSCRVKEIVAMEETVSMEIQFNFPQLKIMALRLLSNLKSFYQGKHTLDCPSLKTLNVYRCEALRMFSFNNSDLQQPYSVDQQPLFCIEKLSPNLEELAVNGKDMLGILNGYCQENIFHKVKFLHLQCFDETPTILLNDFHTIFPTVETFQVRNSSFETLFPAKGATSYLSMQMSNQIRNLWLFELDKLNHVWQEDFPLDYPLLQYLEELCVVNCPSLISLVSSSTSFTNLTHLKVDNCKELIYLIKISTAKSLVQLKALNITNCEKMLDVVKIDDDKAEENIVFENLEYLEFTSLSNLRSFCYGKQTFIFPSLLSFIVKGCPQMKIFSSALTVAPCLTSIEVEEENMRWEGDLNTTIEQMFIEKSHFLINILNDETIIYPHICKDDAQAQETCEK >RHN42898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41787566:41795322:-1 gene:gene49400 transcript:rna49400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative enhancer of polycomb protein MSRLSFRPRPLDIHKKLPILKSFKDFEDDEQPTSTRNSQLLRIVPEVEHEAHVQHVPSKKLASEIPTPMFVYVDTYERDYSCTFVQPTSYLRARGVRAEIGEFVEYDLDNEDEDWLSEFNEEREILTPEMFESLLFKLEVLDHKARERAGLLTATLGSPIPVLLRFETAIESLQAQTVKYTILQSVYEYWKEKRIRWLKPILRRLQPAPAVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLDQAKSLLEALIKREEKKREVIESEVTLQRMQMKYKHETEFLEDNLALSGFTPFSSKLVSSEEEFFDSDDVMTSRLPRTRSNAVQNFHPYDSNMPMVPTVNTKQDLKRRLVPHGWPQKLDPLEPVLLFAKPLLPDKLAMAGILPPDPITKSGVSAQPCTFRGRMGRGGRIIFDRLNPLMQTPIDCGNSYYIPPKPRPSTYN >RHN48383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47120910:47121947:-1 gene:gene43095 transcript:rna43095 gene_biotype:protein_coding transcript_biotype:protein_coding MINNHGDAFDDASWLTRSLSQPSSSSSSPPRPPPSEYTPLTSTTHLSADTQTILNLKKMKKHVDPSWLTLSQPSSSPPLPKPFPSEWTPSSPPRPPPFEYTPLTPSTRPIDPQTFFYSELITSLPRPPNFDSNPNNLALIPKLPFHYHQEQEQDLSPPTNKVLGRRQRILPKHQPVKKRRLTKSMAVPPPFPWATSKRAILHTLDHMLSVVKLNTISGTLECKVCKFQQDIQFDLVENFEKVTRFIEERRNEMCDRAPVEWMNPVIPNCKSCGQEKAMHPLMTKKRNINWLFLLLGQMIGCCNLDQLKYFCKHADIHRTGAKNRLIYSTYFGLCKQLQPNTPFLP >RHN73717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19288213:19290053:1 gene:gene9571 transcript:rna9571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MSLNWGVRKCIIDIFYLNKLNILAILMEYTLTNTHSFVAEAYGLPFLPAYKTLTKGQDVTKGVNFAFAGSTALNYNNYLNKSRILVPASNYSLGVQLKMFKEFRNSTCKSKKDCRSYFKKSLFLVGEIGGNDLSSHISQNFSNFRNVVPLVVAAITKATTTLIKEGAVEIVVPGNFPIGCGASLLALATGYGNKTENYDEFGCFKAFNTMAEYFNDKLIYSINTLRENYPNVKIIYFDYYNAAKRLYEAPEQYGFDKSKTLKACCGGPNTTVCSDPSKYINWDGPHLTEAAYRQIAKGLVEGPFANPPLKPASFKIA >RHN48919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51139240:51150122:1 gene:gene43687 transcript:rna43687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MELWNDGLSEPEIGSSVESFQRFLASQRELVHSQIDQFQNIIVTQCKLTGVNPLAQEMAAGALSINIRKRPRDLLNPKAVNYMQSVFSIKDAISKKESRETSALFGVTVTQVRDFFTGQRSRVRKLAQLSREKALKSNSCAESLDMQINPDPVRTINPAPLNSAGAINMEEASCPTQQTALSDLDELDKQFVENIFGLMQKEETFCGREKLMEWILTIHNFSVLLWFLTGGGAMTLANWLSKAAVEEQTSVLLLILKVLCHLPLHKAIPAHISALLQSVNRLRFYRTSDISNRARVLLSKWSKLLTRNQAIKKPNGVKRSGDGQKETRLSQSNGQLVGPESWHFDVPEDVLALSNEFSDDFRKLESQSVKLLLPSSDDCNKKHPLGASSSQARERRKVQLVEQPGSVSRSPQTARTGPVTQSRPMSTDDIQKAKMRALFMQSKYKKTASIKENKEAKINSPSKSLTNQGSIAVCSSKVPAPLKIEDKKPLLHPPKTTNRLEASYSKLKMDLKEPLWEKCKRVKIPWKSPAEVKLEDTWRVGAGVDSKEVHVQENRNRRDKETIYKTAQEMPSNPKEPWDVEMDYDDSLTLEIPIEQLPDCDDVEMDASDQVATHAAVQGVASSSSASNAATAQPDMQLLAVLLNNPDLVFALTSGQVGNISDEQTLKLLDMIKSGNVNLGLSEIANGNYGAIARAPEKVEVSLPSPTPSSDPSTNGCSIEAPKNPFTRQNLATDRRIFQSPASIATTNLSSQIPATNTAVRQQHTVIPSSKQLTGTTVSQYSLPKATNIIHEKQPVHSSAYAQTQFSDRGLAMRNTITANVSSVGAHSPLAIRADGISNIKPILPNSNIQEGSSNSFQQPTSRSATQQQRHTHMMPHQPHFAEPSYQNPVHPYQPQFERSGPVSDLRRVRQDIPPIYHSQRNHNTMVGGSMQSGSWDTNNHGREGYESWSPENSPTRNPPRYAPGRNLPESRNHVRNHRPEWSRQRGSSGHWDPGRHENRKWHDQRR >RHN63939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55184306:55184533:1 gene:gene26698 transcript:rna26698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasaponin III rhamnosyltransferase MDIPLNMNKFLELAYLLKDDIIEILKTSKPDWVFYDYGTVWLAPIAKSLNIASVHYSITPACNICIQNYSIIWRR >RHN80489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38547960:38552797:1 gene:gene4424 transcript:rna4424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEMAMSFSLRNKLKTLCAYGDGWSYAIFWRFHQRNPMLLNVEETYYEEQLGEEITKIVPQVHLLGEGIVGEAAFTGKHSWLHSVSSEDDSGLYQQLSSGIIKTIVAIPVNACGVVLFGSRNKILETTDFLDQTQSLLKEINGIDMIDGSGNEVLSMDFTNDDLNGLLASISSKNSCDWNLKYAHEENHKDLREVFSSFEEGITSVEFDSSSFNDQFKDTIEAFLQPNSSMNNLISKSTSLFGEKSCFESLEQQFVSEIMAQEVADVSTSFASSIVQDTELTSLQNMDRVSFQDKHSSQSDVVIEVDLPSSSKTLLQGISNTLELVDMSEEFLKFSSMDDLCQWFAPSSEDTSICRKMIQLDNTLSESIEFNPDCTDLGLAEKETSVVIHNSENGFLDSTEFDLGCDQGSEWWGNLLTPVVSADTDNTGFSECISELNTGTPTDNTRKRLFSELGIEELLRGEAIYNNPFNSSNFENELLSSNKKQMVELSPLNQTQVHFANLDGTETRPNLMHSVSDLDKSNSVITRKDTLPKLQVGMLVNDRNSINLKRAVPVHPRKLDEPAKPNKKKAKPGESTRPRPKDRQQIQDCLKELRGIIPHGGKCSIDSLLDRTIRYMLFLRGVIKYADILQEPNETKLIEQANEVVPKDSNVAHNKNHGATWAYEVKNQTMVCPIIVEDMKSPGQMLIEILCEDQGFFLEIVDIIRGFGLNILKAKMETRKKKLWAHFIVEANRHVTRIDVFWSLINLLQQPNTAAIDSSNKHCNTIN >RHN56388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30490843:30492188:1 gene:gene31780 transcript:rna31780 gene_biotype:protein_coding transcript_biotype:protein_coding MMFEMFLTCKHLGISTMQVYAGLVDTPCDETRVEPPYIEPTPSSYPHSMPSSYPFIETQTSHHFMPSSSAHRPSEPTHNIDLNSPFHLHTPNDVVRANVVANVHNEEVGDFSEKEDDILAQHAINDDEDKDMCFFDPPIHFRNVNSNDT >RHN72488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8042068:8045525:-1 gene:gene8207 transcript:rna8207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, MYND-type MDLHLKSLFDRYQEQFGCGPGLGPGSGTCLMKIDGIVTNFIRSIYKASAALYRTEPWKRLRPGHYFGIRVGKDSDWSAKKQPFPCVQFVGGDGGDIGFYMYRSESDAKKMTGSRETVRVPNVEVLRVTFEVESLMFPSNRKMIKSLSLEVSGSDRFPVIDVARCTVAGDLRFRNPTLDELRFVYAFMKAICMVHPLLLVDRDGGSKYSTMVCFEPFIETVDVQWPPEVTKGGYDLVAVTVSHPPGQAYDEKSSSVSAGSTPTKYVEPPREDTFSDAIGYSSTGLRQCAMCEREVFGEQSICCGRCRAVVYCSSICQKQNWNDTHRSLCGLYKAMMEREEELAINIFLFPCSADQPCKWLESLDIHQKGMWRRKCSCYSHCPFGLLPVKGGIHEQWGELDDIEYPHDSSFNNHFVSSPLLLSGWSEYYNLRSLPFSSPVADILSHPLTVYHILTTLNISSKNLILKGKEVIIHYLGPEGELDWMPAFAEVGHLLNGLGNVQIVMVGPEVPTNLSGTTSGIGSRVRVNLVRGVYQVEASYLPSPHVVIGLNSRLENYSSWSGALDLIKSIGVPAFFTDQSEVACVNAKQVLRNAGLHVTHPVTPNPFRSPVKNLMPSSNLPSYSNGFVFGVNT >RHN53690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3370972:3372475:1 gene:gene28623 transcript:rna28623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MFSSGVALAFHVPVNILLSKTMGLRGVSMAVWITDLIVVVLLVIYVVILENRKVLAWKEGGWWDQSIMDWIRLIKLSGSCCLNTCMEWWCYEILVLLTGHLANARQALGVLAIVLNFDYLLFSVMLSLATCVSTRVSNELGANQADRAYRSARVSLGLGFITGCTGSLVMVAARGIWGQLFSHDRGTINGVKKTMLLMALVELFNFPLAVCGGIVRGTARPWLGMYANLGGFYFLALPLGVVFAFKLRLGLVGLFFGLLTGIVVCLSLLLVFIARIKWVEEAAKAQILASNDQVKEVPCDDAEVPTEARENDKV >RHN60083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:19189545:19195350:-1 gene:gene22298 transcript:rna22298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CAAX amino terminal protease MSVTGWLQPVYVKFPTQLDRLVVKHSYSQKNTNRSSELGSKRCHCKRKEQDTPFYSVLREEDSPWQSGDVWTNLVLYLFTLHIPLSFGGFSVVAFLTGQQPLLHPQTQAISLLTIQVLEFNAALVVLKSTAKPQYKFSSFFENNKLLSDRNWFLSSALGFGFIALLVCLTSLLADRLLGFKTVSNPTLKEILLHNDISRVCCVTAYCIVTPLLEEVVYRGFLLTSLSSTMKWQQAVVISSVVFSAIHFSSENFVQLFIIGCVLGCSYCWTGNLKSSIVIHSLYNALTLFITYFY >RHN54329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8746635:8747789:1 gene:gene29344 transcript:rna29344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MLSSISLPSSLYAAGNFSGTTVTPPPCRVRSKPIITTASSTSTAEPRSTWTTEQQRPSYLNMSSFSHSSPGSHYDILGISAAASNQEIKAAYRRLARLCHPDVASVDRKNSSADDFMKIQVAYSTLSDPDKRANYDRSLFLRRQRPLSSSAIISSGYSGRKWETDQCW >RHN55062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14826396:14827449:1 gene:gene30188 transcript:rna30188 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYFFHQLYQAYVIANIYSKFARRCRGLKATQVGIIHGSLKHHQHYILLLYQIVLFGLFLPFNCKEKTRLHLILCWI >RHN40973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25205155:25211673:-1 gene:gene47230 transcript:rna47230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diacylglycerol kinase (ATP) MGDGNCIRTLKEFSIPDYILIPGTENRGVTHLPACPVVVFINTKSGGQLGGELLVTYSSLLNQNQVYDLGVHAPDKVLHQLYANLEKLKHNGDHYAAEIQNRLRIIVAGGDGTASWLLGVVSDLKLPHPPPIATVPLGTGNNLPFAFGWGKKNPTTDIHSVASFLNHVKAAKEMKIDSWHIIMRMKAPKEGSCDPIAPLELPHAMHAFNRVSATDKLNLDGYHTYRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLYNQSAYLKLGCTQGWFMSSLFQSSTRNIAQLTKVKIMKRHGQWEDLHIPRSIRSIVCLNLPSFSGGLDPWGKPNKKKSVYRDLTLPFVDDGLFEVVGFRDAWHGLVLFAPNGHGTRLAQASKIRFEFHKGAADCTFMRVDGEPWKQPLPKDDATVVVEISHHGQVSMLATPLCRSKSMHDDNPPSPSIDHEEDDSSDDEFDESSEERRKFGAAETFKYTEGVDMSHLS >RHN50102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4079999:4081366:1 gene:gene34355 transcript:rna34355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MAPTRKGTDRISDLPDEILHHILSFLPSSQIALTSLLSKRWNPLWLTIPNADRISALRVELLCRILSRLPTKQIFVASLLSRRWRLLRQRILDINLDDMEGDHNQDTYILYSEAHSSATPIYLPNNILCSTLVVLNLNGNGVLTIDCVYESYTNLPNLEKLHMTKVHFLKLKYLIQILSVCPLLEDLLIKNVTTNDDNDTLDALTKQRDKLLKPFPKLLKAHISDSSSISSFLPLKLFYNVEFLRAQVAVQTPLKLFDYVAPVQTSEQQDTTQFFNLTHMELSFEKEDEEYYHWDWLKKFIRACPSLQSIVIHKIVGGGVGYGLSGDDHNSLHPQFVPNCNAFDHCNKSSLLEILEARKVSFTEISSSK >RHN70958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55409209:55411470:-1 gene:gene19594 transcript:rna19594 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEFYDGDFMEELMALRRETYEITNPSQEENQFFSSTSNLSFDNCFEQNSLPYLPNSSCTQQVPQSYNNNDHYSNTFNEIYGSLLDESSTPPQILDSYYNNSIDTPFISQEDFSLSMMGEEDQGLLDDELQNLELQTTCKMEPIQSPEMPVVFNTGNGMERKNRSKKLQGQPSKNLMAERRRRKRLNDRLSMLRAIVPKISKMDRTAILGDTIDYMKELLEKIKNLQQEIELDSNMTSIVKDVKPNEILIRNSPKFEVERSADTRVEICCAGKPGLLLSTVNTLEALGLEIQQCVISCFNDFTMQASCSEELEKREMLSSEDIKQALFRSAGYGGRCL >RHN47741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42140613:42141825:1 gene:gene42376 transcript:rna42376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MGQSLKKLTPGTDEKKAKELGPIIDKFYEKDIKNASRDFPEFYRGVCEIVEELNKKFGYTQFKLPGTKELEKAYNEHHKGKNKELTKAEFQEIMKEMIKESGFTGVGAKEALLYIFGVPVTALFVKQRVGPQAIPNEFFIPGITSVTVLILAALNKI >RHN59674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12617733:12618206:-1 gene:gene21752 transcript:rna21752 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps7 MSRRGTAEKKTAKSDPIYRNRLVNMLVNRIMKHGKKSLAYQIIYRAMKRIQQKTKTNPLYVLRQAIRGVTPDIAVKTKTRRVSGSNKKVPVEIGSTQGKALAIRWLLGASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKQETHRMAEANRAFAHFR >RHN50504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7733714:7734038:1 gene:gene34796 transcript:rna34796 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIQVIFISLPTLFTAPSFSCSIKTMTNFEFPTNFSNELPNDIVFCGKVITRKTETQVNKDNTTVVAGIRSLSVKENRYRRSSSKSYTVILK >RHN65836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5716983:5717637:1 gene:gene13728 transcript:rna13728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MATKSDKVSNSNYISDDISFSILSKLPLKSLNRFTCASKSWSLLFQNPNFMQLFRTNFIFMHRSLYDHTFLILYIKEILPHPQDGSNLYLLSAEEFKEFIALWNPATKELENVPSNPAESLPFNTVWFCLHGYGYDPINDDYKIIRRVYVNQYKPHDDVDWTYLPTIPRPFWEIYLLEET >RHN77689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8671771:8675199:1 gene:gene1170 transcript:rna1170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-beta-hydroxysteroid-4-alpha-carboxylate 3-dehydrogenase (decarboxylating) MHLSENEGIEGKSFVVTGGLGFVGSALCLELIRRGAQQVRAFDLRQSSPWSHLLKLKGVNCIQGDVTRKEDVERVLRGADCVFHLAAFGMSGKEMLQFGRVDEVNINGTCHILDACIDLGIKRLVYCSTYNVVFGGQKILNGNEALPYFPIDRHVDPYSRSKSIAEQLVLKNNARTLKNDTRNHLYTCAVRPAAIYGPGEDRHLPRIITMARLGLLLFRIGDKTVKSDWVFVDNLVLALIMASMGLLDDNNDKGKRPIAAGQAYFICDGSPVNSFEFLQPLLRSLDYDLPKRSLALEHALVLAKICQGVYTILYPLLNRWWLPQPFILLPSEALKVGVTHYFSYLKAKEELGYVPMVTSREGMDSTISYWKQRKRQILDGPTIYTWLFCVVGMTSLFCAGFLPDMGIMFLLRAICLFVFRSMWMTRLVFIIATAVHFIEAIYAWYLAKRVDPVNARGWFWQTFALGFFSLCFLLKRARE >RHN64570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60114506:60114837:1 gene:gene27398 transcript:rna27398 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVCTQTFSKSPTQQLRLNHFLQRFNIDKWMSQICNLSTCRKHFDAQHVEDAFYFFVIIVYHVFYLVNYKLYLFYFLN >RHN71507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:625873:627380:-1 gene:gene7116 transcript:rna7116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MITAYGHSRGSCVYGAFNIASIMQQQGLLPNQVTLVSLMDAAAKLVALQEGRAVHGYAVRRGIGLGDDVFETTLLDMYHKCAGCVGLAASVFAKMDARKRTKVGSWNTLIAGYLRNGQALEAFELFRRMMCRNILPDLLTLANVIFCCVELNYLHRGKSIHGYMIMMGVELDLVASTALVDLYCKIDVTKARMLFERLENKDAVVYNVMMSGYLENNLPAEALNVFCEMVKMNVSPNVALFINLISAVSKLRDIRLVRSIHGYVLKHMHNMSVEIANQFIHAYAKCGYVVDEREVFNKMRTMDLVSWNSMIMGYVHNDHIDEAALSQLGCLSFVKEIHCFSYRFVSYNLQRHTIWSKACPQHTALLQCLLCFLLADYMGDTDIGEAIGKQILELEPHSSGAYALVSNICAQGGRWDEVAQIRAMTKNTEFRTTPGYIYIYIYIYIVHVWIDNEFGGYILKDNRINATTARANPTSLSLY >RHN53249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:380646:383835:-1 gene:gene28139 transcript:rna28139 gene_biotype:protein_coding transcript_biotype:protein_coding MANQLGNLVESIKSKVKSTLKMKKGKKPYIKMDKSASVKVEIRSRKARKLIEKTLKAADNNIPPTKHNLTI >RHN51191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14942404:14942948:-1 gene:gene35579 transcript:rna35579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAAVVSSPKIVVPAALTGTGRFETASLYVGDLERNVNEGQLYDLFSQIAPVLSARVCRDQMTQSSLGYGYVNYSNARDGGSCILVDGMHCDCVNT >RHN75860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45599270:45600247:-1 gene:gene12115 transcript:rna12115 gene_biotype:protein_coding transcript_biotype:protein_coding MCQRFCSKGRTAIFDGGLDWRHKQELDTKETELREVMDNISKQKKFESQMKELVNDLLFRHNHFENRTNEIESKEKQLDGRMKELKLKEDEFEGRVKEPESEKKHSESRQKELEAQEKQHEEQMKEFQSKEEEFRGHVKEFKTKKKQFEERWKELEFGLRHISSSQEQLLKRTPFIGNTLTSPYNNHCHEVHTTNIIPLASSAPIFITSPPTTQHIPQDVFHTPLEESSLPSFDIDVTRCVFNQAIVDVDNESQVFFDMAGFEDNEEFMDFAKDSERDCKRVLLFLVYRKFFWRPLMKKMVFLLWSKLGSVLVLLFLVQAGGRTI >RHN50673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9292996:9294243:1 gene:gene34991 transcript:rna34991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKRKRTCSLKSYSPNNLLTKSPEVSDSKCSYLPDECWEIIFRFIHKDGFKQRCLNSLSFVSKEFLSIIDRRRFSLIVKDATGPFLGRLLKRFTNLNSLDLSNYNGDLDMLLHKISPFPLKKLTSLSISNQHTFPANGLRAFSQNITTLASLDCSNMFLYNNDLLLIADCFPMLKELNLGHPLVNNQTNFINGIHCMLSKWRCIQHLNLRCTYFLNDEHVSELSWFLRDLLSVNLRDCWMLTELALYSLVRNCPSLSEFKMEYTAIGKESVGNSSVYPQLKSLYLGRNLRLTDEKIVILASFFPNLQLLDLNTCNNISEGICQVLRRCSKIKHLNLAHCSRVKLLGMNFVVRQLEVLNLSDTKVDDETLHVISKNCCGLLELLLKDCYYVTNKAVKHVEENCTQLRLFSNRGCLLC >RHN41180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27904060:27904888:1 gene:gene47471 transcript:rna47471 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVQKWRDALGEVANHSGWDCSINRTEAELVEEIAMDVLQKLNRVYVGDLDHQITKLEQLAQLQLQYYKSIDTYENQISHEATVQCITELKMKRSIRMLRLTREMLSYMEDSEAYEKLF >RHN58961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6243231:6246503:-1 gene:gene20931 transcript:rna20931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MTQMMKNSIPWPTIMVVISWYTSNIGVLLMNKYLLSSYGYKFPVFLTMCHMMLCSVFSYVGISVMDIVPLQNVQSKNQLFKICGLSVVFCFSVVCGNMSLNYIPVSFNQAIGATTPFFTAVFAYVVSRKREAWVTYATLLPVVAGVVIASGGEPSFHLFGFIICVASTAARAFKSVLQDILLSSEGEKLNSMNLLLYMAPIAMLVLLPATLLIEGNVLRITMELASEDIRIFWYLLLSSSLAYFVNLTNFLVTKYTSALTLQVLGNAKGAVAVVISILIFQNPVSMIGMLGYVLTIIGVILYSETKKRFT >RHN66530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13406968:13408464:-1 gene:gene14534 transcript:rna14534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling CW-Zn family MYQVLDFKENQMHRANFWLTPKNQNKDQKASSFLNNEVASLLDSISVSSSSSSSSSSSSWDEYKPVINKDNTSQLAPDPLLLKPMLCWQRKPPSPAPRLLPEVIAFTVQCASCYKWRLVPTKEKYEEIREYILQNPFVCEKAREWRPYLSCNVPEDVSQDNNLIWAIDKADIPQTPHGWQRLLRIRSEGSSKFADIYYIAPSSKKLRSMVEVKRFLAYHPEYKKNGVTPARFSFEVPTPLQENYMRKKRSHAKSVEPKQVTPLAWAGPEDGTNSNDPVSRPAKKQTTQSVLRNDAVIKTEGRT >RHN39888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11413129:11420669:1 gene:gene45970 transcript:rna45970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSETDMAVIKPEMMKPYVWLQTSDESIQQVEQEIAMFCPFICQEILQKGNGTSKNCAVCLPQQVSPAMLSLILDYCRFHQVLGRSNKERKAYDEKFVRIDTEKLCELTSAADSLQLKPLVDLTSRALARIIEGRSPEEIRDIFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYAKKRKELKERERIKNVEAEEEHVDERSVDDLLSFINGNDGDPKGIKTSKNKKKNRRKKDQQKNSSLKESSVPHKKAEVNGHNNRHQSSEADRPCETSSLFHTDYDPMIEFDDDDDDIDDDIDDPVLKAKIDREVEDFARRLNSDWPERIKDFLSSSQERKTMLFTTNGNGFLGRHTFLMIWTLLS >RHN44757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8163614:8164494:-1 gene:gene38918 transcript:rna38918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MKTTPNKFLLLHLTLLFLSSIYFSTSNADDVEFSCNANDKATLLKIRDHFGGPNGRLSDWDNGTDCCSDWSFVGCGKPLPGRITVVTISRGWGLSGTLPAEFGDLPYLNFLSLAEMPKVTGPIPNSFSKLQRLQKLDLGSNSLSGSIPTFLGQLKGLQEFDLSNNKLTGVIPASFGSLPSLSQFNVSFNQLCGAIPTGLSKFAKSSFDHNKCLCGAPLAAC >RHN50651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8847023:8847812:1 gene:gene34961 transcript:rna34961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MFAVYGDPIPVKELADHVASYIEKLKLADLTCRQGVIELPNCIYGVHDEAKDKDFELEMSWVCEESNRQHEKVRHGVLRVNLYFYVICD >RHN48786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50250060:50256190:1 gene:gene43539 transcript:rna43539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-BEL family MATYYHGGNSEIQSSGGGGADGLQTLVLMNPSYIQYSDASQQQQAQQPSHATAGNYVFLNSTAAENNSFSPHAPPSNTQQFVGIPLNHQQHQIHAHHDVVPSLHGFLPHVQYNQWNTIDPNTAARDTPRAQQGLSLSLSSQPARFVSMSGGSPSPASGVTTNNNNGNPGIQILSSKYLRAAHELLEEVVNVNSGVELGKKSGGGMSKVNIGESSGVGSGGDGSIIGGAEGSGKRSSELSTAERQEIQMKKAKLITMLDEVEQRYRQYHHQMEIVVSSFEQAAGIGSARTYTALALQTISKQFRCLKDAITGQIKATNKSLGEEDCFGGKIEGSRLKYVDHQLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYVEEMKENEQSKSNEDSSMKITSSQEKALTSETEPKGYNSTQDISTSQDTPLISVSRPQTSPLVGNVRNNSGFSFIGSSELEGIPQGSPKKPRNHELMHNPNSFIDVKHNEANNEELSMKFGDDRQNRDGYSFMGNQTNFIGGFGQYPIGDIGRFDSEQFAPRFSSNNVSLTLGLDSIQGNHQTFLPNQNIQLGRSIDISEPNEFGSINTSSPQYESINMQNPKRFAAQLLPDFVS >RHN52149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32020772:32032010:1 gene:gene36778 transcript:rna36778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MSKNQPKPLLPWKVRATISLLTVLTDASCRANGTVNRRLFNFFDLKSSPNATPVNGVSTKDVTVNAENNVWFRLFTPTVAGEVTGDGGATKATSLPVVIFFHGGGYTFLSPSSNLYDAVCRRLCREISAVIVSVNYRLTPEHRYPSQYEDGEAVLRFLDENVTVLPANADLSKCFLAGDSAGGNLAHDVVVRACKTGLQNIRVIGLILIQPFFGGEERTEAEINLVGMPFVSVAKTDWIWKVFLPEGSDRDHGAVNVCGPNAEDLSGLDYPDTLVFVGGFDPLIDWQKRYYDWLKKCGKKAELIEYPNMVHGFHVFPDFPESTQLIMQVKDFINKFLFPPQLIFQPPFLFLPQPFGNEKHIYSIISGHRDGTIASYSSSLFFGLVVASLLQRNIKLCLILRDLKKVKALIVIQGIVIKKFFTTFGVHWKQGARQELIQTSHAHVISVDAGCFSDGYTASGCVFNDYTGVTTFSACKKEQMTAEPTIAEALGIRWCMQHTKDQRIKEKKSLCSQMCYDMQVGNKSWFGYPLPLNDVIDIDHGWLLALNESKPKISMSTNKPKPVLPWKVRATISLLTVLTDSSCRANGTVNRRLFNFFDLKSSPNATPINGVSTKDVTVNSENNLWFRLFTPTVAGEVTEDGGSTKTTSLPVVIFFHGGGFTFLSSSSNLYDAVCRRLCREISAVIVSVNYRLAPEHRYPSQYEDGEAVLRFLDENVTVLPENTDVSKCFLAGDSAGGNLVHHVAVRACKAGLQNICVIGSILIQPFFGGEERTEAEIRLVGMPFVSVARTDWMWKVFLPEGSDRDHGAVNVCGPNAEDLSGLDYPDTLVFVGGFDPLIDWQKRYYDWLKKCGKKAELIEYPNMVHGFHVFPDFPESTQLIMQVKDFINKVSNSK >RHN53917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5308324:5315302:1 gene:gene28882 transcript:rna28882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGAPKQKWTAEEEAALKAGVVKYGAGKWRTILTDPDFSTILRMRSNVDLKDKWRNINVTAIWGSRQKAKLALKKSLPPPKNENNHHPTSTAIVQYNPEVAAPKPLSVSGGMSTSKEQISRLDSLIFDSIVKLKEPKGSDIAAIAAYIEDQYRSPPNLIKLLSTKLKHMVASGKLVKVNHKYRIATNSTKTSEKRRCSSLLLLEGRPKDSPKAEKTDANVLSKSQIDVELLKMRGVTAQEVAAAAAKAVAEAEAAIAEAETAAREAETAEAEAEAARVFAKAATKALKCRRLNIWEGRCLLLHG >RHN67473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27509837:27517669:-1 gene:gene15666 transcript:rna15666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA damage-inducible protein MKITVMTSDEQILNLDVDPNESVENVKALLEVETSVPIQQQQILFNGNEVGNSQKLSALGVKNDDLLMMTVSGAGAGAAASSGSTNDLSFNTDGSAINPAAFQQHFRRDSNLMGQLFQNDPELAQTILGNDLNKIQEILRLRHRQRSQLQRQKEEELALLYADPFDVEAQKKIEAAIRQKGIDDNWEAALEHNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKTCAERLGLLRLLDQRYKGMAHGVGQTEILGRIHVAPIKIGNIFYPCSFLVLDSSNMEFLFGLDMLRKHQCIIDLKENVLRVGGGEVSVPFLQEKDIPSRFLDEEKYSKEASSSGSPLPVASGSNNPSQGGVSSGASGDKSKDSEFESKVAKLVELGFERQAVIQALQLFNGNEEQAAGFLFG >RHN59720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12709584:12709841:-1 gene:gene21824 transcript:rna21824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II PsbL, photosystem II cytochrome b559 MTIDRTYPIFTVRWLAVHGLAVPPFFFWDQYQQCSSSNDKPNPNYRATTQSNPNEQNVELNRTSLYWGLLLIFVLAVLFSNYFFN >RHN80705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40257125:40260414:1 gene:gene4662 transcript:rna4662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WIYLD domain-containing protein MAPRGRPKKGERRMDAALDAMIPFGFPNKLVRQTVDQLLKVYDGSWVFIEEHAYTLLIDTLLEKQQNQNQNQNQDCLIEGNPGNGPNEASASGCSNRTLLLPCSSNMDASDDAPLPSQAVGTISAASETGHQLPMTVDTASATSKAVIELPIKPVNNSATTSQPSNQLSIMAADTETAASKTVNELPIKPVDTSSVTSQPSNPPSIKAVGTVSATNEINNQVPSKAIIETVSADNGYEIPQTKSSQPIGKLCHKKRRPCHGWISDDDEEELIELPALYKLVNGVPMKTKLVNGIK >RHN45365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17917412:17918437:-1 gene:gene39653 transcript:rna39653 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPVHISEEIISFVLRRPAEGTYKAGIKNVKTSPWNEIVHQTIFNSKEKGVYADLGMEIKMMMKIQNENLLPKGGGSDQPSLEHKIFLHLFIRHMIQQLRESQEKNRCWVPYGRLISEILYQGGILKAFSNVNFFTDEQLGTVTGKIINGGTLKNMKLISASDYKQLESDLKESHAISNLMENFSPICKKDPLDVQMNYIKDHFASTGTRISLQDVPENMYEGALPVAKSRKTKRKAISKDDYLEEAAEQTSKKAKKSQKEKASSELDVGSDMPTIQEEVQDLDAERVLNKRTRSGKEAASSQVASDQPPIPKKKRKRAIRKRRMAATASGEEEGVDVTH >RHN72204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5781561:5786758:1 gene:gene7890 transcript:rna7890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MIATEIIAPATKLKIKFSTKRIEVVSGPKCQFGEKVSQLDENGRCNPIKKSSLLGSNKREPSGNIEGPKNKRQKLDRKGSHKCATILKCLISHPYSWVFKTPVDPVALNIPDYFTVISHPMDLGTIKFKLDKNIYYSKEEFAADVRLTFSNAMTYNPPSNDVHLMAKELNKLFERKWKDMDKKWNFEDEHEKSETGMIKETVRKSYDVTQSRHKDSFTKSSRASEHKGIPKISSLATRDAKVEVPKLSQIPCKPLEKDLIKGSEDRETTTCGASALKQDCQAKCTSPLQRKSDPGSDGAVSSLDSEHACPSSPHATLATDASSVEVWNTPVLPVQLSPKRALRYAMLRSRFAGTILKAQQNTLLKHGDKGDPMKMQLEKERLERIQREEQARIEAQIKAAEAAERTRAEEELRQQIEKEREAARAAIEQMKRSVDIEHNLEVVKELESLSGCRLSYKAMGSRNDNRAAMERLDKLQFENPLERLGLFIKDDYIADEDEEILNEVGGGGVVGGSWEEGEIF >RHN50606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8535832:8536830:1 gene:gene34912 transcript:rna34912 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKAFGSKQTQSLTKIHVKQTLVWLGLLTKSPSFGAGDNNSRCGQWLPRRDGFTV >RHN55902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25267544:25268478:1 gene:gene31185 transcript:rna31185 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYPPPSQNTPLPPDGTVPVPWSWSPPMPTWPPPISSNGLASDDSSSSSSSTSTFGIGVGVAVGVLGVILLFAIWHKYLRKKSCCSSSSKC >RHN78223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12924567:12927060:1 gene:gene1757 transcript:rna1757 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MSFQQVPDLHRIILQDKKLRVPKKYVEKYWKGISNPIFLKFPNGIQQEIFWVERNGDIWFQKNWESFAKSLKYGYLLTFKFIGGSYFKVKIFGANTLEINYANIKYVDEGAKATEEGKGSDESSDAEATEKGEENDESCDEASDESVEMPKQAQRNGKRKVSMDFDTTQQKLSGSNKVAMVKKARKYQTSEAVNENPFFEITMTQSYANGYHLWLPCEFSREHLNDFKGTATVRVGKERTVKLSLRYYGTKKKHGISGGWKLFRQKYNLKVDDVCKFEMIGRRPVSFNVTITCAKNEPNPKKLPGHKKGVSCGNSVVKKEHIGGTSRSHPKVKEGPDVMKHNTFKVMVKNNAPSVPKEFMKRGCNENIVELKMGGKSWYVKVNYYDSICGCRFGKGWRQFMKACKVEIGDICLFKLIDERIFLFDVSIVGKNPLAMLL >RHN38591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:523387:524985:-1 gene:gene44546 transcript:rna44546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MERKTHIAVVSVPIVSHQIAISEFIKKLLNLHPNKFHITLIIPVLDSLSNASKSIIASLSSLNVDTIVLPPINLPPQTVPTLKLPLSMSLTMPYIIDALKTKTSKLVAIIADYFAYEVFSFAKKLNILSYTYFPSSATVLSLCFHSKVLDETISGEFKDLQEPIKIPGCVPIQGTDLPSSFQDRNSESYNHFLLRSKGINLCDGILVNSFVELESQAVKALIEESINVSHPPVYMVGPIIQQNCDNTQNESQCLSWLDEQKPNSVVFVSFGSGGTISQNQMNELALGLELSSQKFLWVVREPNDIASAIYFDVSNSKKDPLSFLPKGFLERTNKQGFLVSNWAPQVEILSHKAIGGFVTHCGWFSTLECVVNGVPIVAWPLFAEQRMNATILADGIKIAIRPTIDNVSGVVEKVEIVNVLKRLIVDEGIEIRRRMKVLKDAAANAMKVDGSSIITMSQLVTKWTKMEGFDEN >RHN68748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38238696:38240040:1 gene:gene17137 transcript:rna17137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA reductase MLLDKMIETSKKTDIQGRIINVSSVIHSWVKRHGFCFKDILNGKNYNGTRAYAQSKLANILHAKEIARQLKARKARVTMNAVHPGIVKTGIIKSHKGLITDSLFFIASKLLKSTSQGAATTCYVALSPKTEGVSGKYFTDCNESKCSRLANEESEAQKLWNNTHALIHKRLHQATNNM >RHN49383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54439674:54444102:1 gene:gene44205 transcript:rna44205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA pseudouridine(13) synthase MVLIPTFFFFWFNFTNPKRSYDTILQIKPARFRGKSSNTLYATAAARSSYHSLPHSLTMNSMEEHDVGITCYISNLPGFRGVLKQRYSDFIVHEVDLDAKVVELSSLEALAEESKLAAVESKSVQENKTDTPSETEVISYVSQIESFKSLAGDSDASSLEEFINQISAGGEDDISPITLSPDSDKSHRTAVHVFFRENFKFLVTDTVDGPDASIKCIRVRLNSGGSNNNGKNSRKRKERDTKPFDSRGSENWPENAGKFLRFHLYKENKDTQEALGVLGHMLGVKSKSFGFAGTKDKRAVTTQRVTVYKKQASKLASLNEKLFGIKVGDFCYVNEGLCLGQLFGNRFTITLRGVVADSVDTIKAAADSLGRHGFINYFGLQRFGSGSVPTHRIGAALLRGEWKCAVDLILDPRDGDILLLFL >RHN71826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2847349:2848568:1 gene:gene7463 transcript:rna7463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MLDVINILRCQKLVQNPVCKLINRYFHKEPIPVLWKKPKIGCTKLNFDGSCKGISGKASIGGVVRNHNAEFLLGYAESIGQANSTIAELLALRRGLELVLENGWNDIWLEGDAKTLVEIIMKRKKVRCMEVQRHMSHINSILLPEFKNCFVSHIYREGNRAADKFAQMGHLLDEPRIWRDVPPDEVLAIVLEDAQGKIVLRRKG >RHN48406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47268833:47269254:-1 gene:gene43119 transcript:rna43119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MAVKGVNREVVKLLLAADVARIMLPDKFGNTALLHVATGEKRVEVINILAAQL >RHN54310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8530331:8531097:-1 gene:gene29321 transcript:rna29321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MAIKKSNKLPQAEVIKQIVKRCSSFGKRQSYNEEGLPEDVPKGHFVVYVGENRTRYIIPISWLAHPQFQSLLQRAEDEFGFNHDMGLTIPCDEVFFESLTSMMR >RHN51422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17594168:17597002:-1 gene:gene35854 transcript:rna35854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSALRLSYFNLKLSLRPCFTFCAVFPKDFKMVKENLIQLWMANGLVTSRGNLQMEHVGNEVWNELNQRSFFQEVKSDFVELQNLQLGGKLHIKRLHKVSNEEDARKANLIGKKDLNRLYLSWGDYINSQVSSVDAERVLEALEPHSGLKSFGVQGYTGTQFPPWMRNTSILKGLVHIILYDCKNVRQLPLFGKLPCLTYLYVSGMKDLKYIDNDLHEPATEKAFTSLKKLTLSDLPNLERVLEAEGVEMLPQLLKLDITDVPKLALQSLPSVESLSVSGGNEELLKSFFYNNCNEEVASSSREISGNNLKSLCISKFAKLMELPVELSRLGALDNLKIAHCDEMESLSEHLLQGLRSLRTLAIHECGRFKSLSNGMRHLTCLETLEIYNCPQLVSLDNMNSLTSLRRLVLWESNENILDGIEGIPSLQKLKLFNFPSLKSLPDCLGAMTSLQVLDIYNFPKVKSLPDNFQQLQNLQSLRICGCPMLEKRCKRGIGEDWHKIAHIPQIELNFELQSDAEPTSSGEDDIATWMQVMSHVPTIDEDNLPAWAQYMKVLTINNPIIKEEKEWERVSKVLDYIRHKDFDSMIEDIDV >RHN77432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6835654:6841009:-1 gene:gene884 transcript:rna884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MNLNYYCVCKMPSSYFSRLGSILGKKIGIQDSAEILIGQGKLWFGSGSKFFHSVNKSSSFFVEATLFARPGVALDSSPEFVGKRRTLTVVDTLSRTFSVPSVSGPSIQVCSYHIDRALSGADKFQIKTMAARLPGMVVCEGSLDNTTLKRGWSLLSTKRSNSVYLNSGLRNGGKVRMCLSNRQQPDNGAIFGYFVCNAAKSWLSSWHYTQSGYGDLHSFSTSSNSAGPAHDVPVDTAAREEKQKNSADSSELKTPSGKTLKLVSGSCYLPHPDKEETGGEDAHFICSEEQAVGVADGVGGWADVGVNSGYYSRELMSNSVDAIREEPKGSVDPARVLEKAYSSTKAKGSSTACIIALTDQGLHAINLGDSGFMVIRDGCTIFQSPVQQHDFNFTYQLECSSHSDLPSSGQVFTVAVAPGDVIVAGTDGLFDNLYNNEITAVVVHGVRAGFSPQVTAQKIAALARQRALDKDRQTPFSTAAQDAGFRYYGGKLDDTTVVVSYITGSGDT >RHN78467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15401785:15410487:1 gene:gene2035 transcript:rna2035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MESNYELCDVFSYGVILWELFTKQEPWKEVYLYRVIYHVGEEDGRLDIPDIMAPDITNIIRQCLKKQVMKLLPMPCLILFFYVFVIATSPHAATKIQGSEADALLKWKSSLDNHSRAFLSSWIGNNPCGWEGITCDYESKSINKVNLTNIGLKGTLQSLNFSSLPKIHTLVLTNNFLYGVVPHQIGEMSSLKTLNLSINNLFGSIPPSIGNLINLDTIDLSQNTLSGPIPFTIGNLTKLSELYFYSNALTGQIPPSIGNLINLDIIDLSRNHLSGPIPPSIGNLINLDYFSLSQNNLSGPIPFTIGNLTKLSTLSLYLNALTGQIPPSIGNLINLDIIYLNDNELSGPFPSTITNLTKLSTLSLYLNALTGQIPPSIGNLINLDNIYLSRNHLSGPIPSTIGNLTKLGTLSLYLNALTGQIPPSIGNLINLDNIYLSRNHLSGPIPPSIGNLINLDYFSLSQNNLSGPIPSTIGNLTKLSTLSLYLNALTGQIPPSVGNLINLDNISLSRNHLSGPIPPSIGNLTNLDYFSLSQNNLSGPIPSTIGNLTKLSEIHLSFNSLTENIPTEMNRLIDLEVLHLSDNIFVGHLPHNICVGGKLKTFTAALNQFTGLVPESLKNCSSLTRLRLDQNQLTGNITESFGVYPNLDYMELSDNNFYGHLSPNWGKCKILTSLKISGNNLTGRIPPELGSATNLQELNLSSNHLMGKIPKELEYLSLLFKLSLSNNHLSGEVPVQIASLHQLTALELAINNLSGFIPKKLGMLSMLLQLNLSQNKFEGNIPVEFGQLNVIENLDLSGNSMNGTIPAMLGQLNHLETLNLSHNNLSGTIPSSFVDMLSLTTVDISYNQLEGPIPNVTAFKRAPIEALTNNKGLCGNVSGLEPCSTSGGKFHYHKTNKILVLVLSLTLGPLLLALIVYGISYLLCRTSSTKEYKPVQEFQIENLFEIWSFDGKMVYENIIEATEDFDNKHLIGVGGHGNVYKAELPTGQVVAVKKLHSLQNEEMPNRKAFTNEIHALTEIRHRNIVKLYGFCSHRLHSFLVYEFLEKGSLDNILKDNEQAGEFDWNKRVNIIKDIANALFYLHHDCSPPIVHRDISSKNVILDLEYVAHVSDFGTSKFLNPNSSNMTSFAGTFGYAAPELAYTMEVNKKCDVYSFGILTLEILFGKHPGDIVTYLWQQPSQSVTDLRLDTMPLIDKLDQRLPHPTKTIVQEVASMIRIAVACLTESPLSRPTMEQVCRQFVMS >RHN75119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39523666:39524708:1 gene:gene11278 transcript:rna11278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-disulfide reductase MATVTLAMSSFIILILFHTLSSSMATVQLESLPSYLLSAADASDGVAPITDETFGSIVPMSKNLVLVEFYAPWCGQECINIHSIMVELANDYAGKVDFYKLNIDENPYITNRYGIQNLPTVVFIKYGMQRDRLVGYVPKATFIELIQLSI >RHN69900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47193505:47195967:-1 gene:gene18432 transcript:rna18432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MQEFFFFIPRLSLTPSDVMIPFKFQRRQVPIAVSFAMTINKSQGQSLKKVEIYLQVMCFHTVSYMCQYQELPPEMD >RHN77185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4760878:4763429:1 gene:gene611 transcript:rna611 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSSLAIPSTSKFRVNPFRCQLRHFRRCSEIYLPNQTFYTRTTSIKASVADHNEPNEVKIQIGIMKEKLKEALPSPVQEFPWRKAQHTLLDRLHLLAQEALKWSSVEISLELFHQSEENDLKWCRLGLCGIFVLVKFMSTWFATLPGVFLLHVANGGLMQLLWYWRNFMEDAKIRQKMNTPSGLEA >RHN79166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24888473:24889476:-1 gene:gene2896 transcript:rna2896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MLRQRLLTSLRLRGGSGTGTSRWTSPGHEERPKGYLFNQTPLAPGESRKWEDWELPCYITSFLTIVILGVGLNAKPDLTIETWAHDKALERLRLEDAAAAANAVAVADDSDE >RHN43152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43600271:43606501:1 gene:gene49683 transcript:rna49683 gene_biotype:protein_coding transcript_biotype:protein_coding MALRCSSAAAVAIFKSCVSLKSHIFPPISLSFQNLNSHSNSSTIFCTATKSQKNSPSSSKKKTKKKKNTSVESDDWKNLNSNTDFELLRDFSVDNDVQNVVPGVSSGSSLFYPADMPLPEPPTGFAIDENGELLLTSANRLITIVDPMNNLPLDCVVRRTFKSSDRDECMLLCPVDTPVQILKNTPDGWSAIRDEEVESILPAAAYALAKIHMHLVYSGYCYTARGGFCYTEQDILDFHTDDGTEVDGLPSDGVEITYFDLEDTRYMIYTPSEPLQFVVVKGENGMFQMADDDLLDDPAVIDAIDEETEFNALVEEEAALIEAMMDESNDDE >RHN56716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33099906:33101279:1 gene:gene32154 transcript:rna32154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MCTRGQVLGSITFFPSFQPSLTSHNTDCSHCRQTSSLSWYCVAMERKSGLIPSPRAKKKAKTGKEKVDEVEIQELSPSFADLPFPIATDILLRLPIKSVIICKCVCRTWNTVISDPHFAKVHFERSPYGFLILTCDRRLVSRTSLTVNATTVI >RHN58499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2276623:2277589:1 gene:gene20418 transcript:rna20418 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPTYGSDLTTQPNTTFVQADPSNFRQVVQKLTGASQDPSAQKLPLTLPSRLTAQAQAQAHRPLPTTTMEPPMGLKKPNFKLHERRSKKLQLNVSENPMMFQNNNNNNNMRSVVMASPVSPLEYYYSPKSPHENEEKEEEKVIAEKGFYLHPSPRASQQQPPALLPLFPLHSPSSQSHS >RHN65943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6760268:6761931:1 gene:gene13844 transcript:rna13844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MCGGLPLAIKSLGQHLRKKFSQDEWMKILETDMWRLSDRDHSINSVLRLSYHNLPSSLKCCFAYCSIFPKGYRFKKDELIKLWMAEGMLKCCGSDKSEEEFGNEIFCDLESISFFQQSFDEIFGTYEYYVMHDLVNDLTKSVSGEFCMQIEGVKVHCISVRTRHIWCSLRSNCVDKLLEPICELRGLRSLILEGNGAKLIRNNVQHDLFSRLTSLRMLSFKHCDLSELVDEISNLKLLHLPSNFSKLINLRHLELPYVTKIPTHIGKLENLRALPYFFVEKQKGYDLKELKKLNHLQGKIYIEGLGNVIDPTDAVTANLKDKKYLEELHMNFCDRIEEMDESIVESNVSVLEALQPNRNLKRLTISRYKGNSFPNWLRGCHLPNLVSLELRSCEICSLLPPLGQLPFLKELRISDCNGIKIIGKEFYGNNSIIVPFRSLEVLKFEQLENWEEWLFIEEFPLLKELEIRNCPKLKRALPQHLPSLEKLKIVCCNELEASIPKAFW >RHN47908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43479103:43481940:1 gene:gene42562 transcript:rna42562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator A20-like family MKFKYKQNKRWKQSVQREKEEPFQYNRIKTLLSLCTFVLFIILLLFDFLDFIVIIIIYTVLSLRFERTVLQCQDRLTLPPRWSYCRRVMESHDEMGCQAPERPILCVNNCGFFGREATMNMCSKCYKDTLLKQEQEKLVATSVENIVNGNSSSNGKLAVTASAVDVRVESVELNTVSPEVPENPISNESVEMKAKTGPSRCATCRKRVGLTGFSCKCGNLFCSMHRYSDKHDCPFDYRTAGQKAIAESNPVIKADKLDKI >RHN57347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38280085:38280984:-1 gene:gene32875 transcript:rna32875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, protein accelerated cell death 6 MEIAKRIVHPNNNNTHYFGAPEAKFFLTEILQDETVLQSEETDIEIEPSEVTEILPGSIDNENQNELLNQVYRAGSRRDLSYSSLSPEIKTHTKNTVLHISAWYGNDKIVSLVIEHAPKLLFEVNENNESALHIAARGGHISIVEKLLAAYANFERHDIKTAWLEYTKRLKNYVERSNGENLLKFVALENVEGNTMFHEAMLCRDKKRIGGDKIFKACELYKIGDSSSKWCYEIALVNVNHAKQSILYLAVENGDKEAVKVIMANRPNNVAKPEGLSPVVAAIMKQNQGNKFTHMDKFQ >RHN64413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58853324:58858578:-1 gene:gene27229 transcript:rna27229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MILVENSFSDMRILSDLTHSLISTIKVANTFTLALDPNYFILVRKMDVFLSVLQFLCFFLLTITTSSLFIKASEINVESYESDRIIDLPGQPSTPPISHFSGYINVNEDHGRALFYWFFEAQSQPSNKPLLLWLNGGPGCSSIGGGAVVEIGPLLVNKNGEGLKFNPYSWSQANLLFVESPIGVGFSYTNTSSDLTILEDHFVAEDSYNFLVNWLQRFPQFKSRDFFLAGESYAGHYIPQLAELIFDRNKDRSKNPFINLKGFIVGNPETDDYYDYKGIVEYAWSHAVISDEQYEKAKQVCDFKQFRWSNECFQAMDQLFHDYSEIDIFNIYAPACRLNSTSTETKNGPESLKKVKNGYRMKRMRSFGGYDPCYSIYAEEFFNRVDVQLSIHANNKRGNSKIEWKGCNISIFNTYDISVFSVLPIYTKLIKGGLKIWIYSGDADGRLPVIGTRYCVEALGLPLKSTWRIWYQHNQVH >RHN45487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21352732:21353983:-1 gene:gene39832 transcript:rna39832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin protein, neddylation MKDLNSSFEFFMNLNPRIPEYISLFVDDKLRKGLKGVSEDVVEITLDKVMVLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGFQFTAKLEGMFTDMKTSVDTMKSFNANHPELGDGPTLTVQVLTTGSWPAQSSVTCNLPTEMSALCEKFRSYYLSTHNGRKLTWQTNMGTADLKATFGSGQKHELNVSTYQMCILMLFNNADRLSYREIEQATEIPASDLKRCLQSLALVKGRNVLRKEPMGKDVSEDDTFYVNDRFSSKLYKIKIGTVVAQKESELEKLDTRQKAEEDRKPQIEAAIVRIMKSRKQLEHNNLMAEVTQQLQSRFLTNPTDVKKRIESLLEREFLERDAVDRKLYRYLA >RHN55041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14651422:14656007:-1 gene:gene30166 transcript:rna30166 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGVPAADDGLNPVVRIVINNIVSKRFGLTKAEVSGLTIEEKARLIKSTFSEAEIKRLLEEEVNRFFAPFKSLLHLFFPALAECEKKLATSMTPLNFTSISSSSLLVVRVFSLFGFYVISIALARRCHTSAKWLWNKVFPSSQHGVARLTNNAAQERHERCFCFSKSKYSKSPKLKGLKGIAEETYIQETDTDFRQEVKQHQEGTSGAFDPSSRSTGLL >RHN81434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45865413:45865672:1 gene:gene5475 transcript:rna5475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MLAENPKVDTYEGANVSIKHWSRVIPEDFRCPISLELREDPVIVSTGQTYERSCIQK >RHN58667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3790392:3794291:1 gene:gene20599 transcript:rna20599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP-dependent synthetase/ligase MRNSFRTFNKHFTFISFRKLPHSFGYVTSSSSTHPTFSFSQFRSSSHSSSPSSSFMEVLKAIAKQDFASHGNVAIRADQKSYSYMQIISSAQKISNLLCGSNVKAGNLGGARIGIVAKPSAEFVAAVLGTWLSGGVAVPLAVSYPEVELLYVMNNSDTSAILSTEDHSELMQNIANKTSSQFFHLPPVANKSLEKSRDEHSQNGKIDADGILLEKFERSSQDPALILYTSGTTGKPKGVVHTHGSIIAQVQSLTKAWEYTSADQFLHCLPLHHIH >RHN55900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25254691:25255380:1 gene:gene31183 transcript:rna31183 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGMHVLDKSYITKDHVNKILKSLPAKWRRKLTTIQEATDLNKLGSESLISNMEFNHDEAKPKSKSIMLKSKGKNGQRSAG >RHN54198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7718408:7726997:-1 gene:gene29198 transcript:rna29198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Nrap protein MDSMDFKASELLKEVQVDDYSQISKPVDDAVAAIESSIDSIPDNYNVTADLAPSFVRDIGADKVEFKFKKPLVIQKGGSYSIESLARPELNLDLIIRLPKECFHEKDYLNYRYHAKRCLYLCLVKKYLEKSPSIGRVEWSTLQNEARKPVLIVYPAAKLVDVDGFFVRIIPSAKDIFSIPKLNMTRNNIHNSKNEGSSVQATPKYNSSILEDMYMEDTKLINEFFLGWKQLREALILLKVWARQRSSIYVHDCLNGFLLSVILAHLASRQQISRSMKAIEIIRITLNFIATSETWSRGLYFPKEGEGNITKEDRMQLKGSFPVVMCHPSGAFNLAFRMSRIGFSQLQDEAALTLKCMEKCRGGGFEEVFMTKIDYAVKYDYCMRINFKGNKELYASGFCMDDECWRLYEEKIHAILAKGLNDRAKFIRVIWRNAQCQWSVNDGLSILDKEPLFIGISVSDLEKAFRMVDIGPNAESKEQALEFRKFWGEKSELRRFKDSRIAESTVWECQKWERHLILKNIAEHVLSRHLSLSKENIVVVVDQLDFSLAHGAVDPIAHSGNLLEAFDLLSKRLRLIEGLPLKVSSVQPLDSAFRFTSVFPPEPHLLANEKIGSLRLNKLVPSCIQPLEIMIQLEGSGHWPMDEIAIEKTKSSYLIQIGKSLQKKWGMTCTATEEDVDVLMSGYAFRLKILHERALSLLKEIGNDKKTRVHSADKKLLIRGQHASMINGLQSRYPIYGPIVRLAKRWAASHLFSACLVEEAIELLVAYLFLNPLPFNAPCSRITGFMRFLQLLSNYDWTYSPLVVDINNDLSPSDRKEINDNFLLRRKSQGENEQAVGPVMFLATVYDKASEAWTGLSPSALELKRLVAYARSSANLLMKLTFQEEIGPYRWECLLRTPLNNYDAIILLHKDKLAYPQRLLFSSEVGHGTQVAKGHAGKFFQPFLLPKDLKGRRPEELKNKLLVDFDPSRCFIKDLEKEFSTKFQLWRDSLGGDAIGLTWEKSYPSKKRKQEEVVEEGYDPRKVLKAVGEVGKGFVRSIYFLKPPRLAN >RHN81228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44218981:44220621:1 gene:gene5241 transcript:rna5241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MEDVKVFGLWSSPYTQRVVWTLKLKGVSYEYYGEDLANKSSLLLQYNPIYKKVPVLVHNGKPMAESMVIVEYIDETWPPFPLLPQDAYERSNVRFWSKFIEEKSMHMMEFFLYDGERQERAIKETLETLRVIEKESGLSEKMFIGGNTIGLADIALGWVAHTLPVMEEIVGVKFITIDAFPHLHSWVKNFLEIPAIKNNLPPHELLVEYFKERRKVFLAMTCHHHH >RHN45484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21341258:21341470:-1 gene:gene39829 transcript:rna39829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin repeat-like-containing domain-containing protein MSSKRKMVFQIRGFKSHVMDPEYGDKTWNILEHAFHQIYNHNTSGLSFEELHRYFLIIPIIMLFSFDHSH >RHN78109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11974301:11975702:-1 gene:gene1630 transcript:rna1630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ascorbate ferrireductase (transmembrane) MPRSFQIRATSVTIFAHLLFIAITTLMLVWLLHFRGGVAFNSSNKIKIFNLHPLLMVIGFILVGGEAIITYKSIPGKRSSAKVVHLLLHVIALASGILGIIAVFKSKKEADLPNMFTLHSWLGIAAISAYGLQYIVAFFAYFFPGAESSAKATFLPWHKFIGMVIFFLAVGTAETGLVEYSRFLDLFRNQESLIVNFTGLLLFLFAVFVSLSVILPRNY >RHN81762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48722118:48724109:-1 gene:gene5848 transcript:rna5848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galacturan 1,4-alpha-galacturonidase MSHKIMKSPRFQNSYVIRVISVVVILGTLAECRIPSISKLNNFDYPAINCRKHSAVLTDFGGVGDGKTLNTKAFNSAITNLSQYANDGGAQLIVPPGKWLTGSFNLTSHFTLFLQKDAVILASQYESDWPQLPALPSYGRGREKPGGRFSSLIFGTNLIDVIITGNNGTIDGQGSTWWDKFQKKQLKITRPYMIEIMYSDQIQISNLTLVNSPSWFVHPVYSSNIIINGLTILAPVDVPNTDGIDPDSSTNVLIEDNYIVSGDDCIAIKSGWDEYGIKVGKPSQNIIVRRLTCISPKSALVALGSEMSGGIQDVRIEDVTAINTESAVRIKSAVGRGAFVKDIFVKGMDLNTLKYVFWMTGSYGDHPDNGFDPNALPKISGINYRDVTAKNVTIAGKVEGISNDPFTGICVSNVTIEMSAHKKKLPWNCTDISGVTSNVVPKPCELLKEKEIECPFPRDKLPIENVQFKTCNFQSSVF >RHN48510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48043997:48047527:1 gene:gene43231 transcript:rna43231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative magnesium transporter MAVAALTVGVFGALILFHAAYSTIQYKSLLKITEDEFTAPPFNVVIELFIGLLLCFWAALTVPGKFLSIHPHSDENRIVSLPANLDFMIFNHRGKVFPLETDLKLKH >RHN50367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6511892:6513436:-1 gene:gene34645 transcript:rna34645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MSFFLVRGSPYLTLSVTKPAPLSISTVHDIIYFSSNDSSTKFTIRFNNNQAWILYASIKIKLTQGRSEITSEAFSGTIRIALLPDSDSKHEAVLDRYCFCYPVSGDAILREPFCVEYKWEKKGWGDLLMLAHPLHLQLLSEKDCKNITVLSDFKYKSIDGDLVGIVADSWILKTDHVSITWYSTKGVKEKHYDQIVSSLFTDVEGLNSLSIKTTSCHAIGKLIARAARLALIAEEIFFYDVIPKVKVKKYLKEMIEPWLDGTFKGNGFLYDKKWGGIITKQGSTDSNAEYGFGIYNNHHGTLGYFVYAIAVLAKIDPAWGRKYKAQAYSLLEDFMNLSTSLNSNYARFRCFDLFKLHSWAGGVTEFADGSNQMASSEAVNAYYASALMGMAYGDPQLVSIGSTLASLEICAEKMWWHVKKDGKLYEKDFTKENRIMGVLWSNKRDSGLSFAPAEWREARLGVQVLPLSPISEVLFSDAKYVKELVEWTLPALKREGIGQQMKGFVFALQGIYDN >RHN44338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3651261:3653066:1 gene:gene38446 transcript:rna38446 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:UGT73F21 MNTSAMVGVEVERPLKIHFIPYLASSHMIPLSDIAAMFASHGQHVTIITTPSNAKFLTKSLSYAAPFFLRLHTVDFPFQQMDLPEGIESISSTTDLVTTWKINNGAMLLHRPIEDFIKNDPPDCIISDSAYPWVNDLAQKLQIPNFTYNVLSVFPVLLMESLRTNNLLFTDSDSDSSSYIVPNFPLPITMCSKPPKVLSKFIGLMLDTVFKSNGFIVNNFIELDGEECIQHYEKTVGHKAWHLGPSSIWRTTLEKSGGGNEGAESEHECLRWLNSQQVNSVLYICFGSLNYFSDKQLYEIAYAIEASGHPFIWVVLEKKGKEDENEEEKEKWLPKGFEERNIGKKGLIVRGWAPQVQILSHPAVGGFMTHCGGNSFVEAVGAGVPMITWPGHGDQLFNEKLITQVRGIGVEVGATEWRAHGIGERKKLVSRDDIEKAMRRLMDSSDEAEGMRLRARELGEKAKRAIQEGGSSHHNLLTLIDELKKLRDCKPLD >RHN40074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13019309:13025551:1 gene:gene46170 transcript:rna46170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MASLTDQFKYDVFLSFRGEDTRYGFTGNLKKALDDKGVRTFMDDKEIKKGEEITPSLLKAIEDSKMAIVVLSKNYASSSFCLQELSKILDTMKDKVVDRSVLPVFYKVDPSDARKLKSSYGEALAQHEKRSNSNMDLLQKWKNALNQVSNLSGFHYKKGDGYEHEFIGKIVEQILRNTKPVALPVGDYLVGLEHQKQHVTSLLNVGSDDTVHMVGIHGIGGIGKTTLALEVYNSVVCLFQGSCFLEKVRENSEKNGLIYLQKILLSQILGEKDMELTSVGQGMSILQQRLRQKKVLLLLDDVDNLEQLEAVAGRSVWFGQGSRVIITTRDKRLLTRHEVERTYEVKGLNDKDAFELVGCKALKNKYSPSYKDMLLVENYGRQLVDVNDQVYSGYTHVLKRAVAYASGLPLALEVIGSHFFNKTVEQCECALDRYERVPHKKIQTTLQLSFDALQEEEKSVFLDIACCFKGWKLTRVEEILHAHHGDIMNDHINVLAEKSLIKISESGNVTLHDLVEDMGKEIVRQESPENPGKRSRLWSSKDLIQVLEENTGTSKIEIIHLDCSIRVEWDEEAFKKMENLKTLIFSKDVSFSKNPKHLPNSLRVLECRYRKYPSSNFHVQDEKCHFFSHHTSNPFEWKGLFRKKFKNMRILNLQHSEGLAEIPDISDLSNLEEFSIQDCEELITIDNSVGFLRKLKTMSIRGCHELRRLPPLKLDSLEKLDLSNCYMLESFSSVADGLLDKLKFLNIEYCIMLRSIPRLTLTSLEHFNISCCYSLESLPEILEDLRNIPGLRLDKTSIKELPSPCNCEYFRSPNIADVKPKLVEFAIHNEERVLLQSSNVKYICVGNCKLSYENLSKILILFSNVQELHLTNYQFKVLPNCIEECHFLWKLVLDDCKELQEIKGIPPRLRMLSALNCKSLTSSCKSKLLNQELHEARNTWFRLPRVPKIPEWFDHKCSAGLSISFWFRNKFPSIVLCVVSPLTLCFGHQGGVRVIINGNRFVYTYSSVIHWRAQPNMYHLHLFHMQMENFNDNMDKALLENKWNHAEVYFGVSAFMYSGIHVLKDKNNMEDIRFTNPASDANIVLHSEC >RHN66962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22192290:22196437:1 gene:gene15087 transcript:rna15087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MTILTSQMSLFLLLLLSITTFHKTMCSNHTVVRCNEKDQETLLTFKQGINDSFGRISTWSTEKDCCAWRGVHCDNITGRVTKLDISYNQLEGSLSHCVYNMTQMNNDHVVCYDSIAIELVLVEGIMLVPDPALLSKSWI >RHN51060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13478937:13479431:1 gene:gene35430 transcript:rna35430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MLHFFSDYKYKSIDGELVGVVGDSWLLKTDSVSVTWHTSKGVKEESHDKIVSALSKDVEGLKSSPITTTSSYFYGKLIARAARLALITEEVNYLDAIPIVKKYLKESIEPWLDGTFNGNGFLYDKKWGGIITKQGSTDTGADFGFGIYNDHHYHLGYFLYGIAL >RHN54599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10715175:10716705:-1 gene:gene29664 transcript:rna29664 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVKHKAEVHNIIINVLSRRKNLLLKFHDGGLLGHLVRTQGYTCHCSLFVSNLVVERLHIGSCG >RHN62034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40205791:40213922:1 gene:gene24560 transcript:rna24560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycogen phosphorylase MSALPFSTTCRHSNSPLHPHSKSNFTGLGQRNNIWQLFVITKSNSRRAIRKLYVKNVASDKTAELKERLIKQGTTSNEFVTDSASVASSIKYHAEFTTSFSPEKFEPSKAYFATAESVRDSLIINWNATYEYYERVNVKQAYYMSMEYLQGRALLNAIGNLQLSGPYAEALKKLGYNLEDVANQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYRYGLFKQRITKDGQEEVAENWLEMGNPWEIQRNDVSYPVRFYGEVISGPNEAKQWTGGESILAVAYDVPIPGYKTRTTINLRLWSTKVSTEEFDLKAFNTGDHAKAYAAMKNAEKICYILYPGDESIEGKTLRLKQQYTLCSASLQDIIARFEKRSGMTVNWDSLPDKVVVQMNDTHPTLCIPELIRILIDVKGLSWEKAWDITKRTVAYTNHTVLPEALEKWSLTLLQDLLPRHVEIIKRIDEEFTHEIVSEYGTNDLNMLQEKLGKMRILENIELPDSVVEFINNTVLADDPVEEIDVDDNDIKATEKKDNEEENDDDDEEEEDEVGKDEQEADDGEELVVENKKEWKFEVDPNLPMMVRMANLCVVGGFSVNGVAEIHSEIVKEEVFNEFYELWPEKFQNKTNGVTPRRWIRFCNPDLSKIITKWIGTEDWVTDLEKLAVLRKFADNEDLQSEWIESKRRNKINVASFIKEKTGYVVSPDAMFDVQVKRIHEYKRQLLNIMGIVYRYKKMKELSAEERKQLFVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDPEIGDLLKVIFVPDYNVSVAEMLIPGSELSQHISTAGMEASGTSNMKFAMNGCVQIGTLDGANVEIREEVGEDNFFLFGARAQEIAGLRKERAEGKFVPDPQFEEVKAYVRSGVFGTYNYDELMGSLEGNEGYGRADYFLVGKDFPSYLECQEEVDKAYRDQKKWTRMSILNTAGSYKFSSDRTIHEYARDIWRIEPVVLP >RHN64439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59094117:59097432:-1 gene:gene27256 transcript:rna27256 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDAHYVSMHLYFSSLSTNIVIWLNLFNGFVLFQGYVKRIDGICFLTKG >RHN69177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41542649:41545408:1 gene:gene17611 transcript:rna17611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MKGLYVLFMLVIASHSVSSRITPNTGSTFDVLTYGAIGDGQTDDSNAFLKVFNDACGTSESTPSMTIPEGKTFLLQPLVFKGPCKSTNIIVELKGNITAPKDIESWNFTVEKQRKIWIQFSKISGLVVNGEGNIDGQGSPWWDKFGGKGQEKFRPTALRFLDCQALTLSNLNHMNSPKNHISVDSCKNASIFNIHITAPPTSPNTDGIDISQSTNIAIMNSTIETGDDCIAINNGSSVITITGTFCGPGHGISVGSLGKDNSYATVEDVRVQNCTFTGTSNGGRIKTFEGGSGYARNITYEDIILNEVKNPVIIDQAYNPNADSAVEISDVTYSNIRGTSVGEYAIELKCELNIGCNNIVLDHINITRADGGKAGVVCVGGHGNSSSCNPAVPCLTNISAIWWY >RHN79962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33917917:33918920:-1 gene:gene3826 transcript:rna3826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MASDSNSNLRITIESNPSEPRLAELNIKCWPKWGCSPGKYQLKFDAEETCYLLKGKVKAYTKGSSEFVEFGAGDLVTIPKGLSCTWDVSIAVDKYYKFEASSS >RHN72878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11496981:11502771:1 gene:gene8642 transcript:rna8642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MKLFSLLVLSLSFFSITIMGQLPSQDILALLEFKKCIKHDPTGYVLNSWNEESIDFDGCPSSWNGVLCNGGNVAGVVLDNLGLSADSDLSVFSNLSKLVKLSMSNNSISGKLPNNIADFKSLEFLDISNNLFSSSIPAGIGKFGSLQNLSLAGNNFSGPIPNSISEMASIKSLDLSRNALSGALPSSLPKLNSLVSLNLSYNRLTGKIPKGFELISSLDKLDLHGNMFDGPLDVEFMLLSSASYVDLSDNMLLSSSSGKFLPGISESIKYLNLSHNQLTGILVGGAEQPVFQDLKVLDLSYNQLNGELPGFDFVYDLQILKLSNNRFSGFIPNGLLKGDSLVLTELDLSANNLSGPLSMITSTTLHFLNLSSNGFTGELPLLTGSCAVLDLSNNKFEGNLTRMLKWGNIEYLDLGRNRLAGNVPEVTPQFLRLNYLNLSNNRLSDDLPKVLTQYPKLRVLDISSNQLKGVLLTELFTMPTLQELHLENNLINGGINLSSSLDQSHLQVLDLSHNQLSSFFPDEFGSLTSLRVLNIAGNNFAGSLPTTIADMSSLNSLDISNNRFTGPLPNSMPKGLRDFNASENDLSGVVPEILRNFPSSSFFPGNAKLHFPNSPPGSTVSPTKSSKGKSMSTAVKVIIIVSCVVALFILILLAVFIHYIRMSRSSTSEYDTATGKDTRGRPQPVISGPIRPTERGAPLVVSAEDLVASRKGSPSEIISPDAKTAAVAGFSPSKHSQFSWSPESGDSLTAENLTRLDTRSPDRLIGELHFLDDTISLTPEELSRAPAEVLGRSSHGTSYKATLDNGLLLRVKWLREGVAKQRKEFVKEIRKFANIRHPNVVGLKGYYWGPTQHEKLILSDYISPGSLASFLYDRPGRNGPPLTWAQRLKIAVDVARGLNYLHFDRAVPHGNLKATNVLLDTADMNARVADYCLHRLMTQAGTIEQILDAGVLGYRAPELAASKKPMPSFKSDVYAFGVILLELLTGRCAGDVITGEEGGVDLTDWLRLRVAEGRGSECFDATLMSEMGNPVVEKGMKEVLGIAIRCIRSVSERPGIKTIYEDLSSI >RHN68229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33882035:33884272:-1 gene:gene16549 transcript:rna16549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MSGDEESVTPKYTSVKHDYDTADKKTDSGKAPRFNGDPEEFSWWKTNMYSFIMGLDEELWDILEDGVDDLDLDEEGAAIDRKIHTPAQKKLYKKHHKIRGIIVASIPRTEYMKMSDKSTAKAMFASLCANFEGSKKVKEAKALMLVHQYELFRMKDDESIEEMYSRFQTLVSGLQILKKSYVSSDHVSKILRSLPSRWRPKVTAIEEAKDLNTLSVEDLVSSLKVHEMSLNEHETSKKSKSIALPSKGKTSKSSKAYKASESEEESPDGDSDEDQSVKMAMLSNKLEYLARKQKKFLSKKGSYKNFKKEDQKGCFNCKKPGHFIADCPDLQKEKFKGKSKKSSFNSSKFRKQIKKSLMATWEDLDSESGSDKEEADDDAKAAVGLVATVSSEAVSEAESDSEDENEVYSKIPRQELVDSLKELLSLFEHRTNELTDLKEKYVDLMKQQKSTLLELKASEEELKGFNLISATYEDRLKSLCQKLQEKCDKGSGNKHEIALDDFIMAGIDRSKVASMIYSTYKNKGKGIGYSEEKSKEYSLKSYCDCIKDGLKSTFVPEGTNAKTAVQSKPEASGSQAKITSKPENLKIKVMTKSDPKSQKIKILKRSEPVHQNLIKPESKIPKQKDQKNKAATASEKTIPKGVKPKVLNDQKPLSIHPKVQGRKSKTSKANPKGPMKIWVPKSELAKNAGVLKGKRETKVMVPRQRMFKAHDWRESFVPYPYNERWRRSEVWWQPNWKDHWYRYYW >RHN63951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55300143:55301075:1 gene:gene26716 transcript:rna26716 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPKIHHSRLKTSVTLILIIFLTLFASNLEGSQNLKKYHRSKGLINQKKSVLGSRPPRCVNKCLNCRPCMATLVISPHHKVGQIHKAKITAEKDEGYYLMSWKCKCGNKFFQP >RHN78414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14903976:14906839:-1 gene:gene1966 transcript:rna1966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MEDLYFVYKYLPWHYNNKSLSLIRNFLCVQISKMFQKMKPLPLLCVRLFFYVFVIATSPHATTKIQGSEVDVLLKWKASFDNHSRALLSSWIGNDPCSSWEGITCCDDSKSICKLNLTNIGLKGMLQSLNFSSLPKIRILVLKNNSFYGVVPHHIGVMSNLETLDLSLNRLSGNIPSEVGKLNSLTTIQLSGNNLSGPIPSSIGNLIKLTSILLDDNKLCGHIPSTIGNLTKLTKLSLISNALTGNIPTEMNRLTNFEILQLCNNNFTGHLPHNICVSGKLTRFSTSNNQFIGLVPKSLKNCSSLKRVRLQQNQLTANITDSFGVYPNLEYMELSDNNFYGHLSPNWGKCKNLTSLKVFNNNISGSIPPELAEATNLTILDLSSNQLTGEIPKELGNLSSLIQLLISSNHLVGEVPEQIALLHKITILELATNNFSGFIPEQLGRLPNLLDLNLSQNKFEGDIPAEFGQLKIIENLDLSENVLNGTIPTMLGELNRLETLNLSHNNFSGTIPLTYGEMSSLTTIDISYNQFEGPIPNIPAFKNAPIEALRNNKGLCGNSGLEPCSTLGGNFHSHKTKHILVVVLPITLGTLLSALFLYGLSCLLCRTSSTKEYKTAGEFQTENLFAIWSFDGKLVYENIVEATEEFDNKHLIGIGGHGSVYKAEFPTGQVVAVKKLHSLQNGETSNLKAFASEIQALTEIRHRNIVKLYGYCSHPLHSFLVYEFLEKGSVDKILKDNDQAIKLNWNRRVNAIKGVANALCYMHHNCSPSIVHRDISSKNVVLDLEYVAHVSDFGTAKFLNPDSSNWTCFVGTFGYAAPELAYTMEVNEKCDVYSFGILTLEILFGKHPGDIVSTALHSSGIYVTVDAMSLIDKLDQRLPHPTKDIKNEVLSILRIAIHCLSERTHDRPTMGQVCKEIVMSKSS >RHN53871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4852427:4857157:1 gene:gene28831 transcript:rna28831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetylornithine deacetylase MTTPTKNLLETLGNLEKDSFIPLLTNLIGESRHVQNNPPELIPEEDRVVKHVLNSLLPLSTTTGGGPLIINHVTYFPGRGNLIVEYPGTVPGKILSFVGCHMDVVTANPNDWDFDPFTLSIDGDKLKGRGTTDCLGHVALVTELMKKLGETKPNLKSTVVAVFIANEENSAITGVGVDALVQDGLLNKLKEGPLFWIDTADKQPCVGTGGMIPWKLHVTGKMFHSGLAHKAINPLELAMDAIKEIQLKFYNDFPPHPQEQVYGFATPSTMKPTQWSYPGGGINQIPGECTISGDVRLTPFYNVKDVMKKLQEYVDEINENIHKLESRGPVSKYALSDENLRGSLTLTFDEANSGVACNLDSRGFHVLCKATEEIVGHVKPFSITGSLPLIRELKDEGFDVQCCGYGLMATYHAQNEYCLFTDMCQGYRVFASIIAQLED >RHN56883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34440956:34441345:1 gene:gene32347 transcript:rna32347 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRIARFFMEVAPPQYVSVMRQRASNMMETITEEDREISSHDNLISLPKSSSVISASSSACASSTNARVNTRYFLNEVHRTLSSLNN >RHN81027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42723098:42724660:-1 gene:gene5021 transcript:rna5021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MLNHSFSLTPFNINIFQDGYTREFYYIIFHVEHSFFAITTMEQENQAIISIPTLKQVQKPPPSSLASLELLKNYGSKFNKQKNKSNVETCASPQKLSTEDIITLAGTKYIQNSSQWHDNNLYIPYGTVLGSLLSEEENKQVELAQFVLAAAERVGCQQFERANMLLSHFHLMNESGNIGSTVQRLLFHFSQALQERINRETGRGKMKLHRSNERNKETELIDKMELDTNIVVKCHQKIPFNQVMQFAGVQAIVEHVASQTKIHLIHLNIGCGVMSTCFMQALVDRKEKPVEILKITAIGFSNKAKLEEIGKSLMSFAESLNIPFLYNIVFVEDAMEIKLDQFDIEYDEAVAIYSPCSLRTMVSNSDGLENLMKVIRKMKPFIMIVLELEANHNSPLFANRFVEALFFYSAFFDCVDTCIKEDYECRVMMEAILSEGIRNIVGLEDEERKVRNVKIDVWRRFFARYRMVETGFSESSIYQANLVTKKFDCGKFCTIDKNGKCLIIGWKGTPIHSISAWKFL >RHN39273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5460570:5470491:1 gene:gene45281 transcript:rna45281 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MANSETCCSTQLIDGDGLFNASGIEKFMKEVKLGECGLSYAVVSIMGPQSSGKSTLLNNLFHTNFREMDAFKGRSQTTKGIWMARCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQAHKDTPLSEFFNVEVVALSSYEEKEEQFREQVASLRQRFNQSIAPGGLAGDRRGVVPASGFSFSAQEIWKVIKENKDLDLPAHKVMVATVRCEEIANEKYAAFVANEEWCQLEETVQSDPIPGFGKKINSLLHACLSEYDAEATYFDEGVRTAKQKQLQEKLLQLVQPAYQSALGHMRSVTLEKFKETFEKALKGGERFSAAANNCIESCVDQFDKACADVVIELTNWDTSKVREKLLRDIDAHVASVREAKISELTSSYEEKLKVSLSGPVEALLDGANSDTWPSVRSLLKREIQSSVLGFSAALNGFDMDEETRQNMILSLEDYARGVVEGKAREEAGRVLIRMKDRFTMLFSHDSDSMPRVWTGNEDIRAITKTARSASLKLLSVMAALRLDDGDKDDIEKTLKVALLDSSSSANISRSITAVDPLATSSWEQIPSSKTLITPVQCKTLWRQFKMETEYSVSQAISAQEANKRHNNWLPPPWAILALVILGFNEFMTLLKNPLYLGVIFVGFLLIKALWVQLNIAGEFRHGVLPGVISLATKFVPTIMNLMKRLAEEGNNPAANNPQRHTSRNNTNAVPVGSSVSSSSSSNITALENGNRYTSSSKDE >RHN61110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33032317:33033757:-1 gene:gene23538 transcript:rna23538 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKPLIFVFFLCPLIIISVMAIDSSKVGETENSKNKIGVERVEDGHNGDHEGGNVDGLFENIIHRMVDKLLGGGKRREARRGRNRGNWGGGNEYSSVRGGYEGPGGGYEGRGGEEGGWEAGVHEENFERGENEEPGLG >RHN77129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4300623:4301294:1 gene:gene553 transcript:rna553 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRNWANLEALPLSLILDKLEEHIYHVWFGAVCKSWRSIAKFNHQNHQFKANTLPMLMISQKTNSSSIEKNIEKKNGLYGILNKRWYPFQFQVCHANNPKLSLCGSSHGWFALVDDSKSIITLMSPFKDIPCVILPPLNSVYKVTLSTNPIKSPNNYMVVAIYDSGNLAYIGRGQQNWTYIDTSNLLLLMSYFIKVYYLLSMSETRSYLSILVIQMILWVKQ >RHN56154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28217669:28220461:-1 gene:gene31511 transcript:rna31511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase Do MPINIARKSWEHYKRYGGLRRPSLGVVATNLYTADVSLIEKVIQKVPSICNGVLVEKVIQGSCADSAGLQLEDVIVECGGKTVLSFLEFLEMVWDKKVGDVLQLSIVRASQYDPIHVNMVVDEVAVEYFYHWPRK >RHN56390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30515669:30524962:1 gene:gene31782 transcript:rna31782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-MAST family MSSNPPPPENDPPPATSSPVSAKSRTLQKFPPIVNRRTATLSSNNVDDECKEENNKNKNHDNEEESEEEEEEEEEEEEDVLVTEREFERAECSYSSSILQASSLGLNQIRTRFSSPLRHSSSAGAPSFPIKDVVNNVAKFRSRVSHPKDLGEKVHWNQSKSLKARSQLLILEGNHAAYAKDFQSPRYQEILRLTSGKKRKNRPDIKSFSHELNSKGVRPFPVWKNRAFGQEIMEEIRAKFEKLKEEVDSDLGGFAGDLVGTLEKIPGSHPEWKEGLEDLLVVARQCAKMTAAEFWINCETIVQKLDDKRQDIPVGILKQAHTRLLFILSRCTRLVQFQKESVKEQDHILGLHQLSDLGVYSEQIMKAEESCGFPPSDHEMAEKLIKKSHGKEQDKPITKQSQADQHASVVIDNVEVTTASTDSTPGSSYKMASWRKLPSAAEKNRVGQDAVKDENAENWDTLSCHPDQHSQPSSRTRRPSWGYWGDQQNLLHDDSMICRICEVEIPILHVEEHSRICTIADKCDLKGLTVNERLERVAETIEMLLDSLTPTSSLHEEFNELSLERNNMSSRCSEDMLDLAPDNTFVADDLNLSREISCEAHSLKPDHGAKISSPESLTPRSPLITPRTSQIEMLLSVSGRRPISELESYDQINKLVEIARAVANANSCDESAFQDIVDCVEDLRCVIQNRKEDALIVDTFGRRIEKLLQEKYLTLCEQIHDERAESSNSMADEESSVDDDTIRSLRASPINGFSKDRTSIEDFEIIKPISRGAFGRVFLAQKRSTGDLFAIKVLKKADMIRKNAVEGILAERDILISVRNPFVVRFYYSFTCKENLYLVMEYLNGGDLYSMLRNLGCLDEDMARVYIAEVVLALEYLHSQSIVHRDLKPDNLLIGQDGHIKLTDFGLSKVGLINSTEDLSAPASFTNGFLVDDEPKPRHVSKREARQQQSIVGTPDYLAPEILLGMGHGTTADWWSVGVILYELLVGIPPFNADHAQQIFDNIINRDIQWPKHPEEISFEAYDLMNKLLIENPVQRLGVTGATEVKRHAFFKDVNWDTLARQKAMFIPSAEAHDTSYFMSRYIWNVEDDEHCAGGSDFYDHSETSSSGSGSDSLDEDGDECASLTEFGNSALGVQYSFSNFSFKNISQLVSINMMHISKETPDDSNPS >RHN68361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34856113:34857557:1 gene:gene16702 transcript:rna16702 gene_biotype:protein_coding transcript_biotype:protein_coding MFIKDRELNHKIGFIIFGSEITQISSLHISPNKIMKSYQSLLVV >RHN70601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52654747:52656137:-1 gene:gene19200 transcript:rna19200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flotillin-like protein 4 MNALTTTEKLKAEFLSKASVQYETKVQEANWELYKKQKEAEAILYEKKAEAEAQKASADATFYACKQEAEAELYAKKKEAEGIVTLGNAQGAYVSTLLNALGNNYTAVRDYLMINGGMFQEIAKINAEAVRGLEPKISIWTNGSDSGGEKTEGAMGMKEVAGVYKMLPPLFKTVHEQTGMLPPAWMGALSEKSP >RHN54459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9783320:9786242:1 gene:gene29508 transcript:rna29508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNILLSMSPTNVKKKKVSSSYIPDDIAFCILSKLPVKSVKHFSCVRKSWSLLFENPIFMNMFRTNLIFKSHPLYDDACLVLNQHLDSDNWNVYLLSGDKFENKVKFDLPPIPEFGVNPISVLGSSAINGVLCLHDYDDTRTTSPVFAVLCNPATREMKVIPPSLAEFQSEFTTEIFLHGFGYDHVRDDYKVIQLVEYCTESNDGVKPDPFWEIYSLKTNSWTKINFDMPEIEWCFNSDVYFNGVCHWWGKTNNQRFLVSFNLCNDVCVLTPPPSEDLFDGFDVDLTGFYVDLMMLNGFVAIITHCKKTKSVFGWGNILRESNVLREFNYFKESWIRLFYVEPLSCIEHPIGAGKNGNIFFIKKDDDELACLDLATGVIEKIDVKVVQLYDYDTQMVIYKENLHSIEGLNN >RHN68373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34935342:34941184:-1 gene:gene16716 transcript:rna16716 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative JAB1/MPN/MOV34 metalloenzyme domain, 26S Proteasome non-ATPase regulatory subunit 7/8 MDVIKTQQISSRSIEKVVVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGSTFKGTVDVSNSYAVPFEEDDKDPSIWFLDHNYHEAMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHGLFNDYVPNPVLVIIDVEPKELGIPTKAYYAVEEVKENATQKSQKVFVHVQSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVSGKLTALKGLDARLKEIRGYLDLVIDGKLPLNHEILYHLQDVFNLLPNLNVSDLIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHERAEDSKPAPVPSAAA >RHN46339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30883069:30883443:-1 gene:gene40820 transcript:rna40820 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNNGKEKEESDEIVVKVVRRKSNKQILFIEAEEDFADFVFSFLTFPLGGVLLMHEGFSSLSCIDNLYKSVTELSSEKWLRSQYFKNLLTNPSISMQSELTNQILPIPYNNYKEKYIFPCSLL >RHN56111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27867357:27868899:1 gene:gene31452 transcript:rna31452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQREKNMAKIFEFVYAMIIFILLFLVEKNVVAYLKFECKTDDDCQKSLLKTYVWKCVKNECYFFAKK >RHN53574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2635244:2636369:1 gene:gene28495 transcript:rna28495 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLFDPKPPRLLGMIYRSCYDVYGNLFGLLAAHPVTPLVSLHHLDVVEPIFPNATRVEALQRLTIPMKLDSAGLIQQSICYDKEKRWTISVSWGFAVQIFRGIFSPREIEMPSRTFLNWYRRADYTAYAFNTRPVTRHPCQKPFVFYLSKAKFNSTIQQTVSEYEKHRVPHPECRWKMANPSALDKVVVYKKPDPHLWDRAPRRNCCRVMKSNKKGRMVINVGICKDGEVSEP >RHN56852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34217490:34219279:-1 gene:gene32311 transcript:rna32311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative universal stress protein A MAKAHIVGVAMDFSPTSKLALRWAVDNLINKNDQIIMINVQPPSADHTRKELFEDTGSPLVPLEELREINFTKQYGIAKDPEVIDILETASKIKGAKVVAKVYWGDPREKLCNAVEDLHLDSLVIGSRGLGTIKSVLLGSVSKHVVTNASCPVTVVKGMQSSKSRH >RHN72520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8369211:8370632:1 gene:gene8246 transcript:rna8246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MKIHNKRFETKTTPKSLAIITAKDASHVQATIKCAKSNNIQIRIRSGGHDYEGFSYVSDISFVIIDLLHLNSVDLNLQDETAWVESGATIGKIYYTIAKKNNSLAFPSGVCSTLGAGGHFSGGGYGNLMRKFGLSVDNIIDAKIIDVNGNTLDRKSMGEDLFWAIRGGGGASFGVILSWKLKLVQITPQVSVFNVKRNMDEGATDVVYKWQLVAPKLHKDIFIRVQHNVVKISGKKIVQVSFIGQFLGTIERLIPLVSESFPKLALKKSDCFLMPWVNSTLFWYDKPIGTPLEALLDEPKYPHPMYLKGGSDSVNKPIPKEAIESIWKSMIEGETLFMQWNPDGGRMEEILPSETPFPHRAGNLFLIQYLNIWIEESSRAIERHVNFSRSFHEFITPYVSNSSREAFFNYRDADIDANHPSNVTKIDIAKAYGSKLFKGNFERLINVKAKVDPKNFFRYEQSIPATRSYESQI >RHN57268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37723384:37723731:-1 gene:gene32790 transcript:rna32790 gene_biotype:protein_coding transcript_biotype:protein_coding METGPKRRRFYKSQSTICLDDLSSDANVAHVNTNDQRIIEKPTSNFVKAPLGQRLFSLGFPALDAPTKRTSPALPEGFLHACRWCRKKIEKDRYMYGYVLFLFSFFFPYFIDLQH >RHN75753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44767589:44770190:1 gene:gene11996 transcript:rna11996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton pump-interactor MENKEDNSGHVSKLVHQFYFVKLWPTEPDSISKIRKEENIVMKINQDISEITDKIAKKTCHQRVRRNSVAIKGKILRDFNMALDELNIWNIEVASGGWFGEKLDKNSLNYLKIHGSKSLGEEKQILRDIKIQQKDVASFKSLEVLKETLLRNGGSIFFTRKVKNLEEMIRGNYLNDRQKLVIEIEQFQIQHMERACKYDSLKKSIKHQIKLLCDDNSLKNKREWMEFGTRSISPGVEAINGKLYSLREKLNQKYNKKYEAKQRILKLKELYHENNLNYYKYCSLINKVHQLSEEKDVTLLDEMSSSEVENFTLEWNSSKAFREDYEKKILQSLERRQLSGDGRRRPDKSCSTMHL >RHN65862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5964175:5964867:1 gene:gene13754 transcript:rna13754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MKIIYILFLFTIFSFNISHAPVHDFCVADLKAPNTNSGYSCKPDVNITSDDFVFHGFVARVFTNYIKLGITPATVTNFPALKGLRISTMAIDCDEGISPPMHTHPDATQGEITAGFLTPTSVYSKVLKLGDLFVIPKGMLHFATNSGKGKAKTYVFYSSENPRTHILDHLLFSNSLPSNLVAQTTFIDLDQVKKLKVCLVEVGRNIRIYFWLNCTFGPLCFKMLSFWPPN >RHN52690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37789026:37789307:-1 gene:gene37388 transcript:rna37388 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSGALPNPQLQTSVLSICVNISGMLWMVPFGISVSARLVVHAKLY >RHN75456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42450472:42451590:1 gene:gene11670 transcript:rna11670 gene_biotype:protein_coding transcript_biotype:protein_coding MDQENRLTHNISTWKRRNPTCHIPTSLLSLQQSDYKLECNSPNKKNYDEIDDDDEKAKEEENKENGTARVEEITGRERLKRHREEMKGKVKVPENWEKEQKLKEWVDYTTFDALIFAPHALIVTARDALIADVRKSSST >RHN46942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35910678:35912162:-1 gene:gene41481 transcript:rna41481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I12, Bowman-Birk MYCYCYLPLHKENKCICKVMESNKNTFVKLSVLLLLLCFTATIVDARFDSTPFTTRVLSNGEDTYDVIYHSACCDKCECYVKEGHFFPQCICRDDGCHSACKKCDCIRDSESETPSCYCADVMFFTCYDLCKFKL >RHN80018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34362605:34362962:1 gene:gene3891 transcript:rna3891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MCLDLWLVSRYDFYEYIICQQFLVNIMNIYLATKVFRLVGNAAHDNRKTRIIPRHMLLVIRINEELEKLHAGVTIAHGGVLLDINHVLLFMKTKKCCNCYQDII >RHN46433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31668903:31670961:1 gene:gene40917 transcript:rna40917 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDHKRNSYPSDYEHGVRKARYNEMHKQGRKPESNSPPSNPLHNQETAISNLTNQGSIITKKFDPESSAIRVSLEVNKGEYNLNLRSVPTDNAMAEHAHTAAVLVSQGELLRKLGLKLLSKL >RHN65331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1254864:1256088:1 gene:gene13157 transcript:rna13157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MEETQRQIEEDRMSELSDNLLHHILSFLNAKEAVQTCILSKRWINLWKTLSTLTLSVDHFSTEESFEQFISMLLSLRDHSTDIHSLVFHFQWTHVLSRDLYLKTIEYAFSHNVQHFQILYTAVKHLPSCFFSSHTLTSLNLTGKDLMVPSGWYQIFPSSHSFNLPALTTLYLKHLSFSCNDDDDGSVVDPFSTFNMLNTLIIDRCVLRGNAQNLRISCTKLLNLTIRMYGCYSTITKPDFKIFFGLELYAPTLHSFVFNGADYIPKFVGSKTKTKTVLSSIKHLTIHLKYCSCFEENPVNLFNLLVELANIESLTITHCVLKVLSRFHSLFEVEFPSLCYLKSLNVRAFRSSWIIAEMVDFLLQNSPSAKFRFIER >RHN42911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41878586:41880230:-1 gene:gene49417 transcript:rna49417 gene_biotype:protein_coding transcript_biotype:protein_coding MESDINSDSEDGDFVRNLNEANDLNVDSLHLSDKDEGHESHRKEESFEAGENSGSEKEEANNTEENNDNAVGIEAELTNSLSKQRKSAIASARKGRKKLASWNSHKDKGGRSSHNSKAQMQMSSW >RHN55570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19564344:19564508:-1 gene:gene30771 transcript:rna30771 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGLYSRQLGRLIFCFLLQHVEALEILLQGLCGVQRERLRIHELCLKNGPHLG >RHN49994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2992399:2996273:1 gene:gene34240 transcript:rna34240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIKIMIIFLFIFCFAMNTEAFKCKSDDDCHCPPPSKPKSTYFG >RHN70667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53078573:53079955:-1 gene:gene19276 transcript:rna19276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RanBP2-type MSRPGDWNCRTCNHLNFQRRESCQRCGESRMTSGCGAVDFGGSFLGGRGSSSPFPFTTGPDVRPGDWYCTVGNCGAHNFASRSSCFKCGAPKDIDTFSSDSSDMPRLLRSPYGFGAGSAGGGASTRPGWKSGDWICTRSGCNEHNFANRMECYRCNGPRDSSTGRSSYLS >RHN76212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48592266:48594126:-1 gene:gene12503 transcript:rna12503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MYPKENQNMEISPYNTSKKTKHLEKLNHQNNSYFLHEASTIDMMHTSSSNHQTSSDNSSETCHRDQDGKWSSKLLKECAIAISNRDSSKIHHLLWMLNELSSPYGDIDQKLASYFLQALFSKATQSGHKCYKTLSSIAYKSHSFDSARKLILKFQEVSPWTTFGHVASNGAILEALDGEKKLHIIDISNTLCTQWPTLLEALATRNDETPHLKLTIVVTNNSSSVVVMKEVGQRMEKFARLMGVPFELNVISDLKHIRELTKERLGIQEGEAIALNCVGALRKVEVEERESVVQFFKSLSPRVVTFVEEEGDFCSDDFVKCFEECLKFYRIYFEMLEESFPPTSNERLMLERECSRSIVRVLACDHEFDHDEDDGGGDYCDKRERGKQWFERFKNEFSPCGFSDDVVDDVKALLKRYQAGWSLVVPQGDDHITGMYLTWKEEPVVWASTWKP >RHN65751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4975246:4975970:-1 gene:gene13636 transcript:rna13636 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFFLSLLVLFLAAFMLAPQHFAVDAALPKFKPPKINRCSKILWKLRPKDYPPATHF >RHN82636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55311711:55314644:1 gene:gene6811 transcript:rna6811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E2F-associated phosphoprotein MEEGDSKSPANSEQIVSDDDEIDYSTKPEFYDPELDDKDEKWIHKKKHGRSDAVLSCPACFTTLCLECQRHEKYLTQYRAIFVSNCKIEDKQVPIQSSSRSRSKKRNRRNEGFDGSDAISTSNETIKQVCCSVCSTEVGVIDEDEVYHFFNVLPSES >RHN75304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41102497:41102784:-1 gene:gene11484 transcript:rna11484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucoside 2''-O-glucosyltransferase MCQLVFLPRVDPDHIMNARMMSNKLKVGIKVEKGDEDGLFTKESVCKAVKIVMDDENEVGREVRANHAKLRNFLLSSNLESSCVDNFCQKLYDLL >RHN79675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31155573:31157075:-1 gene:gene3497 transcript:rna3497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 7-O-beta-glucosyltransferase MTKEEAMSSTQLSNIHIVCIPFLAPGHILPMVDMAKLLARHNVKVTIITTPLNAIPFKTTINREIQLGSQIQLLEVKFPNVEAGIPQGCESIETLPSMDLRENFLIALDLLQQPIEEIIEKMEPFPTCMICDKHIPCLVETSIKFKVPRIIFDGMNCFTLLCNHNIHASMVCETLSDSDEFVVPGLPHRIEMRKSQLPMIFKPSSNQNLNVIRERIRACEKKAYGIVVNSFEELESGYVEEYQRVTGNKVWCVGPVSLTNKDDLEKAERGRKNSIDDANQYVKWLDSWPENSVIYACLGSLNRVTPKQLIEFGLGLEATNRPFIWVVRKAYMWGEVEKWLLEDGFEERVKGRGILVKGWVPQVLILSHKAIGAFLTHCGWNSTLEGICGGVPLVTYPMFADQFFNEKLVVQVIESGVRVGAEIAVNYGDEEEFGDGVQVTRDNVKEAIEKVMGDGEGKSERRERARKYADMAKKAIEKGGSSYINMLKLIEDIMHVKLNR >RHN47600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40917177:40917899:-1 gene:gene42220 transcript:rna42220 gene_biotype:protein_coding transcript_biotype:protein_coding MHYIKDYFAVACIKISLRDVLETMYGGALPVAKSRKTKRKVISKDAYLEEASKQTSKKAKIDKKEKSSSELIAGSELPTIQEDVQDLNAEEILNKRTRSSKEAASSQAAPEQPAIPKKRRKHAIRKLRMAAAASEEKEGEEAATEVVARELRKKQAEDAAAIQKALEIAKQTEIPASNIVRENVGADAEEVLSPVASEAEHLLNIVVGSSEATISDSPQGISNSPHSDNVIVALNPLHII >RHN79341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27753774:27756569:1 gene:gene3118 transcript:rna3118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-lysine N-methyltransferase Efm5 MAEQLELNPNSVTELSEDDDTPVLSSHALSALKEFLSEQQSHSDAAGDDSEEVSLVSEDWRLSQFWYDSETAKTVAEEVLTLCSNGVDSRVACIACPTLYAYLKKMDPNVSAQLLEYDKRFEQYGSDFTFYDYNHPEELPSELKNSYKVIVADPPYLSKECLEKVAETISFLRQPGESFLLLLTGEVQKESAAEILGLHPCGFRPHHSSKLGNEFRLFSNYDPETRLGGWEK >RHN62173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41406856:41408013:-1 gene:gene24720 transcript:rna24720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S9 MEKLEQVQCFGRKKNAVAVTYCKRGRGLIKINGAPIELVEPEILRFKAFEPILLLGKHRFAGVDMRIRVNGGGHTAQIYAIRQSIAKALVAYYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >RHN51643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21527503:21529256:-1 gene:gene36124 transcript:rna36124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MAYPLLRRSLRHYSTLLYPTPPKLVFQKYRIKSQKNSSILSPNCSSALKSPLPLPQMKAETNTKAERFISHAIAVYSQAGLFDQALNVFNFIHENLKSTPSVKSLNALLSAAIIIDNPVEVTRIYKDFPKIYSIKPNVDTYNSVIDYFVSSGSTAPIFSIFDDMSVYRVKPNATIFDKAYLAFLEENKFDEIEKLVDLMENRYGLTPCSNTYSVRIKGLCKLKMFDEARRVFGMMAEQMG >RHN75202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40135431:40137212:-1 gene:gene11372 transcript:rna11372 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATRAWVVASSIGAVEALKDQLGVCRWNYAFRSLHQHAKNNIRSYTQAKKLSSASSAAVSNKVKRTKEESMKKVMDLNCWGPSTARF >RHN39422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6984389:6988989:1 gene:gene45443 transcript:rna45443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MQISCSSISLFVYLTLFMLIFSPTSSSPVPQIKYDVFVSFRGSDIRKNFLSHVLEAFSRKKIVVFSDKKLRGGDEISELHTAIEKSLISLVIFSPNFASSHWCLDELVKIVECRANYGRILLPVFYQVEPSDVRHQNGSYRDAFAQHEQKYNLNKVLSWRYALKQSANMSGFDSSHFPDDAKLVEEIVQNVLTKLNQVDQGKSKGLIGIEKQILPIESLLHLESEDVRVLGIWGMPGIGKTTIAEEVFRRLRSKYESCYFMANVREESEGCRTNSLRLRKNLLSTLLEEEDLKDDMINGLPPLVKKRLSRMKVLIVLDDVKDAEQLEVLIGIVDWLGPGSRIIITTRDKQVLAGKIDDIYEVEPLDSAESFQLFNLNAFTKHEHLEMEYYELSKKMVDYTAGVPLVLKALANLLRGKDKAIWETQSRNLKIEQIENVHDVFRLIYTNLDYYEKIIFLDIACFFDGLKLKLELINLLLKDRHYSVSTKLDRLKDKALVTISQENIVSMHDIIQETAWEIVHQESVEEPGSRSRLLDPDDIYHILNDDKGGESIRSMAIRLSEIKELQLSPRVFAKMSKLKFLDIYTKESKNEGRLSLPRGLEFLPNELRYLRWEYYPLESLPSKFSAENLVRLSLPYSRLKKLWHGVKDLVNLNVLILHSSTLLTELPDFSKATSLAVLDLQFCVGLTSVHPSVFSLKNLEKLDLSGCISLTSLQSNTHLSSLSYLSLYNCTALKEFSVTSKHMSVLNLDGTSIKELPSSIGLQSKLTFLNLGRTHIESLPKSIKNLTRLRQLGFFYCRELKTLPELPQSLEMLAVVGCVSLQNVEFRSTASEQLKEKRKKVAFWNCLKLNEPSLKAIELNAQINMISFSYRHISELDHDNRDQDHDQNLNHSMYLYPGSKIPEWLEYSTTTHDYITIDLFSAPYFSKLGFILAFIIPTTTSEGSTLKFEINDGEDDGEGIKVYLRRPRHGIESDHVYLMYDPKCSRYLASRVNNRSKIKIQVRASSGILTPDPKRNITLLSPYVPVQLRGFGVSLVTPSRYDKFKQQLAFRDGNVVPNNMCSVKERSIFLGV >RHN71739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2345092:2350173:1 gene:gene7371 transcript:rna7371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MEPSIMPSTIIPTTSWFTPKRLLAIFCVINLLNYLDQGAIASNGVNGSTGIQGDFKLNNFEDGVLSSAFMVGLLVASPIFASLAQSVNPFRLVGVGLSVWTLATFFCGFSFNFWSISVGRMLVGVGEASFISLAPPFIDDNAPASLKTTWLAMFYMCIPSGYALGYVYGGLIGSHFGWRYAFWVESILMLPFAISGFLMKPLHLKGFVPADLVKAQVPNTVASGVHVTNASNGRDESLSFKEEFRDKSSNDQSKSKSATKMLDQFSRFLIDMKALLLDNVYLINVLGHIGYNFVLGAYSYWGPKAIYNIYNMTDSDLVFGGITIVCGIVGTLAGGVVLDYMTNTLSNAFKLLSVTTFLGAVCCFGAFLCRNVNGFLVLFSIGELLVFSTQGPVNYVCLHCVKPSLRPLSIAMSTVAIHLFGDVPSSPLVGVLQDSINSWRTTVLILTTILFPAAGIWFIGMSSCSFTSKTENWS >RHN54868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13071556:13072343:1 gene:gene29964 transcript:rna29964 gene_biotype:protein_coding transcript_biotype:protein_coding MYDEEKSAVLPFMMKPDINVKTPYKSCCIVSYYLSNLLMGSYLSIYLSVDHKRALRPPNYEGKKFHKKMIILTNIAVASRRDRPIASGCSRSTFLVVRNCTFHFQITIHHH >RHN74550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34647022:34647375:-1 gene:gene10638 transcript:rna10638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-heme dioxygenase domain, isopenicillin N synthase MAPVFNSSKSMYNFVVREGNGIKGLVDSGLSEVPKIYIQPINKRINKLDTKPCDMPPIDLSKLNGIEHEKVVDEIVRAAETLGFFQVVNHSVPLELLESLKDSAHTFFNMPPEKKVV >RHN40263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14674752:14675291:1 gene:gene46388 transcript:rna46388 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDMLRILVTLLLLGARVAASAAAISQEDQKRAWLILAWVSTTAGNLSLLGSAANLIVCEQARRAPNLSYTLTFWSHLKFGLPSTIIVTAIGLTLIR >RHN79423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28706108:28708200:-1 gene:gene3213 transcript:rna3213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEETTATTRQPRPTTSTATLTLPVKRQQPPVTELPFDLMVEILCRLPVKSLLRFRSVCKSWNSLISNNPKFTYKHLHISTTKPKLVIFTHTETRPRKIIDVTSIELDFIFTSTPTQIEFSFINQLIASCDGLICFQVNKSRALLWNPSTTKSKLLPLLDIPLQANDGRTIFTFGYDPFIHNYKVFSVFCYDFKYDGFHTINGCKTEVKVHTLGTHSWRRIQDFPSMVHDDEPGTIVNGKVYWFAYSYVSGCYTRHIVSLDLGKESYKEISLPDMMLVPLTMGCLRDSLSLVFHSNTFNDVWLFKECGNEDSWIKLIRLPRFGRDEDRFFSYSNVIYISEDNNHVLLNFKDDDKLKWAVYDSKNDTSRNIKTQDWSLVDSKVYVESLNPSPDTLFDDEDDSSETSTSTNSTFNP >RHN41699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32165535:32166734:1 gene:gene48049 transcript:rna48049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MRFSKPIVMEFKVRTFLATVVMFLLASSVFILESEAGGECGRTPIGSAAASLSPCLGAVRNVRAKVPPVCCARVGALLRTSPRCLCSVLLSPLAKQAKINPAIAITVPKRCNIRNRPAGKKCGRYTLP >RHN76224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48733362:48734898:-1 gene:gene12517 transcript:rna12517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MGSERNVYVASALIDMYSKGGDIDEAQCVLDQTSKKNTVLWTSMIMGYAQCGRGLDALELFDYLLTKKELIPDHVCFTAFLTACNHAGFINKGEEYFNKMITKYGLSPDIDIYACLIDLYARNGNLRKATDLMEEMPYDPNCIIWSSFLSACKIYGDVELGREAANQLIKMEPCNAAPYLTLAHIYTTKGLWNKASEVRSLMQQRVKRKPAGWSLGVG >RHN69343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42754771:42755881:1 gene:gene17795 transcript:rna17795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein MIZU-KUSSEI 1-like, plant MTMAYITKVGSSSMTNGVTTVDCQKQVRSWRLLRSLIELLIPTCNCTLVEQDQDISNSKHSHQNYNKYPSSHSSLMTSTTITGTIFGYRKGKVSFCIQSSSNSTNPILLLELAIPTSVLAKEMRGGTLRIVLESATSGSCNNNSNLFSTPLWIMYCNGRKVGYSVKRKPSRSDLEALNLMRSVSVGTGVINGKEICQEDDQLMYLRANFQRVRGSSKSNCESFHLIDPEGSIGQELSIFFFQSK >RHN44310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3410069:3421388:-1 gene:gene38413 transcript:rna38413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-copalyl diphosphate synthase MSTHFSTHFHLPSSPSSNNYHFSFPSNFSSSSSLFLQLFSKSSLGAVSLVAKDKQEKRCRAISKSRTQEYQDVFQTNVATLKLSEINVEDDIVIDDEKEKEDQDINRVGLVNKIKSILNSMEDGEITISAYDTAWVALVEDVHNSGTPQFPSSLEWISKNQLQDGSWGDSQLFSAHDRIINTLACVIALRSWNMHPEKCEKGMAFFRENLGKLGNENEEHMPIGFEVAFPSLLDRARRLNIDVPNDSPILKNIFAKRDEKLKRIPREIMHKVPTTLLHSLEGMLGLDWKQLLKLQSQDGSFLFSPSSTAFALMQTKDENCLKYLNNAVNKFNGGVPNVYPVDLFEHIWLVDRLERLGISRYFQQEIKECMNYVSRYWTEKGICWARNSNVQDIDDTAMAFRLLRLHGYQVSADVFKHFERNGEFFCFAGQCTQAVTGMYNLYRATQVLFPGEKILENAKHFSAKFLKEKREADELIDKWIIMKNLPDEVAYALDVPWYANLGRVETRFYIDQYGGESDVWIGKTLYRMANVNNNNYLELAKLDYNNCQAQHLKEWSMIQKWYSECRLGEFGLSKRDLLMSYFLAAASIFQPERSQERLAWAKTTALLQTFTSYIRDEDLKKDFVNKYNDYINRRDHSIGWRLNRNKTGHDLAETLVATIDQISWDILVSYGHEIGYDMHQCWKKWLSSWQNEGDKCEGEAELLVQIINLSAGHLISEDQIFNPQYKHLLQLTNSICHKLHCYQKDKELKSSSSNLRENTITPEAESKMQELVELVFQQSPNDIDFNIKNTFFTVAKSFYYAAFCDSRTINFHIAKVLFDKVV >RHN61311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34711646:34712803:-1 gene:gene23761 transcript:rna23761 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTIIPFSPTSHHQPRSKSLPSKPHPLILQCNQHLANLEPFDAINSSSLFGKKLTDLQALHDCVENLVLLPLTQQVLVHARQEKWTDELLDGSLRLLDVCSVAKDSLIHTKECARELQSIMRRKRGGEMEVTAEVRKFLASRKVVKKAILKALENLKANVKKGKGKLLSPSNNKDHEKVSLVSLLRDVEVITLSMLESLLIFISGPAQSKPSNYWSLVSKLMMQNKKVSFTQEADINEFSNVDAALQSFVFNVTRKSDNVNHLQNQLENLESVVQGFVEALEALFKRFIKIRVSLLNILNH >RHN82640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55386304:55387777:1 gene:gene6815 transcript:rna6815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prefoldin alpha MASSKSGSGAMTLERMSVEQLKAVKEQADMEVNLLQDSLNNIGTATTRLELATTALNDLSLRSLGSKILVPLTASLYVPATLQDPHHVLVDIGTGYFVEKTMPEGKDYCERKINLLKSNFDQLVEVASKKKNVADEAGVILQAKLKQLASSS >RHN59137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7814250:7814723:-1 gene:gene21127 transcript:rna21127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MARFVDNPSFPAGLRVIAIDHDIIVLNTIEDMCNRYHYQVTKCNSASDALYLLERKDCYDVMLIDAHMPNMDVYDFVQNATLQLNIPVIKA >RHN61401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35408009:35408281:-1 gene:gene23865 transcript:rna23865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPVVSKRASNQASSKCTNVPKGYIAVYVGDEMKRFVIPISYLNQPSFQELLNQAEEQFGYDHPTGGLTIPCREDVFLNITSRLNLC >RHN54655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11080898:11083195:-1 gene:gene29727 transcript:rna29727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MKPFSFLSPILLFVYLHFLFCPNRVVAQALGNQTDHFALIKFKETIYRDPNGALESWNSSIHFCKWHGITCSLMHQRVTKLNLEGYQLHGSISPYVGNLTFLTEFNLMNNSFYGEIPQELGRLLQLEQLLLSNNSLAGEIPTNLTHCSNLKDLYLGGNNLIGKIPNEIGSLKKLQSLAIWKNKLTGGIPSFIGNLSSLTDFSFVYNNLELRRRYSTRNMTNPHFHNKCVSFEYLLLQGNSFNGTIPSSLASLKGLLYLDLSRNQFYGSIPNVIQNIFGLKHLNVSFNLLEGEVPTNGVFGNATHVAMIGNNKLCGGISDLHLPSCPIKGRKHATNHNFRLVSVIVSVVSFLIILSFIIIITWMKKRNQKPSFDSPTIDQLDKVSYQDLHQGTDGFSDKNLIGSGGFGSVYRGNLVSEGNVVAVKVFNLQNNGASKSFIVECNALKNIRHRNLVKVLTCCSSTDYKGEEFKALVFDYMKNGSLEQWLHPEILNSEHPKTLDLGDRLNIIIDVASALHYLHQECEQLIIHCDLKPSNVLLNDDMVAHVSDFGIAKLVSATDGNTSTIGIKGTIGYAPPEYGMGSEVSTCGDMYSFGILMLEMLTGRRPTHEVFEDGQNLHNFVAISLPDT >RHN67612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28661678:28664068:1 gene:gene15818 transcript:rna15818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MMTILTTQMSLILLLLFSITTFHKSMCNNHTVVRCNEKDRETLLTFKHGINDSLGMISMWSEKDCCAWEGVVCDNITGRVTKLDLHYSQLEGEMNLCVLELEFLSYLDLSDNEFNIISIPAFQHNITHSSKLDYLDLSPLTLNTLHMDNLHWLSPLSSLKYLNLNAIDLHKETNWLQAVSTLSSLFELHLQACDLNNFMTKSSIGYLNLSSLLTLDLSYNNFTSHLPDGFFNLSKDFMYLDLSFNNVHGDIPSSLLNLQNLRHLDLSHNQLQGPIPDGIGQLPNIQYLDLSINMLIGLIPLTIGNLSSLTSLSIGSNNFSGAISKLTFSKLFSLDSLDLSNSTFVLQFDLDWVPPFQLSQLSLKNTNQGPNFPSWIYTQKSLQYLDLSSSGISSVDRNKFSSLIGRIPGSLILSNNSISGDISNLTLNCSWLELDRNNFTRGLPNISPMAQIVDMSYNSFSGSIPHGWKNLKDLQYINLWNNRLSGEVLVHLSDWRQLEIMNLGENEFSGTIPMNMPLYLEVVILRANQFEGNIPPQLFNLTYLFHLDLARNKLSGSLPKCNYNLTDMVTFHYTNLYSTIIELFTKGQDYVYEAGPERRTIDLSANNLSGEVPLELVRLVQVQTLNLSHNNFIGTIPKEIGGMKNMESLDLSNNKLCGGIPQSLVLLNFLSYLNLSYNNFDGKIPIGTQLQSFNASSYIGNPKLCGLPLKECTTKEENPKNATPSAKSEDNDDSIKESLYLGLGVGFAIGFWGICGSLFFIRKWRHAYFRFINGVSDKLYVTLIVKLNHFRRNQAP >RHN82099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51255643:51256822:1 gene:gene6223 transcript:rna6223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MTQVGNDINSLESLQFEFAEIEAATNRFAADNRIGKGGFGEVYKGILLDGQEIAVKRLTSSSGQGAVEFKNEVHVIAKLQHRNLVRLLGFCLEDEEKILIFEYVPNKSLDYFLFDPQKRKLLSWSQRQKIIKGVARGILYLHEDSRLKIIHRDLKPSDVLLDGNMNPKISDFGMARIVSVDQIEENTCTIVGTYGYISP >RHN82156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51583175:51585011:-1 gene:gene6282 transcript:rna6282 gene_biotype:protein_coding transcript_biotype:protein_coding MFCVNKDGIHTKFVGCRQTQTSTKGVNSIIYLCRFHNKVGRRNMKYPFQQHNCIFAQ >RHN73531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17424448:17428967:-1 gene:gene9354 transcript:rna9354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleosome assembly protein (NAP) MTSNKEGFNIADLSSALNEEDRADLVNALKSKIQSLAGQHSDVLESLSPVVRKRVEVLREIQGEHDELEAKFLEERAALEAKYQKLYQPLYTKRYDIVNGVTEVEGAAMETTADAEEDNGKKGVPSFWLNAMKNNDVLSEEVTERDEAALKFLKDIKWSRIDDPKGFKLEFFFDTNPYFSNSILTKTYHMVDEDEPILEKAIGTEIQWLPGKCLTQKVLKKKPKKGAKNAKPITKTETCESFFNFFNPPEVPEDDEDIDEDMAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAAQGEEFGDLDDEDDDEDDDAEDDDEDEDEDEDEDDDEDEEETKTKKKSSAKKSGIAQLGEGQQGERPPECKQQ >RHN61970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39663520:39666899:-1 gene:gene24487 transcript:rna24487 gene_biotype:protein_coding transcript_biotype:protein_coding MVITLLLRPFEASLSMKQRLKSYILGFKSDCTYVFYNKENERSDNMVTYLLLPELYGYRDLDHQCQIVLCQLDHQTT >RHN56975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35378949:35381736:1 gene:gene32448 transcript:rna32448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ternary complex factor MIP1, leucine-zipper MKFEDYLMEKSQEPQKRQELENEVVQLQARLKDEESLNRVLLCASLHGPVCSLQHIPSVFPPQVHELLEELALVEEEITLLERKVKELKDKLYQERNDDADWKVRHRRQPKLCNQFQGSFSQNYEVFTKGRKSKDRRASLSSAMDIHSLFSTPRRSKEYEVPRTKTGKISRQNSVENPNELSEELLKCLIGIFLELNQASLDIKESETSVSRLTLSCMQSKSFISMTNSSNYKTHSYLSNGNASCLDPYGISADLDCKARDVGPYKNFIQISSSSLETEFFSQCLPAFRKLRVLRHKLCDVDLSFLSYKQKLAFWINIYNACIMNAFLDHGLPSTQDKLLSLMNKAAMNVGGIVLNALAIEHFILRHPRDSKHGPVDEKEVLLRHAYGVGYPEPNVTFALCRGTWSSPALRVYTSEEVVNQLGRAKVEYLEASVGITNKRKIIVPKLLQWHMHDFADEMESLVEWIYSQLPRTGSLKRAMMECVIRETKHPMSKMVEIQPYESEFRYILPIEF >RHN48660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49294812:49297667:-1 gene:gene43401 transcript:rna43401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MAKCTKSLVMFPILLQTICFLLFPQPLMSQENNFVRQPASQLIITPHQRSNSEPQQVHISLVGKDKMRVSWITEDKETETMVEYGTKAGEYSEKTMGEHTSYQYFFYNSGKIHNAVIGPLEPNTTYFYRCGGLGPEFSFKTPPSKFPIEFVIVGDLGQTEWTASTLKHVDKSDYDVFLIPGDLSYADSQQPLWDSFGRLVEPYASKRPWMVTEGNHEIEIFPIIYPKGFEAYNTRWPMPFQESGSNSNLYYSFEVAGVHIIMLGSYADFSVESQQYEWLQLDLTKIDRVKTPWVITMVHAPWYTTNEAHQGEGESMRQAMEELLFKARVDLVFAGHVHAYERFTRIYNNKADSCGPMYVTIGDGGNREGLALRFKNPPSPLSLFREPSFGHGRLRILNETHAHWSWHRNNDKDAIVADGIWIESLSNLKACSETPNQQVAHQEL >RHN41634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31652247:31658635:1 gene:gene47976 transcript:rna47976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative clathrin adaptor, mu subunit MSGAASALFLLDIKGRVLIWRDYRGDVSAVEAERFFTKLIEKEGDQQSQDPVVYDNGVSYMFVQHSNVYLMTATRQNCNAASLLFFLHRIVDVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGLNYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRILLEAQGRATKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLFWVEAQVEKHSKSRIEITVKARSQFKERSTATNVEIELPVPADATTPNVRTSMGSAAYAPEKDALIWKIRSFPGGKEYMLRAEFRLPSITDEEAAPERKAPIRLKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >RHN49822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1455272:1459295:-1 gene:gene34044 transcript:rna34044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyltransferase A, auxiliary subunit MPTIAMEEFNMNNATEEASESYTPHKDNFTAQQASPRSTLSPRSIQSDSIDLAIDGVVDTSIEQLYTNVYEMRSSDQSPSRASLYSYGEESRIDSELGHLVGYIGDLEIRKEIVTTENKEEPNVDAIEKVIVSSSTRIGEGSSKSAAKSRSLHKRNEKGTRKGNGFYNMMRKHKRLGLKDGIVDELDNPDLGPFLLKITRDMISSGENPNKALNFGLRALKSFEICNEDGKPSLELVMCLHVLATIYCNLGQYNEAIPILERSIEVPVLEDGQDHALAKFAGCMQLGDTYAMMGNIENSLLFYTAGLEIQGQVLGETDPRFGETCRYVAEAHVQALQFDEAKKLCQMALDIHKGNGLPASFEEAADRRLMGLICDSKGDYESALEHYVLASVVMAENGQELDVASVDCSIGDAYLSLARYDEAIFSYQKALTVFKSTKGENHPTVASVFVRLADLYNKIGKFKDSKTYCENALRIFGKKKPGISLEEIANGLIDVAAIYQSMNDLEKGLKLLKKALKIYNNVPGQHSTIAGIEAQMGVMYYMLGNYSDSYNIFKSAVTKFRASGEKKSALFGIALNQMGLACVQRYAINEAADLFEEARTILEKEYGPYHPDTLGVYSNLAGTYDAMGRVDDAIEMLEYVVGMREEKLGTANPDVDDEKRRLAELLKEAGRARNRKSKRSLETLLDSNSQIIKDNGIKVL >RHN74284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31485384:31487215:-1 gene:gene10321 transcript:rna10321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MNNMVETCKYFYVVILFLFIFIMATDGVYLCEDDEDCHIMPCMVPEYAKCIRMICQCC >RHN52168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32289743:32292614:-1 gene:gene36802 transcript:rna36802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKKKSAAATNAKVHNYISDDISFTILSKLPLKSLNRFRCVRKSWSLLFENQHLMNMIRKNFLCNLNCCSYYDQASLLLKYLDETHKDILYSLSHERFENKVKLDCTNVIDHLYIFRIFGLGSINGIVCVHANCGAIVFWNPATQAIKLIPPSPLELVELPIPGVAKEFVNVEAFTYLHGFGYDSVIDDYKVICLVSFDIQVELVDTFSDSLLEDIYLDELWEIYSLRSNSWRKLDIDMPSSSYCIEGTQVYMDGVCHWLCEENTPAGPCLVSFYLRNEVFCITPIPSDEDDCFKFKASSINLVLLNGSISLIAFHRETTTFDIAILGELGIKESWTKLFTVGPLSCVERPIRVGTKGEIFFQRKDNELAWFDLSTQVIEELGYKARGPYTRISLYKENILAIEGISN >RHN39237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5181304:5186377:1 gene:gene45241 transcript:rna45241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MLAGKKKKKMEHEEEEDRLSNLPKIILHNILSRLPKKDGARTSVLSKAWEETWYTFPILYFSDGLFVGTFPQPWEGFLRKRKNFIDYVKRTLLRFYDNGLAIKQFKLSVNNFELHYMSKDVDHWLKLASECGVELLELCLPDGPDQDEEGRGECYVLPNGVIEVKSLTELVLMGGIRVDTAFMNHSIKFFSLKVLSLWAVLSRDEHAIEHLISCCPLIEHITLKCCSVLIPNVATNFLLESDTSGVMKSLNMHGLLKLKTVDVQGIQEVYIDAPCLEKFCYCPGDFDAPFKIDFDRCQNLKYLDLLSLKSSIITDKWFLELFSKFPFLESLKLNNCRMFERINISSVQLKVLELSNCSNLKEVNIDAPNLLSCVFYGGGGSEPIISFLRSSSQLEVDLQIPIDYLDLCNLRESLQNIKPQNVLSSLSLFIFQPTEDALNPLVFQVSSPPPSIKHLHLRSVPKNEILFSSVVNIILSSCCPATISLSFNPFFCTKAFIEFLYETLMERKEDGCFCGSGDTRCWWHGLKDVKVTSSMKIDENVDFKTMLELLGFGEEISFTLEV >RHN52958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40700771:40703681:-1 gene:gene37700 transcript:rna37700 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MHQLNVTTCADVSCTQAKLRIKWTSLMQILNCSMLGCRKSTVLVSAFLVKQLREETGAGMMDCKKARAETEGDLEKPQAYLIKKGLSSADKKSGRLAEEGRIVNGETDFVGRSEKFKELVDDLAMQVAFWSTGSVCYEGNLSLLEKPFIKDDSVSVKNLVRRSIAGICETIKVWRFPDHRPISEFLQNISNC >RHN61056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32571609:32571971:1 gene:gene23468 transcript:rna23468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MRRRAVIECRCGEETVTRTVTDNTDPNCGKRFWGCKNYKNHFDKGCSFFKLLDEELTDERDLLIAKLQKKNAKLKHELEKTRSWLKKSLIFGLACFGVCLVLVTILIYKISGSWSHIYLK >RHN50737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9951302:9953298:-1 gene:gene35061 transcript:rna35061 gene_biotype:protein_coding transcript_biotype:protein_coding MKATIKIVFIILVLSYVYVAAVPSTRSRMTKTMDIDLEAKEDLIMSLMNNDQLFDLKVEFEKRRMMTDLSDYPGTGPNHHHDPKSPGKA >RHN71143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56761584:56762063:-1 gene:gene19792 transcript:rna19792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSKSKKQRSSIPIEECEYDRVSSLPDSIICHILSFLPTKDTVATSILSKRWKPLCISVLTLDFTDHTIFTEITTICSHIYSVLLSCDNTLPILSFRLKCCFRLDEPNNFTQLIIAAIQRRTETLELNMLFHTFDMKLASNIFTCRKFTVLKLKLLILST >RHN58474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2007076:2010616:-1 gene:gene20392 transcript:rna20392 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGENWPSHDSDLEIHDKVIFEDGESESVCGDDEYQIGDPTDITKESNEKEITQRLKELSDVIGLKKMDDDTSIVDKARDYVEKLAERVRELEQEAGSNICSNKRTKVNSDEYNCGTGDNTLPEIKARVSKKDVLVIVHCEKQKGILLKILTHLASLHLSVVNSSVLQFGKSILDITIICKMGDGYNISVNELVKTLRMVISTQ >RHN80769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40747745:40750756:-1 gene:gene4734 transcript:rna4734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylate kinase MSCRSLTCCNIQFHSTTTLSPSSISFSNGISLRSSTSTSKLRAKFEKFQGEPEPEPPSSSSLEIIITQDKDETDSCLPPDLEGAVIQSSQATASFVSSGGMRAIVELLIPQLEFLDDEGAQLELWELSRLFLDTLIQETNCQKVKAVFPDAGAAALLKYRWKDALFSFASLSDRKLVDSGDEMVVMIVPDHQMLEYVEKIASTLSDDPPRPLIMWNPRLYSKDVGVGYNVRQLRQYFLSTFTTVYHMRPMPFGAVFRCYPEMWKVFSDDKDRPNRYLLAKEYANRPDTEDIEMLFGNNEEQKSEQGQSLFDKAAGVFSSVNRFMKSM >RHN57807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41832822:41833235:-1 gene:gene33396 transcript:rna33396 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFFHIVSDRSSSCIIYAGVPDEIIKRAAVVLDAVSKNNCVERLCNENISAQDDEYKDAMEKLLKFDVDNGDLNLFFEDIFCNS >RHN70428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51391674:51392959:-1 gene:gene19007 transcript:rna19007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MKSNSKIITWINNSVDQSIGVQLAKYDTAKEIWDHLKRLYVQSNFAKRYQLESDIRALKQNNMTIQEFYSAMTNLWDQLALMESNQLKAVKAYIDQREEQRLVWFLMALRDDFEGLRGGILHRTPLPNVESVVSELLAEEIRLKTHSTMSDKGILSTPSSVFAAAPVQRGKPQGRVGIGNDECAFCKEKGHWKAQCPKLGRANRKNFRGPSSNVVAVAPSASSTVGSSSGYAYSSDTASQLSDITEQLQKFLSTQSHAMSASSPKGLNSSSVSGSTFREADWDRP >RHN44524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5717414:5720674:1 gene:gene38653 transcript:rna38653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MKLIKERVYEDIKGVGMDMDNTIGVKKGAWTYEEDNLLKAYINKYGEGKWHLIPQRAGSGLNRCRKSCRLRWINYLKPNINRKSFSEDEVDMILRLHKLLGNRWSLIAGRLPGRTANSVKNYWNTHLLKKVVSKQEEEKEKPMETMKAHQVIKPRPITFSTQSSWLNVKHNNFVTQPLLASNNDGCFPRDRDDKMTMVVPNQIGKDCASSSQPILGNVPIPCTMWSESLWNLGEQVDSEIIGSSSSLQVENYEEFSIVDDFWDFNICDYDSLWDL >RHN62594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44577464:44577865:-1 gene:gene25187 transcript:rna25187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSLTIEDGLSDLPDAPLLYILSFLYTKHAVRTCVLSKRWKHLWKRNPTLTLHSSRFSNVENFDIFVSKILTLRDTSTAMQAFDLDRRGEIEPQLLKNVLDYVCSHNTFLEELGISVCGDSSPIQVLFRYLCMF >RHN61714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37754499:37761171:1 gene:gene24203 transcript:rna24203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MMRLQTYAGLSLIATLAITYHAFNSRSQFYPAMVYLATSKISLVLLLNMALVIMCVLWQLTKKVFLGSLREAEVERLNEQAWREVMEILFAITIFRQDFSITFLAMVTALLLIKALHWLAQKRVEYIETTPSVSVLSQVRIVSFLGFLLLLDGAFLYSSVKHLLATKQASVSIFFSFEYMILATTTVSIFVKYIFYVSDMLMEGQWEKKPVFTFYLELVRDLLHLSMYMCFFLAIFINYGVPLHLIRELYETFRNFKVRISDYLRYRKITSNMNDRFPDATPEELNSNDLTCIICREEMTTAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPSENGPTATGGQQRPQSDANRQGEGTGSSAQTEVGDGVARDNLSRHQARLQAAAAAASIYEKSYVYPSPTFACYPPIHRSVVQSSNNAELDGEQVSSEQAQKQFVIPGGPMNASSSPVQSFYVPPSQTHAAHMTYGEGSQLGAQTKLQNEKTNVDKSVGEDTSSVSDSRGKHMAASSSVSVSGHDESP >RHN63010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47559387:47561379:-1 gene:gene25658 transcript:rna25658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MATTPLFITPPPPPSATAAPPFTVETLAVLIDKSKSKTHLLQIHASLVRRNLDDHTILNFKLQRRYSSLGHLNYSVTLFNRTRNRDVYTWTSIIHAHTQSKLNDQALSYYAQMLTHRIQPNAFTFSSLLNGSTIQPIKSIHCHVIKFGLCSDTYVATGLVDGYARGGDFISAEKLFDKMPEKSLISFTTMLMCYAKHGKLLEARLLFDGMEGNRDVVVWNVMIDGYAQNGFPNECLLLFRRMLVEKVKPNVITLLPVLSSCGQVGALESGRWVHSYIKNGKDGVVGVEVRVGTALVDMYCKCGSLEDARKVFDKIDGKDVVAWNSMIMGYAVNGLSEEALKLFHEMHGEGVRPSYVTFIALLTACGHSGLVTKGWEMFNLMKNEYKMEPRVEHFGCMVNLLGRAGRLQEAYDLVRSMKIDPDPVIWGTLLWACRLHNNISLGEEIAEFLLSNDLASSGTYVLLSNIYAAAGNWDGAAKVRSLMKDSGVEKEPGCSIIEVNNRVHEFIAGDLKHPKSKDIYLMLEEMNSWLKGKGYTPKTDVVLHDIGEEQKELSLEVHSEKLALAFGLISTRPGTTVKIVKNLRVCLDCHAVMKMISKITGRRIVMRDRNRFHHFDNGSCSCGDFW >RHN50488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7541559:7545661:-1 gene:gene34771 transcript:rna34771 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRIGGLAFNKVIASLVALLLPPCGLRISYILYPYVYISPPKYHLCQGRLLPKDLGTIDFVLISSFLIRENILALVMNFTMVSPIFNSS >RHN76515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50891041:50893655:-1 gene:gene12855 transcript:rna12855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAT/LH2 domain-containing protein MKSVTSSILFLFFTFCIIIVFSQASPITITDYPQPNLSFKPNQTQHHQSNSCSYTVTITTSCNSPSFTRDRISLSFGDAYGYQVYVPKLDDPSSRTFERCSTDTFNINGPCTYPICYLYLYRSGYDGWKPESVTVYTHNYQPATFYYNAFIPNGVWYGFDYCRGYLPSTATATAAAAAL >RHN69787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46280205:46280570:1 gene:gene18301 transcript:rna18301 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNNIDLPLTSDNDNSFVANINEGCISPLSSWDSESDDDSLLWEIDNRSYDKLLKKCIENEEELRVTNLKLQLSEKEVINLKVQVENSKGQFDNVCEELKLKEDEMHKQKEVSEKEIFK >RHN68871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39233631:39236779:-1 gene:gene17286 transcript:rna17286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MVVSVLSYSQKPHVVCVPFPAQGHVNPFMQLAKILNKLGFHITFVNNEFNHKRLIKSLGHDFLKGQPDFRFETIPDGLPPSDENATQSIGGLVEGCRKHCYGPLKELVENLNNSSEVPQVTSIIYDGLMGFAVDVAKDLGIAEQQFWTASACGLMGYLQFDELIKRNMLPYKDESYITDGSLDVHLDWTPGMKNIRMRDLPSFVRTTTLDEISFVGFGLEAQQCMKSSAIIINTVKELESEVLDALMAINPNIYNIGPLQFLANNFPEKENGFKSNGSSLWKNDLTCIKWLDQWEPSSVIYINYGSIAVMSEKHFNEFAWGLANSKLPFLWINRPDLVKGKCRPLSQEFLDEVKDRGYIITWCPQSEVLAHPSVGVFLTHCGWNSSLESISEGKPMIGWPFFAEQQTNCRYISTTWGIGMDIKDDVKREEVTELVKEMIKGEKGKKKREKCVEWKKKVVEAAKPGGSSYNDFYRLLKDAYHCDAI >RHN43461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46073545:46074180:-1 gene:gene50043 transcript:rna50043 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSRPLVCDFVLGVLKMRLSSRVFFCWPSMCYVLLLRSGFFMFFLQVWGLSLFRPSSMMVQIVAALSLYCCGVAEIFAVSPTVLFSWLSYAAGDVLMCLCSFVPVLGPGCSVFGGCRCGDFPPRLILLRRAPGSFVVIWIVVVVFVLAGGCGGRRWACSIVCSFCVFLVCVQQRLGRVADLILFCSG >RHN65835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5709160:5712885:-1 gene:gene13727 transcript:rna13727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MPPRKNDQTLRDIQMEEMRRQIQQLQETVNAQQAQLEAQHMQSDVDESSSESSSLRSRRPQRQSFRDNDIKVDIPDFEGKLHPDEFVDWLQTVERVFEYKEILEEKKVKIIAVKLKKHASIWWENLKTKRAREGKSKIKTWEKMRRELSKKFLPSYYYQDSFIQLQNLRQKNLSVEEYTRDFEKLMMKCDIHEREEQTIARYLGGLNTDVAHPVQLQQYWSLDDVVRLAMRVEKHLPKKHSYRNFSSTENSSYPRKTDNDQPSTSTKPSPKPTTENKPKATKCFKCQGFGHIASNCPTRRTITIIKGEAYEDVDEETNRDEPEKEEVLEPIYDEELIVADHGESLVIRRSLHTMSAQEEHWLRKNIFHTRCTTAGKVCDVIIDSGSCENVVSNYMVEKLEMPTQSHPHPYKLQWLNKGSEVKVTKRCLVSFSIGQKYQDQVWCDVVPMDACHLLLGRPWQYDRRAHHDCYANTYSFVKDRVKIKLTPLPPSGLDKNKNESKPLVSLITKTQFKEAVDEAQTMSFILMFEENAEAVLPVEIEQMLSEFPDVVPEDVPQGLPPMRDIQHAIDFIPGVVIPNRPAYRMSPQEHAEITRQVEELLKKGLIQESVSPCAVPAILVPKKDGSWRMCVDSRAVNKITIKYRFLIPRLDDLLDQLHGATIFSKIDLRSGYHQIRIRPGDEWKTAFKTRDGLYEWTVMPFGLSNAPSTFMRLMNQILRPFIDKFIVVYFDDILIYSKHKEEHLEQLRQVLQTLREQKLYANLKKCSFLTNEVTFLGYIITAEGIRVDPSKVEAINSWPIPKSIHDVRSFHGLASFYRRFIKNFSSVAAPLTDCIKGDKFQWTEQA >RHN72593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8979597:8980862:-1 gene:gene8322 transcript:rna8322 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIGCYLLTQNNLVHYGSLRRPFVNAKCPVCPFDSRGVHLLPTC >RHN70866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54590903:54593843:1 gene:gene19495 transcript:rna19495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MKFPFSCGTIKSFTLDTPLVNSTIGDNNVKLNASNSNSTNPLQNIAISPQASSLDQVTNSEKSKQIETVVGFRNDGSPMGSVDGKDVNLTSQGNQSSLLAPQPMIPLSNGTFFDSKMYPKDGILEALQANYNATKGNDKLMISKNSKKKPSKIVAISEMNLMLQRNHASSQRPKPASSSAINVEIMKVKSEIENAPTIMNDSRLYSPLYRNVSMFRRSYELMEKMLKVYIYADGDRPIFHEPLLEGIYASEGWFMKLMEGNKQFTTEDPEKAHLFYIPFSSRLLQLTLYVRNSHKRSNLIDFMKNHVDMIAGKYPFWNRTNGSDHFVVACHDWAPAETRGRMLNCIRALCNADTEVGFKIGKDVSLPETYIRSIENTVKNLGGNPPSERPILAFFAGGLHGYVRPMLLKLWENKEPDMKISGPLPHVKGNANYIELMRSSKFCICARGHEVNSPRVVEAIFHECIPVIISDNFIPPLFEVLNWESFAVFIEERHIAYLRDILLSIPEEKYLEMQKRVKKVQEHFLWHNEPVKYDLFHMLLHSIWYNRLFYTS >RHN44062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1376203:1378322:-1 gene:gene38117 transcript:rna38117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAIKYEGRAVGIDLGTTYSCVAVWLENHNRVEIIYNDQGNKTTPSFVAFTDDQRLIGDAAKNQAATNPENTVFDAKRLIGRKFSDSVVQKDMMLWPFKVIAGVNDKPVIVVKYKGQEKHFCVEEISSMVLTKMREIAETYLMSPVTNAVVTVPAHFNDSQRKATIDAAIAYGFDKRSDCDGKRNILVFDLGGGTFDVSILTIKGDVFEVKATGGNTHLGGEDFDNRMVSYFVEEFRKKNKVDISGNQKSLRRLRIACERAKRTLSFALVTTVEVDSLFQGIDFCSLITRAKFEEINMDLFNECTKTVESCLSDSKICMSDIDDIVLVGGSSRIPKYQPDEAVAYGAAIQAAILSKGFKNVPNLVLQDVTPLSLGIAVGDHVMSVVIPRNTTVPVRKTKEFKTADDYDIGVVLISVYEGERARAQDNNLLGSFKLSIRRGAPRGTPLEVCFSINENGILTVSAKEISTGNTNQITINNEKERLSTFEINKMIADAEKYHMEDKKFLKKATVMNDLDYCVYKVKNALKKKDVNLNLSRKEREKINNAITVTTSLLDKNNNKQQKIDVVEDHLKELEILLEKLVVNTGIENKPKSFF >RHN44704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7492598:7493638:-1 gene:gene38854 transcript:rna38854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MMAEEDRMSGLPDELLCEILSFLPSEEFPSTSLLSKRWRRVWLGMPNADRISILPDELLCRILSLLPAKQIMVTSLLSRRWRSLRPRMTEINIDDTSYIHDRDAYDRYYHVIALFLFEMKIHHPIMKTVTILSASPFFNPLPLWLGCLQVQHLDVTSSATLCLCVPYKVLNSTALVVLKLNALTIDYVHRSSTNLPSLKILHLTQVHFLKLKFLIKILSMSPLLEDLLLKDLQVTDNTLAHDDAAALKPFPKLLRADVSESSISAFLLPLKLFYNVQFLRSQVLLQTLEQDFSTTQFLNLTHMDLIFHDGYYWISLMKFICACPSLQTLTIHNIGGDYDDHNNNWP >RHN75398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42007400:42008430:1 gene:gene11590 transcript:rna11590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MEEDDKNKAETSSKKRKGHPPGSGGGTFTPHIMNLNAGQDLVDAIYNLSEALPRRSVIINSATGSVSEVGLFDPNGPPNKRQVKFQIITLAVICLVDDDGRHCRAKARCGVILTDNKGITFGSTVVNSLKAAGPVKIIASSFATDVAKESTARILAAAAARNSNSDSQKVLEELEGHSSRLSPTTSTANNQDMKSPSVEDI >RHN80411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37835636:37838067:-1 gene:gene4337 transcript:rna4337 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps16-1 MVVSIRLARLGCTHNPFYRVVVTDSKCARDGKNIEVVGYYNPLAAKDDEKRVNIKLERVKYWLSVGAQPSEPVESLLFKAGLQVKRKGGLSGSYPVDTPNEEQPSNDKEVDGVSPEAVFSIGLQV >RHN42522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39015071:39018770:-1 gene:gene48970 transcript:rna48970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MEEDFEFPTAGNMEMPEEEEVMSPTQKVGEEKEIGKNGLKKKLVKEGEGWETPDNGDQVEVHYTGILLDGTKFDSSRDRGTTFKFKLGQGQVIKGWDEGIKTMKKGENAIFTIPPELAYGESGSPPTIPPNAALQFDVELLSWTSVKDITKDGGILKNIITEGEKWDNPKDPDEVFVKYEARLEEGTIVSKSDGVEFTVEEGFFCPALAKAVKTMKKGEKVLLNVKPEYAFGESGKPSSGNNGAIPPNASLQIDLELVSWKTVSDITKDRKVLKKTLKEGEGYERPNDGAVVQVKLTGKLQDGTVFFKKGHDGDQPFEFRIDEEQVIDGLDRAVKNMKKGEIALVIIQPEYAFGSSGSPQELTTVPPNSTVYYEVELLSFVNEKESWDLNTQEKIEAAGKKKEEGNALFKAGKHERASKRYEKAIRYFEYDSSFSDEEKQQAKALKISCNLNDAACKLKLKDYKQAEKLCTKVLELDSRNVKALYRRAQAYIHLIDLDLAEMDIKKALEIDPDNRDVKIEYKILKDKVREYNKKDAQFYGNIFAKMNKVEQARTAAAKQESVPMAVDSQA >RHN41585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31253614:31258092:-1 gene:gene47920 transcript:rna47920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S5 domain 2-type MLVAATIPIHHHHRHHHRIYASLFAIAKFTTMASTSSSSNNSGAFTTIKERVTFEKDIKKSKFIAIAGSVSDDKSAMSFLSQVRDPKATHNCWAYKVGDQYRSNDDGEPSGTAGKPIYSAISTSGIDRVMVVVIRYFGGIKLGTGGLVRAYGGVASECLKNAPTCLVKTKVPMGVEVPFDLLGVLYHQLQSFNVEDMKQDYDTGKEDISMVTFKVDFDQAEKLEDALKANCSRELKFYKR >RHN54277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8210945:8216294:1 gene:gene29283 transcript:rna29283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MTSSSAASRKTLSKIACNRLQKELVEWQVNPPTGFKHRVTDNLQRWVIEVGGAPGTLYSNETYQLQVDFPENYPMEAPQVIFLHPAPLHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSSTAKQRPEDNDRYVKNCRNGRSPKETRWWFHDDKV >RHN74163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29578419:29579360:-1 gene:gene10165 transcript:rna10165 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRMLLKIQNANLLPKGGGNDQPSLEHKIFLHLFITREYANVPKYIFKHMIQQLRESQEKNRCWIPYGRLLSEIFHKGGILKALSNVNFFTDAQLGLETGRIINGGTLRHMSLIGKDDYKKLRTDMQESDAVSALMKDFPPICNQDALEVKMHFIKEHFETTRTKISVRDVPEEMYGGALPVAKSRKTKRKEISKEAYLEEGSEQVFKKAKKDKKKKSSSEQLVDSELPTIQEEAQDLNAEEILENRTKSSKDAATSQAASEQPAIPKKKRKHAIRKLRMAAAASNEEEEVDATELVTREVKKKQAEDAAAL >RHN52593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36961153:36966517:1 gene:gene37287 transcript:rna37287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-primeverosidase MALNILLLSGVVVILRYVVISSFLECLISGKASSAYQYEGAANVVGRGPRIWHNSTHNYPGQLHYYTDSFPAFSSQSLLNHLGPQSTNFQNVVGDIQISSKVSSGNAFWRLVGLVSSVFGLLCYAQSPSFNRLIGRWNIVKFSLYGVFSLAIFTTILFVKQFSPSTKYAQLKTYISFAVLMIISVYSFYYDKAVNGKPEILSLVSNAAFALMSLSLSKLIKFGFEIGIFAYFLGCLVVQLWTINWMLILVAIIFGCPLFVMHSSSHSQDEVDTDQIIDESSGSDPEVGNVGQPDVDVGNEISQVIIESYPDCQKEVSSEGQDIHCSSDSITEVASDGGDLHVDIIHETNSISVVAL >RHN54760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11947965:11951807:-1 gene:gene29842 transcript:rna29842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MVAYSISSILQLISTLKPIPTRLSGIDHINKLEDILKEINDKVQKLSYSSIGEVRLLPLLQKVRDVVIDLNDLMEVLRYNESTTSGSTTAFIAFGLKITNHFKVKKAAEEFKRLIEVDLKGLCLSISQEAAEAATETVNSNMKNVKRNFEKITMVGRENEKKEIIDQLLKLNNPADDFVPVIVIVGVPGIGKTKLASLVCEDEQVKAHFGFEPIWIRSLHETFDVEYIANLAMTTVIDGSVRRLLVLDDLRIEIKHDLEKLQKKLTESGGTSWAILITTRSNYVADNISVARYVLKGLNRHESQLLFQQIRGQTSTSTNNKQDNIEWELVKDCGGVPLAIVTVAMLMKNQSAGGVSILAADQIAEVERKFLQELKFMYYKDLPMLHKLCFAYCSLFPRDYLIDAERLIELWTAEGFLTIPQQQFCRPCFNDFVPLVFQQVEEKEEGVVSNHSYRMNRLMHKLARLVTCEENMTVNSMGDKVKGGMLRASFDFALDLSCGIPDLLFDKAKKLRTILLPYSTNNPRLPHEVKMTTSTCDKIFNTFKSLRVLDLHDLGIKMVPTSIEEVKYLRYLDLSHNNIEKLPSSITKLIHLQTLKLSQCHILKELPKDLDGLSCLNHLDIEGCLDLTHMPSGINKLTSLQTLSLFVASKKQVITGGLRELTDLNNLRGRLEISHLEQVMFSPSKEAAQDEFLKNKQHLEFLTLRWDHDDEEEEEEEKVSHVKDIDRKLLDCLEPHPNLRALFIVGYNRHTLSNWLHSIQCLVKFTLNDCPKCEFLPPMDQLPHLKVLQIRRLDSLKFIAENNQVGNSPSSTTPILFFPSLKELTISDCPNLKSWWENEIWGNDRPYFSCISKLNIQCCPKLACMPLYPGLDDELVLVESNVRSMRDTMHHADGSETTTKSKPFSKLKSMVIERIEQTPPERWLKNFVSLEELHIRDCVILKSLPQGFKSLSSLISLTIERCEELDLDISGTEWKGLRKLRSLTLRSIPKLKSLPREIENLNSLHDLRLYDCHGLTDLTESIGNLTSLGKLVISECRNLDYLPKGMEMLQSLNTLIIMDCPLLLPRCQPDTGDDWPQIAHIKNKLVKKTPQDLRDDM >RHN76381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49811129:49818824:1 gene:gene12698 transcript:rna12698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MTHTRAYAIDRIVQKTNLTSFHFTPLLSIIYKFASTLLASLNQHFTLLVFVPIFCISNIITNQKMDKELQLCSVDAANEEEMVSQQPQRRKGGLITMPFIIGNEALARMASLGLLPNMILYLMGSYRLHLGISTQILLLSSAASNFTPVIGAFIADSFLGRFLGVGIGSSISFLGMSLLWLTAMIPSARPPACNHPSEGCESATPGQLAMLFSALILIAIGNGGISCSLAFGADQVNRKDNPNNRRVLEIFFSWYYAFTTIAVIIALTGIVYIQDHLGWKVGFGVPAILMLISTVLFFLASPLYVKIKQKTSLFTGFAQVSVAAYKNRKLPLPPKTSPEFYHQQKDSELVVPTDKLRFLNKACVIKDHEQDIASDGSAINRWSLCTVDQVEELKAIIKVIPLWSTAITMSINIGGSFGLLQAKSLDRHIISSSNFEVPAGSFSVILIVAILIWIIIYDRVLIPLASKIRGKPVIISPKKRMGIGLFFNFLHLITAAIFETVRRKEAIKEGYLNDTHGVLKMSAMWLAPQLCLAGIAEMFNVIGQNEFYYKEFPKSMSSVAASLSGLAMGVGNLVSSLVLSIIESTTPSGGNEGWVSDNINKGHFDKYYWVIVGINALNLLYYLVCSWAYGPTVDEVSNVSKENGSKVEESTEFKHMNPHFDDKVSGETSSKEKELTEFKNGAQVEKVFKNSEQRDLKEEDL >RHN48547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48355802:48359959:1 gene:gene43277 transcript:rna43277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triose-phosphate isomerase MAVTSTSLVSQLSIGLRRHPSPKLDSFTSTNPFFDAIHPNLRLSISSPKPSRTVIAMAGSGKFFVGGNWKCNGTKDSISKLISDLNNAKLEPDVDVVVAPPFVYIDQVKTSITDRIEISGQNSWVGKGGAFTGEISVEQLKDLGCKWVILGHSERRHVIGEKDEFIGKKAAYALSEGLGVIACIGELLEEREAGKTFDVCFQQLKAYADAVPSWDNIVIAYEPVWAIGTGKVASPEQAQEVHVALRDWLKNNVSAEVASKTRIIYGGSVNGGNSADLAKKEDIDGFLVGGASLKGPEFATIVNSVTSKKVTA >RHN73173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14071032:14071952:-1 gene:gene8960 transcript:rna8960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MITTLFNPSLDEFFHTTYPFSSVFTEVTPTAIATQYPSNKQNLDLIVGSCHIIICFDLDRGFTLLWNPSIRKFSELPSSLDEPHRLRAHVTYGFGYDYFSGAFQVVAFSGYSSGSLDGKVFKVKVKVHTVGTKTWRRIPDFPYGVPVDESGKFVCGSVNWLTRYGSDYVIVPLDLEKESYRKLLMPYYGEVVVIKSTLEVLKDCLCTLAFANTFSDVWLLKEFGNDEFWIKLFRLPSMEDPYGFCFYERLLYVFEDGKVLLQFHSELVVYNPIDGTLKTLQHIYGVSEVYHESVVSPLCFDMCSGY >RHN48558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48465459:48466639:-1 gene:gene43289 transcript:rna43289 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSYLRSPSYAKIRDNATWFWRQFIKQIFTFLLDCTSYSCLLKCSPCRNSRNHLVIQLVLMKNLGLQF >RHN70821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54288011:54293162:1 gene:gene19444 transcript:rna19444 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKARPEAGDYTIFMGLDKFENEELIKYGFIEDIWFHVDKMSSAHVYVRLHKGQTIDDMSEGLLEDCAQLVKANSIQGNKVNNVDVVYTPWSNLKKTASMDVGQVGFHSSKMVRTVRVEKKINEIVNRLNKTKVERKPDLKAEREAVAAAERAERKHQLREKKRREEIDRLEKEKQSELRSYKGLMVAENMTSNKDIASGSRSFQEVEEDFM >RHN71718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2142824:2153056:-1 gene:gene7347 transcript:rna7347 gene_biotype:protein_coding transcript_biotype:protein_coding MPYFVSRCVCIYEKIFNIFVKKNHLPSSVALHFLFGLDPSFYGVLHFLDGQGFPTVAFVEIGQ >RHN69462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43602062:43602872:-1 gene:gene17938 transcript:rna17938 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAEDYSFKKPGSVPFKWEIKPGLPIPHHHHQNPESPSFKLKPPPQLGSYKLSPVEPRTRSFRSSPKVGSERWRFERPLLAQPECVTSSGCFFSPFLKRLRSRKKVVKKVVEPDYTLELETIGRWSFSSSTTKSLSPFRRPSTVSSSVASSPQPQPVGDAEWAGFGLF >RHN50600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8446610:8447427:-1 gene:gene34906 transcript:rna34906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MVMDKVTQYIRVFNHFDENGDGKISSSELRQCVEAIGAKMSNEEAEMAVELLDSDGDGLIGLDDFVKFVEGGKEEEKVNDLREAFKMYEMEGCGCITPKSLKRMLGRLGECRSVDECQAMISQFDIDGDGVLSFDEFRTMML >RHN58890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5745862:5746683:-1 gene:gene20846 transcript:rna20846 gene_biotype:protein_coding transcript_biotype:protein_coding MDPELVQPVEHVNVEGEGKESGGEDNHHYEIDGEHVYAEYRRHASQVENLYPEENDFLPDFMNEVGGTHVPTQGLGVTQIPGEYIEGAQVTGQGGGTIRDAHTQNMTNQPIKGNRKRKRSGGAAKLGGQIETLISQSNKALEIMQSGGFISKQVDGSSNIATAMTVINRMVTKGVLEKGGELWCFATCLIENETRREIFLNMGDDDDSRKSWITYLHSKKNEC >RHN69426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43309614:43314816:-1 gene:gene17896 transcript:rna17896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-glutamyltransferase, Glutathione hydrolase MSLSSASIVMLLWHAITCLLFVSSLASASAKNGHTKTRNEVIIAHHGAVATDDRRCSKIGMDVIQEGGHAVDAAVAAALCLGVVSSASSGIGGGAFMLLRLANGVAKTFDMRETAPLHASKDMYGGNITLKANGGLSVAVPGELAGLHKAWEQHGKLPWNRLVMPSVILASRGFKISPYLHMQMEKTESNILNDIGLSSVFAPHGKLLKPGEICYNKKLGASLKAIAKFGPQALYGGIIGINLVKDVQKAGGILTLEDLKKYTVKQKEPISIDFLGLKILGMPPPSGGPQMMLLLNILAQYELPSGLSGSLGIHREIEALKHVFSVRMNLGDPDFVNITEVLSDMLSTKFAQVLKKDINDNKTYDSSHYGGKWNQINDHGTSHLSIIDFERNAVSMTTTVNSYFGATILSPSTGIVLNNEMDDFSMPIKNVSKDVRPPAPANFVVPGKRPLSSMSPTIVLKDRELKAVVGASGGIMIIAATSEVLLNHFVKGMDPFSSVTAPRVYHQLIPNVVYYENWTTVSGDHFELSADIREGLRSKGHVLQSLAGGTICQFIVSDTSEHSKINKGVGKLVAVSDPRKGGFPAGI >RHN64191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57175889:57178133:1 gene:gene26978 transcript:rna26978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate, phosphate dikinase MIMAITQEQRKAALELLLPYQQSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLAHVVSELTSQTGMKEAEIFSRIEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFQAAVSVSRNSIVVLPEIMVPLIGTPQELRHQVSLIRNVAEKVLSEMGSSLSYKVGTMIEVPRAALVADEIAIEADFFSFGTNDLTQMTFGYSRDDVGKFLPSYLSAGILQHDPFEVLDQKGVGQLIKMCTEKGRAAKANLKVGICGEHGGEPSSIAFFAQLGLDYVSCSPFRIPIARLAAAQVEV >RHN72509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8227252:8232599:1 gene:gene8229 transcript:rna8229 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSDVPYVHQAFLADWRPDTSTLNHSEHISSASAEVNLGHDSVSQDSQLYRGINNYGLSGNVQHQNGNQPAFPSIYKLPLPFHSTSDFRSGMKGAPSATIPKNPFLGTTSSSKYCSRPYRSRRPNSAHLVKLAPGLPPVNLPPSVRVVSQTAFKGFETGTSKVHPCRDGVNDVRKDNSASQIPHGEKIGIDHRAKRARPMPKDSGVHSQLESSETAEGRSTVAEKSTYADLQMHPLLFQVTEVGNTHYYPFKFNSDPSSSFSFFSGRQPQLNLSLFSSSQQQGHVDSANKSLQSKNSSLRLGGIDFHPLLQKSNDTQAPTSSDAIQAEPLVNSGVPDTTNISSGLYDKSNELDLEIHLSSVSESEKSMKSRQLKEHVPIESQQIIASSATEMNAPYCQQGGRIPSPSGCILASSAPLVVPDDNITRYDDVGNQSHPEIVMEQEELSDSEEDIEEHVEFECEEMADSEGEDGSGCEQASEVQNKFECEEMSDSEEEDGSGCEQAPEVPNEEVPRANSDIQLDSCLPTTDAMPNMTLISKEMDDKSNSSWLSLDFMFRLKFRLK >RHN69656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45282546:45283533:-1 gene:gene18152 transcript:rna18152 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKIKTFLLVILLVFIHFPLSSGLAEGFRENMHPTTNNFLYKDDIKMNSRKLLSHAFVLDYDEAGPNPKHSKKPGKGR >RHN67392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26761928:26771469:-1 gene:gene15578 transcript:rna15578 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MSSAFSSVSSSDVVPKKKYEVFLSFRGEDTRRNFTSHLYDALSRKKVETFIDNNELQKGDEISAALIKAIEESHASIVIFSENYASSKWCLNELKKILECKKYMEQIVIPVFYNIDPSHVRKQTGSYKQAFAKHKRNLKHNNDKLEKWKAALTDAANLVGWDSRNYRSDSDFIKAIVEDVLRKLNPRYPNEIKGLVGIEKNYEQIESMMEIGSNDVRIIGIWGMGGIGKTTIARTLYGKLYSQFEVRCFHNVMDESNKYGVNGLHNKLLSSLLEEEKIHPDASYIESSFSVRRIARKKVFIVLDGVDTLDQVDDLILIINGLGVGSRVIITTRDKHILSQLSNCEIYEFNELNKHDSLQLFSLFAFGEKQPKIGYEDLSESVIAYCRGNPLALKVLGKNLRSRGKKVWEDELKKLERIPNGEINNMLKLSYDNLDIFQKDIFLDIACLLRGENKMFVIHFLEACEFFAESGIEVLLDKAFIQIKSNWHSLAKLETDGLDMHDLLQEMGREIVNQESKDPGKRSRLWRAEEISDILKENKGTEVVEGIRFHSTNVGDIYLKSDSFRRMTNLRYLHICNDSDGSTGNVYFPDGLEWISDKLRYLLWVRYCLESLPSTFCAEMLVYLCMSHSKLKKLWDGVQNLVNLRTLWLRSSKDLIEIPDLSRATNLVVVLLSECESLRQLHPSIFSLPQLQYLDLRGCISIESLKTNIHSKSLRQLLLNGCSSLTEFSVTSEEMTKLSLRDTSIHELTSSIWHNTKLTVLDLAGCNKLTIVGNKLTDDHGLGSVTELDLSGCTEINAISLSSILDGIQSLKRLKLNECCNLECLPKNIQNHSMLEWLELDNCRKLVSLTEIPPSLFYLTAVNCTYLDTYFTQFSLIKNKAQKFCEDPSIEHEGVIRPFSFMPGAQIPLNFDFQTIKASITILPIAKSDLCGFIFCILFSEGFTVNHHVLHCIIFECGKEVDRRRIRFNYLGTLISDHVLMRWHGYNIQESGSYDCNLSFKFILQGPNEELWWSTEGIKGCGVIPVYALERELEGGGSSIISKEIGKLKSIAQDSDVSIAIGGEVRSSNNENEDNQEQPSYSCKEEQPSCSIGLFLRHLLRGSKE >RHN75878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45812760:45813446:1 gene:gene12136 transcript:rna12136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MSSAKKGRGRQKIEMKKMSNESHLQVTFSKRRSGLFKKASELCTLCGADAALVVFSTSGKVFSFGHPNLDTVIDRYLSLVPPQNNDTVQFIEAHRNANVRELNAQLTQINSTLEAEKKIGDELSNLHKETQAKFWWACPADGMNRDQLELFKKALEELKKLVIQHATIQTLPFFVGNASSSNIYLHHQPIPQQAQMFPPQIFQNPMLQLQPHLFDGLMMPHHGFNNMV >RHN40706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19772299:19773964:1 gene:gene46900 transcript:rna46900 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPCFIVEIGCYSLIMISISCGHLQIDFIGVVLMKLFFCSFSTSSVITLIKKVNSQNYGLIKTPVFQNQNLLQNGFSSKPVNVTALHQTLTWVL >RHN70928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55128640:55130069:1 gene:gene19563 transcript:rna19563 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVPVQRFRGVTSLDVKDIMLVRALLTSRDLLLEELHKMNKAIGEAIDTSDFVSKMNNADLINFAAQANGFAIDGEVLEQGKPQNGLEGGNGAQDIPNAENLHSLSQSELLNCFHSLGDQLHYLWKIFLKIHRENKTEILGLLHNTWAKDRKAEWSIWMIYSKVEMPHHYINSGSDDSSRRAMHKRVSSLWKLPDEINGRSIQDMQIFGDPLRIPVVLVERVMNVPRRSTSEIPLLGHVGLVDSHSLTNGLGSHTFRKKSAPKSNVRVLKIVVFVHGFQACLENFIAKLYTVFYVGLEHVKFIQLC >RHN38826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2300891:2301548:1 gene:gene44795 transcript:rna44795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MNGVTSLNSQMFHIVIDRCNNVKVQGVKVTAAGNSPNTDGIHVQLSSSVTILNSNIETGDDCISIGSGTTNLWIESIACGPGHGISIGSLAKEFQELGVENVTVKTIKFIGTENGVRIKSWGRPSNGFARNIIFQHATMVNVQNPIVIDQNYCPNQKDCPGQVRSLKENNIVCYEKC >RHN57215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37336144:37338982:1 gene:gene32725 transcript:rna32725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MTGANTPLLSSSKVSTEPATVSGAVFNVATSIIGAGIMSLPATLKVLGVIPGFVLILVIALLAEISVEFLMRFTNSGETTTYSGVMREAFGPLGAVATQLSVVITNLGCLIMYLIIIADVLSGNQPEGEVHLGILQQWFGIHWWNSREFALLITLIFILLPLVLYRRVESLKFSSAVSTLLAVAFVTTCSVLAIVALVEGKTQSPRLVPRLDHQTSFFDLFTAVPVIVTAYTFHFNVHPIGFELGKPSDMKKAVRIALILCALIYFSIGLFGYLLFGDATQSDILINFDHNADSSFGSLLNTLVRLSYAFHVMLAFPLLNFSLRTNIDEFFFPKKPLLATDNKRFVFLTLVLLVFSYIAAVAIPDIWFIFQFMGSTSAVCLAFVFPGSIVLRDIHGISTRKDKIIALAMVILALVTSVIAISNNIYKLF >RHN70132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49006533:49010141:1 gene:gene18685 transcript:rna18685 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3-like protein A MAAAHSPREENVYMAKLAEQAERYEEMVEFMEKVSANADNEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSVIRDYRSKIESELSNICDGILKLLDSRLIPSAASGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLAAYKSAQDIANAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGADEIKEAAPKPDEQQ >RHN51696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23018282:23020276:-1 gene:gene36195 transcript:rna36195 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTSLVHLRPRISISSFSSQLYFSTTMPAVTLLGPPEIYTLKSNPNPNPTTITTAETTTTTNNVFVDQMVDNFNSLASNRNPLMGFTENMSPTFLSTGNPCLDFFFHVVPDTPSETLVERLKLAWSHNPLTTLKLVCNLRGVRGTGKSNKEGFYAAALWLHENHPKTLATNVSSFAAFGYFKDLPEILYRLLEGFEVREKQKEEWQRKFSSTSRREINKKQLHHNKNKDVKDNKGEEVAARAKAQKETAHALKEEKRIALAKKLVDRYNRDPDFKFLHNCISHHFADCLKKDLEFLKSGSPRKISLAAKWCPSLYSSFDRSTLLCETIAKRIFPREEYEGVEEAHYAYRVRDRLRKDVLVPLRKALQLPEVFIGANQWGLIPYNRVASVAMEFYKEKFLKHDEERFEKYLQDVKAGKTTMAAGALLPHKIIKSFLNGYGGEVDELQWKRIVDDLLKKGKMKNCLAVSDVSASMYGTPMEVSVALGLLVSELSEEPWKGKVISFSAEPELHVIKGDDLKSKARFVRYMDWGFNTDFQKVFDRILDVAVNGNLKEDQMIKRIFVFSDMEFDRASAKSWETGYQVITRKFREKGYGSVLPQIVFWNLRDSIATPVPSTQKGVALLSGFSKNLLTLFLDNEGDLSPEEAMEATIAGPEYQKLVVLD >RHN47210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37927570:37927842:1 gene:gene41776 transcript:rna41776 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTMCFPFDHCSSPNSYFPCGVTLVACTVTLNVFFSPIFLNFKELGTTTILYPGNWAFAVYVLRGPTLVTVRVTVTAFNPRFGNVIEG >RHN51164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14693744:14694318:1 gene:gene35550 transcript:rna35550 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVSMPKLETLFLLFFFFFLPSSLKQNPPTIIISFLSIGASTAADLPHPFLLLSFSSKQNPSAVNFPPTVGWLLGDLELDLMEIM >RHN76294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49185596:49190642:-1 gene:gene12593 transcript:rna12593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-PERK-2 family MSRELSKRGKHEKGSDGAGKVIVAVKASKEIPKSALVWSLTHVVQPGDCITLLVVVPSQSSGRKLWGFPRFAGDCANGHKKSTILGASSEHKNDITDSCSQMILQLHDVYDPKKINVRIKIVSGSPCGAVAAEAKKAQANWVVLDKQLKHEEKQCMEELQCNIAVMKGSQAKVLRLNLVGSQKKDLEETCPLSSEQRVMPGKQAKKKNGSFNSTTIGQVVTPTSSPELETSFTATEVGTSSVSSSDPGTSPFFASEIIVESKKEETITENQETDDIISDTDSENLSASSASLRFQPWIADLFLHKQSSQREDERSDSERSCDRLQMSTTRALLEKFSRLDREAEIENSTYKTNMEFSGSVREAVALSRNAAPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSPANFLAEGGFGSVHRGTLPEGQVIAVKQHKLASSQGDHEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDTHLYGRQRKPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGEETRVIGTFGYLAPEYTQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQCLTEWARPLLEEYAIEELIDPMLGSHYSEHEVSCMIHAASLCIRRDPYSRPRMSQVLRILEGDTVMESPRHYGGSPSL >RHN74836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37053873:37054664:1 gene:gene10961 transcript:rna10961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEILKFVYALIIFLSFILAVISEDIENCETHSDCPHYMCTSPETPWCVAYQCGCY >RHN69356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42865446:42873029:1 gene:gene17811 transcript:rna17811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (cytosine(34)-C(5))-methyltransferase MGRGKGGKSRTQRKHFQHNRENVWKQPRPESSSITNNLFDIQNPDFDHYYKEQNIVSLEEWDSFMQVLRTPLPAAFRINSSSLFHADIRSQLENDFAPALQAMVDEVDEEEAIRPLPWYPNNLAWHSNFSRMQLRKNQSLKRFHEFLKLENEIGNITRQEAVSMVPPLFLDVHSNHVVLDMCAAPGSKTFQLLEILHRSTKAGSLPDGMVVANDLDVQRCNLLIHQIKRMCTANLIVTNHEAQHFPRCQLNMDCDIMGPDQHNSQLLFDRVLCDVPCSGDGTLRKAPDLWRRWNTGTGNGLHNLQILVAMRGLSLLKVGGRMVYSTCSINPIENEAVIAEILRRCEGSIELVDVSGELPQLICRPGLKTWKVCDKGKYLVSCKEVPKVLRNVVLPSMFPNGGSYQDTDCNSNCDVNGHSEDGVQEAENHMMHEFTEELSDFPLDRCMRLLPHDQNSGAFFIAVLQKVSHLPAIEVKIRKKVDRQHVESVNQGNEDAQVLQINPSESTRDEEVCEQVSEDNKNEPNTADLKFSPVIDEGDSEEAQEPHNEENMAKITPSKRKLQIQGKWRGVDPVVFFKDEAIIKSIKAFYGINEHFPLDGHLLTRNSDTSNVKRLYYISKPVKNVLELNLSVGQQLKITSVGVKIFERQKSREGRSVECAFRITSEGLPLILPHITKQILSASPIDFKHLLQYKNIKFAEFVDAKFGEKATNLMPGCCVVVLGEGNRTAAEALHVHESSIAIACWKGGASLTVMVTSIECQELLERLLMRLDRATEKGSSIDEK >RHN41336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29246289:29257887:-1 gene:gene47646 transcript:rna47646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MKLDRIEEGSVRLLGSSSGGSSESRWVDGSEVDWDEDPPWSHHGRDGREGYGSIRRRLVKKPKRVDSFDVEAMEIAAGHDQHSKDLSLWPTIALAFQTLGVVYGDMGTSPLYVFAGVFSKVPINSDDDVLGALSLVMYTIALIPLAKYVFIVLKANDNGEGGTFALYSLICRYANVNLLPNRQQADEQISSFKLKLPTPELERALKIKETLERTSIMKNVLLVLVLLGTSMIIGDGILTPAISVMSAISGLQDQINGFGTAEVVSISIVVLVALFSIQQFGTGKVGFMFAPVLALWFFSLGSIGVYNILKYDITVVRALNPAYIYYFFKNNGKSAWSALGGCVLCITGAEAMFADLGHFTVPAIQIAFTCVVFPCLLLAYMGQAAFLMKNPASYSSVFFKSVPESLFWPVFVIATLGAMIASQAMISATFSCVKQSMALGCFPRLKIIHTSKKFMGQIYIPVINWFLMIMCIVVVSIFQSTTDIANAYGIAEVGVMMVSTTLVTLVMLLIWQTNLFLAFCFLLVFGSVELIFMSSVLSKIFEGGWLPLAFATFFLSVMYTWNYGSVLKYRREVREKISMDLMLDLGSNLGTVRVPGIGLLYNELVQGVPSIFLQFLLSLPALHSTVVFVCIKYVPIPVVPQEERFLFRRVCPKDYHMFRCVARYGYKDVRKEDHHAFEQLLMESLEKFLRREALEAALELEGNLTDDVDTASVDTRNSDLAAGNGAEELRMPLLHGQNLEETGTSTSHEGASTLLPSSYMSSDEDPSLEYELSALREAMDSGFTYLLGHGDVKAKKNSFFFKKLVINYFYAFLRKNCRGGTANMKVPHTNIIQVGMTYMV >RHN63396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50928182:50929432:1 gene:gene26092 transcript:rna26092 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGGCCIVRNGAHDMSTMEKIMLRFRPIAPKPVVPGATTASDGTSSSDSGDAFFKTSRTRRKYVKKLKQNNTTDKRSIRRKKTISSPKQTHPVPVTLPLLPETPAKEQTLTEVNNTNQWLSFKSCSKVEAEPYSTVEPVTVRLTVECVTDAWVEGEGLGNTDEERSAKLMVDTCPGFISDGYGRVTWTNGAYREMMGDGVIALVMKINGVVLYPSFTCRVRVVQFACGRERNLFTLPCDVWRMDCGGFAWRLDVKAALSLRLGC >RHN52092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31403978:31408774:-1 gene:gene36712 transcript:rna36712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-IV family MECSLFMFKFLSFSVMFLVLNSKSVLGNAELKALLDLKSSLDPEGHFLSSWKIHGNPCDDSFEGVACNEKGQVANVSLQGKGLSGKLSPAIGDLKHLTGLYLHYNSLYGDIPKEIANLTQLSDLYLNVNHLSGEIPSEIGKMENLQVLQLCYNQLTGSIPTQLGDLKKLSVLALQSNKLAGAIPASLGDLGMLMRLDLSSNNLFGSIPTKLADVPFLQVLDVHNNTLSGNVPPGLKKLDDKFMYEYNLGLCGVGFSSLKACNASDHVNPNRPEPYGAGVGSMSKEIPETADIKLPCNTTRCQNSSKSKKTASITVGIVLATIAVSAIAILSFTMYRRRKQKLGSAFDITESRLSTDQTKGIYRKNGSPLVSLEYANGWDPLADSRNFNGDKQDMFQSFRFNLEEVESATQYFSELNLLGKSNFSATYKGVLRDGSIVAIKSISKTSCKSDEGEFLKGLNILTSLRNDNLVRLRGFCCSRGRGECFLVYDFVSNGNLSQYLDVKEGDGEVLEWSTRVSIVKGIAKGISYLHAYKANKPALVHQNISAEKVLIDQRQNPVLSDSGLYKLLTNDIVFSSLKGSAAKGYLAPEYTTTGRFTDKSDVYAFGILLFQILTGKHKITSSLRLAAESFKFQEFIDQNLHGRFFEYEAAKLARMAILCSHDSPFERPTMEAIVQELGNCSSCL >RHN48522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48166788:48167438:1 gene:gene43247 transcript:rna43247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVKILKFADALIIFLSLIVLVTSNDRVFCLTSKDCRCHKCFAREVPKCFLFMCVCYRI >RHN62018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40118387:40121416:1 gene:gene24543 transcript:rna24543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLMITPRILHRLHCKKWSFFTYHHYSYHHHTPNNHLPVPLPPTIHLSRICKHPTTVKTLHASLIISGHPPDTTLISLYASFGFLRHARTLFHRLPSPTHHSFKLIIRWHFLNDVHSHVVSFYNLARTTLGSFNDLVVFSILLKTASQLRDIVLTTKLHCNILKSNAADSFVLTSLVDAYSKCGKLRDARKVFDEIPDRSVVSWTSMIVAYVQNECAEEGLMLFNRMREGFLDGNVFTVGSLVTACTKLGCLHQGKWVHGYVIKNGIEINSYLATSLLNMYVKCGDIGDARSVFDEFSVSTCGGGDDLVFWTAMIVGYTQRGYPQAALELFTDKKWYRILPNSVTLASLLSACAQLENIVMGKLLHVLVVKYGLDDTSLRNSLVDMYAKCGLIPDAHYVFATTVDKDVVSWNSVISGYAQSGSAYEALDLFNRMRMESFLPDAVTVVGVLSACASVGAHQIGLSLHGFALKYGLVSSSIYVGTALLNFYAKCGDATSARMVFDGMGEKNAVTWAAMIGGCGMQGDGVGSLALFRDMLKEELVPNEVVFTTLLAACSHSGMVEEGLMIFDFMCKELNFVPSMKHYACMVDLLARAGNLQEALDFIDKMPVQPGVGVFGAFLHGCGLHSNFDFGEVAIRRMLELHPDQACYYVLISNLYASDGRWGMVKEVREMIKQRGLNKVPGVSLVEMDVNNTTHVNVAV >RHN56055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27231805:27233796:1 gene:gene31379 transcript:rna31379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRKIKMAKTCKLVFALILFVSLYLVSMSAELGGPCRSDEECPQLSLRFFAIKCRENVCIYVDLDPYKPRAEKNQFLH >RHN53000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41002321:41005112:1 gene:gene37743 transcript:rna37743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAGGAFADGSTLKRAHLYEHKITGYLIFSCIIGALGGALFGYDLGVSGGVTSMDDFLVEFFPHVYARKHEKLQETDYCKYDDQILTLFTSSLYFAALVSTFGASSLTKNKGRRASILVGSVSFFCGAIINAAAKNIAMLIIGRILLGIGIGFGNQAVPLYLSEMAPAKIRGAVNQLFQLTTCLGILIANLVNYGTEKIHPWGWRLSLGLATVPAIFMFIGGIFCPETPNSLVEQGRMDEGRVVLEKIRGTRNVDAEFDDLIEASREAKSIKNPFQNLLLRKNRPQFIIGAICIPAFQQLTGNNSILFYAPVIFQTIGFGSGASLYSSVITSVALVLATLISMALVDKFGRRAFFLEAGTEMIICMVATAIVLATCFGDGKQLSFGVAIFLVLVIFLFVLAYGRSWGPLGWLVPSELFPLEIRSSAQSVVVCVNMIFTAIVAQFFLVSLCHLKYGIFLLFGGLIVLMSCFVYFLLPETKQVPIEEIYLLFENHWFWKNIVKDENKGSETQA >RHN68300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34411378:34415569:1 gene:gene16634 transcript:rna16634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannose-1-phosphate guanylyltransferase MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKDIGVTEVVLAINYQPEVMLNFLKDFEEKLDIKITCSQETEPLGTAGPLALARDKLIDGSGEPFFVLNSDVISEYPLKEMIKFHKSHGGEASIMVTKVDEPSKYGVVVMEETTGQVEKFVEKPKLFVGNKINAGIYLLNPSVLDHIELRPTSIEKEVFPKIAANKKLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKTSSKLASGPHILGNVIVHETAKIGEGCLIGPDVAIGPGCTIESGVRLSCCTVMRGVRIKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVSDEVYSNGGVVLPHKEIKTSILNPEIVM >RHN68079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32667899:32670210:-1 gene:gene16376 transcript:rna16376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MVVKVYGSINAACPQRVMACLLEKEVEFEIVHIDLDKGEQKKPEFLLLQPFGQVPVVEDGDFRLFESRAIVRYYAAKYADRGPDLLGTTLEEKAVVDQWLEVEAHNFDDLCFNIMFNLVILPKMGKPGDITIAHSSEQKLEEVLDVYERRLSKSTYLAGDKFTLADLSHLPGIGHLIEAAKLGHLISERKNVNAWWEKISSRPAWKKLKELAK >RHN58942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6095055:6095825:1 gene:gene20900 transcript:rna20900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MAATSPIRSYSVAAMLAPRPVLTKRNHFRGYTWQKHPVWLLWTLKEHGVINLNHAAYTDLDKSTSFSGVYDGHEGDIGTSLQQAFLRVDEMMRGQRGWRELSILGDKINKFSGMIEGLIWSPRSSKGINRVDDWAFEEGPHSDFAGPTSGSTACVAVIRNNQVVVSNAGDSRCVISRKGQVTLEWKFFNVYYVECLHRCRQTNTDKYKMLFSYA >RHN38840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2390240:2395122:-1 gene:gene44809 transcript:rna44809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAQAKNKQVILKHYVSGFPKESDMNIIDSTITLKLPQASNDVLLLKNLYLSCDPYMRNLMNSPENCFYRRNYSPQSEFQGIAGYWKVPIAGCGVSKVVESGHKDYKEGDLVWGFTNWEEYSLIPAAQILFKIEHTDVPLSYYTGILGMPGMTAYAGFFEVGSPKKGENVFVSAASGAVGQLVGQFAKLHGCYVVGSAGSKEKVDMLKNKFGYDEAINYKEEHDLNAALKRYFPEGIDIYFENVGGKTLDAVLLNMKLHGRIPVCGMISQYNLTQPEGVTNLAHIIYKRIRMQGFTVANYYHLYTKFLEFVLPHIREGRVVYVEDIAEGLEKGPAALVGIFSGRNVGKQVVVVAHSTTIKKINLVTKMAQVKNQQVLLKHYVSGFPKESDLNIVDSTINLKLPEGSNDTVLVKNLYLSCDP >RHN66577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14175842:14176182:1 gene:gene14590 transcript:rna14590 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAIGVWILFLWSFAMECCMLFILGIPSIYDDLYFVVSVLPIHICSFVQGLGY >RHN60173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22338445:22339379:-1 gene:gene22417 transcript:rna22417 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGIRAWTVAASVGVVEALKDQLGICRWNYALKLAQQHVKNNVRSFSQAKKLSSSSSSMISKRLKDDKPNQSEESLRTVMYLSCWGPN >RHN49122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52602487:52603965:-1 gene:gene43917 transcript:rna43917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MWNSWALAIKKAYSSSSPRKAIQLYSKMHRNGVPIDTFCILFTLKSSTRLPIIHHLHAHIIKLGFTSHFHISTCLLNSYVFLSFLDACILFDEMPQRDTVTWNTMILGYSRLEDVKKARQLFDEMPHRDIVSWCNMISAYLNVGSYMQSLYLFRRMLFVEGIKPDQVIACLVLSGCAHVERHGLLGGKSVHGYIVKNGWELNVEIGAALVNMYAKGGVLRNAAMVLELMDERDVTSWTLMICGAVRCGFNKEALVVFEKMQMDGVKPNELTFTGVLSACAHGGFVEDGRRYFKMIEECGLKPRVQHYACLVYLIGKSGMLEEAYEIIKMMKVEPDVVVLGSFLSACKEHKQFEIAEGVIEQVLRMAKPENYRGLYSLIADLYVIGDKSEEAERMKKLIIKEHLRQAKGLNFDRNGLR >RHN57547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39962689:39964780:1 gene:gene33109 transcript:rna33109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydropyrimidinase MVHAENGDDVYAAQQKMIELRLTGLKGHPLSRCAVLEGEATSRAIRLYVVYVMSIDVMEEIVKENKCFCKTTFFTGKKEDSTRIISAETWCKTNHWLVHMFLV >RHN52126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31771047:31773490:1 gene:gene36754 transcript:rna36754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasaponin III rhamnosyltransferase MDSIVHNENENCKPLHVVMLPWLAMGHIYPYFELAKILAQKGHTVTFISSPKNIDQMPKIPTAIQPFINLVKSPLPHIEQLQGGESTMTVPSNMIGYLKLAYDGLQDDVAVILKTSKPDWVFYDFAADRLPLIAKSLNIPCAHYNIVPAWNICFFNRPKDHEVDMYSPLNWVPYQTTLHLKPYEIMRTMSSIENHSGRRLALDLNKVYSSCDLFLIRTSRELEGEWLNCIFDQYKVPVVPVGLLPPSMQIRDDEEEENNPDWVKIKAWLDSKESSSVVYIGFGSELKLSQQDLTELAHGTELSHLLKYSTTF >RHN49589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55826622:55828100:-1 gene:gene44435 transcript:rna44435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L12 family MSLGETACSYALLILEDDNIPATADNITSLLKSAKVDVESFWPALFAKLAEKKNIRDLIASAAGGGAPGVAVAAAPAAASGGGAAAAPAAAEKKPEPEEEESDEEMGFGLFDE >RHN59282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9063347:9070062:1 gene:gene21287 transcript:rna21287 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMSHLTTFTKDLHGPFFLLLVHIFLSSFLSSTLTLPQSTPLAPTLSLFTLFHVFSTFTVVFLFSSFAVVDSTTTVSGGFSPAASSLALSVQLPLPFPGGRSMALSATVTVRQPNTTHNSFTTWEAFR >RHN55478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18841325:18844313:-1 gene:gene30665 transcript:rna30665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cell division topological specificity factor MinE MAISGDIRVTATLPLHRSHPLLPTTSFIPPKVDFHCFLNGGSCISGFTPKRSTMTTVRSNMRGYHKPVFAVLGGSNFSSKSGSQEAENFLLDAVNMSFFERLNLAWKIVFPSAVSKKSSVARIAKQRLKMILFSDRCEVSDEAKQKIVSNIVRALSDFVEIESQDKVQLSVSADTDIGTIYSVTVPVRRVKPEYQVVDETGTITNIEYKDTGDISGSVDVRFDFYVQDETS >RHN61006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32272658:32279347:1 gene:gene23415 transcript:rna23415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid binding NABP, pumilio, RNA binding domain-containing protein MLSELGRRPVIGNNEGCFGDELEKEIGMLLREQRRQEGDDRERELNIFRSGSAPPTVEGSLNAVGGLFGAGGVAGGFSDFPGNKDVNGVVSEEELRSDPAYLQYYYSNVNLNPRLPPPLLSKEDWRFQQRLKGGASAVGGIGDRRKGNMTDDSGGRSMFSTPPGFNFRKQERSEVENEKTRGSAEWGGDGLIGLPSLGLRSKQKSLAEIFQDDMERNTPVTGLPSRPASRNAFDENVDKVNTSEAELAHVQGSSATQNIGLPASYSYAAALGGSSLSRSTTPDPQHIARAPSPCLTPIGGGRNVASDKRGIVSPDSFNGVSSGMNESADLVAALSGMNLSADDEDHLPSQVESDVDNHQRYLFGMQGGQDHGKQHPYLKKSESGHLQSSGKSRSELNNLSLHRQAELQNSTAPLNNSYFKGSPTSHFSGGGNMQAQYQSIDGMNSSFTNYGLSGYGGNPAALTSLMTNQYGTSNLPPMFENVAAASMMASPGMDSRILGGGLASGAGSPSDLHNLGRMGNQIAGGALQAPFVDPMYLQYMRTSEYAAAQLAALNDPSVDRNYLGNSYMNLLELQKAYLGSVLSPQKSQYNAQLGGKSGNSNHHGYYGNPAYGVGLSYPGSPMANSGSPVGSGSPIRHNDLNNMRFASGMRNLAGVMGPWHVDSGNMDESFASSLLEEFKSNKAKCFELSEIAGHVVEFSADQYGSRFIQQKLETATTEEKNMVYQEIMPHALALMTDVFGNYVVQKFFEHGLAPQRRELANKLIGHVLTLSLQMYGCRVIQKAIEVVDLDQKIEMVKELDGNIMRCVRDQNGNHVIQKCIECVPEEAIDFIVSTFFDQVVTLSTHPYGCRVIQRVLEHCESPATQQKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKPHERSTIIKELAGKIVQMSQQKFASNVVEKCLTFSGPAERQILVSEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDHQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRMAAQTPQLA >RHN39815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10771298:10771852:-1 gene:gene45883 transcript:rna45883 gene_biotype:protein_coding transcript_biotype:protein_coding MRANRSNNNNSGGRGSRGDSGSNNRGGRNRGRGGRSHTISNTGRGINPHNSHEHIHLGHILHGSLFHVHTLQLEIGSNQPLSTVNQAFLGIDHMKHMSHQHNHHTHQQNAHSVYNSS >RHN56499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31356134:31358766:-1 gene:gene31907 transcript:rna31907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative costunolide synthase MSFAVTTIIFAFLLFTFMYFLFKLFLHSKQKTIIHEKPPGPPTLPIIGNLHILGTLPHRTLQSLSKKYGPIMSLQLGQVPAIVISSSKAAESFLKTHDIVFASRPKIQGSELMSYGSKGMAFCEYGPYWRSVRKFCTLKLLSASKVEMSGPIRKEELSILVNTLKKAALVGEVVNVSEVVENLIEDIVYKLMFGRSKYEQFDLKSLVQEGLALIGAFNLADYVPWLGIFDLQGLTKSCKKVSKALDEVLEVILTEHEQAANVNKTQKDFVDILLSIMHQTIDIEGEQNLVIDRTNIKAILLDMIVAAIDTSATSIEWALSELLRHPRVMKKLQDEIQNEVGNKRMVNEKDLKKLNYLDMVVDETLRLYPVAPLLVPRESRESTTIDGYFIKEKTRLIVNAWAIGRDPNVWSENAKEFYPERFVEKKMNYLGQEFESIPFGSGRRRCPGIQLGLITVKLVIAQFIHCFNWELPHNISPSNLNMEEKFGLTIPRAQHLHAIPSYRLNDAKLE >RHN59329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9626254:9629325:1 gene:gene21341 transcript:rna21341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MSSSHGSGSNGNGSNNNTSMVISENLAHLSNDDNSEEKCGGGGMRMEDWEGQDQSLLRLIMGDVEDPSAGLNKILQNSGYGSQNVDFHGGFGVLDHQQQQGLTMMDASVQQGNYNVFPFIPENYNVLPLLDSGQEVFARRHQQQETQLPLFPHHYLQHQQQQQQSSVVPFAKQQKVSSSTTGDDASIQLQQSIFDQLFKTAELIEAGNPVQAQGILARLNHQLSPIGNPFQRASFYMKEALQLMLHSNGNNLTAFSPISFIFKIGAYKSFSEISPVLQFANFTCNQSLIEALERFDRIHVIDFDIGFGVQWSSFMQEIVLRSNGKPSLKITAVVSPSSCNEIELNFTQENLSQYAKDLNILFEFNVLNIESLNLPSCPLPGHFFDSNEAIGVNFPVSSFISNPSCFPVALHFLKQLRPKIVVTLDKNCDRMDVPLPTNVVHVLQCYSALLESLDAVNVNLDVLQKIERHYIQPTINKIVLSHHNQRDKLPPWRNMFLQSGFSPFSFSNFTEAQAECLVQRAPVRGFQVERKPSSLVLCWQRKELISVSTWRC >RHN63309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50287356:50288679:1 gene:gene25995 transcript:rna25995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MAKKIIEEEILEIKEEIENIDRTIAMYNSRITYYQREVLIEKKCLEDQQETLLMAQSNLKCFDGGRTSSGRGSGGRGRFNSLSSFCCRTTVCQGSSGRGGGGKSGGGGWSGGRRSSDRGRFNSLIGSDGSGGGGGRSGGGGFEGGGRLRGFGGRGMGASRLVVQPFIGYYIDHCQSSFDRHRPFILGGVIAVVIAALLIAFASDLGHLFGDTLESETKPHNIVITVLSLSMFEVANNVVQTPCRAFIGDLASDDYNQVIIGNWLVSFFKVVGNIL >RHN42783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40958666:40965082:-1 gene:gene49270 transcript:rna49270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminomethyltransferase MTTLSLPSCFNLRNQPWSPSSLFLKPCLLPNLSFCSHQRNKKNKNQISYSISAQSSSFDLSPPPIDHDFLDTVKTAGAEVSGEGIVETFHNDEEALDAADNGVVVVDLSHFGRIRVSGDDRVQFLHNQSTANFEGLQAGQGCDTVFVTPTARTIDIAHAWIMKNAITLVVSAETSRTITEMLNKYIFFADKVEIQDITKQTSLFVLAGPKSGQVMESLNLGDLIGKPYGTHQHFYVDKQPITIGVGNIISEGGFSLMMSPAAAPSVWKAILAQGAVAMGSNAWNKLRVIQGRPAPGMELTNEFNVMEACLWNSISLNKGCYKGQETIARLITYDGVKQRLWGFHLSAAAEPGSIITVDGKKVGKLTSYASGRKPSEHFGLGYIKRQTASEGDSVIVGDNIIGTIVEAPFLSQQRPLSGSSSS >RHN43981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:483829:484152:1 gene:gene38024 transcript:rna38024 gene_biotype:protein_coding transcript_biotype:protein_coding MNASKLKQGNIQVNTSAKGSLWPVAGKNHTQNKINHTDLARGSMVDAGKDSTFDPKTLDYASKANAFAANDFPEVGASSAFVVESDSARHLNHDHVMINSTSALMVV >RHN42156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35893181:35893881:-1 gene:gene48560 transcript:rna48560 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNGRLYKPDPYVNEKIPFDKHKMAKMQINAIWSDLEHFWSG >RHN64408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58822209:58829735:1 gene:gene27224 transcript:rna27224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative N-acetylneuraminate 7-O(or 9-O)-acetyltransferase MHILSPVTPGQVSFLLGLFPVIIAWIYSEILEFRKNSLTSKAHSDIGLVEVRTDVVKDEETTVLLEGGALQPASPTPKARSFTASTSIIRFFFLDEHFLHENRLTLRAMSEFGLLLAYYYLCDRTDFFGSSKKSYNRDLFIFLYFLLIIVSAITSFTIHHDKSPFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAASEIYNSIRLFIAAYVWMTGFGNFSYYYIRKDFSMARFAQMMWRLNFLVLFCCVVLNNSYMLYYICPMHTLFTLMVYGALGILNKYNEFGSVIAAKIGACFLVVILVWEIPGVFEWVWSPFTFMLGYTDPDPSKSHFTRLHEWHFRSGLDRYIWIIGMIYAYYHPTVERWMEKLEETEIKRRISIKASVVLISSVMGYLWFEYIYKLDKVTYNKYHPYTSWIPITVYICLRNITQSFRSYSLTLFAWLGKVTLETYISQIHIWLRSGIPDGQPKLLLSLIPDYPMLNFLLTTSIYVAISYRLFQLTNTLKNAFVPSKDDKRLIHNLITGTTISVVLYSLSFLFLTIPQVLV >RHN49726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:651408:654395:-1 gene:gene33933 transcript:rna33933 gene_biotype:protein_coding transcript_biotype:protein_coding MICICQNGKGHLMLLRRNTISQGGYFFITFLRFYFGYFNDMVSLVTI >RHN39132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4338169:4339304:1 gene:gene45131 transcript:rna45131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MLLIDVLGNVEVAFVNALLYGCPNIEALDLHFLSDSLENVCLPASLKRLKIQIDNDFGSSLEINAPDLEYLNIYQHKFIDVLSMNSFHNVVEASLDLFPFSYNFVDPLLKLLNTLSRTKHLVLSGSTTKWLLGEPRDLFFQEFRYLLHLELILPWFNSNYLLSLLQKCPVLQVLKIQNKEQSPPILGWAPQPNAPKCLVSHLTFIQFKGFLGLPDEVSFVEHVLQEGLVLKTIMIISDISLDQSKKYDILKRLSNVPRASRMCQLTFDCI >RHN56934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34999681:35000823:-1 gene:gene32404 transcript:rna32404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MPIFFCGQIRKVHMEKARGLRKGAWTYEEDNLLKACIHKYGEGKWHLVPKRTGLNRCRKSCRLRWLNYLNPAINRESFAEDEIDMILRLHKLLGNKWSLIAARLPGRTANDVKNYWHTNLRKKVIPRKEEKEEKEKSKESMIKSHEVIKPRPRTFSTHSLWLKKKHNFVSNGSATKLVISSEDGNVPRECDKTTLPNLIDSSSSHSPWLKKNHSLPTTLMASREDVNIPRECDKTTLPNVGDAQTCVGNDPSSTTWWESLLNMDEERSNEKIDSSSLLPKENFTLEFSNVEDFFNNGPTVSDSDWDSNLYDFNFFGVFN >RHN59818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13561710:13568600:-1 gene:gene21977 transcript:rna21977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MGLEKSFVMVVCILLGISERSMGWKHNYGEALSKSILFFEGQRSGKLPPTQRMTWRKDSAFDDGFQLYDDRFFDKVDLVGGYYDAGDNVKFNFPMAFSTTMLAWSVIEFGKFMGPELNNALDAIGWATDYFLKATNTPGFVFAQVGDPHGDHNCWERPEDMDTPRTAFFVSAENPGSEVSAEIAAALAASSIALRKFSRNIAYSERLLHRAKTVFEFADKYRGSYNDSLGPYVCPFYCNYGGYQDELVWGAAWLLKATKLPYYWNYIKQNIHNVKNFGEFGWDSKDAGINVLVSKLLVNNPAAKPFTLNADKFVCAVLPESPLVSVKYSRGGLLFKTSGSNMQHATAYSFLFLVYAGYLNKANKKIDCGGGVLASSWRLKNLARRQVDYILGSNPLGMSYMVGYGQKFPKRIHHRASSLPSIDQHPSKIDCKGGSTYYETQNPNPNLLLGAVVGGPNINDEYVDSRADFVHSEPTTYINAPLVGVLAYFKSHPS >RHN70904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54926274:54935923:1 gene:gene19537 transcript:rna19537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MKEIMEVESGVTTPSALLDDDGRTKRTGTVWTASAHIINAVIGTGVLSLPWAMSQMGWGLGISCIFIFAGVTLYTSNLLADCYRSPDPVTGKRNTTYMEAVKTHLGGKQHVFCGLVQYGNLAGFTIGFIITTSTSIVTILKNNCFRKNGFEAPCRFSNNPYMIGIGIIEIILSQIPNFHKLSVLSIIAASMAFGYASIGVGLSLTTVIQGNVKSTSFSGSNKGRSSADIAWNILVAIGDIALASAYTQIAVDIQDSLKSSPPENKVMKRANMIGIFTMTIFFLLNACAGYAAFGSNTPGNILMSSGFHKPFWLLELANVFIIVHLLGAFQVIVQPLFRIVEMLAAQKWPDSSFITREIPMKIGQIKYTINLFRLVWRTTFVVVVTVLAMAMPFFNDMIALLGALGFWPSVVYFPVEMYIVRQKIRKGTFRWFGLQTLSLFCLLVSLAAAIGAIHGLSQAIGKYKPFMYKA >RHN42552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39253192:39253467:-1 gene:gene49004 transcript:rna49004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-18 MEKQMVKDETKGARESGKGSIEGLPFEDSPYLKCKDLEDYKCQGYGTQGHQQPKPGRGPGATEAATLSGANVSSQAQFNAADDAVHSKEAS >RHN81929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49881285:49882666:1 gene:gene6027 transcript:rna6027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MAEDQNQHKILTTSDNDDKKMIRREVEKQRRMQMSILCSSLRSSLPFDLIKGKRSVSDHIGEAANYVQILKQKINELEIKRDKMKEMVSSSRVETGNELSADPSSVVKCVKIDLIPGGVEIVICSGLEDYSSRLSDLMKIILQEGCDVVHCVTNQVNGKIFHTIKSEVEDLAHLNLARLQNKLDHAILLSR >RHN68876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39297503:39300891:-1 gene:gene17291 transcript:rna17291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyanohydrin beta-glucosyltransferase MESNPHHTQQKPHAVFVPFPAQGHVNPMMQLAKLFRCKGFHITFVNTEFNHKRLIKSLGAEFVKGLPDFQFETIPDGLPESDKDATQEVIPLCEASKKNFYAPLKELVKNLNSSSHHFPVTCIIGDGLSGFAGRVAKDLGILELQFWTASACGFVGHLYFDELVKRGILPFKDGNFVVDGTLETRLDWTSGMKDIRLKDLPSFIRITDLNDVMFNFQGSEAQNCIRSSMIIINTFDELEGEALDTLRAKNPNIYDIGPLHMLGRHFPEKEHGFKASGSSLWKSDPECITWLNQWEPCSVLYVNYGSVTVMTDHHLKEFAWGIANSKLPFLWIMRPDVVMGVETSSLPQEFLDEVKDRGYITSWCFQDQVLAHPSVGGFLTHCGWNSTLEAISSGMPTICWPFFAEQQTNCRYLCNTWKIGMEINHDVKRDDIKEIVMEMMEGEKGKEMRHKCLEWKKKATEATDLGGLSYNNFHKLVKEVLHENSA >RHN55035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14588350:14591298:1 gene:gene30157 transcript:rna30157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-40S ribosomal protein S27a MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHRKVKLAVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKADA >RHN41503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30576691:30577383:-1 gene:gene47835 transcript:rna47835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLHCFDITFDGIAKALRERPTLRSLSFSKTNGPVKVRYESAYTTSHFISSLSLKCLASLDLLSSNISDELLSSIATERLPLTRLVLQYCIGIVQVLGICCNIRHLNLSKCSMVKLEMNFEVPKLEVLNLSYTNVDDEALYMISKSCCGLLKLSLENCNDFTKKGVNHVVENCTQLRKISLDGCHKVHANIVSSMVSSRPSLRQITAPPTRTGAFSARKVKYFLRQGCLVC >RHN46739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34482486:34485027:1 gene:gene41261 transcript:rna41261 gene_biotype:protein_coding transcript_biotype:protein_coding MVALIDSTLFKEEKFHHNLRGIGNTSESRKAMSLLHSVLLNIEMMSPLTSCS >RHN75605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43670855:43676142:1 gene:gene11836 transcript:rna11836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative formin, FH2 domain-containing protein MIKRNHDINEFDLHLLDETSEKRFKFLSPRMKQHFLDCIRNRKPLISEEDGHLSKKHYESLFGLSDRHRYLLSKSQHNQTPQNSVPSSGSSPKPKSHVAAQATSPTPEHSYDDFWFLFAPPPPPPPPHSTFDSTHDDKQRKAIIVAVTASGIIILIGLLLCCREVRKSKKVDKNDKPLLILSATGFSGGSSQKCVGFENSDTREFGHGIIYGMNPSTVRNLSIKHENNVSLAEITSANGKGKEPVSPLNPPPGRSAPEAPPQPPPPPPALAPRPPPPPKMVRPPPAPPKPMVGRNQKSPLGPLRTSEGDDESDAPKAKLKPFFWDKVATNPGQAMVWHDIRAGSFQFSEEKIESLFGCINQNRNERRKDSPSLEPAVQYIQIINPKKAQNLSILLRALNVSTEEVIDALKEGNEIPVELIQTVLKMAPTSDEELKLRLFTGEVSQLGPAERFLKTLVDIPLAFKRLESLLFMFTLREEASSIKECFTTLEVSCNKLRKSRLFQKLLEAVLKTGNRLNNGTYRGGAHAFRLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEGIRAVKTEKASQSHSSMKTEDFIDDSNGESEEHYRSLGLQVISGLSTELEDVKQAAVIDGNNLTAAVLKLDHTLAKAEELLNTDLKNLEEDSEFQHSLANFVDKAKEEVKWLIGEEKRITTEVKSTADYFHGNAGKDEGLRLFVIVRDFLVMLDKVCKEIKVSTNRIAVKDYYSSCKKEAPSSASSPDAHQQLPSDMHRRLFPAIAERRIHDFSSSSSSDDDDERLPT >RHN60406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26378539:26378805:-1 gene:gene22716 transcript:rna22716 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGDQKLVVPEWGDPRFGQWDGNMWISFEQPCDEDDFDVIDYLEKFKKDTGAGAHDIDIYETLKPPEYAYRSQRNRTWSLSLSLFIF >RHN77443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6886993:6887883:-1 gene:gene895 transcript:rna895 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGSRGSLLSFADVTGEIKGPLMYASSCPARCLLSIRLYLHSGLSSLVLPCTHLRCFELPPC >RHN81969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50217273:50220354:1 gene:gene6075 transcript:rna6075 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNIIEHESDGDISFFICKATKIFHLLYENYCGHSEQQWRSFHLYNGPNTVTQ >RHN78496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15646212:15648795:-1 gene:gene2070 transcript:rna2070 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKIQPIDIDSEKATTELVRNEPVSKWRLKRFFAFEKPFPKNNTTKDGAGGVAELEPSSVCLAKMVQSFMEEQPQPQLQPPSRNRCNCFNANSSDEDDFDFNGYTHESVTDSTDSLKNLIPCVSVEERNLLSDATKIVEKNSKIYKRKEDLIKIVAEKLQSLGYDSSICKSKWEKTNSCPAGDYQFIDAIVEGETLIIDIDFRSEFEIARSTSQYKTILQSLPYIFVGKKDRLKQIVTVVSEAAKQSLKKKGMHVPPWRKRDYMLAKWISPSAARSKQVSDAAAVETVSSVVDNESGELELIFGEIGEKESPEEVVTAETAMASPAWQLPAVKPKSGERGMKVVTGLASLLKEKP >RHN62485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43782630:43784351:1 gene:gene25066 transcript:rna25066 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSNDTSGFSSDSLARDLLPSMAGISVFRRPFSGSVVLSLATGARLVFLAD >RHN62728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45474940:45477665:1 gene:gene25330 transcript:rna25330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-hydroxyacyl-[acyl-carrier-protein] dehydratase MASSTFSNTISPTLSTPHSHSPKFSSLRSLHLPTQLKNTHATRRFTISCSSESTNAPKENTPIELRFPAFPTILDINQIRDILPHRFPFLLVDRVIEYNPGVSAVAIKNVTINDNFFPGHFPERPIMPGVLMVEALAQVGGLVMLQPEVGGSRENFFFAGIDKVRFRKPVIAGDTLVMRMTLIKLQKRFGIAKMEGKAFVGGEVVCEGEFLMATGSASE >RHN66721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17558728:17559233:1 gene:gene14782 transcript:rna14782 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHRWCFWDAGSIVVGFLFGVLYRLQFRLYRCWLSVSGFMSALVPDLCWWVVFVACLHRFERFVFWFYRRGCSFVADGCSFSSVEICMSQDVTCASLPVLVHFSPVGMLCSKKLYSS >RHN44092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1555981:1556502:1 gene:gene38151 transcript:rna38151 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALTETSIVSATLFACCHVYGYIFSSDTEVVKYVTVLALLVSISVILDSIQGVFTGKLLSIQGFYVVCSDST >RHN41547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30965851:30983549:-1 gene:gene47881 transcript:rna47881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasaponin III rhamnosyltransferase MDTIRDEEKALHIVMFPWLAFGHMIPYLELAKLIAQKGHKVSYVSTPRNIQRLPKLPPNVAPLIKFVNLPLPKVDNLPENAEATTDIPYDVVPYLKNAFDKLEKPFTHFLETSNAGWIFHDFANFWIAPTASQLGIKCAFFSIFTAPTMGFLGPVSVILGDEPGRTKPEDFTVAPPWVPFQTTVAYRYFEIIKTADILSDKILGTSDLHRYGLSIQNSDFILIRGCTEFEPEWFQVIQNIHQKTVLPAGQLPNTEFDSGHENDSWPRIKEWLDKQPHGTVVYVAFGSEAKPSQEEVNEIALGLEKSNLPFFWVLKVRRGPTDKVVLQLPEGFEERTKGRGVVCTDWVPQMKILGHMAVGGFLTHAGWTSVVEAVQHEKPLVLLTYLSDQGINARVLEEKKMGYSVPRDERDGSFTSDSVAHSIRLIVVEEEGMIYRENIKNMKDLFVNIERQDKYVNNLLSHLTSWCCCSLPLILLPPLSSLTYYLSEARMECENFGVMEDMVGDALWVNLSYTEGGEEETIPNEKALKFYKMMQEVNKPLFEGSSDSKLSMIVRLLAAALDWSVAEEGSECYTDIMRDATPVKDNLPLSFYEAQKLVEKLGLEVKTIDCCVNGCMLFYDNEFGKNDGALVACKFCYAPRYEVCDDAGSQKKKRVSVKSMFYLPIIPRLQRLFASTHTAEKMTWHYYNKTNSGVMRHPCDGVAWKHFDQVHLLQSYFIKRPKDISPIYEEGQIPSRTLMPLSMIHQVPINQRYDYPFTDNVRMATKHLSPHLRIIVVSVKLACSIIMDTIRDEEKALHIVMFPWLAFGHMIPYLELAKLIAQKGHQVSYVSTPRNIQRLPKLPPNVAPLIKFVSLPLPKVDNLPENAEATTDIPYDVVPHLKNAFDKLEQPLTHFLQSSNADWILHDFVPFWLGSVAPQLGIKCVFFSIFTPPFMGFMGPGSVLLGNDPVRTKPEDFLVSPSWVPFKTTVAYRYFEIIKIMDILSDNNDSGFSDAYRFCSSIKNSEFILIRGCTEFEPEWFQVIQNIHNKLVLPAGQLLSTEFEGGDENNTWWWMKEWLDKKPNGTVVYVAFGSEAKPSQEEVNEIALGLEKSNLPFFWVLRVRRGPTDKVVLQLPEGFEERTKVRGVVCTEWAPQMKILSHVAVGGFLTHAGWTSVVEAVQHEKPLVLLTCLADQGINARMLEEKKMGYSVPRDERDGSFTSDMVAHSIRLVMVEEEGRIYRDNIKNMKDLFVNPERQDRYVTSLFFGSIWPLLRSWIGFEVYKSQRHIFHCSYLSYFTMDEEKALHIVMFPWLAFGHMIPYLEVAKLIAQKGHQVSYVSTPRNIQRLPQLPPNVAPLIKFVSLPMPKVDNLPENAEATTDVSWDVFQYLKDAFDKLEQPLTNFLESSDADWILHDFAPFWLGPVATKIGIKCVFFNITTPPNLAFLGPISVLTGKEKDPRTKLEDYTAPPPWIPFNTTVAYKYFEIKKNAEVFSKKDSGVSEMHRVSSCVQNCDTILIRGCTEFEPEWFELLQNLLQKPVLPAGQLPSTGFNFVDENDTWQGIKKWLDNQPHGSVVYVAFGSEATPSQEEVNEIALGLEKSNLPFFWVLRAQHGRKDKAVLQLPEGFEDRTKGRGVVCTDWAPQMKILGHMAIGVFFSHAGWTSVVEAVQNEKPLVLMTFLVDQGINAKVLEEKKMAYTVPRDEQDGSFTSDSVARTIRLVMLEEEGRIYRENIKEVKDLFVNIERQDRYIDNLLDHLKSRLER >RHN51133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14231896:14233003:1 gene:gene35517 transcript:rna35517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDEILKLVYRVIFFVFLYLVISDSQRTCSNFLECYKIYGIPLDGVWRCVKGFCELLIDFNTYKVREVAIVRGENIN >RHN75455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42436264:42438445:-1 gene:gene11669 transcript:rna11669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterol 14-alpha-demethylase transcription factor MYB-HB-like family MYHHHHQGKNIHSSSRMSIPSERHMFLQTGNGSSDSGLVLSTDAKPRLKWTPDLHARFIEAVNQLGGADKATPKTVMKLMGIPGLTLYHLKSHLQKYRLSKNLHGQSSSNVTHKINTHATSVSDERLSETNGTHMNKLTLGPQTNNNKDLHISEALQMQIEVQRRLNEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLGRQNLGIVGLEAAKVQLSELVSKVSSQCLNSTFSEMKELQGFCPQPNDGSMDSSCLTSSDRSQKEQEIIQNGGFGLRHFNNNNNNHVFMERKEQQATELAGSVQNLRNNEVLKWCVEEVKKNSNFLTPLGNNNELERNHGNLSMNIGVENHLDIGEFQQRNTARLDLNSRGDNNEGATTCKQLDLNRFSWN >RHN81015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42628370:42628916:1 gene:gene5004 transcript:rna5004 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLAFMMLLLGVGGEGNKRSLLLGILCIIFSWKQVMSSTTKEA >RHN41269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28758728:28760002:-1 gene:gene47573 transcript:rna47573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MIYKSYIFSLLCLALFPITQSKLIPNYYQKSCPKFEDIVKQTVTDKQKTTPSTAGAALRLFFSDCMIGGCDASVLVSSNSFNKAERDADINLSLSGDGFEVVTRAKNMLELECPGVVSCADILAAAARDLVVSVGGPFYELDLGRRDSLESKSIDAENKYPLPTMTNSQVIDIFTSKGFTVQEMVALAGAHTIGFSHCKQFSNRLFNFSKTTETDPKYNPEYAAGLKKLCQNYQKDTSMSAFNDVMTPSKFDNMYFKNLKRGMGLLATDSLMGEDKRTKPFVDMYAENQTKFFEDFGNAMRKLSVLHVKEGKDGEIRNRCDTFNNLNAN >RHN74495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33967527:33970638:1 gene:gene10580 transcript:rna10580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MSQSAPMPTPSLTHLGLAPIALHCGGGYVPVGRVTLGRVMNVIGETIDDYGELTEKMLPIYRKAPSFYERNKTPVILQTGIKVIDMLAPYQRGGNIGLIGCAGVGKSILMMELMNIVADIYGGLSVFAGIKEQSQEGEDLYRRMVSDGIIKLGDKQASESQSKCAFVCGQINDPPGARSRVVYTGLTVAEGFRDEGRKVLLFVDNHFRFTQADSELSTLLGRIPSAVGYQPTLSIDIHSLQERIAATSKSFITSFHTIYPGDDVDVHLDAATVFSKEIFDRGIYPAIDPLKSTSSLLSPHCLHEDHFEVADGVIRNLQHYKNLQDIIAILGVDELSEDDQLIITRARKIELFLGQPLSVVAYPRSQETYVHLDDTLKGFQGLLDGEYDYIPDAYFHMTCGIKDVIAAYENHLLAGMQCN >RHN76412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50033208:50033672:-1 gene:gene12734 transcript:rna12734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MQDGEQFAQENGMFYMETSAKTAENINELFYEIGRRLARAFPSKPPGITLRNEIPGGGRKFFCCST >RHN54605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10729375:10736956:-1 gene:gene29672 transcript:rna29672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MQEIWRLKIPLIFTIFHILELHFYIKQRRAVHFAWLSGAGIYHGGVNFGGQHRACYLCFMSGSRCCFLLNPSVLFALLKYSKLSEGVEAVKPSSIALSEFHFLLLLENKVKVVNRISENIIEELQFDKTSDSAAKGIIGLCSDATAGLFYAYDQNSIFQVSINDEGRDMWKVYLDMNEYAAALANCRDPFQRDQVYLVQINYILSFEEVTLKFISAGEQDALRTFLLRKLDNLEKDDKCQITMISTWATELYLDKINRLLLEDDSSLENSDSEYQSIIKEFRAFLSDSKDVLDEATTMKLLESYGRVEETVYFASLKGQYEIVVHHYIQAVSLLLFFLFCSFLIQQGEAKRALEVLQKPSVSVDLQYKFAPDLIALDAYETVESWMTTKNLNPRKLIPAMMRYSSEPHAKNETHEVIKYLEFCVHKLHNEDPGVHNLLLSLYAKQEDDSSLLRFLECKFGKGPENGPEFFYDPKYALRLCLKEKRMRACVHIYSMMSMHEEAVALALQVDPELAMAEADKVEDEDLRKKLWLMIAKHVVEQEKGTKRENIRMAIAFLKETDGMLKIEDILPFFPDFALIDDFKEAICSSLEDYNKQIEQLKEEMNDTTHGADNIRNDIRALAQRCTVIDRDEECGVCRRKILNAGREFGIGCGYTSVGQMAPFYVFPCGHAFHTQCLIAHVTRCTVETHAEYILDLQKQLTLISSETSRESNGNLASEGSIPSTTSVDKLRSQLDDAIASECPFCGDLMIREISLPFILPEEEQHVLSWDLKPNVGSQRNIPLSV >RHN52640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37317486:37318040:-1 gene:gene37334 transcript:rna37334 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSIRRLPDGSLDKNMFRKEAESLGISIRRSSLHFNISWHIWLFIGLIHLLGKGVVVVEE >RHN62693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45203964:45207272:-1 gene:gene25293 transcript:rna25293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,4-dihydroxy-2-naphthoate polyprenyltransferase MAATCCNLTHASPFLNHQLFYFQRYHLVSKRSKCNTSMEKVLHRRFQVQRRDHHYIHVRYAGGAELSSSSSSSIEKNVGEEIQEDISMETLIWRAIKLPIYSVALVPLTVGSAAAYLQTGIFSAKCYFVLLASSILVITWLNLSNDVYDFDTGVDKNKKESVVNLVGSRTGIFIVAYLCLALGFVGLTWAAVEAGNIRSVLFLTCAIFCGYIYQCPPFRLSYQGLGEPLCFAAFGPFATAAFYLVQGSASSVTNHFPLSGTVLSASVLVGFTTSLILFCSHFHQVDGDEEVGKLSPLVRLGTERGAEVVKAAVLSLYALLVAFGLSKALPLTCIFLCALTLPIGNLVIKFVQDNHKDKDKIFMAKYFCVRLHALFGAALAFGLVLARMVNSKLLLQ >RHN39222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5075281:5078007:-1 gene:gene45226 transcript:rna45226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L28e MATVPGPLIWEIVKKNNSFLVKEFGNNTQSVQFSRESNNLYNLNSYKFSGLANKKTVTIQPAGKDQSVLLATTKPRKQNKPSALSHKSIMKKEFRRMAKAVQNQVSDNYYRPDLKKAALARLSAVHRSLKVAKSGVKKRNRQA >RHN49931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2401079:2402089:1 gene:gene34167 transcript:rna34167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase response regulator and transcription factor RR-A-type family MDGGTTGYKRQKKPEEKKMWIKKRESYDTPYPMNALLVDGVTATRRLEHHMLESIGIQTEPANSAQEAMQILLTGVKFDFIFVDFDLPIINGPELVRQMRAMGIQSKVVGMLTNFNDQNVQMFGEARANASTPKPLTRESFVFITGILRRM >RHN58773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4733314:4738430:-1 gene:gene20717 transcript:rna20717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MGAFPLFPPISKCSTKDRSNQTVASDLDGTLLMSRSAFPYYMLVALEAGSILRALILLSLVPFVYFTYLFVSETLAIKTLIFVTFAGLRIKDVEIVSRSVLPRFYAEDVHPETWRVFNSFGKRYIVTASPRLMVEPFVKTFLGVDKVLGTELMVTKTGRASGFVKEPGVLVGEHKKEAVMKEFGSDNLPDLGLGDSVTDSDFMSICKEGYMVPRTKCDPLPRNKLLSPIIFHEGRLVQKPTPLFALLTFLWMPIGIILSILRVYLNIPLPEKIAWYNYKLLGIRVIRKGTPPPPPKKGQSGVLFVCNHRTVLDPVVTGVALGRKISCVTYSISKFTEIISPIKAVALSRERDRDAANIKKLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQSLFYGTSARGHKLLDPYFVFMNPMPTYEITFLNQLPLELTCSGGKSSIEVANYIQRVLGGTLGFECTNLTRKDKYAILAGTDGIVRSTKKD >RHN53753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3858290:3859285:-1 gene:gene28696 transcript:rna28696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MAKSFIFDRQPPIKTLTLLSASCFCNSASLLRWLHPVVNGFVDHVDLTFLQHSSTTPICFPGPILTYSPLVVLNLNGNGVLMIYHVDKYLTHLPKLKKLHMTKVRFRKLKYLIKILSVCPFLEDLLIKNVSTHDDNDTLDALAKQTDELLEPFPNLLKSHISDSSSISYFFPLKLFYNVEFLRAQVAVRKPLKLFNYVAPVQTTQFFNLTHVELSFEKEDEEYYCRWDWLKKFIRACPSLQSIVIHKIGGGGYGSSVDDHNSLHPQFVPNCNVFDNCNKSSFLEILEARKVSFAEISSSK >RHN72686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9741967:9743867:-1 gene:gene8432 transcript:rna8432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MAEKVTIMRLKVDLECEKCYKKVRKLLNKYPQIRDQNYDDKANIVTITVVCCSPEKIRDKLCYKGGGSIKSIEIVDPPKPKAAEPEKKKEAEKPKSAEPEKKKEPEKKKEGDKPKPAKEAEKPKAADPEKKVTFVSVVKDSDKPKDAEKPKPKPEAEKPKDKPAPTAMPMMIPQMPPPMAVPVGMCYVPPCYEGRPVGPYGPYPNQYGGPQCYDGYYARPVYDSYGGGGPCYVGRCDQYLSEENATGCTIM >RHN78304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13873367:13874552:-1 gene:gene1842 transcript:rna1842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MILKRVYPNVATSRIMIDVLCKQGLLQRNVADLDQIVGKRNSHSPSVIVNLSLILRMSEKVAKEGKLFKLVTLLKRLLQKNLIGDSVAYSLIVQVKVRLGDLDFALEMYNEMVRRGFRENSFVYTSFIRAFCEKGRIEEAIGLMREMEGKGVRGYGETYECVIVGCADSRRLEECWSVFEEMLSAGFVLGCLLFDKVAEKLCEIGKLRRKDEVQGVCKIYYEMKFLRRCSEQPKCCMQQRQYMFETWPTMWTEMIYICMSSYRFICQHFLYNFARLNLFWIIVCIKDDYGEIVNICLHTDQEGRFKAIDILSLK >RHN69675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45471128:45473652:1 gene:gene18176 transcript:rna18176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rhodanese-like domain-containing protein MAFTSTALQYPSTSYLQSLVPSLEGTRDQNSWCVRVRSYKPTSQKSQLNFARGITVQSAATKPAKSPAEEEWKVKRELLLQKRVKSVEPKEALRLQKENNFVILDVRPEAEFKEGHPPDAINVQVYRLIKEWTAWDIARRAAFAFFGIFSGTEENPEFIKSVGEQLDKNAKIIVACSAGGTMKPTQNLPQGQQSRSLIAAYLLVLNGYNNVFHLEGGLYKWFKEDLPAVAEE >RHN50127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4297599:4300451:-1 gene:gene34382 transcript:rna34382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MKMIQQKTHWSISSRRQQQFYFQLLLHQLALSENRTKHCSYNTQTNMDSIIALNMPKQIVAKYVVEVVLKHCISLDLKLHGQDIVYCKYCDNVVTGGFYRFACHLTGKENVESCEGVSDEVKKEMLEILTTLKEIHEEGYVGVGEKRKGGKASVGRCRDSFKRKRGCSQDSVNSKETLSEEACRAVARFFLNNAIPFEIVESDEFKTMCDLVSRHGVGFKPPGFFDIGWKYFPEEIKLTNEVLEEHRAMWKITGCSIMVDVFTNFPKRNILNLLLNSPKGTFFLKTIDASDMLESSEKLFKMMDDVVEEVGEENVVHVVTEYTPYYVAAAGMLMAKRTRLYWTPCATHCIGMMLQHCGEIPIHKATLMECQRIVFFINSEDSLRTLLLLFTKGIDIWKVGITIYDSVYLTLCCLHENKGALRRMFKSKEWKSSEFAKTMNGKYIEDAVLNKGFWKNVMICYKGIYPILEVFRLANSIEKPTMGFIYEAMVKAIEEIQKSLSEDDKERESSMFIWQIIGGTWLHSPLHAAGYFLNPQFHYSPGFRDDIRLKHSLQHCITRMVANPEERSRIEIQLEDFDKQANHFGHPIAIITAGEETPPIWWASFADEYADLQNFAIRVLCLTGSSYGGEHNRKAFEMVHAKNLKEETVNSDVVFVMANSKLAEKKRASSELNLDDNGNVEVLDVDASDLDTPCLKCLADAAIADMHDKNDEDKDGDDDGNEDGHEDENGDEN >RHN70304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50426546:50428084:-1 gene:gene18871 transcript:rna18871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MRIPSLNIVSACFIKPYPPIKDSNQICYLTHWDIAMLSTHYIQKGLLFKKPTSSLNQQHFIENLVDKLKHSLSLTLFHYYPLSGRLVTKKSEDPHSYTVFVDCKNSRGARFIHATLDITINDILSPVDVPPIVQSFFDHDKAVSHDGHTMSLLTIQVTELLDGVFIGCSMNHCIGDGTGYWNFFNSFSEIFQNDIHVLVPISHQPIHNRWFPQGYGPIINLPFKHHEEFVHRYETSILRERIFHFSAESIMKLKAKANEESNTRKISSFQSLSALVWRSITRARQLQHGQRTTCKLATNNRTRMEPPLPKEYFGNSLHAVSAETTVGELLENDLGWAAWKIHLAVVNHDDKVVRRSVEEWFRSPFVYRTDLFFDPYTVMMGSSPRFNMYGNEFGMGKVLAVRSGYANKFDGKVTSYPGQEGGGSIDLEVCLSPEKMMALEADEEFNFWCLLSCSSL >RHN65535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3011687:3014069:-1 gene:gene13382 transcript:rna13382 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEDEDISLIISDDEVKSVVRMGVHNVIHSFETDLSSLLLNPTHEVEAADNTIMRRVSDLEWMCNVLPKMDLMKIFVSNWIAISSKILVIIEDEKFEHVRWGLKVKLIEVTCKVFEAVSYGSVIVPAPSRVQLLKTWFPYIRKMKPLLDSKASEETNFAYKMDEDLCQAIEGAIVSLVLTLPSNDQAGILADWIGSREVGYPDLSEAFEVWSYRSKSAKRRLVEGLHGHSDEAISS >RHN54535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10306728:10307986:-1 gene:gene29599 transcript:rna29599 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLSQIDLQDTFLVPIPESLRKQPCTCSCLSRTQLQVQNYPIQDSVNQ >RHN82200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51893829:51895369:1 gene:gene6340 transcript:rna6340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived nuclease domain-containing protein MSEDIDTEAIDDDDDDEDDDDTDDEETDEELYEAIYMYMMAIHALMHVVNQFLNMMRGEHVERPLTRRRITSKGYDYIHKSLNGDSEIFRQVYRMYPDVFRKLCMIIREKTPLVDTRFICIEEMLASFLQIVGQNTRYCIIRNTFGRSQFAASENFHKILKALNSIAPDLMAKPTSSVPAKIRESTRFYPYFKDCIGAIDGTHIPALVRGRDVSSYRDRHGRISQNVLAACNFDLEFMYVLSGWEGSAHDSKLLNDALKRKNGLKVPHGKYFLVDCGFANRRKFLAPHRGVRYHLQDFAGHGNDPENEKELFNLRHASLRNVVERIFGIFKSRFTIFKSAPPFLFKTQAELVLACAALHNFLRKECRSDEFPIEPSNESSSSSSMLPIHEDNNDELNVQTQEQEREDANIWRTNLGLDMWRDVQGIP >RHN78722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17811018:17812175:1 gene:gene2368 transcript:rna2368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MALVPNRKKVSCSSSYIHDDIAFGILSKLPIKSLKQFTCVRKSWSLLFQNPNFIQKFRNNLVTKSHSPYDDDHDDDVCFLFIWVVFPSLFYSISGEKFENEVKLDLPPQFDDIFHLVLGSSINGIICVYDYVDQSNVALWNRATGENKVIPPRLSERLPNFVEDFHLCGFGYDHVTNDYKVIQHVSLRPILDGRVREEDLPMTPDRFWEIYSLRSNSWRRLVVDMPVPNSNSTNVYLNGMCHWFGLSDGKSFSVVSYNLSNEMFFTTPVDCHARSFSNLMVLNGYIALTTKCYDDKFFNISVLGEIGVKESWTKLFEFGSMPWIDLSLDVWMKGKIFLSQINGKVACFDLTTEEVIEEIDFKGDSKNCLIVPYKKNFGTTGEVDN >RHN39223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5079484:5085073:-1 gene:gene45227 transcript:rna45227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PWWP domain-containing protein MIFLGEMGSSEESNINGINASVGGLVWVRRRNGSWWPGRIMSLDELSDGCLVSPRSGTPVKLLGRDDASVDWYNLEKSKRVKAFRCREYAEAIEKAKASAAGLGKKAVKYARREDAILHALELESAHLDKAPLPLCSRSDKSDSEHGEPAGELPVMSNSSDDGNEDVIDDLSDSEDNSNSAPELSQSGISFEEPNHNGSLKIPSMQGRRRRTPNDSEDDGTEGVKRMKGLEDLGNGVVSNRKGQGLGPTEIAQHVSASLNDSMTGNGLANGTSVNVGKTYSSLKRKRSQVGNVHELLKRKNRRRTLTKVLESTAMVSVPVTCDQPPGSSSSPPCGITDVKISGLDSTDSKKPSGKEINNSDSAAETACENGTSLTVHDQGSDIPQINHRIKKENETTEIPGLVGYDSSDILFDVPLVGVLEEEKPSPGISPTLVSCSFGMPEVSALEQKSCEASQSEAFALRNGSKNEAGCTSSAVGHDIVSDGAEKDSSKWQSKGKRNLRHKSKNGKQVSRKYIGMDGESDAYLTGTRNSDGLCEGAGQKQKIDWNGTGVSNASNNCTSQINCKTVAEGQVEGFRDLSKHIEGTTAEPPQRSLPYRQSRFAINSRYETADFPGRNCSSDGTLYDVKLEVKSSYRPQHVPLVSLASKLSGKAFIGHPLTVEVLEDGHCDKMLSGLRRDVEVDDIDCVVKPKPVTTRRPKRSSHFSRRKSSKSKKSGLLNKKIRKLSSLTGHRQSEEKRKPVVDKLKGPVIACIPLTIVFSRINEALSGQARSTHRAVSTTNP >RHN57741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41418555:41422265:1 gene:gene33324 transcript:rna33324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA/RNA-binding protein Kin17 MGKNDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSEGHQRQMQIFGQNPTRIIEGYTEEFETTFLEHMKRSHRFSRVAATVVYNEYINDRNHVHMNSTEWATLTEFVKYLGRTGKCKVEETPKGWFITYIDRDSETLFKEKMKNKRIKADLVDEEKQEKEIQKQIERAEQMMQQSNPESDQPSSQVQTTKELNVEDGTKIGFSLGSSAKPVTKETGDASRIVFDEVDEEKYEERNPKNNLKRKESGGGKSALEEMIREEERIKEKNNRKDYWLHEGIVVKVMSKVLAEKGYYKQKGVVKKVIDKYVGEIEMLESKHVLRVDQEELETVIPQVGGRVKIVNGAYRGSLARLLGVDTDRFCAKVQIEKGAYDGRVLKAVEYEDICKVA >RHN39744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10193836:10194431:1 gene:gene45805 transcript:rna45805 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVFVSVVLFILLTPGLLVQIPGKGKMVEFGNFQTSGLSILIHSILYFALVCIFFLAIRIHMYMG >RHN39906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11603281:11605609:1 gene:gene45990 transcript:rna45990 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMNPKTDKFVKRLTIVATITASYFLLTADYGPKPNALDPIKKQLLSAQSTVKEFFLGSKSESEEKHIGKSDSNKDHP >RHN72187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5622554:5623430:-1 gene:gene7871 transcript:rna7871 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWFKMLLVVHQGWMDCAFVMKGHAIIGVNMCLGMQFIPLRLGAYSLQQECTIHLLIQGSLIQWILNTLLHKKLRWNWISLHSLVPLVKHVQVICMRSFLSYLHLIPYFHIK >RHN56420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30739095:30740425:-1 gene:gene31817 transcript:rna31817 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQCMKHWNFESYTNLVFSNITCIDTILAITGQIIESFKLLLTSQEHFCINGYSHVTIKFYWNAVIEFCCLRVPFTSLIPKFLILVEKMC >RHN41842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33501716:33502136:1 gene:gene48205 transcript:rna48205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIKFLKFVYGMIILISLFFAVRDVSAAPPVYCIEDEDCYDLCTSPLVEICTNYQCICLKRF >RHN47703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41773249:41773545:-1 gene:gene42330 transcript:rna42330 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTIYIKSQSREVDEAYDLSEKMCEVKCGSTMILDHIDGYMEFVSRMTGVRFIPLIMQQEHFLTKLTHETLNKFPIIVSDNVVASIFTLMNSQSRRL >RHN56046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27149063:27149782:-1 gene:gene31369 transcript:rna31369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative The fantastic four family protein MPFGYKPYPSNDDDYIGNESCNDYIDFLNFDHHNALAPSKDNKKVRRCIRNKEEKKEFPPPIPFLAQTQNLASHMPYVLKRFYTNEGRLIIKEEKVKHHEYFHARRENGRLTLELVPLDHDDYNFFARSDEEQEQEQEEEEEEEEELASPPTRPHEETNDLHVHQSVVTNDSFEEEEKDEQEDEYKDNVQKSFSDEVVVENENGIIGGANSRGNCLNCKVAPKGIFGVMPLHPIRTVRS >RHN78258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13323908:13328731:1 gene:gene1792 transcript:rna1792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSEVPSELRLRYVPLIDLEDSDDDVIESSPRSFAQASARRNRRRMRTGSNLGSQTIPTYAMHTREQRIFEPIGDVYINLEVNTGGEAKNAKNSVETKKEAYKPRIEEPEPPEEPRCPICMDPFVEEMTTRCGHIFCKNCIKNVIRIQGKCPTCRKKVTSRQLIKVFLPSFG >RHN51551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19716641:19717603:1 gene:gene36009 transcript:rna36009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S3, eukaryotic/archaeal MSKKRKFVADGVFFAELNEVLTRELAEDGYAGVEVRVTPMRTEIIIRATRTQAVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKQNYMISSGQPVKDYVDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPKTPLPDIVTIHTPKDEEEYTRPAAVVAPLPVPIAV >RHN69691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45572816:45574670:1 gene:gene18197 transcript:rna18197 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMNTLSPILTSAFDLTTVTLISSLIVLSVLSLCFIFHLRLKSKSLTYLQGFNSLWTVRFLLVLFIFFWCIIELFRLPFFRRKYLYPLSPSLDITQQANLCKIHIVFSLGFFEPAFLLTLLFLLNASIRKKTPNDGWAVTFVFSTCLPLGILQGLLVYFNPLEHRVPALLRQTFVILEDDTVLCAYPFLNSVVFAAFSAAYCAWLLFSCWKVLSLVINKGLRIRIYALGSVVLVALPLQVVSLAFTVLWSPEDDIYGVVSLVVFFCAFFCAVAGEGILVIKPVSDALAAGGNCCMWPTRRQDSLPAKEEKMEEETVAMVDLEEGVARG >RHN75386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41806289:41810947:-1 gene:gene11576 transcript:rna11576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MAVVFTFTAILLTAATVIVSGSPATLTLERAFPTNHGIELSQLKARDLLRHRRLQSSSNGVADFAVHGTFDPFQVGLYFTKVLLGTPPVEFYVQIDTGSDVLWVSCSSCNGCPQTSGLQIELNFFDPRSSSTSSLISCSDKRCNSGIQSSDATCSGQTNQCSYTFQYGDGSGTSGYYVSDTMHLDTIFEGSVSTNSSAPVVFGCSNQQSGDLTKSDRAVDGIFGFGQQQMSVISQLSSQGIASGVFSHCLRGDSSGGGILVLGEIVEPNIVYTPLVPSQPHYNLNLQSISVNGQALQVDPSVFATSSNRGTIVDSGTTLAYLAEEAYDPFVNAITATIPQSVRTVVSRGNQCFLITDSVSDIFPQVSLNFAGGASMVLRPQDYLIQQNSIGGAAVWCIGFQKTQGQGVTILGDLVLKDKIVVYDLVGQRIGWANYDCSLTVNVSASTGTGRSEFVNAGEIGGSNSLHDGLKLSKTGFIALFVTLFCSFGFL >RHN66761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18406190:18429513:1 gene:gene14840 transcript:rna14840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MNMEAQYESDAEPDDASGKQNEAAAVDRLSTRESNVETTSRNPSASERWGSSYLKDCQPMSPQNGSESGDDSKSGSDYRNEDEFEDNSSEGRGEKLGSEDEDGQKDSGKGQRGDSDVPAEEMLSDDSYGQDGEEQGESVHSRGFRPSTGSNSCLQPTSTNVNRRVHRKSRILDDAEDDDDDADYEEDEPDEDDPDDADFEPATSGRGANKYKDWEGEDSDEVDDSDEDIDVSDNDDLYFDKKAKGRQRGKFGPSVRSTRDCKAFTASSRQRRVKSSFEDEDENSTAEDSDSESDEDFKSLKKRGVRVRKNNGRSSAATSFSRPSNEVRSSSRTIRKVSYVESDESEGADEGTKKSQKEEIEVDDGDSVEKVLWHQPKGMAAEAQRNNQSMEPVLMSHLFDSEPDWNNMEFLIKWKGQSHLHCQWKSFVDLQNLSGFKKVLNYTKRVTEEIRNRMGISREEIEVNDVSKEMDIDIIKQNSQVERIIADRISNDNSGNVFPEYLVKWQGLSYAEVTWEKDIDIAFAQHTIDEYKTREAAMSVQGKMVDFQRRQSKGSLRKLDEQPEWLKGGKLRDYQLEGLNFLVNSWKNDTNVVLADEMGLGKTVQSVSMLGFLQNAQQIHGPFLVVVPLSTLSNWAKEFRKWLPDLNVIVYVGTRSSREVCQQYEFCNEKKAGKQIKFNALLTTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTALSEFNTKNKLLITGTPLQNSVEELWALLHFLDSDKFKSKDEFAQNYKNLSSFNENELSNLHMELRPHMLRRVIKDVEKSLPPKIERILRVDMSPLQKQYYKWILERNFRDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSESSDSSKLEKIVFSSGKLVILDKLLVRLHETKHRILIFSQMVRMLDILAQYMSLRGFQFQRLDGSTKSELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQREVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGKLEKKEAKKGGSFFDKNELSAILRFGAEELFKEERNDEESKKRLLSMDIDEILERAEKVEEKENGGEQAHELLSAFKVANFCNDEDDGSFWSRWIKADSVAQAENALAPRAARNIKSYAEADQSERSKKRKKKENEPTERIPKRRKADYSAHVISMIDGASAQVRSWSYGNLSKRDALRFSRSVMKFGNESQINLIVAEVGGAIEAAPLKAQVELFNALIDGCREAVEVGSLDLKGPLLDFYGVPMKANELLIRVQELQLLAKRISRYEDPIAQFRVLTYLKPSNWSKGCGWNQIDDARLLLGVHYHGYGNWEVIRLDERLGLTKKIAPVELQHHETFLPRAPNLRDRANALLEQELAVLGVKNASSKVGRKTSKKEREEREHLVDISLSRGQEKKKNIGSSKVNVQMRKDRLQKPLNVEPIVKEEGEMSDDDDVYEQFKEGKWKEWCQDLMVEEMKTLKRLHRLQTTSASLPKEKVLSKIRNYLQLLGRRIDQIVSEQEDEPHKQDRMTTRLWKYVSTFSHLSGERLHQIYSKLKLEQNAVGVGSSLPNGSVSGPFSRNGNPNSSFPRPMERQTRFQNVTAHPMREQTYDTGMSEAWKRRRRAENDGCFQGQPPPQRITSNGIRPLDPNSLGILGAGPSQCFSGEKLLKTQPAGSPSRQEFSLGVE >RHN51506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19063130:19065367:-1 gene:gene35953 transcript:rna35953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MSGDEESVTTKYTSVKHDYDTADKKTDSGKAPMFNGDPEEFSWWKTNMYSFIMGLDEELWDILEDGVDDLDLDEEGAAIDRRIHTPAQKKLYKKHHKIRGIIVASIPRTEYMKMSDKSTAKAMFASLCANFEGSKKVKEAKALMLVHQYELFRMKDDESIEEMYSRFQTLVSGLQILKKSYVASDHVSKILRSLPSRWRPKVTAIEEAKDLNTLSVEDLVSSLKVHEMSLNEHETSKKSKSIALPSKGKTSKSSKAYKASESEEESPDGDSDEDQSVKMAMLSNKLEYLARKQKKFLSKRGSYKNSKKEDQKGCFNCKKPGHFIADCPDLQKEKFKGKSKKSSFNSSKFRKQIKKSLMATWEDLDSESGSDKEEADDDAKAAVGLVATVSSEAVSEAESDSEDENEVYSKIPRQELVDSLKELLSLFEHRTNELTDLKEKYVDLMKQQKSTLLELKASEEELKGFNLISTTYEDRLKSLCQKLQEKCDKGSGNKHEIALDDFIMAGIDRSKVASMIYSTYKNKGKGIGYSEEKSKEYSLKSYCDCIKDGLKSTFVPEGTNAVTADQSKSEASGSQAKITSKPENLKIKVMTKSDPKSQKITILKRSETVHQNLIKPESKIPKQKDQKNKAATASEKTIPKGVKPKVLNDQKPLSIHPKVQGRKSKTSKTNPKGPMKIWVPKSELSKNAGVLKGKRETKVMVPRQRMFKAHDWRESFVPYPYNERWRRSEVWWQPNWKDHWYRYYW >RHN81627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47641317:47644818:-1 gene:gene5698 transcript:rna5698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAEGLQHTRAMGDDETTVNSQYLPSPITDDVPSKQLPEELIVDILLKLPVRSLLQCKCVCKSWKTLISNPQFTKTHFLNSIDSPQLFSSGIGVRRNPNEILSYPVKPLLENPSNSITPLNFSMEHCYDILGSCNGLLCLYDIYQHNFTLWNPSINLKSKTSPTIILSDTEIIIDYGFGYDQINDKYKVLVFVLHEYEKTARIYTFGENIWNTFPNFPCYLNSQLGIYLSGTLNWIGNKDNEYVLISIDLEKEIYGEVLLPRHDDADNVRSTHLSVLSDCLCVSFDYKTHWTVWMMKEYGVAESWTKLMIIPQQEFKLIWKPLFMSENGAVLVRTMRTAGSTLVRYDLNNGQIDCLAILVNLGKVIIHHESLISPQR >RHN47094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37083229:37084354:-1 gene:gene41650 transcript:rna41650 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSVTKPTMMVPSHCLIFSLIFVSILANEATLLHEANGSFPMVPVMEAGKMMMMMNESRRKLGSFRICAICTCCGGAKGICIASPCCYAIDCNIPNKPFGLCSFIPKACNCFGCHL >RHN55837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24613085:24618815:1 gene:gene31107 transcript:rna31107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative choline transporter MTITIMDSSTATNNQEKYRKSGNNNISEVVNMDQKRRWHDVFWLILFVIQLIGVGFVLVILGVNRFKKKNRLDIDKYTYRFMENEAGLTEDYWPLYAVAGGVGTALGWSWLLLLGSRAIQMMKVSVHILTTYLAVISVLCFWAHQFFWGVAFAIGAALQFLYIISVIDRLPFTMLVLQKAVKMVWNLPEVMRVAYAFMAVMLLWMALWSFGAAGVVASSMGDGGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGSLIRVSIHGGCEAASLPTNSIMKSLQYALTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGKNECLLCCVDFLFHLVETLVRFFNKYAYVQIGVYGKSFNRSARDAWELFQSTGVEALVAYDCSGAVLLMGTILGGLITGTCSGVWAWMKWSDRAFMIGSTSMLMGMILVGVAMVVVESAVTSIYICYAEDPLLIQRWDHEFFNQISETLHQRLQHRSSRAREVLTHDHLDSRIPENA >RHN69266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42199025:42205546:1 gene:gene17710 transcript:rna17710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-hydroxybiphenyl 3-monooxygenase MGFLRFIRRYNYPFKDKTRNRALLIQHMQSRGFSKAKVINGNDAVLPVLIIGAGPVGLVLSILLTKLGINCTVLERNKAFSKHPQAHFINNRSMEIFRKIDGLVEEIQRSQPPVDLWRKFVYCTSLSGSILGSVDHIQPQDLEHVVSPISVAHFSQYKLTMLLLKQLENLGFQTCASESSEGNKQPCENIILMGHECVSIDTNNDLVTVTASSVNNGKRVEKDIHCSILIGADGAGSTVRKLVGIDMRGEKDLQKLVSVHFLSKRLGKFLLKENPGMLFFIFNSEAIGVLVAHDLRQGEFVLQIPFYPPQQTIEDFSPKACEKLISKLVGQEFGDVDVIDIKPWIMHAEVAERFICCGNRILLAGDAAHRFPPAGGFGMNTGIQDAHNLVWKIASVIKGIAPNSMLNTYDMERRPISVFNTRLSLENYRAAMSVPATLGLDPTVANTVHKVIINGVGSILPSGLQRLALDSIFAIGRVQLSESVLNESNPLGSSRLAKLRHIFEEGKSLQLQFPAEDLGFRYLQGAIVPESSDVESPPQVPTGRRRDYIPSAQPGCRLPHMFVRINALSEETVSTHDLVSGDKIEFVLIIAPVKESYHLAREAFKVAEERQVSLKVCVFWSTDSVEGLDNGSKDALSPWKNYADVVEVCSTTSNWWDMCNMTNKGAILVRPDEHIAWRAISRLAGDPRAEMEKVFSAILGAH >RHN77284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5711956:5714146:-1 gene:gene724 transcript:rna724 gene_biotype:protein_coding transcript_biotype:protein_coding MSQWIHVHVAIIAALVGCISTLLFVFMLWFCHHKKDQKRFVESNSLNKRESQERNFDQRRKSKGRKDLFNWNDHPYLASDAIENGWSRFAFTSYMSNISSKKSTSSTLLGSCGGSDEFGRENEAEINWEVCQGSNEFMQKVRLNPGLKECFFHPNNTSTSVASVIRTSLPLPGPFLGNHAFPQEAYFEITILYSSWNGDYELVGRREGEKTKLLIEDSSNDEGDLKSVEEMKVEGKSGISESVMFSLGLTGGGGVPLRVPGTYPRSIGFNSNGSVFLDGMKLVFESEKAQWVGTDTVIGCGFDPRQKKVFFTLDSELVHVIHCQSEEFSTPLCPTLAANIDIMVLVNFGQIAFKYAPANAQRTPNPCFIAPLVHSPGAALGFDDSKELFSMGRIDSPWQNRSATKENHINVNNNNLAFDFDEESEADLFEIVLDGSEKSPYSVSLS >RHN40270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14718849:14725514:-1 gene:gene46404 transcript:rna46404 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKKSFSLPLFFSSVRTRTSLTLHDQNFFTLHPWKKGCMQRFLKTIGSFSFLEASIKGIKG >RHN62301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42475057:42481007:1 gene:gene24861 transcript:rna24861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase, protein trichome birefringence-like 37/38 MGFNVHKLFFLSFFSLALLVSMHLVGATKSHKVSPSKRRKELNNCNLFSGSWVIGSSYTLYDSSSCPFLEAQFDCQKFGRLDNQYLKYSWKPDSCALPRFNGEDFLNRWKGKKIMFVGDSLSLNMWESLSCMIHASVPNATTSFSRKESVSTVIFQDYGVTIQLYRTPYLVDIIQQGVGRVLTLDSINAGKAWIDMDMLIFNSWHWWTHKGDSQGWDFIKDGPNLVKDMDRLDAFYKGLTTWAGWVDANVDPTKTKVFFQGISPTHYQGQDWNEPRKTCSGEVEPVPGPKYPAALPPEADVVNRVLKNMKKQVYLLDITLLSQLRKDGHPSIYTKDHTGNDCSHWCLPGLPDTWNILLNAALIM >RHN63158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48971403:48974365:1 gene:gene25828 transcript:rna25828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amylase MTLTLRSSISFIIQKETKFLKTFDDVSSTLTFAKIKPSFRLKAKSSMQIAHTFKSDEKWEQVHAPSIVHSNHDNSKKVPVFVMLPLDTVTMGGNLNKPRAMNASLMALKSAGVEGVMVDVWWGLVEKDGPFKYNWEAYAELVQMVQLHGLKLQVVMSFHQCGGNVGDSCSVPLPPWVMEEISKNPDIVYTDRSGRRNPEYISLGCDSVPVLKGRTPLQVYADYMRSFRDRFSDYLGSVISEIQVGMGPCGELRYPSYPESNGTWRFPGIGEFQCYDKYMKASLAAAAEAIGKKEWGGGGPHDSGQYNQFPEDTGFFRKDGTWNSEYGQFFLEWYSGKLLEHGDRILVSAKEIFQTSGVKLSGKVAGIHWHYRSRSHAAELTAGYYNTRHNDGYLPIAKMFANHDVVFNFTCMEMKDREQPGHANCSPEGLVHQVKKATKMANIELAGENALERYDSGGYAQVLSTSMSDSGSGLAAFTYLRMNKKLFEGDNWRHLVDFVRNMSEGGRRQRLPDSDSRGSDIYVGHIEKTKEQKQEGEAILV >RHN44259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2965268:2965804:-1 gene:gene38355 transcript:rna38355 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIGGSFTISIVGIRYKIWVKEEDLRWMNGRRNNSEGSSIEGDGSSVASGEAVWVDLGSHNFFDESLDGDGSGMALQVQNSGCRLEDRSIYNLGTNGKEGKNNFLDDQEKRGEWGPRLTTVPIIVQKLAQEREVEQREKTYEVSTTCDVREGGMFHATLWGVGVVHMSDLGKEHMRV >RHN57070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36249509:36250190:1 gene:gene32563 transcript:rna32563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyketide cyclase/dehydrase, START-like domain-containing protein MAIEVGNGKWHGSVYGIICAPIDKVWNIVSQTKKLPQWMPMVERCTTLAGNEDEPGCVRLVSGFMFPQQDGERSWIKERLISKDFSSHSYVYRMEASNVGLDGSVNTLKLVDYGDDSALIHWSFEINPLEDVSENSLVDYLGFLYKSCINKIEGAIVAASTNVSSPQ >RHN81733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48541913:48546098:1 gene:gene5818 transcript:rna5818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CAAX amino terminal protease MSTTTISSSPPFSLPIRHNSSSSRVSLFNPTISSPFPLQSFTLSTNKWRVLCFKHRNNPSESTDSEFKEDKLSQDSVKFKGDEPKDLKKKDWLTALHTIIHTVLSVEPWKVPWTAKTIVQVMLLWICSFWLVGSWIVPFLAYTAGIRKETLSYRGQALYSLLTDVAEGKWQFDVGLGCLMFPLINHLSQMNLNLWPVLQYAPVTVSSVEQSIVARDPVAMALYAVVVSVCAPIWEEIVFRGFLLPSLTRYMPVWSAVLVSSVAFAFAHFNIQRMLPLVFLGMVMGAVFVRSRNLLPSMLLHSLWNAFVFLDLMK >RHN49974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2796239:2800141:1 gene:gene34219 transcript:rna34219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MLFYYEISPLLLENVALRMGNLQVIVLSVQLPSISGMAGRNDAALAAALQVVAQAVGQQPNANAGANAEARMLETFMKKNPPTFKGRYDPDGAQTWLKEIERIFRVMQCIEDQKVRFGTHQLAEEADDWWVALLPTLGQEGAVVTWAVFRREFLRRYFPEDVRGKKEIEFLELKQGNMSVTEYAAKFVELSKFYPHYTAENAEFSRCIKFENGLRPDIKRAIGYQQLRVFQDLVNSCRIYEEDTKAHYKIVNERKGKGQQSRPKLYSAPADKGKQKMVDVRRPKKKDATEIVCFNCGGKGHKSNVCPEEIKKCVRCGKKGHVVADCNCTDIVCFNCNGEGHISSQCTQPKRAPTTGRVFALTGTQTENEDRLIRGTCYINNTPLVAIIDTGATHCFIAFDCVSALGLDLSDMNGEMVVETPAKGSIPDVPPEREVEFSIDLVPGTKPVSMAPYRMSASELSELKKQLEDLLEKKFVRPSVSPWGAPVLLVKKKDGSMRLCIDYRQLNKVTIKNRYPLPRIDDLMDQLVGARVFSKIDLRSGYHQIKVKDEDMQKTAFRTRYGHYEYKVMPFGVTNAPGVFMEYMNRIFHAFLDRFVVMFIDDILIYSKTEEEHAEHLKIVLQVLKEKKLYAKLSKCEFWLKEVSFLGHVISGDGIAVDPSKVEVVSQWDTPKSVTEIRSFLGLAGYYRRFIEGFSKLALPLTQLTCKGKTFVWDVHCENSFSELKKRLTTAPVLILPKSDEPFVVYCDASKLGLGGVLMQEGKVVAYASRQLRIHEKNYPTHDLELAAVVFVLKIWRHYLYGSRFEVFSDHKSLKYLFDQKELNMRQRRWLELLKDYDFGLNYHPGKANVVADALSRKTLHMSAMMVREFELLEQFRDMSLVCEWSPQSVKLGMLKIDSEFLKNIKEAQKVDVKFEDLLVARDQTEDNDFKIDDQGVLRFRGRICIPDNGEIKKMILEESHRSSLSIHPGATKMYHDLKKIFWWSGLKRDVAQFVYSCLVCQKSKVEHQKPAGMMVPLDVPEWKWDSISMDFVTSLPNTPRGNDAIWVIVDRLTKSAHFLPINISFPVA >RHN61009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32305765:32310527:-1 gene:gene23418 transcript:rna23418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TOC75 MSSSSSFFASNRLTTISTPPPPHRRNSHHISRPSSVKCHLSSSDDNNNNNNDSSSLFRKTLTATVAISSAASALFLTDSLPDFNFSGGGGGGGGSSSGGGGGGWFNNGGDGGDFWSRILSPSTAFADEPKSQDWDSHELPANITVLPNKLSGFKKYKISDIIFLDRNTKTKIGTEDSFLDMVSLKPGGVYTRAQLQKEIESLATCGMFEKVDMEGKTNADGTLALTISFAESMWEKADRFRCINVGLMGQSKPIEMDPDMSEKEKIEFFRRQEREYKRRIDTSRPCLLAPEVYKEIRGMLSKQGRVSARLLQKIRDRVQKWYHDEGYACAQVVNFGNLNTREVVCEVVEGDITQLNIQFLDKLGNVVEGNTENPVVQRELPKQLLPGHTFNIEAGKQALRNINSLALFSNIEVNPRPDEKNEGSIIVEIKLKELEQKSAEVSTEWSIVPGRGGRPTLASLQPGGTITFEHRNLQGLNRSLTGSVTTSNFLNPQDDLAFKMEYAHPYLDGVNDLRNRTLRVSCFNSRKLSPVFTGGPGVDEVPSIWVDRAGVKTNITENFSRQSKFTYGLVMEEIITRDETNHICSNGQRVLPNGGISADGPPTTLSGTGIDKMAFLQANITRDNTRFVNGAIVGSRNMFQVDQGLGVGSNFPFFNRHQLTLTQFLQLKSVEEGAGKPPPPVLVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGEIGAARNILELAAEVRIPIKGTHVYAFAEHGTDLGSSKDVKGNPTEVYRRMGQGSSYGAGMKLGLVRAEYAVDHNSGTGAIFFRFGERF >RHN45950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27196526:27198915:-1 gene:gene40380 transcript:rna40380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MLSLYEASHMMVHEDDILEEALNFSSTHLESIASQSSPSLAAQIEYTLKQALHKNIPRLEARHYISIYEKDPTCDEVLLTFAKLDFNLLQSLHQKEFGNISKWWKELDFSTKLPYARDRIAECSFWVLTAFFEPQYSQARKMMIKVITLLSIIDDTYDAYGTIDELELFTKAVERWDISSLDELPDYMKPIYRSFLTIYEEIEKEMRKEGRIYTLDYYKIEFKKSVQAFMTEARWLNENHIPTTEEYMRISKKSGAYPLLILTSYIGMGDIATKEIFNWVSNEPRIVNAAATLCRLMDEIVSSEFEQKRGHVCSLLDCYMKQFDMSREAAIQECKNRMTIVWKDINEECLRPTEVPMPFMTRVLNLSRFMDVIYKNKDNYTDSDGLMKTCIKEVLVDPVPI >RHN53628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2929124:2929750:1 gene:gene28555 transcript:rna28555 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTKKICLSHIISFCPSFFHFCLTHFISFCPSFFHFCLTLPFFFVSFGNIPVHRSEIHVYELKRPNDPLENQLLESIGLQIVHLKKGNPSYWMTFILLKIQNYPSMKEQYHHFYSIREKIGYHSILERRKKKKREEEAQKIFYNMDFSMISHDQENWRNPLKPFHRSSLISSFLKAHRLRFFNNQYAVRSCNYDFLKYVFTGINDS >RHN51466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18176788:18177021:-1 gene:gene35903 transcript:rna35903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectin lyase/virulence factor MKLKTMKYVFWMTGSYGSHPDPSFDPKALPNITEINHSDVMADNVTYSEKLEGISNDPFTDVYISNVTIHNVGKKFQ >RHN54800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12478877:12481894:-1 gene:gene29887 transcript:rna29887 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWEITLGTAYFLGLKRTYKLALKIQRKVVSPKYPKTRQFLHRRTRAVFDVAIKVHRNIQERDIEVGRNVGNFILRCLDRMKPSAQIRNRSNLPSSGSSSKETTTKHATGTSNHKPPSHSGLFKKDSDRHFFTSSKPFPSISRMMIPPNPAGTTIHGRNLSTYSPETFRQNYRVNWSGSVIRKDIMQWML >RHN55175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15754420:15757098:-1 gene:gene30312 transcript:rna30312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative structural maintenance of chromosomes protein MEANLKQHENFARQASEHFNKSKKEAEECKQKLTDLLNNAKSIAPLTPDLQKEFLEMPTTIEELEAAIQDTTSQANSMLFMNPHILQQYEDRQRQVLFILVFFVGYFHVPSIFTVTIQIEDLAKKLDMDKKEATKCRSELETIKEKWLPTLRNLVAQINETFSRNFQQMAVAGEVSLGMSNGRLKLILFGLLMLPSLLMFLHSSDEHDMNYDQFGILIKVKFR >RHN75694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44364996:44366152:1 gene:gene11932 transcript:rna11932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MSKQRLEGKVAIVTGAASGIGAEAVKIFVENGAFVVIADINDELGHQLATSIGLDKVSYHHCDVREEKQVEEIVAFTLERYGTLDIMFSNAGNAGPLSSILEFNLNEFDNTMAVNIRGAAATIKHASRVMVERKIRGSIICTASVAGFVGRCAGHDYTASKHGLIGLVRSACGELGAYGIRVNSISPYAVATPLACRALDMVPSEVEAVGLDYANLQGITLKAAHIAETALFLASDESAYISGHNLVVDGGFSVINRCLPSIKKQ >RHN77797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9489851:9490934:-1 gene:gene1290 transcript:rna1290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MVTRSNILVVMVLILVCNCNTVICHVNGCSGRDFRCGPHGPPIRFPFRFKDREEKYGCSYPGFELTCSDTRKTLIELPTHSGPIQLEVKRIFYDDQELWISDPENCLPKQLLKLLHSQISPFQLFARSDSNNSYTFMDCSSLTCPVYFADSGTRFLDSGVK >RHN45449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20938339:20939117:-1 gene:gene39791 transcript:rna39791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S10 MTTKIRIVIRSFDHPFLENHFGGLPPYTRKIGLPESRVLYTVLRSPHIDKKSREQFEMEIKKKYLVIKTEKHELRKKFFRLKRRATRGT >RHN59370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9919534:9919914:-1 gene:gene21396 transcript:rna21396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MAGGEWDRSSEVFSFGIILLELVTKRSSNIGNTEDTSLNMDNLVHIWAKNEYKPNCSLVHKYLQEDWLYCAEDGVAITRLALQCIEFFPANRPSMKDVLQNLENISVLQHLFDARSTKRAKKLISS >RHN39294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5633390:5634370:-1 gene:gene45304 transcript:rna45304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin synthase MRRLKIADGGKDPYIFSLNNFVGRQTWEFDLDAGTPEERAQVETAHKNFYDNCFYVKPCSDLLWRFQILRENNFKQTIASVKIEDGEEISEEKVTTTLRRAVNHISALQASDGHWPSLNAGPLFYFPPLVSTTYCL >RHN40017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12292738:12293333:1 gene:gene46107 transcript:rna46107 gene_biotype:protein_coding transcript_biotype:protein_coding MDHMLAHVFCGIIHLHGHHNKIFKFNAKSGNKPLSYCPKLPTTKHAKGLYQLSYLQSKNSFASPKSFSPSAKLGLSRMD >RHN57519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39735901:39737537:-1 gene:gene33074 transcript:rna33074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MAALGGSTEVEYTQNSVEIDNLAIFAVQEHNIKQNAVLEFVRVLNAKKKVVSGTLYDITLETKDGGKQKVYEAKILEKPWLNFKEVQEFKLISQNDDAPSVSST >RHN59174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8185536:8191389:-1 gene:gene21167 transcript:rna21167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zeaxanthin epoxidase MASMQILWSCNQVNHKGNSKHPYMKSTKIITRKRYNLIRFEGGVVQNFDQEKRKLRVLIAGGGIGGLVLALAAKHRGYEVKVFEKDLSAIRGEGRHRGPIQLMSSALSVLEAIDESVVKKIMEVGCVTGNRINGLADGVSGEWFTELDLLTPASRKGLPLTLVICRMTLQDILVNAIGSNILKNKSKVVDFIQEPSKVRVVLENGQHYDGDILVGADGIWSEVRSKLFGWQEAKYSGVTCYSGLTNYVPPYINTIGYRVFLGLNQYFVASDVGYGKMQWYAFHGEPPSRGHFPEGKKKKLMDLFGNWCNEVKTLISETPENMILQRDIYDRDIINTWGIGRVTLLGDAAHPMQPNLGLGGCMAIEDCYQLILELDKVGSGFEESQVTSALRRYEKKRIPRVRVLHTASRMASKMLVNYRPYIQFQLWPHSLTDMQIKHPGVHVARALLKFTLPQFVNWMISGHGLW >RHN40693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19603430:19610887:1 gene:gene46886 transcript:rna46886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MSVLMVTSLGDMVIDLHTQKCPLTCKNFLKLCKIKYYNGCLFHTVQKDFTAQTGDPTGTATAGDSIYKFLYGDQARFFNDEIHIDLKHSKTGTVAMASAGENLNASQFYITLRDDLDYLDGKHTVFGEVAEGFETLTRINEAYADGKGRPFKNIRIKHTYILEDPFDDPPQLSEFIPDASPEGKPKDEVDDEVRLEDDWVPMDEQLNPGELEEVIRSKEAHSRAVVLESIGDIPDAEVKPPDNVLFVCKLNPVTEDEDLHTIFSRFGTVSSAEIIRDHKTGDSLCYAFIEFEDKLACEQAYFKMDNALIDDRRIHVDFSQSVSKLWSQYMRKDNKGGGCFKCGSTDHIAKDCTGDATMKQPTKFIMKDNNAQRGGDKARYEMVFDGDNTESPKREMKHQRHERDDRNDKKGRKENFKDDSHRGRRDQEMAGSNNRDRHRDRSRGLEGNGDDKARLERGARDPDFHADKKDIERHMGRRHGDDDYRRKDELDSRKRDLDDRYTERRASRDDRRKTDDSHLDRRDDRDYRKRTEDSGRQDVKVDSGRRKRSPDGDDYKRRRNDEDYRQDVKIDSGRRKRSPDDGDYKRGRDHEDYRQRREGREHRRT >RHN75281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40873204:40875135:-1 gene:gene11457 transcript:rna11457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MPSSVLLLFPKDPLYRVPLCVFGSTCFVHDLTPGRDKLFARAVKCVFLGYSRTQKGYRCYSPSKRRFYISAYVTFFEDTPFFASPTTTSSTTDVTDSQVIPIPLFHPIFEPPVSTQSCPQLQGNQKHLLLILVIQLQRLLLLILVIRLLLQSPLLLWSSLLMTYLLLFIKVKVHKGKRSTANPHPVYNFLSYHRLSPSYFAFVSALSSVSIPKTVHEALSHQGWKQAMIDEMVALESNHTWELVSPPPVGCRWVFNVKVGPDGQVDRLKARLVAKGYTQVYGQDYSDTFSPVAKMTSVRLFIAMAAMKRWPLFQLDIKNAFLHGDLEEEIYMEQPPGFVAQGGRSLVCKLQKSLYGLKQSPRAWFGRFSKVLQQFGMTRCESDHFVFLKFSSSNQYIYRVVYVDDIVITGDDYEGIKALKQHLFQNFQTKDLGPLRYFLGIEVAQSKSGIAISQTKYALDILEETGLIDCKLVDTPMDPNVKLLPDQGEPYSDPGRYRRLVGKLNYLTLTRPDISFPVSIISQFLNSPCDGHWNAVVRILKYIKRAAGKGLVFTDRGHTNIVGYSDADWAGDASDRRSTSCYCIFVGGNLISWKSKKQTVVARSSTEAEYRAMAHATSELVWLKHLLQELSFCEVGQMDGTRM >RHN44578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6352126:6352581:1 gene:gene38714 transcript:rna38714 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSDSNMRGSKKKVSSKKLGGYLKEQKGRLYIIRRCVVMLLCWHD >RHN53358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1176251:1178565:-1 gene:gene28259 transcript:rna28259 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGWRAVVNVRQQHVSELRTLFHLEQVSVWNHLGIVGNFRRIEYNINEGLSFYFNSLSDAAEVVNLIVDILPAQVQWNKIDPYLFSVEIVPICCGDLIILPSNIAASLGPNIGPIVICTRVAKTFTLLDPFTLTHCFLKAGQYWDAPFTPSFSRPQLVEYAVLGIEKLDEEEEEEVEENKQKKKLVFKDEHDEDGDAFATYTAAAKKYRLANAIVARVKDIGNNNHTFQIRTHLGRILKPGDHALGYDLPEGDPILITKISFAKEENGRVVPVQDKWESGYQLFLKDLQQDPKLLFDQRAIYRNPTYCHPSGPLFRSPFYRPFFPLEDLLDG >RHN52112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31621455:31623256:-1 gene:gene36736 transcript:rna36736 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVKIAGKLSKHNRSAAENIVGLPWRKIFCKEAEHMQNSLYPLSDMEEAI >RHN42152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35862720:35868833:-1 gene:gene48556 transcript:rna48556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MDQAELTTDQVLSRDIPWETYMSTKLISGTSLQLLRRYDHRSESQRAQLLDDDGPAYVRVFVHVLRDIFKEDTVEYVLAMIDEMLTANPKRARLFHDNALADDDTYEPFLILLLRKGNWFVQEKSCKILALIVSVRPKNQSGVASNGEASNEKKPFTSIDDVLIGLVKWLCEQLKKPSHPSRGVPTAINCLSTLLKEPVVRSNFVQTDGVKLLVPLICPASTQQSIQLLYETCLCIWLLSYYEPAIEYLATSRTLPRLIDVVKSSTKEKVVRVVVLTLKNLMSKGTLGAQMVDLQLPQVVQSLKAQAWSDEDLLEALNSLEEGLKDNIKKLSSFDKYKQEVLLGNLDWSPMHKDPIFWRENITNFEEHDFQILRVLLTILDSSNDPRTLAVACFDISQFIQSHPAGRIIVTDLKAKERVMKLMNHESAEVTKNALLCIQRLFLGAKYASFLQV >RHN59676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12631822:12634275:1 gene:gene21759 transcript:rna21759 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKIENWNIKRILKSILFRFKIIDELSESKKTSTISKNNSKIEVIEVIEESPVKMESINWTNSSFTEKRIKDLNVKTKTIIKQIETMTEEKKEGILTSEINLNSNKTTYDAKRLELQKNNLQILQRRFVRLIRKSYSFFKIFIEGVYIDILLCISSIARIHRQRFLDFLESTDKILNVKKPIYDKKKKMEEMEERFENLSVSRLISILEKSENITNMNSQNSWDVSSLSSLSQEYVFYKLSQIQFSNGSKFKIRSILESPGRSFFLKNEIKDYFFRMQGTYNSKLRHKKRSDSLMNPWTNWFKVLYQYDLPEKRWSRLVSQNWRNRINEHRVAQNKDLVEYDSYEKNQLIWKELILSKKQEQEGDLLKIEIKNKIKKQYRYDLFSYQYLNFANKKKSSIYGYRSPNKNQAISYNYNISIQNYLEEYDILDMEKNLEKNLDRKYFNWMGMNVKRKKTSRPKDKFLIPGFWFFSKLSKLYCAYKMNPWILPIKFFVLQLDNLELTTEEYVNTVDEDLKSVSYYYKGSDSKYRTDLKGERDFLLSKYLGFYLHCDSSDEEIGMDNTNLFCLLLRMKKFNKIVIMSIKKLELDIEMLVDSRTKDFCYTECRDTEDLKERLIFFIEPIRLPRKKHEQSLLYQTIRLPLIHKSKTRKSWSWKKKKSRVDQKITENKDKNLYDLFVPENLLSTRRRRELRILTCFNPRNRNTVHRKTINDNENQIKNVSQVLTKNKDLDSETKKLMNFKLFLWPNYRLEDLACINRYWFNTHNGSHFSILRIHMYPRLKD >RHN70487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51755907:51756724:-1 gene:gene19068 transcript:rna19068 gene_biotype:protein_coding transcript_biotype:protein_coding description:17.1 kDa class II heat shock protein MDFRLMGLDSPLFNTIHHIMDLTDDTTEKNLNAPTRTYVRDAKAMAATPADVKEYPNSYVFVVDMPGLKSGDIKVQVEDENVLLISGERKREEEKEGGKYLKMERRVGKFMRKFVLPENADVEGGVSAVCQDGVLTVTVNKLPPPEPKKPKTIQVKVA >RHN59602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12407681:12409501:1 gene:gene21653 transcript:rna21653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative catechol oxidase MASISPLSIISTIKISHSSSMYQFSQKQQKSSKHRKLPRRQVITFSGNISNQNNPKEEQELQNIVVGNRRNVLIGLGGLCGTFTTNPFALASPISPPDLSKCRSSHISSGAIDIDCCPPKSTKIIDYKFPPLTQPLRVRQAAHLVNDEYLQKYKKAIELMKALPSNDPRSFMQQANVHCAYCDGAYSQVGFPNLDLQVHGSWLFFPFHRWYLYFYERILGSLINDPTFALPFWNYDAPNGMRFPSIYTDPTSPLYDKLRNAKHQPPTLIDFNYGHCDDIDEDGENNIIHTNLTIMYRQVVSRGKNSRLFLGYPYRAGGVPKCAGSVEIVPHNTVHNWSGDTRQPNHENMGTFYSAARDPVFFSHHSNIDRLWSIWKTLGGKRKDFDDKDWLESEFLFYDENKNLVKVNVKDCLDTQKLGYDYQDVPIPWLNAKPTPCKKIQKKVEVAQGNSFGTGKARLSEINENLTNSRNDVKFPLVLDNIVSTIVRRPKKSRSKTEKEEEEEVLVIEGIEFDKSLGVKFDVFINDEDAKEIKPVNTEFAGSFVNVPHSSHDHKKKKTNSCLRVGLTDLLKDLGAEDDDSIVVTLVPRYRKGLVKITNIKIELEG >RHN50462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7304456:7305529:-1 gene:gene34745 transcript:rna34745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MNLMSVAEVTFWHYWVFLLLQEIQNPVERLRTKYNDHDNEDKLSDLHDENEDKLSDLPDCVLLHILSFLNTKYAVQTCILSKRWKNIWKCLPSLIIGYSHFKDLKGFEYFIHGFFGTRDCSTALQVLDFSEECYVGYQSHLEWIVRYAFTHNVKRVRIDVRKVQHLQSYFFSCDTLTSLHICVAFRQRTLFQNSLNFPALTYFFLGSFDFGVDDDGRVEPFSAFKRLNSLILQNCRTLDKQNLCISSATLTNLTIDYGSWALGYCKFELYTPNLCTFVYKGIPPVQQLCGSKSNLSSVKHATIVVISLFQSAKTSLIIYNWLVELANIESLTINSTTLQVLYGMFGWFRFLLRQLFS >RHN75929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46198311:46199670:1 gene:gene12190 transcript:rna12190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MDPPPGIPRYSNISMVCKLKKALYGLKQSPRAWFGRFTKSMKFFGYTQSNSDHTLFLKHNHGKITALIIYVDDMIVTGNDPNEISSLQRYLASNFDMKQLGDLKYFLGIEVARSKHGIFLSQRKYVLDLLTETGMLGCKPIETPIEQNHKNFCCADAPSTDRQRYQRLVGKLIYLSHTRPDIAYAVNVVSQFMHDPRKPHMDAVERILRYLKSAPGKGLLFSNHGHLKVEGYTDADWAGSADDRKSTAGYLTFVGGNLVTWRSKKQQVVARSSAEAEFRGMAVGICELLWIKNLLKDLGCEQEDAMKLYCDNKSAIEIAHNPVQHDRTKHVEIDRHFIKEKIEAGIIAFPFVKSEQQLADMLTKAVTSRTLAGSLDKLGMCDIHAPT >RHN53368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1220705:1221889:1 gene:gene28269 transcript:rna28269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MRSCYSAEKNRVCWRCVMRHEMLWYFYGVIIALVYGPFCPLIEVKNSSWFVDAHCVFVFAPLLQIISIQHEVDVRLKHLRSFIIFNNSLCLNEFSYCGNHVPQTIILPFTCDASAKIILHEGRNHMSPFEPFHLLKQFSHARSIKFEVSKVLMQPKELCVFPMLTDLEVGLVSVEILLALLQKTPVLKTLVLKGIRTFEEELLNSSVVPECLASLHVVKFEEVNGDDHELILAQFLVENGMVLERMCFSLVSQIPDKDEVMEEFKEKMSSFHNFIPDVVEFSYE >RHN45820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25987631:25998520:-1 gene:gene40239 transcript:rna40239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative B-cell receptor-associated protein 29/31 MIQLLFLVIIAEGVMAFLMMVKIGPLRDLVMKSLDQLKMGKAPATVKTIAGTMFVILLSSLMSLVKIQNKGAKLGTMSPMDQVLWRTHLLEAYLLGFTLFLGFLIDRMHHYLQKLINLRSNMGASKEEVENLKKENVELKEKEEKASKEIKQLKKELSTLTEGLKKVKMESEEKDKRVETAEAHVASLQKQAADLLLEYDRLLEDNQNLQAQAGRHKS >RHN61769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38199664:38203868:-1 gene:gene24264 transcript:rna24264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MDKFFGEVQEPVSPHGQYFNSSVMCSYVFGFLELAIPFDNSLAIPLLKDVFIPINPRFSSIMVKDVEGKMRWQKVEVKPEEHLKIPIFPETINSSSCELYDKYVSNYVTSILNGRTPQNKPLWEIHIINYPTTNAACTIIFKLNHALGDGYSLMSALLSCLQRADDPSLPLSFPSQRPQLNSKYAKINLFEKLCFVISSFFSSISDFGSSILKTRMIKDDKTPIRSGYEGAESQPFILSNITLSLDQIKGVKTKLGVTINDVVTGMIFYGIRLYMEEKNEKTKTSNSTAVVMLNTRNIGGYQSVKEMQKPESKGLWGNKISFLQIPIPKMCQSKISNPLEFVWNTRELIKRKRRSFSVYLIALLLDLEMKLRGSEVVAKIIYNTTGNSSVLMSNIVGPVEKMTLANHPVNGLCFTMTNGPENVNITIISYMNVLRITLKTLKGFIDEQKLKFCIEKAVKVISEAAMEISEISTKN >RHN61989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39837734:39839850:1 gene:gene24509 transcript:rna24509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleolar GTP-binding protein MVKKRERSVNVSGKPKHSNDANRSNDTKNESRSAATVRRLKMYNTKPVRNRKGRVLYEPFQLPYLPSTRIKPDSQWFGNTRVVNQKELEFFREEMAKHGSSNYNVVINGKNLPMSLLNDYQKQSRVHLLDREPFLHAFGPKTKRKRPSLLASDYESLAKKADVSQDAFEDGNEADDGFRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVIDARDPQGTRCYHLEKHLKENCKHKHMVLLLNKCDLIPAWATKGWLRVLSKEYPTLAFHASINKSFGKGSLLSILRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYHNNDSETDVVLKGVVRVTNLKDAADHIGEVLKRVKKEHLTRAYKIKEWVDENDFLLQLCKSSGKLLKGGEPDLMTAAKMILHDWQRGKIPFFVPPPRLEDLSKSEEPNVNGIDVDEANVNGIAVDEAVDHYQASAAIKAIANVLSSQQKQIVPVQGDLCNENELKGETADQLPNSEDDTDEDSDTFEQDPSTEVPSEQLTPAAGPNK >RHN58972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6320711:6321250:1 gene:gene20944 transcript:rna20944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKFKAVHVLNFSNNAFSGEIPSTIGNLKQLESLDLSNNSLVGVIPVQLASLLFLSYLNLSFNYLVGKIPTGTQLQSFQATSFEGNNGLYGPPLPEKPNGKRQDELPQEPACERLACSIDWNFLSMELGFVFGLGIIIGPTLFWKRWRVCYWKRVEKLSVGSSEGCILNMQLIEDRHTEF >RHN39271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5447487:5452249:-1 gene:gene45279 transcript:rna45279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nonaspanin (TM9SF), major facilitator superfamily domain-containing protein MKEKMMGCTAISVRSTTTTVYFLTILFLFSSVHSFYLPGVAPRDFQTGDALYVKVNKLSSTKTQLPYDYYYLKYCKPSKIVNSAENLGEVLRGDRIENSIYTFHMRKEQSCTVACHKILDAESAKNFKEKIDDEYRVNMVLDNLPVAVLRQRRDGSQSTTYEHGFRVGFKGNYQGSKEEKYFINNHLSFRVMYHKDPETGSARIVGFEVTPNSINHEYKEWNDKNPQVTTCNKDTKNLMQGSTVPQEVDTNKDIVFTYDVSFKESEIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYRDIANYNQLDTQDEAQEETGWKLVHGDVFRPPINSNLLCVYVGTGVQIFGMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGLFAGYSSARLYKMFKGTEWKRNTLKTAFMFPGILFGIFFVLNALIWGEQSSGAVPFGTMIALVCLWFGISVPLVFVGSYLGFKKPAIEDPVKTNKIPRQVPEQAWYMTPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILLITCAEITVVLCYFQLCSEDYNWWWRAYLTAGSSAVYLFLYSIFYFFTKLEITKLVSGILYFGYMIIVSYAFFVVTGTIGFYACFWFVRKIYSSVKID >RHN75282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40884880:40885670:1 gene:gene11458 transcript:rna11458 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFLTYPAPHHLHNLFQTNTSSQLSTHNNKTKKHFIIKCTTPLNSTSSEPEFPTPDPTNTTMNSPETFPIEKRRRSEIIRQRRPNTDLANAEPPNFEIGWKRTKEINLEKPVGYVVADFLEKLEELMKKEFGSTELLAKVGEIVAERAREEAEILRDEGKVEERMVVELFRVLRLMEMDLAMVKASVKEDTLNERLDQAKARCRQAILVCYSF >RHN38571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:347286:348860:-1 gene:gene44526 transcript:rna44526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative envelysin MFLSLRYSFTFLFTTLLLTLSSSSHIASKISISNHDIHSFTNAVRGNNITGISQFKRYLSRFGYIKNNDTFSDKFDSNFESAIIKYQRNLGLQVTGKLDSNTVSQMITPRCGVPDTTKTHHYHNHHQNHIHNKTNFVYFPGKPRWSRDMPMTLTYGFSSDYMIHNLSIQEIREAFKRAFSRWSSVIPVSFVESEDYGFADIKIGFYSGDHGDGEPFDGVLGVLAHSFSPEIGRLHLDAAETWAVDFGVTKSEVAIDLESVATHEIGHLLGLSHSSLKEAVMYPSLRPRDKRADLNIDDIKGVQSLYGSNPNFRSQWSSLESDISTNHGAKFGVDTYRFSMIASIIAIALYYI >RHN78355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14347912:14352566:1 gene:gene1899 transcript:rna1899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAFVGEAFLSASLEVLLDRIIPDELLYFSRNKELDTSLLKKLKITLLSLQAVMNDAEEKQITNPAVKQWLDELRDALYDADDLLDEINTESLRCKLEAESQIQQPFSDQVLNFLSSPFKSFFRVVNSEIQDVFQRLEQFSLQKDILELKQDDDRKKLKEFLLSKDGGRNIGVISIVGMGGIGKTTLAKLLYNDLEVGKNFDLKAWAYISKDFDVCRVTKILLECVSSKPVVTDNLNTLQVELQQSLRKKRYLLVLDDVWDGSYDEWNKLKAVFEAGEVGSKIVITTRDESVALAMQTHIPVHYLRSLRSEDCWSLLAHHAFGPNNCKEQSKLEVIGKEIAKRCGGLPLAAEAVGGLLRTKLSEKNWNKVLKSNIWDLPNIKVLPALLLSYHYLPAPLKRCFAYCSIFPKNSGLDKKMVVLLWMAEDLVHQYKGEKTVEEVVEEYFDELVSRSLIRRQMVNAKESFMMHDLINELATTVSSAFCIRLEDPKPCESLKRARHLSYIRGNYDCFNKFNMFHESKCLRTLLALPLRHWWSSKYPNLRSHYLSSKLLFDLLPAMKRLRVLSLSHYNNITELPNSFVNLIHLRYLDLSNTKIEKLPDVICKLYNLQTLLLSKCSSLTELPEDIGNLVNLRHLDLSDTKLKVMPIQIAKLQNLQTLSSFVVSRQSNGLKIGELRKFPHLQGKLSISKLQNVTDLSDAVHANLEKKEEIDELTLEWDRDTTEDSQMERLVLEQLQPSTNLKKLTIQFFGGTSFPNWLGDSSFRNMMYLRISGCDHCWSLPPLGELLSLKELFISGLISVKMVGTEFYGSISSLSFQPFPSLEILCFEDMPEWKEWNMIGGTTIEFPSLRRLFLCDCPKLKGNIPQNLPSLVELELSKCPLLRSQEVDSSISSSIRRPSHPEWMMIELNSLKQLTISSIVSLSSFPLELLPRTLKSLTFLSCENLEFLPHESSPIDTSLEKLQIFNSCNSMTSFYLGCFPVLKSLFILGCKNLKSISVAEDDASHSHSFLQSLSIYACPNLESFPFHGLTTPNLNSFMVSSCPKLKSLPEPIHSLSSLYQLIVYGLPKLQTFAQESLPSNLRILEVSNYGSLSTSAITKWGLKYLTCLAELRIRGDGLVNSLMKMEESLLPNSLVSIHISHLYYQKCLTGKWLQHLTSLENLEISDCRRLESLPEEGLPSSLSVLTIKRCLLLQANCQSNGGKEWHKISHIPCIIIDNKVII >RHN41766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32743260:32747925:-1 gene:gene48124 transcript:rna48124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 43kDa postsynaptic protein MMESSSGREFCANGTSMDTAEVEAKLDQGNIQDAETALRDGLSLTSEEAQALLGKLEYQRGNVEGALRVFDGIDLKAAIQRLQPSFLEKPPVKKGPSRAESPSSVTQHAASMVLEAIYLKAKSLQKLGKFDEAANECKQVLDAVEKVFSQGISDTQVDSRLQEIVSCAVELLPELWKQAGCYDEAITAYRNALLSQWNLDNDCCARIQKAFAVFLLYSGVEASPRSFSVQIEGSYVPKNNLEEAILLLMIVLRKFSLGKIKYDPSVMEHLTFALSACGETSILAKQFEEMLPGVYHRIDRWNSLALCLSGAGQNKSALNLLRKSLHKHERPDDLLALLLAARICSEDPHLAAEGAGYAQRAIDKAQGLNEHLEGVGLRMLGLCLGKQAKVASSDFERSMLQSKALQSLEEAVRLEQNNFDLIFELAIQYAEHRNLAVALRYAKQFFDKTGGSKLKGWRLLSLVLSAQKRFTEAEVVTDAAIDETSKWEQGPLFRIKAKLKIAELRPMDAIEIYRYLLALVQAQKKSVGTQRLSSQDEEDQINEFDVWHGLANLYASLSHWKDAEICLQKARELKEYSAATMQTEGKNKTFMLFKFLYFSMFNLYFPTCFIKLRYHR >RHN47749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42240567:42247724:1 gene:gene42384 transcript:rna42384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde oxygenase (deformylating) MISSSQTHNMASKPGILTDWPWKPLGSFKFMILSPWIAHSMYSFIWGERDPVYHVIFPFMLIRMLHNQIWISISRYQTAKGKSKIVDKGLEFEQVDRETNWDDQILFTALVYYIGYMIFPMASNLPWWRIDGVILTAILHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVTHPFAEHLSYFTLFAIPMLTTLFIKKSSVAALYGYVFFIDFMNNMGHCNFEFIPKKLLSYFPILKYLSYTPSFHSLHHTKFRANYSLFMPMYDYIYGTVHKSTDATYETSLMRPKESPDVVHLTHLTTLDSIYQMRLGFASLASNPQTSKWYLHLMWPFTMFSMLITWICGRAFVLESNTFNDLKLQSWIIPRFKTQYFSKGQNITLNNLIEETIMEAELNGAKVISLGLLNQKHQLNAHCELYIGRLPQLKIKVVDGSSLAAATVLNNIPKGTNQVLLRGKFNKVAFAIANALCRKNVQVAVLYKDELMDLRQRVSKESLVVSPINTPKIWLVGDEWDEYEQMEAPKGSLFIPFSHFPPKKMRKDCFYHYTPAMITPTTFMNSHSCENWLPRRVMSAWRIAGIIHALEGWNVHECGDTILSIEKVWEASIRHGFQPLKNILTN >RHN81542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46859195:46862278:1 gene:gene5604 transcript:rna5604 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEKLDVKIVVVGHVDSEKSTNSTEEPNKAVVVEENQADEMN >RHN70690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53223871:53226843:-1 gene:gene19301 transcript:rna19301 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLNQVESAMKRVAFGGIKWSTKFITEFLTDMKQLKFFSEFSNSDKGNALLPT >RHN61622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36884093:36887834:-1 gene:gene24106 transcript:rna24106 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PRONE domain-containing protein MGSVSSEDVSERCGSYSPSADISESESSSSFYGRRFDAEGASSSANLSPRQLAAHFNLPATAQVMLPVIGGKDVAVWDHKRDLDLTEVEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWRLEPLAPQKKAMWRREMEWLLCVSDSIVELVPSVQQFPGGGTYEVMATRPRSDLYINLPALKKLDGMLLSMLDGFCDTQFWYVDRGIVLGDSKDCDDYGRPSVRQEEKWWLPSPKLPPNGLCEDDRKRLQQCRDCTNQILKAAMAINSSVLAEMEIPAAYVESLPRNGKACLGDIIYRYITAGQFSSECLLDCLDLSSEHHTQDIANRIEAAIHVWRLKDYKKLKKSGKARRSWGGKVKSLVADGEKNHFLVQRAETLLQSLKRRFPGLPQTALDMAKIQYNKDVGQSILESYSRVMESLAFNIMARIDDVLYVDDTVKRSAAADSILFGRGGFGGLPIQKRMTPSPFSIQHTPYASPFATPSFCSSSPVSGSPCSPRRTHDVKRNAKGKDSKAGKLASTDYEKVWSYAGNLSARRNSGEAPERD >RHN58217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44708030:44709110:-1 gene:gene33837 transcript:rna33837 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPTSRSGKKGSLPVSTKTKLGDAADAVAAGGGFIRRRLSTSSLKNNTSTETTNNKWSSFIPRSKSLSFSSIGDSIRNWWTWSWAWILSRKPIFATDLEMNEQETKLLTSRDKGSWKHVICRMRSEIRRRITNSDHYYTPLPQTMAYTK >RHN42411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38127864:38137870:-1 gene:gene48849 transcript:rna48849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phenylalanine--tRNA ligase MPTVSVGRDRLFAALGKTYTQEEFEDLCFSFGIELDDVTTEKAIVRKEKHLEQEEADEDEEVIYKIEIPANRYDLLCLEGLAQALRVFCGFQEIPTYKLADISKDAMHKIHVKPETSLIRPYVVCAVLRGLTFDKARYNSFIDLQDKLHQNICRRRTLVAIGTHDLDKLEGPFTYEALPPLSINFTPLKQEKSFRADELMEFYKSDLKLKKFLHIIEDSPVYPVIYDSKRTVLSLPPIINGAHSAITLETKNIFIECTATDLTKAKIVLNTMVTAFAEYCENKFVIEPVKVISSDGKSHIYPDLSVYNMEVSLSYITGLVGVSLEAEEVTKFLNRMQLHAKQSTSHNKQCNFIVSVPPTRSDVLHPCDVMEDVAIAYGFNAIKDQAIIDNKGSKRLAASLTLLPLQELSDLIRKEVAMVGFTEVLTFILCSKKENFSMLNRKDDKSKAVIIGNPRSSDFEAVRTSLMPGILKTVAHNKDHPKPIKIFEVGDIAILDDNDVGAKNLRQLAALYCGANAGFEIIHGLVDRVMEKNGIFFVSPGDKSGYYIERSDEPEFLAGRQARIIYKGKQVGTFGIVHPEVLNNFDIPDPCSFVELNIESFL >RHN65390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1700447:1701433:1 gene:gene13222 transcript:rna13222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MEANTFASFSLGHVLKFAILILLAISSITSACEDNSTIPTNSPKTNLSYTSNAPSNSTNTNDFKTYIKNSCNSTTYPYICYKSLSPYASTIEADPLKLCNTSLSVALNAARDASSAISKLLNNDENKLSSIGEEVVQDCLGNVKDSIEQLQDSLDAMAHLDAYFDREFQISNMKTWVSSAITNDQTCYDGFDDMNVDSTLGDKIRRRVLNVARKTSNALYFINNNIY >RHN39650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9084796:9087068:1 gene:gene45692 transcript:rna45692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP-synthase-associated protein MEQTKEVENGDYNESKRIITKQYMMILVFSLLISMLGGSLLGWWHHKYHSTNRQLWMVPFGLIFFLTPLIIWFSLFISHLFISKSDEELEDASNISHLIQIHPMDESICHPKR >RHN59371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9938885:9939429:-1 gene:gene21397 transcript:rna21397 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRHLLSAPPVRLFPADVIHCFTFSSDLFVVNCVLARSSSLLCSTNSWFSPEGRGSFRWSAAVPPCCLVLFQLPGGGVRFPWWWVVAKHGGLSQFCFGFYVFTFCDLQSLVSIEICSFAGCCSPATVSEFLEDVMCLLLMFLDWWDQICPFCSGLVRKPERVWCV >RHN71530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:803608:809262:-1 gene:gene7139 transcript:rna7139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MEISSLSAVSFGMPFRHSSSSSSSSSSSISASSSTTHFPSRTRKSPKLQLQHASDLTSTLTSVGQLLTMKDLNATLHHFANSNKFNHVSQLFLWMQENKKLDVYSYSHYIKFMANKLDASTMLKLYNDIQVESAKDNVYVCNSVLSCLIKKGKFDTTMKLFRQMKHDGLVPDLVTYSTLIAGCVKVKDGYPKALELIQELQDNKLRMDDVIYGAILAVCASNGKWEEAEYYFNQMKSEGRSPNVYHYSSLLNAYSACGDFTKADALIQDMESEGLAPNKVILTTLLKVYVRGGLFEKSRELLAKLESLGYAEDEMPYCVLMDGLAKARQTHEAKIIFDEMMKKHVMSDGYAHSIIISAFCRAKLFQEAKQLAKDFQTTFDKYDVVIMNSMLCAFCRAGEMESVMETLRKMDELAISPDYNTFNILIKYFCRKNMYLLAYRTTMDMHSKGYQPAEELCSSLIYHLGQENASSEAFSLYNMLRYSKRTIGKALHEKILHILLAGKLLKDAYVVFKDNATSISGPTTKKFASAFMKSGNINLINDVMKTLHNCGYKIDQGLFEMAVSRYLGQPEKKDLLLHLLQWMPGQGYVINPSTRNLILKNSHLFGRQLIAEVLSKQRVKLNAQKSN >RHN51860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27171631:27171981:1 gene:gene36409 transcript:rna36409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSLPNLEVSHGTPISTEDVRLAASTPKKRACRKKFKVTCHPIYRGVRSRNLGKWVCEMREPNKMTKIWLGTFPMAEMEARAHDVATLALRGCYACLNFADSAWRLLIPATIKTRYI >RHN79148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24509251:24517612:-1 gene:gene2874 transcript:rna2874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase NEK family MENYEVIEKLGRGALGATFLVLHKTERKRYVLKKIRLAKHTEKSKLTAYQELDLIAQLNYPYIVEYKDAWVEKEDYIYIISGYCEGGDMAENIKKARGSFFPEEKVCKWMTQLLLAVDYLHSNRVLHRDLKCSNIFLTKENNIRLGDFGLAKLLDTDDPASSVVGTLNYMCPEIFSDMPYGYKSDIWSLGCCMFEIVAHQPAFRAPDRAGLINKINRSTISPLPIVYSSTLKQIIKSMLRKNPEHRPTAAELLRHPHLQPFVLRSRDAPSVFLPVHLISCNSPKKSYKSSGGKDNKDKEEGFVHHLERVYPIEGNGDVQTRNRPNGRKLAVSVSTEDNLETKRVDPTSYSLEFSTSFSGSKDESTTSESTVCSVCKEADLKNRAVRDMDDTEITSKSTLDFAHEKQVFATEHFQKSYAVDINTGTKKVEDTFSNEDSSGNDKDASTSDDVTSQCTRDSVHVEKRFTVEHFLKADSIDINALTTEDRYKFSNKGFDKAELQREDVKPEDFIKSIMSSENRLGIDIDGSIDEVTSESTLEFLLVEQFITEHCQNPNAFDINAVTTEVEGTLSEGCFDTFSECDFDAFSETQREGAKAEDSNKSIIYRNDCNRNDKEPVDDITSKSTLDSVHEKQECTAENCQNSNTICINSATTKVDDSLSSEGFDKAVARREDAKPEDSSNSIIYIKDCNGDDKEQSIGDITSKSTLDSVLEEQERAAENFPKSNTIDIHAVTANVDDTLSGKVFDKVEAQTEDVKPEDSGMSIIYRNINDEKESIDEITSKSTGDSVHEEQQFSAEHFRNPDTIDTNAVTTKVDDTFSNEGFDKVEAISDNDKIGFINEDMESPIVHSVRVEHDPDTVSCTKESEKPEAFTEDSHMNIPASESNDSLPANYEGSFTHKHDKNASVDKTPNEISLSTNISLGGDKTKRLLKNSGQQRADALESLLELCAHLLKQGKLEELAAVLRPFGEDTVSSRETAIWLTKSLMSSQKFHPET >RHN55014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14405483:14410082:-1 gene:gene30131 transcript:rna30131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MCFPCHNQNLGTHNFVFVDGRRRQDQCELLQTDSSIETKKGKFLNMDFSLCLTSPIIYNLVHFLLVFSFLCPVFSSSKIDNNPVANNTFKPKEELNRMNIIRYRLQQINKPSIKTIQSPDGDIIECVASHQQPAFDHPMLKGQRPLDPPERPKNHNKRDVLSENFQSWSLSGESCPEGTIPIRRTTEQDMLRASSINTFGRKLRRRVRRDTNSNGHEHAVGYVTGNQYYGAKASINVWAPRVANQYEFSLSQMWVISGSFGDDLNTIEAGWQVSPELYGDSYPRFFTYWTSDAYQATGCYNLLCSGFVQTNNKIAIGAAISPTSSYTGGQFDISLLIWKDPKHGNWWLEFGSGILVGYWPSFLFTHLRDHASMVQFGGEIVNSRQGSHTSTQMGSGHFAGEGFGKASYFRNLQVVDWDNNLIPLSNLRVLADHPNCYDIQGGINNVWGNYFYYGGPGRNVKCP >RHN47042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36698780:36699010:-1 gene:gene41592 transcript:rna41592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MFRFYSSGISTGECGTQGNHAVTIVGYGTSNDGTKYWLVKNSWGTSWGEKGYIRMKRDIDAKEGLCGIAMNAFYPI >RHN41885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33869504:33873396:-1 gene:gene48249 transcript:rna48249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase transcription factor WD40-like family MSESNTDTRTQTRTSLFTPFIAIILPVIIASAVFYRLDPFEPVVFPLEKQTRSTITAPLRNNNMRLGSENVADGELFGPEDLVYDADKGLMYTGCEDGWIKRISVNGSVVEDWINTGGRPLGLAFDGNGQLIIADADKGLLRVTREKEIEVLVTEIDGLKFKLTDGVDVAHDGTIYFTDASSKYSIKDSVLDILEGKPNGRFLSYNPATKKTTLLVSDLYFPNGVAVSPDQNFVVFCETSMMNCKKYYIHGSKKGSTEKFCDLPGMPDNIHYDGQGQYWIGIATAFSPELDIMLKYPFIRKALAIIIKKVSSLNLTKNGGLISVDLEGKPTTHYNDPKLSLTGGIKIGKHLYGGSILYPFVIRLDIEKYPALPTI >RHN69952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47528880:47531257:1 gene:gene18485 transcript:rna18485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lipopolysaccharide-transporting ATPase MKKQWCEIEAIGINYKITSKQPSKIFNKPPKPDGEEDGEKTEDEAKAEQRQGGGVKHVLKDVNCIAKPCEILAIVGPSGAGKSSLLEILAGRVSPQNGGSILVNQEHVNKSQFRKISGYVTQKDTLFPLLTVEETMMFSAKLKLNLSPEKLSCKVKSLIQELGLSHVSSTRVGDGDDRVRGISGGERRRVSIGVEVIHDPKVLILDEATSGLDSTSALQIIDMLKVMAESRGRTIILSIHQPGFRIVKLLNSILLLVNGSVLHHGTADLLDVNLRLMGLELPLHVNIVEFAIESIETIQEQQKSQQVQIEPPKRLQGTMLLKKGNDQGESRSGKFTLQQLFQQSKVFDIENMNVGMDFTSDYANSRLRETMILAHRFSKNIFRTKELFAFRTIQMLISGLVLGSIFGNLKDGLVGAEQRVGLFAFILTFLLSSAIEALPIFLQEREILMKETSSGSYRVSSYAIANGLVYLPFLLILAILFAVPLYWIIGLNQNFTAFLHFLLLIWLVLYTANSVVVCFSALVPNFIVGNSIIAGVIGSSFLFSGYFISNHEIPNYWIFMHYLSLFKYPFEGFLINEFSNSKKCLEYMFGACVMKGEDVLKEEGYGGESSRWKNVGVMVCFIFVYRFISYVILRYRCSQSATF >RHN40764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20529209:20531317:-1 gene:gene46965 transcript:rna46965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQNLKFVYALIIMIIVLSSFLAESNWSQRNDITKIHCIKNADCPKHMCIRPQKPKCFDSWYMSQNQNQGNVRVMRRTKKNRL >RHN60042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17503751:17506931:-1 gene:gene22238 transcript:rna22238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerol-3-phosphate-transporting ATPase MGSAAEERGSSSNKLIGIRFLEYVKGSPVSHKTHQAIVLIVTFLAYASYHATRKTTSIVKSVLDPQSSSTNLGFNFFPSSTRVTKLSWKLGDGWAPFNGSDGTSLLGQLDVAFLAVYAFGMYFSGHFGDRCNLRIFLTIGMVGTGVFTSLFGVGFWGNNHNFYYYLVIQMIAGLFQSTGWPSVVAVLGNWFGKRKRGLIMGIWNAHTSVGNIAGSLIASAMLGYGWGWSFVVPGLIMSFLGLVVFLILPVSPESAGVEEDDYSCPKKSGDDVTESLLRQETPAEEKEKAVGFIEAWRIPGVAPFAFCLFFAKLVAYTFLYWLPFYVSHTAIDGKFLSSETSGSLSTLFDVGGVLGGILAGHISDRLNARAITAASFMYCAIPALFFYRSYGHISLVVNGTLMFVTGMFVNGPYALITTAVSADLGTHKSLKGNSRALATVTAIIDGTGSIGAAIGPLLTGYISAKSWSAVFTMLMGSALIAGLFLTKLVVSEVATKIEESRSNRTPECSLDV >RHN66801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18982342:18986750:-1 gene:gene14891 transcript:rna14891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GOSR2/Membrin/Bos1 MEVGGGGGTLSEVHQSAKKLLLRCRDGLERLERLEHSTSTSAAAVGVDSELSFSVKRDINQIQSLCVEMDRLWRSLAAKPQRDLWKRKVEQIAEEAESLKESLDKYNSRSQKRSREAKERAELLGRMNGDSSHVLQIFDDDAQAMHSVRSSSKELENANALGEAILSSMHGQRERLKSAQRKALDVLNTVGISNRVLRLIERRNRVDQWIKYAGMLLTVVFLLAFVMWRR >RHN72598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8998260:9004327:-1 gene:gene8327 transcript:rna8327 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRIPSHQLSSGLYVSGRPEQPKERQPPTMASRSVPYTGGDPKKSGELGKMLDIPVLDPKSHPSSSSSQLSTGPARSRPNSGQVGKNITGSGTLSRKSTGSGPIALQPTGLITSGPVGSGPVGASRRSGQLEQSGSMGKAVYGSAVTSLGEEVKVGFRVSRSVVWVFMVVVAMCLLVGVFLMVAVKKNVILFALGGVIVPVLVLIIWNCVLGRKGLLGFVKRYPDAELRGAIDGQYVKVTGVVTCGSIPLESSYQRIPRCVYVSSELYEYKGWGGKSAHPKHRCFTWGSRYSEKYIADFYISDFQTGLRALVKAGYGNKVAPFVKPTTVVDVTKENRELSPNFLGWLADRKLSTDDRIMRLKEGHIKEGSTVSVMGVVRRHENVLMIVPPTEPVSTGCQWMRCLLPTGVEGLIITCEDNQNADVIAV >RHN49717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:561706:562032:1 gene:gene33924 transcript:rna33924 gene_biotype:protein_coding transcript_biotype:protein_coding MKPARKHPNKLSCLVLDATAIAWHTQVCTKTSTHIALSWRWFLPRVLLSLLLTFGAVIILGLLCCKQLLSRILHPVTNLLGITPMLIPYTVISVLPYGPDKHKDMDCD >RHN64440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59104188:59116763:1 gene:gene27257 transcript:rna27257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MIPSSSTRINSKMQKETTTTTTTASRNRRNPQIETSENEFESQNPINFPPPRTPLNSIPDPSQYHEPELLRHARSSSDRFVNTPSIRIPKPHSEPNSAQSTPARNSSRVSLGGGGGSSRVSLGKGFLKGTDIISTEVQHFELKHDPSFWMDHNVQVLIRIRPLSTMEKLSQGNGRCLKQESAQTMVWLGHPETRFTFDHIACETLSQENLFRVAGVPMVENCLSGYNSCMFAYGQTGSGKTYTMMGEIKETQGCLDEDSGITPRVFDYLFMRIKEEEENMKDCRLKYTCKCSFLEIYNEQITDLLEPSSTNLQLREDMKKGVYVDNLTEHSVVTVNDVLRLLEQGTANRKVAATHMNCESSRSHSVFTCIIESRWEKDSTTHFRFARLNLVDLAGSERQKSSGADSERLKEAANINKSLSTLGLVIMTLVDLAHGKPRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSICSANETLSTLKFAQRAKLIQNNAKVNEDASGDISALQWQIQQLKGQLSFLTKNNFFPPLVSTLEPNSDSCRLSEVSEEHDSMGERATTDHKLLTPNKEIKRMKAALVGALRREKMAETTIQDLNVEIDHTKCLVRQKEEDAQHTSIMLRHCEEKIKQLELLVDGQLSAEKYLMEENRALKEEIQLHKMKSDNNSESSRLVLENDRLLQQLQKFQNFYEHGERERLLTELSELRHQLLVHLQEKVTFSVKNENQEIDATQELEVCQKMNSKLLREVGKLQAELRKYLNNNQVQSNSVSRSSFEHPDELLTTDKCSLAETISVGSDSGDEMPSSTWEYKNNTGREAKLERMSKDLKEVRLLNDQYQEKWALQLSQKQQMESVCQEVETETTNTILHLQEEVASIQSELEGKLYSIDQENTKLRNVVAAKEEEMKSLCLDWEKAILELTTFLLEGSRSLRDACGQVQNISSSFPKVNAWIGEHVSMAVKKYIEKEETIHQLQSSLEDARKMALEMELKISSLKEATLTLSAFEHLDNENGIEEAFQLRVLLNEKANIIMTLENELKYKNDQLCKTVKQADAAFLVAKWLSDCFDAAHMNIDAEDISIPNLDMQGRLGSFTTSENQDVGYNSILNDLMGQVELIKLEVLEMENAVKTSFVDTEMQTAGFQTGALGLFSTYRDLIQDIVKETKDMRKEIRDLKMHHISSDGYKVDSLTLNADNCQVFANQHHTLHQIKEQLVVMNKRLNIIENCISKDVDVSRFQLADEDFIDADELSTDSSSVSDLSTETESVASGSQSLGLATGEITEKQVHLNSERGVIIQSNDASSSSHSAEFMRRPIYNEAARFCLRRELNDTYDGFQRLYNCLSSLLTNLDGGSCSYPKELEKVAPSSWLMMQKDEAGYESDTEVFDYRDIKPDERFLTKFMEAHAAVKEADLTLHALTKAFEDSKQLTALWKQAGENLTIERASMAEEIQKLKYSLHHTEEENQLLKDHINFSLIEMTNTISMLEECFLQMKTDVEKKFKVIYSDVFLMGEEILHFMNSFRSSVEDICSQTVDVGFESFALYSCCLTELVSQFANYSVDHNLQSARQRELHNLPKTCSSIAEPVPSIVNEGIGTIDHRHLLIQNVQEEPDLPNVRVFYENMALKKELERKQELLEGLLFDFRLLQESTSNSKEIKDQIEKLIFSLSQARYELEIKSSQLDDLLVQNKKLEASLADTEKALTRSNYELELAKESIEKFVDQNEELRDILKELYANKTEAEEQLDEHKEVIKGLEKEIANLTASLENQSLSLFQNIEDELNQVIMERDQLHEEIHILNKKLEMTHSLVDEKEAIAMEARQESESSKLFAEQKEEEVKILEHSVEELESTINVLEKKVYEMDEEVERHRSISDSLKVELQALKERILLVENLPQNSDSESMSVQTGDKKSRQLPSKVLELHEAQIQIKLLENENAEKDQEIKKCKEYISEIVLHAEAQTLQYQQKYKCLESMFCEVKTDMSYSTSMAPTLEKMEKMSTRTRGSGSPFRCISNLVQQMNQEKDQELSVARLRVQELEALAASRQKEVCMLQTRLAATESMTHDVIRDLLGVKLDITNYANLIDQNQIVKLVEEAHHQREKFFAKEKENLDLRQHINDLIEERESCISNLRTKEADMLATQIAVQLLQERDQLLSAQNGMLKMDKTNLIRKIAELDDMVKTLVGTRNSQHVPQSSKTKDKGAQNLGNVRFTKRLSQSERLLARVNEELTQYRKSSGDSLHG >RHN47165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37605967:37615277:-1 gene:gene41729 transcript:rna41729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MQKRGKKTFSSIKKMEKKIIANKVHCLVLSYPLQGHINPMLQFSKLLQHEGVRVTLVTTRYHRKTLQSVPPSFTIETISDGFDNGGVEEAGGHKAYLDTFWQVGPKTLAQLIEKFGTLGNKVDCVIYNSFFPWALDVAKRFGIVGVSYLTQNMLVNSIYYHVHQGTLKVPLMEDEISLPLLPRIELGDMPSFFSTKGENQVLLDLLVGQFSNIDKADWILCNTFYEMEKEVVDWTIKIWPKFMTIGPSIPSKFLDKRLKDDEDYGAAQFKTNEKCMEWLNDKPKGSVVYVSFGSMVSLDEEQIQELAYGLRDSGSYFLWVVRASEETKLPKDFEKESKKSLVVTWCSQLKVLAHEAIGCFVTHCGWNSTLEALSLGVPTIAIPQWSDQRTNAKFIADVWKMGIRAPIDEKQIVRQDKFKDCIMEIMEGEKGKEIKSNATQWKTLAVGAFGEHGSSQKNIIEFVTSLINHHVLYKLTHFPHITQAKGRETIF >RHN74363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32209293:32209424:1 gene:gene10414 transcript:rna10414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II PsbN METATLIAMFISGLIVSFTGYALYTAFGQPSQQLRDPFEEHGD >RHN58704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4178209:4178547:-1 gene:gene20637 transcript:rna20637 gene_biotype:protein_coding transcript_biotype:protein_coding MWMRCWWCEEVSVDPQRLGSIRTFSSGSTILLSGGLSTWIDGVAAMKVDFVVALVVVVAKVDSLRLHRLLWWQRWLMLFNYHSYRLFFKDCWLLCWFLTLLFGGSVRATIGG >RHN54158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7417794:7419739:1 gene:gene29149 transcript:rna29149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MKFLCAGRRLKYRPNITPFPNVHKYLFIIFMNQHNQEETKLTLYKRNQQLVTMVSQKIHSVLLASPGIGHLIPTIELGKRLTTHHNFDVTIFVVTTATSDSDKTKSHILQQISNLNSLDIIVTPPVDVSDKLDPNNPSLGLQIVLTMIESLPFIRSEIQSMKNPPSVLIVDIFGTAAFPMAHEAFSRHAKNHEPLSILGCEPVRFEDTLETFVAPWGPIHKRYVEVTREIIAIDGILVNTWHDLEPGATKAVIENGVLGRFVKGPVYPIGPLVRTGEPEKGGDSENLILSWLDQQPAESVIYLSFGSGGTMSKGQMRELAYGLELSQQRFIWVVRRPTEDNASATFFNIAGADGTIMVDYLPKGFLNRTKDVGLCVPMWAPQAEILKHPSTGGFLTHCGWNSVLESIHNGVPMVAWPLYAEQKMNATMLSEELGVAVKATKTVAEGGVVCREKIAEVIRKVMVDDEGVAMRVKVKEYKVSGEKALSVFGSSHESLCKMAKDCELHLHHGSEAKGRGA >RHN53370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1227799:1229149:1 gene:gene28271 transcript:rna28271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MSIEESELLTHSNSLDNVLGFRVDNVPAKVICFENLKHLKLCGIHFKATSPESSRYINLRFPLLTKLEAKNCGWFVDAYRVFVIAPQVQSISIENYVDLPFRHSRSYVYFTSSSDLKEFNYYGYGIPQHILMTSPCHASTKIILHERASYATHLLDSHVSLLLGQFSHAKSIKFEGIPKFEEELLSSAVVPGSLASLHVVKFEEVNGDNHEIFLAKFFVKNVMILEKMCFSLASQIPDKDEVMEEFKEKLSSFHNFNPHVVEFSYA >RHN65122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64343245:64348102:1 gene:gene28023 transcript:rna28023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, GRAM domain-containing protein MKLVVRVIEAKNLVGLDSNGLSELYVRLKLGKQKFRTKVIKKNMNPNWDEQFCFWVDDLKESLIISVKDEDKFINNHLVGRLKLPISLVFEEDIKSLGNAWYILKPKKKKSKNKECGEIHLSIFLSQNNSSLDLNVANDQSSHQRKYSDALTCSPSQSSNGRSNSSSPVREETIYSKDEKNYSQKSFTGRLAQIFNKGSDPSSISPSISMELDTSEMDKTEVGEVKVEDQSSNETFEEIVRKMQSADQGSEIPSNLPGGVLIDQLYVIATEDLNALLFSPDSNFPKSLADIQGTTELQVSPWKLENENKSLKRSLTYIKAATKLLKAIKGYEEQTYLKADGKNFAVLASVSTPDVMYGSTFKVELLYLITPGPELSSEEQCSRLVISWRMNFLQSTMMKGMIENGARQGMKESFDQYAILLSQTAKPVDSKDLGSTKEQVLASLKAEPQSDWKLAMQYFANFTFISTFLMGLYVLIHMWLAAPIMIQGLEFFGLDLPDSICEFVVCAVLVLQGQRMLGLISRFIRARRRKGSDHGIKAQGDGWLLTVALIEGSNIAAVDSGGLCNPYVVFTCNGKTRSSSIKFQKSNPSWNEIFEFDAMDDPPSVLEVEVYDFDGPFDEDASVGHIEINFLKTNISDLAELWVSLEGKLALTCQSKLHLKVFLDNTRGGNVVKHYISKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFVSARILGFHANLFGHKTKFFLLWEDIEDIQVIPPTFSSMGSPIIVITLRQGRGADAKHGAKKQDEQGRLKFHFQSFVSYNVANRTIMALWKARSLSIEQKVRLVEDDPETRSVASEESGSFLGGDDVSMSEVHSCALPVPVSFFMDLFSGGELDCRVMEKSGCVSYSYTPWVSEKKGVYERAIYYKSEKRISRYKVEVTSTQQKTILDGNGWLVEEVMNFHGVPLGDYFNLHLRYQIDDLPPKAKGCKVQVLFGIEWLKNTKHQKRITKNILKNLQERIKLIVSLVEKEFLEK >RHN45822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26008496:26013180:1 gene:gene40241 transcript:rna40241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RIN4, pathogenic type III effector avirulence factor Avr cleavage MTTQRSHVPKFGNWEGEDDVPYTVYFDKARKSRPGSKMINPNDPEENPDLVLQNSSSDDVIPPKPRVSSENQSEKGTVRLTHNDLQKNKEEGDVKHSVNSPARPGGHGVGSADSRRRPSRQSTASSEYSVERSPLHRQAKTPGRDSPSWEGKSTYDSSHGTPGRSRLRPVNRDDEIPDKSAAVPKFGEWDESDPASADGYTHIFNKVREEKHVAAGNTPGTPNGRSYVIRNQPANDKAQGCCFFWGRK >RHN46286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30386855:30388409:-1 gene:gene40761 transcript:rna40761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MATNQEHVKLLGATGSPFVCRVQIALKLKGIEYEFLEENLATKSELLLKYNPVHKKVPVFVHNDKPISESLVILEYIDEVWKQNPILPTDPHQRALARFWSKFIDDKIVSASSKSVFTLDEKEREKNVEETTEALQFLQNELKGKYFGGEEFNFVDIAAVFVAFWIPLVQDIIGLQLFTAEKFPKLYNWSQEFLDHPVVKETIPPREPLFAFFKGRYESLLAASK >RHN48821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50497345:50500515:-1 gene:gene43577 transcript:rna43577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MIVPLHSLPFLYFSSTKTSKMETNAENHMTSAAAFVEGGIQESCDDACCICLEEFCDNDPSTATACRHEFHLQCVLEWGQRSSQCPMCWQPISLKDPTGQELFEAVEQERKWRVTPSRNIDIFHSPTLGGFGFQHLHMGVNGSSLEERLLQNLAIVASIGRTHHVGRREGQQIRPSGHEREDNLNAIHMSSQSTPITSSEDEPLQQILKRQTEGSSTSRSTVTETNDQETYSNDRDCVANSSPINQNRERSSEFHSFSDTLRSKLNAVSMRYKESISNGTRGWKERLFSRSSTMSEFGSNSRKR >RHN67891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31229084:31230175:-1 gene:gene16136 transcript:rna16136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MTNKDSLATGIILQLRELGLTPHMQKNIDINNLETKLKKLEEIFIHQKKISFYPSKKLNDVKVHMAQLEWFKMATKNQQIGYYDSYKNMNTPVDHEVVQFHNKLTVYWEKMVEEVQMKPQKEGAAFRTRWLYGGTTTGEWLNL >RHN54742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11756377:11760134:1 gene:gene29820 transcript:rna29820 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSGAAISDVMVVLPSSSSLRLPEFRHFHSFDAKNVLSTGFLKSCPPSYNAKHYNEPYSFRGRGLVVRASTDSSDNFVPSPPLQFESPVGQLLEQILQTHPHLFLATIDQQLEKLQTERDANKEESSTSYEDSLYKRIAEIKEKEKRTTLEEIMYCLIVNKFKENKISMIPKISATSDPNEQVDSWPNQEFKLEAVHSSEAFEMIQSHLSLVLGERAVGPLQTIIQISKIKLGKLYAASIMYGYFLKRVDERFQLERSVGTLPQDLGKENISFDEPSPPNKLWDSDSLIRIYPDDEGYYEMDDMNTGDGEGKSSGLRAYVTQLDTEALQRLATVRSKEAISLIEKQTQALFGRPDIRLSGDGSIETTNDEVLSLTFSGLTMLVLESVAFGSFLWDEENYVESKYPFLAK >RHN62804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45949803:45950628:-1 gene:gene25414 transcript:rna25414 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVNESRDLELKKGWMENSLSSISTPPLQLLALVGIVVFLLWISSYMNMQSTSTNLNLFLLFLTLLITLISLFGRYMAPASNSNVIEGGDDGVQSTWGSVALLVFLLVFISNRLHPMFVVTIVFLYMYVLSV >RHN56493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31268401:31270546:1 gene:gene31901 transcript:rna31901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MFGSIRKEKLDVLIKSLEKAALVSEVVNVSEVVENLIEDIVYKMILGRSKYDQFDLKRVVQDVLALVGAFNLADYVPWLGVFDLQGLTRACKETGKAIDEVLEVILTEHEQTTNVDKNRHEDFVDILLTFMHQTIDLENEENHFIDRTNIKAILLDLTVAAIDTSATVIEWALSELLRHPRVMKILQDEIQNEVGNERMIEEKDLENLSYLEMVVHETLRLYPVAPLLLPRECRESVTIDGYFIKEKTRVIVNAWAIGRDSNVWSENAEEFYPERFIGKKMNYQGQEFESLPFGSGRRRCPGIQSGLVTVKLVIAQLVHCFDWELPYNISPSNLNMEEKFGLTIPRAQHLHVIPTYRLACDDRVE >RHN39231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5136619:5146978:1 gene:gene45235 transcript:rna45235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MINVSVKWQKELFKDVEIDTSQPPYVFKCQLFDLTGVPPERQKIMVKGGLLKDDADWAKLGVKAGQKLMMMGTADEIMKSPEKGTVFVEDLPEEEQVAAVGHTAGLFNLGNTCYMNSTLQCLHSVPELKSALIDYSHSGRSNDVDQTSHMLTVATRDLFSELDKSVKAVAPMQFWMVLRKKYPQFGQLHNGVFMQQDAEECWTQILYTLSQSLRSPGSSENTDAVKALFGIELNSRIYCQESNEESSETESVYSLKCHISQEVNHLHEGLKHGLKSELEKASAALGRSAIYLKESRINALPRYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYDLCSDDLKKKLEAPRKFLRDEEGKKLGLKVNEKSSVPKENDVKMSDAEGSSNGGGEPSVAPMEEGEKETQMTGVYDLVAVLTHKGRSADSGHYVGWVKQENGKWIEFDDDNPKPRVQDDITRLSGGGDWHMAYIIMYKARVVSM >RHN79008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20870131:20874587:-1 gene:gene2684 transcript:rna2684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEIFISVVAKIAEYTVVPIGRQASYLIFYKGNFKTLKDHVEDLEAARARMIHSVERERGNGKEIEKDVLNWLEKVNEVIEKANGLQNDPRNANVSCSAWPFPNLILRHQLSRKATKIAKDVVQVQGKGIFDQVGYLPPLDVVAASSTRDREKYDTRESLKEDIVKALADSTSCNIGVYGLGGVGKTTLVEKVAQIAKEHKLFDRVVKTEVTKKPDIKRIQGEIADFLSMRFEEETIVGRAQRLRQRIKMEKSILIILDNIWTLDLKEVGIPVGDEHNGCKLLITSRSQEVLLEMDVPKDFTFKVELMSENESWSLFQFMAGDVVKDRTLKDVAYQVAQKCEGLPLRVVTVARAMKNKRDVHSWKYALKKLQSHDSLNALTYSALELSYDSLDSDEMRDLFLLFSLLPGNYVSYFLKIAMGLDILKHVNTIDDARNKLYTMIESLEATCLLLEVKVDGKIQMHDFVCEFAISIACRDKHVFLMKQRDEEWPTSAFLQRCTQIVVDRYHMHELPQTIDCPNIKFFCLVSGNRSLKIPDDYFEGMGSLRVLDLTSLNLSSLPFQFLTDLQTLCLNFCVLENMDAIEALQNLKILCLWNSSMIKLPRQIGQLTQLRMLDLRSSGIEVIPHNIISSLTKLEELYMGNTSINWEDVNSTVQNEHASIAELRKLPNLKALELQIRETWMLPRDLRLMFEKLERYKIAIGDVWDWSDIKDGTLKTLMLKLGTNIHLEHGIKALIKGVENLYLDDKDLNC >RHN49837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1581039:1581561:-1 gene:gene34061 transcript:rna34061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MLIDSCMKIFLLTFFNQCFVSNFNAGNVIYFGLLLSPIPTLVKIIKKRDVEEFKSDTYIAIVLNCAFWVFHGLPFVHPDRILVVANVIGLNFSFDIINVIGLVLGFFYITIFYICANNEGRVRIYFHYGYVHAMHQCMKIY >RHN55521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19177387:19177859:-1 gene:gene30716 transcript:rna30716 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTVIIFFFLLFFFSLGNCRLHSQTKPSSPSSRNTQNNQQQHLLYCDSFSKRNTHSLCINLQRIHQRLHNQVSPSHDYGIDPRFGAEKRRVPTGPNPLHN >RHN68717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37997309:37997824:1 gene:gene17103 transcript:rna17103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha,alpha-trehalose-phosphate synthase (UDP-forming) MGSCINLVDLVSADNINFHRRQSNDHDSSAFSPEGIHWKMIIIANYLALNSKKDDITGRWCFSYDEDSIFRQLKDGLSSDIDVVYVGSLKVDVDKSEQDNVSQLLEEFNSFPTFIPSEIQKKFYDGFCKNYLWPLFHYMFPMYQSYCNGFDESLWQAYVSTNKIFANKIMS >RHN54992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14273562:14278157:1 gene:gene30108 transcript:rna30108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribose-phosphate diphosphokinase MAVMPLPPPFPATSTKLLKLPTLSHSSLFRSNFITKCEIRSFENHRNWTIEHFSNMNNNNNNNNNNNSSFSSSLVSASSASQHAMKNSKKVVLFYSAETKSLAYNIASESDAIELRSISWGKFPDGFPNIFIPNAQGIRGQHVAFLASFSSPAVIFEQIPVIYALPKLFVASFTLVLPFFPTGTSERMEDEGDIATAFTLARLLSNIPISRGGPTSLVTFDIHALQERFYFGDNILPCFESGIPLLKRRLQDLPDSDNISIAFPDDGAWKRFHKQLQHFPTVVCAKVREGDKRIVRIKEGEPKGRHIVIVDDLVQSGGTLIECQKVLAAHGASKISAYVTHGIFPNKSWERFGHDNGGNPETAFSYFWITDSCPLTVKEVMHKPPFEVLSLASSISASLQI >RHN52109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31604619:31605268:1 gene:gene36732 transcript:rna36732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MDTLGAPLRSGESYQISVVVADHPGALTIGKTDDLDCVYLVSSQDDSSHGLSVKFHSTDILGSVFTGRPIEI >RHN66000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7429636:7431224:-1 gene:gene13909 transcript:rna13909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MHLNRNPTLCAVYVAIEKMELVSNADIISDLPDSILCHILSFLPTKLAATTSVLSKRWKSVWISVLAINFEDETFKDSNSFRKFVFSALFSLRDQKASIHSFTLKFGQSFRCFKQYEFNQILKYAMERGVENLNFDMSGKNRLITLPPRILSFKTLQVLKLSHIRMRDYDHVDLPHLKTLYLDRIYIISLDYLVKFLFGCPILEDLHKKKILYPSLVPVENLNALPNLVKVSICHDMDTLMTLVCKAKIMHVEKMSISRTRLTVFHNLTHMELSVHDKFCNKRCTRLLGILPHFPKLEHYIIQDCGNAENSCYNCWKHPITVPECISSRLKTCCIRGYRGTRHQFKFAKYIMQNANVLETMAIKSMCRVNFQKLLELSSITRGSTSFKLFD >RHN50439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7142495:7149230:1 gene:gene34722 transcript:rna34722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl-P-Glc:Man(9)GlcNAc(2)-PP-dolichol alpha-1,3-glucosyltransferase MKNKTKKNTTTTTTYDNANSNNPWSTLINKGTTLTTFTTIALFVFLIRFLVSLYPYSGFNTPPKFGDFEAQRHWMEITINLPVRDWYKNGTFNDLSYWGLDYPPLTAYQSWVHGVFLRFFHPESVELFDSRGHESYLGKQLMRWTVISSDALIFFPAVLYFIIVHYNQTSRSRKTDLALHITMLLLNPCLILIDHGHFQYNCISLGFTVAAVAAILSEKDLVGSVFYCLALNHKQMSAYFAPAFFSHLFGKCLRRKHPLLEVTKLGLVVLGTFAAVWWPYLYSTQSFLEILSRLAPFERGIFEDYVANFWCATSIIIKWKRLFATGPLKLLSFSATIISCFPSMVQQIKSPSNKGFLYALLNCSLAFYLFSFQVHEKSILLPLLPATMLALEEPFIFKWFMKFAMFSMFPLMCRDGLIVPYFALFALFILVLNAPGQHRVKENNYFHNYLGATTIHLILFFSLILHIVYLTMQPPKKYPFIFEAIIMNLCFSQFVIVTLGCNVKQWMLNKPVKLDEIEKKLI >RHN73746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19557131:19563204:-1 gene:gene9607 transcript:rna9607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein MSPPAPAADSGDIRRDTPDEINGFHNDKIVTHSTPPSNPPSFPGGAFVIIPPEILRRVVVASGKGFSIGAGIKGGLALFAILARFARKKPPRKEIAVTNGEVIVTALKETLRYGLFLGTFAGTFVSMDEVIAAIGGHRRTARWRALLAGAVAGQSMLLTGLEAQHTSLAIYILMRAAVLASRCGIKSERFGRICKPLTWKHGDIFLMCLSSSQILSAYILNQESLPASYKSFLNKHGGKDPVILQGVKDIASGKPFTNLNAIKKYYKTMGVDVKLDPNMKVPCTIVHGNQSCSGHIISFLIQAYKRALPVYLPVYLIPALIVHRKGLLQRPYTILAKGLIGTARSSLFLSVYCASAWMWTCFLFRIFKTCNVPMVAMGTFPPGLGLAIEKKSRRMEISLYCLARAIESFFTCIADAGYLPQSRRIKRADVVVFSLSTAIIMHCYAQERDVFRSKYLNVLDWVFGVPPPACETPRCKDT >RHN73440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16437235:16439569:-1 gene:gene9254 transcript:rna9254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MKTAKFWRLSMGDMEILLGSPVLHRPQMKKPMWIVVLVSFIILFLTCAYLYRMQNTTSCNMFYSKPCIIDISVLAVSHIPVSVYIERGITLDMPQNPKIAFMFLTPGSLPFEKLWDNFFQGHEGKFSVYVHASKAKPVHVSRYFVNRDIRSDQLVWGKMSIVEAERRLLANALQDPNNQHFVLLSDSCVPLYNFNYIFDYLMYTDKSFVDSFRDPGPVGNGRYSEHMLPEVEIKDFRTGAQWFSLKRQHAVKVMADHLYYSKFQAQCESCVDGKNCILDEHYLPTFFTIVDPNGIAKWSVTYVDRSEQKRHPKSYRTQDITYELLKNIKSIDESVHVTSDEKKEVQRWTCFWNGFRKPCYLFARKFSPETEESLLKLFSNYSSS >RHN40322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15309946:15312397:1 gene:gene46465 transcript:rna46465 gene_biotype:protein_coding transcript_biotype:protein_coding MNATVKHTKIYLHWRNFTGDHSTGIRFRRAGVTIRSFFYFHSDLFKAIGGEKIEELEQLQHAVIHIFVFLGLPLLNVSVEDITTNYTFENFSFKAILEQWGTSM >RHN44825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8946291:8954316:-1 gene:gene38991 transcript:rna38991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MNTIFSIALRPQHHRFIPLFFKMLSTHPLQTNVNPKLPFDLITLGSFLRSSKPNSFAINQMLPVFARVIHHYKTHQTILSKLVSIGSINLSTININPNPFINLLRIFSRSGNHELLIKTCDYMVEFHGFQISSKTFASNLLMESLFKASQPKNAFKIFGETRSPNFLTFNIALFHLSNFNDIVNVKYVLREMLRLRYCPNASTFSAVLNAFCKMNAFRQVYQILGLMIGLGIELSVNVWTVLIHHFCKLGKLDVADDLFDKMIQSCCSPNSVTYTPLIKAVMESDDVTLALRLEQKMNSVGIVPDLVFYNMLIDCLSKSGMHEEAIRVFEQKNFKPDKYTFTSLLSAICRSEQFDLLPKLVQHCKHISRDLVFCNALLISYVKAGYSSRALELYERMISEGFKPDKYTFAGLLSALCAENRNDEAVKVWRAVTMDHTDDVHIHTVISNELKKAGQYEQASDVFISEAVKKYPLDSIAYGVGIDAHLRSGRTLEARTLYDQMKKNGLEPNFQTFNMILFRSLEVKDLQMVKQLLQDMIDSRIKLSDRNFFNLRKFQCNWNLLTEMRDLGLLSSKVLHTENVKANSKHCAEVDTECNSSSEDMSDVAVSVC >RHN38521.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:569301:590204:1 gene:gene50637 transcript:rna50637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative importin-beta domain-containing protein MILDNLTLLYLAAVLLKQLVKKHWQEGEESFEPPVVSADEKEIIRRMLLLTLDDPHRKICTAIGMAVASIAVYDWPELWPDLLPFLLNLINSQTNLNGVHGAMKCLVLLSADLDDRTVPTLIPALFPSLLTIVSSPQIYDTYIRTKALSIVYSCTSMLGAMSGVYNAETSSLVVPLLKPWMEQFSSILEVPVQSENPDDWSIRMEVLKCLNQFIQNFSSLIKSQFEVILGPLWNTFVSSLRVYEQASIEGAEDSYEGRYDSDGSEISLDSFVIQLFELLLTIVGNSRLAKAVAANVKELVYYTIAFLQMTEQQVHTWSADANQFISDEEDATYSCRISGVLLLEEVVNSFDGEGFLAIIDAAKQWFTESQIRKVAGNASWWRIREATLFALSSLSEQLLESEESGLKTSNLKDMIEQIVAEDFLIDSLEYPFLYARIFTSVAKFSSVISSGVLEHSLDAALKAITMNVPPPVKVGACRALSQLLPKAKKEIVQPQLPGLFSSLTDLLNHASDETLHMVLETLQEAVKAGHESPAVVESVISPVILNVWASHVLDPFISIDALEVLEAIKSIPGCIHPLVSRILPYIGPILNEPQEQADGLVAGSLDLVTMLLKNAPGDVIKAIYYVCFDAVIRIILQSDEHSEIQNATECLSAFISGGRQEVLLWGPDSGSTMRSLLDIASRLLDPNLESSGSLFVGSYILQLILHLPSQMAVHIRDLVAALVRRMQSAQIASLRSSLLVVFARLVHMSVPNVGQFIDLLISIPAEGHNNSFAYVMSEWAKQQGEIQGAYQIKVTTSALALLLTSRHNELAKIEVRGHLIKSCTGITTRSKAKSTPDQWVMLPLPTKIVALLADALTEIQEQVLDGEDEDSDWEEVQTDGIENDKGFLCSMSNPGKPTYENLEAMAKAFNEDQDDQYDDDVLTVADPLNQINLVNYLVDFFANFAQSDRELLDHICKSLTQPQQNAIKMVLQR >RHN44563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6249928:6250704:-1 gene:gene38698 transcript:rna38698 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAAQSAKLDSQQMSYETGQAQGQAQEKSSNLADMASNAAQSAKETVQGAGQQVMASAQGAAEAVKNATGINKK >RHN39337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6205352:6206094:-1 gene:gene45347 transcript:rna45347 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGFFLDVIICQGSAIFKLLSSKNQPLLVWGNAFLVLNLSLYIVNCV >RHN70211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49696991:49698712:-1 gene:gene18768 transcript:rna18768 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLVDVILEKCYCNNKCNKPLPICHRLLLVILTLASFQLIINAERITSSNSIDTEETLSILFNDLKNEGLLKKTQHKMVFVATEQSSKVLTDYNNEIEYVPLNDIEKQNSVVDNTVDFIITTNFPEASRFIERVLKTEGIVTVLINNNNPTTSFYKLPKNYKIVYVRQFDSVVVAMKKSATAINVKRNHAAPRKLFGYASDAKKIALQNLEDVLLEPPRAASGKSRKYLKRTRYLPDLMGDSLESYTRRVFIDVGLPEKEGGSGTDWFSKNYPTRNKNFEMYKIETRVMTEEESSRKEASSKIGMSDWLNKNVKEEEYVVMKAEAEVVEEMMRSNNIGLVDELFLECKPRHGFKIENKNRRAYWECLALYGKLRDEGVAVHQWWG >RHN60864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31109952:31113387:-1 gene:gene23251 transcript:rna23251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MWNCREDWLIIFIYVLSVFPLVCFSANFVPIDNYLIDCGSHTNTSIGSNLNFTSDTFFKNFLSTQEDILANTTLKSINSTTDFSPLYQTARIFISSSKYTFPINKKGRHWIRLYFFPFSYQNYNLSAANFAVTTEHNILLSSFSVPKKNPVMKEYLVNVTLDTLVITFTPSNNSTAFVNAIEVVSVPDDLIADDAIALNPRREYTGLLTDAFETVFRLNMGGPSVSSSVDPLHRTWVPDTSFLIQPNLATNFSSIGAVKYAKGGATENIAPPSVYGTLTEMNSDGDPRSNFNVTWKFDVEPGFQYLVRLHFCDIVSKGLNELYFNVYITSLLAAKDLDIGEKTHNTLATPYFMDFVTTPSVDNKILVSIGPSDVNSDYPNAILNGLEIMKMNNSISSLSASAAVSLPSSHGSKSKKVGVIVGVSLGIFCALVAMVGGFFVLRKRRRQLAQQGDSKTWVPLSDGTSHTMGSKYSNATTASAASNFGYRFPFAVVQEATNNFDESWVIGVGGFGKVYKGELSDGTKVACKRGNPRSHQGLAEFRTEIEMLSQFRHRHLVSLIGYCDERNEMILIYEYMENGTVKSHLYGSGLPSLSWKERLEICIGAARGLHYLHTGYAKAVIHRDVKSANILLDENLMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPVIDPSLPRERVNLAEWAMKWQKKGELARIVDPTLAGKIRPDSLRKFAETAEKCLADFGVDRPSMGDVLWNLEYALQLQEAVVKGDPEENSTNMIGELCPQINNFEQEPSVSVEQSEASSLDDLSGVSMSKVFSQLVKSEGR >RHN77845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9828465:9837682:-1 gene:gene1339 transcript:rna1339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEALGVIWEVAKSLLSCTNAQAAYVYKLQENLESLNKIWDDLQNKEKDVLTEIERAESTRVMKRTKEVASWLQEFQKLQEKMMKDIPNFQEVQSNRCLNGYCPKNYVSSYKVGKKIVERLNEVNAMLSKVDKITQFAIQQPPKPVAEMPCGDTIGLDLMVNEVWNSLKDDNVGIIGLYGMGGAGKTTLMKRIQSELGKREHSFDLVLWAVVSKDCDTKKIMTDISRRIGIDDSVWEESSQDQKVGKIYEGLKEKKFLLMLDDLWEKLELQELGFPVLKESNNKSKVVFTTRIKDVCAKMKAQKKLEVKCLSDEEAFELFRSKLGDETLNCHTEIPKLAHEMAKKCRGLPLALITVGSAMAGVESYEAWEVAKNNLSSCSWTAGDIVKVFRTLKFSYDKLPDKAHQSCFLYCALYPEDYEIDVDELIDRWIGEGFLDKDAKNVYDMYNQGKSIIQKLLLSCLLEEDIEIHHPFWHGNSNRKIKMHDMIRDMALWLTRDEDENKDKIVVQREASSMSEMDSRRLNVVERISIINTDWNLEESWKVPTCPNLITLCLNLEMDFDAPAFSLNFQSLKKLRVLDLSYSDSSINLPSEIGELINLEFLNLSKSEVFTLPIAMKKLKNLRVFLMDNVASWSHIIKSILEVIESLEQLKVFRFIASDGSSKSGSTIEEEISLLEKLESLPKLEELSVSLTNFASVQTLFHSTKLRDCSRGLWISEFKRWQNSLEMSSLLTSMSEMMHLDLIYLWGIKSLMDASLIADKCHLGKLRQVHIYHCYSITHLTWLRYAPLLEKLVVSSCSSIEEVVKEVKDDEQADYDSNNDIIFRNLKVLRLSNMSKLVSIHKRALDFPSLKRIRVFECPNLKKLPFNSSFTSKNNLTVIQGSTKWWDNLEWDDTIIPSLLRPNFEPFIIPDPDVGLII >RHN74444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33425479:33426321:-1 gene:gene10518 transcript:rna10518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MAEQFSSEMNTLSLSETMAEQQVSSEMNTLSLSETMISLITSDNVVFKVKPSIAKEMGTVQTFVDESDGKITTVPLHNVSSSELPLIIKYCEKNVAGEINKAFEAEFVKNLDNEEVKDLFLAANYLDTKKLLDFTSQVIADRIENKSVEYVRKYFGIEDTEFLPGEEEKLREELAWSFTGVDKDEDEDEDADGKIDGDKVEDKIE >RHN63782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54003484:54005606:-1 gene:gene26528 transcript:rna26528 gene_biotype:protein_coding transcript_biotype:protein_coding MIEETGKMKAKRNDNNKMDISAIYAAKGLSNTKFEEISSPKVNM >RHN49605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55889438:55890634:-1 gene:gene44454 transcript:rna44454 gene_biotype:protein_coding transcript_biotype:protein_coding MYIMPCETMDFKSFSSEDICSSNEVFSLNIVFFFRNLTIL >RHN61019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32348431:32352490:1 gene:gene23429 transcript:rna23429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MVPTLSSNSLSHPKLNFRNQTRFNVYAKKSGGGSFPSFGLGKPKDEEKDQQNGSSNSINNPFRFDFGKLPDVTSLIPVGSKNGSLPGLSFGSPTRKKDPSTVFVAGATGQAGIRIAQTLLREGFSVRAGVPELGSAQELARLASQYKIISNEEAKRLNAVQSSFDDADSIAKAIGNASKVVVTIGLTENGPATEVSTSDALQVIQAAQLAGVGHVAVIYDENNGVSTSTYNVLDGISSFFNNIFSKSQPLSIQEFLQKVVETDVKYTLIKTCLTDDFAPESSYNVVVLGEENTGSNDYKVTKSRIASLVADVFSNTQVAENKVVQVYSDPNAPLRPVDELFSTIPEDGRRKAYAEILEKAKAEEEARVEAEKAREAAATTKKLEEEALKLSKQEAQASNLVKEDQEKAEAAGTSVEDILNKAKAFRAGFSRQKLSSQVATTNQNPDEDEKPKLQQAKGFGAGFSWKKFSSQVATAIQKPDEDESPKVQVATVRGQAKARSLIPNKAVTKQTTTPRNSVSKPKEEKRKIEEKPKEVRKVFGGLFKQETIYIDDD >RHN57840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42090543:42090917:1 gene:gene33429 transcript:rna33429 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLIMVVVLFATVSGIVATTGFPCPVVAPPTPPAANNAPTITLPPSDIRDSIAHIHKKKHFPWKVVAPSLVAGVIVVLAVILLLVKSLRQTSTEVPAQGEGEGQGQGQEESSSSSSTRTPPSA >RHN79038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21657673:21665966:-1 gene:gene2728 transcript:rna2728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MASVNQEFKYDVFLSFRGEDTRYGFTGHLWKALDDKGVRTFMDDGKLQKGDEITPSLFKAIDESKIAIVVLSKNYASSSFCLQELSKILDTMKDKAGHSVFPVFYKVDPSDIRKLKRSFGEAMDKHKANSSLDKWKVSLHQVADLSGFHYKGDMPEHKFIGEIVEQVLGIIKLVSLHVGDYLVGLDHQKQHVTSLLNFGSDDTVHMVAIHGIGGIGKTTLAISVYNLIAHQFDVSCFLENVRENHEKHGLPYLQKIILSKVAEEKKELTGVLQGISILEQRLKQKKLLLILDDVNKLEQLEALAGKHKWFGPSSRIIITTRDKKLLTCHGVERTYEVNGLNDKDALELVRWKAFKDEFSPSCNNNVSLAQMHVLERVVAYASGHPLALEVMGSHFYNKTIEQCKVALDHYEKVPHKKIQTTLQLSFDALEDKDKFVFLDIACCFKGWKLTRVEEILHAQYGNIMKDNINVLVEKSLIKISESGNVTMHDLVEDMGKEIVRQESPENPGKRSRLWFSEDIMHVLEENTGTNQIEIIRFDCWTRVAWDGEAFKKMENLKTLIFSDYVFFKKHPKHLPNSLRVLECRYPSSGFLVALSLFNFPTKFQNMRVLNLEDGNGLAQIPDISGLPNLEKLSIKNCWELIAIDKSVGFLGKLKILKICNTKIKSVPPLMLPSLEELDLSGCSILEGFSHEVDGFGDKLKTMSFRGCRKLRSIPPLKLNSLETLDFSSCHRLESFPLVVNGFLGKLKTLLVTNCYNLKSIPPLKLDSLEVLDLSCCCSLESFPCVVDELLDKLKFLNIECCIMLRNIPRLRLTSLEHFNLSYCYSLKSFPEILGEMRNMPGVLMDETPIKELPFPFKNLTQPKTLCECGYVYLPNRMSTLAEFTIKNEEKVNTMQSLHVKYICVRRCNLSDEYLSKSLMLFANVKELHLTSNHFTVIPKSIEYCKSLWKLVLDDCKALQEIKGIPPCLRMLSALNCISLTSSCKSKLLNQELHEAGNTWFRLPRATFPEWFDHHCLARLSFSFWFRNKFPAIALCVVCSSTLHDSQRPVRVVINGNTFFYTHDSKIDRSSRPDMYHLHLFHMQMENFNENMDKALSENKWNHAELDFGLSFLESGIHVLKERSSMNDIRFINPKYDVFTRDFEAMLPPESFNLSAELSSTSNEIAPLLNNLPLRSSSKRHFSHKKEHHCLIM >RHN74717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36107670:36116642:1 gene:gene10825 transcript:rna10825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDVIMGVINNFATKTAEFTVEAIGRQFGYILYYKGNLTKMTTDVQHLEGIKDILQHNVDEARRNGEEIENIVQNWLNTVDITVADANEIIDSEGHAKAQCSMRHFPNLCTRHQLSKKMIKMMQTISKIVAEGSFDKISYRAASQITVTPFGRGYEALESRTSMLNEIILALKDPSIFIVGVYGMGGVGKTTLMKELSWKAKNDGSFGTIVMATITSLPNLETIRHQIAEALDFKFNKKTEEGMASELRDRITKEKRILVILDDIWGRLDLTELGVPFGNDHKGCKLVVTSRDLNVLICEMRTQKEFRLEILLEEDSWKLFEKMAGDVVHEFNIKPIAIKVAKCCAGLPLLIVTTAKALRKKQVSNWKDALNELQRFDQEGLHKKVYSTLELSYNCLESEELKLLFLLIGSFGLDYRYTGSIFVCYWGLGLFRHSHKLADARIRFNRLINDLKASSLLLESEFDRVRIHDYVRDMAKSISCRTRPTYGVKRYTKVNQWPGMDELQKCHQIILPWSYIYKLPEKLVCPKLELLQVENIGDYLEVPNDLFSGMRELKVVSLCGMMFTPSLPSSLHLLTKIQTLDLGGCVLEDISIVAELKSLEILSLERSDITELPKEIRQLTNLRMLNLANCSRLRFIPANLISSLTCLEELYLGNCFIEWDVKGSNDQSKNASLEELRSLSHLTALDIMTQDASVWPRDLLVFEKLERYNIFVGDMWKWSLDWSGNAYEPARILKLNDSRGSSILLDRGFNSLLNSAEDMCLAKIHCVRNVLYELNRGGFPQLKHLRLQESTELQYIINSTGWVHPYPALLNLETLALQNLFNLEKICHGPIPIQSFVKLKSFEVKGCDKLKNLLRYSLVRDLPQLREIKIADCQMITEIIYEVDKEIDKIIFPELCSLELESLPRLVSLCAPLTQCIHVPLIDQKVVMPHLELLKLSKINCEKLWDDKLLSHSRMQNLKSLTMDKCGSMRYAFSSSVARELVNLKSLKISNCQMLEDIFVSDGEFGSLPLPPILETFEISNSNDEDLLPMLETFEISHMEHLKSVWHNQLAPNSFCKLKQLKIQFCNKLSNIVPSNVLDKLQKLETMTVTDCPNLEVVFETQGLKADGGRQIRLDMQLKTLTLKNLPMLKHIWSGNPIQSFKFQNIFVLKVIECKTLNHVLPLSMAKELQHLQEIYIEECGIEFIAAHDELADTYPILIFPELTSLSFRDLSQLRSFSHGLQTLDCPVLRHVDVLHCDKLVLFKPKSLNYQEIVPVDTVPLLSIEKFVPNTRELILNRKDVTMLCNGQLNGELIYRVTALRLRCFHDEADKFPSGFLQKFINLIMLKVTCSSFTYIFSSGSECAGHSETTMKLRILVLVQLDNLEFICEEKSEVQSVIQNIETLSVHRCSRLKNIVPSSAFFENLEQLEVVNCGGLEYIMKSSTITNLPKLRKLCIDFCEKIEVIVASDDENDASELSFMKLGYLRLNNLPRLRSFCKGRHDFKFPLLRTLFVINCPMMETFSNGMLNAPKLIEVRVTPQDDRWNGDLNTTIKKIAVKRNSTDD >RHN75532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43064337:43065681:-1 gene:gene11754 transcript:rna11754 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQNQLQNRRRSVGEVAGNATAECAAICCCVPCAVVDMVALATYKVPASLWKKAAINNRKKRLLKQMKKDMKNFLEHEKPGGPGPETVVVGPTMEELLAQEELPEEDLWARFSVNGFWRSSSSQKHEPDELQTGENNR >RHN79949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33748736:33750450:1 gene:gene3810 transcript:rna3810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I PsaE, reaction centre subunit IV MASSSMASAATGFLLSLNVAANSTTSSASSRSTMLMFPLKSNNIGSSSSRLVVRATDEAAPAAPAAPAAPAADAAPTPKPKPPPIGPKRGSKVKILRKESYWYKGTGSVVAVDQDPKTRYPVVVRFQKVNYANVSTNNYALDEIEEVV >RHN75195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40099320:40100805:-1 gene:gene11364 transcript:rna11364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein MALMAASSAAVVKQTPFLGQRKGAANPLRDVVAMGTSRFTMGNELWYGPDRVKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFAKNRALEVIHGRWAMLGALGCITPEVLEKWVRVDFKEPVWFKAGSQIFSEGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGFRINGLPGVGEGNNLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHIDNPVANNAWVYATKFVPGS >RHN48353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46865672:46867289:1 gene:gene43062 transcript:rna43062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MPVNITSIKTSSDGIWQGDNPLNFAFPLLIIQTTLVLVVSRSLAFLFKPLRQPKVIAEIVGGILLGPSALGRNKDYLQRIFPRWSMPTLESVASIGLLFFLFLVGLELDLNSIRRSGKRAFSIAACGISLPFVSGIGVAIVFRKTIDGADKAGFSTFLVFMGVALSITAFPVLGRILAELKLLTTRVGETAMAAAAFDDLTAWILLALAIALAGKESDDGDGKSPLVAVWVLLCGAGFVAFMMIFIYKSCDEACSSKMLSGK >RHN77330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6043027:6050187:-1 gene:gene775 transcript:rna775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidate phosphatase MQAWGRLGGYIRRGVSSFSGPFLPFGGAVDIIVVQQKDGSFKSSPWYVRFGKFQRVMKAAKREKVKVSVSVNGVETDFHMCLNPKGEVFFLHANNQLGEEELEEQEEWELAEEEDELRGSNKRQFKSKSANFGLEDRVVAMNDSRNSRVNRLVFGPRSGGGDADLVERAEVAAKLLDLRWSTNLSFDELPHTERKKTRAVNLDKEKVKFESGEVLQTEGGKTEVVIKGVDLEIPVKDCGNCDVADFGRIAKFQKSRTVNIGRRDCSVKKVSVNTPTSEQLSSLNLKEGRNTITFCFSTPMMGMRQIDARIFLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLFSEIKENGYQLLFLSARAISQAYHTRQFLSNLNQDGKVLPDGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIRALFPPDSNPFYAGFGNRDTDEISYLKVGIAKGKIFIINPKGEISVNRRCLDTKSYTSLHALVNGMFPPTDSSEQEDFNSWNFWKLPSF >RHN80609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39391228:39395603:-1 gene:gene4553 transcript:rna4553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PUA-like domain-containing protein MRRYNNSSSSSNSGNYRNPCITMHQPWASLLVYGIKRIEGRSWPSPIKGRLWIHAAGKVPDESTIKAMECFYKEIYALNGITDINFPQHYPVSRLLGCVEVVGCLNREEISCWEMVPESVRLEALTDYCWLCEQPQKLLIPFEMRGYQGVYNLERKIYEAAVRGLAPVDSPLPVKFPLPDPSDPFSLKPGRVSALTRNLKATEVDKSSSLSLAIAGACAAATQFSKKDHNSQSADRNNKPAKTDANHDEIQAARSYNLRPHPRSMEKGNIPSDELNEKFDDGDVSTDHEVKNSSKMNDESSSHHQSPRSDRRQHFMPSTKVSSQDDGDVSSDHEVKSSSKMNEGSSSLHQSPRSDRRQHFMPSTKVSSQFDDDDVSSDHEVKSSSKMNEGSSSLHQFPRADRRQHFQPATDSRHHFQPATDSRHHFQPATDKRHHFQPATDSRQHFQLATDRRQHFQPATDRRQHFQPATDRRQHFQLATDKRQHFQPVTDRRQYFQPATDRRQHFQPSTKGILVYRPKISSDKYERSSMHGQSSCAEADLRQHPHPPSKR >RHN76526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50955078:50957522:-1 gene:gene12867 transcript:rna12867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MGLLKNGTLILYMLMLLLLRTYQAKDQHIQKIYPGFSASRLDWNDNNGFFLLSNNSAFAFGFFTTLDVSLFVLVVIHLSSYKVVWTANRGLLVKNSDKCVFNHSGNIYLESGNGFVWETNTAGQKVRDMELLDSGNLVLFGENGKAIWQSFSHPTDTLLPGQSFVEGMTLKSFPNRMNLFHFLGYIQGDLVLYAGFETTQLYWSLMGEVGNRTRKNVTGKTNKVHYASLVSNSWNFYDKNGILVWKTVFSDHSDPKSFYAAILDPNGAISFYDLNKGKSTNPEVFKLPQDPCGVPEPCDPYYVCFFANWCECPSLLRSRFNCKPPNISACSPRSSTELLYVGEHLDYFALKYDAPVLKSTLNSCKDACVKNCSCLVLFYENSTGRCFHFDQTGSFQRFKGSTGGYVSYMKVSTDSGGNDGSSSGKKNMLLVFVIVILTVLVIAGLITGFWCYKKKKSFDEYPQETLEEDDFFDGLSNMPARFTYSALARATKDFSTKIGEGGFGSVYLGLLEDDTQLAVKKLEGVGQGAKEFKAEVSIIGSIHHVHLVKLKGFCAEGPHRLLVYEYMARGSLDKWIFKNSENTLLLTWETRYNIAIGTAKGLAYLHEECEVRIIHCDIKPQNVLLDDNFMAKVSDFGLAKLMSREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVFSYGMLLLEIVGGRKNYDQWEGAEKAHFPSYVSRMMEEGKIREVIDQKIDIDDKDESVVTALKVALWCIQDDMNLRPSMSKVVQMLEGLCLVNDPPSLLQSSTYSAYLKMSSGEASSSGQASFYSNVPLSCVQLSGPR >RHN56270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29382972:29383473:-1 gene:gene31642 transcript:rna31642 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFSATKSKSGAKAKRIHHSGGRMWRRVCTNHPTTVATIASGMWRKDL >RHN72659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9498208:9498572:-1 gene:gene8404 transcript:rna8404 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGHPLADKTEFTECWRRTAESPYLMRLALSGGLLFGYDTGVISGASLYIRDEFEQVDKKPWLQIWWDPKF >RHN42865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41535792:41540692:1 gene:gene49363 transcript:rna49363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin 11-oxidase MEMQWVYICTAALFACYVFVNKFLRRFNGWYYHLKLRNKEYPLPPGDMGWPLIGNLLSFNKNFSSGQPDSFTTNLILKYGRDGIYKTHVCGNPSIIICDPEMCKRVLLDDVNFKIGYPKSIQELTKCRPMIDVSNANHKHFRRLITAPMVGHKVLDMYLERLEDIAINSLEELSSMKHPIELLKEMKKVSFKSIIHVFMGTSNQNIVKNIGSSFTDLSKGMYSIPINAPGFTFHKALKARKKIAKLLQPVVDERRLMIKNGQHVGEKKDLMDILLEIKDENGRKLEDQDISDLLIGLLFAGHESTATGIMWSVAHLTQHPHILQKAKEEQEEILKIRPASQKRLSLNEVKQMIYLSYVIDEMLRFANIAFSIFREATSDVNINGYLIPKGWRVLIWARAIHMDSEYYPNPKEFNPSRWKDYNAKAGTFLPFGAGSRLCPGADLAKLEISIFLHYFLLNYRSAPSSLSFNSSSSPF >RHN71850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3068949:3071236:-1 gene:gene7490 transcript:rna7490 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAQNSRADYVNAHNDARRQVGVGDIVWDNTVASFAQDYANQRKGDCQLIHSGGGGRYGENLAWSSGDMSGSDAVKLWVNEKADYNYNSNTCASGKVCGHYTQVVWRNSQRVGCAKVRCDNNRGTFITCNYDPPGNYVGEKPYLLEQPLTCSGNTRIILSLT >RHN45635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23987596:23988771:-1 gene:gene40016 transcript:rna40016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNLRPQKSQRPPNSMSLPTIFLPDELIVEVLSFLPVKTLMRLRSCCKSWNSLVSDPLFVKSHLQRSTQNPNFTLGRTLCRVDTSVLPISFDRFIESSCSTKPITLTNDPYYSLKDKDCSNVVGSYNGLICLLGYSFERRQMWFRFWNPATRTISDKLGHFRSIPYSYDLTFGYDNEKDTYKVVNLYRGAKVFSLGDNAWRNIQSFPVEDHQLSCDGVHLRGIVNYLAIRNYYSHSSYDCKDLTVEQFVIISLDLGTETYKYLLPPRGFVEVPFIKPSICLLMDCLCFSHVVKQTHLVIWKMTDYGVQESWTQLRRIDLQIIDYNLEKKFHSRWLPLHLSKNYDALVVANDSEELPVVYNLIDHSVKRTRIINGERWWHYIKNYSESLVLFH >RHN56638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32475927:32479777:1 gene:gene32070 transcript:rna32070 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVDPTVAGFLAFISSMRAFTQSFCPNYFTPAFLLSVSLSQLQNPKTQFYSQSHFPQSET >RHN42785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40977804:40981137:1 gene:gene49272 transcript:rna49272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MALGRGSVVVLLVCFFVLNSELVHAATYNVGGTVGWTFNTVGWSRGKRFRAGDTLVFNYRQGTHNVVAVTKEVYDKCSTPRRGSKVYRSGKDRVRLAKGQNYFMCNFPGHCGSGVKIAINAA >RHN62883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46471328:46475612:-1 gene:gene25515 transcript:rna25515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MGKEDEEMRGEIEERIINEEYKIWKKNSPFLYDLVITHALEWPSLTVEWLPDRTEPSGKDYSVQKLILGTHTSENEPNYLMLAQVQLPLDDSENDARHYDDDRPEVGGFGCANGKVQIIQQINHDGEVNRARYMPQNSFVIATKTVSAEVYVFDYSKHPSKPPIDGSCNPDLRLRGHNTEGYGLSWSKFKQGHLLSGSDDAQICLWDINGTPKNKSLDAHQIFKVHEGVVEDVAWHLRHEYLFGSVGDDQYLLVWDLRTPSVTKPVQSCIAHSSEVNCLAFNPFNEWIVATGSTDKTVKLWDLRKIGNVLHTFDCHKEEVFQVGWNPKNETVLASCCLGRRLMVWDLSRIDEEQSPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVVASVAEDNILQIWQMAENIYHDEDDMPEQSAQAP >RHN72405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7376628:7377098:-1 gene:gene8112 transcript:rna8112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVVMTRSQQHKMAEAIAESPITILPDEMMLEIFSRVEISDTMQLRGVCKLWKSLAVDPQFVCNHFSRAYDEIVNLDSKAKKHLSEEEEEEVKEDKEEVVAITGDEGEVDEAKKCLMKSLADLDIVLEDIRILKERVEKINFDMQPLDDRLKSLKSL >RHN65852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5829770:5833822:-1 gene:gene13744 transcript:rna13744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MENNNQGLMLMVFFFFFCSMPNFSTQKTFTTIAPNQFMQYGDTLVSAAGMYEAGFFNFGDSQRQYFGIWYKNISPRTIVWVANRNTPTQNSTAMLKLNDQGSLVIVDGSKGIIWSSNISRIVVKSVVQLFDSGNLVLKDANSQNFLWESFDYPGNTFLAGMKLKSNLVTGPYRYLTSWKDPQDPAEGECSYKIDTHGFPQLVTAKGAKVLYRGGSWNGFLFTGVSWQRLRRVLNFSVVVTDKEFSYQYETLNSSINTRLVLDPYGTSQRFQWSDRTQIWEAIYALPADQCDAYDLCGNNSNCNGDIFPICECLEGFVPKSQPEWESSNWSGGCIRKTRLNCLHGDGFLPYTNMKLPDTSTSWYDRSLSLEECKTMCLKNCSCTAYANSDIRDGGSGCLLWFDNIVDMRKHPDQGQDIYIRLASSELDHKKNKRKLKLAGTLAGVVAFIIGLTVLVLITSVYRKKLGKPSENGYIKKLFLWKHKKEKEYCDLATIFDFSTITIATNNFSVKSKLGEGGFGAVYKGVMVDGQEIAVKRLSKTSAQGTEEFKNEVNLMATLQHRNLVKLLGCSIQQDEKLLIYEFMANRSLDYFIFDTMRSKLLNWNKRLEIIDGIARGLLYLHQDSTLRIIHRDMKTSNILLDIDMIPKIADFGLARSFMGDEAEANTNRLIGSYGYMPPEYAADGSFSIKSDVYSFGVVLLEIISGRKNHGFRDPLHRLNLLGHAWRLWIEERPLELIADVLYDDDAICTEILRFIHVGLLCVQQKPENRPNMSSVVFMLKGEKLLPKPSEPGFYAASDNKNSIESSSKECSIIEASISLLEAR >RHN78604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16598618:16602474:1 gene:gene2229 transcript:rna2229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MERRVLDGIINRLLDVRGRPGKQVQLSEGEIKQLCVVSRDIFLKQPNLLELEAPIKICGDIHGQYSDLLSLFEHGGFPPRSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRVYGFYDECKRRFNVRVWKIFSDCFNCLPVAAIIDEKIICMHGGLSPELHSLKQISNLPRPSEVPETGLLCDLLWSDPSKDVHGWGVNERGVSFTFGASKVAEFLQRHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPVDHKMPKFGFRSTTSFKKAFLGAKVRAD >RHN63867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54632563:54642936:1 gene:gene26622 transcript:rna26622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative post-transcriptional gene silencing PAZ-Argonaute family protein MKEETGLESQLASCFSSSCKSLVFPSRPDYGKLGTKCVVKANYFLADISVSDLSHYHVDITPEVISSKTRKAIIAKLVKFHQNTELGKKLPVYDGAENLYTAGSLPFTHKEFNILLIEDDEGFGTTRERKFEVAIKFLAHVSMHQLHELLSGKKVETPQEAINAIDIVLKELASHSYVSFGSLHYSPDLKKPHKLSGGLESWSGFYQSIRPTQMGLSLNVDMASTAFIEPLPVIDIAAQILGKDVHSKPLSDADRIKIKKALKGVKVEVTYRGSFRRKYRITGLTSQPTRELSFPLGEKMNMISVIDYFQEMYGYKIMYPHLPCLQVGSQKKVNYLPMEACKIVGGQRYTKGLSEKQITSMLKVSCQRPRERENDILQTIHQNDYDCNPYAKEFGISIGNELASVEARVLPAPWLKYHETGRDKKILPQVGQWNMTNKKVVNGSKVRYWACINFSRSVKEKTASAFCQQLVQTCQSLGMEFSEEPVIPVYSARPDMVKKALKYVHSFSLNKLEGKELELVVAILPDNNGSLYGDLKKICETDLGLISQCCLTKYVFKINRQYLSNVALKINVKMGGRNTVLLDAISCRIPLVSDVPTIIFGADVSHPESGEDVCPSIAAVVASQDWPEVTKYAGLVCAQPPREEIIKDLFKCWNDPRRGIVYGGMIRELLLSFQKATGKKPCRILFYRDGVSEGQFYQVLLYELDAIRKACASLEPGYQPPVTFVVVQKRHHTRLFSDNHNDRNSMDRSGNILPGTVVDTKICHPTEFDFYLCSHAGVQGTSKPAHYHVIWDDNKFSADEIQSLTNNLCYTYARCTRSVSLVPPAYYAHLAAYRARFYMEPDVHENAKSQVTGSKVESVRPLPALKEKVKKVMFYC >RHN78741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17983267:17989218:-1 gene:gene2389 transcript:rna2389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MSFLEEFQANLDSLPNILHKKYALLRDLDKSLQDNQRQNEQRCEQEIEDIRRGVRSGNIAPDTSVIRFSDEALDEQKHSIRIADEKVALAVQAYDLVDTHIQQLDQYLKKFDEELRRERENAAITGAPTSSPDGNTKSGKEGGRGGRKKTRQAASVQTATAAAMAAATEALATSANPTGMDLDLPVDPNEPTYCFCNQVSYGEMVACDNPDCKIEWFHFGCVGLKEQPKGKWYCSSCAATRNRRRGK >RHN45157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12433253:12434743:-1 gene:gene39374 transcript:rna39374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MYMFGIGEILLAVFLFLAIHYWSLNRNTPITKWPVLGMLPGLLYNMSNIHDYLNLVLKQNGGTFIFEGPWLTNMNILCTSDPMNVQHITSTKFENYGKGNDFSEIFEILGDGIFRSDSHIWKYNRTLLHSIFKKESFQVFIHKTIEKKLFSGLLVFLDHACKKGMQVDLQDIFQRLTFDNICYIVLGFDPNCLSIELPEIACERAFTHAEDTLFSRHVKPRFWWKLQKWFQVGEEKQFIKNQKIIDEMLYSEIKSKREMQGERKFDLLNIIMTEAGNGENPIDYEFLRDTSINLLAAGRDTISSGLTWFFWLVATHPFVEAKILEEIKENLPSREHSWKDLGAKGLSKLIYLHGALCEALRLYPPVPLEHKSALKSDVLPSGHRVKPNTMIVYSLYSVGRVEEIWGEDCLKFKPERWISKKGGIIHVPSYKFIAFNAGPRSCLGKDISFIEMKMVAIAILLNYHIQVVEDHPIIPSLSVVLHMKHGLKVNVKKRSI >RHN48166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45535516:45535821:1 gene:gene42853 transcript:rna42853 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYSRIILFKVLLYVLIIFNIFVTPQSGGVDCRPLSLNYYQWSWDHGLILQSLPNGNAPGSGGGDPTHP >RHN73611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18155692:18156211:1 gene:gene9442 transcript:rna9442 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLYHLLAKSPVSPFPTLFIFLPFSIFPFTMARGLLRNSGPSSSTSKPFSFLHLHNDGGCARRGAVVGPTMVGRSEVVVVVGPAAMKGRKEDDNCCLFVCVIRDVGKNNDVGSKSVFKIVAGETIE >RHN82120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51393948:51394360:-1 gene:gene6246 transcript:rna6246 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFVGPRKCLIRNQRKETNHLCYKRRRYTTRKVFNNVLVNHGLALRDYGFGD >RHN48947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51300271:51301268:-1 gene:gene43721 transcript:rna43721 gene_biotype:protein_coding transcript_biotype:protein_coding MCHKSESIRRKLYMHLYYNVHTNIPFPLISYKRTIYIYIKINTKC >RHN75853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45562289:45563794:1 gene:gene12108 transcript:rna12108 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEASHNPQFYVGDGREPVSCENFKSQDYDHVPLLPRFSWVSSLEKCSSSAVKKRDFEFDNNNSLHISVKKSKMLPYHDHHNDGDDATLSKKLTDKSFSSLKKDLTLLEKLFEECKRKQKVEEKRLQSIKTDIEDCCKELQNKKKQVSCVRRIDEVRDKVQEEIDDCIKQFVVKEGQLYLMENLIGERKLELKAKEIELNQVKGNISKEIELRQVIVNIDKDRERKEEELKALSQKNAEFTFKCKAKEIELDALNKLIGKQAEKLESEKNKLLKVTSEKKRLEGQVKELESKEKQCEGRLEDLESKEKRFEVRVKELMSREKQLEGYMREFESKMEELEGRSKDLESKEKLVERREMELKSKKMQLEGRKKEFESKEEKVEGQIKELEFKKEHFESQLKVLQSIENQLVGQVKEFETKEKEFESQMKELELKQKHYESRMEDLDSNEKQLESRLKEHESKEREFEGQANDMEYKRNDFGRQVREFETKESQLVGRVNEFE >RHN43547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46748743:46753139:1 gene:gene50148 transcript:rna50148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ethanolamine-phosphate cytidylyltransferase MDYESNSWIWEGVYYYPHLFGGLMVTAALLGLSTSYFGVIGVPSLPLPCSWYNLGKKKKTGKRRVRVYMDGCFDLMHYGHANALRQAKALGDELVVGLVSDEEIVANKGPPVLSMDERLALVSGLKWVDEVITDAPYAITETFLNRLFHEYNIDYVIHGDDPCLLPDGTDAYAAAKKAGRYKQIKRTEGVSSTDIVGRIMSSLKEQKICEDRNGTDVKPQEECQSKVSHISQFLPTSRRIVQFSNGKGPGPNARIVYIDGAFDLFHAGHVQMLKRARELGDFLLVGIHSDETVSENRGNHYPIMHLHERSLSVLASRYVDEVIIGAPLEITKDMITTFNISLVVHGTVAEKSLPSEKDPYEVPKSIGIFRLLESPKDITTTSVAQRIMANHDAYVKRNAKKAKSEKRYYEERKYVSGD >RHN73394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15924870:15926152:-1 gene:gene9198 transcript:rna9198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-Os family MFIIFCSIYDFFIVIIVVKLSILACACRKRFPVSDGSISQDSQILAVTINNFLKNMEREKPIRFTSQQLRIATDNYSNLLGSGGFGTVYKGIFNNGTMVAVKVLRGSSDKKIEEQFMAEVGTIGRIHHFNLVKLFGFCFEKNLIALVYEYMGNGSLDRYLLHEKNALGYEKLHEIAIGTARGIAYLHELCEHRIVHYDIKPGNILLDGNFNPKVADFGLAKLCNRENTHITMTGGRGTPGYAAPELWMPFPITHKCDVYSFGMLLFEIVGRRRNLDIKNTESQEWFPIWVWKKFDAGLLEEAMIVCGIEEKNREIAERMVKVALWCVQYRQQLRPMMSDVVKMLEGSLEIPKTFNPFQHLIDETKFTTHSDQESNTYTTSVSSVMVSDSNIVCATPIMRKYEIELASSTE >RHN56524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31599280:31605088:-1 gene:gene31932 transcript:rna31932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative asparagine synthase (glutamine-hydrolyzing) MCGILAVLGCSDPTRAKRVRVLELSRRLKHRGPDWSGLHQHGDCFLAHQRLAIVDPASGDQPLFNEDKSVIVTVNGEIYNHEALRKQLSNHTFRTGSDCDVIAHLYEEYGEDFVDKLDGIFSFVLLDTRDNSYIVARDAIGVTSLYIGWGLDGSVWISSEMKALNDDCEHFECFPPGHLYSSKDGGFRRWYNPPWYSEAIPSAPYDPLALRHAFEKAVIKRLMTDVPFGVLLSGGLDSSLVASITSRYLATTKAAEQWGSKLHSFCVGLEGSPDLKAGKEVADYLGTVHHEFTFTVQDGIDAIEDVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKWVISGEGSDEIFGGYLYFHKAPNKEEFHQETCRKIKALHQYDCQRANKSTFAWGLEARVPFLDKEFINVAMNIDPENKMIKKDEGRIEKYILRKAFDDEENPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAAKHVTDRMMLNAGNIYPHNTPNTKEAYYYRMIFERFFPQNSARLTVPGGPTVACSTAKAVEWDASWSKNLDPSGRAALGVHDSAYENQKTLVNKPVEFEKIIPLEASPVEVAIQS >RHN49275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53739120:53740031:-1 gene:gene44089 transcript:rna44089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone synthase 1 MAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIVCTTSGVDMPGADYQLTKLFGLRPYVKRYMMYQQGCFAGGTGLRLANDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGVRAAALIVGSDPVPEIEKPIFEMVWTSQTIAPDSEGAIDGHLREAGLTFHLLKDVPGIVSKNIDKALVEAFQPLGISDYNSIFWIAHPGGPAILDQVEQKLALKPEKMRATREVLSEYGNMSSACVLFILDEMRKKSTQDGLKTTGEGLEWGVLFGFGPGLTIETVVLHSVAI >RHN42689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40267144:40270439:-1 gene:gene49167 transcript:rna49167 gene_biotype:protein_coding transcript_biotype:protein_coding MGACISSMVRRIKQVMEACVSSMVRQIKKQAMETRCVSSEVWQKKQREQAMEIRCVSSEVWQKQQREQAMETRCVSSEVWQKKQREQGLYMMPGASSGHDYIDRKVKIQITSALGVRDNGENIIGLCGPKKRVERSALTAIRTAEKDQLFQKIVTTTVTKKPDITKIQTEIGYAIGLNFNSKRMITAERADLLCAKMKELQTVLIVMYDLHGRLNLGEIGIPFGEDHNGCKILLTSTSLEVLSEQMKVHKLIQL >RHN68046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32345928:32346314:1 gene:gene16335 transcript:rna16335 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIALLCTQASAGMKPTMSEVVVLQTRSLVEHLQPTMHVFVETNLRSHEGHSTSTGSSTSNATASVSVLSARLLYGAVKGIEPKRLQNKPEEGYSSLIPIFHNFINHKIRKYHVKQSSIRKAQAKSK >RHN74529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34414218:34415126:-1 gene:gene10616 transcript:rna10616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MLHCMQVTHFKCGGVSLGVGMQHHVADGASGLHFINSWSDVARGLDVSIPPFIGRTLLHARDPPRPVFDHIEYKPPPSMQHAKQGSDTSASVTVSIFKLTRQQLNILKGKSKEDGNTINYSSYEMLAGHVWRSVSKARALPVDQETKLYIATDGRSRLQPSLPQGYFGNVIFTTTPIAVAGDLMSKPTWYAASRIHNALLQMDNDYLRSALDYLELQPDLKALVRGAHTFKCPNLGITSWARLPIHEADFGWGRPIFMGPGGIAYEGLSFIIPSSTNDGSLSLAIGLPPDQMKLFQELFYDI >RHN40513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17060828:17061944:1 gene:gene46671 transcript:rna46671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MTGWKDISRLRDGLQNHHLLGDRKSVLIQTCHGLMETFEQKLIFDKPPPNVRKIVLATNVAEASITINDIVFIIDCGKTNESSYDALNNTPCLLPSWISQASARQASMHLLLYFV >RHN59978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15735917:15736289:1 gene:gene22153 transcript:rna22153 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLQMLTAQQHLFEANGAHRYQVLLPPNTEGKKQVNLLAISLRLHQEREF >RHN65769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5194959:5199653:-1 gene:gene13657 transcript:rna13657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, pectin lyase/virulence factor MSVMENQKQQDNKGQLKKCERKRTSCYSRINNLDDGCLMHIFSFLSPIPDRFNTALVCHRWNYLACHPRLWLRVDRSVKDLSEPGVFPNIETAVSASRPGDTILIAAGGIHRVANIQINKPLCLIGAGEIPDDTMLTCSRGLDSAFEFLSNCKLSNLTVKAELGCCLLHRSGRLTIDGCVLQCESNPLDFLSCPIISTANSSDMVPSQTNSNNGDGVFVSQTRIEGGAKAVLTSGDLALQRVRVVYARTSLLFWFDVEQM >RHN59740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12735126:12735290:1 gene:gene21850 transcript:rna21850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b/b6 MVSVPVGLLTVPFLENVNKFQNPFRRPVATTVFLIGTVVALWLVLEQHYLLKNP >RHN47071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36919745:36921064:-1 gene:gene41626 transcript:rna41626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MVYNIQANYYVILSCRYLPFGGGPRKCIGDMFASYEVVVALAMLVRRFNFQMAVGAPPVVMTTGATIHTTQGLNMTVTRRIKPPIVPSLQMSTLEVDPSVSISDKTEEIGQKDQVYQAQKS >RHN44577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6337165:6338879:-1 gene:gene38712 transcript:rna38712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative allene-oxide cyclase MASSSYALRTIPSSFVRPTAFATTPRSFLTPSSAFTPFTSSKNLKMNAAFSKSCFSSVPMKSFTCKSQVTEYADTEKVQEMHVYELNERDRGSPAYLRLSNKSVNSLGDLVPFSNKLYTGDLQKRIGITTGICILIEHKAEKKGDRYEAIYSFHFGDYGHLAVQGPYLTYPEDTYLAVTGGSGIFEGVKGQVKLHQIVFPFKIFYTFYLRGIKDLPQELLGKHVEPSPAVEASPAAKALEPHAVIAGFTN >RHN54635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10921764:10932219:-1 gene:gene29704 transcript:rna29704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XIIIa family MDICLIKGQWLWLLYVLLIHIVINNIEAITPDGEALINFRTTIGSSDGILLQWRPEDPDPCKWKGVKCDPKTKRVTHLILSHHKLIGPLSPDLGKLDRLKVLALHNNNLYDKIPPELGNCTELQSIFLQGNYLSGMIPSEIGNLSQLQNLDISSNSLGGNIPASIGKLYNLKNFNVSTNFLVGPIPSDGVLAHFTGSSFVGNRGLCGVQIDSTCKDDGSPGNSSSDQTQNGKKKYSGRLLISASATVGALLLVALMCFWGCFLYKKFGKNDRISLAVDVGPGASIVMFHGDLPYSSKDIIKKLETLNEEHIIGVGGFGTVYKLAMDDGNVFALKKIVKLNEGFDRFFERELAILGSIKHRYLVNLRGYCNSPTSKLLIYDYLPGGSLDEVLHEKSEQLDWDSRLNIIMGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGKLDARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLTLEVLSGKRPTDASFIEKGLNVVGWLNFLITENRPREIVDPLCDGVQVESLDALLSMAIQCVSSNPEDRPTMHRVVQLLESEVVTPCPSDFYDSNSD >RHN46603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33157316:33162565:1 gene:gene41107 transcript:rna41107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIKQFFFFYAKQFSIDYMQMRQNMATILNFVFVIILFISLLLVVTKGYREPFSSFTEGPTCKEDIDCPSISCVNPQVPKCIMFECHYLFEGCCMFPERVNFQWFIFLLIWHLVVWSIWFARNDLVFSGKAVDIKQLVDKIQFTFLFVFCIPPLLFFGKNMATILMYVYITILFISILTVLTEGLYEPLYNFRRDPDCRRNIDCPSYLCVAPKVPRS >RHN79211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25916728:25917596:-1 gene:gene2954 transcript:rna2954 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMIQSEWDDLIRKMCKPESTWKRAKMLTYADFLPIPKAWASFVIQTLESTSCNSEIPIKRVFTVAAILDEKPIDVGTLIANNIHEIATEKSSVVGHGSIINWLCEKQRVEEYDGDMYTSTRSAAPEAPPHQPPAQMEHGEGSHHGEYPPIHPMLLEYMFTSANWMNETSDQMWVNRSKFSTEFSAEAQMHRRPIIGSYERFDSSRDHMDVYFAHQKQFAAFMKKEITDDFNAGEGRANDSFFEGLPVLPDDGGDEDIHMG >RHN72939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12011585:12012152:1 gene:gene8705 transcript:rna8705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP-dependent RNA helicase DEAD-box MSVDVGVFCVLDEADRLLNEDFEKEFNEDSFLVSGKHFFFQLQGQTRYICRFVNKSELHILFIVSHFFPLSSSQILYLTVQLKIFSLQIIPNILYCVRKIGKLCLRDPVKVRLLYLQIYHIR >RHN41779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32861066:32865191:1 gene:gene48138 transcript:rna48138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGSNSSKATRKSSSKGLKGFHSSCLGTCSGSHDSDNEEQNKVNGNDVTYADNGNLSDRDEVKAGYSDNTRSSVHASSTNLLNPTSRFLSQFGITPGNQSSRFSIFTGSRSSRPCPVSSTRLSIFGNDVERNLHPGPCSSLTNINETTRCHEDTAINFRSNASGSGLPCIGGIGARDELEINLFSPRIQTETEHTKTRHLDQRNGTREQVEENVRFSRTLSVGRLRDRVLRRSTLSDVTFFPLQQERELRDDIQNTLRQTLEADSRVSPSDHSAYSCSTSRYPQSSMSNSMFSNQNYDVETSQLREGRYQDLLEHRSNFLERRRRIRSQVRSLQRLGSRRENQSAHERSCILSGQHRSARCMCRFRNRDTNSNDDTGTRASISRVVVLAEALFEVLDEIHQQSVVLSSHPSVSSIGSVPAPINVVESLPVKLYEKFHKHQEDATQCYICLVEYNDGDSVRVLPCNHEFHRTCIDKWLKEIHRVCPLCRGNICISNSPPTRNFSE >RHN76848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1773745:1777740:1 gene:gene229 transcript:rna229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 16S rRNA (cytosine(1402)-N(4))-methyltransferase MATISKISSLLFSSSKPKLIHHILSPSSNLRTLTTASSRITKTKKTEAALAKEKRRTRSDKELDQETIIELYNNNSHLHVPVMLSQVLDVFSNCSLTSFVDCTLGAAGHSTNVIRGHPELKYFVGMDVDPVGRDTAQSRISSVLDDRESSVKVFTVLRNFRHIKSVLRGTGEEHLGAASIDGILMDLGMSSMQVDDPQRGFSVLGDGPLDMRMDPQASLKAEDILNSWPDTEVGRILRDYGEESNWRTLQKKIVLARLEGGLHSTTDLLDLIQRVTPGMKGGRQGWIKTATRVFQALRIAVNDELKTLEDSLYSCFDCLAPGGRLAVISFHSLEDRIVKQTFLNIIKGNEDMGEGESCNSDLRKMIDEIKEKEAWIRQVMPGSNGIILTKRPITPSEDEENLNRRSRSAKLRVIQKL >RHN41472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30302550:30302783:1 gene:gene47801 transcript:rna47801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MSKRASRGKKVPLLKLFSFADFYDYVLMAVGSVGACIHGASVPVFFIFFGKIINVVGLAYLFPKEASHQVAKVCTFK >RHN62589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44537610:44539687:-1 gene:gene25181 transcript:rna25181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MLTTLLFLMVLPIAAAFQFSSPNQSLTTDQEEGTPLSQKEENENNEEYEDRLSDLPNSVILCILSFLNTKDGVRTCVLSRRWKDIWKHIPTLVLDSSRFDTVRQFEIFMSKILTLRDNTIALHSLDFDHIGKMEHQLLQKILDYVYSHKTKLQRLRIFVHNDNGLIMQCVSSCKNLTSLRLSIYPRMSFCVKTILFPKSLNLPALETLDLSNFTFYGGENGYAGPFSGFAKLKSLIIHGCTVMDTQIITISSETLVNFAMLYSSPKIAKIELSTPSLCTFTFYGIPHPKICRSNLSSVKEVKIYARMDTSLEKLPMVLFNWLQELLAVKSLKVSSITLQILSLVPDLLEVKLPSLCNLKLVEIKMQPLSFALSCILKDAMLMKAAAKSPEEAADLRKTFGAGLEPPSIPDGIVDFLLQNSSTAKVNIS >RHN59340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9708961:9709362:1 gene:gene21354 transcript:rna21354 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLLLYILITFIVCVPSYLHFHLEVTMQAQKKAPPNMHCIDEFLIQSIVTRPGAY >RHN63829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54304915:54305337:-1 gene:gene26583 transcript:rna26583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MENKDTLKFPHQTHKNNNISLIPHEIDKPYENKERNTIKKIPKTSNKGHQKKYLGVRQRPSGRWIAEIKDSSQKLRLWLGTFDRAEDAALSYDSAARLLRGRNAKTNFPNTHEQDFSILGKNPRAFQLLKHAMMKNHAVS >RHN62997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47454643:47468086:-1 gene:gene25642 transcript:rna25642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MAIQHSIILLAFLIQFSSLYFPSLGYDEQDIYFISCGSDSNVTVTESNKVYIGESNPNYPKTSFSKSSIETSQSSVPSPLYQTARIFHSESLYEFKTVPNNTYMVRFHFFSFSSPLNLSTAKFTVSVPGFILLQNFDAKNTTNSPLVKEYYLKIIRKKFKITFTPQKASFAFANAIELFMLPVHLIPDSIALFHYKESTGRNLSTYSNDFLSRSLETNLRLNVGGGIVNRETDTLSREWLPDDRYITDPQNAKNGSFEGDIKRTANDESVDSNSNKYIGPDIVYKTAKVSVNGSIGLNISWSVPVEKNIDHFLMLHFCDIFSDQQTGLTTFILFVYDSYVINVNDNQSLSLQMPYYYDFVVRSDSSGLLKVSVVPNTSVEVARPNAFLNGLELMKVIESSGPIPNDDSDSRKISLPVVVGSVIGGLLLVSMVVVLFLWISKIRKQRPVEKSEWLPIPAARGGSSHSRLTDATTIQGSPLPNINLGLKIPLLDLLKRLTNFYLKLLPLIYVFFIIGSPSLLHSLLLPTSMNSNHQFHNLKKIIKQTQFSSLTMSTFHFHTIQRSIFLLAFFLQFSSLQFPSLGYDPQDTYFINCGSDIDVNENNNLYIGESNHAYPKKLFSESNSKVTSQSPVSSPLNQTARIFLSNSSYEFKTVPSNTYMVRFHFFSFSSPTNLSSAKFTVSVPGFLLLHNFNAENTTNSPLVKEYYVKIIRKRFTITFTPQTSSFAFVNAIELFMLPLHLIPDSVARFNYIGSTGRGLTTYSGGLHSQRSLETKLRLNVGDQTVDRGTDTLSREWLADDSYVTNPQNAKNRSFDVHIPRTANDTSDGPNSNQYIAPDRVYQTGKESINGSSNISWSVPVEKNVDHLLRFHFCDVFSNRQSGLTDFLLLIYDTYVVNVNNDTSLSLQMPYYYDFVVQSDASGNLNVTVQPNVSDFVPKAFLNGLELMKVIEQSSEIPFDDLDSKVSLPVVVGSVVGGVVLVSVVVVLFLWISKIRKQRPVENSEWPVENSEWLPIPAARGGSSHSRLTDATAIQGSPLPNINLGLKIPLLDLQFATDNFDAKRIIGKGGFGIVYKGVLRNGVSVAVKRSEPGSGQGLPEFQTEIMVLSKIRHRHLVSLIGYCDERYEMILVYEYMEKGTLKDSLYGANLPSFLTWKQRLEICIGAARGLHYLHKGAAGGIIHRDVKSTNILLDEHLVAKVADFGLSRTGPLDQHSYVSTGVKGTFGYLDPEYFRSQQLTEKSDVYSFGVVLLEVLCARPAIEPSLPREQVNLAQWGIFCKDKGLLEDIIDPSIKGQIDPNSLRKFSEIVEKCLQDDGCDRPSMGNVLWDLEYSLQLQRGAVHREPHEDSSISASVSIQLPNVRRLPSLNTLSEVDDMSMSILRVIDESDSAGHSVFSELNLDDAR >RHN56832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34069149:34070264:1 gene:gene32289 transcript:rna32289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MNFIFVSIQCDNGHIVCSTCSPKLRNKCWCSLPISSKHCKAIENLMLSIEISCPNAEHGCRVKISYIGNRKHEDECIYVLCYCPILGCGFAATSEVLSNHFSRKHRNSQIKFNYGHSFIVSLKSNDQAIVLQEENDGKLFILNNSTILLGNAVYICCIGPNSSESEYSYDILARSQTCKLKLQSFVKNVQQFTLATLPSELLVIPVGSSEPLKLEICISYITPMMEISINMPGKIKIIPLRVKISDTIVNVKKKFFTRKGSQYTNNV >RHN49642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16327:18629:1 gene:gene33844 transcript:rna33844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain, Zinc finger, DPH-type MILDNHGIEETHYEVLNVKEDADYEEIRASYRSAVLNLHPDKLLKTYDTSDSNQRTSERFLKVQKAWEILSNSSSRLLYDKELQRSRRDALAAEVAEDLSFHDMTVEDADEALELFYQCRCGDYFSVDSLELLKMGYSLLRNGNSISILNGDTLPGSVILPCGSCSLKARLILSMDNN >RHN82452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53903011:53909000:1 gene:gene6619 transcript:rna6619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CMGC-DYRK-PRP4 family MKEISLGVSVKTGGLQLICKSWFCGLPAKNSETEHEDISNDRFINHTSDEEDGKLRQSCHIDTRINDDDDSPYNSRAETQTRRDVRAGTDALGNGHLGVKSSKGDKWKNWEFGHFRGNEKLKGDNDDDTLEANRREVNPHRNSSESGEEKHRMSANSPLHDGHRSRSRSIGHTRDRSRSRSVVEEHARPKNRHSVEQGSLYYTSRHKTDYDLDEETVRAGRREHRHGSKDLMEDDRREHSSRYHSREARDKNRNKDMDKDRGRDRDVDRDLHREKKREETSRNKEVDWVHRREKERGRSHDRHRRDMVKDRSRERDEGRDRRREMERDRSRDAVYERDSRRETERDMSRDRTRGGERDRDWKSEWDYRNRERDINKERRDDRYRHNDEDTPNGKDKHMHREDDNDIGDRYKKHSRHEENGYHADRKRNYTMEEDGRKLKGSEVEQDDVDEDTLELPDQEEEDLIRIKEESRRRREAIMEKYKKQSQQIQQAAENEGKDKDMEIHTDISKAIDGKSDDVEPSFSVGKSPPENVDVGSEKVPGAGGLGEGTPKSERSADKYCDDIFGETPTGVRKSGKEDGLLIERAGLHDNWDDAEGYYSYRFGEILDGRYEVTAAHGKGVFSTVVRARNLKAGNGEPDEVAIKIIRNNDTMYKAGLDELVILKKLVGADPDDKRHCVRFLSSFKYRNHLCLVFESLNMNLREVLKKFGRNIGLRLTAVRTYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLQYDHPLDIWSVGCCLYELYTGKVLFPGLTNNDMLRLHMELKGPFPKKMLRKGAFTGQHYDQDLSFHATDEDPVTKKTIKRMILNIKPKDIGTIVAGSSGEDPKMLANFKDILDKIFVLDPDKRLTVSQALNHPFITGK >RHN54856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12938114:12942340:1 gene:gene29950 transcript:rna29950 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSKNRINDSLGVNKMGKNIRKSPLHQPNFGNNAARQQPQPQVYNISKNDFRDIVQQLTGSPSQEPPPRPPHRPPPKPQSMRLQKIRPPPLSPINRPRLPPPMPMPAAPPPLPYNNAPRPAHYGQPSPTPLTPGDLWANTTESPISAYMRYLQNSMMDPGSRGNQFPPQPQPHPYPQQQVQGNYQPQPPPPALLPNPNVPPIPSPRFNNGPVPPMNAHNHPMPSIPSPHGNGPPLLPSPTSQFLLPSPTGYMNLLSPRSPYPLLSPGFQFPSPLPNFPFSPMGQSGILGPGPQPPPSPGLMFPLSPSSFFTMPSPRWRDQ >RHN62354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42864376:42868687:-1 gene:gene24918 transcript:rna24918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MGTLVHLICILLTILTLSTNVKSLNQEGLYLYQFKLTLDDPDSTLSSWNPRDTTPCNWYGVRCDSTNTTVTELNLSNTNIQGPFTASILCRLPNLSSINLFNNSINQTFPLQISLCQNLIHLDLSQNLLTGSLPETLPLLPKLIYLDLTGNNFSGPIPLSFGSFKSLEILSLVSNLLEGTIPPSLGNITSLKMLNLSYNPFYPGRIPPEIGNLTNLEVLWLTQCNLVGVIPETLGKLKKLKDLDLALNDLYGSIPSSLTELTSLMQIELYNNSLSGELPKGMGNLSSLRLLDASMNHLTGRIPAELCSLPLESLNLYENRFEGELPASIANSPNLYELRLFGNRLTGRLPENLGKRSPLRWLDVSSNQFWGNIPASLCDFGELEEVLMIYNLFTGEIPASLGTCQSLTRVRLGFNRFSGEVPAGIWGLPHVYLLELAHNSFSGSISKTIAGAGNLSLLILSKNNLSGTVPDEVGWLENLVEFSAGDNMFTGSLPDSLVNLGQLGILDFHNNRLSGELPKGIHSWKKLNDLNLANNEIGGKIPDEIGSLSVLNFLDLSRNQFSGKIPHGLQNLKLNQLNLSYNRFSGELPPQLAKEMYRLSFLGNPGLCGDLKGLCDGRSEVKNLGYVWLLRAIFVLALLVFLVGVVWFYFRYKNFKDSKRAFDKSKWTLMSFHKLGFGEDEILNCLDEDNVIGSGSSGKVYKVVLNSGEAVAVKKIWGGARKEVESGDVEKGRVQDNAFDAEVDTLGKIRHKNIVKLWCCCTTRDCQLLVYEYMQNGSLGDLLHSSKGGLLDWPTRYKIAVDAADGLSYLHHDCVPPIVHRDVKSNNILLDGDFGARVADFGLAKVVETTAKGIKSMSIIAGSCGYIAPEYAYTLKVNEKSDIYSFGVVILELVTGRRPVDPEFGEKDLVKWVCTTLDQKGVDHVLDSRLDSCFKEEICKVFNIGLMCTSPLPINRPSMRRVVKMLQEVGIENQMKPAKKDGKLSPYYYDDASDHGSVA >RHN55377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17781464:17782422:-1 gene:gene30550 transcript:rna30550 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHPSRIMLLLFVGFLISIQPKEVSGLKSLEIALRHNQKDHRVMLQNQHTLKAPEKELLNTKKNSANVNKMSVPTKKSSANVNNRFDPNQSSKRRVRKGSDPIHNRA >RHN42784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40966960:40971573:-1 gene:gene49271 transcript:rna49271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kinetochore protein Nuf2 MLGFKSKLSNPKSSVVFHLYTHILNYLLPEEYDEQLEFNALEHLENPDLHVGAVPVIKLYNKIIEMLNALECPQKYSFNFADLLKPDPRRTEFFLGALLSFCIHWNEMMNSTSPIIEEINTLEDERAKIEEDRIMQLTLAIDECKEARGREMPYVQEVDAHVKELRQNIANLNNKQMSLRTDLKKLKEKTVEMDDKISDAEYRLIQSVQENANLHSKIVQSPDKVQRALEEKKLAREKARNAERLVMHNFHKKTALVEVYAKVYKKMSNHYKKVQAI >RHN39453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7241081:7245735:-1 gene:gene45477 transcript:rna45477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative salicylate carboxymethyltransferase MDVGKIVHMNGGDGKTSYANNSFFQGKGISLTKHIREEAITSLYSSTLPRSLAIADLGCSCGQNTLSVVSEIIMVVEKLCQQLKYASPEYKIFFNDLSGNDFNNIFKSLDSFKHKLLDEIKTEMSPCYFFGVPGSFYDRVFPDRSLHFVHCSYSLHWLSKVPEGIDNNKGNIYISDTSPSNVVKAYYEQFQRDLSIFLKCRAKELVEGGRIVLTMVGRRNEDPCDVEYCCDDWDFLATALNDMVLQGIIREDQVNTFNIPHYYPSPNEVELEVVNEGSFVINHIELFETESNTSNDESDYDNEYDVAGCIRAVVEPLLVSHFGEAIIEEVFSRYKELLIDQISKERMNSVNVTISLTRKS >RHN70266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50129386:50131462:1 gene:gene18829 transcript:rna18829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A(2) MERTQSSLLQIQPPTYGNLVTILSIDGGGIRGIIPATILEFLESQLQELDGESARLADYFDVITGTSTGGLVTAMLSAPNDKQRPLFAAKDIKPFYLEHCPKIFPQQKHMLGSVGKLFKSLAGPKYDGNYLHSVVREKLGEIRVHETLTNIVIPTFDIKTSQPIIFSSYKIKNAPCMDARLSDICISTSAAPTYLPGYNFKNQDTEGNVREFNLIDGGVCANNPALVAVNEVTTQIINENNDFYAIKPMEYSRFLIISLGTGTPKNEQKFDSKLAAKWGLLDWLTHGGSTPLIDIFSQSSGDMVDFHLATVTQALNCQDNYLRIQDDTLTGTDSSVDISTKENLEKLCQIGDRLLKKPVSKVNLENGMFEPIENGETNQEALKRFAKILSQERKLRELTSPHTNNTLI >RHN42394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38021613:38024799:1 gene:gene48831 transcript:rna48831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TIFY family MKKKKNLNLELRLFPPSISDICPTMKEEEESESPQEDHQQQYRPLTIVYDGKISVTDATEIQARSILMLAKKEMVRTPTGSSSEPSSPSVFNLYSPSTSLSMQKSLQRFLQKRKNRIQEASPYHLKINQQN >RHN68009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32098277:32105848:1 gene:gene16284 transcript:rna16284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 40 MENLNLALVSSPKPLLLGHSSTKNLFTRRKPFTFGRFTVSANSSSSHVTRVASKSQQNLKSVQGKVIAHNFASISSSNSQETTSVGVNPQLSPPPSSTVGSPLFWIGVGVGFSALFSVVASRLKKYAMQQAFKTMMGQMNTQNNPFESGAFSPGSPFPFPMPTPPGPAAPANSTSTQSQASSTRTASQSTVTVDIPATKVESSPGPDINVKDEVEVKNEPKKSAFVDVSPEETVQQNAFERFKDIDSSSSFKEARAPAEASQNGAPSNQGFGDSPGSQSARKSVLSVDALEKMMEDPTVQKMVYPYLPEEMRNPTTFKWMLQNPEYRQQLEEMLNNMGGGTEWDSRMMDTLKNFDLNSPDVKQQFDQIGLSPEEVISKIMANPDVAMAFQNPRVQAAIMDCSQNPMNIAKYQNDKEVMDVFNKISELFPGVSGQP >RHN76444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50288454:50293241:-1 gene:gene12770 transcript:rna12770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MKKDKSVSSKAGEVPVRLTRARAAALSATGQLPPLKDVAQESQKHPQGANSERAVSDDTCLPRKKRAALEDVTNIYQAKKTKLTKPDQLNVSTEVPSVSFEDNAPSNLIGGQTSEPSAQPLISQAKAASQTDAAKKDSDSKLLNAPKDPDIPNIDADIEDPQLCSFYAADIYDNLRVAELSRRPHPNFMETVQRDITPGMRAILIDWLVEVSEQFNLQANTLYLTVYLIDWFLSKNSIEIKRLQLLGITCMLIASKYEEINANHIEDFCVMTDNTYTREEVLNMEIQVLKSSAYQLSAPTTKHFLRRFLRAAQASYQRPSVELEYLANYLAELTLMNYGFLNFFPSMIAASAVFLARWTLDQSRHPWNPTLEHYASYKASDLKATVLALQNLQLNSDDCPYPAIRTKYRQSKFHGVAVLSSPTLPETMF >RHN77273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5599454:5602702:-1 gene:gene711 transcript:rna711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyl-CoA C-acyltransferase MVSLSHKQTLIDDCVSLSYEIAVGTAFYCHCLKVLVGTALLDLKGALTHQLVTQIWKVQLRCIGSIPYKVSKLANDQQLNMSSKPWVFTSDTMETTLNIGSTCSLLGPGMHYIIICSTTPQIIFLKEVEDMSTAANMCLMQRRMTIDRGSPILEMFKSFAIVGVAHAVMGVGPSKSLESFYEILDRLPILFNCVSAPCCLALNFMANEIIIFLSNVATNDLVPGHLVYNDTMTLIMKVELKELCELIDTFSKLYVKLSLIAYFAFEVAWYKNKHNRKGLVSIVHSSYLIFYCYTRVIDKVYENWKYWMSWMTVCNLEDKLGLHGIDNDTIYVVWVQSLLEWMFYHEETIEEIQAVEVRMMKRTRRKKMLPLSWVQLIFVLQFLLSVQDISASSQIDTLEFSHFQIIVPLEVDSLNSQIFLTLYHGYCISGGNSFEFPFMFTHWSLTMLKLTAYTAQSFLASKLGLSAVLHLIVKGLQSRLQHTKAAIKLARCQLHCVSSWDHLGVIFSMIESNDSWLGLLHLYMLQFSKIQATHATKLAHHHVYSSVEKPPMMKKN >RHN51623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21158759:21159610:-1 gene:gene36101 transcript:rna36101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor and/or regulators TTF-type(Zn) family MPPKLDPPRLSPKIRKFESGAKKRRKKKKIAELIESQTGALDKFIVKETQISIDDSPVDTISIDAIPVDNVDAMPIPIDDIPVDAIPIDDNVAVDVDVENLNDNEDDNQNVDNVNDIHISVDIFDPRNWDSLESKIIELLATKGPIRDLSIVKGPRDKSSRCFTANLYIRTLSNGEKCDRDWLVYLKELDRLFCFCCKVFKRETDRGQLANEGFSDWSHVFVRRKEHEASLEHIKSMTNWYELRQGMNSFQTIDKTTQKLIEKEKDHWKSVFKKNHCNSEIPC >RHN48472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47706748:47707829:-1 gene:gene43191 transcript:rna43191 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKEHKAAEIISEEEDDERRLRICAKKYEIIVRQFDELMEIMTSDSTTMKGRKRQRPGSSGANTSSSSHQRENNEVLLKTVTKFLHELGSNPTDSDT >RHN65037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63799004:63800663:-1 gene:gene27930 transcript:rna27930 gene_biotype:protein_coding transcript_biotype:protein_coding MFEIMGSVIPVRIEKVTAAFKSDEAARGRLCESSGSEHWPESFTDDLSDLVKSFMEKNSVKSFMEKNSVGAVQGKEDAFDFDNNDDRDFEWYDYEEKKDILKEIFGGDYDFVKEKIRREVELAIQVVGGDKSSSGFKRIIMSRLRESGFDAGLCKSKWERNRKFPSGDYEYIDVNYGGNRYIVETSLMAEFEIARPTNQYTSLLDVFPLVFVGKVEELKRVVRIMCSAIKDSMKTMDMHVPPWRRNSYMQAKWFNTYKRTTNEVATNKSITFEARPLKAYNCKDVYYGSKIAVRVGHLAAKFNVE >RHN57418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38992816:38994993:1 gene:gene32961 transcript:rna32961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TLK family MVEDKGDGIDAEAGLSEDILIHEEIYKSRLASIKREEEIALQEFHLYELEKGRLIREMKRILGEDASQFNNFQILNHRYVFLNLLGKGGYSEVYKAFDLVEHRYVACKLHGVNAQWSEEKKQSYIRHAIREYNIHKTLVHRHIVRLWDIFKIDPNTFCTVLEFCSGKDLDDVLKETPILPENEAKVVLFQIIKALVYMNERMPKVIHYDLKPGNVLFDELGIAKVTDFGLSKIVENDVGSQGMELTSQGAGTYWYLPPECFEQSKTPRISSKVDVWSAGICFYYMLFGRRPFGHDQTQQKIFLEHTIINAHEVEFPSGSTVSNKAKVIFIGQNVNFIPCASTIGLCDLSEFVISFLHISVPLK >RHN60258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24322683:24323033:-1 gene:gene22534 transcript:rna22534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronate decarboxylase MSSLSSTRLDLDSNPIKPITICIIGAGGFIGSHLSEKFMFQTPPKVLALDVYNDKLKHLLELETLPWNNRIESPRLNIKRDSKLEGLIKIADLVINLAAILALPLITRHVTCHDLQ >RHN81878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49523867:49524181:1 gene:gene5974 transcript:rna5974 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKDTKLLFMPLLIDMHDNIEEKHEDGSKVLSKRIDTKYMSMQEMHLCLDHIFVVGEYRGETKLSWRHIPDNLILEILMYPCCTSASFLLVISTLHHLRKLIL >RHN41249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28631262:28631886:1 gene:gene47551 transcript:rna47551 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWSLDPDLDLLLILNLDFLDLRYGFKKFCFTCGGFDSSDVARESLVRSRSVLAVVDINVPS >RHN79692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31288985:31293720:1 gene:gene3516 transcript:rna3516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trans-2,3-dihydro-3-hydroxyanthranilate isomerase MFNIQVDAFTETAFKGNPAAVCLLEEDKDDEWLQALASEFNMPMTCYLIPIHGTSKSNSRFGIRWFTSNVEVDLCGHATIAASHALFSSGLVDKNATIEFVTQYSGSLTAKKISVTNGTLTNLTSLQNDEAKDTFYIELDFPVDPITELNFDDTSLISEALGGASIIDIKRTLIQDNILVVVTSGKNVKAIHPQFDAICRIPRRGVSVSGIAPSESGFDFYSRFFAPKIGVNEDLVCGSVHCGLASYWSKKLGKCDLKAYQTSTRGGAIDIHLDEQKQRVFLRGKAIIVMEGCVLV >RHN67154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24426776:24432786:-1 gene:gene15303 transcript:rna15303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator SWI/SNF-BAF60b family MEVSMVATGLLFSTTTTSSTLTLRPQQPPRFPAFPTPSRYNPLRTTVFVTSATVSPTGGKIEHNLQDPENKRPIRFDENLKKVFAGRDEFGMLEIAELTSPRSRTRTSISKSSSDPNSGKPWSNHHLSPPGQRILNTLLDTPPDTPLHLNNILSPLFTQPHPHPTRLSRDILAILKALGFRKKTQLAFSVFDWVRNHQDSSNLLTGSAIAVIVNILGKAGRVSSAASLLKTLENDGFEIDVYAYTCLITAYASKGRYNDAVSVFNKMQRDGCTPTLVTYNSILNVYGKMGMSWSRVTAIFDSMKTNGVVPDLYTYNTLITCCRRGSLYEEVVNVFDQIKSAGFVPDRVTYNALLDVFAKARRPKEALQVLKDMESNGFSPTIITYNSLISAHVRGGWLEEASRLKVQMVEKGIKPDVFTYTTLLSGFEKAGKDEFAFEVYDEMKAAGCKPNICTFNALIKMHGNRGMFVEMMKVFEEIKECGCSPDIVTWNTLLAVFGHNQMDSEVAGVFKEMKRSGFVPERDTFNTLISAYSRCGSFDQAMAVYKSMLESGVSPDLSTYNAVLAALARGGFWEQSEKIIAEMKDGRCRPNELTYSSLLHAYANGKEIERMKALGEEIYSGSIETHPVLLKTLVLVSSKSDLLMETERAFFELKERGITPGLTTLNAMISIYGRKQVVSKANEILNFMYENGFTPSLTTYNSLMYMYSRSDKFQKSEEILREVLKKGMKPDKVSYNTVIYAYCRNGRMKEALRMFSEMKDSALVPNVVTYNTFVATYAADSMFVEAIDVIRYMIKQGCRPDQNTYNSIIDWYCKHNRQDEANSFVKNLGNIDPHVSKEEKSRLLKRMARELP >RHN61214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33897875:33901586:1 gene:gene23654 transcript:rna23654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAUS augmin-like complex subunit 2 MSMTNESSWVGRKAVKRIGGMSDALSIAADLGFSVSSPSSSTHESLHNPPTTSTGEKGEDLIRVLRELTSVQRKIADLQVELQGRKDDKNVAHLTHVSEMEKKIETLDRITTILKDVIHNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTASVADFQWSQNFKEPPSVWGEMLRPIPVALASCTRYFEAMSAKRESFAALQKLRVGQFDSSVPITPARDPSQRLPGVSDSLTSLPSE >RHN62008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40028542:40029495:1 gene:gene24528 transcript:rna24528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MMKRRKVREYEGDEKNTEEGNTEWKQIREEAASVAAALLRVRRARKRYIGVRQRPSGRWVAEIKDTIQNIRLWLGTYDTGEEAARAYDEAARLLRGPNTRTNFFLCQSSHYVPTLPPKIAKILLQRIKTRNTASYIPASAAPFPINHCDEQETKAEPEPHFFHQIEENFLESYDGASYSSSDITTFHCGSGTSEENFNQEGSREDFRLEYHCANNVDDGENNQVFNGEFEDCDVGLTDFQFLDTIGSLSHSYSSPFEIAEEMVGPMVDEKFNVDDSLLLRETFRMKYERKFSACLYTLIGVSECLRLQVGPENGNEF >RHN69297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42421886:42424463:1 gene:gene17746 transcript:rna17746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAMMPYYLSRLPYQDSLQILEADIQQANSLAAAIPRARGGTLIKMKLVCNQLAPLLLLFLQWMDCSCAAFLHSYLNLFHILIYKEPNDGRSNMSTRGRKATIKDFYAVILPSLQRLHGSFDDTMETCEEGNTSLEGSSCGNKVIEFEGDGKLTNVDLQREDECGICLEPCTKMVLPNCCHAMCIKCYRKWNRKSESCPFCRGSLRRVNSEDLWVLTCDEDVVDAETVSKEDLLRFYLYINKLPKDNPDALFLMYYEYLI >RHN58128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43927648:43928569:-1 gene:gene33738 transcript:rna33738 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPLVFSCLVDAVSIDAEQEKVTILGNKLDPNELIKELKKSGKHAEICNGNGNGNGGKKNGNGNANANSGENNGNANGGKKNGNGNAKGGENNGNRNGNGNASGGGNNGNASGGKKNGNDNVNGGENNGNGNGNASGGGNNGNGNMAQMVPIGCGGYYHQGMPMQMQPYSYEQLHHMMMMNQQQQHMNMYPSMNYMQPPPIQSRCMADLTDKFNDENVQSCRIM >RHN74197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30087571:30087738:1 gene:gene10212 transcript:rna10212 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFHCKLWPFLALLFLLDIIIDFGYPYSSCLVLLFMIVKLC >RHN69898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47167979:47171652:1 gene:gene18429 transcript:rna18429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase WNK-NRBP family MSLADPEFFEEGSALLEPPDPDVVEIDPTCRYLRYKEVIGKGAFKTVYKAFDEVTGLEVAWSQVRIDEVLQSPGDLERLYSEVHLLRSLKHSNIIRFYNSWIDDKHGNVNMITELFTSGSLRQYRKKHKKVDVKAVKGWARQILMGLVYLHNHNPPIIHRDVKCDNIFINGHQGEVKIGDLGLATLLKQNNAKSVIGTPEFMAPELYDEDYNELADIYSFGMCMLELATSQYPYRECRNSAQIYKKVSSGIKPVSLSTIKDPEIKSFIEKCLVPASQRLSAKELLMDPFLEVNFSVKNRPLPLPDIVLPKFGGFENRCLMSEGPASARIGSSSMELGDTNEQPVITVSYNSVDDAPPSPCVEIRRLMGVDRFFLKGEANDTNSISLVLRIIDQGGRARNIHFIFYLDSDTAMSVSSEMVEQLELAEHNVKFIAELIDLLLTTLIPDWKPCVAIDHLISPNGRRTHMSQQKQLSQLAKYKQNSIDSSQIMREYVGPSTSHERLAEKENIDNMNFEDVFSHASIGLQRTTKTDDLYSMTSYTSATSDYNDKNFSTVSFMSARSGFTDFNFPTVNGWGSQSSLASEIGASYDKKSKFPCMENNNYPLSTSSFHEAEDELRIELEKIERQYQEAMKDLCKRRHDAMMETRKRLSQKNSIIE >RHN60808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30541378:30543690:1 gene:gene23190 transcript:rna23190 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSAAYRTNAAEFLKENLEIPSYPEIPFPVKLQLWAKAAEKGRPDGGKERKGRMYGLGPLAGNVVHGDLFYVPPPPESSSRSIELPLERQAMIQRMNQELQSQKEVLAKKEESENELRELLAKQAEEMRKLKRMVTKRMGGMKSRKTSESSSPSSQSSPSVQEDRTHDDDNDDDDDDEDEDEERDDDHNE >RHN77750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9172645:9173004:1 gene:gene1237 transcript:rna1237 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGQSFIDTRNESHETDASVVADSFTSESLILTETIDVYPANAPYKRKVSPANAEEFNHSSTSRKNLVIMIFLIGHNFYSLHVC >RHN45208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13032644:13033060:1 gene:gene39438 transcript:rna39438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MLAKSYVVLFLVILFVLCNGSFDAKHQENQTMNNIQSNLKTNISLKSAMPLNAKWVNFDCGEVGRFVLPSEKAHYITWIPIDQDLSCHVNWGHSEATVTVFDAKIDHGHLVVNWLIRPDGFYHSLDNFFWDKRASWEH >RHN39508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7728506:7729079:1 gene:gene45535 transcript:rna45535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MATLALTFQSLGVVYGDIGTSPLYVLASTFPKGIDHTDDILGVLSLIYYTILALPLLKYVFIVLKANDNGNGGAFALYSLLCRHANVSLIPNQQPEDMELSNYKLETPSSNQQLKKKLENSHFARVLLLFMTILGTTMVIGDGVFTPPMSG >RHN46080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28318770:28322842:1 gene:gene40520 transcript:rna40520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MGFSLFSSLKIQVFIFSLINFGFFEVALASTTRHYHFDIRYENVTRLCHKKSMVTVNGQFPGPRIVAREGDRLIIKVVNHVQNNISIHWHGIRQLQSGWADGPAYVTQCPIQTGQSYVYNYTIKGQRGTLFWHAHISWLRSTLYGPLIILPKKNVQYPFAKPHKEVPIIFGEWFNTDPEAIIAQALQTGGGPNVSDAYTINGLPGPLYNCSAKDTFKLKVKPRKTYLLRLINAALNDELFFSIANHTLTVVEADAVYAKPFVTNTILIAPGQTTNVLLKTKPHYPNATFLMLARPYATGQGTFDNSTVAGIIEYEIPFNTHHSNSSLKKLPLLKPILPQLNDTSFATNFTNKLHSLANAQFPANVPQKVDKHFFFTVGLGTNPCQNKNQTCQGPNGTMFAASVNNVSFIMPTTALLQTHFFGQNNGIYTTDFPSKPMNPFNYTGTPPNNTMVSNGTKVVVLPFNTSVELVLQDTSILGVESHPLHLHGFNFFVVGQGFGNFDSNSDPQNFNLVDPVERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEIHTSWGLKMAWIVLDGKLPNQKVLPPPVDLPKC >RHN81449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46021128:46021340:1 gene:gene5493 transcript:rna5493 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVFLVRWFDGVVLSLSLVVGIFTVAASSECYGDVADFLGDLQLCLALVLDGRVVVVVVVVRVTQWWL >RHN63064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48056823:48059892:-1 gene:gene25719 transcript:rna25719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Protein-PII] uridylyltransferase MHAYQPTKLKLNHCFVEDMEIVYQQPHIDREIESLKERIHPPRVCIDNDSCRNCTVVKIDRANKHGILLEMVQALTDLDLIISKSYISSDGGWLMDVFHVKDQIGNKLTDKSLVNHIEQILCECTAKSNGETSSETVQHCCKGPQEANVAIEVIGTDRPGLFSEISVVLMDLGFNIISAKAWTHNDKVVCIIYPEDASRPGPINERERLAQVVDQIRNVIEANEGKGDKDMRSVVLKSSTTGHSHTERRLHQMMYAASDYESCHACHGDNDSEHKRQYDGTHVSVDRYQGRDYWVVNVRSRDRPKLLFDIVCMLTDMQYEVFHAAVTSNSPMAEQVHRIFQLIICKAKRNQGSSNLDNETEKQRLTLCLIAAIECRASHGLKVDIRTQNKMGLLSKVTQVIHENGLSITRIEFGVEGEAAIGSLYVTGCSGQDVNENIVELIKREIGGSIVLAQSSPYRDSQSSSSSNNSRDVIPTFSFGGMIRSHLERLINNFRPIRS >RHN78834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18834755:18835738:1 gene:gene2490 transcript:rna2490 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGEARWVGLEEMKRLWKTPPGETTEVVGSAWMYILKRWLFPVKNFSHKGAKVRLVLVRKRKAILGLGFVRVDKWVVVWVVARWKRARSSASGEKGKVNCGRSCWERKRTSGREMRRARETRIGDDNIGFGVFFFVPMFLFWLGLVVFGAVLNDEKEGMCVECWRVIKGRWIKNKGFIVILFIYLFIYIFGLLTPHLFL >RHN50046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3508883:3509179:1 gene:gene34294 transcript:rna34294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 215 MGAVEGYRIADGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTEKGPLENLADHIADPVNSNAWAFATNFVPGK >RHN79978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34029329:34031281:1 gene:gene3842 transcript:rna3842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructokinase MVMLSMTDGVRKVYGIETYRRPIRGIQPSAPLGLKVKFSNVSVSKGIFSLLPENTIVLGGGLADDDSTHTVQSGNLWNTEANKDGVPPVSRPYKLPDFPITEGCSKTSGLVVCFGELLIDFVCIDESSGCRRDLGGAIANVAVGADESGYSLVDILKENNVDTSGMRVDSNGSTTLAYVELRPDRERKCSFFRNPGADMLLYESELDRKLIEKAKIFHYGSMSLIEEPCKSAHLSALRIAKDSDCILSYYPKLRLALWPSAEAARNGIMSIWHLADVIKISEDEITFLIDGGDPYDDDVVLEKLFYSNLKLLIVTGGSEGCRYYTKGFKGKVRGVNVKLLDTTGAGEAFVSGILYNIASDPSIFEDEERLQKALYFANVCGALTVTERGAITALPTKDAVLQFYAKQEHQL >RHN81952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50086559:50089805:-1 gene:gene6056 transcript:rna6056 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKRNAKMWMALMCLVFIFVDRIESLSVTVQDVECLYEYVLYEGDTVSGNFVVVDHDIFWSSDHPGIDFTVTSPGGNVVQDIKGTSGDKFQFKAPVHGMYKFCFHNPYSTPETVSFYIHVGHIPSEHDLAKDEHLDPINVKIAELREALESVTAEQKYLRARDARHRHTNESTHKRVIFYTVGEYLLLAAVSALQVIYIRRLFSKSVAYNRV >RHN63101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48455209:48457325:-1 gene:gene25760 transcript:rna25760 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVHHHQHWHDLILVLQNRAGFGDGVVVEGRLWSEEKEKGVEEGEGDEEEWEREMRLKEETKDSKTSHLFGQPKVL >RHN45985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27546423:27548804:1 gene:gene40417 transcript:rna40417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MNNLGLSITVFILVLAFLFQCSSSSSSLRKGSSLLVENPEDNIMSQNGMFSAGFTAIGENAYSFAIWFTEPTSLNLNKTIIWMANRDQPVNGKRSKLTLLNTGNIVLFDVSLNNVRSSNTASLKPLELHLKDDGNLVLRELQGITILWQSFDSPTDTLLPGQPLTRYTKLVASRSESNHSTGFYKFYFDDANVLGLHYDGPDISSSYWPKPWLLISQVGRANFNGSRIALLDSFGSFLSSDNLIFITSDYGTVLQRRMKMDSDGNLRVYSRINVSQNWYVSWQAIYGACIAHGICGDNSTCSYNPKHGRKCSCIPGYRVKNHSDWSYGCEPMFDFTCNRSESTFFEMVNVEFYGYDIHYVPMSNYSSCEKLCLEDCNCKGFQYGFGVLKGFYKCYTKTQLRNGRHSPFFVGSTYLRLPKGNTFSKEESSTPSDHVCLVKLQRNFVSESENHYVNFFLWFAAAIGAFEAICIFIVWCSLFRNRKTNADQHGYHQLAAIGFRKYSYLELKKATKGFSQEIGRGGGGIVYKGLLSDQRHVAVKRLYNAQQGEGEFLAEVGIIGRLNHMNLIEMWGYCAEGKYRLLVYEYMENGSLAENLSANKLDWSKRYKIVLSIARVLAYLHEECLEWILHCDIKPQNILLDSNFQPKLADFGLSKLQNRNNLNNSCISMIRGTRGYMAPEWIFNLPITSKVDVYSYGIVVLEMITGKSPTTGFKIVSGEEESDGRLVTWVREKRGSDISWLEEIVDPQIALNYDRSKMEIMAKVALDCVVDERDSRPTMSKVVEMLQYHGSDV >RHN57448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39223028:39223868:1 gene:gene32993 transcript:rna32993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MNQGGFSHQSLNQQLRQVSESMQLLQLQLSEKAALEFTKSSIFFLSFGKEDYIDLFLHNSSNPMINHSAQYFATILVNQMTNAMRYLYDANARKIICLGVLPLGCTPRIAWESNQTSDGVINGNGCVDNVNNWVLEYNRLLDEHIVQLNAEFSDAHIVFCDVYSGILEIINRPRFYGFEDTKSACCGLGLNGAMVGCISTEMACNQASGHVWWDLFNPTEAANSILAEAAWSNQPIPDLCRPFTIHELVKTKT >RHN63003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47513119:47513559:-1 gene:gene25651 transcript:rna25651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative malectin MAIQQSIVLLTFLLQFSSLQFSSLGLYNPQHTYFINCGSDFDVTESNNVYIGESNPTYPKTVFSKSSKVTSQSSSLSTPLSPLYQTAIIFPSKSFYEFKTVPNNTYMVRFHFFLFSLPTNLSTAKFNVSFPGFSLLQNFDINSAFN >RHN70650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52999919:53001429:-1 gene:gene19259 transcript:rna19259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein DnaJ, cysteine-rich MVSALCLTPSCLGTKIFCVQTSECSGLNITSHTNTNRKHFRVNYGFQGPPTEKYCLVTAKATNDNQQNTKPNSVICADCDGNGAIVCSQCKGSGVNSVDIFDGLFKAGASCWLCGGRKEMLCGNCNGAGFIGGFLSTYDQ >RHN59988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16176155:16177189:1 gene:gene22166 transcript:rna22166 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFYTTAQEVVESLKESLMALNPKTHVEDKALKKALEKVEKNFGTMRTMLSENGIYSESLNLDRVSQFVEEICKADVLTLVIQKLPILGWQARKDLVHCWSIFFKQKVVDTNDYSCVEYIEQHIELLDFLLVCYDKNGTAPSSGIMLRECIKFPNLAKGLLESPRFGLFFKYVDLPNFDVAYDAFSTLKELLTKHVNVVSEYLKTHCDVFFDLYNENLLKSPNYATRRGSINLLLDILLETSKETSDARIMKRYISQVRYLKVVMTLLTDSSKKIQLSAFDIFKFFVANPNKPREVKIILCKNKEKLLELLHKLSLVKDSKEDEQFKEEKEYIIKEIERISI >RHN60575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28408233:28409672:-1 gene:gene22906 transcript:rna22906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MKFIFVTGGCVGETAGGTMSPHVLIVKPGEDIVGKIFAFYQKGPSTAVCILSATGTISSVTIRQPDASDGFLTYKGHFEILSLSGSCTFTSGAAGGAQRKIGMLSVSLAKPNGEVFGGGVENTLIAATPTQRLSFDFI >RHN51719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23392399:23393816:-1 gene:gene36224 transcript:rna36224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sec23/Sec24, helical domain, ADF-H/Gelsolin-like domain-containing protein METEFVYDTSIVAEFDPIRWLDKALINLCSCLGDFQKETPSSFSLSPRLSIFPQFMFHLRRSQFVQVFNNSPDETAYSRMILNRENVTNSVVMVQPSLIYSFHSGPEPALLDVAAIAADRVLLLDAFFTVVIFHGSTIAQWRNVITHDFQMYI >RHN51824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26008403:26008773:1 gene:gene36361 transcript:rna36361 gene_biotype:protein_coding transcript_biotype:protein_coding MFNAGSPATAAVVTSLTDHRRRHCCRLFFFQSCKRVMIGSAVATTDPSSVLIGVLNRSVFSVMI >RHN48450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47549304:47556745:-1 gene:gene43167 transcript:rna43167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MFYSNRFITLSPSSSSSYSSNKSNKPFSPSSCVACSRSLLNRFRFFTDSFLVAVGVLYISHLHPLVELFAYLTQLHYFLLLGVASAIMGHRHLFNASPMFEGEADPNWNNMLTDQHHVNHGGTSSSENGSFIYPVENMSIDNIYFPSHWNANTRSNGYASSGPNIEVPPPHQLDTSGTSTNDHFMHSSSAGPFFAVSENFVHQPSSSNYDRQAFHVDSGFIDLTMGSGRAHHKRKSPGIPSVYERGSSSGYFNAGTSSDLPIPPESWPEKPNMDPQYMPWDHAAMAPTFRGAGLSMKGESSVRNVRSRSSLDLESNLCRTHLSSSHSHNSYPTVPPVSHSSLADLSQVSTSLTRDWSQMNVTPANGRVLLPDASTFGLETSHFPVGNAAAAAAASNATVDVGSFHHDFGTSRNPTTAQSFQNLTQTARGTRSNYSQRSTPAYRASSSLRLGQATPSDNGLPMVAEGYPSRHPRPLNTVGWRNSDRNGRSRISSERYRSLADQAALHARLSSSEVPGFMIVERASLYGSRNVLDQHREMRMDIDNMSYEELLALGERIGQVNTGLSEDVLSKCVTETIYCSSDQCQDEGSCVICLEEYKNMDDVGTLKTCGHDYHVSCIKKWLSMKKLCPICKSSALPEDKKDK >RHN80636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39654922:39667680:1 gene:gene4582 transcript:rna4582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M41, CARD domain, ATPase, AAA-type, core MDSILYSSSSPPLRFPSHLPFTSFRPLPNHTLLFKPPRASITLSANQQNNHTVLSQPTNSTLVLSQCCLTKQLILRALFCFAVGVSTFGTFQIAPAFALPTIPWVQFLSKNKENKNQHEYSDCTQKVLDTVPSLLRTIEEVRKGNGDFEDVKRALEFVKLKKYEMEKEILERMHPVLMDLKEELRLLQIKEGEISWQMAEVNREHRKLMGWEMDMKDNVVNEVEKKVLDKRMVELEKKWNEILVKIDEMEDVISRKETVALSYGVLEICFIQRECENLVERFKQEIKQKKIGSSFASSVNKLSKSVIQEDLETVQRKQIEQTILPSIVDVDDLGPFFHQDSVDFAQHLERSLKDSREQQKNLEAQIRKDMQYDKEKRSVVYSPEEEERILLDRDRVVSKTWYNEEKNRWEMDPVAVPHAVSKKLIEHVRIRYDGRAMYIALKGEDKEFYVDIKEFERLFEYIGGFDVLYRKMLACGIPTAVHLMWIPLSELSVHQRISVILRFPLRFLSGRWNSETVLTTTNLIFDNIKEMTDDIMTVIGFPIVEYILPNPVRVKLGMAWPEEETMNTPWYLNWQLNAEARVQSRRADGDFRWIMLFIARAAISGFVLINVFQFMRRKIPRLLGYGPIQKNPNRRKLEQMAYYFDERKGRMRDRRREGVDPIKTAFEHMKRVKKPPIPLNNFSSIDSMKEEISEVVAFLQNPRAFQEMGARAPRGVLIVGERGTGKTSLAMAIAAEAKVPVVEIKAQQLEAGMWVGQSASNVRELFQTARDLAPVILFVEDFDLFAGVRGKFIHTENQDHEAFINQLLVELDGFEKQDGVVLMATTRNLKQIDEALQRPGRMDRIFHLQRPTQAERENILYSAAKETMDDQLVEYVDWKKVAEKTALLRPIELKLVPIALEGSAFRSKVLDTDEIMSYCSFFATFSSSMPQWLRQTKIVKKLNKMLVNHLGLTLTKEDLQNVVDLMEPYGQISNGIELLSPPLDWTRETKFPHAVWAAGRGLVAHLLPNFDVVHNLWLEPLSWQGIGCTKITKARNDGYIDGNIESRSYLEKKLVFCFGSYVASQMLLPFGEENLLSSSEMQQAQEIATRMVIQYGWGPDDSAAVYYCSNAVATLSMAGDHEYVMTAKVQKMYDLAYLKAREMLQRNRLVLEKIVEELLEFEILTRKDLERITEANDGIREKEPFTLVEVQASEPTSGSLLERGNASGGALLAS >RHN73667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18691119:18696600:1 gene:gene9513 transcript:rna9513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MASKCFVFPFFLCYIFLALYGTYAVEVSHDGRAIKIDGKRRVLISGSIHYPRSTPQMWPDLIKKAKEGGLDAIETYVFWNAHEPIRREYDFSGNNDLIRFLKTIQDEGLFAVLRIGPYVCAEWNYGGIPVWVYNLPGVEIRTANKVFMNEMQNFTTLIVDMVRKEKLFASQGGPIILSQIENEYGNVMSAYGDEGKAYINWCANMADSFNIGVPWIMCQQPDAPQPMINTCNGWYCHDFEPNNPNSPKMWTENWVGWFKNWGGKDPHRTAEDIAYSVARFFETGGTFQNYYMYHGGTNFGRTAGGPYITTSYDYDAPLDEYGNIAQPKWGHLKELHLVLKSMENSLTNGNVSKIDLGSYVKATVYATNDSSSCFLTNTNTTTDATVTFKGNTYNVPAWSVSILPDCQTEEYNTAKVNVQTSIMVKRENKAEDEPEALKWVWRAENVHNSLIGKSSVSKNTIVDQKIAANDSSDYLWYMTRLDINQKDPVWTNNTILRINGTGHVIHAFVNGEHIGSHWATYGIHNDQFETNIKLKHGRNDISLLSVTVGLQNYGKEYDKWQDGLVSPIELIGTKGDETIIKDLSSHKWTYKVGLHGWENKFFSQDTFFASSSKWESNELPINKMLTWYKTTFKAPLESDPIVVDLQGMGKGYAWVNGHSLGRYWPSYNADEDGCSDDPCDYRGEYNDTKCVSNCGKPSQRWYHVPRDFIEDGVNTLVLFEEIGGNPSQINFQTVIVGSACANAYENKTLELSCHGRSISDIKFASFGNPQGTCGAFTKGSCESNNEALSLVQKACVGKESCSIDVSEKTFGATNCGNMVKRLAVEAVCAI >RHN54954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13911749:13912607:1 gene:gene30065 transcript:rna30065 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKNVLEVPVSAEELKIRSELAMMIERDLEEEIKEGLYNLARRLLRIFQQRKERDAKDAAFVKVDNKIRALSEVIIRMRMEGGTKIEIKEVNKEANKKGCAFTPNFRPKKCLKEVKKIDWEKSLRAGSSPVPVKGSYVRSKQKDKIMMRGKNASEDKKLLQLVWKV >RHN50106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4097562:4104035:-1 gene:gene34360 transcript:rna34360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKRCENLKSKLPDCIVSYIFSKLSMKDLVKTSTLSKQWLHEWGFRTDLNFDLQNMFHYNTIQELPKNFPLLQSQFATILDQFMMHYQGAMIHSIRVNFPLGDQHRDVIDKLISKGIAKGAKRIELLFSNETNYTTDFIFEGEPYKFPFTLLSDTYSLTHLHLEKCLLVAPMDFSGFKNLRTLVLHIIDVSQDMFRGLFSNCIHLVDFTLDNCNFISDIQITSSTLFHLNIVNCGISIQERKKIDISAPNLSSFQYSSCIDCQVHPIKIEAHMLSKFSFTGGEIFRIFKPVGFSGLKNVTTIVLDGLLECLCEFIVPKLFSKCCQLEDVTFKNCRIMYDLTITSRKLRHLKIIDCGYKHLTPDEITVVALNLSSFEYSGYTRELHVKAPRLLKVFWNATKEERYPHHLFGAIPRSRHVQNLAMIMMPSQIANLTKVLVQFQNLQQLELLIEGACDPNMDYFWILDIIMASQHLRKLSLTIRNSHVDGSHRQRREHGKFFHNYLKYVELRGCVCTMDVIELASHLLRNVNSLKQITFSSCDKFYIGAERWTKTSKYCCYDGNLIHEVLKDEVDEQCQLIIL >RHN78148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12291422:12295183:-1 gene:gene1670 transcript:rna1670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, non-heme dioxygenase domain-containing protein MSNLQCVCVYIIGHQHKYVTAKTTLPLILLSLNNQYFFKKIITMVEIDQDFIQPPEHRPKLSIIEAENIPVIDLSPILDDSNNVKNPLVIDELVRKIGSACKEWGFFQVINHGAPLESRQRIESVGKKFFGQKMEEKKKVRRDIVNVMGYYETEHTKNVRDWKEVFDFTVKESTLVPASIDPDDKEVTHWYNQWPEFPLEMRETFQEYAQHMKKLALKIMELIALSLGLPPKRFDDFFKDETSWIRLNHYPPCPNPDIVLGCGRHKDSGALTVLAQDEVSGLEVRRKSDGEWVLVKPLPNAYIINAGDVIQVWSNDAYESVEHRVILNAEKARLSYPFFLFPSHYTMVEPLKELTNDQNPPKYRPYNWGKFLATRKRSNFMKLDVENIQIHHFRI >RHN65698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4489498:4489970:-1 gene:gene13571 transcript:rna13571 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFHLSPSIPLILSLFASSVLCFTLFYFIFLFCSISKSSKNSNKARKQL >RHN82705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55803459:55805654:-1 gene:gene6886 transcript:rna6886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MEESVQNLFRYLPDEILGCIVSFLPNEYALETSLISTRWRDLWNHVLVKHGTMEDITGAVAEFLANFDELDPLKRPCKLRFHFADDNALLAAIATNSKLLLDFSYGMKKIERKYELRFKLDKQNFTYHSVFLFKSLYLKSLSYLTSEVASSIVSNLDHLENLTITDCCGLQSLFIESESKLHKLTILDCLQLKSLHLRTSKLKSFRYRGLLPRIWPESHFNLCHAILDFRQGLSCRDLSAQDFDEILLTIKNSEVLTLCEWTFETLIWPSISPSSGSFIFYKLKELWWIDNHENENSINALISFLKLCPALEQLVITIDRNSYSAPQSNLGSIQATKYTELEHLKLVKFKGFTNPIAEISVAKILIQLVKGKPPKIETSSGSNLDVAIMQ >RHN66333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10968645:10969738:1 gene:gene14293 transcript:rna14293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller MGKNKQPTESSVRDPEKREETSVKCFSPFGFGVKEWFWKRQHNPKKCIEKVHEIYTKECVEVESGLFLLPDDILELCLDRLPFEGLKNVRLVCKNWSSFLTTERILQIIIVASINDGIIIVGGKSNIGKVVGPIKEHNEVVFFNAVTKTWHNMPSMKYSRALPLLVFIGQRKSDRSSTSSKVFKRSFLLIAIGRTVNESLYRGEIYDSSTNEWTDIQSLPLDFGGVSSGTVCKTKFYVCSRNEKLAAYDIERGFWIVIQTSQPFPSHVYANPYHPHLVSCNGRLFVISSCFWNGQHSMVRKLFELHLVDHTWTESRWISIQFRIRFTCTRPNSIEINAHDQ >RHN63421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51155274:51158860:-1 gene:gene26118 transcript:rna26118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MVKTGRPKEQVAIDAINYAMKALKKRHLLEEGAHAPAFLALSRPIVSQGFEWKEKAENLEVELQQCYKAQSRLSEQLVVEVAESRVSKASLQEKENVISDMQKELTELRDECSQLKTDLEQKINEVELVISENSELKAQLEQMTTKANEAEAENKMLIDRLMLEKMKDAERLNEANALYEDMVQRLRASGLEQLAREQVDGIVRRSEEGAEFFSESNIPSNCKYRLNAHEGGCASLLFEYNSSRLITGGQDRSVKVWDTNTGSLSSSLTGCLGSVLDLTITNDNRSVIAASSSNNLYVWDLSSGRVRHTLTGHTDKVCAVDVSKVSSRLVVSAAYDRTIKVWDLMKGYCTNTIMFPSNCNALCFSTDGQTIFSGHVDGNLRLWDIQSGRLLSEVAAHSHAVTSISLSRNGNIALTSGRDNLHNLFDVRSLEVCGTLRATVNRVASNWSRSCISPDNNHVAAGSADGSVHIWSISKGEIISTLKEHTSSVLCCSWSGMGKPLASADRNGIVSIWS >RHN44173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2197001:2197300:1 gene:gene38262 transcript:rna38262 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPCHSLMIPHVEVIEVPPSRLSKEEITIAKDHGKVRPNTLQVIQNVYCIMEEWLRDGESHKYHHGLPRTMQDEMHPAIKLQIARRRRRRVVLCSLVF >RHN57984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42920986:42922709:-1 gene:gene33582 transcript:rna33582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine O-acetyltransferase MKRGRLLNFFVNPTPKKWSLLSLSHLKSQSHHFMSVSSPVDTNSKNLLPHDDHSCEKFFTPSSRYGINTLHTSSVVEQSEKVEDENYVWMKMQEEAKVDVIVEPILSSYYYVSILSQKTLETALANHLAVKLSSVINERDPACISHVHCFLNFKGFLACQAHRVAHNLWSNGRKILAVMIQNRVSEVFGVDIHPGAKIGSGILLDHATGIVVGETAVIGNDVSILHGVTLGGTGKAHGDRHPKIGDGVLIGAGTCILGNIKIGDGAKIGAGSVVIKEVPPRTTVVGNPAKLIGGKNNPIKLDKIPSHTMDHVAHISEFYDYVI >RHN51097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13971997:13972536:-1 gene:gene35472 transcript:rna35472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MKSTSFSPSSWFIICLCLIFYVAVPAHCVSLYETVCSEARQDANACLNLLKTDPKITSATNYHDLSKSILSFAFNKGLEDQAYLLKVAKQFPNNQAIGQCANVFYKTSIAYFGYAMIDVDKVKDPQNAKNDVQTAGNGPSDCEKAIQNDKGIHDPTIHAKNNEMFLLSEMSFLALNHLT >RHN58865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5528420:5539331:-1 gene:gene20819 transcript:rna20819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MDAHAVHLAMAALFGASIVAVSAYYMHRKTLTELLEFARTVEPEGDSDGGERRRGGSKRRNGGGGGYRRGSGSLPDVTAIAGGVEGNGLMHDEGIPVGLPRLQTLREGKSANNGSFKRNIIRPTSPKSPVASASAFESVEGSDDEDNLTDTKHDTTYLHTNGNVGGEGKNPYETLPNHVNTNGEQMAITASSMIRSHSISGDLHGVQPDPIAADILRKEPEQEIFARLRITPMEAPSPDEIESYVILQECLEMRKRYIFKEAVAPWEKEVISDPSTPKPNLEPFFYAPEGKSDHYFEMQDGVIHVYPNKNSNEELFPVADATTFFTDLHQILRVIAAGNIRTLCHHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLREVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVFSDLEASKYQMAEYRISIYGRKQSEWDQLASWIVNNDLYSENVVWLIQLPRLYNIYKDMGIVTSFQNMLDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPAQWTNVFNPAFSYYVYYCYANLYTLNKLRESKGMTTIKFRPHAGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPLPVFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGKEYYKRGPNGNDIHRTNVPHIRLEFRDTIWREEMQQVYLGKFIIPYEIEK >RHN51366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16770047:16770821:-1 gene:gene35792 transcript:rna35792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKPTLVTTLCFLLFSFTTYFPLPFTHAGIIVKDIYGKPVVPSGSYFIWPDYLVSGGELRLGVTENSTCPFTVLQDYSNYGHGFPVKFTPQNQTSSDDPITLGLHLDIAFDYKPVCAESTKWLVVEAENEYPTPWLAIDGTGKNVYDDGWFELIAYERTGYLIYFCHKLSSTRGECRYISRKNDKNGMRLVFDDGDYLAAVFVNVDDIVRARGSSIVKKDRAFTLPMI >RHN41889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33900223:33902394:1 gene:gene48253 transcript:rna48253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MASTKLSCLILCLLPLFLGSKAEQCGSQANRAVCPNGLCCSKFGWCGTTDQYCGAGCQSQCRSSSTPTPSTPTPGTGGGGDVGRLVPSFLFDQMLKYRNDARCPGHGFYTYDGFIAATRSFNGFGTTGDDTTRKRELAAFLAQTSHETTGGWSSAPDGPYAWGYCFVNERNAQEKRYYGRGPIQLTHDYNYGQAGKAINQDLINNPDLVSTNPTVSFKTAIWFWMTPQGNKPSSHDVIIGRWTPSGADRSAGRVPGYGVITNIINGGLECGHGQDARVNDRIGFYRRYCQILGVSPGDNLDCNNQRSFA >RHN39639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8954202:8956484:1 gene:gene45681 transcript:rna45681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MASNICVYLLFSYITSIHLILTFVHSDNYIIHMDLSAMPKVFSNKNSWYESTLSQVTTNNLNNPTFSKIIYTYNNVINGFSANLSPEEHEALKTSPGYISSMPDLPLKLDTTHSPQFLGLNSYKGAWPASDYGKDVIVGMIDTGVWPESESFKDNDMPQIPSKWKGQLCQFENTNNSSFCNKKLIGARFFNKGFLAKYPNLTNTILNSTRDTNGHGTHTSTTAAGNQVDGASFFGYANGTARGIATLSRVAMYKTAWGKDGHAVSSDIIAAVDASISDGVDVLSISLGLNNVSLYEDPVAIATFSAMERGVVVSTSAGNDGPSFKTLHNGIPWVITVAASTLDREFRGNLTLGNGVSLSGLSFYLGNFSASNIPIVFMGKCDNITQLIKVKSKIVVCEDKNGTLFDQVSNLLTVIVVGAVIISNTSQNNLSQLLGFQLPYIIINQTNGEIIKDYIQSNSNSSSIEKISFKITSFGAKPAPSVDFYSSRGPSESCPNVLKPDITGPGTSILAAWPTNIPVLEFGSRKVFNKFNFLSGTSMSSPHVAGVGALLKAAHVDWSPAAIRSAMMTTSDIFDNTKELIKDIGKGNNVATPFAQGAGHINPNKALNPGLVYDVGVQDYVNLLCALNFTQKNITIITRSSTNDCSKPSLDLNYPSFIAFFNAANSSSRTTQEFHRTITNVGQGKSIYVAHITRIEGFHVRVIPNKLVFNKKNEKLSYKLRIEDARVAQKNEVSFGYLTWQDGKHVVRSPIVVTTPNFNL >RHN79428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28759485:28776410:1 gene:gene3218 transcript:rna3218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein virilizer MGRPEPCVLFSHTFVHSHLDEYVDEVVFSEPVVVSACEVLEQSTTSVAQAVPLVGATSPPSFAIEVFVHCEGETRFRRLCQPFLYSQSTSNVLEVEAVVTSHVVVRGSYRSLSLLVYGNTAEDLGQFNIEFDDNALTDLVDSTEGKLEDLPLALHSTNFSFEDSRSLNVLSIPVPVADISLEVKLFLQLMLKILELSETGGKGHIGDDGHKVVSTVVSAISSYISGDICESISGRYQTGKRTEKFEELHNVVNGARKELTDVYRVFRKKIGSESSERSSDYSDLETEILDSKTLVDMFNQINHFRRHSSSIGDHFLSRSEHALLGLSMAYLLCSGRESCFQFVNSGGMQQIEMFFAKDVQNSTTITLLLLGVVERATRYAVGCEGFLGWWPREDESIPSGVSEGYSHLLKLILSKPRHDVASLATYLLHRLRFYEVASRYESAVLSVVGNTNTFGRVTDVTLNMLSSAEVLLRKLLRLINSRGPIEDPSPVACASRSLITGQTDGLLSYTTTSNLISSSNCCFSDWDIDSHLLGLLKDRGFLSLSTALLSSSILRAERGHVMEIFMDVISSIEAVILSFLFCRSGLIFLLQDPELSSTLIRALRGGHHGNKEDSIPLRYASILITKGFFCSPVEIGTIIGMHLKMVNVIDCLLSSNPQSEEFLWVVWELSALSRSDCGRQALFAFGNFPEAVSVLIEALSSTKESESAGKNSGSSPVNLTIFHSVAEIIEAIVTDSTSASLGSWIGHAIELHRALHFSSPGSNRKDAPSRLLEWIDAGVVYHKHGGIGLLRYAALLASGGDAQLTSTSVLVSDLTDVENVVGESGSDINVMENLGKFISDKSFDGVTLRDSSLSQLTTSLRILSFISEDPAVAASLYDEGAVTVIYAILVNCRFMLERSSNSYDHLVDEGTECNATSDLLLERNRELSIVDLLVPSLVLLITLLQKLQEAKEQHRNTKLMNALLRVHREISPKLAACAAELSSPYPDYAIGYGAVCHLIASSLAFWPVHGWSPGLYHTLLASVQGTSLLTLGPKETCSLLYLLSDLFPEEDIWLWIGGMPLLTTRRMLAVGTLLGPQKERHVNWYLESGPLGKLVSQLAPHLDKIAEIVQHHAISALVVIQDLLRVFVIRIACQNVKYASMLLQPILSSIASLVSESSPSDTDAYKVLRLLDFLVSLSEHPLGKGLLLKLGTLETLTKVLDRSFIIVDGKPTPDGRSSTKYNFNFFSWCLPVFKFIMLLFNSETSQYYSRRHDFKFFENMSDKDYALILHYLFKSCQVLPVGIELLACLITLKELASCSEGQMAFDAILSGIHYNARELDQKDDMDVNNNVPSIAEWRKCPPLLSCWMKLLRSIDTTEGLSPYAIEAVYALSMGSLQFCMNGDSLISDRVVALKYLFGLSDVVTRSFDFPEENINYILDLSTILSSKATVDDCLVTSHLQIPLYQVSESVKSLSLVLQRPVGSMELDDVVLPQNDVLVFPKALHMLENSVEKIDDHLYIGGLGDKFLWECPETVPDRLTQTSLAAKKKLSAIDGSVRRGRGESFQTDVSSFSRGIAQTTVSSGPTRRDSFRQRKPNTSRPPSMHVDDYVARERNVDGVTNVIAVPRTGSTGGRPPSIHVDEFMARQRERQNPSATVVGEAVGHLKNASPVKATDVEKSNKSNQLKTDLDDDLQGIDIIFDGEESDSDDKLPFLQPDDNLQQPAPVIADQSSPHSIVEETESDAVSRMGTPLGSNIDDNAQSEFSSKVSGSRPDMALTRESSVSSDRKYGEQADDTKNVLQAKIAGGYDSATANSSFPVSLYNNPSTSTQLPVDSRTASQNFFLKNSPQHGGIDSRTASQGMYDPRFFQNQPPLPPMRPPSTVSPAISHGSDSVHGQLTSFVNSPGARRPVTFQGQSDYSSPFNNSSIAPSFSSSVPMPDSKYSRHSISSPSGPSRHAPPLPPTPPPYASSPYNLPSSTNTSVSQPAPYNQAGIGNTELSQASIAHSGARLSAYPLNPLIMPPGYNRPTSVPMTVFSNPSNQQQNENQPSFLHSISVPQASFPSMHTVTQLQPLQPPQQLPRPPQPPQVPRPPVQAIQQLEQGMTMQGNVQVHELQMLQQSQVSSMQTYYQTQQQQFSHEQQQQQVQHAQHMGNAQSQEHADAGMSLNEYFKSPEAIQSLLSDREKLCQLLEQHPKLMQMLQERLGQL >RHN56333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29944676:29945248:1 gene:gene31717 transcript:rna31717 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVMNFIMRAFELAKNLELELPNITNHPEMLFSSIDEVEKAFNAAKERVMMMLSSQHDTTTTTKPSNSFAQIPSHDVFMQKTQHAQIGGSGSAISMHAHSMDQLLLIQHPFDVSVLLENKMISGGGDVHLLRSRGTLRIGEMGGGRDVEGLDRSKGIEGDQMQGIETPPSRPKKWYVYNTFMCSYNLCY >RHN44143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1972037:1973061:-1 gene:gene38216 transcript:rna38216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRAKNMAETLKFVYVLILFISILFVVIVCDSSYIPISHPCTTVKDCPEVKNYKSRCLKGLCISGRLR >RHN43296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44754003:44757977:1 gene:gene49844 transcript:rna49844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MEWSNLKAPSWDLTEMDQGTLNNIETMDGSIRRFGDYRTKGDFSVDLKLGHVGNSSTESGLTKSKDVGGFTKMTSSSSSTSGSSKRARAINNGTQIVSCLVDGCQADLSNCRDYHRRHKVCELHSKTAQVTIGGHKQRFCQQCSRFHALEEFDEGKRSCRKRLDGHNRRRRKPQPEPITRSSSFLSNYQGTQLLPFSSSHVYPSTAMMNPTWVGGDVRLHSHNQHQQMHHLVDKQDLFLGTTSSTSSYKEGKQVSFIQNNQPTAAATMNNQPLPASSMSRTFSRTNPFSERYKMFCDNNTSCALSLLSSPVPQTHDHPENGLNQMVNTHSSFMQPLGLSLHDHSLGSVDSVLGSNDQSGHCSSMYNMGSSESHSQGNNNEASPLFPFQWD >RHN50151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4523284:4524116:-1 gene:gene34406 transcript:rna34406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MSHFSDKKPHAVLIPYPLQGHITPLITLAKLLHLRGFHITFVNTEYNHKRLLKSRGPKAFDGFTDFTFETIPDGLTPIEGDSDVNQDIYALCESIRKNFLQPFCELLARLNDSATSGLVPPVTCIVSDNSMYFTIQAAEELSIPVVFFSPASACMFLTCFHLPTLFDKGVIPLKDDSYLTNGYLDTKVDCIPGLKCWNILLINNIPISLCGQIS >RHN81365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45265691:45271079:-1 gene:gene5390 transcript:rna5390 gene_biotype:protein_coding transcript_biotype:protein_coding MIMQNPVLDPPDHRVTSGMEDSTAMTIEFLRARLLSERSISRSARQRTDELEKRVVELEEQLRTVTLQRKMAEKATAEVLAILEDQGISDLSEELDSGSDLDNPCESGNSNKSSKEGDRYMSSNGRQHGSDELYDSRMDSTQVSNRSLSWKGRHHSPRSLEKYKISNMKRQNSFSSASSSPKHHQGKSCRKIRHRQNRSMVEEPKGKFVKDNCHENEFVFSSEGYPSSSVGGSNIPRIESKVPEEDQSEPNLGHKNRHVDGYGREGDMEKALEHQAQLIDRFEAMEKAQRQWEEKFRENNSTTPDSCDPGNHSDMTEDKEESKDQIPYSSKVVTSEAQEHTSEPGGVYSPEEILKSEARDVMSKSYDDTRGYNNQNRTSFRTSNLLGQEKSHSPLNGNQNENSVNCQYQSSETKHHGPHGRGYPDSEPSHSFPTDSQDGLHQNDASKNKNDLYALVFREQSPEFNGVLESLKQARISLKQELNRLPLVESSKAIKPSAFVGKSEGRFDIPVGLSGLFRLPTDFAAEEAASRFGIHDSTSGFGSNFYHNNSRGIPRTSDGQFVTNPYYGTTMSLFADDQSHATQYLENGLIFDSKKSPSDPFLNRGGPPYSGKPVYPSFPINPSYQVTSPQMPFDGELSRPYSSRTVEVPLADRFSFHGDHLR >RHN75503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42774330:42777532:-1 gene:gene11721 transcript:rna11721 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MATNRDAAAESSSVNKIEVFWHEGMLKHDTGNGVFDTGIDPGFLDILEKHPENSDRVKNMVSILKRGPISPYVSWHLGRHALIPELLSFHTPEYINELVKADKKGRKVLCAGTFLNPGSWDAALLAAGTTLSSMKHLLDGHGKVAYALVRPPGHHAQPSQADGYCFLNNAGLAVQLALDSGCKKVAVIDIDVHYGNGTAEGFYSSNKVLTVSLHMNHGSWGPSHPQSGSIDELGDGEGYGYNLNIPLPNGTGDNGYVYAFNELVVPSIHKFEPDMIVLVVGQDSSAFDPNGRQCLTMEGYREIGRIVHGLAMRHSDGRLLIVQEGGYHVTYSAYCLHATLEGVLNLPLPLLQDPVAYYPEDKTFSVKVIEAVQNYVTDKMPLWKTD >RHN65996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7387762:7387972:1 gene:gene13905 transcript:rna13905 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLTIIPLRLRSIIAWIMSIRASPHLVVTLIPRVPTSIIPSKL >RHN63062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48052340:48053190:1 gene:gene25717 transcript:rna25717 gene_biotype:protein_coding transcript_biotype:protein_coding MESYSGSYYSSSKSSYISKNTKKPKGSMKNEYPFESKYSCLHSVRKSPTKTWNKKAPIAPMAPTPIKVYKVDPINFKELVQSLTCAPQFMPPQPHHNLDLQSTTDHTTAKNIVPSLPMKNLSSRDNVEVSSPLVPVSTTTTSWYQYFQAEYFGKNYEEKEVITPSLLEMNLFSPTSFGNWCFVPPIMNPRV >RHN69519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44108583:44109128:1 gene:gene17998 transcript:rna17998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MNMLDVKMDKFSFASVISACAIKSSLELGEQVFGKAITLGLESDQIIYTSLVDFYCKCGIVEMGRKVFDGMIRTDEVSWNTMLMGYATNGYGIEALTLFNEMEYSGVRPSAITFNGVLSACDHCGLVEEGRNLFRTMKHEYDINPGIEHYSCMVDLFARAGCFGEAMYVIEEMPFQADAYM >RHN80613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39452931:39455121:-1 gene:gene4558 transcript:rna4558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L18 MTLTKRYVLRLFISIKYITANVVDRNSGRIVATSSTAEHDIKQSLECGRP >RHN67737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29898399:29902115:-1 gene:gene15960 transcript:rna15960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma interferon inducible lysosomal thiol reductase GILT, thioredoxin-like protein MVSSNTLSLSLILFLSFFSFFSPSQSHNKVSLELYYESLCPYCANFIVNYLPQIFQHDLTSIVDLKLVPWGNAKLRGNSTIVCQHGPDECLLDRVEACAIDIWPQLSEHFPFIHCVEDFSYQGKHSEWESCYEKLGLDSSLVDHCYHSEHGKELDLKYAAQTNALQPPHTYVPWVVVDGEPLYDDYTNFLSYVCKAYQGTDAPQICTEPSFLSTVREVEAKTKHSFCAKERVMPTLETIRSTITSWMNFVGAV >RHN65829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5680542:5681847:1 gene:gene13721 transcript:rna13721 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAGAIKVVAISGSLRKASYNTGLIRSAIELSKNVVEGVDIEYIDISTLPMLNTDLEIEGNFPAEVEAFRQKILAADSVLFASPEYNYSVSAPLKNAIDWASRPPNVWAGKSAAIVSVGGGHGGAKSHYHLRQIGVFIDLHFINKPEFFLNAFQPPAKFNGDGDLIDQDAKNNLEGVLLSLKAFTLQLQGKN >RHN52765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38569638:38573924:-1 gene:gene37469 transcript:rna37469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MLATITTHHLLVSLFCSFKTMLKQLFVLDLVLIFCVLALASAQSANNVTATYQSFNLTQTKQGIGNKWNLNTSGVFCAAQDGNKPLSWRSKYGWAAFCGPVGPVGKSACGKCLNVTNQDGGNSAVQQKSITPTTQTVRIVDECSNGGLDLDMDVFDLLDTSGDGNAQGYLLVDYEFVDCGDLLASTLPKNFSNSSTTVSPTPAPTPSPTFLSPNPLSNLTQEIHRATTSSHSNWQMKRKVIIGVVSPVMVVLIMCIIIYCLRSKSSIRQGRFRFRTKNDNNIEAFLKDHGALLQKRYKFSEIKKMTNSFKVKLGQGGFGVVYKGKLFNGCHVAIKILNPSKGNGEEFINEVSSISRTSHVNVVTLLGFCFEGTKKALIYEFMSNGSLDKFIYNKGPETIASLSWENLYQIAKGIARGLEYLHRGCTTRILHFDIKPHNILLDENLCPKISDFGLAKLCPKQESIISMSDQRGTMGYVAPEVWNRHFGGVSHKSDVYSYGMMLLEMVGGRKNIIADASHTSEIYFPHWVYNRLELGTNLRPDGVMDTEEDEIARRMTIVGLWCIQTFPSDRPTMSKVIEMLEVTMNSLEMPPKPLHSSPTRSVSESS >RHN38996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3403756:3404162:-1 gene:gene44980 transcript:rna44980 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSRDKRLATGGKRKTCIKKRKCIFGPFAFLQFCYFVVEFAVLNEYGIRVGVQVDGSSAN >RHN66225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9883877:9884416:-1 gene:gene14168 transcript:rna14168 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKFGDICLFKGRLYVVDKNGQTVMVGPDNSNVHLVIEPLVGGGDKKFLVEREGELLLVDIYECFCFEFPGPDAIRVDVFKLDEMEKKWVKLTTLGDSVLFLGNECSFSASASDLCVPRGNCVVFTYGGDFLYFRKMWCGNCVFHMDQGRLSPLSDCPEYFTLFWPPPEWIVKSCLCN >RHN44213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2460346:2460675:-1 gene:gene38303 transcript:rna38303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative quinoprotein alcohol dehydrogenase-like superfamily MNTTSGGWVGMDAMNGEIIWTTANPSNNSANGPVSVANEVVFAGSMDRLGHIYALNAKNGKIVWSYETGANVYGGMSISDGCIYVGHGYNVSLGYPRRIGGTSLFAFCV >RHN71315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58181868:58184944:-1 gene:gene19982 transcript:rna19982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellular retinaldehyde binding/alpha-tocopherol transport, CRAL/TRIO MSGPLDRFARPCFEGFSGSDEKRERRSDFENSEDERRTRIGSLKKKALSASTKFKHSLRKKSSRRKSDGRVSSVSIEDVRDVEELQAVDAFRQSLIMDELLPQAFDDYHMMLRFLKARKFDIEKAKHMWADMLQWRREFGADTIMQDFEFKELNEVVKYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVQEFEKSFAIKFPACTIAAKRHIDSSTTILDVQGVGLKNFTKSARELIQRLQKVDGDNYPETLCQMFIINAGPGFRLLWSTVKSFLDPKTTSKIHVLGNKYQSKLLEVIDASELPEFLGGTCSCADEGGCLRSDKGPWKNPEILKMVLNGEPRRARQVVKVLNSEGKVIAYAKPRYPMVKGSDTSTAESGSEAEDIASPKAMKSYSHLRLTPVREEVSLDLFYKLLFTSKYIINN >RHN59756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12833460:12840355:-1 gene:gene21868 transcript:rna21868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inorganic diphosphatase MKCKLFVDVGTIASTIMYSFYLNVTSKSDQLCIVPIININRSNLGSHVELKWLLDSCQIDQSSLIFADEACKHNCNIFILVSKIYYLYFHWTIIIRFTYVLIKFKQIDLSYYDLFGSLKILLLKSSKIANKQEKLKQAVVEIFHCRKGETIYPWVKNVTTGEESSCCTAIAEKFVTYSPEILTSKSFSKLLLAGILLDTANLRDPHCTSKDKYVTSLLINGAGRYGCNGLYQLCTKCTTYLHCKLGIYCERTLKSGKDKVFIFTYLCEFNKSYHSLTYMWYILYSLWMVLRLCTFI >RHN65489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2634876:2640559:1 gene:gene13332 transcript:rna13332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MEDFGESLLKKQYYHENCPGCKVDQAKALKKDVTFRNAFNIWIVVLCSSLPIASLFPYLYFMVKDFNIAKTEEDISSYAGYVGSAYMLGRASTSILWGMIADRYGRKPVVIIGIISVIIFNTLFGLCTSFWMAVTMRFVLGGLNGLLGPMKAYSSEIFREEYQALGQSTVAAAWGVGLVFGPALGGYLAQPVQKYPNIFPKDSFWDKFPYFLPCFIVSAMAFVVAISCIWLPETLHNHKVSTNKIEALENGTNEDEKNKTIQKDESLLKNWPLMSSIIVYCVFAIHDVAFAEIFSLWAESPRRLGGLNFGTNDVGNILAVSGVGIIMFQLGLYQSVQKICGPIVLARIAGVLSIPILQSFPFMTMLSGFTLYISIYSASILKNLLIEIISTGLFILQNKAVDQHQRGVANGLCITAMSACKVIGPAGGGAILTWSQKRMDASFLPGPHLVFFVLNVIEGLALLLTFKPFLIERKPPSEQLR >RHN81544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46875793:46878212:-1 gene:gene5607 transcript:rna5607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-fructofuranosidase MNGLVGMRKIKSQCSISGMNGPVGIRKIRSQCLLSEMDAFDLSGLLDNPRLNIERQRSVDDSLLSELSIGARSFSSAQNSFEPQPMLADAWESLRKSLVYFNGKPVGTLAAVDHQSEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLRLQGWEKRVDQFKLGEGVMPASFKVLHDAVRKTDTLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLSESDDCQKGMKLILTLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALSMLKQDTADDKEYVELVVKRLHALSFHMRSYFWLDFQQLNNIYRYKTEEYSHTAVNKFNVNPDSIPDWLFDFMPKCGGYFIGNVSPARMDFRWFALGNCVAILSSLATPEQSTAIMDLIEARWDELVGEMPLKISYPAYEGKDWEINTGFDNKNVTWSYHNGGSWPVLMWLVTAACIKTGRPQIARRAIELAESRLLEDGWPEYYDGKLGRYVGRKARKYQTWSIAGYLVSKMMLEDPSHLGMISLEEDKQMKPVHKRSSSWTC >RHN67125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24109009:24113950:-1 gene:gene15272 transcript:rna15272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNKILVWFHRFVVFLLLTRVSSLPHIDDGHVDSDAARILDQEQSGDHQIHCSRERSRIAWKIVQEYLTPFVEKEKYNISRRCRLHPDNDIYRDQEQHKSHIDINEWQCGYCKKTFYEEKHLDQHFDNRHSNLLNLKESRCLADVCGALHCDHEINSGSKKSKCNPAAAARNKHICETIADSCFPVNEGPAASRLHEFFLHQFCDAHSCTGRGKPFSRGRRKKTNVFYIVASIFLVMLLVLFYLYMYLYQRGLKKESQMLRRVSQAGRKKKPS >RHN79456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29074028:29074423:1 gene:gene3249 transcript:rna3249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MSLLTKKLLFLCVLTLLLLHNVIGFGTHHVILTNDLDNNLDLTIHCKSKDDDLGVHLLHHGDTYGFKFRDRIIGNTQFYCSFQWTGEFHHFDVYIQSEDSKICGNTCNWSVKNTVICRTTSYEGYICFQWH >RHN75689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44324974:44330891:1 gene:gene11927 transcript:rna11927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 43kDa postsynaptic protein MVVIEDRNEIPSTAASTNDVSDGFETASDTDLGSDVDANDGGGAIKHEEEDHRDQQSHQQTEQENEKEQEQRDSQRIISSDDASIDDEELKQKALVQANEAKVEGNKLFVDAKYEEALTQYELALEVAPDMPSSVEIRSICHANRGVCFLKMGKYENTVKECTKALELNPMYVKALVRRGEAHEKLEHFEEAIADMKKILEIDPSNDQAGKAIRRLEPLAAVKREKMKEEMIAKLKDMGNSLLGRFGMSVDNFKAVQDPNTGSYSVSFQQ >RHN76777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1232636:1233350:-1 gene:gene153 transcript:rna153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEFGTKFRIVSIGTGCVRPCSIAFGAKQLAMKGNSDDGNGRILDSYFNWYYTSITVSTITALSMITYIQENLGWKIGLGVPAVLMLISAISFVIGSPLYVKVKPSESLLTNFARVVVVATKNRKLSLPDHDSDRYCQGHDSKLMVPTDSLRFLNKACVIRNPETDLNRDAISNPWNLCTIEQVESLKSLLRVIPMWSTGIF >RHN58653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3674728:3678171:-1 gene:gene20582 transcript:rna20582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MESIGVLLVAQVIPYLEQELNKRYNLYRIWDFPQKSQFLIQHGASIRAVVGRSTAGADSELIDALPKLEIISSSSVGVDQIDVKKCKERGIRVTITPDVLTDEVADLAIGLILSLLRRISECDRFVRNGNWKHQLTTKFSGKTVGIVGLGRIGTAIAKRAEGFNCSICYYSRTQKQESKYKYYPNVVELASNCDILVVACSLTEETHHIINREVINALGPKGFLINIGRGKHVDEPELVSALLEGRLGGAGLDVFENEPHVPEELLSLENVVLLPHCGSGTIETRTAMADLVLGNLEAHFLGKPLLTPLV >RHN63254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49699387:49702929:-1 gene:gene25933 transcript:rna25933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ybaK/aminoacyl-tRNA synthetase-associated domain-containing protein MEEALGELERVQTQLLQRISKLEQDSHFPPNPPLINDPHTDTDTETETETETVSRLSSILHTNNVNDFSFKRVASDYYDWPLESRRDALNAASIHHLCKSIVLVNTQAPANVVDCSDRNNSKYYVVVVQYTARFNAEAVRNFLYSLNNGTIAKKKFNLRLAPEEISMELTGYGHNAVTCIGMKTDIPVILDEAIVKLTPDFFWLGGGEVDLKLGIRTSELLRFINPFIVSCSSS >RHN60108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20354851:20357414:-1 gene:gene22330 transcript:rna22330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MMFQENGNGEMGLSGIPLGTKNKYKRMNSHLVDDNDDVLHQQQLEDKRNSTRKYVIACAIFASLNNVLLGYDVGVMSGAVIFIKEDLKITEVQVEFLIGILSIVSLLGSLGGGRTSDIIGRKWTMALAAVVFQMGGITMTLAPSYQVLMIGRLLAGIGIGFGVMISPIYIAEISPNLTRGSLTTFPEIFINVGIMLGYVSNYAFSGLSVHISWRVMLAVGILPSVFIGFALFIIPESPRWLVMQNRIEEARSVLLKTNEDEKEVEERLAEIQQAAGFANSGKYEDKPVWRELLSPPPALRRMLITGLGIQCFQQISGIDATVYYSPEILMAAGIEDKSKLLAATVAVGITKTVFILVAIVLIDKVGRKPLLITSTIGMTACLFCMGVTLSLFEKGPLVIALGILFVCGNVAFFSVGLGPVCWVLTSEIFPLRVRAQASALGAVANRVCSGLVAMSFLSVSDAISFGGTFFLFSAISALAIVFVFTLVPETKGKSLEQIEMMFENEHGSQGKEMELGDVEQLVQNKTGLTN >RHN59656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12595610:12595741:-1 gene:gene21727 transcript:rna21727 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbN METATLIAISISGLIVSFTGYALYTAFGQPSQQLRDPFEEHGD >RHN62392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43097717:43098496:1 gene:gene24961 transcript:rna24961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MVLKAIEVTKEVNLWAKKETNGLIKKVVAQESVNNLTRLIFANALYFKGAWIQPFSRCMTKKYDFHLLNGSSVKVPFMTSSLDQFIRAFDGFKVLRLPYKQGKDERKFSMYIFLPNAKDGLSALVEKVASEFELLEHKLPYKTARVGGFKIPRFKFSSKLESSHMLKDLGVILPFSSGGLTKMVDSLEGQNLSVSNIFQKCFIEVNEKGTKAVAASTTLFTLGRSTGLDFIADHPFLFLIREDLTQTILFAGQVFNPLC >RHN63572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52306155:52310150:1 gene:gene26290 transcript:rna26290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-Pl family MASSNLTNLTIPPISSSSRPFNDSNPTSPQVIHSRSHSFVGPSPRFPPPPSDNNNSLFFLDELTSNSDEEEENEEIQQPLQHKFGPADFQILRVVGQGAFGKVFMVRKKGGDSNSNSSNGIFAMKVMRKDNIIKKNHVDYMKAERDILTKVLHPFIVPLRYSFQTKSKLYLILDFINGGHLFFHLCRQGIFSEDEARIYTAEIVSAVSHLHSKGIVHRDLKPENILMDSHGHVMLTDFGMSKEIEESQRSNSMCGTTEYMAPEILLGKGHNKDADWWSVGALLYEMITGKAPFTHTNRKKLQEKIIKEKVKFPPYLTSDAHSLLKGLLQKDPSTRLGSGPNGDEQIKNHKWFKSINWTKLEAREVQPKFKPADVAGKECTANFDRCWTTMPLEDSPASTPTAGDHFQGYTYVAPNPWLSSR >RHN61181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33706304:33709641:-1 gene:gene23619 transcript:rna23619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MPSMASPLLLPLYSTCGKIPSLTASQSISVEKLRRFALKVKSTETRECTANDIFNTNSRRDFLGLALGVSTLFIHSFDAANGAGLPPEEKPKLCDGTCEKELENVPMVTTESGLQYKDIKVGQGPSPPVGFQVAANYVAMVPSGQIFDSSLEKGQLYIFRVGSGQVIKGLDEGLLSMKVGGKRRLYIPGSLAFPKGLNSAPGRPRVAPNSPVVFDVSLEYVPGLDVDEE >RHN43310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44838015:44838434:-1 gene:gene49861 transcript:rna49861 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGQLGSLGDFILLPRIGLGLGRNAINLCCTPPLCSVGSRLHSILLKILLYINHITRQLNTPKQLL >RHN79856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32870005:32872386:-1 gene:gene3703 transcript:rna3703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MVRSCWKPAVDGDDGDGSGSGSGERVDGLLWYKDLGNHIYGEFSMAVIQANSSLEDRSEFESGPLSSNHLGPQGTFIGVYDGHGGAEASQFVSDNLFCNLKRLAAENQGLSENVIKRAFSATEESFLSLVNKQWLRQPHIASAGTCCLVGIICNGLVYIANSGDSRVVLGRLERATRETSAIQLSAEHNVNLERVRDELRLRHPYDSQIVVMRHNVWRVKGLIQVSRSIGDAYLKKAEFNREPLPSKFRLAETFFKPILSCEPSISTHKLHPDDQFLIFASDGLWEQLSNQEAVNIVSNNPPNVRLHFIFMILCYCYHSRFHIIANLDPQILIKQSTLVKAALREAARKREMRLADLQKIEQGTRRHFHDDITVIVVFLNHKLIDNSSLWGSSLSIKGGGSANH >RHN71267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57726201:57732306:-1 gene:gene19925 transcript:rna19925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling ARID family MEGHEMDLVHSQNQNAADQEMLDATADDTKVNSTLTPQLEHQQPKPKVKNVTEVNNNNNNNAGEMAAYDEAGTPMEREAFMKELEHFYRSRSLEFKPPKFYQVPLNCLKLWRAVIRLGGYEVVTASKLWRSVGESFHPPKTCTTVSWTFRIFYEKALLEYEKHKREIGELQLPVGSLHQPSSVEKETAVYQAPGSGRARRDAAARAMQGWHAQRLMGYGEVPESAVKDKNFSPTPKREKNLKNIGVINKQRTPSGMDDRAANIEGDRQLVTAVVDIGPPADWVKINVRETKDCFEVYALVPGLLREEVRVQSDPVGRLVITGMPENADNPWGITPFKKVVNLPARIDPLQTSAVVSLHGRLFVRVPFEQGAV >RHN40393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15919344:15922879:-1 gene:gene46541 transcript:rna46541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MEGRKLNLRSIFFAFILYISFKQLITKAQSPTYMRDDCNYSKPKVLSNAYKTNLKSILSYLSADAAKSKGYNYNSIGENTTKGDAVYGLYDCRGDVSFCELCVASATRKVLERCPNRVAATIFYSDCILRYSNENFFGKVTTYPNWTRVGSKTVSNAIEIQKSEDLMKFLIKKATTERNQLYYMSSFNLSSTEGRYGLVQCSRDLTNERCRQCLEIMLATVHKCCEHKLGWLVGSASCLIRYDDYKFYQNLEQFPSELVPNPQTVTAKKKGSSKSKNLIIGFSVLGSVILLSSTIYCFWCKNRVRKDWLAPAYEETLNADLPTIPLITIQHCTNNFSETSKLGEGGFGSVYKGILPDGRQIAVKRLSRTSGQGSEEFKNEVMFIAKLQHRNLVRLLACCLEGNEKLLVYEFMPNASLDFHLFDNEKRKELNWKLSLSIINGIAKGLLYLHEDSRLRVIHRDLKASNVLLDHEMNPKISDFGLARAFDIGQNQANTRRIMGTYGYMAPEYAMEGVFSVKTDVFSFGVLVLEIISGKKNTGFYLSEHGQSLLLYTWKKWCEGTCLEIMDSVLGKSCIDDNEVVRCINIGLLCVQEDAADRPTMSTVVVMLASDTMTLPKPKQPAFSIGRMTSTDSSSSKSFKDPSINDVTVSNILPR >RHN80876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41485407:41489116:-1 gene:gene4852 transcript:rna4852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Agenet-like domain-containing protein MAPKSQTRSSSAASEFFKPGTLVEISSDDDGFRGSWFTGKIVRRLANNKFMVEYDNLMEDEAGTKHLKESLKLHQLRPVLPTEANRVFKFGDEVDAYHNDGWWEGHITEELEDGRFAVYFRVSKEQIVFNKEELRLHREWMHEKWVPPFQQQDDSDIKKKVTVKVDETVTEENVDFEVKPGTLVEVCSDEEGFKGAWFSATLVEVKGEGKLVVEYDSLLDEDSSLLREDVDILQMRPRPPKTAEVAQFEVLDEVDAYYNDGWWVGVVSKVLGDSKYIVFFRNSNEEIEFQDSQLRLHQDWMNGKWVMVSKFCVGVEVLNAESSKRLKKNQSPWNHENKILFVSSVKLSIVCLKEITRPLSRICLKEITRPLSFFYSHLMDQSNSSTYLSYSLQQSGYSISREVLVD >RHN48451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47569023:47572905:1 gene:gene43168 transcript:rna43168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target SNARE coiled-coil domain-containing protein MSVIEILTRVDTICKKYDRYDVVKHNDANVSADDAFAKLYAIFDANIEAALQKAETASKEKNRASTVAINAEIRRTKARLLEEIPKLQRLAVKKVKGLSLQEFSTRNDLVLSLPERIQAIPDGTPAAPKQTGGWGASATRPEIKFDSDGRLDDGYFEPTKESSQFRQEYEMRKMKQDEGLDVIAEGLDTLKNMAHDMNEEFDRQVPLMDEIDTKVDKASSDLKNTNVRLKDTVTQLRSSRNFCIDIVLLIIILGIAAYLYNVLK >RHN47363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39173060:39175866:1 gene:gene41955 transcript:rna41955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tumor suppressing sub-chromosomal transferable candidate 4 MEDSFRVRAERAFGSLPIPSSASLWSLSEEEIKPEPKPKPKPDSEPKPYPTSSSSSSSGFKVELKNELDDEEEDENAAGPSKPSDYNDEQWEIRSSIGLDCTLDFEDEEDHYDKQALGKEGRGDRLYMSEINEDGIGISSRAFGDFSRDPRANHTAARIKLKQDAEAANQIDTLHVSEKPTPDIAGGGGDAVNPKSILKSKDNPSESRPNKRVRFDSECDDRDGNDDEDEKEGTRDVRMKTSSMEEDAALNQPSKSQEFASAVPDYIRNPSKYTHYTFDSSTDMDDKANKEAYMSFLAQIKGSNASQADEALDDLPSVTFIPKKKSGDVTMGENKLEVGKEGMNKKAFPVSIAADDDTENSDVCAMEEDEHEVIEDTKKSSQKSNRKYRKKTDDDLEEPTV >RHN73258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14884037:14886081:-1 gene:gene9055 transcript:rna9055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative restriction endonuclease type II, YqaJ viral recombinase, ING family MATMIGLQKRFISANVRRSTLHCDKIGSSLSVACVQHFKHESSYYKGALVACLSNKRIFSSNASSHAPDNPSKRFGNGGGMLKFTSEETHSVLEAPCLQHWFKNWQTLRKQKLTASTFAAAIGFWHKRRSQLWLEKIGAIEPFSGNLATCWSNIKEEEALERYKLITGNTVLFSEFQVYDSKPEDSWLAASPDGIIDRMVYDLPSRGVLEVKCPYFDGDMSKALPWYRIPVHYIPQAQGLMEILGRDWMDFYVWTVNGSSLFRIYRDPEYWDVMKIALSDFWWKHVQPARESYSSSVIKDPLFQLRSLTPAPKHELCRDIVYRSKHIVDNSNLLIREIHGKMTN >RHN44224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2541966:2546307:1 gene:gene38316 transcript:rna38316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MEYEKEEQLQEPVSPIGQYFNSSSLCLYIIAVLEFEVSIDDLQAFTLLRDVFLPISPRFSSIMVQDKNEDKRWKQVDVNLKDHVKKPIFSKGKKVEFYDKSFQDYLSTIAIEPLPQGKPLWEVHIVNYPTKNSLGTIIFKLHHALGDGYSLMGALLSCLQRADDPSLPLSFPSLKPSKLEPYNKSFWRKFSWTMSSVFNTASDFGWSVLKSSIIVDDKTPIRSGDEGADFQPISISNIAFSIDHIKDIKSKLGVTMNDVVTGIVFYGTRLYMQEMDSKSKTSNSTALVLLNTRNVEGYQSIDDMLNTKKSKGPWGNKLSFLHVPIPKLNENRISNPLEFIYDTHNIINRKKQSLAVALTGTLLDIEGKLRGQEAVAQHIRRTITMSSTVVTNLVGPVQQMSLANHPVKGLYFTLAGGPESLVISIMSYMGVLRVTFKTEKGFIDEQRLESCMQSAFEMIYQSAMKIPHETN >RHN55960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26072663:26074566:-1 gene:gene31259 transcript:rna31259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MNMEIHDMDIDIDMIMDVVPDFLEFSNQSNTTTPPTSDDDTTWSPLLDLDFFTTNQDDFHNFIDSLTNDEITPVAPNLDNKVVEQDDEEEEEDGSMGDMSNSNSTTEEEEDDRKGLRLVHLLMAAAEALTGTNKSHHLAQVILIRLKDLVSSTHGTNMERLAAYFTDALQTLLNGTDCGGHHKLCLLTGPHQTDILSAFQLLQDMSPYVKFAHFTANQAILEAVTHERRVHIVDFDIMEGAQWASLIQSLSSRKEGLPGPHLRITALSRNKERGNGRSRSSFATVQETGRRLTTFAASVGQPFTFHQCRLESDERFRTSSLKLVRGEALVFNCVMHLPHLSYRASDSIASFLNGAKELGTKLVTLVEEEVGPITDAGFVGLFMDSLHRYSAMYDSFEAGFPMNKWARSLVEQVFLGPRIMGSVAQLYMTGEEEEQERGSWGEWLGVEGFRGVNISYGNHCQAKLLLGLFNDGYRVEELGNNKLVLGWKSRRLLSASVWTCMSEIEYDF >RHN80362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37456599:37458460:1 gene:gene4277 transcript:rna4277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRIB domain-containing protein MNQGGGTSVKMSSTAVKGQVKGLLKGLRYISQMFDEDEEQEIEIGFPTDVKHLAHIGCEDEKTNKPSWMTEFKETQQPSTGAKTSEGQDNGNNSDTNRVKGDTNSVKGEGKRNSIRRSRPRSSENQSSTNSSPPREEGASTKTTRRHRQSRQSNEDEENSKPPTTKQSRRKAKSSSEEKDKETSSTRKTSRTRKSSKADSLTDIPFTDPGPSAQ >RHN47943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43731054:43735106:-1 gene:gene42601 transcript:rna42601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MDSDERRYFEDEDSSLTKTFKGATTGLVAGTILGTVVATWYDVPRVERSVALPGLIRTLKMMGGYGATFAAIGGVYIGVEQVLQNYRMKRDLVNGAVGGFVAGATILGYRGRSISTAISAGSALAFTSAVIDFAATPFKHDEGKEYAALTTKKRPSNNA >RHN78857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19239332:19240527:-1 gene:gene2518 transcript:rna2518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclease S(1) MVWNTLNMITLLVPLLLSPALPNVLAWGKDGHYAICKISQEYLSEDALFAVKQLLPDSAEGDLASVCSWPDEIRHNYHYCWSSPLHYIDTPDFKCNYQYCRDCHDSYGHKHRCVTGAIYNYTMQLKLANADASSELKYNLTEALMFLSHFVGDVHQVCSSWFLFKTY >RHN45549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22851740:22852381:-1 gene:gene39914 transcript:rna39914 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKLSHKLLQIIKIWSQKLLQQIKKWSEKNSEENIPLITYRSIQDLRAVGIRLKSSKTQRPTEIDFSAGWFAAKLTLPRIRVDNTTAATFLNQIAYEICPDFDNDYEICSFSAFLASLIDRPEDVKELRSKGILLNLLGSDEEVVNLFNIISTNVVHYGKTFYEVRRKIHEHYSNKYKTWIAQGFHTYFSNPWAITAFLAAFIALALTFIQT >RHN77240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5329108:5330948:-1 gene:gene676 transcript:rna676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEPIGTFPEGEWDFFRKMFASEDHEYYSQQFLDQNSLLLGENDGLNNGTQSTFCTAEIGENERMFYSFDHAHIQNSNYIPQTQENSYNSNSSASDDTNYYFSYPNHVLENNINNCISNDFRMDENLFASSVPSLNEIVMEENVRMNEDSASDDHIVEKNGYNTQIMEPFDLHTKHEMQMKLKRKLDVIEVEVPVEEKINNNPKKKPRVSNDGQGCMKNARSKKNHKVIASHEEEMTEEINRGSNGNSSSSNISEDDNASQENSGGTTLNSNGKTRASRGSATDPQSLYARKRRERINERLRVLQNLVPNGTKVDISTMLEEAVNYVKFLQTQIKLLSSDDMWMYAPLAYNGLDLGLNLNLNSSLPL >RHN67263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25291792:25292711:-1 gene:gene15435 transcript:rna15435 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKAVAWGRRKGKRAVDSLFGSASDEARVCLGWMRMKHAVTACLDLLQRKKRVPLVICYESGPFWTFLRLWTISGLKKKRKIIIK >RHN72406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7381701:7382536:-1 gene:gene8113 transcript:rna8113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAVVTRSQRRMMEEATAESPSSVLPEDLMIEILSRVESNNPLELRCVCKLWNSLILDSQFMMNHLDRLYTEMTVLYAKAMEHLMAFKSQHIVNNPVIPQEQEHDDDDDDVEDDEETDEEDDNEEAAVEQEEQKEEKQVTMNELVQMDNVDEVDKEKQLAKDVVAQLDYQLEMLRFVREDVETKKINIDMQPNIDLEDRMTYLRSFIRIYLKSTTT >RHN67652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28968322:28968868:1 gene:gene15860 transcript:rna15860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MLRKKIISLSMVVMVLGMLVATLDARQIDDVSCPSALFSLLPCLPFLQGVGPATPTSYCCAGITDLNQKANTTQIRRDVCNCLKPAASRFGVNPDRSKQLPTLCNITLNVPFDPSVDCNTV >RHN80359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37441589:37443457:-1 gene:gene4274 transcript:rna4274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-alcohol oxidase MYDENGENQAWEAIGYHVDNDESTSNIQKERPLEKGIVEAMNEDDLSLAQSLSNKGLEIDIDANSNILKVKCDVVIVGSGCGGGVAAAVLASSGLKIFLLEGSSLNELYEQGGTFATSDGKIVLLAGSTIGGGSAVNWSASIKTPDYVLTDWSENHNLPLFSSQEYLYAMDTVCERISVTDTCVVEGLQNQVLRKGCNELGLQVDYVPRNSSEHHYCGSCNYGCTKGDKQNKGPMLHGLSMLWNTAKATISSCGALSTPPLMISSGLKNKNIGRHLHLHPVLMTWGHFPDTMSDLKGKSYEGGIITSVHKVLSDDDSKVRAIIETPSLGPGAFSTMIPWESGFDFKERMLRYSRTVHFITIIQDKGCGEVKSEGRINYELDEEFDKENMKHGLQRALRILIAAGAVEVGTHRSDGQRIVCSGTNEMELNKFVESVYAAEGPMSHQETWNMYASAHQMGSCRIGITDKEGTVDENGQNWEAEGLFVCDASLFPTAIGINPMITIQSIAYCVAKRIALILRMK >RHN59949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15238613:15242465:-1 gene:gene22121 transcript:rna22121 gene_biotype:protein_coding transcript_biotype:protein_coding MANVLVLVLALLQVSYLAVLAIEVSTHHHSHPHHPPTPTPAPAHPPSSYDIHSLSLDNHHNKHPSHHPPTPRKAPIQPPSPSPIPSKSLDHPHIERRPHPSHPPTPTPTPAHPSSSYGIHSLSLDHHHNKHPPHHPSTPKKGPIRPPSQSSIPYNNLDHPHIERRPRPRHPPTRTPAPAYPPSSYDIHSLNNHHNKHPPHHPPTPREAPIQPPSPSSIPSKSLDHPHIERIPHPSHSPTPTPTPAHPSSS >RHN48080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44819646:44822466:-1 gene:gene42754 transcript:rna42754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chalcone isomerase MVSLRFPFTFSNPKPPQSRRPFSKFKFAAAAAATAGATAAFIANDRHRLFLQNALNSFFTSTFSNNSSPLWGSLSLADSGVPIVDSRTGSSFPSVLEASQKLCGIGLRTKRILGLKNIDVYAFGVYADDDDVKRCLSEKYGKFSPSELKGNKEFNEDLLENDIHLTVRLQIVYSRLSIRSVRSAFEDSVGSRLQKYGGSDNKQLLQRFTSQFRDEIKIPRGSVIHLTREKGHVLRTTIDGQEVGSIQSKLLCRSILDLYIGEEPFDKQAKEEIELNMASYIQS >RHN44243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2801104:2801937:1 gene:gene38339 transcript:rna38339 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSRPLGGSCLGSVWAQLFEVLCSASGLGSRLFRSPSASWWFLCSVMLCFVKGLRSRLSRSPSVFWCLAAGGLLLLDYDLVTWLLLLERARCADTSC >RHN74956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38144899:38145237:-1 gene:gene11097 transcript:rna11097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MRFQYLVIFLLVLLASAARNQAKPGGYSPIKNLNDPHVIEIANFAVTEYGKQQRFYSKLDKIIKGESQAVDGTNYRFILYVIIGSESYPYKAFVHENASKSFKKLISFVSID >RHN38386.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000030.1:11086:16634:-1 gene:gene50795 transcript:rna50795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannosyl-oligosaccharide 1,2-alpha-mannosidase MFLSQPPSMTGVDSFGGLGATLVDSLDTLFIMGLDTQFKRAREWIEKSLYFNKDLEVSVFETTIRVLGGLLSAYDLSGDEVFLEKARDLADRLLPAWNTPSGIPYNRINLAYGNTDNPKWTRGNSILADSGSEQLEFIALSQRTKDPKYQEKAEKVIKELRKRFPEDGLLPIYINPLTGDKSAGAITFGAMGDSFYEYLLKAWIQGNKTETVKFYREMWETSMKGLQSLIKKSTPSSFVYISEKLGNSLIDKMDELACFVPGMLALGSSGYGPGEDDKCMALAEELAWTCYNFYQSTPTKLAGENYYFRKDEDMNVGTSWNIQRPETIESLFYLWRFTRNKTYQEWGWNIFQAFEKNSRTETGYVGLRDVNTGDKDDMMQSFFLAETLKYLYLLFSPPSVISLDEWVFNTEAHPLRIVTRNSHEEGQSIDPEEKIPHHLHGRKEGRIDYK >RHN44814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8743747:8745154:1 gene:gene38979 transcript:rna38979 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEHSLKRKPPGEPYTCSGCKELGFGSSYHCENKNCSYVLHGECAYPDPHARHPFFRKSCFDFLRKPPGYKRRYCNACGGDVLGFVYHCSKTGYDLHPCCLKLQDSISDGDVKLQLYRKSRSKCVKCKHKHVVGNIQGWSYYDGNSSYHVSCFKALILDNWRRGCFSQGHRSTTNLATTSGGATTQLTIVGRRSGRIRSMVAKMAVVAFKLIFSAIFGNPISFFTTILEVIASN >RHN78262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13382087:13385187:-1 gene:gene1796 transcript:rna1796 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AMT2-5 MSGVPFPSNLLPSPSSPEWLSKADNAWQLMAATLVGMQSVPGLIILYGGAVKKKWAVNSAFMSLYAFACVFFCWVFWAYRMSFGDTLFPFWGKPALALDEKYLFKQAFLGAFPNATMIYFQCVFAAITLILIAGAVLGRMNFYAWMMFVPLWLTFSYTFTAFSIWSTNGFLAKMGIIDYSGGYVIHLSSGVAGFTAAYWVGPRLNKDRERFPPNNLLLMLAGAGLLWMGWTGFNGGDPYSVGLDASLAVLNTHACTATSLLTWVFLDVIFFRKPSVIGAVQGMITGLVCITPAAGVVQGWAALIMGLFSGSIPWFTMMVIHKRSKLLQKVDDTMAVLHTHAIAGSLGGILTGLFAEPKLNSLFYGVYNKYVGLFYGIQMNMASTGRRQIGIQLLGILFVIFVNVVSTSLICLFIRLFVPLRMSEEDMEIGDEAAHGEEAYAIWGQGDKHENSNSKYGSSLYEDVEVAATTKNKRGSQIEMM >RHN38447.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000012.1:10308:10508:1 gene:gene50713 transcript:rna50713 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRGRDFLFCHLCGTMLTVPSTDYACCPLCKTKCNIKGTTFYFSLQINLLVFLFCSDYYMYCSRM >RHN78287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13642519:13644045:1 gene:gene1822 transcript:rna1822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MEILTSIVGKITEYTVEPIVRQANHLIFYKGNFKKLKDSVEHLEDTRERIIHKVESETRNGKVIEKDVTDWLVKVDKVIEKAKWLQNDPRHPNVRCSAWSFPNLILRHQLSRKATKIKNDVVQVQSQESFDQIGYLPPLDVVASFPTRDDEKYDTRELLKEDIVQALADLNSHNIGVYGLGRVGKTTLVEKVAQIAKENKLFDKVVKAEVSKKLDIRRIQGEIADFLGLRFEEESNRGRAERLRQRIKMEKSILIILDNIWTILDLKEVGIPAGDEHNGCKLLMTSRNQDVLLQMDVPKDFTFKVELMSENETWSLFQFMAGDVVKDRTLKDVAYQVAQKCEGLPLRVVTVARAMINKRDVESWKDALRKLQSNDHTEMEPGTYSALELSYNSLESDEMRDLFLLFALMLGNDIEYFLKVAMGLDILKHVKAMDDARNRLYSIIKSLEARCLLLEVKTDRNIQMHDFVCDFAISITRRDKHVLLREQSDEEWPTKDFFKRCTQIFLNE >RHN76432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50211914:50212224:1 gene:gene12756 transcript:rna12756 gene_biotype:protein_coding transcript_biotype:protein_coding MASASTSDSASTCFRCFQVAYVCSLMLLMFCCNFKIFEHTRSRFPLFI >RHN51520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19293257:19295042:1 gene:gene35973 transcript:rna35973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MKKVVLKVDFYNDRTKQKVMKTVSSLSGVESVSIDTNEKKLTLTGDVDPIKVVSKLRKLCQTEVVSIGALNEEKKETTDANVPIPLPSFESYPVYYQMTPQPYIRDYYYV >RHN69559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44404433:44406748:-1 gene:gene18042 transcript:rna18042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MQLTGLFSFDIWDSRLVTQSIQLMGMLSFWISFTKFRTLISRVFGFIHKIMKGNCNAWILVSFNVLVTTGSLISFMLVLSWVYSYAFPTPNSVIKSYDEINPNTNSNSSHLHAQSYVEALKSNVSRDACNVFDGSWIQEGSYSYSYPLYDASQCPFAEKGFNCFANGRKDRGYTKWRWKPKNCDIPRFDARRILENLRGKRVVFVGDSLSRTQWESLICMLMTGVENKKSVYEIKGNKITKQIRFLGVRFSSFDVRIDFYRSVFLVKPGSVPRFAPKRVKTTLKLDKIDDISHEWVDSDVLIFNSGHWWTRTKLFDMGWYFQVGSSLKLGMPINSAFKTALLTWASWVDNTVNTNRTRVFFRTFESSHWSGHNHNACKVTKRPWKRTIGKDKSPISDMIKRVVKDMSAPVTVLHVTPMDAYRSDGHVGTWSDKPSVPDCSHWCLPGVPDMWNEILFSYLLQKDEAS >RHN62700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45236391:45245402:1 gene:gene25300 transcript:rna25300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative taurine-transporting ATPase MASLCLPSSGCCEIKTSSEYVLQRTVQDIEANTTTLEMMMKREKEDEVDGTCLTWKDIWVNTISNGKNGSKSILQGLTGYAKPGQLLAIMGPSGCGKSTLLDTLAGRLGSNTRQTGEILINGHKQELSYGTSAYVTQDDTLLTTLTVREAVFYSAQLQLPNTMSKEEKKERADITIKEMGLQDAMNTRIGGWGVKGISGGQKRRVSICIEILTRPRLLFLDEPTSGLDSAASYYVMKRIATLDHKDGIRRTIITSIHQPSTEVFQLFHNLCLLSSGRTVYFGPASTACEFFALNGFPCPPLQNPSDHLLKTINKDFDQDIETGLAGTGTIPTEKAICILVSSYKSSEMRQDVQNEVAVLSNKHTSSMDHRKKGHVGFLNQCSVLIERSSINMFRDPGYYWLRLVIYVALAISLGTIYYDLGTNNDSIKNRGSLLSFIAGFLTFMSIGGFPSFVEDMKVFQRERQNGHYGVIAYVIGNTFSSIPFILLVTIIPAAITYYLPGLQKGFEHFLYFASVLFSSLMLVESLMMIVASIVPNYLMGIITGAGIQGIMILVGGFFKLPHEIPKPFWRYPLHYVAFHTYGFEGLFKNEYEGLIFSTKNGQGTNGYISGEEVLRDIWQSNMSYSKWVDLAILLGMIVLYRVLFLVIIKITEKVKPIIGPLLSCMSPNTTIHVVEKPDATPLDGQVV >RHN67373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26525855:26530211:-1 gene:gene15555 transcript:rna15555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L19 MASRRLFSSLLRSSLTRSLSEFQSPTYLRQISFSTVTMSPQTNVCSRVESANGLTRLPSYQHGSVLVPHFRSRFMSTETNSVDSSEDSSLPEPELPPRIKFKRLDKTARHIMQILDKEAVEEVKGQREVPDIKPGYIVQLKVEVPENKRRVSIIKGIVIARRNCGLHTTFRLRRMVAGVGIESLFPLYSPNIKEIKVLDKKKVRRAKLYYLRDKMNALK >RHN76842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1732306:1737202:1 gene:gene222 transcript:rna222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MATSAILFAACGEPNWLQTMTTTHIAFLRFINRTIQSINQRFFSSQDQDIKHVRILHDEDTVSAIGRKCQLNAQDCSSHAKNFGGEKGPRAVFNVLDTMLKSSLERLKIMRENISLVKIGLHGYAFEYNNVENAATIRFLCLEGKLAAAWWLRIKMMEKGVLPDVYTHNHIVNGLCENGRMENADFLVRQMLKSGPHPNCITYNTLIKGYYAVHNTEKADDLFRTMSNTGIQPNRVTCNIIVRALCEKGHLEKARNMLDKILNDDNGEATPDLVASTTLMDNYFKNGKSNQALGLWNEMIQKCTKVDVVAYNVLINGVCRNQQMHLAYGYACEMLKKGLIPDVFTYNILIHALCKEGKISEACYLFGVMSKMRIIPDQISYKMMIHGLCLNGDVLRAKDLLLCMLNNFMVPQAIIWNLIIDSYGRCEDLRNAFLTRDQMLASGVLPNVFTYNALILAQLKSGNIHNAHSLKEEMRTKNLRPDVVTYNLLIGGAINIGDLDLAHQLCDEMVQRGREPDLITCTEFIRGYCIIGNIEEAEERYARILKSGLWSDHVPVQILFNKYCKLGEPIRAFNFYQDWLKSKQDSNCS >RHN74039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:24228054:24231661:1 gene:gene9977 transcript:rna9977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Actin family MSSSTQVVVLDNGGGLIKAGFGGERDPSTILPNCLYKPLTSKKWLHPNPINTPSSSSSSSSSEQDLTSASVRRPIDRGYLINPDLQRDIWSHLFTSILRINPSQSSLLLTQPLFTLPSIQHSLHQLVFEDFNFSSLFVADSPSLVHLYETSQRPNGLLSKAQCSLVVDSGFSFTHASPVFQNFTLNYAVKRIDLGGKALTNYLKELISFRSVNVMDETFIIDDVKENLCFVSVDVDKHLNLARKSGKDNVFKCTYVLPDGVTHTKGFVKYPEQASRYLADGKDDIGDHEEISKQQPQDNRHKVDLTKNEFDLTNERFLVPEMIFRPADLGMNQAGLPECIVRAVNACHPHLHPVLYESIILTGGSTLFPHFAERLEKELRPLVPDDYRVKIATQEDPLLGVWRGGSLLASSPDFDAMCVTKAEYEELGSARCRKRFFH >RHN77247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5401511:5403364:-1 gene:gene685 transcript:rna685 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSFLNTTDASKFPDLAGTTTPIAESRKLKENGHSIINRVNLDDYSSDEHAPGVGKTHSGPIQHR >RHN78798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18527604:18529655:-1 gene:gene2451 transcript:rna2451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GH3 family protein MCDISISVEYILDYFLNFWILDFVLLLMGHDDILKKMDKFIEEFEEVSTDAARVQRVTLKRILEDNALAEYLQNLGLNGRTDPESFKLCVPLVTHKDLEPVSTELLMFPMMKNGKALHFIYGSKQFKTRGGLVATTITGELPLVTSIYGASEGFIAANVNPKLPPEFATYAVFPQNGYFEFIPLTQLNNDGTFLCADPQPMGLTEVKVGKEYEIVVTNSAGLYRYRLGDVVKVMGFHNSTPKLKFIRRSSILRSINIDKNTEKDLQLAVEASSKLLAEEKLEVVEFTSHVDLSKEPGHYVIFWEINGEASEQVLIILNVATVWISLSSMQDTLVLVNSTLSGP >RHN70796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54069728:54072881:-1 gene:gene19413 transcript:rna19413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLISLCLCLSLPKLFSYFLTLSNIIHNSSMATIFIRELENRYITSLKNCFKITQLKKIHAHVVKLSLSQSNFLVTKMLDSCDNLGHVSYATLLFKQLLHPNIFTYNAIIRTYAHNRHHSSAISVFVQMLTHSTNSVFPDKFTFPFVIKSCTGILCHRLGMQVHGLVYKFGADFHCITENALIDMYTKFGDLTNACKVFEEMSHRDVISWNSLIFGYVKLGQMNSARELFDDMPVRTIVSWTTMITGYGRMGCYGDALDVFREMQMVGIEPDEISIIAVLPACAQLGALEVGKWIHMYADKNGFLRKTGICNALIEMYAKCGCIDEAWNLFDQLVEKDVISWSTMIGGLANHGKGYEAIQLFEEMCKVRVAPNEITFLGVLLACSHTGLWDEGLKYFDVMSASYHIEPEIEHYGCLIDLLGRSGCLGQALDTISKMPIKPDSRIWNSLLSSCRIHRNLQIAVIAVKQLMELEPEESGNYVLLANMYAEHGKWEDVSNIRKLIRNKRIKKTPGSSSIEVNNVVQEFVSSDDSKPFSQEVFWILEGLALNQTRTNDLMEPVEEDADKSSL >RHN56488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31253672:31258662:1 gene:gene31896 transcript:rna31896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative elongator complex protein MSESICRSLRDGALEGELSPTLTIKDTLSSPFAFNVFSHILLQLSSPKSHSNTAILIVALSRSPSFYAHLLKNKGIELSSSNKWIHVLDCYTDPLGWKDKTRKSGNVTIPSDQISLATTSYKSVKDMDKLFLAITELGRGLVGENKVRFCVAIDSLSELLRHASLQSVAGLLSNLRSHDQISSIFGLLHSDLHEERAATALEYMSSMVASVESDHHSSENSLSEKNFTQGKFNVRLKRRNGRVRVTCEKFKVEAGGISFTSVSAEDGTTVAGLLPKVQFNLQLSEKEQVDRAKVVLPFEHQGNGAPIQIYDGRRSLEESSSDGAPLSKGKKEDSDLGEIIYFRDSDDEMPDSDEDPDDDLDI >RHN67179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24649596:24650156:1 gene:gene15335 transcript:rna15335 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGGGRYGGGRSHRRQRWLQEATRMEGGTSSLFVVVVEVGSEKQEAVERGGGCCGGGKREETVVRWRDMARERVVEKGDEVEEKKKKKIGKGKNTVFLYGVGIVPIFLCLVIIAVYFNGYDDATSYLVTHNLIVIMMRRLSFYLDSLLLGCLLQRAGSGPNCRTDQNPRVAYMNPASPIHTYTG >RHN68795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38657907:38658600:1 gene:gene17194 transcript:rna17194 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVKIIEDGTSFSDDEKKDVRDLKSSCGVHISKCDAMLLYDQQLMKSYEEHRRF >RHN48644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49161082:49168641:-1 gene:gene43383 transcript:rna43383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MASVMARDEVTISTSSRRSFREMWPVTAAAPDVFERSDRHTQEDDEYHLTWVAIERLPTFERMRKGVIKHVDENGKVVHDEVDVAKLGFHDKKLLLDSILKIVEEDNEKFLRKLRDRQDRVGIEIPKIEVRYENLSVEGDVHVGSRALPTLLNVTINTLESVLGLFRLAPSKKREIQILKHVSGIVKPSRMTLLLGPPGSGKTTLLLALAGKLDHDLRASGKITYCGHELNEFVAAKTCAYISQHDIHYGEMTVRETLDFSSRCLGVGSRYEMLKELSKREREAGIKPDPEIDAFMKAVVLSGQKSSFVTDYVLKMLGLDICADIMVGDEMRRGISGGQKKRVTTGEMLVGPAQALFMDEISTGLDSSTTFQICKFVRQVVHILDATVIVSLLQPAPETFDLFDDIILLSEGQIVYQGPRENVLEFFEYTGFRCPERKGVADFLQEVTSKKDQQQYWFKRDEPYRYVSVPEFVDFFHSFHIGEEIAAEIKVPYNKSQTHPAALVKEKYGISKWELFKACFSKEWLLMKRNAFVYVFKTTQIAIMSVITFTVFFRTKMPVGTVQDGQKFYGALFFTLINVMFNGLAEVYMTVARLPVFHKQRDFLFYPAWAFGLPIWILRVPISFLESLIWIVLTYFTVGFAPSASRFFRQFLALFGIHQMALSLFRFVAAVGRTLVVANSLGTLTLLVIFVLGGFIVAKDDIKPWMIWAYYISPIMYGQNAITINEFLDKRWSTPNTDTRIDAPTVGKVLLKARGLYTEEYWYWICIGALIGFSLLFNLLFLLALTYLNPLADSKAVTVDEDDKNGNPSSRHHPLEGTNMEVRNSSEIMSSSNQPRRGMVLPFQPLSMEFNHISYYVDMPDEMKSRGIIKDKLQLLQDVSGSFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYPKNQETFARISGYCEQNDIHSPHVTVYESLLFSAWLRLPSDVKAETRKMFVEEVMELVELQPLRDALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDEASLEFKVSLLFLYLLLLMKRGGQVIYAGPLGRHSHKLVEYFEVIPGVQKIKDGYNPATWMLEVSSASIEAQLEVDFAEIYKTSTLYQRNQELINELNTPAPDSNDLYFPTKYSQSFFVQCKANFWKQHLSYWRHSQYNAVRFLMTIIIGVLFGLIFWKQAKKTKTQQDLLNLLGAMYSTVFFLGTTNSMTVQPVVSIARTIFYRERAAGMYSALPYAFGQMAVETIYNAIQTTIYALIVYSMIGFEWKAANFLWFFYYILMSFIYFTFYGMMVVSLTPDDVIAGICMFFFLSFWNLFSGFVIPRMEIPIWWRWYYWASPVAWTLYGLITSQLGDKNTEIVIPGVGSMELKEFLKQNWGYDHDFLPLVVVAHLGWVLLFAFVFAFGIKFINFQKR >RHN72774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10606593:10607479:-1 gene:gene8528 transcript:rna8528 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPNSIGVRNDEAFQEAHAGEIVALHDVNNAPGYTKASIDVSELVSTDSVQVSKVSKDLRCQA >RHN44419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4473008:4474797:1 gene:gene38538 transcript:rna38538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone-7-O-beta-glucoside 6''-O-malonyltransferase MASNNNNNVKIHEHTKVFPPSSTQKTTTPLTYFDIFWLRFHPVERVFFYALPNSHSHPSFFFKKLVPILKSSLSLTLKDFLPLAGNIVWPLESQEPIIQYTPNDGVSLIIAESDVDFNHVIENSPHDASLSRCFVPHLESTNSFASIISVQITLFPESGFSIGISTHHAALDGKSSTMFIKAWAYLCNKTIETEELPTLLPELKPLLDREIIKDNGLGDKFTKNWTEIITMMFPNEKGNERSLKILPFEPKLEDYVRSTFKLTREDLNKIKQMVLSKWELLDTNELTSKPPTLSSFVLTCAYSLVCLAKAIHGVEKEKEKFGFAFTVDCRARLEPPLPNNYFGNCVWGHLVDTKPLDYINEDGVFLVAKCIHEKIKMINEKGVLDGVSDMFDKFASLASEKLEMMGVAGSNRFGVYEIDFGWGRPTKVEIVSADRGLTIALAESKDGKGGIEVGLVLNNHVMNLFRTLFVEGLCIN >RHN75147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39762352:39764090:-1 gene:gene11309 transcript:rna11309 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSCWRKTIACPDFPILLGGTLVGQFVNGSVNWLARHNINCHMYKWKNVTINQLVILSFDMRMEACKYMMLPDGFVVPKDEPTLVVLRDRLCLYYVHMRTHLVL >RHN80087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35024249:35028545:-1 gene:gene3967 transcript:rna3967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zein-binding domain-containing protein MATSRRGGISFVKSKRREGFTENLTFVACEWFLIFLLLVDSLLSYLVKKFASYCKLQLPCLLCSRLDHILDGEKPEFYHSLLCSDHKSEISSMMLCHTHGKLADGHRMCDDCLLSLTKNGKRNTKTHRLLSGKFGVVIGGSGYQNTPLSRDLFSRPKGSRPCSCCGKLWKLEQNGFRSIQLKSHGRSVVKPYIPLPHAARQSRLNHRDNLKKMRGKTSESGGRRSSHPSSNVGYTVLRLTSDSESEFQFSDDDDTGSIFNEKIEAGNDTIAPNTLETPTKHAVSDLKPPMPKTSSPKHVPLPEVNMRQHGNDNGVEEINRPQADQSSSSSNLPELISLDEVSPSHVYREPESEDCKITDHSEKSLPSHLSELMTLKADHIFVGELPEKSLHVTQAPDNGLVSEEHGKVSEKIHRMEDASTQTDPELCDSAPLSPTQEDSNDMSKSSDSIKEREVPGFVMEQSPSNEIDKVKEELELSPPSQYSSLHESNMSSFVPINHIHSPRIHAEAMESGLESMDLTNMTEIEGESIVDQLKRQIEYDKRYMDDLQKELEEERNASAIAANEAMSMITRLQEEKASLQMEAHQYLRMMEEQAEYDNEELDKVNDLLTEKEKEIQDLEAELDYYRINFMDEPMVPNMHEESKDSKEETVMTQNIHLHNITDTVNNFPDSNLSEVSKGSNEVAAGETSILEFEEEKQYISECLECLEKKVHQLSFNKISYEPPNVRLANLEISKLSQQGDSNSEGPHLDDHEEADLSIQKKLMSNGSHDDKDDDAASDTDDCSISIENNDSTCVEPMSSKSRREADLVALENEISDLHDRLEALEFDHDLIAHITNSLQNGNDGKKFIQDIAQQLRELRKIGIRSRCRHTKNGDRVFLPGEDCSMITKIDEQT >RHN63410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51027881:51028015:1 gene:gene26107 transcript:rna26107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone oxidoreductase, 30kDa subunit MLGMSYDNHPRLKHILMPESCIGWPSHKIISPPIFMKYRILIAH >RHN50282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5778846:5780174:1 gene:gene34554 transcript:rna34554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MLHSGFNFLLCVVAILCINLLCVESFYPSKCVETERQALLKFKDALIHSKVNLTSWKGEECCKWEGISCHNLTGYVTSLNLKPFDYTKAVGGKLDYSICELQHLISLNLDNIGLEGKIPKCIGSLGKLIELKLMYNNFFGVIPPSLGNLSNLQTLDLSHNYLTANDLEWLSHLSDLRYLDLSEVNLTLAIDWLSSISKIHTLSELHLFGCGLHQVTPKSISYMNTSISLKSLDLGENSLNSSILPWVSNVGKVLITLDLSFNQFKGSKPLFEITKLASLQHLDLSHNELSGSFPHTIGQLSYLQELFLSSNKFNSVIIETHLSNLSHLRILDVAHNSLSFNLSLDSVPPFKLFALYASSCTLGPKFPVWLKHHGELRVLDISSSGISDSFPKWFWNLSSSLIYLNVSYNKLNGPLPKSIPNMKFSILENSSTINSDRHLSCS >RHN69583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44598785:44603562:-1 gene:gene18066 transcript:rna18066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAT dimerization domain, ribonuclease H-like domain-containing protein MFPSSNPMTPSSTNNQENAERSIALFFFENKLDFSVARSSSYQLMIDAITKCGPGFTGPSAEILKTIWLERIKSEVGLQSKDVEKEWATTGCTIIADTWTDYKSKAIINFLVSSPSRIFFHKSVDASAYFKNTKWLADLFDSVIQEFGPENVVQIIMDSSFNYTGIGNHIVQNYGTIFVSPCASQCLNLILEEFTKIDWISRCILQAQTISKLIYNNASLLDLMKSYSGGQELIRTGATKSVSTFLSLQTMLKLRTRLKHMFHSPEYALDTSYANKPQSLSCIAIAEDGDFWRTVEECVAISEPFLKVLREVSEGKPTVGSIYELMTRAKESIRTYYIMDENKCKTFLDIVDKKWRDQLHSPLHAAAAFLNPSIQYNPEIKFLSSIKEDFYHVLEKLLPVPDMRRDITNQIYTFTKAHGMFGCSLAKEARNTVAPWLWWEQYGDSAPGLQRVAIRILSQVCSTFSFQRQWSTFRQIHSEKKNKIDRETLNDLVYINYNLKLNRQMSAKSLEVDLLQFDDIDMTSEWVEENETVSPPTQWLDRFGSALDGNDLNTRQFGSSIFGANDPIFGL >RHN48063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44668775:44669446:-1 gene:gene42735 transcript:rna42735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MSLTHKPFSSTMNSIKHHRNMDNPPKHVTIVIILLCLWSSAESVPNTNIIQVLCNSGVYTSGDPFGISLSYVLQDLENETPSQKNYDYHNISPYPNSFAYGHATCNLNLTSSDCKTCLGVAKSDIFSTCQTKRIGARSVIHDCSIRYEQYPFDD >RHN67659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29011155:29017754:1 gene:gene15867 transcript:rna15867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ClpA/B family, P-loop containing nucleoside triphosphate hydrolase MPTPVSTARQCLTDEAARALDEAVSVARRRSHPQTTSLHAISALLSLPSNALRTACSCSRATTFPYSPRLHFRALELSVGVSLDRLPTTKTTAVVTSGDDGGPPVSNSLMAAIKRSQANQRRHPDSFHLLQIMQQQQQNQNQTASFLKVELKHFILSILDDPIVSRVFAEAGFRSYDVKFALLQPPPPPPSSRFFHRSSPPVFLCNIEPDRFETVRFDENSRRVVDVLAGKSGSKRSPLLMGVYAKTALKRFIELVQSGKVGFLPNELDGLKVVSIENEIFEFFLGNGSEEKMGLRFDEVGHLVEQNLHAGVVLSFGEIEVFVKNNNDDDVIDDGVVFVVSRLTRLLEVYGGKIWLVGVAGNCDVYTKFLRLFPNVEKDLDLHVLPVTSATPSMEGLYSKSSLMGSFVPFGGFFSTPSDFRNPNPSLTLCDTCNKKYEQEVADNYVNVGPSSSASTSLPWLQKVNVDSDRGLGLAKTNEDNASLNAKIFGLQRKWSDICQHLHQNKSLPEINISQTLTGFQAPFHEGFRFGRGTSSLNEIHCSNPIPYMSKELQSPFPSKQMLPFSQPFDTTLSAKDKAEHVPKVSKLDIQNPLLNHRSSLSLIPVTTDLVLGTTYTSVTHEPDTPKLNDHKKHLQHLSDSLSTDFDAMNESTSNQIARSSSYSGHNSDGKFEMVDFKSLYKLLIEKVWWQDEAIYSIINIMTLCRSSDGKRSGSNVRADTWFSFLGPDRVGKRKIASVLAETLFGSKQCLISVDLSSKDRFQPLNSIFECHDVLRRKTVVDYIAGELSKKPRSVVFLENIDKADLIVQNSLLQAIRTGKFPYSHGREISINNSIFVVTSSVFKVGGVFDMEKEPKIFPEERILEAKRYQIELSLGHASEDIFRSGSKNVRVSKRNGTFLNKRKLCETESSDSNEKVTSKTMKHIKEASRSYLDLNMPLEEEVEDGDCENESVVQNHETWLNDFLAQIDGKVVFKPFNFDLLAEQVIEHIDKQFQTPFGSNFVLEIDYEVMSEILAAAWLSDKKKAVEDWIEHVLGNSFVEAQKKYHNVAEYVMKLVKCESIFVEEQATGVCLPARINLN >RHN46338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30882502:30883036:-1 gene:gene40819 transcript:rna40819 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFVSCIDNLYKSVTELSSDKCLRSQLFKDILTSPTISAQSELRHQILPIPKNNYKEKNMSYKFIDPKSPISGGYAGASLTFMVTDDLIVTPMSSIDGISYLERMKVPLSDVEEMVVTIGQKEGLSILRASLTSKSALTNGLNQYIG >RHN44093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1570937:1573636:1 gene:gene38152 transcript:rna38152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MFSFSDHEMVLIFGLLGNIVSFMVFLAPLPTFYSIYKKKSSEGYQSIPYVVALLSALLLLYYGFLKTNAILIITINCIGCVIEVAYLIMYIIYAPKKLKISTLVFILVADLGGFGLTMIITFFVVKSSFRVHAVGLICAIFNIAVFAAPLSIMRKVIRTRSVEYMPFSLSLFLTLCATMWFFYGLFDKDNYIMLPNVLGFLFGVSQMILYLIYKNAKNKVETNSTEEQEHGCDDGNKQDFPSVVVVEMKENIV >RHN47136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37415074:37419233:1 gene:gene41695 transcript:rna41695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoglycolate phosphatase MMLRSSSTIAATSVGVCHTQRLWSHSVTLNYRLSQFAGNPLLSNSAFVKWKRNIGSSNKNNRFRMATKALAQPLQNADELIDSVETFIFDCDGVIWKGDSLIEGVPETLDMLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNAEEIFASSFAVAAYLKSIDFPKDKKVYVIGEDGILKELELAGYQYVGGPEDGGKKIELKPGFLMEHDEDVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGALVGSTQREPLVVGKPSTFMMDYLANEFGISKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTTLPVLQSPNNSIQPDFYTNKISDFLSLKAAAV >RHN45678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24401519:24407971:-1 gene:gene40061 transcript:rna40061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAD/NAD(P)-binding domain-containing protein MATLHHIHSINFSSVFPSHSSSSSLTKRSLSYKYKFPATGNRMARLYSSSDDRAKVFDVVIVGAGIIGLTVARQFLMDSDLSVAIVDKGLPCSGATGAGQGYLWMTHKTPGSATWDLSMRSHQLWTMMAENLQEQGLDPIVELGWKKTGSLLVGRTRAESDMLKGRVKQLSEAGLKAEFLGSSDLLKREPDLLVDKDTAAAFLPDDCQLDAHRTVAYIEKGNRNFASKGRYAEFYDDPVKCFIRSDCNGGVEAVQTSKNTLYSKKAVIVAAGCWTGSLMQDLFRNWGMEFHVPVRPRKGHLLALQNFNSLQLNHGLMEAGYVDHPSISDLESSDHGRDLSVSMTATIDAAGNLLVGSSREFAGFNTDLDESVITHIWKRVGDYFPKLRSLSVSDLSTSRKVRIGLRPYMPDGKPVIGPVSGLSNVYLAAGHEGGGLSMALGTAEMVVDVVLGRPGKVDSAPFAVDRVLE >RHN73498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17107003:17110201:1 gene:gene9316 transcript:rna9316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MELETPGGAIASSFFEALIDKLSSAETMDENLHSRLITALFSINVVADDAEKKQIDNFHVKEWLLGVKDGVLDAQDLVEEIHIQVSKSKQEVDESQTSSTRTNQLLGMLNVSPSSIDKNIVSRLKEIVQKLESLVSLKDVLLLNVNHGFNTGSRMLISPSFPSMNSPMYGRNDDQTTLSNWLKSQDKKLSVISMVGMGGIGKTTLAQHLYNDPMIVERFHVRAWVNMSQDFDVCRITRVILESIAGSVKETTNQSILQEKLKEQLIGKKFFIVLDSVWIQDRMKWRRFKTPFTYRAQGSKILVTTRGGEVASVTTSDQIHQLHHLDEEDSWTLFAKHAFHGFDDSYAVSWTKKTTLHEKVGKKVADKCKGLPLALIAIGNLLRRNSSLRHWEKISESDAWDLAEGTRIVPALMVSYQSLPTHLKKCFEYCALFPKGYLYEKDQLCLLWMAENLIQRPRQHMTSMKEVAESYFNDLILRSFFQPSTKYRNYFVMHDLHHDLSKSIFGEFCFTWEGRKSKNMTSITRHFSFLCDEIGSPKGLETLFDAKKLRTFLPLSMTCFEYQWLLCFNSNKLLLSELFSKCKRLRVLSLCGCMDMIELPDNIGNLKHLHHLDLSRTKISKLPDTLCSLHYLQTLKVRDCQFLEELPMNLHKLVNLCYLDFSGTKVTVMPKEMGKLKNLEVLSSFYVGKGNDSSIQQLGDLNLHGNLVVADLENVMNPEDSVSANLERKINLLKLELRWNATRNSSQKEREVLQNLKPSIHLNELSIEKYCGTLFPHWFGDNSLSRLVSLKLSNCENCILLPSLGVMSSLKHLRITGLSGIVVIGMEFYRDGRSSTVSIPFPSLETLTFKDMNGWEKWEFEVVKGVVFPRLKKLSIMRCPNLKDKLPETLECLVSLKICDCKQLVTSVPFSPSISELRLTNCGKLKFNYHLSTLKFLYIRQCYIEGSSVDWIRHTLSECGTNIKSLKIEDCATMHIPLCGCYNFLVKLDITSSCDSLTTFPLNLKMSILNSHLSQLESVLNLHHFQKEDCLHQGCNTLIFPNWRI >RHN72089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4900246:4902034:-1 gene:gene7762 transcript:rna7762 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNEIKGEEETMRNSIRCCISCILPCGALDVIRIVHCNGRVEEISGSIKASEIMKTYPKHVLKKPSSPSTQDGGVVPKIVVVPPDADLQRGKIYFLMPLPTPPPPDKNNHRHSKNSSVRKKRKEQNERILTNNSRSNGNSNSNNNIENSATLVVSSDQYLTEILSEKVCSQRDRRRGRVAVWRPHLESISESPTNHL >RHN70991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55672148:55676432:-1 gene:gene19631 transcript:rna19631 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSGNPPQEVVVPPVEGVAGGGTSYGWNDGGTHDLIHLKGPIDPTEIPTRDLVHVWCMPSTANIGAQEMPRHLEPINLLAARNERESVQVAIRPKVSWGSSSVAGTVQVQCSDLSSSSGDRLIVGKSLLLRRVVPILGVPDALVPLDLPVGQINLFPGETTALWISVDVPSDQPPGQYEGEVLITATKTDAESSVQSSSKVEKRQLYKELKECLDIVDPIDGKPLDEVVERVKSTTLSLGRILLSPSFSEFSSENGSADVMEEDAISNLSLRLKLNLTVWEFVLPETPSLPAVFGISDTVIEDRFGVKHGTAEWYEALDQHFKWLLQYRISPYFCKWADGMRVLTYTCPWPADHPKSDEYFSDPRLAAYAVPYKQVVSGYTVSCPRSLLSGIFGMRTDEIQPTC >RHN77191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4842487:4842726:-1 gene:gene617 transcript:rna617 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIYYYAATILVFQINLKRHLQINFPSKPLSWSRNYTNQDWLISYTRSSHKRYSPSSKHGRLQTCVYSHGHLILLTT >RHN76172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48220050:48223681:-1 gene:gene12458 transcript:rna12458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor EIL family MGELVEIGAEIGSDLDVDDIRYHNIPEKDVSDEEIEAEDLERRMWKDRIKLKRLKEKQKLEAQKALEKQKPRQSSDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGSSDNIRAWWKEKVKFDRNGPAAIAKYEAECLAMSEAENNRNGNSQSTLQDLQDATLGSLLSSLMQHCDPPQRKYPLEKGVPPPWWPTGNEDWWSHLNLPHGQSPPYKKPHDLKKMWKVGVLTAVIKHMSPDIAKIRKHVRQSKCLQDKMTAKESSIWLGVLSREEALIRQPSSDNGTSGITDMPTGVLLLEYKQPAASSASNYDVDGTDEGVGSVSSKEDRSNQFMDTEPSDNSRRNSVQDSDRPKKKPRPKRPRSRPSAADTLPAQSDNEILHVEPRSKLPNMNERDETHVAALQIHGNEQVNGTESVLRPPEIRHEGPSHLSGHEVPVHLSGHEVAVHVPAPGFDHYSYMHANSIISSQSAYMSRRPPLHYSQLQNPDMPHEAAYNLYNSVTGYGPSRDGQHQQLQPGPAANSEPIRQPENAAITMPAANVNGDEIIGGGMNYIAKDTFPNELDRFGSPLSNMSFDFGVLDNPPSPPFLLDDFMGLGDDEMMQYFGA >RHN69732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45898575:45901089:-1 gene:gene18244 transcript:rna18244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MRSKTTPVVELERHHADVNVIVWAPRCLKHICSGGDDAHALIWELPAVAGPNGIDPMTMNSAGCEINQLQWCAAQPDWIAIAFANKMQLLRVRV >RHN72255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6145203:6147429:-1 gene:gene7948 transcript:rna7948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-RSK-2 family MLESGSGRDSGMSLETLNSNTQRTSLSSGSESICSTSFSRLSFDLLPSSSPESLSIKPHRSSDFAYTAIRKSGLTFRDFHLLRRIGAGDIGTVYLCRLRDSSSNELLYDDNTSFYAMKVVDKDAVALKKKSHRAEMERKILKMLDHPFLPSLYAEFEASHFSCIVMEFCSGGDLHSLRHRHHRNRFSLSSARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLSDFDLSLISHAIPAVESSPDISPDDPPEFTCTRPRSIATPFACLSKQLFRSKKVQSFQSNRLFVAEPVEARSCSFVGTHEYVSPEVASGNSHGNAVDWWSFGIFIYEMVYGRTPFAGPTNEATLRNIIKKPLCFPTATPSSALEMHARDLVSRLLNKDPSRRLGSKRGAAEVKSHPFFVGLNLALIRMVTPPEVPGLRRQKTTPLGNGSRSSSRQQPASSFDYF >RHN56385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30474124:30478326:1 gene:gene31777 transcript:rna31777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endonuclease/exonuclease/phosphatase, EF-hand domain pair MGRRISMTQIHAMQENQQLPSISCTTFNILAPIYKRLNQEDQSSRESEDRDCWLARNQRILDWLLFERSSIICLQEFWVGNEELVNMYEKRLGDAGYIHFKLGRTNNRGDGLLIAVQKEYFTVVNYKELHFNDCGDRVAQLLHVELAFPLSQCQNSGIRQEVLIVNTHLLFPHDSSLCLVRLHQVYKILQYVESYQNEYKLKPLPIILCGDWNGSKRGHVYKFLRSHGFVSSYDTAHQYTDADDHRWISHRNHLGNSCAVDFIWLLNPDKYNKLLKSSWSEAVFDMFKCLMRRASQTESDAFAFLKADDEDCITYSGFCKALQQLNLIGPCYGLSVEETKDLWFQADIDGNGLIDYKQFLHQVWNPTVSDYHRDDNKNDKQDDGPNDSENEEEEEETIGFSVKNAVLFPPEVEKGRWPEDYSLSDHARLTVVFSPITLTCSHNMFS >RHN78625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16848129:16848871:1 gene:gene2252 transcript:rna2252 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSGQKQISPQHNQYLLNRLGLDQELLIVTQTIKSPLSLHGSSIPAVCSYKQMK >RHN64542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59933813:59936489:-1 gene:gene27366 transcript:rna27366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative groES chaperonin family MATTQLTASSISTRNLSSFERLRTSSIQFPRNNARIAILTQWSLPSMVVKAATVVAPKHTTVKPLGDRVLVKIKDAEEKTQGGILLPSTAQSKPQGGEVVAIGDGKTVGKNKVDISVKAGAQVVYSKYAGTEVEFDGSKHLILKDEDIVGILETEEVKDLKPLNDRVLIKVAEAEEKTAGGLLLTEATKDKPSIGTVIAVGPGPVDDEGNRKPLSILPGNTVLYSKYAGNDFKGKDGSDYIALRASDVMAILS >RHN40993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25485379:25488810:-1 gene:gene47259 transcript:rna47259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MIKYENKKKCHAHLLSRLNTSYCLKLLLSNQAHQELFLHCLFSLSRNCSFNWITTSTGHQNDGTNFFKTCFNGLNALTGIGILSMPYAVSQGGWLSFMLLIIFAMICCYTALLLDRCMNAHPRIKSYADIGEVAFGYKGRVVMATFIYIELFLVAVELLILEGDNLEKLFPNMRFTIFGLRIGGKSGFVILTALAILPTTWLRNYGALAYISIGGVFTSIVLIGCVVWVGEVDGVGFNERGKFVNLRGSSTSMSLFAFCYNVHALLPTIRNSMSERKQFSKVVMVCFVVSATIYGTIAILGYMMYGDNLKSQITLNLPISTISTKIAIYSTVINPFTKYAILISPITIAIEDKWHLCMSKPISIIVRTTIVVSSVIVALFLPFFAYIMAFIGAFLSVAISLLFPCLCYLKINKAAMRLGLEVIMIIGIVVIGTFIGIHGTYISVGHIVNQMKH >RHN67665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29054530:29055603:1 gene:gene15874 transcript:rna15874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MEEQQQDDEFMMYRFKVERCYETSSHYFSECSFVHPGENLKRRDPKQYNYSYLLCHAFQKSGSCWKGDACEYAHGAFESGLHPSRYRTMYCRNGTECRREVCFFAHNHEELRPLNATTSSALPPPTFYSNSPSASAMVPFTLSSPYALIQSSSMPPWTPSAISYPAGRTMWPTPTQIRTTVPTPQMPSNSLNARDNTHLDMKFFEYHNMPNLMMEGSSRLAGVNPTNLEGYFGSSIQSPTAMQGYQNVNQQLQRYPSQLTNSNVVRSQQFRFYPILNSRYDALSKQSQNFIESSSMASFNSMLPDATSVTLEPSTSFSGLRSRDRKLELGDTSNTSTMAAASNVDEPDVGWVDELVD >RHN80112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35199783:35200692:1 gene:gene3999 transcript:rna3999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prenylated rab acceptor PRA1 MSSINPTPTYNSLPSTSTSPRATTPSNYPTRRPWQNFFSPQSFTLPHSLFETTLRIKRNLNHFRINYAIIILFILFLSLLYHPLSLIVFLVVFAVWFLLFFFRDRPVVLFRFTIDDRFLLVLLSTLTIVALVFTGVWLNVLVSVLVGSAVVVLHAAFRSIDDLYLDEEEIFDGDLLSVVGGSFNSKRTGYTRI >RHN59443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10775930:10782542:1 gene:gene21473 transcript:rna21473 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rho GTPase activation protein MPSVISPQWQDKASGFFSSSGVKLKEAKESAGTFVGEVTKDTKSNVAEVAGKVGTIVKSRWARLQEPSTRHAVQDRMISAAATTGAFLRRGLSGTKEKVVVGKSKVEEVAKITAQKSKTILTDIERWQKGVAKTDVFGVPIEITVQRQDCSKPIPQILVNCADYLIVSGLNLPYLFKSEGDKKVIQQLVALYNQDSTAAVPEGSNPVDVAALVKYYLASLPEPLTTLELYNEIKGARTNIYSMRNILKRLSSVNYMTLEFITALLLRASQKSLLNKMDSRSLAMEMAPVIMWQKGCRPETYRQYWSQMSESPSKQSLNPEPGSTTWDMLADESEAMDASSLIPLDEGMPVDFGAIEVVQLLIEHHNAVFTDANETVWK >RHN53638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3005042:3007267:1 gene:gene28565 transcript:rna28565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MCKLSSSSTSVSTLYESNNNQTNNISTTTTIKTQNNIKPDMLTPLIPKSPTFKQQKKTHFSLALNEAKHISNIALPMVLTGLLLYSRSIISMLFLGRVGELALAGGSLAIGFANITGYSILSGLAMGMEPICGQAFGAKRFKLLGLTMQRTVILLLVTSIFISFLWLNMKRLLLLCGQQEDIANVAQSYILYSLPDLVAQSLLHPLRIYLRSQSITLPLTYSATLSILLHIPINYFLVNVLQLGIRGIALGSVWTNFNLVVSLIIYIWVSGTHKKTWSGISSACFKGWKSLLNLAIPSCISVCLEWWWYEIMILLCGLLLNPHATVASMGVLIQTTALIYIFPSSLSFGVSTRVGNELGAENPQKAKLAAIVGLCFSFVLGFSALFFAFSVRNIWATMFTSDPQIIALTSMVLPIIGLCELGNCPQTTVCGVLRGTARPKLGANINLGCFYLVGMPVAVWLSFFAGFDFKGLWFGLMAAQGSCMITMLFVLVRTNWENQAERAKELTSSDSSEEEEQEEEKVIVNSSSCGTKECSDSLV >RHN71277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57850823:57852324:-1 gene:gene19936 transcript:rna19936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MGINFISWILLLHLLVILLFSHGDQARELVETEDKSLKIQHKHHHNHMNHNIDPSLMVFFTLKDLKVGKIMQIYFPKRDPSTSPKLWPKEEAESLPFSSNQLSYLLKFFSFSRGSPQGIAMESTLKECESKPIKGEVKFCATSLESMLEFTQNILGSESDIQVYATLHKTKSSVTFQNYTIVEIMMEIRAPKMVACHTVPYPYAVFYCHSQESENRVYKVLLGGENGDKVEAMVVCHLDTSQWAPSHVSFQVLGVTPGSSSVCHFFPADNYIWVPKVKSQGSSSM >RHN59749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12743637:12744100:-1 gene:gene21861 transcript:rna21861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L2 MADQKVFQIKKVFQNISIPSTRTRNRLIYGQHHCGKGRNARGIITAGHRGGGHKRLYRKIDFRRNEKDIYGRIVTIEYDPNRNAHICLIHYGDGEKRYILHPRGAIIGDTIVYGTEVPIKMGNALPLSAV >RHN69035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40484236:40486522:1 gene:gene17461 transcript:rna17461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative X8 domain-containing protein MESRAYILRFNIAHLCLLMLVSTLFPLCDAINHGRGSRSYSIKSWKKLKFSSFGYSDLDSSYGTPSSQPLPPFNSLAPQPTSLPPYSPVPNPPHDVFRPPPSPKTIVTMSPPPPPSPSKHVPSPPKSVIGPPQVYLPPIVYPPPPSTHKKPPQYAIWCVAKPTVPDPIIQVAMDYACGSGADCKSVQPNGICFQPNTVLAHASYAFNSYWQNTKIGGGTCDFGGTAMLVTVDPSYDKCSFKST >RHN75383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41782795:41788243:1 gene:gene11572 transcript:rna11572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysosomal Pro-Xaa carboxypeptidase MKHYFNLFTWLPLIFFIHSINLNPTHSLTIPRLSPFQEKTLHDIATLVSNDPKDVETFYYKQVLDHFNYNPESYNTFNQRYLINFKYWGGANSSAPIFVYFGPEQPIDGSPKSIGFMVEKAPTFKALLVYIEHRYYGKSVPFGSREEAFKNANTLGYFNSAQALADYAEVIIDIKKTLHAQNSPVVLIGGSYGGMLASWFRLKYPHLAIGALASSAPILYFDDITSPNAYFDVVSRDFKEVSETCYETILNSWSEIDKVASQPNGLSILSQRFNTCRPLNHSFELSEDLESMYTSAAQYNHPPDYPVTVICSGIDKASFGNNILDKIYSGVVAYKGNGTCKVNNPKNISETDVGWRWQTCSEMVMPFAIGNDTMFQPSPFDLKRFVERCKKKYGVSPRPHWITTYYGGHDIKRVLQKFGSNIIFSNGLKDPYSSAGVLHDLSKSLVAVPTINGSHCLDILPSKESDPKWLIEQRNKEVKIIQEWIAQYYIDLGALHGSPKFQNN >RHN46322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30731412:30732284:1 gene:gene40802 transcript:rna40802 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADRQQSWRIRVTAKAKHFNFRFRFAAATHSSTTLQSLSFFRFSLLLNLPHFLFTFTSHPKKPKINQFFQKFQLRNKSKSNIVSKLKTSSKFSDQLQLHDAICICKKYCVRIVTMLIFLASVLLKKFKMVKVMNFAPFRLVGAVTMVYYLLIFFYYNYSYNFWEFMGRSMWNKMSSLK >RHN60420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26596532:26597242:1 gene:gene22731 transcript:rna22731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MIKKKKNNTMEIEMPVVIVGAGPAGLATSVRLHKLFIPNIILEKDDCHASLWRKRTYDRLKLHIGKKFCNLPHLPIPSDLPNFVPRVDFLRYLDNYVNHFKICIRYNRYVDDASFDVKSGKWRVCVRDNRLNIDEIYVADYLVVATGENCDEYIPMINGLESFEGEYLHSSKYLNGRSWYDKNVLIVGSGNSGMEIAYDVSNWGANTSIVIRSHVSSPLSSKTNKHSSLSVKKTTF >RHN61881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39122273:39123726:1 gene:gene24392 transcript:rna24392 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEQILTLLDSFWFETTILTNKNPSKVEEILPQDTNLLLVPTPNLDVRFYSEQNLSIIGSVFSDSPSPNSVLTSSKLRTIPSEREFGEFSTGTSSENHHQKEDVAYTKKKQSQSYRRRRRKSRSLSELEFKELKGFMDLGFVFSEEDKDSKLVSLIPGLQRLGRENDDAEEGEDEEHKKIDENVLSDNKPYLSEAWDVFDQRERKNPLVNWRVPDKGSEIDMKDNLKFWAHAVASIAR >RHN74051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:25291096:25291329:1 gene:gene9995 transcript:rna9995 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLCWDDGVLQPCHRCGRKARSWSYRSNCKSYNLHVACVREMLVENWYNLYMGHGKGSSRKLEAIIPSLQNTLYAV >RHN64605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60351526:60352069:-1 gene:gene27434 transcript:rna27434 gene_biotype:protein_coding transcript_biotype:protein_coding MFILWVVLNLELFTVQCTLLVSKLNNYHAEINEQNSEENCSDGALGGGLSTIVSISSNILSHLSL >RHN70307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50448895:50451145:-1 gene:gene18874 transcript:rna18874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MNTPSLNLVSECFIKPCPPIEDSNQICYLGPWDISMLSAYYIQKGLLFKKPTSSSLNKQHFIENLVEKLKHSLSLTLFHFHPLSGRLVTKKSEDPHFYTVFVDCKNSLGAKFIHATLDITINDILSPVDVPPIVHSFFDHHRAVNHDGHTMSLLSIQVTELLDSVFIGCSMNHCIGDGTGYWNFFNSFSEIFQNDVHVPVPISHKPIHNRWFPEGYGPIISLPFKHHDEFIHRYETPILRERIFHFSAESIAKLKAKANKESDTNKISSFQSLSALVWRSLTRARQLQQGQRTTCKLAVNNRTRMEPPLPKEYFGNSIYAVRAETTVGELLENDLGWAAWKIHLAIANYDDKVVRNSVEEWLRSPFVYRMDLFFDPYTVMMGSSPRFNMYGNEFGMGKALAVRSGYANKFDGKVTSYPGQEGGGSIDLEVCLSPEKMMVLETDQEFMNSASVWWIFFKKSRVGGYSFFEWDSRSTHNNFDEPSFLFNFGSRLFLSSS >RHN69002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40193655:40196755:1 gene:gene17428 transcript:rna17428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative development/cell death domain-containing protein MDINNNNENSFWQFSDQLRHQTSNLASLSLNDSIWSTNMTKRPDQRRNFDVKNSDINNSFTNFNSKPSSDLNDGWKMMNNSNGPLFSMPHNNNSSFAGFNKGIYSSPYVNNLNNNTNNLSNINLNGYKSGFKVGDEFQLGNKGVKKNINNNNNINKKHGDNTDVAKTSADKKFKTLPPSESLPRNETIGGYIFVCNNDTMAENLKRQIFGLPPRYRDSVRTITPGLPLFLYNYSTHQLHGIFEAASFGGSNIDPTAWEDKKCPGESRFPAQVQVITRKVCEPLEEDSFRPILHHYDGPKFRLELSVSEALSLLDIFADQNSFNDIFKAIPA >RHN59940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15037828:15038638:-1 gene:gene22111 transcript:rna22111 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGGVLSRSCVVRLTLLCRIVEVVDNNKLWDIDEYVAAYVMMFMM >RHN57979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42906112:42906714:1 gene:gene33576 transcript:rna33576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKNVTEAAEDGFYQYMELDIVGQQYYDYGNLATKGNKTPLVKIPKIFASIDFSRNKFDGEIPDVIGELHDLKGLNLSYNKLTGHIPQSMGNLINLESLDLSSNMLTGRIPVKLTNLDFLEVLDLSNNHLVGEIPQGKQFNTFTNDSYEGNLGLCGFPLSKNCGKQCREIWIWMESSGNWIWMWICDWNRHWVLHVFDRKA >RHN67132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24199343:24199816:1 gene:gene15281 transcript:rna15281 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDHENLFPDSLDLPALTSLHLQHFTFCVGDNDHAEPFSAFNRLNSLLISNCAVRGAQTLCILSATLVNLTPYQHDHKNYYYGIDLRAPSLCTFTFYGTPYQNISGGDISSLKHVDMHAEVDSFHRDSPPLFLLSWLIEFADIKSLTVTATTLQVL >RHN82486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54178121:54181463:-1 gene:gene6655 transcript:rna6655 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLSGSTSMPFWLTMKPRSFPDLTPKVHLLGFKRSLYFLRRWNIFCRYLTWSSSVIDLTIISST >RHN61707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37683587:37688475:-1 gene:gene24196 transcript:rna24196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MCFGLHRRSRPAAFKFFTAGHSSSEIRKSVQNAPSVCQFDAGRSPTSLNNLVIQLRKVCNHPDLLESVFDGSYFYPPVNEIIGKCGKFQLVDRLLERLFARNHKVLIFSQWTKVPDIMDYYFSEKGFKVSRIDGSVKLDDRKRQIIWHEVGESESEEDRMVYELEQECLEVYRRKVDKANCSRAQLRQQIADSEANL >RHN58558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2780496:2786545:1 gene:gene20480 transcript:rna20480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-isopropylmalate dehydratase MAFSSSITTIPQTLNFTSSNKLSLSSSTTSFHLSFPSFQSNSFKTITTTSPHITRAHAPPSPVTTTAASSFHGLCYVVGDNIDTDQIIPAEHLTLVPSNPDEYQKLGSFALIGLPDSYSVRFVESGETKTKYSIIIGGANFGCGSSREHAPVALGASGAAAVVAESYARIFFRNSVATGEVYPLESETRLCEECRTGDVVTVELEENRLINHTTGKEYQLKPIGDAGPVIEAGGIFAYARKTGMIPTR >RHN62232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41888781:41894505:1 gene:gene24786 transcript:rna24786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MADDLSSFTKDVLLVKGLKNSTLVWRLIVLAFAMVCGVYICSICLKQISTGSRIGFLDINVIQKPCPEPNIEPWEIPYVHYPNPKTYSREECRCHPVRYFTILSMQRSGSGWFETFLNSHPNISSNGEIFSVKVRRSNITTITETLDTIYNLDWFNSASKNECTAAVGLKWMLNQGLMQHHEQIAEYFRIHGVSVIFLFRKNLLRRMISVLANEYDKNAKILNGTHKSHVHSPKEAEILAKYKPTLNSTLLIKNLKQVNDTTTKALESFKSTRHIMLYYEDVVKNRTKLMDVLEFLKVPQVNLKSRQVKIHKGSLSSQVENWNDVTKTLNGTQYESFLHEDYRR >RHN72109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5064288:5064595:-1 gene:gene7782 transcript:rna7782 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATPFLGGDGLGFVGWCPDLCCSHSVVAVLVGGFIPLLRDPYDGGVATHGGGVDGGLLWQIWVVFVYVDPCAGSDGGVRW >RHN75012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38729752:38733811:-1 gene:gene11159 transcript:rna11159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MAQLPPKIPSTIPQNWPYFPHQRVSSSSTMSNITSTTTTTATTQNNTSDHQQSPPSQQLPSWIDEFLDFSSVRRGAHRRSASDSIAFLETSFLEEDCRSGGGGGGGFDRLDDDQLISMFSDNVAGVSFPPLPLSSTSNPSSPSSDQNSNNNEEKPMAMVLDEQPQEHYLHHEVKPKDEAVDDDGSCKNEAAAAPSSSGNITCTETVVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSALSPRVAFLDHQRMILTVDNSAIKQRIAALAQDKLFKDAHQEALKKEIERLRQIYHQQNIQKMGNSVNNNINNGHSLQSPTPTSQPQQQSFHQHKEQILS >RHN44861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9180901:9182629:-1 gene:gene39027 transcript:rna39027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSEDNRPSLISKTLENGAAQYIVKPFCAEDFKDIWKYVMEGKKEKLFIESLFVRNEEEETSSEPKNKKKHCKRKSKESQGEKDIQVVKKQKLVWTPYLHKMFLLAVNQIGLESKSSFKNIFLMKGFLNLISFTMCFSCVYIFPNSEAVPKKILEIMNIPNLTRENVASHLQKYRIFLRDVAEKGMVEGMSQRALESRFASSLPSSVIREIEEKRTNKLLAPTLQYLQTLAYQTRGVNNVFNNFNQFPTHHVDQFPYIQKGLALQDLEQVRLGKSKLEANAVVENSGHDQNRFGISSSNLPFFQAKNSFNDGASTSFSSYRGEQGLMVSSSSSFLTRDFKCGDIRNQNHGVKTSNFGSLASPNIMPHKFTSDARNNNHFGIQLNNRAEMVGIGTMNNGLRDSSANNNSFFFNKRGQNENKNCRSFVNENFGLVQGGFGLDSISDIRGGSGREFLAGSVNNEGNVSKNRQFPQHKLNGNVVENVQKYNGSTFTNEVDFNTIEFNNFDYLMADDKNLHNEV >RHN45126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11999740:12002190:1 gene:gene39336 transcript:rna39336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MKFFTQFTTIVLLLSCFLSIQAEKLKSSQSCEFQAIFNFGDSNSDTGCMSAAFYPAILPYGETFFHEAVDRFSDGRLIIDFIAKHLGMPFLSAYINSIGTSYRHGANFAAGSSTIMRQNKTYFDGGTPFTLEIQVEQFNQFKSRTGKFFTQANKSSYTRHFPKPEDIAKSIFILDIGQNDIADVISKVGKEDSHALISNIVEYFSKQIQSLHFFGARTFWIHNTGPIGCLPVAMPIHNAHNNQTQVVGYLDQNGCVNSQNDLAKEFNKKLKDIVVKLREQFHDASFTYVDMFSAKYELISNANKSGFVDPSGICCGYHENGYHVYCGNKATINGKEIVTSSCEDPSKYISWDGVHYTEAANQWIANRILDGSFSDPPLPIAQSCQITH >RHN39073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3941785:3944692:-1 gene:gene45066 transcript:rna45066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MDDSEPEFQVPARKELDIIKNYFNVESEFIAAADFFTSFRDILFRNLAVSVIVKFSRSDDPDSFIPYLVMNYFNLFKSQHKLNLEDVEGRTETERVRLIAVSCLTISAKMRTNSFSVDRFLENLYRDMNVRITPPMVMRMELLILQELQLPMRSVTAFCFLNYYYPYFKKFCGFKRHSINEIIVQAQGEHAFAHCMPSHIAISAFLAAVKTQYPSKYSEIAKDITSKIGLQGQVKECVKKMVDLCNRLNIQIESTESGTRSTSSKVTAVPEEEIKEAGTSEEDEDEEWTGLIKQVQDMGKRKARVQVLVQAEELLDDEVRLASGNEVMVVDTSDKKDVKETSLAKGKGKAMERSILDIAEVELKWPTDDKGRNKARIKVGSGKLRRFYSLLCIVLILMQSCESVNAKSSFDFTSSFDYYRLSETWVGTFCRSSRCDKSFVDDRFTIHGLWFDKYPTHCSNEPPSQKNKNKNKERYEIPDDLYGALMTEWPSLTGGKHQNLWMHEWSKHGDSVGFRPPTYFKTALELHNKCRVQQALAKEGIKPGGAEISFKTFKEALEKQGLGKTELMCNQRNQITEIRCCFCRGTKKRMRCPRKYGHRCEGKKNNLPVPRGSETPKGRVVGCIAA >RHN39004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3456670:3460179:1 gene:gene44988 transcript:rna44988 gene_biotype:protein_coding transcript_biotype:protein_coding MENCLTIKPHIGFFTRPISFSSSLSFRSRVPLIKASAGASSHCESSSLNTPLLPRTQVGKFLSGVLQNHRNLFHVAVQEELKLLADDRDAANSRMLLASESDEALLHRRIAEMKENQCEVAVEDIMSLLIFHKFSEIRAPLVPKLSRCLYNGRLEILPSKDWELESIHTLEVLDMIREHVTTVTGLKAKPSVTESWATTKVRQFLLGRIYVASILYGYFLKSVSLRYHLERNLNLANHDVHPGHRTNLSFKDMCPYGFEDDIFGHLSNMKPIGQGLIRQEEEIEDLKCYVMRFHPGSLQRCAKLRSKEAVNLVRSYSSALFNSEGFDSVDSDDVILTSFSSLKRLVLEAVAFGSFLWETEDYIDNVYKLKDH >RHN76316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49337057:49337517:-1 gene:gene12618 transcript:rna12618 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLKEISYQEQFQYNSLCVQRIVHSNSSSCNKRNGNKVVVPLVTSIGGAFLILAVAAISFRIYNKRHHGTFCFLIGLIGS >RHN81660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47879277:47881591:1 gene:gene5732 transcript:rna5732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll a/b binding protein MSVTSSIPCIKIPTTHSSSSSCSTSSYSFRFSSSKLYAVTIRNVQNDGPLRRPAAPSVKEPSNLPQPLKPSPPSQPPPPQPQKTSSFVGDDKSVVSLEFQRQKAKELQEYFKKKKLEQAADQGPFFGFIAKNEISNGRWAMFGFAVGLLTEFATGSDFVDQVKILLSNFGIVDLD >RHN66517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13285652:13287570:-1 gene:gene14520 transcript:rna14520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MQTFSYVHYLRPLESEDCWSLVARHAFGACNEQQRSNLEEVGKKIAKKCDGLPLAVIELAEFLHIELSPDYWNNVLIRDIWEFVHYKVQPALQLSYHYLSAPLKQCFEYCSIFPKKSILEKNVVVQLWITRGLVESSADQEKVGEEYFDELVSRSLINRQSIGDEEEIFEMYSLTNELATMISSSYCTRLDEQNLHERIHNLSYNRGLYDSFNKFDKLYAVKGLRTFLALPLQKQLPFCLLSNKVVHDLLPTMKQLRVLSLSNYRSITEIPNSIENLLCLRYLNLSHTKIERLPSATCKLYNLQFLLLAGCRKLIEFPEDMGKLVNLRHLDISDSTALREMPVQIAKLENFHTLSDFVVGKQNDGLKVAELENFPTYMENFLSHSYKMLMTPLKQIKPRLR >RHN44748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8082807:8085238:1 gene:gene38908 transcript:rna38908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MEKENTSKDLFSNLPKEIIGRIVSFLPNESSMETILISTRWRDLWNETIVRYGTKEEITSVVTKFLTSFDDLDPLKHPRKLQFHYEDKVLLATIANNSKLLLDFSPLKKEFIENIQMHFELHFKLNNQQKISYKSFTCNIFSLKSLYLKSISYLTSEVASSIISSLDHLENLVIISCNGLQSLSIDSNFELHKLTILDCLQLKYLHLKTSKLKSFQFRGPLPWILPEFHFNLSDAMLDFRLGPSCGNFKTKDFDATLLTIKNSQVLTLCRWTFEELIWPSICPPYGCFNFYNLRELWWIDNYKDEYCMDALFSFLKLCPSLEQLFVTIDAKSYSTGRSVSWFMQATKCTKLEHVNLIKFMGFTSRKDEISLAKCLIHLIKGKLPKINISDGSCLDEFLK >RHN76919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2364320:2368014:-1 gene:gene311 transcript:rna311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MGFKGINKNNPFDDKRNNFFSISNLGSQWNNHHEEQQGYPYPIGGLFASMSSSQMGPGFGAAAGGIPQPNPSSDSSPQRNNGMKIPCTELYVRYVQSEGKVKILGVPDEQDEILGVDKKKKGGLKKFKIKVKNPSLRRLISGAFAGAVSRTAVAPLETIRTHLMVGTSGHSSGEVFSDIMKTDGWKGLFRGNFVNVIRVAPSKAIELFAYDTVKKNLSSKPGEKPKIPISPSLVAGACAGVSSTIVTYPLELLKTRLTVQRGVYNGLFDAFVKIIREEGASELYRGLAPSLIGVIPYSATNYFAYDTLRKVYKKVFKQEKIGNIETLLIGSAAGAISSTATFPLEVARKQMQVGALSGRQVYKNVIHALACILEKEGIQGLYRGLGPSCMKLVPAAGISFMCYEACKRILVEEDDEENNE >RHN50631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8675641:8683123:1 gene:gene34940 transcript:rna34940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-severing ATPase MEESSPKKCCWRKEADSNLKRLHSLLFAAENHRDSDDYSSAYILSLRLLGFLDSRSHSETDQAFIQHIRTQALSYLHTARKSLTPTINRRAFEQAKRSPEPVFNTTGDIDIDKIRNSKYFQALIKPSKEKDCNQLVDQLGKQNKTGKEASKEVVQAKLTSMYGKSSLRTSNGSNSFFNLKTNSSEDCTIIGRPQSLPIHTKGPGVSSVFEVEGEERACRNTFSTKRAHTENNSPRVGYFKSPSSKEEVNPDVACNEFVTARTKLEMEAKQKRGVMGSPSAPVSPQCDNNPANRLYGGRSYGVSRRGVRGNFIPPIKSNGSNIGNITSRIAGNKGDDSLDESTKKCLEILCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVHWDDIAGLDHAKSCVNEMVIFPLLRPDLFMGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNEKVLLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIARSLLEKDGLFKLSNEEIDIICNLTEGYSGSDMKNLVKDASLGPIREQIRQGAKVVNLEKEKIRPVTLQDFKISLQQVRPSVSPNELGTYEQWNKQFGSLAI >RHN66276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10277107:10277793:1 gene:gene14226 transcript:rna14226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEEDMAPWLKPQIEEEWYMNSIPPHTEELIPNLQNHTQQQNNVGPSDPNTSVFKHHLGSSFSLPSPKSNFSNLLNINDNININGSTTNNKNDNNNPFGSVFNLESQGFSQTPLSIPRLTLTWLNGFEKKMRKDENGEVEKGLNYNSNESVDAGKMRNHEKNADNNYNSNTIIDNFDDENCVSEGDRKGKKKENPSKSLIAERKRRKKLKNNMHKLRSVVPKISKVSYS >RHN52840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39382122:39384438:1 gene:gene37559 transcript:rna37559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MYNGAQISDINGPPTGKWTTGLFGCCEEPGNCCFTFCCPRFTFGRNVEIIDQGRTSATQARLIFCLLGCAGLQSVYSYRFRSGLRTLFNLPEEPCIDFCVHYCCTICAICQEYRELKNRGLDPSKGWKANEGKMKTIQVPPQVAMGMTR >RHN67625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28754441:28755155:1 gene:gene15832 transcript:rna15832 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSRKKKKQKPPKKMEENKESSKGVIENQQQETKNKVLASLVEAFSLSSMEEAVMAYDVAKGDVNKASEILSRGLGMVENVEYPFSSSSSCSGGSTSNGFSSGLDLASTSGSSGSSDGFVEQVCGEDVVGFKGGSSRSRRRRLLRRRELFQRFWGMNM >RHN53735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3661721:3664657:-1 gene:gene28675 transcript:rna28675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nitrate-transporting ATPase MDSLPTTTQQEKIVPDAYDCKGFPAERSKTGGWTSASMILGGEVMERLTTLGITVNLVTYLTGTMHLGNASSANIVTNFVGTSFMLCLFGGFLGDTYIGRYLNIAVFAAVQATGVALLMISTIIPSLSPPTCKEHTTCVKANNTQLTVLFLALYVTALGTGGLKSSVPGFGSDQFDATDKEEKKHMVKFLNWYYFIVNLGSLMAVTVLVYIQDHQGRDWGYGICACSIVLALVVFLFGTCKYRYKKPVGSPLTQIAVVFVAAWRKRHLQLPSDSALLFNDDAILHEPPRIKKQRLPHSKQFRFLDKAAIKDYESAGGIVKISKWCLSTLTDIEEVKLVLRMLPIWASTIVFWTVQVQMMTLSVSQATTMDRHIGKSFQIPAASMTSFLIGTILLNVPFYDRVICPIARKVLKNPQGLTPLQHTGVGLVLSILSMVAAALVEEKRLIFAQSHGLVNDPTAKIPLSVFWLIPQFFIVGSGESFMYMGQLDFFLRECPDGMKTMSMGLFLSSRSLGFFFSSLLVSTVNKITGPSKPWIADNLNQGRLNYYYWLLAMLSAINLMIYLACAKWYVYKDKKVAEEGMEE >RHN82094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51245687:51246860:1 gene:gene6218 transcript:rna6218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MYGNDHIPVHHVPGSRPGPHPTPKPIKRHHSTKYYLQRVQDSLTTRATKMVCTVFLSLLAIIGLITFIVWLSLRPHRPRFFMREFTLTGLAQQTGFGNAQIAYKVDARNSNQNIGVYYEAMEGSLYYRNNVIGSMHIPFPSYQGPKNTTKVNGVFNGATLTVNSQGWSEIQNDGADGTVMFILELTTVIKFKISSWESQRHRMHATCDAGVGSNGTLLSIYKDKRCTVDFA >RHN66807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19107295:19109570:-1 gene:gene14897 transcript:rna14897 gene_biotype:protein_coding transcript_biotype:protein_coding MLESKEMMSLESYQNQANLFVKDYLLADSFIPYTSVFGGIFACKLVYDLTQLIGTNSFKTYSSLSKIQRIEWNNRAMSTIHSIFITTMSLYMVFCSNLFSDNQSTDPITVRSSSLSTFALGVRMVTFFPFF >RHN43834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48840934:48843477:-1 gene:gene50464 transcript:rna50464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSVPVDHKDQGVGAVPQSTSTPNWTIHVSDIKTVKVSNVSMTATEKDIKEFFSFSGDIQYVEMQKETESTKTAYVTFKNSQGADTAVLLTGSNIANSPVTITPFENYQLPPEAQPFSPNQKQTPAAVKKAEDAVSTMLAKGFVLGKGAINRAKSFDERHHLISNASATVASIDRKIGLTDKLSIGTAIVNEKVREMDEKFQVSEKTKSVYAVAEQKASDAGSAIMSNPYVSTGASWVSSAITVVAKAAEDVTTMTMEKVEMAEVDKKEIIYSERKDVVDELSHIHSEKPSVGNTSPKDPVKSSDDSRFGII >RHN42912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41883463:41884816:1 gene:gene49418 transcript:rna49418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MQNLTEINHQQNTNIPLQNLSQLSTEMDSIQSFISQSIQTNTLLPKQHLDSLSNQISSTIRQVIINATALLATCPEAITLPPTDSYWTSGEKINYIDDDMANLTHNEHSKIVELDAMELLAKHFHFCEICGKGFTRDANLRMHMRAHGEQFKTHEALRSVREKQARLTTTRFSCPYEGCKRNRLHKKFNPLKSVFCLRNHFKRSHCPKTHTCDRCRRKSFAVVSDLKSHVKQCRGESTWKCSCGTTFSRKEKLFGHVERFEGHSPAIVVEKKGKSMTAAPAEEEGVGGFLTKENNCMDALPEGFFDDLDKFWV >RHN81286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44572355:44576683:1 gene:gene5302 transcript:rna5302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MEETAFYQGPGFRFHPTDEEIVRYYLKKKLTGNLPSCFDYLAVIDIYKFEPWDLPKLSKLKTRDLEWYFFTALDKKYGNSIRTNRATDRGYWKTTGKDRAIKNGEETVGMKKTLVYHSGRAPHGDRSNWVMHEYRMIDETLAKAGVQDAYVLCRIFEKSGSGPKNGEKYGAPFVEEEWESFGEQVLPLPPVDNEPLKLEGFTPDVDELVNPLAVSVPDDVLVDPLDVFYDELWNENLPDSTYEDFFEAHDLDQDLVTSNTVGSAELQSNFCYGECSTHPQYSQAFINERKQSEATLGVYEYEVDQPNNIADLYGGNINSVQDGYNGGTNHNKNPLNFNFASEELGQVFHAPDYITGVTDEYMETNDIAEMDPSVAAMLDGSLADPDDDISNYICFDSPLNARSESPIASYGQPIIEQNVEGETYDSSLTNKHVFEAQPSDEVLPKEDLAASNWVSGDANTFVKHLLANIPAPPAFASEFPAKELACEIHPAAESSNSAHITAGMISITDITFRGNAMDWMVGKNGGFNTVMSTGFSQTDVNPATLVPISGLVCSKTAFMLSHGWVFLMGFSVVILSLSFKIGSFMYTGK >RHN57331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38152472:38154374:1 gene:gene32857 transcript:rna32857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, protein accelerated cell death 6 MDKHEMLPLHYASTIGYLEGVVQLIEMCKCCTIQRDKYGYFPIHLASYGGHVEVVKKLLEYCPDPTEMLDTSHERNILHIASNYGKYEVVQYILQSQSSERYKMINQKDNKGDTPLHLAARSCHPRTVYYLVNQSKERVKLNLVNQNNETALDIVSALFELDKSSLRQASCSIPLQIYKYVA >RHN78044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11481508:11485353:1 gene:gene1559 transcript:rna1559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing protein MDSKSLCFITHQDIFNVVRSGDLEGLKEVLKYVNKGESSNGPSPISEFLSMQNDAGETLLYIAAENGVKDLFSFLLRLCDLEILKIRSKSDMNAFHVAAKRGHLEIVREILSTWPEACKLCDSSNTSPLYLAAVQDHLDVVNAILDVDVSSMMIVRKNGKTALHNAARYGILRIVKALIARDSAIVCIKDKKGQTALHMAVKGQCTSVVEEILQADPMVLNEKDKKGNTALHMATRKARSQIVSFLLSYASMNVNAINNQQETALDLADKLPYGDSSLEIKEALSDCGAKNARNIGKVNEAMELKRVVSDIKHEVQSQLVQNEKTRKRVSGIAKELRKIHREAIQNTINSVTVVAVLFASIAFMALFSLPGQYRKQQPEAGKANIAHEVAFSVFCLLNATSLFISLAVVVVQITLVAWDTRAQRQIVSVINKLMWTACACTCGAFLAIAFVVVGKERWMAITVTVLGTPILVGTLAYLCYFVFRRHFGFQSESQRVLSKRPSGSKSLSWSYSAHISDEEEYNSDVEKIYAL >RHN62533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44175686:44179817:-1 gene:gene25123 transcript:rna25123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle-associated membrane-protein-associated protein MAVAEPKLHSEPKVWNFFKLPFRNSNTSSTNTTSSSVNNNLHHHHHHNPNSNTPLEGSTSHTSNSVSSVARSLLPTRRRLKLDPSNKLYFPYEPGKQVRSAIRIKNTSKSNVAFKFQTTAPKSCFMRPPGAILAPGESIIATVFKFVEQPENNEKPEKSGLKFKIMSLKVKGSIDYVPELFDEQKDQVAVEQILRVVFLDPERPSPILEKLKRQLADADAALEERKKPAEDAGPKIIGEGLVIDEWKERRERYLAKQQGDVVVDSV >RHN68114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32981823:32991300:1 gene:gene16414 transcript:rna16414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclear condensin complex subunit 3 domain-containing protein MLQPTTHTLKPKTIKQPPAQNSNLKKITISIQTHFFLHALSSSPLTMEDTNTDHENRLSQKIASILDEIRISYATHNRKLKELSLLRSKSTSPSHFFSAFSKSLIPLFNFHRRLASADRVVSFVSSFTAARNSADAEVCDEFLDHFLHFLLVAATAADKTVRFRACQIVSEIILRLPDDAEVSNDLWDEVIECMKVRVRDKIHVVRTFAVRALARFVNDSSNVDILDLFLEMLPLEQNADVRKMIVLSLPPSSATSQVIIDCTLDVSESVRKAAYCVLANKFPLQSLSIKLRTIILRRGLADRSAGVSKECFKLLKDEWLIKCCNGDPLELLKYLDVETYESVSESVMEALLKAGLVKLKNGASIQQHITSNSDTAEGEGVHCPPSIILMEAEAALYWRTVCKHLQSEAHALGSDAAATAGTEAEVYAAEASDKNDLLEKILPASVDEYIELVRAHIVAGPNHRFACRQLLLLGAMFDFSDTSYRKAASVFLQELMSKPPEHEVDNEGNVVVIGDGLSFGGDTDWAEAIAKLAKKVHAAPGEFEEIVLAIIEKLAQPCRERTADCVQWIHTLSLIGLLLKNAASMRFLQGKAIEPEELLQSLLLPGVKQSHLDVQRIAVRCLGLFGLLERKPNAELLKQLRTSYIKGPHLISIEAGKALIDLVMWHGPQEVDRVLSHDIPSQVNCDKKCFVPVNFSDSEGDSNSNVDILDLLYGGFENEDWANPLTSNEDECIYAVLGEGFAKILLLSDNYPSISASLHPVLLSKLIYLYFSDVSENMHRWLKQCLSVFFEHYPCLSTNHKRCILKAFIPAMRSMWPGIFGNSGGSPFMVSQMRKRAVQASRFMLQMVQIPLFVKETEAVSENSGTEHPQVIDSIAEVPFECGEEGLALRIAIEVTSFHSKKVAAEKAYVSALCKILVSLHFRLSEQGPIKIMRKLLCRMAECVSSEKDLVKELKRMADHLMTIDRQQDQELLQDEVNLILGKLELDFNLDLDVSVAMPQTPAAQPTRATRARRRVRIEEDSSDDEEDSQPSVVPTPVNTVKGRSQRASKTAAMNKMSSAIRSPIIDEFEEQEEEEEEASNLTSEDSEESD >RHN45224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13200633:13201334:-1 gene:gene39458 transcript:rna39458 gene_biotype:protein_coding transcript_biotype:protein_coding MDIENMENFQAEPSNELVSDAPEVTLIFVLFLGKLKLYCIISSWVYIGYLI >RHN54955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13930578:13931880:-1 gene:gene30066 transcript:rna30066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ZF-HD family MDFDEDQEEEQDEELCMGGGNYDSLPNSSRVVKMPGGTEPIMVTHPLRNILNNNNNNNNNNNGNSNIGGVVGNGKARYRECLKNHAVGIGGHALDGCGEFMPAGSEGTLESLKCAACNCHRNFHRKESSADVTAGDPFLLTHHHHHPPPPPQFAAYYRTPAGYLHVSGQQRTGTLALPSTSGGGGGTQSTREELEDVSNPSGGGSGSSKKRHRTKFTQEQKDKMLELAEKLGWRIQKHDEGLVQEFCNESGVKRHVLKVWMHNNKHTLGKKP >RHN42564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39359510:39359944:-1 gene:gene49017 transcript:rna49017 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLGGRDDIEALCLAKRVIRNPGINLVVYHLTSEDHMPNLEYVLDNEALEEVKKLPHYGSKNVCYQKLIVKDSQGISTILRDIANEHDFFIVRRTHDSDLPQIEGLAKWTEFSELSAIGDLLASPDLGSRAGVLVLQQQAKDK >RHN55061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14809988:14813360:1 gene:gene30187 transcript:rna30187 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAPGILVKLLNGLNTGVKPTSEHRNSLLQVTDIVPADLDEKSLFPKQGFYIKVSDSSHSIYVTLPSDQHDFVLSNKMQLGQFIYVDRLEPGSPVPVLKGAKPLPGRHPFIGTPEPLMGLREKAQTQAQAQTCKPSSVRGSWGTGRKKKNDDDGDGDGGDFFPSSPMVFKPVNLDFDQCTPVRGRSNGGIGTPVRCSVSGGLFGKMNDAKGESPGLLRKSCAFGSSNGKITRSRSVSERENRIPASPFRSNEKRGGTPPPRLRHARVTTSVGVIDGVTQKEDSSVTSQQKSHSTTNSAFDDSNNLSLPMNLPARLGSLGKEAVQQREVAQKIALQALRDASATETVVRSLKMFSNLAKSARADAPATCFERFLEFHNDIVQAVSAMMSMQAATSASDLASKSDKQVEEEQPHVLHEVMQNSIDQSGNSTESNVSKRRCVYKGKMGKLLKSSSTNQKEILEKKGSTLEPIVENDENKKPVSCSLSNTVKLGKQIETEAGNWFMEFIEKALEAGLKKTKEESKGDVRKVPQSLILKVMNWVEVEQYHSNKRPSHPKAAQIARKLRIKIKNP >RHN52427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35211707:35215997:-1 gene:gene37107 transcript:rna37107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MNKNKSSTTILVHTAIGSGQESFKDHFSPCYKVTYQRLHITEHKIYSFIFCILKLFFILFLSILMAIQSPSSSTSFSYGFTYQVFISFRGTDTRHGFTGNLYKALTDKGIHTFIDDNDLQRGDEITPSLLKAIDESRIFIPVFSLNYASSSFCLDELVHIIHCYETKGRLVLPVFFGVEPTNVRHHKGSYGKALAEHEKRFQNDPKNMERLQGWKEALSQAANLSGYHDSPPRYEYKFIEEIVKYISNKISRQPLHVANYPVGLQSQVQRVKSILDNGSDDGVHMVGIFGIGGLGKSTLARAIYNLVADQFEGLCFLHNVRMNSAKNNLEHLQEKLLFKTTGSEINLDHVSDGIPIIKERLCRKKILLILDDVDKLDQLQALAGGLDWFGPGSRVIITTRDKHLLDHHGIEKTYAVKGLNGTEALELLRWMAFKSDNVPSRYKEILSRAVSYVSGLPLVIEIVGSNLFGKNIEEWKYILDGYDRIPNKEIQKILRVSYDALEEEEQSVFLDIACCFKGHGWEDAKYMLHAHYGHSITHHLAVLAEKSLINQYREYGCVTLHDLIEDMGKEVVRQESTKEPGERSRLCCQDDITRVLRENTGTSKIEMIYMNLHSMESVIDKKGKAFKKMTKLKTVIIENGHFSEGLKHLPRSLSVLKWKGCLSKCLSTSILNKFQNMKILTLDDCEYLTHIPDVSSLSNLEKLSFEHCKNLITIHNSIGHLSKLERLSVTGYRKLKHFPPLGLASLKELNLMGGSCLENFPELLCKMAHIKEIDIFYISIGKLPFSFQNLSELDEFTVSYGILRFPEHNDKMYSIVFSNMTKLSLFDCYLSDECLPILLKWCVNMTYLDLSYSDFKILPECLSESHHLVEIIVRYCKSLEEIRGIPPNLGSLYAYECKSLSSSCRRMLMSQQLHEARCTRFDFPNGTELGIPDWFEHQSRGDTISFWFHKEIPSISCIFILPERRWASNFSLYVNGYEIEIGCYRSQRLFPDHITLFDMKLEELCKGQHMYNMDKGLLKSEWIHVEFRSKDHENSVYAQMGIHVWNEKSNTEEENVVFTDPYLKKQRLVEVGVSVENMDQNLLLEFVVVFMVMTVMILIIGIMGGYRFMLSVTNL >RHN73896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21307909:21316688:-1 gene:gene9788 transcript:rna9788 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLDWYLKIGVASALVGASMEFFMVKTGFYDKVTVLESEKRALENSPDAQAIREALNPWRHVDTKETNKP >RHN41311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29041553:29041939:-1 gene:gene47619 transcript:rna47619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MDEVGSSCEWSRDQDKAFENTLANYPEDAVDRWEKIAADVPGKTLEEIKRHYVVLFDDINHIESGFVPLPDYDSFSKSSTTCAGEGGAVKKGTKASSSYQERRKGVPWTEDEHRLVYPYFVTIIIKYW >RHN47349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39068473:39072654:1 gene:gene41939 transcript:rna41939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthoxin dehydrogenase MLRTLARELKLTNFSNGLVKKRSSRFYATVGGRRLEGKIAIITGSASGLGKATAHEFVQHGAQVIIADNDTQLGPKVAKELGHSAQYVECDVTVEAQVEEAVNFAITNYGKLDIMYNNAGITGPVIPPSITELDLDEFEKVMRINVTGVIAGIKHAARVMIPKGYGSIICTSSISGLFGGLGPHPYTISKSTIPGVVKSVASELCGAGIRVNCISPTAIPTPMSLYQIGKFIPGVTYEQIGEIVSGLSALKGAKCEDIDVARAALYLASDDAKFISGQNLIVDGGFTSIKNFAFPSPDQIG >RHN70807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54177524:54179669:1 gene:gene19426 transcript:rna19426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperonin ATPase MAATAAPHRSSKTESYTDNKRKDDVRQSNIIAARSVANAVRTSLGPKGMDKMISTSSNEVIITNDGATILNKMQVLQPAAKMLVELSKSQDSAAGDGTTTVVVIAGALLEKCLLLLSHGIHPTVISDSLHKASVKAVDVLTAMAVPVELTDRDSLVKSASTSLNSKVVSHAAGGPTRMENAKIAVIQFQISPPKTDIEQSIVVSDYSQMDRILKEERSYILGMIKKIKATGCNVLLIQKSILRDAVTDLSLHYLAKAKILVIKDVERDEIEFITKTLNCLPIANIEHFRAEKLGYADLVEELSLGDGKIVKISGIKDMGKTTTVLVRGSNLLVLDEAERSLHDALCVVRCLVAKRFLIAGGGAPEIELSRQLGAWAKVLHGMEGYCIRAFAEALEVVPYTLAENAGLNPIAIVTELRNRHAKGEINTGINVRKGQITNILEENVVQPLLVSTSAITLATECVRMILKIDDIVTVR >RHN74801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36750449:36755100:-1 gene:gene10921 transcript:rna10921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amine N-methyltransferase MAETNTEAQKQARLAILELANMISIPMSLHAVVRLNVADAIWEGGANAPLSAAQILARVVPGGGGDAENLQRVLRMLASYGVFEEHIGDGERKYSLTNVGKTLVSDENGVSYGAYVLQHHQDALMRAWPLVHEAVLDPSKEPFERANGEGAYDYYLKKPEMNELMLKAMAGVSVPFMKALFESYHGFQNVEKLVDVGGSGGDCLRMILQKYPNIKQGFNFDLPEVVAKAPQIPGVTHVGGDMFKYIPQADAIFMKWVLTTWTDEEIKHIMLNCYKALPAGGKLIACEPVLPETSDDSHRTRASLAGDIFVMTIYRAKGKHRTEEQFRQLAISAGFNLFKSFHVDYFHIVLEFQK >RHN72633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9280219:9281975:-1 gene:gene8376 transcript:rna8376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MQRTFTSLILSILFFYLSAASMVNALHLKYFIFSGRIIALALKKKVRVGIVFDRVFFKQLAGNYIITLEDIRDADPIMYSSCKQILEMEADYIDSDALGLTFSIEVEELGHREVIELCPGGESLVVDSKNREKYVHLLIQSRFVTSISEQISHFAQGFADIISCSSLEFFQFLHHEDFDWMLHGSENDISVEDWKAHTKYHGYKENDRQISWFWKVCRILILWDHFVFTFIFTIYFFPLFLHFMSSIVGRMPAEQKKVLLFFWTSVKHLPVEGFHGLNSRLLICKSHEPDNHLPSSHTCFYKLCFPPYSSIAIMQDRLGIITQEHISCSFGTR >RHN53300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:814644:817038:-1 gene:gene28191 transcript:rna28191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MSLAMFLPCIILVLISSLNVLYAIDLKSSITAGSNSTWKSPSGYFEFGFYPLPNGLFLPGIWFAKIPQKTFVWYQTPSVETNSLLQLTSEGHLLITYPNGTTSHTIDNIGGYSEAANSAYMQDDGNFVLKDSNLRTVWDSFNSPSNTILPGQTLKSNQILYSKGKGDSNYSMGNFMLEMQADGNLILKAHQWSDPSYWYTSTLVSNLSLVFNETSSLLYLATGIGNIIYSLTKSTPTPVKDYYHRATIDENGNFQQYVYHKRNGTNWERVWRAIDDPCRVDYVCGIYGLCTSPDNESVNCECIQGYIPLDQEDVSKGCRPKTVINYCSGPSMMNFELRVFDDTDFQFYPDFALINDVDLESCKKSVIDDCNIIAATYNSSTSTCAKKRMPLLNARNSSSSKGQKALLKVPYSNNESNTIEVSKNKSFNVRVFLKVMVAISATLACFFGALAAYYHPFVKRLITRRKKYLNATAIGINFREFTFQELHEATDGFSRILGRGSSGKVYHGTLIIDDTEIGIAVKKLEKKIEKSENEFMTELKIIGLTHHKNLVKLLGFCMEDNHRLLVYELMPNGALSSLLFGEGERPQWSQRVEMALGIARGLLYLHEECETQIIHCDIKPQNVLLDANHIAKIADFGLSKLLNKDQTRTSTNFRGTIGYIAPEWLRSAPITAKVDNHDEDERGSEDDDLVLVNLVLRCMVTRKLEIVVSHDLEVLNDFKRFEQMALVGLWCLHPNPTLRPSMKKVTQMLEGTVEVGVPPLLYDQMMANQNSYSL >RHN52985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40843370:40843691:-1 gene:gene37727 transcript:rna37727 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNHISIMERKMIPLQHRQTVMQLSLAMLSQNQKMTMLKMF >RHN42800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41074401:41084673:-1 gene:gene49289 transcript:rna49289 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVFGLAAACEIDFLDPEGNVKVELQIQVIGEKVFPPFLERKFRIELFLAFTTDLDNICSTFFEKTKENLKSLVQDKNKGSRFCTFIEESGQISKDQMFWEKQDVIMEALVKHFFVDGAVTSPLLMEILYNGYQSINADNTTAKFVRIEKNRFGLVGDVPSLINIVVGIGYKPMKVNTPTEEDYESIVTELGCRIVEIFVLDYLFRNKIEVNFTQSELIQTEKKSKKKGEKKLNDESIAADLKEDTSFLNRVRALLNYLFMCALKKHEVIATTLKEKIDELTAEQQEEYVACALKKAVTELYVEAQENVVALYVEVEEESVRRLRITFRNVIRDLLESHNIVEDGNNVSKLYTKTLLTLKPISGYDSLLIELGEYKWTFMVRRMGTSLRFELFADIDNNPMLNFYALNTLAIIHPLDPGRTDCFDRFHLVCKAHPGDVFDLERACENGFLDAEGNVEVEL >RHN58292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:550929:551313:-1 gene:gene20190 transcript:rna20190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II MIPTLSTATSVFLIAFIAAPPVVIDGIREPVSGSQLYGNNIIYGAIVPTSAAISLHFYPIWEAAFVDEWSYNGGPTNSPVAAVTAVSLIYPIGSFSDGMPLGISGTFNFP >RHN51262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15497526:15502882:1 gene:gene35672 transcript:rna35672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ethanolamine kinase MALKTFELLKGCETHEEIMKVLAAVAVDLGDVIDDVSTVEVNPLKGAMTNQVFEVNWPTKSDGHLRRVLVRLYGEGVEVFFNRDDEIQTFECMSKNGQGPRLLGRFTTGRVEEFIHARTLSASDLRDPEISSLIASKMKEFHNLHMPGAKKAQIWQRMRKWLNHAKSLCSQKDIINFGLDNLDAELSMLRALLSEEYQEIGFCHNDLQYGNIMMDEETRSITLIDYEYSSYNPVAYDLANHFCEMAANYHTDTPHVLDYSKYPDLEERRRFIYTYLSSEGEKPSGAQVDQLANLVEKYTLANHLFWGLWGLISSYVNTIDFDYKEYSRQRFKQYHLKKPTLLA >RHN63368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50725146:50725481:1 gene:gene26060 transcript:rna26060 gene_biotype:protein_coding transcript_biotype:protein_coding MTCHGFPLLSSKRFVRVNLGCEEALPLDHKFMIVRVKDESLTMYMELDVRKSYLGMAMGRGRDGFYLPRPHIQFSYTYMLSYPYPMGMRNSISSPSRRVRVSQPHPHPRIE >RHN55622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20155333:20155731:1 gene:gene30829 transcript:rna30829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding pseudobarrel domain-containing protein MLLLPPPMITFTPLILQRFRPFTIRIISAVDLLAKPHTDEVFAKLLHTPITNNTHVCVQDPHDVPNCNDHVRDEVIGLFTRILAPTNVSNRTFYIPRSCAENIFPPLGMEASQHLFIADVHGEDVSMTSGRG >RHN55224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16258247:16263612:-1 gene:gene30369 transcript:rna30369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MDTLENKAAELNKDSFKYAWVLDKLKAERERGITIDNSMLNFETTKYRCTIIDAPGHRDFIKNMITGTSQADCALLIVDSTPAGFGAGISNNGQIREHALHAYSLGVKQMICCCNKMDAFGHLSFKSRFEEIVSQLSSILMNVGYKQDEVTFVPISGFEGDNLVEPSTKLDGYKGPTLLEALDRINEPKRLSDKPLRLPLQGVYKIGGIGALAAGCVRTGVLKPGMVVTFSPNGRQGEVTSVKMDHEDLSEALPGDNVRFAVENVSDAHLSRGYVASNSKHHPAKEAINFTSQVIITNHPGQIEKGYTPVLNCHTSYIAVKFAKLLAKVDRFSGKIIVKEPKFLKKGDGGIIQMIPTKPMVVETFSEYPSLGRFIVRDTHQTVAIGIILVVNKKDPSERDMGQTSHEGLLSFTLQVLFA >RHN69429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43343870:43346383:1 gene:gene17899 transcript:rna17899 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNGYRDEKPCCNFHPKQVVVGVCPLCLNERLLLLAAKQGRRHHHNHRSSSSSSSKKVASSQKLQSSVHTKPPNSSSIHKIFAFGSLFTRLESRHCKSHGNFHYDDISPSPEEDSFISIKFEGNGVASWEKSTVSKVSSSLENCKKNHEDKLETKSVIEHAKSRDTFRWRKRIGHMFQLIRWKKTGGVCHVGNKVEGGAVKVRKGWMRTLTKRKKKTME >RHN75003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38648419:38648909:-1 gene:gene11149 transcript:rna11149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant AUGMIN subunit 7 protein MMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALAFRSSETSEGPSSVTKIISECESALTDLNRSLGILSASIAREQGNKMST >RHN73688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18864885:18865256:-1 gene:gene9535 transcript:rna9535 gene_biotype:protein_coding transcript_biotype:protein_coding MCFFLLIIDEKIVQPKVEAGACSQIIDKCDLINCSSVCKTQDYEGGLVSWKCDEFNLCTCFFNYVPSKHPNQPTRRCEIGLGPCSTATPECNSKCAIKFKGVGTCLDSTILKAKMCICDYNSS >RHN64812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62102152:62108733:1 gene:gene27674 transcript:rna27674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKFLSLVGNSFGCSASGERLVSAARDGDVQEAKALLEYNPRLARYSTFGVRNSPLHYSAAHGHHEIVYLLLESGVDINLRNYRGQTALMQACQHGHWEVVQTLIIFKANIHKTDYLNGGTALHLAALNGHTRCIRLILTDYIPSIPNFWDILQAGDQISISEFDQSGVCEVINKTSDGGITALHMAALNGHVESVQLLLDLGASVSEVTAEDGTTIDLIGSGSTPLHYAACGGNAQCCQLLIAKGASLTAENANGWTPLMVARSWHRSWLEDTLKEPPAENLQVLPSPYISLPLMSIVKIARECGWRTSELAPICLDPCAVCLERKCMVAVEGCDHEFCTQCALYLCSTNSTSTRTNGPPGSIACPLCRHSIVSFVKLPDTRPLPKVMQRSTTNLSLTFCTCSSEVLGDSTDMTTPFCKPITSRGSKFSSPSRRFRSLSCQFSSFRLNSSLCLGADVTPSLVPCTSASRRNHLTRCSGSGFGRSSSQTERRKSSWFCSFNQSVSTGSGC >RHN71211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57261183:57265409:-1 gene:gene19864 transcript:rna19864 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSPTKSMYPFTKRFSDINWRILVLIIPLFSFIIFFFFHAPTLNALSSFSSVQNLLSNLPFINTANSSPSKDELLRSKIAVCLVGGARRFELTGPSILEMILKEYPNSDLFLHSPLDSDAFKFSLLKLAPKVAAVNIFHPQPLQENESSVRVLTAQNSPNGIQGLIQYFDLVEGCLTMINSHQEKNKIKYDWIIRTRVDGYWNGPLGPNFFVPGQYLVPAGSSYGGLNDRFGVGDFRTSTIALSRLSLIPKLDSAGFTDLNSEAAFKAQLTTQNVNYLTKRLPFCIVSDRKYDFPPARFGVPVAALSSPGPLSGTKCRPCKPICVGVCVEPVMMFVEKGWSWTDWADGSLELCDAHESWEDGWEKIFDKVAGKKFANARKQIHSLKFDDCVNDFVQLKNITAQWNAPPIQEICKPLLEQN >RHN66790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18783147:18787470:-1 gene:gene14873 transcript:rna14873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyridoxine 4-dehydrogenase MALHINSGCFTVMGHCRVQRVKAVASEGSANVTVEDKLKLGGSDLKVSTIGIGAWSWGDTTYWNNFQWNDRNEKAARDAFNTSIDGGLTFIDTAEVYGSGLAFGAVNSETLLGRFIKERKQKDPNVEVEVATKFAALPWRLGRESVLSALKDSLDRLEMTSVDLYQLHWPGVWGNEGYIDGLGDAVQKGLVKAVGVSNYSENRLREAYKQLKKRGIPLASNQVNYSLIYRVPEENGVKKACDELGITLIAYSPIAQGVLTGKYTPRNPPSGPRGRIYTPEFLTKLQPLLKKITEIGEKYNKTSTQVSLNWLVAQGNVVPIPGAKTAEQAEEFKGALGWRLTNEEVAELRSLASKIKPVIGFPVEKL >RHN54549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10399940:10403023:1 gene:gene29613 transcript:rna29613 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDNNDESADKGVFSHLAHGLGGGHGYPPGAYPPPPGAYPPPPGHGYPPHQGGYPPAGYPPPGGYPPAGYAHGGYPPAGYPPHGYPGPSAPHAPGPYGHAGHGAMGGGIGGLIAGAAAAYGAHHVAHGHYGHGGYGHMPHHGKFKHGKFGKHGKFKHGKFGKHGMFRKWK >RHN74102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27661516:27662560:1 gene:gene10078 transcript:rna10078 gene_biotype:protein_coding transcript_biotype:protein_coding MLKISVIFLLFQCTYILMTSISLSLFLSLSQSTKTFITNSFSAFLLLIPISRPSPSCTHS >RHN44131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1926429:1926818:-1 gene:gene38199 transcript:rna38199 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKDSMNIQKEERVEDSFEKSEGQREIVEDSFDKIQEKICNEEGEVSSRVLMDHDLTEEEYQFELKKFQDMVEAGFKKIQEKNGNKEEKKLPRVLKDFDLNDEAECEFDLNKFPEEGEESSITSFNM >RHN68819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38851121:38855829:-1 gene:gene17228 transcript:rna17228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MIIAWTIVDPILEKEKKHIHKLTVRDREENMAIAVASRQLFIDGEWKAPILNKRIPIINPSNENIIGDIPAATKEDVDLAVDAAKRAISRNKGRDWCTASGSVRAGYLRAIAAKVTEKKNELGKLESIDCGKPLDEALGDMGGVIGCFEYYAGLAEGLDTKQKAPISLPMNTFKSYVLKEPIGVVALITPWNYPLSMAARKIAPALAAGCAAILKPSELASVTCLELGEICREVGLPPGVLNIVTGLGHEAGASLASHPDVDMISFTGSSATGSKIMTAAAQLIKPVFLELGGKSPILVFEDVDLDKVAEWTIYGCFYTNGQICSATSRLIVHESIAVEFVNRLVKWAKNIKISDPLEEGCRLGPIVSEAQYKKVLNFISTAKSEGATILTGGRRPENLKKGYFVEPTIITDVTTSMQIWREEVFGPVLSVKTFSTEEEAIDLANDTHYGLGSAVMSNDLERCERLSKVLKSGIVWINCAQTGFVRAPWGGIKRSGFGRELGEWGLDNYLSVKQVTRYISDEQWGWYQSPSKMS >RHN63715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53464721:53465152:1 gene:gene26451 transcript:rna26451 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPEKEDDVVEPTRRHNFQYNGSDLYDSFEFKQMTIQLNEAIENSNASSPACQEMKHKLNKAVRSSSASSPAYVFHLNSPFYRHQLNRIYKKSNKKPRRISCPQVADKQACGNGTREKGFVTRLWLKVKKGLLWKKHESEEV >RHN65630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3924728:3927825:-1 gene:gene13493 transcript:rna13493 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSEINMLGVNNHVMKGKKQSKKNKKEVKVTYISSPMKVKTSASNFRALVQELTGQDSNVADMFVEVNDFVHVDDVQNQQKNSIEQWSSDNNSSEGFMPDYSTWMKLEDDYNLRSSMEPLNGQLQYDFLSFDMI >RHN66211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9747347:9750354:1 gene:gene14153 transcript:rna14153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MLFFFCKVFIFIIFSIHLLLLTNMQPHEYENDLYLSYVYDLPPFDPWIQNIFQIAQVEWQKNVKKKLLFSYLREHVKHNVLQFDGKFFLQGLGISQGGILSSLLCSLYYGHLERHVIDPFFRKTLESGSCKENNSVQSNSGDSSPCYLLMRFIDDFFFISNSKKQAASFFSRLKRGFRGYNCYMNEKKFGANFDAEQTPASSLNRIYVGKDSATSFVQWSGLLINCTTMEIQADYTKYLNNHLSSTLTVCWQGKPGTRLKEKMRFFLRPKCHPLFFDSNLNSAAVVRLNIYQMFLICAMKFHCYIRHLSFVCKLDKQYCSDIIEKSLRYMHLLIKRRMHSMRLNSSIRPILKLKKGEVEWLGFHAFVQVLKRKESRHKNLLPVLKSKLRSHRISGNVSPELKYAVDAENSSLLWKIKY >RHN51875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27445324:27448347:1 gene:gene36430 transcript:rna36430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MISTNNSSYSHSISSKDFSPFDASSPDSEVRLASSNPKKRAGRKIFKETRHPVYRGVRKRNLNKWVCEMREPNTKNRIWLGTFPTAEMAARAHDVAAIALRGRYACLNFADSVWRLPIPATSAIKDIQKAATKAAEAFRPDNTLMTSDIDTVVAVVATQELNMFRVEVEEEEVLNMPELWRNMALMSPTHSFGYHDQYEDIHIQDFQDDEDFKKRSVTTIWAVTSIGVHSLHFTVISRIVMRTLLLCV >RHN65345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1350467:1350982:-1 gene:gene13172 transcript:rna13172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MTILHRHHLHMEDNAEINTLTEEERDEDQQDFELPFFNISTMISATNHFSDYNKLGEGGFGPVYKGTLAMDGREIAVKRLSGSSKQGSKEFKNEVILCAKLQHRNLVKVLGCCIQGEERMLIYEYMPNKSLDSFLFGEYLY >RHN50402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6803676:6809949:1 gene:gene34680 transcript:rna34680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar ATPase assembly integral membrane protein Vma21 MSVVQKFFIASLFMWAIPIAILYAFNHDLLPGTSNLSPYSMTLVSGFLAVISVNMVIAFYIYLAMREPADKHEPDPKFLAEAKASIKQSTTDDQQSSQSLKKQQ >RHN40357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15569704:15570453:1 gene:gene46504 transcript:rna46504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MINTPQIKIRFDEEQLIPTERIFHFTKENIARLKLKANIEAGTNKISSMQALCTHLWRSVIRSKQLDSHEEVNFVLCISVRSRLVPPLSYDYFGNAMIVCGVTMKVRELLEEGGLGKGSLEMYKIIALHSDEKLKSQYESWSRTPNFVKADSVANSNSLVISGSPLFSIYDIDIDIGWGSPVAIRSGSSNKSNGKISVFAGKEEGSMDFEVCLPYKILEVMGNDPDLNMTDASTWNLDPLQPSSLCSRL >RHN38597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:572925:573306:-1 gene:gene44553 transcript:rna44553 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDCLVLAHYYNGFIHDHPKKGVDIKEGDLKDPREEASIVLKECDKFMLMRKSTKFDVATAIEETSLVAYLKSSFQVVLL >RHN49315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53989489:53990877:-1 gene:gene44130 transcript:rna44130 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHHQNEQRQCSYGVLKWVLVWMSVCYIVFTIGPPSRWRFKQSPCPQCHHCYCSSVEYPLGSLDCGKHDPAMEEMNKDPLSMLSEELKLQKIVANETLEQTKRLVINARNTFSHYKKEVEKCSIGMETCEEARERAEAELVEERRLTALWEERARDYGWKDRR >RHN73378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15829001:15830258:1 gene:gene9181 transcript:rna9181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSHTHQINAVVKPIIFREYIGVKDIPKNLKDFPAEMINDDIEEFHFILGTLREVYSGDGKGKGEFYRTWNFNNFSPAKVAKLKKDHKNVKVIISIGGFGAENPFNPKEIESWSTKAKQSIKKLINEYQEYSKDSSSTDECHCDDIIDGIDINYEYSNCNPDEFSSCIGELIRKLKKSSKSIKLVSIAPTELLKPHYHKLYWANKDIINWVDYKFYNQTVSSADELVNLYNKLLNEYGTDVKLLPGVSTDPDSNTNMTRDVFIKGCKSLLESESLPGIFVWNANDSAMPSNEDNTPYFLEEVLQDLLTDN >RHN42093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35337358:35338273:1 gene:gene48488 transcript:rna48488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MGSTWTPRQNKQFERALAIYDRESPDKWQNVANMVGKSVEDVKRHYEILKEDVRRIEHGQVAFPYRTNNANN >RHN44717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7672333:7679984:1 gene:gene38871 transcript:rna38871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate dehydrogenase (NADP(+)) MATLYSTHCRSFPSSSSFPSSSLSSNQSAVTFSNRFLAATVSLKSQHNLLQQVSVHTQQHDGTVGANVTPVENNHSGKRLRPELLSVLSSMESKVEDGAEKDENECTVSITVVGASGDLAKKKIFPALFALYYEGCLPKHFTICGYARSKMTDAELRNMVSKTLTCRIDKRENCSEKMDQFLKRCFYHSGQYDSPENFAALDKKLNEHEGGRTSNRLFYLSIPPNIFVDAVKCASLSASSGNGGWTRVIVEKPFGRDSESSAALTRSLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPIKLEDVVLGQYKNHTRGGVAYPAYIDDKTVPKGSLTPTFAAAALFIDNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYNRNFGADLDHATNELVIRVQPDEAIYLKINNKIPGLGMKLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPVLNEIEEKKITPEYYPYGSRGPVCAHYLAARYNVRWGDLGLDVEQ >RHN45018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10841436:10846372:1 gene:gene39211 transcript:rna39211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA replication complex GINS protein Psf2 MAGQSNHELSLFSAEELEFIAEDEIVDIVPNLKMDPLCLISGDFGPFTPQIVTQVPLWLAIALRRRGKCSIVPPQWMTVDKLTEVLEAERNSQEMSEHLPFHYVEISRLLFDHARDNIPDAYMVRSLIEDIRDVRFHKVETDLEAFDGRTFAVKIKNLSAMEVNIVRPFIGRALQAFYKHDSSDLIPDPERVSDRRPQVAHNAPRRQLRR >RHN81495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46446199:46450017:1 gene:gene5544 transcript:rna5544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MAKDVEVAERGSFSNKDYHDPPPAPLIDAEELTKWSFYRALIAEFIATLLFLYVTVLTVIGYSIQTDVKAGGDACGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLIRAIMYMVAQCLGAIAGVGLVKAFQSAYFDRYGGGANFLHDGYSTGVGLGAEIVGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGSAVIYNKDKPWDDHWIFWVGPFIGAAIAAFYHQFILRAGAVKALGSFRSNPTV >RHN77964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10871620:10872073:1 gene:gene1475 transcript:rna1475 gene_biotype:protein_coding transcript_biotype:protein_coding MARNNEIVDLDKNTSQPFRLMVPRKKKNKKKHSETRKDLRWCFQPFASLVCFFFFLLFVLIFSCSYGGFWFCPPSSLHFSLSFNI >RHN71661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1724698:1726897:-1 gene:gene7285 transcript:rna7285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Caspase-like domain-containing protein MKNTRLNISCQHNRDNPIAKYTKTKQELVSLELQSITSANPGLKDGDDGEHKDKTLINNLPGNLSGNGNGSIFISIYNHNYSMQYPPTTYEIPVHSYYPPLRPPSAFGNKRAVLFGISYANTATAKKLKGSVNNARCMKHFLIDKLGFPGNSICMLTDDSEEKNTIPSKSNMRMAMRWLVEGCKPGDSLVFYFCGHASRVKDRNVDEVDGYDEAICPVDYEQEGMILDDEINATIVRPLPHGAKLHALVDASFSGTILDIPFVCKMNRIASFGWKDHRHRRAGNKGTRGGLAVCISACDDSDGKAGRKSALTYSFLQIMQDVPKLTYGRLLNDMLFTIQWAKAGKLELKGQDFARNTWKQNTHEPQLSSTEKFDISTKLFQI >RHN61329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34854821:34856506:1 gene:gene23782 transcript:rna23782 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVVAAILSRNVRGLSLSFEEHVPKVIGKNKLTFFYLILFLLLISFYSVIMITRLFLSTLYRFYKYGSKKKNHEPWIMI >RHN49531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55437197:55439410:1 gene:gene44372 transcript:rna44372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MELSKQEPLSKPPPMVVMLPSPGMGHLIPMIEFAKRIIILNQNLQITFFIPTEGPPSKAQKTVLQSLPKFISHTFLPPVSFSDLPPNSGIETIISLTVLRSLPSLRQNFNTLSETHTITAVVVDLFGTDAFDVAREFNVPKYVFYPSTAMALSLFLYLPRLDEEVHCEFRELTEPVKIPGCIPIHGKYLLDPLQDRKNDAYQSVFRNAKRYREADGLIENSFLELEPGPIKELLKEEPGKPKFYPVGPLVKREVEVGQIGPNSESLKWLDNQPHGSVLFVSFGSGGTLSSKQIVELALGLEMSEQRFLWVVRSPNDKVANASYFSAETDSDPFDFLPNGFLERTKGRGLVVSSWAPQPQVLAHGSTGGFLTHCGWNSVLESVVNGVPLVVWPLYAEQKMNAVMLTEDVKVGLRPNVGENGLVERLEIASVVKCLMEGEEGKKLRYQMKDLKEAASKTLGENGTSTNHISNLALKWTNKSTVIN >RHN50252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5515416:5516140:1 gene:gene34521 transcript:rna34521 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLLPRAIEYLARFHVLKVQSMFVNYGSQKMNIFSNFAS >RHN41700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32168106:32168914:1 gene:gene48050 transcript:rna48050 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFCLKKLRVLSYYFGSDIQNFRRTSSSWNLILSSRKLHDASSTAPPVEKKLKLLDYASTRQEETIERRKQLSDRKTQISDALKKIDIRWYVANSKVDHIITKMENLHGDILPASSMYEKLVFYCSAAADKVDVAIDFVDKMSEEGFTLSSHVMQSLLETCSETDQHFRVLYILLKAASLLR >RHN72530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8436291:8437544:-1 gene:gene8256 transcript:rna8256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative petal formation-expressed MASILQTSVLTSCSLSSSKRVINAAINLPNLPNISLPTIQTRKQVVDQELKLQNNDHIIKTQILQDQQQKHSINYNATVQLYAVLEAVSDRIEMHHNIGEQRNNWNNLLLNSINMITLTATTMSGVAAVTSGEGAPLMAMKLSSALLFSAATGLLLIMNKIQPSQLTEEQRNATRLFKQLQSNIQTTIALGNPSEEDVKGAMEKVLALDKAYPLPLLGAMLEKYPAKFEPATWWPSKKGKTQSKKTGKMNNGWSEELEMEMREVVDVVKRKDAEDYDRLGNIALKVNKSLAIAGPLLTGIAAIGSTFIGNGSSLAAFVPLLAGSLASAINTFEHGGQVGMVFEMYRASAGFFNLLETSIESTLGEKDLEKRENGELFEMKMALQLGRSVSELRELASKSASYRMEGVDIDEFASKIF >RHN69017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40330751:40332722:1 gene:gene17443 transcript:rna17443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MGHKNRSKKKSAAFGSKKKKSALNAEGLETSFAGMAVTDGKVSLYRCKCNYCKTDISGKAHIKCAVCQDFNLCVKCFSVGAEVTPHKSNHPYRVMEDLSFPLTCPDWSAHEERLLLEALDMYGFENWNGVAEHVGTKSKPECIDHYNGVYLNSPCAPLPDLSYCKGKNKEELRAMGKRDQLKKGDKALE >RHN73519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17308770:17314114:1 gene:gene9341 transcript:rna9341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytokinin dehydrogenase MSQNYPFPIYFILLIITIPRLISTVGKTEQWKFTTKNSNISQKLINDPKAIELASSDYGNLVHEFPAAVFQPSTVNDIVSLVKLSYNSSVPFLIAARGQGHSTRGQAMARDGVVVDMKGLRRLKNNNKNNEHNNKNVGIEVFEDPKVGFGYYVDVGGEQLWIDVLYETLEYGLAPVSWTDYLYLTIGGTLSNAGISGQTFRYGPQITSVHQLDVVTGKGEFVTCSKQNNSELFNGVLGGLGQFGIITRARIALEPAPKRVKWVRLLYSDFSVFTKDQERLISMKGNKNSALDYLEGMVLMNQGPINNWRSSFFPLTDHHRILSLVTQHTVLYCLEIAKYYDYHSENNVNKEIQVLLQGLNYIPGFHYEKNVSFVEFLNRVRSGELKLQSQGLWDVPHPWLNMFIPKSRILDFNLGVFKKIIQKRNITTGPVLVYPMNRNKWDNEMSATIPDDEEDIFYAVGFLHSSGFDNWKAFDAQNKEILQFCNHAKINYKLYLPHYSTQEEWTNHFGPKKWKTFLQRKNEFDPRMILSPGQRIFNNN >RHN74062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:25735515:25741691:1 gene:gene10011 transcript:rna10011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MQCTEDQKVRFGTHQLAEEADDWWVALLPTLGQEGAVVTWAVFRREFLRRYFPEDVRGKKEIEFLELKQGNMSVTEYAAKFVELSKFYPHYTAENAEFSRCIKFENGLRPDIKRAIGYQQLRVFPDLINSCRIYEEDTKAHYKVVNERKGRGQQSRPKPYSAPADKGKQKMVDVRRPKKKDAAEIVCFNCGEKGHKSNACPEEIKKCVRCGKKGHVVADCNRTDIVCFNCNGEGHISSQCTQPKRAPTTGRVFALTRTQTENEDRLIRGDRA >RHN41162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27676767:27677105:-1 gene:gene47453 transcript:rna47453 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAALSDDFVWSSLQSTDSVGSPPKMEGRTIYYHVAEDNGDVDDENVQGYSLVFNVNDVEQLTCKFAEETGLDGVIVCNQSPLNGKLYSSFASSSKQCYHAGCFGPSLLKR >RHN44900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9622151:9631830:-1 gene:gene39077 transcript:rna39077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Actin family MDSKNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPMLRYEESLTEQELKDIVVGEGCADLRHQLDISYPVNNGIVQNWDDMCHVWDHAFYNELKINPQDCKILLTDPPLNPSKNREKMVETMFEKYNFAGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGYSFPHLTKRMNVAGRHITSYLVDLLSRRGYAVNKNSDFETVREIKEKLCYISYDYKREYQLGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMVFRCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEKEIHDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWINREDYLEEGIACLSRCGQA >RHN72131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5202198:5204469:-1 gene:gene7806 transcript:rna7806 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEIFKLFDTCWFGFQILKEYPSSSISTISHENQHHQIQEKLTLSRIKTKHTRSISDQLNSMTSFKHDSMSPNSVLFQPQLQTILSGKDVTDFNTEAEKQIQLQLQAVPNKNIIRNGRRKSGSKSLSDLEFEEVKGFMDLGFVFSEEDKDTSLVSIIPGLQRLGKNGEEEDVSDVSAIQRPYLSEAWEDQKRRKKEKPLMKWKIPAPTNEVDMKYSLKCWAQTVASTVR >RHN64284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57900131:57901621:1 gene:gene27087 transcript:rna27087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA5-type MACSLSQANRLVAQSLPLFHLHRRGFAAASDVSARVGLGSIAHGHGKLGSLEEKHMSKDGQEACSAWAPDPESGYYRPINYTPKIDPVELRQMLLKRNTRSSQ >RHN54301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8468131:8472799:1 gene:gene29312 transcript:rna29312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MDSMESCVPPGFRFHPTDEELVGYYLRKKIASQKIDLDVIREIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKMKLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTNSQSTKSTIEGWDTSYFYEEAASRVNNSMVDPIDQIISRQPQSYLSQSYNMCKLEIEACMHAEQFLQLPQLESPSLPLVKRPSTMSLVSDNNNDDINDQNRLLLLSNNNDNVTTNTVTDWRALDKFVASQLSHEVETDQGVLLSSFGTNNNPSSDMALMLLQQGNRDEENKLSPFLNASSDCSDIGICVFEK >RHN72754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10450135:10452129:-1 gene:gene8507 transcript:rna8507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MSLFWFLFFSAHLAIASSLKDSGLKHKQPDMQLKLYHMTSLKSPPNSTSLLFAYMFAKDEERIRYFHSRLAKNSDANASSKKVGPKLAGIPLKSGLSMGSGNYYVKMGLGSPTKYYTMIVDTGSSFSWLQCQPCTIYCHIQEDPVFNPSASKTYKTVPCSSSQCSSLKSATLNEPTCSKQSNACVYKASYGDSSFSLGYLSQDVLTLTPSQTLSSFVYGCGQDNQGLFGRTDGIIGLANNELSMLSQLSGKYGNAFSYCLPTSFSTPNSPKEGFLSIGTSSLTPSSSYKFTPLLKNPNNPSLYFIDLESITVAGRPLGVAASSYKVPTIIDSGTVITRLPTPVYTTLKNAYVTILSKKYQQAPGISLLDTCFKGSLAGISEVAPDIRIIFKGGADLQLKGHNSLVELETGITCLAMAGSSSIAIIGNYQQQTVKVAYDVGNSRVGFAPGGCQ >RHN40094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13172627:13182407:1 gene:gene46195 transcript:rna46195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plasmalogen synthase MADNTITSPLLTSDHLILTINSTSSDTDSSVPSDTRQISNPFNVGELTVPEAITVDPFHNYKAGINGFYEWVKTFLCIPLALVRLVIFGLCLAIGYVATKVALYRWKDKENPMPRWRCRIMWVTRISARFILFSFGYHWIKRKGKPARREVAPIIVSNHVSYIEPIFYFYELFPTIVASESHDSIPFVGTIIRAMQVIYVNRFSQSSRKQAVREIKRRASCDRFPRVLLFPEGTTTNGRNLISFQLGAFIPGYPIQPIIVRYPHVHFDQSWGNVSLGQLMFRMFTQFHNFFEVEYLPVISPLDDKETAVHFRKRASHAIASALNTVQTGHSYGDIMLHMKAQEAKHENPSSFMVEMAKVESLFHISSLEAVDLLDKFLAMNPDPSGRVQYRGFLRGLRLKACPLSEKIFSFIDVEKYGKITFRQFLYGSAHVMKHLGFNQTCEAAFAECGGSVKGYIVEDELRDYIQSAIPSWNEDEVHDFFALFDDDNDGRIYKDEFLACLRRNPLLTALFTPQPKHKESCGNGVVEIL >RHN56600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32168758:32173043:-1 gene:gene32030 transcript:rna32030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative APO domain-containing protein MRTTAITTHLPLLNCLSHRLTATLPFTSGTCPDSPDPDNAFPYSDVPNPSRIKSERKPYVTPMKVLIARAKAEREARKAQPCRVLEEAPENGLLVPELVEVARRVYQARGFLLSGLKQLVRVIPVLRCEFCNEVHIGYVGHEIRTCTGPKSWLRSATHVWKRGGVQDVVCFPKCFHLYDRVGKPRVGHDERFSVPRIPAIIELCIQAGLDLKKFPTKRRTKPVYCIEGRIADFESVAEDNEIERNSSFENVNPLTDSSYMLKEPVEKVQSLLENKISHMDQLSDEERNKLRDLSKHALDSWFEMISGAKKIMEKYVVNTCGYCPEVQVGPKGHKLRMCKASKHQSRNGLHAWQEATLDDIVGPNFVWHVEDLNGPALNNNIKRYYGKAPAVVELCVHAGAPVPDQYKSMMRLDVVSPERDEVDLVA >RHN46308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30582864:30583590:-1 gene:gene40788 transcript:rna40788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ctr copper transporter MSFTTTANGVPSNSTVAMMLGRRRIPIHTSFYWGHNVDILFHCWPGDSAVMYAVALILVFVMSLLVEWLSFTNIVKVKPGTSNDVVGSLLRTGLYGVRTGFSYLVMLAVMSFNGGVFLAAIGGHVIGFMVFGTMAILKKSSGLDSSSKP >RHN67131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24195031:24195810:1 gene:gene15280 transcript:rna15280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MYHFLAKIYKHTHPPFSFLSFCASTMSSGRKSRGRQKIEIKKISNESNLQVTFSKRRSGLFKKASELCTLCGADVALIVFSPGEKVFSFGHPNLDAVIDRYLSRVPPQNYGTMQFIEAHRSANVRELNTQLTQTNQLLDIEKKRAEELIHLRKTVETQFWWAGPIDGMNQAQLELFKKALDELKKIVDHHVDRLVIQGAPTQTFPFFVGSNSSFNMPLHHQLNPPQAQMLPAQFYQNPMLQPHLFGFNNIGGGGGNGPS >RHN54495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10027815:10031629:-1 gene:gene29548 transcript:rna29548 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLKKDWKPICDIKFSSPPTELPQELNIDSIVKKKKLADISALPQFSVAPAPNSSRDRNEWGKFLNFLHRYDMVAITGFEQYKFYILPPPIVSISSTVNIAYQIGSICTRPRDCESGSHLAEERGGHDTIMARGPSNGGDVSSSRPKFPPEEDLANHFTSETCGGSQSRVMQDRLHGKNSVKIDQSYLKTLGQAHSGWIFGGIAELVDNSSDAKATK >RHN42611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39680284:39683004:-1 gene:gene49068 transcript:rna49068 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RING/FYVE/PHD-type MPKVRRMRSPSIDCSESYPYPSISKNLDLPRPNFGSADEMKEWDDTRCPICMEIPHNTVLLKCSSYEKGCRPYMCNTSYRHSNCLDQFCKSFDSHLSSAMLEAIPLTSTVSHDWKAQSEPGNPSQCGSKLQPKLICPLCRGGIYGYMVSEPARRYMNCKKRSCSSETCEFQGTYPELRKHARLVHPSVRPTEVDPSRQYDWFRMEQERDFEDLFSSINASSDAGLSREGTMSPVAMVDLMSLVFREMYSSVEGNTDWIVSSDSRPRMPVQDEWSEAIHSIHMPATALGVLSETIHRVSDDTHTDWIMSNLFSDSIPRMPSHDRRSETMHRVSNDTQTNQLARWRSGLPSTHQLERNQRIHRARWRSNISPSRMPHSQIVENYYRESSPRVRTSSTRMPRVSQVPHTDPRSNNSSFRRIPGRHLRWRN >RHN57452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39236637:39238860:-1 gene:gene32997 transcript:rna32997 gene_biotype:protein_coding transcript_biotype:protein_coding MLASKSSTLFPGDHRAGNHPPPPWTTPPSPELHTGTLLPSWCPFLQRSMQLHFIDFCSFVFYLIHRNFLDYECLLMGAYSPSNHASHRLKVTSCVISPKDLYFAKLRDKKWVTILVWRVTLIGIDELEIQSFRSSSSTVPNGLTINAGSPEVEMLIILFVVIFFLVAMDGGDQHHH >RHN38989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3334311:3336056:-1 gene:gene44972 transcript:rna44972 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFARKKRVTDPFNDEAKARLVGADLRRLSDVSSGSEHSGTGECDSSPSLSELVHGFLEEDDGNVCDSTGNDFDSERVDSVSDSMDSVEDLLRLSAENANADSYLNMLRLHVSEAAVKFDFLKKQSVSVYNRNVMSFLREKGHNAAICKTRWDSSGGLTAGNHEFIDVVRMRSGSSTWQNRYFVELDFAVQFEIARPTSRYSEIMSYVPGIFVGNSEELKRTVLALCGAVKLCLRSRGLSIPPWRKNRYMQNKWFGPYRRTTNPVHGNPVPDVVSSFSGVKCRLVGFDNAMSEIKHGVAFVRIK >RHN77392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6542048:6544429:-1 gene:gene839 transcript:rna839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Myosin heavy-chain] kinase transcription factor WD40-like family MFGSSRNSSSNGSSNNNNNIPRPQFMQSEPRFSTTEAYTDDDYAMRSSSAASPMSPYYYDPGRLSGEGSPMMMSPWNQTTNSPFSKPQWSQHEDTPPQNSLIGSLVREEGHIYSLAASGDLLYTGSDSKNIRVWKNLQEYCGFKSNSGLVKAIIISGQKIFTGHQDGKIRVWKVSLKNPSVHKRAGTLPTLKDIFKSSIKPSNYVEVRKHRTALWIKHSDAVSCLSLSPDKTYLYSASWDRTVKVWRIADSKCLESITSHEDAVNAVVCGNDGIVFSGSADGTVKVWRREPRGKATKHVMVKQLLKQECAVTALAIDSTGSMVYCGASDGLVNFWERDKQFEHGGVLKGHKLAVLCLASAGNLVFSGSADKTICVWKRDGVIHTCMSVLTGHDGPVKCLAVEQDRESDARGDQRWILYSGSLDKSVKVWSVSESMQVQHRMTSMTSEGDSIPSESDSSYSSSRPSLNKRN >RHN71439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:171021:172373:1 gene:gene7043 transcript:rna7043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MWSNRKEKRKALKKLKRKERRKEIAEKERLEEEARLNDPEEERKRILLEQQEAERIERDRIAFEEREKAWIIKQQQQRDLEEEQQQLSQRDLEQHESELEEEEEEDVDDDDDGRPEIIWLGNEIIIQKKNPNPLLLLHNHNHHHHHHHHQEQHEHHDNRPTSNPFPPESPSQPLHNVAQQPPNFGTELDKAHCPFHLKTAACRFGDRCSRVHFYPDKSCTLLIKNMYNGPGLAWEQDEGLEVCSFASRYTFSWRLPILALLAST >RHN70287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50263998:50267565:-1 gene:gene18852 transcript:rna18852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MGGAHSRDGLLESSDEEYEENENEEANYEDANEGEDSEKRVKTPSSVDEVEAKLKALKLKYAVKNQNPNAVKLYLHIGGNSPNAKWVISEKFTTYSFVKTRCVGGNSDDDEDEEEDGDDDADEGFWVLKIGSKIRSKVGGEMQLKTLADQRRVDFIAKGVWAMKFFTEQDFDAFLVKFQNCTFENTHGYEATDENKLKVYGKDFLGWAKPEVADESMWEDADDSFSKSPGSATPVRASQDLREEFEEAANGGIQSLALGALDNSFLVGENGIQVVKNFATGIHGKGVFVNFGGGSSSTSKLVDCTPKKTLLMKAETSMLLMSPLGENKFHSTGLHQFDIETGKVVTEWRFGKDGTEITMKDITNDSKGAQLDPSGSTFLGLDDNRLCRWDMRDRHGIVQDLADSNSNMSTPVLNWAQGHQFSRGTNFQCFATTGDGSVVVGSLDGKIRLYSINSMRQAKTAFPGLGSPVTNVDVTFDGKWIVGTTDTYLVVICTVFTDKDGKTKNGFAGRMGNNIAAPRLLKLNPLDSHLAGVNNKFQKAQFSWVTENGKQERHIVATVGKFSVIWNFQQVKDGSHDCYRSQQGLKSCYCYKIVLRDDSIVESRFMHDKFAVTDSPEAPLVIATPMKVSSFSMSNRR >RHN40425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16119403:16129343:1 gene:gene46573 transcript:rna46573 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRLSQLKEAQERFDRNNEILIPRIQRVPMFLRQNERFYKYCTPKIISFGPIHHNAKNLKEGEHYKLLWTSIFVADYGKKIDKDANEACMLLLKKIEDNIEDLKNMFTEDAIEGYNDNDLAWILFVDGCSLLHFMENVDDQCPDALNLKFDQLMHIWRDTFLLENQLPKIMLEILCEETSVDLDFLINNSFAMGACKRLGMAMLQLENHKPFHLLDFGRSVYVPNFEEHAQMEKQYEESEHNPKNTKQDQKDSDKPEIDFNWNTYKSIRDLKTVGIQVVANKTDKWTWSNISFKSKWFSGELRLPIFLFNDVTPYVFRNLIAYEMCPDVHYNYECCSFFSFMDSLIDNAEDVKELRSAGVFQNLLGSDEELAKLFNDLGDDLPTKMYCHFDYTKAVAYSKKYIFIKHEIEKHYKNKWKTWLAQAYNTHFNTPWAMIAFLAAVLALVLTFIQTWFTMNPK >RHN68647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37425298:37426706:-1 gene:gene17025 transcript:rna17025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MMKNAGFEHVLRYFDEDGDGKVSPAELRQRLRIMGEEILLKEAEMAIEAMDSDGDGYLSLEELIALMEEGGEEQKLKDLREAFEMYDSEKCGFITPKSLKRMLKKMGESKSIDECKAMIKHFDLDGDGLLSFDEFITMMQ >RHN76209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48556328:48558265:-1 gene:gene12500 transcript:rna12500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ClpA/B family, Clp ATPase, P-loop containing nucleoside triphosphate hydrolase MNPAGVRVQFDGQPKDIELILRKRVKLEVELLSLARKDDNASKARLADAQKELDDLKEMLLTLVMKYRKEKVRIDEIQRLKQKREELILSLQEAERRNDIARAASLRYGAIDDVEDAIQRLEDSTDKSLMLTKTVEPDLIGLVVSLLTGIPVSRLSENEKERFLGLGDRLHKRVVGQDHAVNAVANTVLRRRVGLGRPQHPIGSFLFLGPTGVGKTELAKALAEQLFDDENQLVRMNMSEYMEQHYLSRLIDAAPEFVGRLTEVVRRRPNSVLLLEEVEKAHTSVINKLLYILEDGSLTDGQGRTVDFTNMVIIMTSNLGADHLLSGLSGKCTIQVAHDRVMQEVSEHFLLELLNQLTKVVVFNPLSYQQLRKVARLQIKDVGNRLGKKGTSLAVTDGAVDYILAESYDPVYGARPIRSWLEKKVVTVLSRMLTRKVIGENTTVYIDVGPNDSYLVYRVENNGGHVINAETCKVANKGFS >RHN75807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45258420:45260693:-1 gene:gene12060 transcript:rna12060 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIHILVTLKIQGILCPNFYKYYFDEKMDICKLFMSFVEFGR >RHN39519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7843427:7844724:1 gene:gene45547 transcript:rna45547 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVPSPPGGNSPKYCHHIIINRSSYSKETETYEIYNSHINMPNFRSLPHCHAHQQISSASSIRKIYRVQSIEIFGYTVYVGVK >RHN55636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20359771:20365016:1 gene:gene30844 transcript:rna30844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate decarboxylase MDTNLGSLEACKSPCNDIITTPSSNGTVSTIQKSPSTQSLASSESTLGSHLARRLVEVGITDIFTVPGDFNLTLLDHLIAEPKLKNIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVINAIAGAYSENLPVICIVGGPNSNDFGTNRILHHTIGLPDFSQELRCFQTVTCYQAVVNNLEDAHEMIDTAISTALKESKPVYISISCNLASIPHPTFSHEPVPFSLSPKLSNPMGLEAAVEAAAEFLNKAVKPVLVAGPKLRVAKACEAFIELADKSAYPYSVMPSAKGLIPEDHKHFIGTFWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVIGNGPTFGCVLMKDFLSALAKRLKRNNTAYENYFRIFVPEGLPVKSEPREPLRVNVLFQHIQNMLSSETAVIAETGDSWFNCQKLKLPKGCGYEFQMQYGSIGWSVGATLGYAQAVPEKRVIACIGDGSFQVTAQDVSTMLRCGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGQGHCWTTKVFCEEELVEAIETATGPMKNCLCFIEVIVHKDDTSKELLEWGSRVSSANSRPPNPQ >RHN53823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4409725:4413730:1 gene:gene28774 transcript:rna28774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAVQAQYPSNVLFLNNKNGQEHDQYSLQPQPPSNQSNSNIMLFNTAPTGANSRKRVRGRETGAMQQSQYMMNQFSLQSHTPHLIDLTQLQNHHHQQQQNQNIVSTGLGLSFGDQQHQRLQLLQQQQCHSSHFLSLLSNGLASQIKQQKDEIDQFLQAQGEELQRTIEEKRQRNYRAIIKTAEETVARRLREKEIDLQKATRRNAELEARAAHLRTEAQLWQAKAKEQEATAISLQTQLHHAMMSGGAENRGENECGLSCALGVEGHAEDAESGYIDPERAVVGSGPKCRGCGERVASVVVLPCRHLCVCTECDTRFGVCPVCFTVKNSTVEVYLS >RHN41471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30292087:30299505:1 gene:gene47800 transcript:rna47800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MSPMTEWLSLPCSMLAISGMNNNLVRVKGREGWTPPHFASHNEEVDLLAKFLVACPDSIEDVTVRGETALHIALKNNKFKALDLLVCFLKRNRKRDARKLEYRTLNQKDEDDNTILHISALCNEPKVVRMLTKMTRINMNTKNLENKTALDMAVNVEIKNILRNAGAKPSSQVTDAPTLEQRLSRTQIIHKVLTYINRIRNDVLEEQRNTWMIVATLVATAMYQSALTPVGGVYQVNASDNNVNITSSNSTMSTPRNAGKSILSGEYFLIFLFLNMLPFFMSTIAVVILIPTGFMCSLLATPVVSFIGCYLFSMSRISPTHTSSMISYIVMNLLEFVAVIGFIFMVCSILHEHIARNLRRP >RHN38821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2248230:2249974:-1 gene:gene44790 transcript:rna44790 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGNEAGERHAQSRVLEFKRNLLLLMDELKKYKHQNSKRFDEFFSVG >RHN82038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50769527:50772546:-1 gene:gene6154 transcript:rna6154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MKMKLKLIFSFLLMLLCSSIECSHIPHPLDPLTPSEINLVRNIILKSYQTKHYNLTFHYVGLQEPDKPLIQSWLSSNTKTKTLLPPPRQAFVIVRFQKQSLEIIVDFSTRSIISTKLYKGQGYPILTFGEQTIASQLPFTYEPFKHSLNKRNINISNVLCAAFTVGWFGEEKSKRTVKVKCYYKNGSANLYARPLEGVAAVVDLDEMKIVGYSDRHVIPVPKAEGTEYRASKMKPPFGPMLKGIAVSQHDGPGFTIQGHSVSWANWVFHIGFDIQVGPIISLASIYDLENQKYREVLYKGLISEVFVPYQDPSEEWYYTTYFDCGEYGFGQTMSSLQPFTDCPANAVFLDAYYSASDGTPVKISNAFCIFEKYAGDIMWRHTEIAIPNEVITEVRSDVSLVVRSVSTVGNYDYVIDWEFKPSGSIKLGVGLTGILGIKAGTYTNTDQIKEDIHGTLLADNTIGIYHDHFFTYYLDLDIDGEANSFVKTNLETVRVKDQTIPRKSYWTVVKETARTEADARVNIGIKPSELLVVNPSKKTKQGNQIGYRLLPGPIVHPLLLTDDYPQIRGAFTNYNVWVTPYNKTEKWAGGLYVDHSRGDDTLAVWSLRDRKIENKDIVLWHTMGFHHVPSQEDFPVMPTLSGGFELRPTNFFESNPVLKTKSPKAVHWPNCTFQH >RHN49961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2715846:2718131:-1 gene:gene34200 transcript:rna34200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRPPCCDKTNVKRGLWTPEEDAKILAYVANHGIGNWTAVPKKAGLNRCGKSCRLRYTNYLRPDLKHDSFTPEEEELIITLHGAIGSRWSCIAKRLPGRTDNDVKNYWNTKLRKKLMKMGIDPVTHKPVSQVISDLGNISSLTNTNSQNNLILDHTKDEQVQPLQHQVQYHQFTNQENFQQHVLSEVASSSSSSSSSNLTRLNSPISYSCNTSQAQINSNFDWSDFLHNDEPLVWTDIQQIQQCDIQRVMSSLTLSGIMQNEGEISNNFNSNGDDKQGGSSEGFEDVACDASKEYQGHKKCEGNSFSGNSFVDGILDKDSEIRATFPEILDASFDY >RHN82410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53506567:53507739:-1 gene:gene6573 transcript:rna6573 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEVCSETNSPGFSPRISFSHDLKNSNGSIPVEDLHLRSDLHLLDSTSDFVFSITNCFSQQFSSADELFSDGKIVPMEIKKVISNGIIVPMKKNSSANEFFEPLQKTTVMKKRLKEFLSDETDVEEEKPLLSKHFWQFKRSSSLNFDTARQNRLIRSLQFLSRSHSTGSAPNPKQTELQKERQKQRLQKQSSVSSSRRSSSSSSTSSTYYFYNSSNKSSLRKCSSSNGVRISPVLNLPQAYIPKVTANFFGFGSLFCKGKVKRKKK >RHN41914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34073087:34073716:1 gene:gene48289 transcript:rna48289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ammonium/urea transporter MNFNSSKYISHLPESLLPNDASPEWNNKADNAWQLTAATLVGLQTVPGLVILYGSMVKKKWAVNSAFMALYAFAAVLVCWVLWAHHMAFGTKLLPFVGKPNFALSQKFLLSKASTNYYLPMADFVFYQFAFAAITLVLLGGSLLGRMNFYAWMLFVPLWLTLSYTVGAFTIWGNGFLEGKIIDYAGGFVIHLSSGVAGFTAAYWVIDYN >RHN77525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7426304:7426852:1 gene:gene989 transcript:rna989 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIFSIFVRHTSAARSVGLRHHPPSHCCSLSLFSPFLPPPPGVPDPTPSSPIASPSPLSPPSSLSLLSTTTIVLLTSHHSSSCVTVLFSGDLLFTSRIRPSSPPPSVLFRRPHRVFVTVVVHSVTVVIHGFFVHGLTVAVSSRFERWWYKEEEEEEVVMARLLLWYEEDGRTQRVRKVVE >RHN51536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19531739:19532171:-1 gene:gene35993 transcript:rna35993 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEMPEPSSLYPTEMDSLFADPMIFKVAKNLIDSSGAAASYEVMDVFSHHSLSDVLLNPIPYNAGGELEDHILDAIVTSLYDDYSIDY >RHN42051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35084021:35089530:-1 gene:gene48442 transcript:rna48442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellular retinaldehyde binding/alpha-tocopherol transport, CRAL/TRIO MSMSDIQSPKAVDKIDRVGSLKKILRDSLTRRSRRSSSKVMSVEIEDIRDADDLKAVDEFRQALILDELLPEKHDDYHMLLRFLRARKFEIEKSKQMWSDMLQWRKEFGTDTVVEDFEFEELEEVVQYYPHGNHGVDKEGRPIYIERLGQVDATKLLQVTTMDRYVKYHVKEFERTFDLKFPACTIAAKKHIDQSTTILDVQGVGLKNFNKQARDLITRLQKIDGDNYPETLNRMFIINAGSGFRMLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEIIDASELPEFLGGTCTCADQGGCMRSDKGPWKDPEIIRMVQNGEHKTSRKIEAPIVEEKTPEESQASKMEAVFTAQLSTVYAKAPSSKACNYEDLVPMADKTAWQMVEKTKSFAVPKAEVEALTVPDSCKIHEKVNSQIFTGMMAFVMGLITMVRMTRNMPKRLTDANFYSNSVYSGGDFKTEDSNDQLTNPTISAQEFMTVMKRMAELEDKMGNMNTTTCMPPEKEEMLNAAISRADALEQELMATKKALEDSLAKQEELSAYINEKKKKKKKLFQWA >RHN62250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42039956:42054717:-1 gene:gene24804 transcript:rna24804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MLRESKLPRRNLNKSEEIENLDPSETSSASVTNPRCIEAPRPPLNTIQDIERTPSKATKRKGGPELRTPERSLNLKPRFAWPQRNDTVSTTFDDRRGSGVGNATPRVNRTVVRACSESNSTQSTPTKSVTKPPVSSMSVRGKGDGSSFSVRLGNYGGLYKGVSSTSCTPVVVNSVEVPHFDLKEDSSFWINHNVQVIIRVRPLNSMERSIHSYNRCLKQDSSQSISWIGQPENRFTFDHVACETVDQEMIFRMAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMLGEIEDLDVKPSPHRGMTPRIFEFLFARIQAEEESRRDENLKYNCKCSFLEIYNEQITDLLDPSSTNLLLREDVKKGVYVENLSEFEAQSVSDIIRLLIQGSANRKVAATNMNRESSRSHCVFTCVIESTWEKDSTTNYRFARLNLVDLAGSERQKTSGAEGERLKEAANINKSLSTLGHVIMILVDVANGKQRHIPYRDSRLTFLLQDSLGGNSKTMIIANVSPSISCAAETLNTLKFAQRAKLIQNNAVVNEDSSGDVIALKHQIRLLKEEISTLKRCQSVSRSLSFKAITQSVDQCCFENEPDMDEQHDEDMINHESMGTRMSHKQLESLNITLAGGLRREQIAETSIRQLEAEIELLNCLVRQREEETMSCKMMLRFREDKIRRLESRVVGSITADQFLQEDNKALSDEIQLLQGKIDQNPEVTRFAKENIRLQEQLRRYEEFYGEGEREILLSEVSSLREQLLQFLERNSVQGDLNYGTQPQKTRCCNQQNESVDLELRSALDKLEECRHSLNSCLEENARLNRELDSFHSMFNNTTATKISIKGPLSEAQSLPPKTAVKHEPQLLKHTDDILDLHLELDVIKIILKEERTSRGILEEQATSLNHEILMEKDMLLLANKQLEDASNELKVAKTVIEALESQQILSIKEIEEMQNKNNYYLELLRKQEREIMALKNQLAPNDLRDSLSSNHPKIDNEYPLQVRFRRMHDSLEKAKQLNMFYQSDRALQISNEEEMDEVRRQAEAETAEVIVCMQEELVQLQHQVNDSHQKEIEMKESMLRLETELKGVQEKLLTAVDDNQSLSEELWQRDTELKSLAEECELLTSEIEEILSDGCQALDDASDVLGHISNSFSQKRIWISEQVGMMVRKIAEKELLIEELGRCLEDASNKRGDMESMLKSLRSATLVITEAHQKESDEAEKEILLLTSHLSEKTSTVEQMEEQLILAEDQIRKTSKCATAAFVVVNWLSDVNHGYLVDLKHKDILLGELGEISDRKDALLIDQSISLAHVERQIAELQEECDKLLQKLSEEKEHSYALEQKLEDIEKNVISETREQLITLQDGVSSIRSSMASFADNSGCLDNRNLLDVCTSNYDDNGESTTSSETHHQIDEMAESTFKLANSGYGKKDCKSRNVSKDAQERDVTITLLRKEIECALESLKEVQDEIAKLHAEKKEMSICEKQSRESIKCLTTQILALQAAMGHFEEQSKVKVEVLSCKLINLETTLKEAMSHWNQTKELLELEVGEAKIVQVQKAEEAYCILAKFEEAQETVKEADFMINKLVIANEKLKEREVTLLSENDVLFNKVESLQTVVALKHQEIDDLVESNLIETRDLVMKVDDVIKEVQLMMKENFMSLACDIECVKSHFLCSTKLIQPWLEKIWSEIISKDCVMSVLHLCHMGVLLETVTGMHAENGLLSHGLCESNSVISDLKERNFRTSQELEMCRILKGNLLADIQKSFDHITRKEAEAGEMTIKLNTFVKNLSDLQLQEEMLLHRSNEMGSQLAKLTREFDLSSTDAVISLLDQEKLLKQKVEDTESQAEFFMVDWYAKDFELLVHASEFRSMACNVSDMEEHFVKYSTIIEQLKKESIFFQVETELAEQVLMDKEVEVSLLKREIQQEKVEKENLLMELKQNILRNTEMGEVNKENAVLLKDVACSNIALKDELVVVKESEKRLLDKIQDLEVDYDKVIGDIIAKDVAFEFSVNQMFFLEDQIRESESTNCMLENSCCNLKNELHLRDSEITRIQSLLQLELSRKEDVIKGLLYDLSLLQESASISKDQKDEMEEMKATMEALESELTVKSGELAAVVANCQLLEAKLMEKSNRLTALELDLSKDREVVKLQASENHELKNHIEDALVARKLAEEELKERMKITNSLEDEILEMSSVLGQMNDSIKNLSVDRDALTIQRDQLQGQVNSLNERFEKAVAQAEANEEIAQDAQKLAEARKVYAEDREAEIELLERSVEELESTVNVLENNVDFIKGEAERQRLQREDLEMELCALKDQMQNLRNADDDIKRFLDEKEKCLEEAQNHIQVLKSDIAGKDAEVAQMKVHISELNLHAEAQAMEYKQKFKALEVMAEQVKPEGISTQPNNALSNKSEKNATKSRGSGSPFKCIGLGLAQQVKYEKVEELSAARLRIEELESQAACRQKEIFSLNARLAAAESMTHDVIRDLLGVKLDMTSYVSLLDNHQGQKIAEKAQFLTLEPQEKEQEVMKLKKQLNEFIEERKGWLQDIDRKQAELVAAQIALEKLRQRDQLLKTENELLRMENASNKSKIMEVEEEMNKLSGQQNLQQRIHHHAKIKEENNTLKIQNEQLSAKLRKANKFISRVEEYIPRLRASAGSKEYIDFDEEERLRKMLKEIEEEKVQLAQQLLRLSINVLKAAGIAKPMSDVNPSIAEEALEELKNRITSLEMEQENLKFKNKIMKEKIRLSELMPQASPLSSKSEENGITPPRAGRAPFLSSFER >RHN82257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52354491:52356203:-1 gene:gene6403 transcript:rna6403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative limonoid glucosyltransferase MSSEDTEIKPEIHVLLVAFSAQGHINPLLRLGKSLLTKGLNVTLATTELVYHRVFKSTTTDTDTVPTSYSTNGINVLFFSDGFDISQGHKSPDEYMELIAKFGPISLTNLINNNFINNPSKKLACIINNPFVPWVANVAYELNIPCACLWIQPCTLYSIYYRFYNELNQFPTLENPEIDVELPGLPLLKPQDLPSFILPSNPIKTMSDVLAEMFQDMKKLKWVLANSFYELEKDVIDSMAEIFPITPVGPLVPPSLLGQDQKQDVGIEMWTPQDSCMEWLNQKLPSSVIYISFGSLIFLSEKQMQSIASALKNSNKYFLWVMKSNDIGAEKVQLSEKFLEETKEKGLVVTWCPQTKVLVHPAIACFLTHCGWNSTLEAITAGVPMIGYPQWTDQPTNATLVSDVFRMGIRLKQDNDGFVESDEVERAIEEIVGGERSEVFKKNALELKHAAREAVADGGSSDRNIQRFVDEILVTAIDSNN >RHN62686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45166107:45166664:-1 gene:gene25286 transcript:rna25286 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTVVLMLLVALLLDSTVARSPPSSSPMFSPFPSPIISPSANSPVASAPLPGASSPSPFAINSPASAPPAAAPGSPAAAASPVAAPAVTPSSISTPPSQAPSPAISPSANSTAASAPVPAKSIPSPSPSTNNSPPSPPPAITPTSISTPPSKSPSTSTNGAAFNRFTVAGSAAVVVFAAVLMI >RHN82784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56412244:56417747:1 gene:gene6971 transcript:rna6971 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRRGGGEEKEIEESVVSVLEEAKEIQDSVSVHISKTLSDEQPLRQRALVLDSKIISLRSSLDSLLSNKLIQPSLADKLDEDLQRARCIIVDGDASYLLPGHAQGKFLRMFLGPINVRASRKDVQLKVKEEYNSYRDRTALLFLLFPAALLILRSWVWEGCLPAFPVQIYQAWLLFLYTGLALRENILRVNGSDIRPWWIYHHYCAMIMALVSLTWEIKGQPDCAKKQRGVQLFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVDGQLWLLCPILFILQGFEAYVGLLLLRTAFVGVASEWQVIFCGLLLVVMAIGNFQNTVQTLLVKSRFKAKMKRTKSKQRLN >RHN80389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37642591:37646440:1 gene:gene4310 transcript:rna4310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative malate dehydrogenase MAKDPVRVLVTGAAGQIGYALVPMIARGVMLGPDQPVILHMLDIPPAAESLNGVKMELVDAAFPLLKGVVATTDVVEGCTGVNIAVMVGGFPRKEGMERKDVMTKNVSIYKSQASALEKHAAANCKVLVVANPANTNALILKEFAPSIPEKNISCLTRLDHNRALGQISERLNVEVSNVKNVIIWGNHSSSQYPDVNHATVKISSAEKPVRELVADDAWLNGEFIATVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPEGTWVSMGVYSDGSYNVPAGLIYSFPVTTRNGEWQIVQGLSIDEFSRKKLDLTAEELSEEKALAYSCLS >RHN63380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50803700:50804755:-1 gene:gene26074 transcript:rna26074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MRSNMEYERVLSYFDEDRDGKISPNELRSRMAKIGGEFQLKEVEIAIEALDSDGDGLLSLEDLIKLMESGGEEEKLKDLREAFEMYDNEGCGFITPKSLKRMLKKLGDSKSIEECKLMIKRFDLDGDGVLSFEEFRIMMD >RHN58485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2145450:2145857:1 gene:gene20403 transcript:rna20403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MVCKKFLSITNRVKASLTVHDPTVPFLPRLLLGFLRLKVLDFSHFNGELEGLLHQVSQSGLDLDFVNLSNQRTVPVDGLRELGSKMINLRVLICSNIGSLCDSHLVVIAYCFPFLEELDISFPLDSQASDFGLIR >RHN39217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5043103:5046686:1 gene:gene45221 transcript:rna45221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MKLYTYISNKILDLIWNLEEGRERGRGREKTMQSRMEEGKDPAATTAIRVTHSRPFPLRLLQFFLLFLIIGIGGSFLSMYMIRHFGIHNVVQSSFKPCFPKPAIIENWFKPPSSLLHTMNDDELFWRASFVPRIKNYPFKRTPKIAFMFLTKGPLPMAPLWEKFFKGHEKLYSIFVHSLPSYNADFLPSSVFYRRQIPSQVAEWGMMSMCDAERRLLANALLDISNEWFILLSESCIPLQNFSIIHRYLSRSRYSFMGAVDEPGPYGRGRYEENMAPEINISDWRKGSQWFEINRELAVRIVEDNTYYPKLREFCTPHKCYVDEHYFQTMLTINTPHLLANRSLTYVDWSRGGAHPATFGKNDIKEEFFKKILQDQTCLYNNQPTSLCFLFARKFAPNALGPLLDIAPKVLGI >RHN78241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13064492:13065355:1 gene:gene1775 transcript:rna1775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MHHRHKQFKTYFSKSKMCTIQCVGGLAAILIFLYIHYWRRNRDEFVPINWPIFGMLLALMCNLSNFHDRATLILKHHGGTFRFGGAWFTNTSFIGTSDPMNVEHIASKNFGNYGRGSNFKEIFDFFGDGILNSNSHVWKQQRTMFHSFLKRKTFKNFFQQTMKKKLENYLLPFLNDVSEIGAQVDLEDALSRFTFDSICTIAFGFDPNCLLNKFNELTEIDYQKSLAVIDEVILYRHFIPSYLWKLQKWLHVRQEKKLREAEENLDRFLYEGITFSKQEQSKCSSSE >RHN41151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27619227:27620103:1 gene:gene47441 transcript:rna47441 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLQKLKHVYVRDLDHQITKLVQLAQLQLQYYKSIDTYENQLSHEATVQRITELKMKRSVRMLRLTHEMLSYMKDSEAYEKLF >RHN72399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7311563:7312691:1 gene:gene8105 transcript:rna8105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MMPCYILFCIYSSTYVVNFLMFHPLSMVHVKGRGTVATGRVEQGTIKVGEEVEILGLKQGEPLKTTVTSVETFKKTMDKGEGGDNVGLLLRGLKRGDVQRGMVIAKPGTLKTYKKFEAEIYVLSKDEGGRHTAFFSNYMPQFYLKTADITGKVQLPDDVKMVMPGDNVTATFELMLPFPLEQGQKFAFREGGRTVGQGVVSKVLS >RHN63930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55110608:55114834:1 gene:gene26687 transcript:rna26687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEKKMSWTVGDAVDYKGFPADRSKTGGWVPAALILGIEIVERLSTMGIAVNLVTYLMGVMHMPSSTAANTVTDFMGTSFLLCLLGGFLADSFLGRYKTIGIFSAIQTLGTAALAISTKLPSLRPPPCHAGESCKPANGIQMGVLYLALYLIALGTGGLKSSISGFGSDQFDEKDEKEKSQMTFFFNRFFFFISLGTLAAVTILVYIQDEISRSWAYGICSISMVIAILIFLSGTTRYRYKKSLGSPIVHIFQVIVASIKKRKMELPYNVGSLYEDTPEDSRIEQSEQFRFLEKAAIVVEGDFDKDLYGSGPNPWKLCSLTRVEEVKMMVRLLPIWATTIIFWTTYAQMITFSVEQAATMERNVGNFQIPAGSLTVFFVVAILLTLAVNDRIIMPLWKKLNGKPGFSNLQRIAIGLLLSTIGMAAASLIEVKRLSVAKGVKGNQTTLPISVFLLVPQFFLVGSGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFVSSFLVSVVKKVTGTRDGQGWLADHINKGRLDLFYALLTILSFINFVAFLVCAFWYKPKKPKPSMQINGSSSVEEKC >RHN81470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46216075:46220702:-1 gene:gene5518 transcript:rna5518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arsenite-transporting ATPase MNMACHSSSASSLRITNSMAIKGALSFSPTPSPLFPFHKASSFVSLRFSTKPPTKSFQVKSVAAPTESISVFDDMVAGTERKYYMLGGKGGVGKTSCAASLAVKFANNGHPTLVVSTDPAHSLSDSFAQDLTGGALVQVDGPDYPLFALEINPEKAREDFRDVAKQNGGSTGVKDFMDGMGLGMIVDQLGELKLGELLDTPPPGLDEAIAISKVIQFLESQEYNMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRQKIASATSAIKSVFGQENPRQDATDKLEKLRERMIKVRELFRDTDSTEFVIVTIPTVMAVNESSRLSASLKKESVPVKRLIVNQLLPPSASDCKFCAMKRKDQTRALDMIQSDPELSSLSMIQAPLVDVEIRGVPALKFLGDIIWK >RHN58904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5835864:5836499:-1 gene:gene20860 transcript:rna20860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLNYLNFSHASFQGEIPIDISQLTNLITLDISGPKDAIKNLLTMNNQNLQKFVQNLTKIRQLYLEGITLTSEGPEWSNALLPLCELQELSMYNCDLAGPLDSSLSKLKNLSVIILDRNNFSSPVPETFANFQNLTTLSLTSCGLTGTFPQKIFQIEKLSVIDLAHNNNLHGSFPEIQLSGSLHTLRVSFTNFSGGIPHIIGKMRHLYIGSF >RHN38518.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:496510:497792:-1 gene:gene50634 transcript:rna50634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MNFVYLDIFRFIFSLSIVIFFSIVSIDFGVLIFFSLHRVDIPEGSNLFERTFETVVVPDSSDLAAQKYGWIKDPAGNYIRIDLSNVVRQNIIPSCLIISRICGFVEPQRVILCYQILDNQFNMRIVDDAGLDVTYYGFHFPTNHHARKVADPFYYPPSPPPLSFISAVQSRNEDEEVGLAIPAEMFNTMVVDNGGNVAVEARLNIPNPDLPIHIPGGDGVAEEYIWTLKVTQPIADGRSVLHFPRFVIENFTFSVGPEIHVMDDATGEMFTCMIKTANTPSGYVVKYLARGWYQFVRSKELSVGDHIVFGVQNPVKSIDKLLLSH >RHN73130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13648340:13649135:1 gene:gene8914 transcript:rna8914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >RHN67246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25156716:25158464:1 gene:gene15417 transcript:rna15417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MVQGREERTVVSVVGMGGQGKTTLAKKVFDSKDIIGHFHFRVWITVSQSYDVEGLLRDMLLKIYKQIGDNPTQNFYQMDRGSLTAEVRKCLQKKRYVVVFDDVWNVHFWDDIEFAAIDSKNGSKIFITTRNMDVVVSCKKSSFIEMLELQPLTHEQSLELFNKKAFKFDYDGCCPTELIGTTNEIVKKCNGLPLAIVAIGGLLSTREKNVFEWQRFRENLNLELKTDSHLIGIKEILSLSYDDLPYYLKPCFLYFGVYPEDYEVKSKRVTRQWIAEGFVKEEKGMTLEEVAEGYLTELIHRSLVQVSSLRIDGKAKGCRVHDLIHDMILQKHEDFNFCKHISDDGQRSISEIVWRLSITTIDDALWECVDGSHVRSLFCFGNKEKSSSYFKGISKIRLLKVLDFEGFDFNNIPKNLGNFIHLKYLSIMMSISEVKVPKSIGMLHNLDTLVLRGPYYFELPKEIRKLRKLRHLIGTELSLIHLMYGIGEMKSLQTLRYVSLNIDGAAEVIKALGKLKLIRDLGLLNVPMEYGSIFSSSINEMLHLEKPRVNNITDYNYICLNLISPPTKLQKLILRGRIKEFP >RHN48008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44228044:44228954:-1 gene:gene42676 transcript:rna42676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MASDATATTKKGGRGKPITTKSVSRSSKAGLQFPVGRIARFLKAGRYSQRVGSGSPVYLSAVLEYLCAEVLELAGNAARDNKKTRIVPRHLQLAVRNDEELSKLLGHVTIANGGVLPNIHQTLLPKKVAGKKGEIGSVSQEF >RHN64504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59589569:59610510:1 gene:gene27324 transcript:rna27324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Sec7 domain, mon2, dimerization and cyclophilin-binding domain-containing protein MAGGAAGGFVTRAFDSMLKECSGKKYPELHKAISNYADITKEASQRKQSEANQVAPSPESGSVNETENGAATSSETDQSQKAEQVSSAADNGSKPYSGNIIELLAKAGNTLEGTDAELVLNPLRLAVETKNLKILEPALDCIHKLIAYDHLEGDPGLDGGKNVPLFTDILNMVCSCIDNSSPDSTILQVLKVLLTAVASSKFRVHGEPLLAVIRVCYNIALNSKSPINQATSKAMLTQMISIVFRRMETDPVETSSVSGGHTITKAASADSLNTKPDEISVGDPNEKEMTLGDALSEAKDASLTSLEELQNLAGGADIKGLEAVLDKAVHTEDGKKITRGIDLESMSIAQRDALLVFRTLCKMGMKEDSDEVTTKTRILSLELLQGLLEGVSHSFTKNFHFIDSVKAYLSYALLRASVSQSPVIFQYATGIFLVLLLRFRESLKGEIGIFFPLIVLRPLDGLEFSVNQKLSVLRMLEKVCKDPQMLVDIFVNYDCDLEAPNLFERMVTTLSKIAQGIQNTDPNSVAASQTATIKGSSLQGLVSVLKSLVDWEQSHRELEKLKNNKQEGVSGEDSSEIRSREDTTSDFEKAKAHKSTLEAAIAEFNRKPMKGVEYLISNKLVENTPASVAQFLKSTPTLDKATIGDYLGQHEEFPLAVMHSYVDSMKFSGMKFDTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNAKDDPDECAPKELLEEIYDSIVKEEIKMKDDPSFIGKSSRQKSEGEEGRLVSILNLALPKRKSSEEAKSESEAIIKKTQAIFRNKEVKRGVFYTAQQIELVRPMVDAVGWPLLATFSVTMEEGDNKPRVILLMEGFKAGIHITYVLGMDTMRYAFLTSLIRFNFLHAPKEMRSKNVEALRTLLILCDSDMNALLDTWNAVLECVSRLEHIATTPAIYATVMYGSNQISRDAVVQSLKELAGKPAEQVFMNSVKLPSDSIVEFFTALCGVSAEELKQAPARVFSLQKLVEISYYNMARIRMVWARIWSVLADHFISAGSHYDEKIAMYAIDSLRQLGMKYLERSELANFTFQNDILKPFVVLMRNSQSESKRRLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDELESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNKTSHRISLKAIALLRICEDRLAEGLIPGGALMPVDANLDTTLDVTEHYWFPMLAGLSDLTSDQRPEVRSCALEVLFDLLNERGSKFSKSFWESIFHRVLFPIFDHVRHAGKEGFVSSDDDWFRETSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKKTDQTVVSISLGALVHLIEVGGHQFSDSDWDMLLKSIRDASYTTQPLELLNALSFENVRNHGGIIRDSEANAGDSVTIKSIEYEAVGDHQHDANSNGKLSPLASSNANADGVEDSVSQTNMDQSEGLPSPSGRTPKAADGGGLQRSQTLGQRIMGNMMENIFLRNLTSKSKSPIPDASQPSSPVRVADTVEPDAKHEESPLLVTVRGKCITQLLLLGAIDGIQKKYWTKLKAPQKIAIMDILLSLLEFAASYNSSTNLRTRMHQIPDERPPINLLRQELAGTGVYLDILQKATYGFEANKEKSPESDRLQDAGSTADSDSSITQESDAEEKFERVAEEKLVSFCEQALREASDLQSSTGETTNMDIHRVLELRAPIIIKVLQSMCFMNNKIFRRHLREFYPLLTKLVCCDQMDVRGALGDLFQAQLKALLP >RHN60702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29702950:29705819:1 gene:gene23057 transcript:rna23057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbonic anhydrase MAKLASIALIFGLLTTLVLLSSCPAMSREVEDESEFNYDEDSDQGPHNWGDIKPEWFRCKNGTMQSPIDLLNHRVQIVSNLGGLQINYTPSNATLKNRGHDIKLELIANSSYLQINGTQYVLKQLHWHSPSEHTIDGKRLDLELHLVHETPSGETAVIGILYKTGLPDLFLSLLRKDLEAISTSTGEERSVGVVDPRMIQFNKMHYYRYIGSLTTPPCDENITWTIVREVKFVSKEQIELLRVAVHDDSDSNARPLQPLNNRLVQLNKLKGYQH >RHN70850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54472240:54473593:-1 gene:gene19478 transcript:rna19478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MKSHQPKQLKTQLFSCGFFRHCGQTVLSPTATGPTPPPLPLTQTHTSTTCESSTSSSSNSATTSQSFTQWRFSIPTPTSTPNTPPLPPPPPLPPFLSTIPNLQELFHVSELQSTTDLNAALNLLERSLVPNPPQDQPPCPPNLMRALIRNLRESKPATKILFALCLSEANRRVAVEAGAVGAVVESAPELEGAPAERALAALELMCTVPEGAEEVRAHALAVPVMVTVMGKTGARGKEYAIGVLAVIYGGDMGDMQTAPPEEVARAVELALQGDCSARGKRKGAQLLKTLQHLSHPHSHDTS >RHN49453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54922319:54924654:-1 gene:gene44286 transcript:rna44286 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSQCLTLPADLDIFAAAAPIQNAFHCKDWNFPLLQGPSWDAKLTRLYTLCSHPI >RHN57053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36129600:36130976:1 gene:gene32543 transcript:rna32543 gene_biotype:protein_coding transcript_biotype:protein_coding MSNERCPNITMVNVKWHSSARIRRNKWQLNMFFKRFNSLQTMSHYVGCKTLLLVKKINEAKRGSKIQYITWHHGSVVGSKVIPYNNN >RHN38930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2874636:2875504:-1 gene:gene44907 transcript:rna44907 gene_biotype:protein_coding transcript_biotype:protein_coding MFKASRCESWRTKRGGSWDMVGVFWGKIHGDEKHMSRESIVSNLGEFDRTE >RHN74714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36097727:36098664:1 gene:gene10822 transcript:rna10822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MDVIISIAAKFAEIMVAPIGRQFRYILYYKDYLKKMKTDVQKLEGIKNSVQHTVDEARRNGEEIENVVQNWMSKVDNTFAEANKLIDNEDLAIGQRSMRNFPNLCTRHQLSKKIKKMIQKISEVIVEGKFDRISYRASLQVTITPFGRGYEALHSRTSMLNEIMLALKDANIFIIGVYGMGGVGKTTLVKELAWQAENDESFSAVVMATITDSPDGIEIQGQIADALDLKFNKETKEGRARELRERITKEKSILVVLDDIWRKIELTELGVPFGNDHKGCKVVVTSRDLNLLSCEFGTQRI >RHN58981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6384083:6385786:1 gene:gene20957 transcript:rna20957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MASFTTTLYFKSLIFIILFIKTLSFDPIPLFSFADFEKDLKFKSNVEIFGNAKVVNGESKIQFSGESGRVIYKKPIKVFQGKPKQLVSFSTYFTFSISMENEGGLAFVMIPNGVKSVKGDVFNQSLSGFSLGLKNNGFEGISVEFGGYKDGKNEILIRNGVSSESYFTNLALKSGEKLHTWIDYEASSRRLEVRLSKHGNSKPLDPLLWHKIDISNVLKEEEMFVGFSHVKGNNAFLYSWSFVMRNFPPHSMHSEPLDPNVSVKKSETSMVVKPKEKAKKNDCVLRVLAAMIFGTGCGALTAFTVLYLWTIFGNKRGAVVPEGCSMQPLDVEYRKVKIVVDSKTIGDGNK >RHN47767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42388019:42391019:1 gene:gene42406 transcript:rna42406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase transcription factor WD40-like family MNSNIILSATFLVLSAIIFTLFNSQNPFSPVPIPGSKNNLHAAKLLNVTGAVGPESLVFDSNGDGPYTGVADGRILKWEGEERGWTQFAVTSSNRSNCVHPFAPELEHVCGRPLGLKFDKKSGDLYIADAYLGLKVVGPAGGLATQVATEAEGQPFHFTNDMDISEDEDVIYFTDSSTVYRRRQFMLVLLSGDKTGRLMKYDKSTKEVKVLLSGLAFPNGVALSKDGSFLLVAETSTCKILRLWLRGPNAGQVDTFAVLPGFPDNVRRNSEGQFWVALHAKETPFAKWMSSNLWAGKALSKLGNFKWLHASLAMKPHAAAIKLSDEGEILEVLEDCEGKTLKFISEIEEKDGKLWMASVLMPYIGVYNL >RHN44400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4244745:4247744:-1 gene:gene38518 transcript:rna38518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MAVEESRQVIPELEREENLIAAARHIVMALGSKNSLTNDEKKILADLGSKLSSMNIQSEKEEGKIGREKENDIDKEDEVNEEEEEGVGVIEQRLGLIQEKIMKWEEDQSMIWDLGPEETSEYLNAANDVRILIEKLEGMHLNKEDQEYEFLQRAYSVLQTAMTRLEEEFSNLLIQNRQPFEPEYVSFRSSEEDAVDGNSFVSFGDDSFEESLRRDSVSRATEEHVIDLVHPAVIPDLRCIANLLFASNYAQECSQAYTIVRREALDECLFILEMERLSIEDVLKMEWGTLVSKIKRWIWALKIFVRVYLASERWLSDQIFGEGEPVSQACFVDASKASILQLLNFGEAMSIGPHQPEKLIRILDMYEVLADLMPDINALYSDEVGSSVHFECHEVLNRLGDCVKKTFLEFKNAVDLNPSTTPLVGGGIHPLARYVMNYLRTLTDYSEALNHLLKDQEEEDSISSSPDTSPGTEDDNRSQASPGRFPSMARQFLSFASVLENNLEEKSKLYKETSLQHLFLMNNLHYMAEKVKGSELRIIFGDEWIRKHNWKFQQHAMKYERASWSSILNFLKDEGIHAPGSNSVSKTLLKEKLRSFYLGFEDIYRIQTAWSIPDVQLREDLRISISVKVIQAYRTFVGRNNSHVSDKHIRYTADDLENYLLDFFEGSQKLLQNPIRR >RHN42788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41007125:41009274:1 gene:gene49275 transcript:rna49275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-S seed storage protein, plant MELDLTPKAAQPLFEGDGGCYYIWLSSQVPVLAKTNVGAAHLVLHPGGLALPHYGDCSKVGYVVEGTNGVVGMILPSTGKEVVLKLKQGDIVPVPIGAVSWWFNDGDSDFKIIYLGETSNALVPGEFTYFILGGVLGLLGSFSSELISKVYNFNKDEVTKLTQSQTGVTIIKLEKGQPMPKPQMDLTKDLVYDIDAKAPEIKEKNVGLVTSLTEKDFPFIKDVGLSVIRVKLEPNAIKAPSNLITPGIQLIYIARGSGKIEIVGINGKRVLDSQVKPGHLIVVPKFFVIAQIAGEEGMESYSIVTTTKPLFEELAGDTSVWGALSPTVQQVSFNVDSEFQNLFISKSTKTTNLILPTI >RHN52383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34613385:34616924:-1 gene:gene37051 transcript:rna37051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GAGA-Binding-like family MDGDNGLNISNWGYYEPVSSFKNHLGLQLMPSMPEKPPLIGSRNAAVMSGTNGAYHHREIGMPQTTYPMDYMRDAWISSQRDNKYMNMNMIPTNPPGYSTSIPETSSAHHIQMIRPPELVKEEIPMEEVPVVEKVNGTGKKRQGPKVPKSPKAKKPKRGPRVPKDENSPSVQRTPRAPKKTTEIAINGIDLDISSIPIPVCSCTGTPQQCYRWGSGGWQSACCTTAISIYPLPMSTKRRGARIAGRKMSIGAFKKVLEKLAAEGYNFSNPIDLRTYWAKHGTNKFVTIR >RHN76577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51390086:51395734:1 gene:gene12925 transcript:rna12925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEESWEHWPLHSELGDVEVLLGQYCHQTSADDEEEFLRDIILQQPVTYSGSISSSNEMDGSDCSKKKLHPSSSPFTPRTFILSFDKSTIIPATTTPESEEVSIPKSRSNNNKRSLEPKAKASNQTGKKSRSGSQYLDHIMAERKRRLELSQKFIALSATIPGLKKMDKNYILGEAISYVKLLQERVKELEDQNKNSKESTIILKKTDMCVSEDTTSNSDQDCCKSPLFDVKARIMENEVLIQMHCEKENDIEIKIYNVLENLDLFVTASSVLAFGTSTLGFTIVAQMGEGYKTTVNDLVKTLWQVLNSKTDPF >RHN57145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36823431:36824306:-1 gene:gene32647 transcript:rna32647 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDWENLEALALGLILDKLEERIDHVWFGAVCKNWRSIAKFNHQNQQFRTHVLPMLMIPRTNICLYSIPAKRMYAFKFPIINYKITCCGNSHGWLALEDRNHVVTILNPFKNVAHISLPPLNNAYKSSCYVVKKVTLSADPIIRPNDYVVLAIHKSSSLAFIKAGQTCWTYYIRNCLFFMDVMFYKDLVYGVSHCRGIVSFNLDLDDPYGVTIIPTNNVVFHGTFKGYLVKSLEGDLWLVKRDLSFEYNNYYTICFQVCKLEFDAQSGKVKQMVKLESLGDNVLFVGENT >RHN50545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8033781:8035415:1 gene:gene34842 transcript:rna34842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MGCAHFGCFSASAVAAAARYYVGRTVGVLHGAVTFWWCGFVRYWAALYCLYAGALYDHENNLMDRINNLPVELLCHILSFLPTKLVFTATAFSKKWTPLCYSLSALDFHDETFKDLKTFDGFCRFVDNFMFSPLSTNQPLKSFRLNCLSGRNDKRDCDIFNAWLEAAKQRHVEELHLNLYFQTLNPIIFISQTLVHLKLEFIQLAIDTSCVDLPSLKTLHLKSVHFTNRNDYINFLSACPILEDLHAKSIYIQNANIAQEEGFKSLTFPRLVRVNINSTGDPFYGIDNVDLLFTVSGSIAQDTIFKVIPLFPNLVHIELVFCRRSLHCWDGVLQLLRHCPKLQILSIGKWMNTSSTKEWKCPISVPKCISSHLRSCIVLNFQGSTNDLKFVKYILQNATLLRDMKIRFATYGMLLKNSLIIEELSSCPTVSPSCKISIEFI >RHN68716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37995496:37998670:-1 gene:gene17102 transcript:rna17102 gene_biotype:protein_coding transcript_biotype:protein_coding MICPVCDESLGEDAIRMVKNSSFPISQKWIWKPRKKNGISHIHWALHHKKDASWEHNKHEPMPDSISPAFMDNVYLPSLNDILSDEEDTIRNASDVVVENDTSIAKRYGPLKSICYNGDMLV >RHN56015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26835134:26835442:-1 gene:gene31330 transcript:rna31330 gene_biotype:protein_coding transcript_biotype:protein_coding MTALDHAQYSSPQQIPYSSPPITVPQLPPPPPASPFIAMPELPNSTMGSLNQTWLDSDTFSAGMQGARHDHLSVSDDLLPQLTGQQVRYLCNIGLQSQLETQ >RHN46876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35511638:35522037:-1 gene:gene41411 transcript:rna41411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SPX domain, major facilitator superfamily MVAFGKKLRESQIQEWKGYYINYKFMKKKVKRYVEQIEVGAQNHHNVLRDFSLLLDNQIEKIVLFLLEQQGVLARRLSHIGQDHQNLLQQPDSSKISELQEANREVGRDLLRLLHFVEMNATGLRKILKKFDKRFGYKFTDYYVKTRANHPYSQLRQVLRHVGIGAVVGVLSHNLADLNDLQKCQGSYISIYDQPSYAHQDPILESIKVAADRLSNSTNFLQFLGRHAFIMQPEEISPSPSEENIVDERYHFMSLLLNLASTFLYMVNTYIVVPTADNYSLNLGAAASVCGVVIGSMAVAQVFASVYFSAWSNRSYLRPLIFSTIVLMIGNIMYALAYDLNSVAVLLMGRLFCGLGSARAVNRRYISDCVPLKLRMQASAGFVSASALGMACGPAIACLLQTDFRIYKLTMNQDTLPGWVMAIAWLVYLLWLCLCFKEPGNLLAYEAETGQQVHIAVENMHTQPLLMNSEAKEQEKDGEEKDDDEEVNDKIEAQKPVTSIVLAYKLLTPSVKVQLFVYFMLKYAMEIVLAESSLITEYYFIWSTTKVAIFLACLGLTVLPVNIVIGSYISNIFEERQVLLTSEIMVCIGLLLSFHILIPYSVIQYVGSALITFVSAEVLEGVNLSLLSKMMSSRLSRGTYNGGLLSTEAGTLARVIADGTITISGYFSESKLLNTTLLPALLICISSIFATFCTYNSLY >RHN81525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46731614:46732151:1 gene:gene5584 transcript:rna5584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MSTLGSQLIYKLGGVDKSVIEKFEIEAAEMGKTSVKYAWILDKLRAERERVSSYLKKVGYNPENIPFVPISGFEGDNMIERSTNLNWYKGPTLLGALDQINEPKRPSDKHLRLQCLQV >RHN61077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32767371:32773306:-1 gene:gene23492 transcript:rna23492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MFRQLYICYTQRRKMAEIIKFVYIMILCVSLLLIAEASGKECVTDADCENLYPGNKKPMFCNNTGYCMSLYKEPSRYM >RHN69214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41854274:41855267:-1 gene:gene17654 transcript:rna17654 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGGHSAAWVRKSLNILQFSLNRPSSLCCVDEDGDEEMEIDEEDVEDHVQVNFVSGNHNKMNIADQDLAQLTEKKNNPCGFQASIKDSFEVKLEQELNGLRQKAGGFLFLKN >RHN60089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:19842215:19844625:-1 gene:gene22309 transcript:rna22309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MLLSKMGVLLFTFLYLILLYSINIVAYKDQEWKKATATYAKDTEGSLITEGACGYGDLHKASYGKHSVGLSTILFNRGSTCGACYEIRCVDHILWCMLGSPSVIVTATDFCPPNFGLSVDYGGWCNFPREHFELSQAAFAEIAKGKADIIPIQYRRVKCERSGGLKFTMSGSSHFYQVLITNVGLDGEVFAVKVKGSRTGWIPMARNWGMNWHCNVNLQHQPLSFEVTSSTGKTLTSYNVAPPNWQFGQTFQGKQF >RHN39524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7880816:7884885:-1 gene:gene45553 transcript:rna45553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone oxidoreductase intermediate-associated protein MSRIRRLFQASMDATKKAISGSFDDLMPPPEKYIFNFNSKQELSKWHLYSDSEFGGLSSASLQIPESENGKTTGIFSGNLSFEVTQGAKWNISRGGFCGMRSKKFDGFIDLDSYDTIAMKLKGDGRSYISTIYTENWVNSPGQMEDNSWQSFVYVPKDNWYIAKIPLARYVPTWRGNVIDAEIEMNPSRVLGMSFSVNAEGGVPGARSGPGDFRLELEWIKAIRSENPMM >RHN51819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25955958:25957808:1 gene:gene36355 transcript:rna36355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSSCCARFRSSTNPISLFPFLRIRLYSHSSFNSNNLDNVVSSFNHMLHMNPTPSIIEFNKILGSLVKSNNNHYPTAISLFHQLEFHGITPSIVTFNILINCYCLLREMDLAFSLFGKILKVGFHPDIVTLTTLIKGMCLNGKVKEALHFHDHVISLGFHLDQVSYGTLINGLCKLGKTTEALQVLRKIDGKLVNTGVVMYSTIIDCLCKDKLVTEAYVLYSEMITKRISPDVVTFNSLIYGFCIVGRLKEAFGLFHEMLLTNILPDVYTFSILVDGLCKEGKIKEAKNVIAVMMKEGVIPDVVTYGSLMDGYCLVNEVNKAKHVLSLISRMGVAPNAHSYTTMINGFCKNKMVQEAFSLFNEMRSIGIAPDKVTYSSLIDALCKSGRISHAWELLDQMHDRGQHANVITYNSFLHALCKNHQVDKAIALVKKIKDQGIQPNINTYNILIDGLCKEGRLENAQVIFQDLLIKGYKVTLWTYTIMINGLCLEGLFDEAMTLLEKMEDNGCIPNAVTYATIIHALFKNDENDKAEKLLREMIARGLL >RHN55768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23470816:23471461:-1 gene:gene31016 transcript:rna31016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MSAKYTPISAVSGGRKNLKMCVRVAHIWLIREKKVPASIIFMNMLLVDEKGGRIHATARKDLVAKFRSMVQEGGTYQLENAIVGFNESPYKVTSHKHKLSMMHNSTFTKVHLPAIPMNVFEFKPFNEILSSTADEVSTGKTSILNVCCTLFLPISIRLGISYICFCFCIRNFKCYWSCN >RHN78706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17685547:17700998:-1 gene:gene2345 transcript:rna2345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MMNSLQFRYSPNSTRPQFSRTFPPQYNFPANFLFLSLPTRFNGRRRRRKQGFSICCSSKTDSQIEKSSNEKNDERPPFDINLAVILAGFAFEAYTTPPENLGRREVDAAGTKTIYLSEEFFREIYDGQIFIKLKKGSRFPAMDPWGTSDPYVVVQMGSQTAKSNIKWGTKEPTWNEEFTFNFKRSVMKPLQVAAWDANLVTPHKRMGNAVVDLEWLCDGDTHEILVELEGMGGGGEVWLEVKYKTFEEIDDEKKWWRIPFVSDFLKNTGFDSALRKVTGSDTVQVSQFVEYAFGQLKSFNNEKVRMSDTDNAKYDIESSGKSNNDTGGSEASNEASSEQRNMEEFRSCDSETENGHALEASPQASEEELSNQRFWKNFSKVVNANVVQKLGLSVPEKLKWDGLEFLNKIGSQSQDVAEDIYIESGLAIPEGTDISDNKTSGQPSIAAIQSSLPEVKKATETLMKQTESILGGLMLLTATVSKMKDERRSSEERKTKEDSTEGVGSDIQYSASEKSPTPENGSLLEDKKTEEMKALFSTAESAMEAWTMLATSLGHPSFIKSEFEKICFVDNASTDTQVAIWRDSARRRLVIAFRGTEQTQWKDFVTDLMLVPAGLNPERIGGDFKQEVQVHSGFLGAYDSVRTRIISLIRLAIGYVDDHSEFIHKWHIYVTGHSLGGALATLLALELSSNQLAKRGAISFTMYNFGSPRVGNKRFAEVYNEKVKDSWRIVNHRDIIPTIPRLMGYCHVNQPLFLAAGVSTNSLENKDILGDGYEGDVLGESTPDVIVNEFMKGEMELIEKLLQTEINIFRSIRDGSAYMQHMEDFYYITLLENVRSNYQVASRSEQDVNNSLY >RHN80014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34336765:34338899:-1 gene:gene3887 transcript:rna3887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructokinase MLIGSMQTASLPGFKEVPSGAPANVAVGISKLGGSSAFISKVGADEFGYMLVDILKQNNVDTSGMRFDFNARTPSTFFTIRADGKREFSFFRNPSADMLLDETKLDCNLIKKAKIFHHGSMSLIDNPCKYVHLAVLRIAKDSDCILLYDPNLRLALWPTAWDARNVLFSYVLQISEDEITFLTCGGDPSDDDVVLDKLFHPNLKLLIVTEGSGDFKGKVGGANVEPFDTTGAGDAFVSGILYKIASDPSIFKDEKRLQKALYFANVCAAITMMNWGQFLHYLQKMLSCNLMQIGTYCCYKM >RHN72329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6840047:6843144:1 gene:gene8027 transcript:rna8027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acyl carrier protein (ACP) MASITTTSMSLLSLSDQSMVSCARISGPSSVSLSIKGRNFPSIALQPKGRRFHVTCAAKPETVQKVCDIVKKQLALSEDSTITGESKFTALGADSLDTVEIVMGLEEEFGISVEEESAQTITTVQEAADMIDKLLETKA >RHN52127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31774201:31774707:1 gene:gene36755 transcript:rna36755 gene_biotype:protein_coding transcript_biotype:protein_coding MWMNGQMCIWAFADEYHMNFWVALEDCSWTYFRMFLDQIFFEICLGTSAECHKMELSLHYHALGDHGLQLD >RHN71195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57110239:57114244:1 gene:gene19847 transcript:rna19847 gene_biotype:protein_coding transcript_biotype:protein_coding MISKMSMTLKETWKLAIRILDILSVVVVYSKGNEHLEMVMMDSKCDTIQTLIRGDHTPEWKGKIKEDMTFIINNGAVYDNDF >RHN82598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55054239:55069242:-1 gene:gene6773 transcript:rna6773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MFTEKKMTRATFANLVEQIREGITASSSPTSNNYSDDEVLNIRFRRIILNLLHTYFLPSSAGLEKEVGAAVRLISYTAKNNPGVFYHGKATGVLPVIAPLLPFLADPLFSSRHGIFFETIGSLLSSLRSADRDFYRHFFVDCMFLIQDILHVASLSDGSSRVMVKCFSKTFSGVEDLPPANKPVDGCGLLIDLTGKSRLQPFATWILKLLSKCLTEGTLCVEGLIHASFVSSACSLLCYGDSDLHMACFGFVHIIATVTSYELIPYQNLIRSIVTILNLDKEGLPSFRNMAYDSSLGVCLNTLYSSCPEDIVKLTATDLVGVFFGSLRRTKSQELKVALCSAYVRIAKVCPPHVWKPEHLISTLCHPEPCLPLIECFQVAVSTLGAHLFVGIQGNTKNVALLSNENKSIESMKHGQKRSIQDMDNFKIKRQKLNEEINVADASIEVECKYSCIVTCQRVEDYANHMNKSLLTFVQSLNAPAAGPCSLRPDIALSALSNLCIAFSMYPESDLSIRIFQQMLVWLSWIAEQAKQGSLIIVDISTYLEGIHSVLLLRSASFKENNPLQNENYHVDLMLFVLKLPWTHTLIAIDNQLPWKIKCLSLQVLSKLGPGLSTEVVLEVLDLGLRDEAEEVRTEAAISMPVMVLWSGLDVSPPVFERMEYLRTDKDVKKLLPISLGLLSCLHGCRRAESGLPRNECRLFLKGESGRSSWTIDDLLQGFSCSKCDKKFLRNHNERHPPVILRSDTCLVDAEVSSDCSFMQLQSVFFNLLFDESSEDVQISCVKVIHRILAHGAPDILLKTRLEWIKCVKYLLTSRSKELRDAFCSHISSFMDGRILSLIFAGDPDKSKEQSFLDTVQHGMTVTDSPHPHILETLMECTAEIMISVDIGSKLFLSSLILLVDKLDSKHVTVRMNASRLINKSCHFHLSGGLELIISKYAHIRNELYDYLSERLGSRPVLVKEFAESVFAVETEELVKKMIPSVLPKLVVAQKYNSQAVDTLNELAKCVNTHMVHAREDPQSPMALLTISWLPKVLAFALHQTDDQPLLSAVQFYQVQAGSDKKELFLAALPDLLDELVCFTDTGDSDEISKRLARLPQMIKDIAKVLNGAEDLPGFLRNHFVGLLNSINKKMLHSNDLLLRKQALNLIEMLIRMMGSHLNTYVPKLMVLLLHAIDKESLPSEGLSVLHFFIKQLSKVSPSSIKHIISQVFASLLPFLERDRENTSIHLGKVVKILEELVLKNRDILKQHISEFPPLPTIPALVQVNQEIEDARGTLALKDQLRDVVDGLNHENINVRYMVASELHKLLNLRWKDITDLITAEAGSDLDVLSSLITSLLRGCAEESRTAVGQRLKLVCADCLGSLGAVDPAKVKGFSCQRFKIQCSDDDLIFELIHKHLARAFRSAPDTVIQDSAAVAIQELLKFAGCGASLDENASTKIRTTNGGNETNNRGQKLWDRFSNYVKEIIAPCLTSRFFLPKVADSTSAGPIYHPSLSFRRWIFFWIKKLTVHATGSRASIFNACLGIARHDMQTAIYLLPYLVLNAVCHGTEEARHSITQEILSVLDAAASENSGAPVNGFSGGQSEVCIQSVFTLLDNLGQWVDDVEQEVALSLSQSSFSKQQKSKDRSPVSLTDQDQLIVQCKYVSELLSVIPKVTLAKASLRCQAYARSLLYFESHVREKSGAFNPASERSGIFEDEDVSHLMEIYSCLDEPDGLSGLSCLSKSLRLQDHLLMNKKAGNWADVLTSCEQALQMEPTSVQRHSDVLNCLLNMCHLQAMVTHVDGLVSRIPRYKKAWCMQGVQAAWRLGRWDLMDEYLSGAEDDSLVCSSSESNASFDLNVAKILQAMMKRDHYSVAERIYLTKQSLTASIAAAGMDSYTRAYPFVVKLHFLRELEDFHSLLGDDSFLKKSFHLDDPAFSKLVDNWENRLRITQSSLWAREPLLAFRRMIFGSSSLGAQVGNCWLQYSKLCRLAGHYETANRAILEAQASGAPNVHMEKAKLLWSTRRADGAISVLQQSLLNMPAEVLGAAVISSITSLSLVPLNPPPIVFESQVPNENKDIAKTLLLYSRWTHYTGQKQKEDVTSLYTRVRELQPKWEKGYFYAAKYCDEVLVDARKRQEENVELGPRLVPFASVAIGSSNLNNEKRWWSYVPDVLLLYAKGLHRGHKNLFQALPRLLTLWFDFGSMCLRSGSSKKDLQPVLNQVTKIMGICLKDLPTYHWLTVLPQLVSRICHQNGDIVKLVKLIITSVLRLYPQQGLWIMAAVSKSIVPSRREAAAEIIQGARKDFKLGSDENRLFIQFASLIDHLIKLCFHAGQSRARTINLSTEFSALKRMMPLGIIMPIQQSLTVNLPAYDGNLGNSLMSNIFSATDLPTISGIADEAEILSSLQRPKKIILLGSDGLERPFLCKPKDDLRKDARMMEFTAMINRLLSKYPESRRRKLYIRTFAVIPLTEDCGLVEWVPHTRGLRQILQDIYITCGKFDRQKTNPQIKRIYDQCQGKVAGDEMLKDKILPMFPPVFHKWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTSGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGIFLRVCEITLSVLRTHRETLMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQRAISNIEARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYVWWMPWF >RHN41367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29524446:29538767:1 gene:gene47683 transcript:rna47683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 peptidase MEQKQKGPLPLNLDALVDNTPAAELVVKPSVTVVPDQEPAPFIDGLDRLRDYELEEHIKRKKNLLETSGKKLPDKGAKLRATIKSYEDEVHRRKVNPRPQVQKVIDVDQKPRQATSSSAVGVSNYSRQENLPFRPQSEASLASNIMNKRDNNTNCTDVDAFSNEMPHFKHCNNQTVRLNREPKRRKRHRSSTRKLPYQCPNKLSKRDTFNDNKRFRSNSTLSLQNIARNLQRQISKDKGAFQTSQSDGSRSRKGQVQPIVLDVDDDDEDDIEDDEDDSEDPHILDKTENKVPEYLKEAKVYFPSRDDPECVEICYNDMECLAPEGYLTSTIMNFYIRYLQQQVSLTTSDCHFFNTYFYKKLKEAVSCKESNRETIFSKFRRWWKGVNLFEKAYVLIPIHQDLHWSLIIICIPDKDDESGPIILHLDSLGLHSSRSVFDNIKRYLIEEKNYLSRECASSDVPMADRIWKSLSRRIETQVIAVPQQKNEYDCGLFVLYFIERFIGEAPERLKKKDLTNNQRFGKRWFKPEEASSLRVKIHKLLVAELRNSIKPDGITESSPSSPGAATECVETAKAESSLSSAGAATECVETAKTESSPSSAGAATECVETAKTESSPSSAGAAIECVEIAKTESSLSSAGVATECVETAKDSSIEDGIMSCNSDIIE >RHN76727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:768164:773664:1 gene:gene97 transcript:rna97 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDLDSIECVSSSDGMDEDEIQHRILHPHHQQHHHHSEFSSLKPRSGGNNNHGVIGSTAIAPATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHETICNFRPYTCPYAGSECSAVGDINFLVAHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYTYSLEVGANGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDGGVCIPNLCS >RHN49078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52277082:52280369:-1 gene:gene43867 transcript:rna43867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MDANEARILLGFPPNSNPSPSQVKSAYKQKVWESHPDLFPSHEKPHAESKFKLISEAYTCLLPGGRGEASTSAEYWRVVRTGVPRANGGRKNHAMIKVPFLLLILGTVALGGFNASRAYKRQKEDYPSHNPFLP >RHN43014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42556482:42560566:1 gene:gene49533 transcript:rna49533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MALTEQGKQHIESPSEVNNKGPVLQKTIKHNGKTSSVALVRKLKDQLIQAKVYLSLQKIKKIPYLTRELQLRVKEISRTLGDASKDSSLPKNANERMKAMEQSLMKGRKIQNDCATAAKKLRAMIHLSEDKLRAHEKKNLFLTQLTAKTLPKGLQCLSLRLTSEYYNLNSSQQEFPNQENIEDPGLYHYAIFSDNILATAVVVNSTAAHAKDASKHVFHIVTDRLNYAAMRMWFLANPPRKATIQVENIEDFSWLNSSYSPVLKELDSPYMINYYLKTPFDSKLKFRNPKYLSILNHLRFYLPEIFPKLKKVLFLDDDVVVQKDLTDLWSITLKGNINGAVETCTKKFHRFDSYLNFSNPLVAKNFDPRACGWAYGMNVFDLVEWKKQNITEVYHNWQKLNHDRQLWKLGTLPPGLITFWKRTFPLNRSWHVLGLGYNPNVNQKDIERAAVIHYNGNLKPWLEISIPKFKGYWTKYVDYESEYLRECNINQ >RHN39703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9719697:9724986:-1 gene:gene45753 transcript:rna45753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MAHLECNLRVPGGSSQQEKGVKNDDLYEELWKLCAGPLVDVPNTGDRVFYFPQGHMEQLQASTDQELNQEIPHFNLPSKILCRVVNIQLLAEQETDEVYACVALLPESDQTEPVDPDPNPSDSPKQKFHSFCKILTASDTSTHGGFSVLRKHATECLPPLDMAPATPTQELAAKDLHGFEWKFKHIYRGQPRRHLLTTGWSTFVASKRLVAGDAFVFLRGENGQLRVGVRRIAKQHSPMPSSVISSQSMHLGVLATASHAVMTRTMFVVYYKPRTSQFIVGLNKYLEAVNNHKFSVGMRFKMRFEGEDSPERRFSGTIVGVGDVSAGWSNSHWRSLKVQWDEAATIPRPERVSSWEIEPFIASPTLNVAQTSVKSKRSRPADVSSCGFWYPGSSQAHEHTQLTGAAEFQGKESQIVSSRVLVEGIWPNSPHLNVTSNPYANTNIASRPSDGLTCDRVEDPKKNENPLDCWLFGVNLNNKNKSCSVNSCLEKELGCPSLTIVTTSGPKESIILTTNACETEKVQSPNYSLSNKGQQKKIISDASPNEWQNKLATVPSMRTRTKVQMEGVAVGRALDLTMLSGYDELIDEVEKLFDIKGELRSQNKWRVTFTDNENDMMLVGDDPWPEFCNMVKKIFICSNEELKKMKCKLPNSSLEIEETLLSLDSQNRDENQQTHVT >RHN38921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2803543:2810315:-1 gene:gene44898 transcript:rna44898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase-like ATPase domain-containing protein MSKMATQKKHIEEIRRKKFSIGGNPNPLTEDLHQAVKNLSDELYTRDVHFLMELIQNAEDNHYIEGVSPTLEFIITSKDITATGAPATLLIFNNEKGFSRQNIESICSVGRSTKKGNRSSGYIGEKGIGFKSVFLVTAQPYIFSNGYQIRFSERPCTHCSLGYIVPEWVEEKPSLLDIKQIYGAGKDSLPTTIIVLPLKLDKVEPVKQQLSSVNPEVLLFLLKIRHLSVREDNEDPKKNTVTSVSISSEIDFVTRKNMDAESYTINLSAGEKSSDEKKCCYYMWKQKFPVKLQNVVERRKDVEECVVTLAFPRQERLQKIKKLRKHQSSPGVYAFLPTEMVTNFPFIIQADFVLASSRETILLNDKWNQGILEYVPSAFVDAFKTLVTESNELTVSSLPDWFKFLPIDSSPIENFNYAREKIKEKLAEEKIVPIETFTEQKQFYKPSEVSRLLPKFWNILTMARVEGVHLLHLSSHDGMKILSSSFDKSKYEAILKFLGVKHVKFEWYAKCIQSTNLVDGVSENLYLELLLFLAKNWKKFKNSNIINNIPLIKYVASDEIPSFLTIKECKQNEAGAKRVMLADLSRTSWLINWNKTFRCAANQFFMPESTQQAISQLNFKKALVDWLVNDVNVHTLNGYIFAKDLSSSIKNNRKLAVAYAHFLYHSLSEGYLSCQEVDDLCSSMPLVDKNGRITKSRNGVLLPENVSKWADLMVSNPWRKENYVELGKMYLNASYADQSTDSGKLIEFLKNHVGASDIPYLSPPNAGFSAADTQLTKENAFLLLDWIRELKHKGVHLPERFLACIKEGSWLKVTGNGFMSPSKSFLIGSLGNILQSGSVLVDIPLIDESFYGERINEYKEELKTIGVMFNCEEACEFIGKELMSRAASFSLSRSHVLLILNFVQYIRTNLLPLDNFVDSIKEASWLKTSCGLRPPVGSVLNDSGWQVASQISDIPFIDRAYFGEQIYNYKEELKLLGVIVDLTGNYQVVIEHLKSPSNLASLTAEAVLLIMECIRHLNAPDKLLNSLSGTGCLKTNTGFKTPAECFLYDPVWGCILEVFIDLPVIDHNFYGAKIFSYKDELRQIGVVVDYGNAIKKFSSLFERKALETSINQKHVISFLKCCRQLEGSFPSDFSALMRKMKWLHTSVGGFRCPKNCILYSPEWESISSITRLPFIDYSDKCGGYKAELKSIGVVTELKDGLRFVAECLNFPSNPSTISPESVFSLLECIQLLIQDNNLAFEDDFRGRLSQNWLKTHAGYRSPEKCLLFGSKWSSLLNPTDGPFIDENFYGPNIAFFQKELNAIGVISEVEKGCSLLASHLDTLSDHDTIVKIYKFLYQYNWKAEENAAKKVWILDGIKGGKFVDSKECVLHDPAKLFGSKFYVLEDIYDEDILLFFLYAMDIKSKPYVEDYVDLWNDWGSSMEQLSYDECCKFWTSISKHLSTNQEKKLAESLMKLPTSSGNNEIFLVDKKDAFIPDNLHMKKLFEREKVFVWYPQHSMKTFSKSELSDIYRKIGVRNISESLCKEESASVNDSDKLNYVDPNNIFNLKGLVKLILGFLACAFPKMEPKKRHEAVQSLINSSFHETTEPINVSYSLSLSSGEIITKKANKRVRWESQSCKFIIQKMEEVPGDALRCATSFSEAISEGILRENHDHVAALSELITLGFVLKFKNEEIDFLMESKNLQIDLEDEEFLSSAFPFD >RHN40927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24482465:24484442:-1 gene:gene47173 transcript:rna47173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MEFKIPLFFVLFTLVVSTSQAFKFFVGGKDGWTLNPSENYNQWAGRNRFQISDTIVFKYKKGSDSVLEVKKEDYEKCNKTNPIKKFEDGETEFTLDRAGPFYFISGKDQNCENGQKLTLVVISPRTPKSSPSPSAGGLSPPSPSPTTTTPSPSGSPPSPVAIPPASSPVPTSGPTASSPSPVVSTPPAGGPMASSPSPVVSTPPAGGPMASSPSPAGGPPALSPAGGPSTAAGGPPAPGPGGAATSPGPGGAGASGPGGAAAAPGSPGSNSTAPSGNSGSFVAPSNFLVYSVTLAVGALFLSY >RHN66610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14926777:14927332:1 gene:gene14637 transcript:rna14637 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTEEKENHADEIEKKEKKEKTEDASGKEEKSKDKKKKNKEENEEGGKEKKKKNPEDKKDPAILKQKLEKLETKMQALVAKKEEILKLLKEAETNSTEPAVASS >RHN72165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5502948:5514186:-1 gene:gene7846 transcript:rna7846 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKTRRDAQRGRWKRTGGGGRWRWWFEKETYVGGKEERHHCGEKMTERTTMRESG >RHN79987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34146138:34147940:-1 gene:gene3851 transcript:rna3851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-crystallin, glutathione S-transferase (GST) MSQEMSMLSASPSSSHHLVVIPPTTTFRNRRLTGSSLIRSRLTMSLDNNNNNNNFSSLLSSVTKLLWGRSLPPGLLVTTVRTAWNSTWQLMMSQLAPSDPTGGYSRPASKFRFSGPPPNGLHLYVGLPCPWAHRTLIVRALKGLEDAVPLSVASPGMDGSWEFKRVGNTGQVISPSLDKANGCKTLKEVYRLRSGGYDGRSTVPMLWNKDSKDVVCNESYDIIQLFNSGLNGLAGNPDLDLSPPELKGKIEEWYQVIYPNVNNGVYRCGFAQSQEAYDRAVNELFCTLDKLEDHLSNSRYLCGDTLTLVDICLFTTLIRFDIAYNVLFKCTKKKLYEYTNLHAYMRDIYQIPKVADTCNFQEIMDGYYITLFPLNPGSIRPIMPSTSEHEILCRPHGRESLSSSTEPVFVK >RHN77561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7727149:7732990:1 gene:gene1028 transcript:rna1028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding protein MVKSSSCLRLITCGGGSDKEDHHVVSEAKDSNDKRGWSFRKRSARHRVLSNTVITAETTSSENKEISEYPSISFQSSAEPNVVEKICTTDFSNEKPQLSSDVCSEMPETIVTETENKVDVNPPESAVIIIQASIRGYLARRALLKSKNAVKLQAAVRGHLVRRHAVGTLRCVQAIAKMQLLVRSRHAQKSHTDGKNDYSKTTDNEHYTAESNVKHTSVEKLLSNKFACQLLESTPKNKPIHVKCDPSKGDSAWKWLERWMFVSAKDSAEDKKPICTTEQSDETKDSTHASQSETDIPSQVILQLSDSPLPSEDEEKTATYYDTNSHFQASLSSSSLIKDNLEESPPEKTVTYDSKVTSTEIDSFQNEIMESNASVGHEPNSPQNQEIGEQYKLSTKAFASDQLETEGKKITFGSRKLSNPSFIAAQSKFEELSSNSNSGRPSGLLDQDVSVESQADSAYISKEFISSENSTPYPSRNADPESGTVLSISSTLDSPDRSETLEIEHDAKDLVEGIVNPENKTDHGVEANTPTSNLPISDSDQLETVNGSRGNVVDSVMPENSKEHAVEPEKIASDLLREQTETVLQDFTYSQQASPGSYMTIPESQGTPSSQVSVKTKENKINKTGSSSRRRVLSVGNKSPANSNQDSGSRVFVGTKSPANANHDSGSRGSREQLPKDQLNGKRRNSFGSIKPEHTDQEPTKDNSSSNNTLPRFMQATQSAKAKINANSSPRSSPDVHDTDINIKKRHSLPGATGKQGSPRVEQSLSPAPQGTKGNGSTERKWQR >RHN38895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2705007:2706570:1 gene:gene44870 transcript:rna44870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase C MLSIPGNDDHPSHDVGEGQKLIKEVYEALRGSPQWNEMLFVITYDEHGGFYDHVPTPVDGVPSPDDIVGPEPFKFKFDRLGVRVPTIFISPWIEPGKVLHEPSGPFPTSQYEHSSIPATVKKIFNLPEFLTKRDAWAGTFEGLLTLSSPRTDCPEKLPEPIKLREAAAKEEAKLSEFQEELVLMAATLNGDHKKSIYPDKLIENMCVSDAVKYAEDAFNTFLNECEKAKQNGADGCDIVDCANTCSKLPNSKNFFHKMLSCITRDR >RHN75337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41411324:41412874:-1 gene:gene11521 transcript:rna11521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MATFMKLFVILSIFSLLACSTNAQLVNNFYGTTCPSLQTIVRNKMTSAIKTEPRIGASILRLFFHDCFVNGCDGSILLDDTATFTGEKNAAPNKNSARGFEVIDTIKTSVEASCNATVSCADILALAARDGVFLLGGPTWVVPLGRRDARTASQSAANSQIPSPFSDLSTLTTMFSAKGLTASDLTVLSGAHTIGQGECQFFRNRIYNETNIDTNFATLRKSNCPLSGGDTNLAPLDTLTPTSFDNNYYKNLVASKGLFHSDQALFNNGSQDNLVRSYSTNGATFSRDFAVAMVKLSKISPLTGTNGEIRKNCRLVN >RHN67331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26107365:26109881:-1 gene:gene15511 transcript:rna15511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDIQILYFTSIFIFMFIVNKIVTKKSNSSTPNLPPGPLKLPFIGNIHNLIGSLPHHRLRDLSTKYGPLMHLKLGEVSTIVVSSAEYAKEVLKTHDLVFASRPPIQASKIMSYNSIGLSFSPYGDYWRQLRKICALELLSSKRVQSFQPIRAEEMTNLIKWIASKEGSEINLTKEVFSRIFLITSRVAFGKECKDNKKFISLVWESTRSAGGFNLGDLYPSYKWLQNLSGLKPKLEKLHKQTDVILQNIIDEHRLVNKSRAIKDHSEEDLVDVLLKQDCLSDNSVKAVILDMYGGGSETSASTIIWATAEMIKNPRIMKKLQAEVREVFEKERKPNESDMEKLKYLKCVVKETLRLHPPGAFLLPRECGQACEINGYGIPFKSKVIVNVWAIGRDPNNWDDPERFYPERFIDNCVDYYKGNNFEFIPFGSGRRMCPGVTFGLVSVEFSLALLMYHFDWKLPGAVKKEDLDMCESFGTAVIRKNDLHLIPYAYHP >RHN68222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33843916:33844396:1 gene:gene16542 transcript:rna16542 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGVPLCVQCGTRSNPCRCKVVGPTIGFVAFVVAGVVEWPVGALVYIFKHRKGRRIMGHPATVVYPKVTRAIPI >RHN70246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49984016:49985288:1 gene:gene18807 transcript:rna18807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MSYHRESIANLTKNAMNITKHLVSKTEFKKKNVVLSPLSLQTVLSIVAAGSEGPTQCQLLSFLGSKSIDHLNSLSTHLFTSVLDDAAPFGGPQLSFVNSVWFEKSLSLYPSFKEIVDTNYFATLRSLDFINKADEAVKKVNAWAKKETNGRIGDVLSPGSIDSLTGLIFANALYFNGAWHQPFDASKTKDHDFHLLGGSSIKVPFMTSKKKQFINAFDGFKILRLPYKQGNDIRQFSMYFFLPDAQDGLLALIEKVASKPEILKHKLPRKEVIVGDFRIPRFKIYSGLELSNVSKELGVVLPFSGGGLTKMADSPIWVSNIFQNSFIEVNEKGTEAAAVTRTGLLGCARPTSIPTPIDFVADHPFMFLIRDDLSGTILFVGQVLNPLVGRS >RHN38387.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000030.1:18189:18861:-1 gene:gene50796 transcript:rna50796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannosyl-oligosaccharide 1,2-alpha-mannosidase MARKNYSSSSSALRYVQPRYYAKRPKRFAFLIFLLISLAWFVYDRQLLSREHQQDIFRLKQEVTRLQKTLEDIKGNIKGSEESIEIDEKINKGVSVADEEEEEEENDPISLERREKVKDAMLHAWTSYEKYAWARMNLRQMIVVSLLGRYNLAMFVKK >RHN82164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51623697:51626992:-1 gene:gene6290 transcript:rna6290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubulin MRECISVHIGQAGIQVGNACWELYCLEHGIGPDGQMPSDKTIGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFGRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESGEGGDEDMDDY >RHN73906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21418639:21419136:1 gene:gene9798 transcript:rna9798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MVLKNIKPDVYTFGIMVEALCKEGNVEEAKIVIDEMMVQVGVEPDVAIFNSFIDGCFPSNQPDMAKDIFDSMDQRGVVPNIHNYTMMIKGLCKIKRVDEALNLFEEMRSKNMTPDAKIYTSIIDGLFKSGRDTCALKLVGEMHDKGLPTNVFTKSDLV >RHN75750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44759319:44759804:-1 gene:gene11992 transcript:rna11992 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhI MFLMVTGFMNYGQQTVRAARYIGQSFIIILSHANRLPVTIQYPYEKLITSERFRGRIHFEFDKCIACEVCVRVCPIDLPVVDWKLETDIRKKRLLNYSIDFGICIFCGNCIEYCPTNCLSMTEEYELSTYDRHELNYNQIALGRLPMSVIDDYTIRTIQIK >RHN71874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3287256:3288759:1 gene:gene7517 transcript:rna7517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, leucine-rich repeat domain, L MEDMLSDHYGQCMKYHIGVLVKKTLLRICRWNYSVTMHDLIEDMGKEIVRQESVREPGKRSRLWFHEDIFQAIEENSGTSQIEIIHLDFPLPQAIVEWKGDEFKKMKNLKTLIVKTSSFSKPLVHLPNSLKVLEWHGLKDIPSDFLPNNLSICKLPNSSLTSFKLANSLKERMFLGMKVLHLDKCYRLTEISDVSSLQNLEEFSFRWCRNLLTIHDSVGCLKKLKILKAEGCSNLKSFPPIQLTSLELLELSYCYRLKKFPEILVKMENIVGIDLEETSIDELPDSFQNLIGIQYLILDGHGIFLRFPCSTLMMPKQSDKPSSMLSSNVQVIVLTNCNLTDESLPIVLRWFTNVTYLHLSKNNFTILPECIEEHGSLRILNLVCIKL >RHN41893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33930589:33932177:-1 gene:gene48257 transcript:rna48257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MLLCHDVYHLFTTSDHNTKRVTTTTIWLKHVPLKVTLFAWRLFRNRLPTKDNLLMRGIIKMKQPFALADAEFRSQQIIFSSLAVILVSYGCKFGVGLVSLRLILIVLRIIFTSLVTYEGFLDKLISF >RHN47764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42335051:42337252:-1 gene:gene42401 transcript:rna42401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative angiotensin-converting enzyme 2 MQSYMSPKEVANSLLNEAKIEPKFTELVWQRLEEQNPEFFQAYYTRLALKKQIEEFNKLMHKQKELIDSEQAKVASLPTSNGFHYHDVSSLPTSNGTPTPATTENPACYYVSVQPAEGLNPKNMQHGWESNLSYELNNGGSSFGMVDVSAQGDCSTMHYSQNTNMGFQQGINEGIAISEPEYPSSSTRMFGIDENVLEACPIVGNTSATPIFNNGTGSPFRMVDMSAHGDFSSMHYSQNSNMGFQQGINGGMAITEPEYPSSSPSMFGVDENVMETCPIVRNASAMPIYSNGGSSFHMVEMSAHGDILSMHHSQNSNMDLQQGVNGQMAIVQPEYSSFPHMSGADRNAVETGSNVRDASAMPISGIESNSDSDNEAVMDFLNTCSSFPDLVSLFSGEPDDDPFGLYNIPETPDMLTSKVNS >RHN55855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24765063:24770615:-1 gene:gene31131 transcript:rna31131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin L/T MIYAAIDTFYLTDEQLTNSPSRKDGIDEATETSLRIYGCDLIQESGILLRLPQAVMATGQVLFHRFYCKKSFARFNVKKVAASCVWLASKLEENPRKARQVLIIFHRMECRRENLPVEYLDFYSKKYVNLKMELSRTERHILKEMGFICHVEHPHKFISNYLATLETPPELRQEAWNLANDSLRTSLCVRFKSEIVACGVVYAAARRFQVPLPENPPWWKAFDAEKSGIDEVCRVLAHLYSLPKAQYIPVCKDEDSFTFSNKSLESKAMDVPQSSSPTNAETPALKGALEEANIDLSSSKGALVKQTSDKLNDARKSDDESKGTAAERDVKDELTFKSKSDRRVEASGDLRRDLDRIKSRGRGRGRDSDNEREREEAERFKLKDHGHHSRQRARDSGHSEKSKRHSSHDRDYYSSSYSSREKDRRRHH >RHN74092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27509688:27511349:-1 gene:gene10068 transcript:rna10068 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MQTVNEDRLIREVFADEIPDVPPEREVEFSIDLVPGTKPVSMAPYRMSAPELAELKKQLEDLLDKKVVRPSVSPWGAPVLLVKKKDGSMRLCIDYRQLNKVTIKNRYPVPRIDDLMDQLVGACVFSKIYLRSGYHQIKVKDEDLQKTAFRTRYGHYEYKVMPFGVTNAPGVFMEYMNGIFHAYLDKFVVVFIDDILIYSTTEEEHAEHLKIVLQVLKEKRLYAKLSKCEFWLSEVSFLGHIIYGSGIAVDPSKVDAVSQWETPKSVTEIRSFLGFVGYYRRFIEGFSKLALPLTQLTCKGKSFVWDAQCENSFNELKRRLTTAPILILPNPDEPFVVYCDASKLGLGGVLMQDGKVVAYDSRQLRIHEKNYPTHDLDLAAVVFVLKIWRHYLYGSRFEVFSDHKSLKYLFDQKELNMRQRRWLELLKDYDFGLNYHLGKANVVADALSRKRLHMSAMMVKEFELLEQFRDLSLVCELSPQSVQLGMLKINSDFLNSIREAQQVGMHGQVVCRYTQVGPLHMHKQRGGLISWSTSCSTAVRASVLMNALTIQKR >RHN76298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49228611:49228875:-1 gene:gene12599 transcript:rna12599 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGIEALLSYHCLTGPLLKNPASSFGNVVNKYCVKFKTYIAESDVEEVKMILSNMFHFF >RHN71649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1655854:1669416:1 gene:gene7270 transcript:rna7270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor CG1-CAMTA family MAEPPSFGLGPRLDIQQLQFEAQHRWLRPAEICEILRNYRMFHITPEPHTRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDALHCYYAHGEENENFQRRSYWLLEQDTHIVFVHYLEVKSNKSNIGGNADSNEVISDSQKVNSPSSGIPATYSSVPSLSTDSMSPTSSYTSLREDADSGDHGQSSVSGMDYIPPFSRDTFRGNGATCIDGQASWDTVLQSTAELHADPSLVSFTSIPSGSLSNILDQEDNILGDFSMSRSGLAIGAGSSQPLQSNWQIPFEDNTGHMPTFTQSLSLEFASDYGTGLLGNESDNGSSIIDPVLFSFHGEPKEKLAQQNYLEEKVDGHPRDDLKSNSTKEVPSEETINYPLPVRRTLLDRDESLRKVDSFNRWITKALGEVDDLNMQSSPGISWSADDCGHVIDDTSLSPSLSQDQLYSITDFSPKWAYAESDTEVLIIGSFLKSQPDVTACNWSCMFGEVEVPAEVVANGILCCQAPPHKVGRVPFYVTCANRLACSEVREFDFRDGYSRNVDYTDFFNSSNDMLLHLRLEEFLSLKPVHPSNQTFEGDTEKRSLILKLISLREEEEYSSKEEQTVEMDISRHKVKKHLFHRQFKEKLYSWLLHKVTESGKGPNVLDKDGQGVLHLAAGLGYDWAIILILAAGVNINFRDVNGWTALHWAASCGRERTVGALVHMGADCGALTDPSPEFPSGRTAADLASSNGNKGLSGFLAESSLTSHLESLTVDDLHKGGQQEVSRTKAVQTVSERTATPVIYNDMPDALCLKDSLTAVRNATQAADRIHQVFRMQSFQRKQLTQDEDDDDEFGLLDQRALSLLASKARKSGQGDGLVNAAATQIQKKFRGWKKRKEFLLIRQRIVKIQAHVRGHQVRKQYKTVIWSVGILEKIILRWRRKGSGLRGFRPEALNKAPSQQNDSLKEDDYDYLKEGRKQKEEKIQKALSRVKSMVQYPEARAQYRRVLNVVEDFRQKKDCNMGMSSEETVDGVEDLIDIDMLLDDENFNPIAFD >RHN62783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45799942:45800364:1 gene:gene25392 transcript:rna25392 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCRLLWTMLRAPTQVYLDGVCHWLCKEDEEDSPDGPCLVSFYLSNDVFFEMIVLMSVSLVVTIIPSDLDDCFDVKALWINLAVLYESIALFSYHEETTTFHVSVLGELGMKESWTKLFTFRGEGGNILQKIRCRTSLV >RHN57179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37128691:37129601:-1 gene:gene32684 transcript:rna32684 gene_biotype:protein_coding transcript_biotype:protein_coding MKIISSSSHSLSLLFLPSSSLSLSLSLSLSLPLSLSLFFPSSTKLKTHFLQQKSSV >RHN60931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31664777:31665325:-1 gene:gene23328 transcript:rna23328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MATIVSIFNQLFFACKFCNQVFTNFQLFKTHHDSHFVQENFAMRRLSHMSSQRVLHQHQPNFPRPMLMHGTDGNFAGNRAFQEPQQQQALLISQPRVNQFSNPSLQVVASQPPMPSLRNNVNVTNMTSSVAPPILQMNDEIEVSSIDGTRAYIKQLDKPIDNNVCAPSASINDEIMNLELGL >RHN71679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1876306:1877143:-1 gene:gene7304 transcript:rna7304 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTSTTCLSFSHTSDCPPSFSSQFLDSESKALFSNPKLVSLSPLFSSKTSICVCSNELELESSTTITDSKDSTLATVGLLTIGALHIGQLVCDLSQVSIQSK >RHN74721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36126413:36127741:-1 gene:gene10829 transcript:rna10829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MDVVMGVINNFATKSAEFTVKAIGRQFGYILYYKGNLTKMTTDVQHLEGIKDILQHNVDEARRNGEEIENIVQNWLNTVDNTVADANEIIDSEGHAKAQCSMRHFPNLCTRHQLSRKMTNMMQTISELVAERSFDKISYRAASQITVTPFGRGYEALESRTSMLNEIILTLKDPSIFIVGVYGIGGVGKTTLMKELSWKAKNDGSFGTIVMATITSLPNLETIRRQIAEALDFKFNKNTEEGMASELRDRITKEKRILVILDDIWGRLDLTELGVPFGNDHKGCKLVVTSRDLNVLICEMGTQKEFRLEVLLEEDSWKLFEKMAGDVVHEFNIKPIAIKVAKCCAGLPLLIVTTAKALRKKQVSDWKDALNELQRFDQEGLHKKVYSTLEN >RHN64943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63175408:63182317:1 gene:gene27824 transcript:rna27824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MTEAKQEMGVSTLLSMTTLFLEILLLLPLLSNAVDLSPPFPTNFLFGTASSSYQYEGAYNSDGKGQSNWDNFTHGGRGIIVDGSNGDIAVDHYHRYQEDINLLEDLEVNSHRLSISWARILPKGRFGEVNWAGIDFYNKLLDALMLKGIQPFVTLSHYDIPQELEDRYGGLLSPQSQDDFAFYADLCFKTFGDRVKFWITFNEPNYLASLGYRSGLFPPRRCSGSLAIVTCNEGDSEKEPFVAAHNIILSHAAAVDIYRTKYQAEQKGRIGIVISHEWYEPMSNSNADKLAAERARSFTFNWILDPIIFGKYPKEMENVLGSLLPKFSSNEKKKLMKGLDFIGINYYTSFYVQDCIYTKCDSRSGTSRTEGSYMTSGYRNGVSIGEATPFTWFNIYPQGMEKTVTYVKDRYNNTPMFITENGYGQQDDQNLTLEDQLNDFKRIKYMKSHIEALSTAIRKGADVRGYFAWSLLDNFEWIYGYTVRYGFHHVDYATLKRTPRLSASWYKQFIVNYKQTEFTGVQKLVQST >RHN75578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43498813:43499373:-1 gene:gene11807 transcript:rna11807 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRLKICLSVSAMLFIIVSIVTIALIFTVFKPKDPNIVVHVEPVDLLSPDMPIIMNISTLVTIGNPNFGSFEFKKSYSYVTYHDTVVGTVPIESQLVPAHSEINVSTYTLMTVNKLINNPDFWKEVVPGYKFTLISKAEFPGKVIVLKYIKLKGTAYNTCNITVNMAPGSHDVKSICNSQIKIH >RHN49670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:208945:209181:1 gene:gene33874 transcript:rna33874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LisH family MEFSHQKSSLCNCVVNFLLEENYILTAFELLHELLDDGRTNQAIRLQQYFSDPSRFPSNQISRFNSLSCIYPSSLFLL >RHN72718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10154602:10157724:1 gene:gene8467 transcript:rna8467 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIQKQHDHLQLNININTDTDDEEQESESESEEPSSNTAKWKKHYSSKHKILFVGEGDFSFSLCLARAFGSAHNLIATSLDSQEKIEKKYSNGMSNARELEERGCIVLYDVDVKVMSQHFFLKTQRFDLVVYNFPHVGFLYPENSYCQIQLNKKLLKGFMANAKALVKKEGGEIHVTHKEGDPYNKWDLVRKAEKRGLFLHQAVPFFKDDYPGYDNKRAHGKLSDLSFPVGEASTYKFKLQTPLPNKL >RHN75389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41840615:41845099:1 gene:gene11579 transcript:rna11579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MVKFVISPDIPPVFLTDAAREAGTENPAYTEWEEQDSLLCTWILSTISPSLLSRFVLLRHSWQVWDEIHSYCFTQMKTRSRQLRSELRSITKGSRTVAEFIARIRAISESLASIGDPVSHRDLIEVVLEALPEEFDPIVASVNAKSEVVSLDELESQLLTQESRKEKFKKAAISEPVSVNLTETANSESQSHGPNSQNHNYTDGTGNNQFPNSNPNFGGRNGQFRGRGGRFGGRFRGRGGRFGGRSNVQCQICSKTGHDASYCHYRFFAPQNDYYSPYGSPGGYGAPPNVWMQNMSRPQHSGQFLRPPTQAANQRGQAPQAFLTGSDPYNSFNNAWYPDSGATHHVTPDASNLMDSTSLSGSDQVHIGNGQGLAITSVGSLQFTSPLHPQTTLKLNNLLLVPSITKNLVSVSQFAKDNNVYFEFHPNHCFVKSQDSSKVLLRGILGHDGLYQFEHTKSFKTTAPVSQNSSVNTVCNKVPAQTDNSASFHLGPSTGFNFNNFQCNNVEHLPSSSTSSSTQSFPSMYGIWHSRLGHPHHEVLQSIIKLCNIKLPNKSLSDFCTACCHGKVHRLPSFASQMTYTKPLELIFCDLWGPAPVESSCGYTYFLTCVDAYSRYTWIYPLKLKSHTLSTFQNFKTMIELQLNHKITSVQTDGGGEFLPFTKYLNSLGITHRFTCPHTHHQNGSVERKHRHIVETGLTLLSHAQMPLKFWDHAFLTATYLINRLPTPVLANKSPFFLLHLQFPDYKFLKSFGCACFPFLRPYNSHKFDFHSKECVFLGYSNSHKGYKCLDASGRIFISKDVVFNEVKFPYLDLFPSQKVCSVLPDGPTLSTFLPTPVSTTFTVNSHTPQNSHSESGPHIVNSPTPQTSHSESVPTTPISNTPQTPSISSHHSESSHRNNVVLNPTPITILSPSASQNSSPESSASVTSSQSTNSESPPPVPHRIHPQNSHTMRTRGKHGIVQPRINPTLLLTHVEPTTYKTALQDPKWHLAMQEEYNALLHNQTWSLVSLPANRLAIGCKWVFRVKENPDGTVNKYKARLVAKGFHQQAGFDYNETFSPVVKPVTMRTVLTLAVTYNWTLQQLDVNNAFLNGVLTEEVYMVQPPGFESSDKNLVCKLHKALYGLKQAPRAWFERLKSSLLSFGFKSSRCDPSLFTLHTQAHCIFILVYVDDIIITGNSKLAIQNLVHQLNSEFSLKDLGILDYFLGIEVHHSPSGSLLLSQTKYIKDLLQKANMINANSMPSPMASSTKLSKFGSSTVSDPTFFRSIVGALQYATITRPEISYSVNKVCQFLSNPLEDHWKAVKRILRYLQGTLHHGLMLTPASSTEPIAITGFCDANWASDPDDRRSTSGACIFLGPNLVSWWARKQTLVARSSAEAEYRSLAQASAEIIWIQSLLNELQIKSKIPHVYCDNLSAVSLAHNPVLHSRTKHMELDIFFVREKVIRKELNVSHVPAQDQWADVLTKPLSTATARFLYLRDKLRVCDTLRLKGDC >RHN76547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51151930:51155701:1 gene:gene12890 transcript:rna12890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MSHKNDSNYTSIPVSSSSYLELQPQNDSSNSFQRLSFDEGGGFLGSKVDVDDEDDFEIDIDNYPLVVGPIPNHGSGVPGAVFNLTTTIIGAGIMALPATMKVLGVVLGIVLIILMGVLSEISVELLVRFSVMCKASSYGEVVQHALGRPARILSEICIILNNAGVLVVYLIIMGDVMSGSVHHLGVFDQLMGNGVWDQRKLVILVVMVVFLAPLCSLDKIDSLSLTSAASVALAILFVVVAFTVAFIKLVEGKIDAPRMVPDFSSKQSILDLLVVIPIMTNAYVCHFNVQPIYNELEGRSPQKMNRVGRVTTILCIMVYAATALSGYLLFGDDTESDVLTNFDKDLGIRFSSALNYIVRVGYILHLILVFPVIHFSLRQTVDTLVFEGSPPLSESRKRSLGLTAILLVLIYIGCTMIPNIWTAFKFTGATTAVSLGFIFPPLVAIRLSHQGDLSRVERILSWLMLVLAVTVSIVGVVGNVYSMESKS >RHN38678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1226497:1229536:-1 gene:gene44638 transcript:rna44638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase 1 MAEQTFIMIKPDGVQRGLVGEIISRFEKKGFYLKGLKFLNVERAFAEKHYADLSAKPFFSGLVEYIVSGPVVAMIWEGKNVVTTGRKIIGATNPAQSEPGTIRGDFAVEIGRNVIHGSDSVESANKEIGLWFPEGPAAWQSSLHSWIYE >RHN65601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3683729:3687808:-1 gene:gene13456 transcript:rna13456 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQKNQAEKPTSSAAVATSCRKKKHEEASFLEDVKDHIDEFINASMDEHKTCFQKTIKKMFGLSKVVAEANSKATKEVESSLPLQTVLKE >RHN39653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9102107:9106862:1 gene:gene45695 transcript:rna45695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MKTEHNNRFLVVNNIIKTSKLAAYFILLLLSYTLGYLSHSYSSPKLSPPLKFSHSTSPSLPPPSPQYISSIEPDPFRVKTHCTNPIPPEKIRRKIISLIFNGTSPFTDFPPPHAAEHLRRSKKIKGWGSNGAVFENLIRKVKPKIIVEVGTFLGASAVHMAELTQRFGLKTQILCIDDFRGWAGFRDKFKNIPSLNGDVLLYYQFLMNVVSYNHTGSVLPVPYSSGSALTKLCEWGVWADLVEIDAGHDFLSAWSDINRGFRILRPGGVIFGHDYFTAADNKGVRRAVDLFAKIHGLKVNIDGQHWVIYTTYIQ >RHN78128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12133251:12135260:1 gene:gene1649 transcript:rna1649 gene_biotype:protein_coding transcript_biotype:protein_coding MITQLLRHQNTYKFHREYFIKMNSKALLFLAMLLATILLICAESAPKDLDNKDEKIDTNGYYGGGGYGGPWRGGGYGGWGGGYGGRGGYGGWRGGGWRGGWGGGGWRGGYGGGHGGWGGGWPNEHHDAYTDAEPHN >RHN62482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43753993:43755803:1 gene:gene25063 transcript:rna25063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-acylglycerol O-acyltransferase MEEEGEKQHQKLLQSSSYPYYWGYTPESEYYKQNQIKSTKSTFTTPRNLTLFTRSWLPNPTTPPRALIFMIHGYGNDISWTFQSTPIFLAQMGFACFSLDLQGHGHSQGLKAFVPSVDLVVQDCLSFFNSVKKDSNFFGLPCFLYGESMGGAISLLIHFADPKGFQGAILVAPMCKISDKVRPKWPIPQILTFLAKFFPTLPIVPTPDLLYKSVKVDHKKVIAQMNPLRYRGKPRLGTVVELLRVTDILSRKLCDVELPFIVLHGSADVVTDPEVSRELYEEARSDDKTIKVFDGMMHSLLFGETDENVEIVRNDILQWLNARCK >RHN74121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28761857:28762711:1 gene:gene10114 transcript:rna10114 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLEKIKNLNGSKSLWKIDVRVVDLWTVTNSKSKQHIEMVLCDKEVVLT >RHN46905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35684270:35693520:-1 gene:gene41440 transcript:rna41440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MNNNNRGRYPPGIGLGRGSGSGSGGGGGGLNSNPNNANAGFQQRPHYQQQQQQQYVQRHMMQNQNQHQQHYQHHQQNQQQYQQQQQQQQQWLRRNQLGGGTDTNVVEEVEKTVQSETNDPSSQDWKARLKIPAADTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFSIPALEKIDQDKNVIQVVILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCVLKDCSMLVMDEADKLLSPEFKPSIEQLIQFLPSNRQILLFSATFPVTVKDFNDRYLRKPYIINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLVTYEDRFNLYRIEQELGTEIKQIPPFIDQAIYCR >RHN81773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48784231:48788280:1 gene:gene5860 transcript:rna5860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MATE2 MDSHTPLLNTTAATSSSSELLELDGGDYLEVKGFKQARKVFAIETLRIWKIALPIVFNILCQYGVNSITNIFVGHLGDIQLSAISLINSVIGTFAFGFMLGMGSATETLCGQAFGAGQVHMLGVYMQRSWIILFVTSIILLPIYIFAAPILKLLGQQEDMADLAGSFALLVIPQFLSLSFNFPTQKFLQSQSKVNVIAWIGLVALIVHIGLLWLLIYVLDLGLTGAAIAFDVTSWGITLAQLVYVVIWCKDCWNGLSWLALKDIWAFVRLSVASAVMLCLEIWYMMSLIVLAGHLDNAVIAVDSISICMNFNGWEGMIFIGVNAAISVRVSNELGLRHPRAAKYSVYVTVFQSLFMGIFFMGVILVTKDYFAIVFTNSKTLQVAVADLGNLLAVTMVLNSVQPVISGVAVGGGWQALVAYINVGCYYLFGLPLGYILGNVAELGVKGLWGGMICGILLQTLLLSGILYKTNWNKEVDNTSARVQQWGGQTVEVDSNGVDKP >RHN41165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27700252:27706231:-1 gene:gene47456 transcript:rna47456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MSVDRSKPSSLGTIPEEIGYLDKLERLFLSNNSLSGSIPSKIFNLSSLTYLEVEENSLSGTIPSNTGYSLPSLQHLHLNNNNFVGNIPNNIFNSSNLIHFQLTDNEFSGTLPNTAFGDLGLLESFNIDTNNLTIEDSHQFFTSLTNCRYLKYLELSGNHIPNLPKSIGNITSEFFWAKSCGIEGNIPVEVGNMSNLLLLSLYDNNINGPIPRSLKGLEKLQVLSLAYNALKGSFIDELCLIKSLGELYLENNKLSGVLPTCLGNMTSLRILNVGSNNLNSKIPSSLWGLTDILMLDLSSNAFIGDFPPDIGNLIELVILDLSRNQISSNIPTTISSLQNLQNLSLAHNKLNGSIPASLNGMVSLISLDLSQNMLTGVIPKSLESLLYLQNINFSYNRLQGEIPNGGHFKNFTAQSFMHNEALCGDPRLQVPTCGEQVKKWSMEKKLILKCILPIVVSAILVVACIILLKHNKRKKNETSLERGLSTLGAPRRISYYELVQATNGFNESNFLGSGGFGSVYQGKLLDGEMIAVKVIDLQSEAKSKSFDVECNAMRNLRHRNLVKIISSCSNLDFKSLVMEFMSNGSVDKWLYSNNYCLNFLQRLNIMIDVASALEYLHHGSSMPVVHCDLKPSNVLLDENMVAHVSDFGIAKLMDEGQSKTHTQTLATVGYLAPEYGSKGIVSVKGDVYSYGIMLMEIFTRRKPIDDMFVAELSLKTWISRSLPNSIMEVMDSNLVQITGDQIDYILTHMSSIFSLALSCCEDSPEARINMADVIATLIKIKTLVAGANTV >RHN67136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24213739:24213987:1 gene:gene15285 transcript:rna15285 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQNVLTSYQKPRISSKVKLAHHRTTKLFFKIARKTNIQISIHPLQYYQSPRFYQYNSCSETSISLNNPKTQGRSSNNTCI >RHN66178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9516413:9517004:1 gene:gene14111 transcript:rna14111 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATTMKFFSFFFVVFVAVVASAQDLSPSSAPAPGPDVGACGSVTSSVAMIGASIVLSMLAILKN >RHN54572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10568900:10569871:-1 gene:gene29637 transcript:rna29637 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGKLPPKLLWDIENACKLLQFVNGFKNSKSLVELSPRLFISKFSPQR >RHN63476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51567909:51569850:1 gene:gene26175 transcript:rna26175 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLLVMFVFIVLHGQYLELCTLLLMPKSFEDNALEIYPHVTY >RHN53673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3248747:3249542:1 gene:gene28604 transcript:rna28604 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAPMSLLLHTCPPVNSQWPFHFAGGGGIPSSLKDGCKGNIPESMIPIMTPFPRLSSLHNPCPCFRPKNVGV >RHN63718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53482577:53496182:-1 gene:gene26454 transcript:rna26454 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRVHNFFGQENLSQGQYHAQAVDGNWPGLSNNLWAGSQRPAGGPFISNLKHFNLQQSGSEQGNTSALHLRHGLNPAQSNPRPDIGRNQTPNQQTAVNGYMQGHQVFQTRQNETNILGVDTGADLHGISRGITVLESQQGAGLDHYKRNLTRTDGTESPVNYDFFGGQQQISSRQSGMLQSFPRQQPGINDMQLLQQQAMLNQMQELQRQQQFHQLEARQHSSMTPASSISKQTVANHSPSLINGIPINEASNLMWQPEVMATNANWLQRGASPVMQGPPNGFVISPEQLRLMGLVPNQGDQSLYGLPISGSRGAPSLYSHVQADKSAMSQVSIQNQYSHVQGDKQSLPSISASVNTFPAHQYAAMSDQTNSNDGTSVSRQDIQRKSMFGSVAQGINSGLNMENLQQMNSEQRDVPMEDFHARQELAGSSETSQDKMIGQAPPHNVATLDPTEEKILFGSDDNPWDGFGRNSGFNMLDDSDGFSGFPSLQSGSWSALMQSAVAETSSSEMGIQEEWSGLSSRNTERSLPKGPSPIDSSKQQSVWAENNLQSSPNINSRPLIRQDDLSRPSATVNYSGLPGFHQPGADTAQEQHNRLHADSSQRSIPQILERGKWLDCNPQQKPVAEGSHIYGNATNSSCLEVNEKVISGSWNHQQTLSSPNRSSEPFNRSNGWNYIKSAPPENNSTPKLGQVPTTWEPDSDNNPSVALEHVKSAGNMQVSGEDSGMNGIVAMPNSGATWVSRPSNHQHSNVDTWRHADSVGSFGRNEGAGGKYRHHMEKNPLVLESLQNENSEGEAYDMENSNKTDKSADGIESNPSYHRASGVRENPNFDGSDLHSPKLPGQGNRRPPVTRKFQYHPMGDVGVETESYGSKHVVNSQPMPHQPFGGLKSRDQSYPGQSKYGHSDGNYSETEKVDNKSIDDNASKSELSSYAPKTLMPFDRNFGNCASNKTASPGQNILELLHKVDQSREHGIATNMSTSNCHLSSRVMDTESSNGSVVHPQRNQTSSSQGFGLQLAPPTQRLPTASSHATPHVASEMVDKGHTWLADAQTFPSQESSHEIRNNIAGSSGQVFDKASQYSALGNTQQASTSGFPFSRIHPQNQNMASLGGQVANTQCDSASRVERMGSTSQIDEYCERAQTSQSAVSSAQDLPKLSGINQIRHGDPTMQISALEAGTAPHPSVTFNASLHGTPSKVLRNVWTSVSGMQQPDALMAPSHPQPINICETATEPHRLHVEDSENDANDLSRKQMLPEVVDATGETANASLVEEHIVKSTPDASQSSQAATSRDIEDFGRSLRPNTFLHHNFSMPNQVQSMKNMEINPIHQDVNKFKVTDDVGDRQLDSNHGQQSYKYNNTVEDVSGDNSSVPGDGRETNASSEERVIYDQKNALNVANSNKVTSLRSDHSLINPQMAPSWFEQYGTFKNGKMLPMYDARAMTPNIMNQPFTVKNQSASLHLGNPMEQVNSLNDAGQHGHARPSPMPTSVANVNVPSQLLSPPAIEPDLLTVRPKKRKSATSELVAWHEEMKQGSERLRDIRGAELDWAQSANRLIEKVEDDAELVEVLPTMKSKRRLVLTTQLMQQLLNPPPAAVLSADVKLHHDSVVYSVARLVLGDACSSVSLCRNDTPVPPASENLRPNRLKSSDKIDQYISKVEDFSDRARKLENDVMRLESRASVLDLRIECHDLERRFSVINRFAKFHGARAQNDGAEASSSSEATANAQKSFVQKHVYAHPMPRNLPDRVQCLSL >RHN52250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33071374:33081070:1 gene:gene36902 transcript:rna36902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAMQLPSSSLSSTLSNDFIYDVFISFRGTDTRFGFTGNLYKALSDKGIHTFIDDKELKRGDEITPSLRKSIEDSRIAIIVFSKDYASSSFCLDELVHIIHYFKEKSRLVLPIFYGTEPSQVRKLNDSYGESFAKHEEGFQNNKEHMERLLTWKKALNEAANLSGHHFNQGNEYERDFIEKIVRDVSNKINQIPLHVTDYLVGLRSRISEVNSLLDLGYNGVCIIGIVGTGGMGKTTLAQAIYNLIANQFECKCFLHNVRENSVQHGLEYLQEQLLFKSIGFETKFGHVNEGIPIIKRRLCQKKVLLILDDIDKLKQLQVLVGEPSWLGRGSRVIITTRDKHLLSSHGITKIYEAYGLNKEQALELLRTKAFKSKKNDSSYDYILNRAIKYASGLPLALEVVGSNLFGMSTTECESTLDKYERIPPEDIQKILKVSFDALDEEQQSVFLDIACFFNWCESAYVEEILEYHYGHCIKSHLRALVDKSLIKTSIQRHGMKFELVTLHDLLEDMGKEIVRHESIKEPGERSRLWYHDDIFDVLQNNKGTNKIEKIFLSCPSMKLTRNNGEAFKKMTNIKTLIIRNSQFSKSLKYLPSTLKVLIWERYCLPSLSSSIFSQEFNYMKVLILNHFYSLTHIPDVSGLPNLEKISLKKCWNLITIHNSIGCLSKLEIINARKCYKLKSFPPLRLPSLKELKLSECWSLKSFPELLCKMTNLKSILLDGTSIGELPFSFQNLSELRDLQITRSNIHRFPTSSKNSKKRMLRFRKDDDKINSIVLSSVKHLNLHDNILSDECLPILLKWFVNVKYLDLSNNDFKILPECLSECRHLKDLKLDYCWALEEIRWIPPNLYCLSTIRCNSLNSTSRRMLLGQVGCSDIYSPTRKEGIPDWFEHQMEGDTISFWFRKKIPSITCILRTRTRPKRGDSSWPRLKINYFVNGYECTDEIWIHYSFLYRYSEETCLLNLKLEERVKWSNLMSEMDKALLKNEWIHVQIYFENVLYHAKKGIHVRKEKSNKDEDVIFTNPYVENNNTSSSQFHTNLVSEMDKSCVDEETNLVDYYSTKDEESFFWSEFREQFEIDNIMYTDDEKMSLWSYYEIKFSEQMRIHMLKE >RHN81972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50268643:50274178:-1 gene:gene6078 transcript:rna6078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-ketoacyl-[acyl-carrier-protein] synthase I MQSVQIQQQLPSTLRPSPLEPLRRKSSNAATATAKTKRVTRISAAVTTTTAPQREKDPKKRVVITGMGLASVFGNDVDAYYDKLLAGESGITSIDRFDASKFPTRFAGQIRDFSSEGYIDGKNDRRLDDCLRYCIVAGKKALEHANLGAANLSKIDKERAGVLVGSGMGGLTVFSEGVKNLIEKGYRKITPFFIPYAITNMGSALLGIDLGFMGPNYSISTACATSNYCFYAAANHIRRGEADLMIAGGTEAAIIPIGLGGFVACRALSQRNDDPKTASRPWDKARDGFVMGEGSGVLVLESLEHAMKRGAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSTCIQSSLEDAGVSPEEVNYINAHATSTLAGDLAEINAIKKVFKDTSGIKINATKSMIGHCLGAAGGLEAIATVKAITTGWLHPSINQFNPEPAVDFDTVANVKQQHEINVGISNSFGFGGHNSVVAFSAFRP >RHN78442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15137061:15142109:1 gene:gene2009 transcript:rna2009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BolA protein MRAQVLSLSSNTTLLRRALPVLVRPYLVRTTSPNHISFLRSTSQATRFPKHDQKLLFTSVSSIRKFTSRATNVNDAGSIDLPIIQSMQQKIKEQLNAEAVSVKDAYGDGRHVSIDVVSTAFEGQSAVNRQRMVYKAIWEELQSAVHAVDQMTTKTPAEAAAGK >RHN63346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50550005:50553335:-1 gene:gene26033 transcript:rna26033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MLAGCSSSTLLSPTHRLRSEASAQFQACHFQLPSMSTQRLDLPCSFPRKDGSSRSQQPLRPVGISVEKPEVKNNSSSTCSLQQNIRLPPLATTAQSLGEIKDEEFWEKGGRSLKRLAEHGSLDESFANRAKRKKGNGGDENFDEFERESFSLAQFGAGNFWSVHSFGGFNSPSLPFSLNCSGDEERVCFAPAEVISAPLPLSNNPWLESAVTKITNFGEGSHQHQHHHHVNEGSVSNGSSESQSLSLRLNENASEHEEGNGSGNPYQHEGTEVETGEEDEEEEHRGFELLSLLTGCVDAIGSRNVAAVNHFIAKLGDLASPRGTSISRICAYFTEALAIRVTRLWPHVFQISATSRDFDRVVDDDETVTALRLLNQVTPIPKFLHFTSNEMLLRAFEGKERVHVIDFDIKQGLQWPSFFQSLASRTNPPSHVRITGVGESKQELNETGDRLAGFAEALNLPFDFHPVVDRLEDVRLWMLHVKEHETVAVNCVLQLHKTLHDGSGGALRDFLGLIRSTNPTIVVMAEQEAEHNEARLDSRVCNSLKYYSALFDSIDHSGLPLESPMRIKIEEMLAREIRNIVACEGRDRLERHQSFGNWRKMIVEHGGFRCMGVTDRELIQSQFLLKMYSCDNYSVQKQEQEGATALTLGWLDQPLYTVSSWTPIDVAGSSSSFSQPA >RHN65659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4172595:4175040:1 gene:gene13527 transcript:rna13527 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFIYLFVIYNIEFCLFIHQCYSTTSTVISLINGITKVMDSTEELGQLPNQLQILKGPSFLHSAAAQNQSHKESPIEVDKDIGRLIGKASTTVVVEEMATSTSCSKIDSLPINEAPKNGESLRCDFVEFETFANDPVSNSSCMLEIVRSDVAIEDMNQEPQMENQSKDANVSN >RHN70766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53864709:53868864:1 gene:gene19382 transcript:rna19382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MTRVYIGNLDSRISERDLEDDFHVFGVIRSVWVARRPPGYAFIDFDDRRDALDAIRELDGKNGWRVELSHNSKTGGGGGGRGGGGGGGGRGRSGGGGSDLKCYECGEPGHFARECNSSRGGSGRRRSRSPPRFRRSPSYGRRSYSPRERSPRRRSPSPRRRSPSPRRRSYSRSPPPYRGREDATHANGNGIRAAHRSRS >RHN44751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8110851:8111795:-1 gene:gene38911 transcript:rna38911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKRAREVDSITMANCLMLLSRGSDQFEATYSSTTSNNRVFECKTCNRQFPSFQALGGHRASHKKPRLMGENIDGQLLHTPPKPKTHECSICGLEFAIGQALGGHMRRHRAANMNGNKNMHNSNNTMSCSSGGGGDSSIDSSQKMKARNKRVLVLDLDLNLTPFENDMEFLKIGKATANLIDYFH >RHN59534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11746780:11754801:1 gene:gene21576 transcript:rna21576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SNARE associated golgi family protein MRTLSFPSPCLSSSSYSLHTNNNRLSTTFSSYKCFHFLTPHSSLKQTKKQPSRINNTNPSRLKRLFNPKNENDNDDKNEKKKKKRGESEKDEEEDNGVALKGTLLAGFLLVGFVGGFASVGYIYREPINTFLNQFSGFIEGYGSAGYALFVAVYAGLEILAIPAIPLTMSAGLLFGSLTGTIIVSISGTVAASVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFLPYVLGSWLGMLPGTWAYVSAGAFGRAIIQEESELSSLGGNNQLLTLGLGLLATALAATYVTKLAKDAVKDIDD >RHN70566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52329481:52337052:-1 gene:gene19159 transcript:rna19159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MEHIGSSKDANNTNYTEMDIDLDDDEDQSNAEILNELGDDMVKYFCKKASVMFFDQYGLISHQINSYNQFINSGLQSAFDSFGDLTVTPGFDPSKKGADEHYRYATVKFGKVTLERPKFWCGEGNAQELKMLPRHARLQRMTYASKMKVDVHIQVYVMKKVRSDKFKTGKEEYIDKDIKWEDTREITIGRLPVMVKSDLCWMSEAEKGDCEFDHGGYFLIKGAEKIFIAQEQIFLKRLWVSNIPYWAVAFKSQMKRNRMVVKLVEGSTEGITNGEKLLTVYFLSVEIPVWILFFALGVTSDKEIVDLIDYGEANGRIENILFASIREADDKCEAFRRGKNALLFLEERVKGVQFPPAESIDECFNMYVFPNVKGLKRKARYLAYMVKVLLLAYTGRRKTDNRDDFRNKRLELAGELLEREIKVHFAHARKRMGKALQRDLYGEREVRQIEHYLDASIITNGLQRAFSTGAWTHPFKRMERISGVVATLGRTNPLQTMAELRRTRQQVQYTGKVGDARYPHPSHWGKVCFLSTPDGENCGLVKNLAVTGVVSTDVTESILPQLSDCGMEELVDDTTTVLGKKDKVFLNGDWVGVCSDSISFVAELRTRRRRNELPHQVEIKRDQCQQEVRILSDAGRILRPLLVVSNLLKIKGSKSEHKSFQSLLDNGVIELIGPEEEEDFKTAWGVQYLFGKEGKSSVKYTHCELDMSFLLGLSCSLVPFANHDHARRVLYQSQKHSSQAIGFATTNPNIRVDALSHQLFYPQRPLFQTMTSDCLGKPGYLGQSKVVPKSEFYNGQNAIVAVNVHLGYNQEDSLVMNRASLQRGMFRSEHIRSYKAEIENKESSEKRKKPEDIVNFGKIQSKIGRVDSLDDDGFPFVGANLQSGDIIIGRCADSGTDHSIKLKHTERGYVQKVVLSSNDEGKNFAVVSLRQVRSPVLGDKFSSMHGQKGVLGFLESQENFPFTKQGIVPDIVINPHAFPSRQTPGQLLEAALGKGIACVGSLRNATPFSTSSVEAITDQLHRAGFSRWGNERVYNGRTGEMVRSLIFMGPTFYQRLHHMSEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGASANLYERLFTLSDSSQIHICSKCKNVANVILRPVSGGRKIRGPYCRFCESADDIVVASVPYGAKLLSQELFSMGINLKFETELC >RHN54964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14037299:14041495:1 gene:gene30076 transcript:rna30076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MKFMKLGSRPDTFYTSEAIRTISSEVSSDLIIQVRGSRYLLHKFPLLSKCLCLQRLCSESPPDSSHHQIVQLPDFPGGVEAFELCAKFCYGIQITLSPYNIVAARCAAEYLQMTEETTKALHLWSEDLGITSRCIETIASKVLSHPTKVSLSHSHSRRVRDDISCNDTESLRIKSGSKGWWAEDLAELSIDLYWRTMIAIKSGGKVPSNLIGDALKIYASRWLPNISKNGNRKKNVSLAESESNSDSASEITSKHRLLLESIVSLLPTEKGAVSCSFLLKLLKASNILNASSSSKMELARRVGLQLEEATVNDLLIPSLSYTNDTLYDVELVMTILEQFMLQGQSPPTSPPRSLKTFERRRSRSAENINFELQESRRSSSASHSSKLKVAKLVDRYLQEVARDVNFSLSKFIALADIIPEFARYDHDDLYRAIDIYLKAHPELNKSERKRLCRILDCKKLSMEACMHAAQNELLPLRVVVQVLFFEQARAASSGGKVTELQSNIKALLTTHGIDPSKHTTTQLSSTTSIQGEDNWSVSGFKSPKSKSSTLRMKLAEEHDEFDENGLVNDDGIGRNSKFKSICALPTQPKKMLSKLWSTNRTATEKN >RHN65594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3543823:3550682:-1 gene:gene13449 transcript:rna13449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative development/cell death domain-containing protein MDQQEENKIGTELNVDQEESRLDAEHNMDQEEVKIGTEHSMDQEESKMVTEHNMDQEESRVATEHNMDQDENKMGTENNSNQESRMDTEHNIDQEESRIDTEYNIDQEESKMGTETHPEFSSNPNPQSSENDDNKKDTLPPEGSGKPELSEKVTPQSLKAKKVVKKSLVPKLKAKKNNGSPKIVRKKIKRKNKKIGDNAESSHNADEKLISGSTQQNETKDEPPKEKNQEAENNEVKVSQNMSNNDKSPMEKSHQDEKDKEINLLDKTEQEQKSEEKHKESNKGSRRRKNKNKPNGTEKSPSNDKKSEKLGGLIFMCSAKTKPDCFRYRVMGVSSAKKDDVLRIKPGLKLFLYDFDLKLLYGVYKASSSGGLKIEPRAFGGKFPAQVRFNIVSDSFPLPESIFKKAIKDNYNAKNKFKPELTVAQVRKLTRLFRPVGAHSAVQQPVFSHPKAIIQEREAPGQVRGFQHNLHRERAVGHQLERREDIPRDSFLLENNYRVYDRRNVAATSHVNPVREPYERDYENRLVEPRYQSNVPVHVKSFRRDPIYMNDREQLSYTRVVPDHINDRYAYQYGASSRDEYLAPLRREAVAPSSYLVGGRPFAETDNLRRREIVEDRHYSIYSAADALPDYHQMQPYRGDKLEASPLRVSSRYSLAGPSYSRR >RHN69144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41319370:41321068:-1 gene:gene17576 transcript:rna17576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative naringenin-chalcone synthase MVTVNEIRQAQRAEGPATVFAIGTANPQNCVDQSTYPDFYFRITNSEHKTELREKFQRMCDKSMIKKRYMHLTEEILKENPSLCEYMAPSLDARQDMVVVEVPKLGKEAATKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAVIVGSDPLPEVEKPLFELVWTAQTIVPDSEGAIDGHLREAGLTFHLLKDVPGLVSKNIEKALVEAFQPLNISDYNSIFWIAHPGGPAILDQVELKLGLKPEKMQATRHVLSEYGNMSSACVLFILDEMRMKSKEDGLATTGEGLEWGVLFGFGPGLTIETVVLRSVST >RHN52636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37280246:37281004:-1 gene:gene37330 transcript:rna37330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MIKQGVEPNVVTYTSLMDGYFLVKEVNKATYIFNTIAQRGVTPELHSYNVMINGLCKNKMVNEAANLFKDMHSRNMTPDTISYNSLIDGLCKSGSISDVWDLIDEMHNRCQPVNVITYNSLLDALCKNHQVDKAIALLKKMKDQGIQPNMYTYTILVDGLCKNGRLADAREIYHDLLTKGYPLNVSMYNVMVNEFCKEGLFDEALSLLSKMEDNGCTPDAITYQTLICALFENNKNDKAVKLLREMIVRGLL >RHN70294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50333078:50340517:-1 gene:gene18860 transcript:rna18860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative THO complex, subunit 5 protein MEDGEIEEGSIAMEEQNEQAFPNSSEDSKSDEESPYELLQNSKSSIESIISDILSIKKEAKPKQLLRDLVTQMFLHFITLRQANRSILIEEDRVKMQTERAKAPVDFTTLQLHNLVYEKSHYLKAIKACKDFKSKYPDIELVPEEEFFRDAPKDIKDLVLSKDSAHNLMLKRLNFELYQRKELCKHHAKLELQKKILLETIANRKKFLTSLPSHLKSLKKASLPVQNQLGITHTKKLKQHHSAELLPPALYVIYSQLLAQKEAFAEPIDLEIVGSLKDAQAFARSQANKDTGISTVMDSSKLEDDVHDDEEDGQRRRKRPRRVEVKESPDQGGIFKSHPLKIIINVYEDESSDPKPAKLITLRFEYVVKLNTVCVGVEGSNDGPDNDILCNLFPNDTGLELPHQSAKLFVQNAMAFNTQRTSRPYKWAQHLAGIDFLPEVSPLLPADNSEAAKSEDVVSGLTLYRQQNRVHTVLQRIRSRRKAQLALLEQLESLTKLEWPLLSCKSVPWALHTPLCKLDGWSPIRALPVPSEASSPAIIDKEEHVQESTDVDVIENSGVTKGELDSMTEDGELPTLLPKRTKFDHSKQASLISKSIIPSLNKVRSLSFKKGDDSSDFLLDTDSDFDEPAQVESEHEHSVSDYCATKSLSWMESGAKEFVLVLSRKTNADERNVNLEAKIKISMEYPLRPPLFALSFCGRPSGEYHIENDGLEWYNELRAIEAEVNLHMLKTLPVNEHNYVLAHQVSCLAMLFDYYLDDGSSSERTNCTTLVDVGLCKPVSGGFLGRSFRGRDHRKTISWKDTKFTSN >RHN42197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36294964:36298913:-1 gene:gene48610 transcript:rna48610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate oxidase MNQAIITPIFFGILACWSAISVTAEDRYQFFTWEITKGTIFPLGVPQEGILINGQFPGPTIEAITNDNIVVNVINKLDDKFLITWSGIKQRRTSWQDGVLGTNCPIPPNSNWTYKFQVKDQVGTYTYFPSTKIHKAAGGFGGFNIAQRSTIAIPYPAPDGEFTLLVGDWSKTNHKVLRRLLDVGKSLPFPDALLINGVKDNAVFTGEAGKTYKFRVSNVGMATSINFRIQGHSLKLIEVEGAHTLQETYESLDVHVGQSMTVLVTLDKSIGDYYIVASTRFTNPILTTTATLRYSGSNSKASGLLPVGPTDVEWSIKQARTIRLNLTANAARPNPQGSFHYGTIPVLRVLQLANSKSIVNGKLRYAVNGISHINPSTPLKLADWFNIPGIFDLNTIKDFPSSSKSVKLGTSVLGFTLHDFAEIIFQNDENTIQSWHMDGSSFYVVGFGKGKWTPDVRRTYNLVDGITRYTTQVYPNSWTTILVSLDNKGMWNLRSAIWENRYLGQDLYMRVWNNEQSLYTETNVPLNALFCGKAKHLPKL >RHN74690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35886627:35891146:-1 gene:gene10797 transcript:rna10797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CWC16 protein MGERKVLNKYYPPDFDPAKLPRVRRPKNLQIKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTRCSAELTMKTDPQNSDYVVESGATRNFEPWRAEDEETDEMKKKRETEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVSVDEMLAALQRTAADKEKRIEEEDEALIKSIFSNKPEVPIRRIRDEDIEDEEELFQFSNGHGESSNDNLKRQKISEDLPRKATDTLTKAGLDDSDKQEKLTAGRRKSNPLVTIAVIKKPGISNVRSAEEDKQKKKEEDDKTNTAGGLLSLCQNYGSSDEE >RHN69810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46435030:46437165:1 gene:gene18326 transcript:rna18326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MAIVATSFISTLFFVLSLCLKGTFGDYGGGWENAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEMKCNSDPKWCLPGSILVTATNFCPPNFAESNTNGGWCNPPLQHFDLAEPAFLQIAQYKAGIVPISFRRVPCVKKGGIRFTINGHSYFNLVLVTNVGGAGDVHSVSIKGSKTGWQAMSRNWGQNWQSNNYLNGQSLSFQVTTSDGRTITSNNVVPGNWQFGQTFTGRQF >RHN61764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38151830:38153923:-1 gene:gene24259 transcript:rna24259 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCLVAADGWRERVGGGDDEKRKKKKKKKRVFSFLKTRVLFCFSVFCITFNPSP >RHN53488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2002932:2007959:1 gene:gene28398 transcript:rna28398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MGSYEATNVVLAKVKNFDPENASKIMGFLLMNLEEYELVRLACCPDHVLHNLAIRVKTHLGMNLSTPSSPSPLNPIGRITSSSNNPFSKSSPRGSNGFDFNRNPSSPSGNVWSQPSFPKNPISPKFNPLMSYENIQGGVGVVGGGGGSGAGGSCAFSPRVNNGDYDFVDEQQLNECFPFLNESSNGDDLVDPRLEMGVGGQNWISGNNGDAHNIHKRSFSANDASFSVEESGLGFGFKPCLYFARGFCKNGSNCKFVHGDSIDANSGAVVGSPKFEGLEQHEEFMRFKAAQQHQRMVAASQLAAGGTSPVSYDKYIDLLMQQHSDNQRAVAAAAFAMGEEYFNITGRGRPERNEFLAMVSGDKPNSASRQIYLTFPAESTFKDEDVSEYFSKFGPVQDVRIPYQQKRMFGFVTFVFPETVRVILSKGNPHFICDSRVLVKPYKEKGKVPDKRHQHQQQQFERGDFSPCLSPSAFDSKEPFDFHPGTRMLYNPHDILLRRKIEEQAADFQQVLELQERRLKSLQLPDFKNNPIHHHQRSLSVGAPLVFPHQLHSHVNHAGLSPDNIQGDFTGYSGSLTSAGSLGAASEQQELHKEADPSCIDAVTAAAESGNLKDVAKSEGVDLGKRNVEHTLPDSLFASPTKAAGDYLSDFSPQEEANESTAFSTTLSQKFESTTSASGDNMASV >RHN66711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16996765:17000720:-1 gene:gene14767 transcript:rna14767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MEVSIERAFSLLLWLFCFPSRSIRRGRRRCLCFPSITIICMCINCALHSKSKSKSSIIPWMKIKCPMQTNGIDCGYFVMQFMKEIILANQDMIPENYFGDYKCKTYSKDKLVQVEEDWATFMVQYLSEFDFLFQQFSFAFFN >RHN73684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18835923:18839723:-1 gene:gene9531 transcript:rna9531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MVKSNVEKTAVERSDSSLYRGVRKRKWGKYVSEIRLPNSRQRIWLGSYDSAVKAARAFDAAMFCLRGSGAKFNFPNDPPYIAGGRSMTHAEIKTAAASFANSGQPENTPFETPSSSEGTATTLLSMELLSPALSDVTVQTDCNSKENGLFSDMFSETGSGYSMFPGFDDFCGDFYVPEMSDFDYVEENMDGLVIPDLFLWNF >RHN62258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42101517:42103958:-1 gene:gene24813 transcript:rna24813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, non-heme dioxygenase domain-containing protein MEEVDQAFIQNPENRPKLSITQAEGIPVINLSPIIHNTVQDSSAIQALVKEISSACKEWGFFQVTNHGVPPTLRLKMEEASKMFFAQSLEDKKKITTDDTGLPGYHDTEHTKNVRDWKEVFDFLSNDPTLVPLNSDEHDDQVTYWTNPSPQYPPHFKVILKEYIKEMEKLAFTLLELIALSLGVEAKRFEEFFIKDQTSFLRLNHYPPCPYPHLALGAGPHKDSGALTILAQDEVGGLEVKRKTDQQWVLVKPTPDAYIINVGDVIQVWSNDAYESVEHRAIVSTEKERFSIPFFLFPGYDAEVKPFEELINEQNPSKYRPYKWGKFLVHRKDSNIKKQDVENLQIYHYKLP >RHN42682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40234602:40235389:-1 gene:gene49160 transcript:rna49160 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPYPYHHHCCRCSDLRGKKFTEISDGLLQYFCCCWGMQEMKKSTEINVVDGSKIMKVFFDPKDRNNTAIYRKLSGKDVVFSIPLLRLRFCFSILILFHELHMVNAIC >RHN41552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31009303:31009918:1 gene:gene47886 transcript:rna47886 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLISVLVLLLAVITLTSVEGNINPKYQPARSNGFISYEPPTTDTQKLVALNHRRRRHLAHFPPRISVPPINIPGRPHPRPLRLPRRPPPKM >RHN70671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53103851:53104705:1 gene:gene19280 transcript:rna19280 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFEGTLPELLLKLLAGDLTGLQLMLFLDTLTGLLLKLLAGTLTGLRLMLFLDTLTGLLLKLLAGALTGLRLMLFLDTLTGLLLKLLAGDLTGLRLTLFRDDTLGELLLRRDLTGLLLTLLRERVITGLLSTFLPFLPLTGLLLMLLLGLLPMLLTGLLSMLLTGLLLKLLLDRRGGLLLTLGLFLNLLFGVMLRLLLLLLLGLILILLLMGRLGLVLRLLLINFL >RHN57668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40908224:40908894:-1 gene:gene33247 transcript:rna33247 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLDWPNPPFQEDDLPPYDDSVFVDAYLAYLHADARFEMLGSVSINATICLYCNRGTPLSFWNPVTHEFKSIPPSPIKQVVANNRGARFGYDYVEDDYKVDIPRSYDNRDVYMNSVCHWLSKTEKHFQLVSFNFNNESVLTTPIPSYVDDSFGWFLKRRLVLLNGSIAFILNISGHVHFTYQFWGNSV >RHN40676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19254031:19254398:-1 gene:gene46864 transcript:rna46864 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLSSQEFRPSNELWPGGRTCVTMQLAPLRSRCRNSEVWKSGVVTLWSPIGTRVRWLILSVRAKFGLSLW >RHN56793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33783491:33793625:-1 gene:gene32246 transcript:rna32246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MKLSTSGMSQQGHEGGEKKCLNSELWHACAGPLVSLPTAGTRVVYFPQGHSEQVSATTNREIDGQIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTFLPMELGIPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFFNPRASPSEFVIPLSKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITSISDMDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHPGTSSFLDGRDEATNGLMWMRGGPGDHGLNAMNFQGAGLLPWMQPRLDPTLLGNDHNQQYQAMLAAAGLQNQGNVDLLRQQMMNFQQPFNYQQSGNLSPMQLQQQQAIQQSVSTNNIMQPQGQGLAENLSQHILQKSHNNRENQTQQHSYQDSVLIQGDPLHQKQHSSLPSPSYTKPDFIDSGMKFTASVSPGQNMLGSLSSEGSGNLLNLSRSGHSMLTEQSPQQSWASKYSPSQVDAIGNSMSHVQYSGRDTSIVPPHCSSDAQNSVLFGVNIDSSGLLLPTTVPRYTTASAHADASTMPLGESSFQGSPYPCMQDSSELLQSAGQVDAQNQTPIFVKVYKSGSVGRSLDISRFNSYHELREELAQMFGIEGKFEDPLRSGWQLVFVDRENDVLLLGDDPWESFVNNVWYIKILSPEDIQKMGEEAIESLGPSSGQRMNNTGAESHDIVSGLPSLGSLEY >RHN70610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52721676:52722803:-1 gene:gene19211 transcript:rna19211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKFIQLCFLISLLVLLNTKALQGAKPDRVVDRHGKPLESGKGYYVWQFWAHDIGGLTLSSTRNKTCPLDVIRNPKELGSPVYFSAPGFKHIPTQTDLSIKIRFRSSSCNQSKVLKLSKEGSGFWFLSTGGVAGDVVSKFKIEKLEGDTGIPIYIFKFCPSVPGALCAPVRTFTDTDGTKVMAVGDGNDLEPYYVRFQRVSTFTPKNSIT >RHN78657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17244883:17245269:-1 gene:gene2291 transcript:rna2291 gene_biotype:protein_coding transcript_biotype:protein_coding MCQGKRKVIHQGVGFKAKPFSIKGKCVKKRKFVSVNVVAITKIMIDWEKEKEERVKDHQTPYKKLVGPFVDDVEVKKTLSNWRQMKGQVRQDQREAAQLKLEKIQNTACFNESIDVMFDFHKLIGCSN >RHN54476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9898426:9899242:-1 gene:gene29526 transcript:rna29526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane transport protein MGFVQLLEVASMPVIQVLLVSALGAFMATQYFNNLLSPDFRKSLNKVAFFIFTPSLIFSSFAKSVSLQDMISWWFMPVNVGLTFLIGGILGWLLVKLLRPNLKVEGLIIAACSSGNMGGLPVVIIPAICNEKGGPFGARDVCHSNALSYASFSMAVRHHHPITFLYFAL >RHN55215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16185551:16190590:1 gene:gene30360 transcript:rna30360 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKFSKLKLQLQSLITEVRDLRDRERSATQQHHLLIQKQKRTEEEWSRKIQELQGELAFVKEERQKLERKVNYVQNDNMMLENKQKELKGTLNSLLQSRENFVIAYEESTSHLKRSIENKDRMLSVLSEKINSHLLLFDSIEKEVFYIKHILDKVQNIVKDKEEVEHITDLRNKLENKEAESRKKDRVISELEAKLDGAKISNNNQAQIEDISIPPRNSYLYQIKTILAKDAEIHNLISDKEALHNEVGSLRLILQRFQGTITNMNEEDKKLFSSILQPKEASATDMKIDVYPRKRDMKIEDIRTEDFVQNNEEKSQEPT >RHN67052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23261022:23262212:1 gene:gene15184 transcript:rna15184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MLIMLCYVVELLIGRTDYMESKRQAEEEIKEVSSKKQKVDEVAAKQKNPATKVVPSKNGSAPVKKADPYYSSSEESFDEDEKPAAEVVPSKIGSAPAKKADSSDLESDESSDEDEPNTPATKKFNSRVKTLYVGNLSFSVQKSDIENFFRVCGEVVDVRLASCEDGKLKGFGHVEFATAEAAQYIRS >RHN57137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36796869:36797585:1 gene:gene32638 transcript:rna32638 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNNDIIPNKIIDFSILFLFLFDINFFSHFSNTTFHAGLLKKVIDYNTTVVEIGPLIDDIRSREVTNVRFVGLNHEEEVPNISVEVVSEQTLQVDYFVLAETQNDDQRHNKFPDMLIVGPWDDAVTDADYIQDSPSSQEDFGRSMVVTNPNLSNFSSNVIHDMQVLGLVPNDAQQVTEFLSESRSNMAQNDESVDLGGNTNQPFQPVVPRKSKRRLKKQQTEANKGFKIGASNCTPR >RHN44720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7710346:7711721:-1 gene:gene38875 transcript:rna38875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding, BAG domain-containing protein MFHLNNNNRTSQPSSLYGTQWNYPRYNKKAQSPSKVVSIPVHFVGSERNKANSATKIEKVARGFLVRKSLNKMLKMKVELDEIEKKVNDEETVKMMKKEQKERIRIAETIMNLLLRLDSVRVFHCSALRDLRKSIIKRAIVLQEFVDQIQMVGPTEEVEGGEGKCVEVEENCLEKEEVGCEEENEGGNKIEALVNEDGEVNCMEKEERGCEEKNEGGEKMGPLMNEDGSEGKCVKEEDHFLMKEGGGEDEEGDKVEALWKKEEMEEEDRGCKEENEGGEKFEALGNEENNEDVKKMEVEEKEESVGTSLVEEGIKESVDVKEEEGRIGNEFEEENCYKEEDGGNRKMLKRMMEDNEKMMEMMAQLFERNEKQTTLLTSLTQRVEQLERAFTFDKLRRKNKKRRNVDAKHRHNGCI >RHN58711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4213994:4219037:1 gene:gene20645 transcript:rna20645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MALLISAFTYIPPLSANWFLCTDADWAGCPDTRRSTSGYCVYLGDNLISWSAKRQHTLSRSSAEAEYHGVANVVSKSCWIRNLLLELHCPATTATLVYCDNVSVVYLSGNPVQHQRTKHIEMDIHFVREKVARGQVRVLHVPSRYQIADIFTKGLPLQLFDDFRDSLNIRQPPVSTTGVY >RHN76890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2137337:2138584:-1 gene:gene277 transcript:rna277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, non-heme dioxygenase domain-containing protein MGSENEMIPCLDFSKYDLGVVDQEGSEEWKKMSKKVREACESHGCFIIMYDENKIPKNLCENMFIGMKDLFDLPEEIKRKHISSTPFSSYTSDDPKIPLSQTFGIDDASLGDNALAFTNLMWSQGNPTFCETMKTMSYKMLELHSLVLKMIIDGYGLPKQYTSTIEELKSRSSFRLMKYKVPEINKDYETALVSHTDKNTLTTLCQNEVQGLEVLTKTNQWIRLNIPQGGFVVIVGDTLKAWSNCRLHAAAHKVTMCGDKERYSFALFSVPKKDVKIEVPHELVEDKMHPLHYRSFNYDDYLDYVVLGLKENKEIITLEAFLGV >RHN50944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12074940:12075326:-1 gene:gene35300 transcript:rna35300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DYW domain-containing protein MLEEKNRVSEYRCNMPYKEESDVKLRSLTGQMREAGYVPDTRYVLHDIDEEEKEKALQYHSECLAIAYGLISTPPRTTLRIIKNLRICGDCHNAIKIMSKIVGRELIVRDNKRFHHFKDGKCSCGDYW >RHN55695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21250277:21250887:-1 gene:gene30920 transcript:rna30920 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSSFTVRFDPNLLIRFDSSVTWCLFLLLSSCLRKCCRCGSMVDSVKVLVVSSLSGCRSVVDIQFQFMDCLVLGICFGGGVWEYWESVSFRL >RHN78976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20501961:20509470:-1 gene:gene2651 transcript:rna2651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSSSTGDTHWCYNCMRPVRLGRIHTVCSSCDGGFVQDLNDMVHSSYGVDNVEELGQRHQMDSIPEHAPRFTTPLLIYGGQIPFRFSRQGGIDALFNGTPGTGVTIGNSVNYFTGPGVEELLEQLSANDRRGPPPASRSSIDAIPIVKISSRHLRSDPHCPVCQDKFELGSDARRMPCKHMFHSDCIVPWLVQHNTCPVCRQELPQQSGRRRNPFSFLWPFGSSNSRSNDGATGSSSLTPGIPENSQNAQHSGWPFE >RHN43641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47429336:47429602:1 gene:gene50250 transcript:rna50250 gene_biotype:protein_coding transcript_biotype:protein_coding MVYELVEVVMTEVCVVNPSLLDEGWCNRTCRHALTFKSMNKQYVEFLVVRPSFGHRCFRDTGGATLDLGWMVQIDNMGHNPLELKVCI >RHN38934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2882865:2888971:1 gene:gene44911 transcript:rna44911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase-like ATPase domain-containing protein MGTPKEHIEEIRREKFSIGGKPNPLTYDLHHAVKNLSAELYAKDVHFLMELIQNAEDNHYIDGENPTLEFVITSDDITGTGAPATLLIFNNEKGFSPKNIESICSVGRSTKKDNRSSGYIGEKGIGFKSVFLVTAQPYIFSNGYHIRFNERPCPHCRIGYVVPEWVEEKPTLVDIQKIYGAGKDSLPTTTIVLPLKSDKVKPVKQQLSSIHPEVLLFLSKIRHLSVREGNKDFNQNTATSVSISSEINFVTRKNMNAKSYTIHLSTGESSRDKKECSYYMWKQKFPVKLENVVERRRGVEECVVTLAFPQQERLDKDKSLPGVYAFLPTEMVTNFPFIIQADFVLASSRETILFDDKWNQGILEYVPLAFIDAFKTLVTGSDEAPLSSLPYMFKFLPIHSSPFEIFNRVRQKIKAKLDEEKIIPIETFINQKHFYKPREVNRLLPKFWNILTKAREEGVYLLDLSSPDGMKILSSSFDKSEYDAILKFLGVKHVNCDWYAKCIQSSNLVDGVSEDVYLELLLFVARHWSSMISKSNIINIPLIKYVACDGILSFSSLHECRQQGARRLVLADSSKTCPCSWLINWNNVFACATNQFFFMPESTQQAISQSPNKHNLLGWLAKEVNVRILDVNNFANVLCRSINDNCKLAIAYAHFLYHSLSKGYLSCQEVNALCSSMPLVDKFGRITKSRNGVLLPANVSKWADLIGSNPWRNENYVELGKAYLNDSSYAGQHMDSGKFIEFLKTHVKASDIPYISPPNARFSAADTPLTKDNAFLLLEWIRDLKKRGVHLPEKFLECLKGGNWLKVTGNRYMPPSKSFLIGLSLGKLLQSGLVLVDIPLIDESFYGDRINEYKEELKTIGVMFNCEEACGFIGRELMSRAASFSLSRSDVLLMLNFIQYLKKSLLPLDNFVDSIRKGNWLKTSFGLRSPVGSVLNDSGWQVVSSQISDIPFIDHAYFGEEIYNYKEELKLLGVIVDLNGNYQVVIEHLKPLSNLASLTAEAVLLIMECIRHLNAPDKLLNSLNGTGCLKTNMGFKTPAECFLYDPVWGCILEVFNGLPVIDHKFYGQKIFSFKDELRQIGVVVDFGNAIKKFASLFEQKASEASINQQHVMSFLNCCRLLEGTDYSFPSEFATILCKTKWLHTRVGDFRCPRKCILYGPEWKSISSITCLPFIDYSDKCCGYKAELKSIGVVTELKDGLSFVAECLMFPSNPSIISPESVFALLESIQLLMLDNKNTIEDDFRKRLSKNWLKTNAGYKPPEKCLLFDSKWSSFLNPTDGPFIDEKFYGPKIAIFQKELNAIGVTSEVEKGCSLLASHLDSLSDHDTILKIYKYLCQHNWKPDEKAAKKIWILDGIVGGKWVDSEECIVHDPAKLFGSKFYVLEDIYDNNDILLFFYFAMDIKNKPSLEDYVDLWNDWGNSMKQLSYDECCRFWMSISKHLSTNEEKKLAESLMKLPTTSGNNEIFLVDKEDVFIPDSLHMKKLFEKEKVFVWYPQYNMTPLSKSKLSNIYRKIGARNISESLCKEESSLVNDGGKLKYVDPNNIFNLKGLVKLILGFLACSSLKMEPKRRQEAVQSLINLSFHETTEPINVSYSLSLSSGDIITKKSNKRVRWESQSCKFIIQKMDGVLGDSLKYATNFSEAISEGILRENHDDIPALAELITLGFVMKFKNEEIDFLMESKNLQIDLEDEEFLSSAFPFD >RHN43670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47627664:47629604:1 gene:gene50281 transcript:rna50281 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSCKALCRLSFRLQSLVHNTNTKQPSLSLHLNLKLKPQSATVPRVSLTSRLPVELSSLESMLPFYSAVASARLVSSLSIESLGWGLVPQGISMPL >RHN41460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30180244:30180633:-1 gene:gene47787 transcript:rna47787 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDSSIEIPLEADFDKRFGKIKEDVNDMIMIHAAEMEDMRVVIHEPYVSIKNEFDETTDEVKKLFENEVSEMKKELETETTSLRKDLKLVEDNLKMEMEKNGQTVWMLNLLTIAMILVLGCMLFNKLA >RHN46093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28429014:28429916:1 gene:gene40533 transcript:rna40533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP cyclohydrolase I MYNIHFPDIESVFLDSNHQGLVKILISAGSGVFENKRADFFSLLKFRGISVEKINYRGLTDSNWCPSQSAKVSSEIELVNPAMVTAVASIVKFLGEDH >RHN65565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3280940:3282052:-1 gene:gene13415 transcript:rna13415 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFVIVVCSVIIFLSSFLVAENSQPCNLSVTDTRDICPPGTTLQFVYKVCRCYPMKWRLDHVLT >RHN68694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37878852:37880552:-1 gene:gene17078 transcript:rna17078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase MFIVKNMILSYTKIMIIILFFLVCVGLSNGANQKIPFQGREGQWQLLLNNTGVVGMHMALTYKNTVIMFDQTGAGQSRYKLRKRFNNGTRCTTNQHDLTDSTCYAHSVEYDISANRIRPLRLDTDPWCSSGSFLSNGTLLQTGGYHQGAKRVRFYRPCGNNHQCDWIQSRKSLSDERWYASSQILPEHDRVVLVGGRGVFTYEFVPKHSPNEKAFDLPFLHQTNDRNSEGNNLYPFTHLSSDGNLFIFANRDSILLNLRRNKVIKTFPRIPGNGSRNYPSSGSSVLLPLDHKDKFQKVEVMVCGGSATGALRAARSQRFVEGLRSCGRMVITGNKHSWEMDYMPKPRFLHDMLILPTGNILIINGAKHGCAGYDNARNASREPYLYSPNKRLGKRFSVLKRTMIARMYHSSATLLPDGRVLVAGGNPHGRYTFNNVAYPTELRLQAFVPHYMEKRYHAWRPSNLTIENHAIGYGKEFRVRFLLGRRPSDEVKFSVYSPPFTTHSFSMNQRMLNLRCKRMVRSSEGWVNAVLEAPPSSVVAPSGYYLFTVVNGGIPSMSQWIQFAHA >RHN52701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37857183:37863286:-1 gene:gene37399 transcript:rna37399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MLIVYICGFSKHSNWKRKVARAPRQQMREMMIGICRKDITEEVKKQLWLAVPMVFASVFQYSLQMISLMFIGHLDDEVLLAGASLANSFMNVIGISVLIGFACALETFCGQSFGAQKYHMVGIHLQRAILINMLLTIPQSIIMLNLKPILIFLHQDPKIATEAELYGRYLIPNILASGILKCIVKFLQTQNIVFPLLVASGITSLLHCLNCWIWIVKLRHGIKGAAIATCMSNWTYTVLLVFYIKFSSSCKSTWTGFSRESLHNIPQFLRIAFPSAIMVCLESWMYEIMVLLSGTLPNPKLQTSVLAICMNIASVVWMLSSGFTGAASIRVSNELGAGNPRAAHLAVCVVVVLNITEAFFVGTVMILLRNIWGYAYTKDIEVVKQIAIMLPILAVSYFLDSLQSVLGGIARGSGLQKAGAVVNLGSCYLVGIPAAIIFAFVLHIGVKGLWLGILCAVIAQAFSLMLITLRLDWEKEANKARDRVYKSITPESLVT >RHN65522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2874827:2876138:-1 gene:gene13369 transcript:rna13369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MSSKKKPKRKNNNSDDEDDDVFFYRFCASSSTPNTTTTTTNNNNQIQSSKPNNKAPSSTGETLAPSKSTIYVSNLDYSLTNSDLHTLFSTFGRIARVTVLKDRHTRLSRGVAFIQFVSRHDAQIAVTEMNKKILNGRTLSASIASDNGRAPEFIKKRVYNTETGLCFECGGSGHLSYECPKNQLGARARPQPKKPRRGFGGSGWSKEGDEEEEENDRIGAERFEEDNWASVVDDGADERLLGRNGNEGEGLDDKKRRKKKGKRAGYFSDESDHEDDD >RHN40584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17857997:17859766:-1 gene:gene46749 transcript:rna46749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-RSK-2 family MQMSDNSLSEEAPKTDQSCANDHTENDDTKQENNQEAIDGFTNQNNAKKPTSSPRPQKLESLMRNFSEFSTTPRPSPRMISRSGPNSRSGSLESTSAPIFKPHTGDDVRWDAINMVSRGSSSNLNFGHFRLVKRVGYGDIGSVYLVELKGTGTFYAMKLMDKASLASRNKLLRAHTEREILGLLDHPFLPTLYSYFENEKYYCLVMEFCSCGSLHNLRMKQPNKHFTENAARFYCSEILLAMEYLHMLGIVYRDLKPENVLVRDNGHIMLSDFDLSLRCSVSPTLVKSSSAHITTTTTTTSIAILDDEHAVQGCMQPSNFFFPRILPYKRNRKSKSDFGLMVGGRLPELMAEPTNVRSMSFVGTHEYLAPEIVRGEGHGSAVDWWTFGIFLYELLYGTTPFKGNGNRATLFNVVGQPLRFPDHPSASPVARDLIRGLLVKEPHKRIAYKRGATEIKQHPFFEGVNWALIRSSTPPIIPETFDFSQYVSKETVVAAADNKKIVDIVSDKNSKMQHDSSYEDFEYF >RHN71566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1054585:1055073:-1 gene:gene7179 transcript:rna7179 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHRPVTTHLHRRHRRLQPLQPTSSFFLSVKHSVSSQNKMKAQPREGNGQMAPDPSIREPRRGMRVKITNRSSPQTLVNRPLIDNDRCGIFWMKIKSLYLSELSVFFLVYLYLHSSIGSAKFMY >RHN64776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61726978:61728859:1 gene:gene27633 transcript:rna27633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRAPCCDKANVKKGPWSPEEDATLKSYIETNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFTEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKRKQSNINNCLMNQKDTNGIDENSYSNSLSSSALERLQLHMQLQSLQNPLSFYNNNPAALVWPKLHPSQEKMIQISLQNSNNNPMMQNAFSSPQVDLLENIIPLENNNNNSVTFNASGNSSNNNNSIMHSSVAPRGEAVEKSTNNEGIQELESELDEILNNRNIITMEDEYRVAEFDCFRDMNNNGSKDQNLIWWSNDSGDTKSGSSNSWDSTTNLMQEGMFQDYELGYGL >RHN64882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62666140:62671616:1 gene:gene27751 transcript:rna27751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal recognition particle, SRP54 subunit, Longin-like domain-containing protein MLEQLLIFTRGGLILWSCNEIGNALKGSPIDTLIRSCLLEERSGASSYNYDAPGAAYSLKWTFHNDLGLVFVAVYQRILHLLYVDDLLAAVKREFSQVYDPTRTVYRDFDEIFKQLKIEAEARAEDLKKSNPVIVGGNRKQQVTWKGDGSDGKKNGSAGGGLKNDGDGKNGKKNSENDRSAIVNNGNGYNLRSNGVVGNVSVNGKENDSVNNGAFDVNRLQKKVRNKGGNGKKTDAVVTKAEPKKVVKKNRVWDEKPVETKLDFTDHVDIDGDADKDRKVDYLAKEQGESMMDKDEIFSSDSEDEEDDDDDNAGKKSKPDAKKKGWFSSMFQSIAGKANLEKSDLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLASFTRISSTVQAAMEDALVRILTPRRSIDILRDVHAAKEQRKPYVVVFVGVNGVGKSTNLAKVAYWLQQHNVNVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEASRNGSDVVLVDTAGRMQDNEPLMRALSKLIYLNNPDLVLFVGEALVGNDAVDQLSKFNQKLADLSTSPTPRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >RHN49163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52925444:52930917:-1 gene:gene43964 transcript:rna43964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MSFGGVLWISMTICIFFSSVSLALSVSSGCSSKPAVVFVFGDSNSDTGGLVSGLGFPVNLPNGRTFFHRSTGRLSDGRLVIDFLCQSLNTRFLTPYLDSMSGSTFTNGANFAVVGSSTLPKYLPFSLNIQVMQFQHFKARSLQLATSGAKNMINDQGFRDALYLIDIGQNDLADSFTKNLSYVQVIKRIPTVITEIENAVKSLYNEGGRKFWVHNTGPFGCLPKLIALSQKKDLDSFGCLSSYNSAARLFNEALYHSSQKLRTELKDATLVYVDIYAIKNDLITNATKYGFTNPLMVCCGFGGPPYNFDARVTCGQPGYQVCDEGSRYVSWDGIHYTEAANTWIASKILSTAYSTPRIPFGFFCH >RHN50932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11963694:11964107:1 gene:gene35288 transcript:rna35288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GTE1/GTE6 MISLILHPKKLSLICNMLLFLQLEQKGHETDSFFSSTNKKQTDTSKNNSTLKDKDKEKHVPSIKKLQQDASRREAAAQKRMQDLIRQFGPILRRVAIFHLLFMFVGVCCGRGKYDICVHLYQSFNCLFFWICFFCLF >RHN61521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36130144:36132602:1 gene:gene23994 transcript:rna23994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endo-1,4-beta-xylanase MITLRTLNHIRYITLHGIIFPLTLCVTCFQPQCLTIPQKPQYNGGIIQNPEINDGLQGWTSFGESKIQHRESLGNKYVVAHSRNQPYDSVSQKIHLQKGMYYTLSAWLQVSNGNVPVTAVVKTNEGFKFCGVIFAESNCWSMLKGGFIADTSGEAELYFESNNTYVEIWIDSVSLQPFTEQQWKSHQDQSIEKERKRKVLVQALDEQGHPLPNASIYITLNKPKFPFGSAINSNIINNRAYQDWFSSRFTVTTFENEMKWYTNEYAPGKDNYFQADQMLQFAKSHNITVRGHNIFNDDPKYQPKWVYSLSPNQLNLAVQKRMNSVVGRYKGQVIGWDVVNENLHFSFFEGKLGQDFSAKAFNKVHNIDPQTTLFINEFNTIEDSRDGLSSPSNYIQKIKQIQSVNRQLPLGIGLESHFSSLPLNFPYMRASIDTLTASRLPIWITELDVASQPNQVQYLEQALREAHSHPGVQGIVMWTAWTPQGCYKMCLTDNNFKNLPTGDVVDKLISEWGKAQVLGTTDQNGLLELSLFHGDYKIQINHPIKKNYSIIHHMQVLPKEDYSNKTTQLIQLLV >RHN66255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10096318:10099158:-1 gene:gene14202 transcript:rna14202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MAQGSSDGEEDPIPNDIFLTCQSCTAETTTGIQLPTLPFDVLPEILCRLPMKLLGQLRCLCKSFNSLISDPKFAKKHLQLSTKRHHLMLTCLSITSEWLLYESPISSILSTSTVFTQTQLYPPNSIRIRRNYVDLTCSCDGIFCGELNLLGCYFLWNPSIRKFKLLPPSGNSCEGHPFFISFGYDHFIDNYKLISVSTKNEVSVYTLGTDYWTRIEDIPNNYHIHHSGTFVSGTVNWFAMDDSSMHFILSLDLVKESYQHLLLPNSKIDWSMLGLVRGCLCVFASSDMYMDVWIMKEYGDQESWTKLYIVPNIQDQGVKAYKALYISDDDQLLVEFKKLQSNSIKLVVYDSKTGTLNIPEFQNNYKQVASNVYIESLISP >RHN72175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5528251:5528563:1 gene:gene7858 transcript:rna7858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MMNGIIPESIGQLTNLNSLNLLDNYWEGTMTNTHFNNLTNLISLSISSKLNSFALKVTNDWVPPFKNLFHVDIRGCQVGPT >RHN62563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44368645:44371090:1 gene:gene25155 transcript:rna25155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MATNLPEHFKCPISLEIMSDPVILSSGHTFDRPSIQRWLDEGHRTCPITKLPLPDSPILIPNHALRSLISSYTLLPPLHQIISQPETLISTLILNSSSSDSKIDSLRQLARLSKRDASFRRRLVDSEGAIDRVVNFLVGAATSDCRALAATIITSLAVVEVNKATIGAFPGAIEALVMILRDGKGREKKEAATALYALCCFRDNRKRAVDCGAVPILLRNVESGLERGVEVIGVLAKCKEGREQLESYGGCAKILVSVLRNGSSRGIQYALLALTLVCLHSKEILMMTLQEGVLEICIGMVEDNSEKVRRNASNLIRVLRGGNHHRIS >RHN82722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55894054:55898209:-1 gene:gene6904 transcript:rna6904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione dehydrogenase (ascorbate) MALEVAVKAAVGAPTILGDCPFSQRVLLTLEEKKIPHNIHLINLTDKPQWFLEVNPEGKVPVVKFDGKWVPDSDVIVGILEDKYPEPSLVSPAQFSSVGSNIFASFSSFLKSKDSNDGTEQALLAELNALDEHLKANGPFVAGEKVTAVDLSLAPKLYHLVVALRHFKSWTIPESLAKVHNYIKLLFSRESFEKTKAAEEYIIAGWAPKVNA >RHN67519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27901763:27902161:-1 gene:gene15715 transcript:rna15715 gene_biotype:protein_coding transcript_biotype:protein_coding MKMHKAYTILMTLELASIGIKYQGLNTNPFQQSSSLMLLFLTALFCHVVATIADMSSPTTMIIFHFSGLVGCETLLWILLPEFWKCCIINLFLLVVTSFCFFNSIHNITTFYLTTHSSATKPPSLEPQEAQA >RHN42512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38955234:38958431:-1 gene:gene48960 transcript:rna48960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MSERMQDMEDDGSVKEKSFSNGDVYIGKFKGELLHGMGKYTWTNGTIYEGDWVDGKVTGKGIMNWGNGAIFDGCWSNGLSHGYGVYRSANGNVYTGNWEKGERHGKGIMKWANDDVFDGYWSNGHKHGYGVYRFANGDVYAGNWEKGEKDGKGIMNWGNGAIFDGYWSNGLSHGYGVYRSANGNVYTGNWEKGERDGKGIMKWANGDVFDGYWSNGQKHGYGVYRFANGDVYTGNWKNNKMDGRGIMNWSNGTMYEGDWVDGQMIGNGLMIPGFLCTFLKTIRPIII >RHN44467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5100026:5100313:1 gene:gene38590 transcript:rna38590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVALFKKCYNEGVIVEEMVDPFIKDSITSECLKFYCQMVLSCLHGDGNQRMSMSDVVGTLELALKLVMSGENSKFDATQEREELKHRLNKELQLS >RHN51499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18988664:18989685:1 gene:gene35944 transcript:rna35944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MKFSCNGCRVLRKGCSDDCIIKPCLEWISCPESQGNATLFLAKFYGRTGLLNLLTNATNQNQNPPAVFKSLLYEASGRLVNPTYGALGLLWTGNWSRCEAAVEAVLTGSNINDNFSTIDGKTSSGTLNAENHVLPKTYDIRHVAKDTNVDIKGKTQFKRVGQVLKPKPRVGSIDSATMLKSLLKNTNMEIGETSSRVQTEKINEAAENQVNLELTLGFDSQSTKGKKILDK >RHN52356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34239549:34243335:1 gene:gene37021 transcript:rna37021 gene_biotype:protein_coding transcript_biotype:protein_coding MECDSLSSSSRRRLLSQKLHEAGCTDIRFPTRTEEIPDWFEHQSRGHGTISFWFRKKIPSITSILLCPGTGQISEVDLFVNGDECYDSNYLWCGSNFMASPDSEHAFLFDLKLEEQIDLQYEVDLAEWTHVELKLTIEDDYSDTEENDISEDEKINILRSAPMGIHVLKEKSNTEEDVIFTNPYNRKRKLDEYLNASLSQFESPLKKQRLVGVGVLEIEIFQQQHLEALLSGMRNMVLTETK >RHN45001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10621592:10624166:1 gene:gene39194 transcript:rna39194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MSNMGEFITFFLSFQPLTFIFFLLFYITLIEFRRVKKLVGTGPTTYPVIGCLISFYKNRFRLLDWYTKLLAQSPTNTILVQRLGARRTIVTANQHNVEYVLKTNFKNFPKGKPFREILSDFLGKGIFNVDDDLWMIQRKLASHEFSLRSINEFIMHTLEEEVKGKLIPLMDSLSIENKVVDFQELLGRFSFNVVCKFTLGIDDDDDNRCCLDPCFPISPLAKAFDVAAEISARRGAAPLFLVWRVKKWLGVGSERRLRDAVKEVKILVMEMILERKKMMNVKGEELVGGQDLLSRLISSGHEEEVIRDMVISFIMAGRDTTSSALTWFFWLLSRYSDIEHKILKESENKLNNETLDYESLKNMNFLKACLCESMRLYPPIAWDSKHATCDDVLPDGTLVKCGDRVTYFPYGMGRMENLWGKDWFEFRPDRWFVELNNEIILSEVCPYKFPIFQAGPRVCLGKEMAFIQMKYVVASILRRFRIKIVSDKKPIFVPLLTAHMAGGLKVLVSKRMQL >RHN62221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41830873:41835433:-1 gene:gene24775 transcript:rna24775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MRGVEGCAVRGGNIYWGRKHDTDFKGIVVIFAWVSVPQNLLREFVDLYSSLGWNSLVCYAHYLSAFNEESAVPLAFYVFDELIEVLRTRPCPVVFASFSAGSKACLYKLFQLSEGRCTAPLNLHDCQLFRNCVSGHIYDSGPLDVTSDFGFRFSLHPSIAKVPGPSKLVSWVAKSVASGLDALYLTRFESQSADHWQALYSSVNFGAPFLILCSENDELVRYQSIYDFAQRLRNLNADVNLVNLRSSSHVGHYEHHPIQYRAAVSHLLEKAVSTYSRKVILEQERTGIDGMHDEISELICDLQKVAINSNESFRRVAVGPSDHFFLPSSAGHNNNDRESVIPRDEQKEEPVCAPSFPSISAHSVLGQFLFDVCVPKNVEGWDDVKFCGNRNGRSRVSPFRGIKRIGRSRL >RHN80684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40066217:40069917:1 gene:gene4640 transcript:rna4640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase WNK-NRBP family MNGVTHLEEDDSEFVEVDPTGRYGRYNEILGKGASKTVYRAFDEYQGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHKNIMKFYTSWVDTANRNINFVTEMFTSGTLRQYRLKHKRVNIRAVKHWCIQILRGLLYLHSHDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEESYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVISGKKPDALYKVKDPEVRQFVDKCLATVSLRLSAKELLDDPFLQIDDYEYDLRPVDSGELGDFGPLIRQPLYDLHRSYSNFSNEYSNGFGYEGDCYYHPVDNEPCGIELFEHHDDEEPSEHVDISIKGKKKDDGSIFLRLRISDKEGRVRNIYFPFDIEMDTAISVATEMVAELDITDQDVTSIADMIDGEIASLVPEWQSGPGIVETPRFANQGFCRNCVSNHTSSGSLMDFLSHNQGSLQLPECCKHGCASTHGRFEEITFQSEEYDNHVRENMNIANIADGLQYLGLWNQHESRELSPVESDDQSHSDEQNEQLDKSISAEGQGHNICESKFPPNAIISPRYSSGTRDFSNIRSLYCGLDGDGYEKEIQKELRWLKARYLMELRGLKDQQLGITDKSSRGGNGEHKIDYAIMLPSLTETFKGADSVIRLQSVINNWSSDLSSRPCVHKSSPDSDAKMAENCEAMGSPGEGMMVTAKSFYSGSLFPHSLHRTVSLPVDAVDI >RHN47215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37981130:37982106:-1 gene:gene41781 transcript:rna41781 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFCQSLNFIFKGFDIGPFPIYSRMKFLHCNNHICRQLSLVNRSFPSHSNEVKLDCASSKLVGIVPERLLHDRFSICNCFNSPSTDGIVPLNKFPLRSRDSRGCDRTKSEGIFPLILFLLKFKFRNKGNFTTSFGIQVPLEAFLLSGLKKGGA >RHN61273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34359286:34360337:-1 gene:gene23714 transcript:rna23714 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKGAGTSFFLISSFLPLSKTLLVREGLQVQPSSPVSHAISGVVAAGQQAAPPRRRNKTPPFAIFFTFLKILFLALCESELRFLKTSPKQSRSELRFLPQIESVAVLLPCLNPVRSLLAPVWFGLASLLESGSAWILLAFVIVFESFEFIHDLDLFVTVCYRSVCYGSVAAL >RHN60467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27129150:27129505:1 gene:gene22780 transcript:rna22780 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKSGRGDIIDEEDDEVDGERGKHYMLSCYTRVVEETQLMRKMMKWMENEGNITWWMFFIMLCFLKDYSSTLNDSSSYFVQIFQSF >RHN66007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7516479:7532794:1 gene:gene13916 transcript:rna13916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium/calmodulin-dependent protein kinase chromatin remodeling SNF2 family MELTEEQRKQIEANRLAAIAKRKAFLESNPHQQQQQQRNPWNLFKCQKISQPKPQPDFKFLARLEICSHDSFTITPLPIPYFAFPSFDVCFGILNNILAQMNVDPSHFTQTSAGGKACVFKLADYCSILKLLKAEIKVEEIPWSTFNVVEKLSHLEGRWTPVRPEHLNDDEVDGLIGKLPKSLLDVLLPFQMDGLKFALRRGGRCLIADEMGLGKTLQAIAIAGCFIDEGSILVVCPAVLRYSWAEEIERWLPFCLPADIHLVFGHQDNPVNLTRCPRIVVISYTMLHRLRKSMLERKWALLIVDESHHVRPTKKTSEPGEIKAVLDVASEVKRIVLLSGTPSLSRPFDIFHQINMLWPGLLGTTKYEFAKTYCDLKYIKGVQGKYFADYSKGIRLEELNVLLKQTVMIRRLKEHVLQQLPPKRRQIIRLLLKRSDIVAAKTAVGVLKIDSSENASEDMPLDSLDEHDVGVLKIDTSENASEDMPLDSLDEHDVGVLKIDASENASEDIPLDSLDEHDGKLTYQELGIAKLSGFREWLSFHPLIAGSENASKMIIFAHHHKVLDGVQEFICEKGVGFVRIDGHTLPRDRQSAVTSFRSSPEIKIAIIGVLAAGFGLDFSAAQDVVFLELPQSPTVMLQAEDRAHRRGQTNAVNIYIFYAKDTWDESHWKYLNTSLHRVSSTTDGKYDAVKGLEVERVSFLNPSSNIDRSEEQSASEDASIETQLDRQPSAVKSNETEANQDDEFGLVNNISQSANIMVDSASCIDLGQASVLDGNLDADVFYAGDRCSEESLENNDNIIEDKKSTSTTDADDNQPTHPVEAEEHCSHQVNSLRFEVSPYTGRIHLYTCILGKDARPQPLYENFRPEDLELLSPADADADEKRCIESASVKDNPAYRNALLDFSNEWKNLRPIERNKLLGKPLQLPLAVELCYLSESTNHNNKGLINGGSKRRMTPLVDISYPLPSDAVWKKVYLRSGRGKKEKEYTQGWSLKDEPLCKLCQKQCMGNNAKTPEYFEDLFCNLVCHQEYRMRTSNRFLRQELFQIEQGVCTNCQLDCHKLVVNTRPLSLERRRGYIEKVAPNIAKRKKMFEKLIKDPIEGNAWHADHIVPVYKGGGECKLENMRTLCVACHHDVTAVQCAERRIIRANARKQLKVLMDTMKNNTKGAAGNNNNEDLMLHGVQESMHEDDILVPVPGSAYSLANSQESGDAAC >RHN60560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28176251:28178864:1 gene:gene22883 transcript:rna22883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arogenate dehydratase MNSLTTPSSNSLTYLNRVRPSLNRIGSAPTRISVKCGYGFEFSTGSNRADWQSSCAILSSKVNSQRDESPVTGNDHVSAVNGHNNAVTDLQLVPIGNNKSLQPKPLSITDLSPAPMHGSTLRVAYQGVPGAYSEAAAGKAYPNSEAMPCDQFEVAFQSVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEYLTRVISHPQALAQCENTLTKLGLNVAREAVDDTAGAAEFITANNLRDTAAIASARAAELYGLNILADGIQDDPNNVTRFVMLAREPIIPRTDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRGRPIRVVDDESEGTAKHFEYMFYIDFEASMAEVRAQNALAEVQEFTSFLRVLGSYPMDMTPWSPSSTFS >RHN42431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38303930:38304475:1 gene:gene48872 transcript:rna48872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRRKIEIEMVKDPNTRQVTFSKRRTGLFKKANELSILCGAEVAIVVFSPGSRPYSFGHPGVDVVAAKYLQQETEPSDSQENPSSGAPDIEKLNLELGEVLAQIREGEKQVETHDEIMNQNDVTKLSNLKELRDSYKELQDWIKLRLSDIEISGYMMLLAQDPVVGIKAKSAKRKRRRRRN >RHN66129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8858572:8859104:-1 gene:gene14049 transcript:rna14049 gene_biotype:protein_coding transcript_biotype:protein_coding MALISSFFNCFGPSSNSSSQVSDNAENSCQEKSKRKEKSKGAPIIVSYFPVNYYPSRL >RHN55398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17930362:17932333:1 gene:gene30571 transcript:rna30571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MEFKGSFLIKLLLLLHVSILCASQSHDFDFFYFVQQWPGSYCDSQKSSCCYPTTGKPAADFGIHGLWPNYKDGTYPSNCDPSNAFKPSQISDLTSNLQKNWPTLACPSGNGITFWTHEWEKHGTCSESVLSQHDYFETTLNLRQKANLLQALTSAGIQPDGGSYTLSSIKGAIQNAIGYTPYIECNVDSSKNSQLYQVYLCVDTSGSDFIDCPVFPKGKACGSKIEFPSF >RHN45579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23187379:23187711:1 gene:gene39951 transcript:rna39951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase chromatin remodeling SET family MKCSCALKSGGKIPSVKKNEIKFKLQIFKTKAKGWGVRSENAIPSGNFICEYLGEIIEDEEALKKVDNDEYLFNIGNYIHKVYSSWEEDSYMVDSKEMSSYQPLEVSGGK >RHN71561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1037544:1042051:-1 gene:gene7174 transcript:rna7174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSITTSTFSILPLNQFLNNAKNISHLKQIHALFLKHLPQNAPHHFFNRLLFRVLHFSAEKSNLYYAHKLFDTMPNCSNCFIWTSLIRAFLSHHTHFCHCISTFARMHQKGILPSGFTFSLVLNACGRVPAGFEGKQVHARLVQSGFLGNKIVQTALLDMYAKCGHVCDARDVFDGIVDRDVVAWTAMICGYAKAGRMVDARFLFDNMGERNSFTWTTMVAGYANYGDMKAAMELYDVMNGKDEVTWVAMIAGYGKLGNVSEARRIFDEITVPWNPSTCAALLACYAQNGHAREAIEMYEKMRRAKIKVTDVAMVGAISACAQLRDIRMSNSLTYNIEEGFCEKTHIVSNALIHMQSKCGNIDLAWREFNIMRNRDLYTYSAMIAAFAEHGKSQDAIDLFLKMQQEGLKPNQVTFVGVLNACSTSGLIEEGCRFFQIMTEMYGIEPLPEHYTCMVDLLGRAGQLEKAYSLIKENSTSADATTWGSLLAACRVYGNVELGEIAARHLFEIDPTDSGNYVLLANTYASNDKWECAEEVKKLMSKKGMKKPSGYSWIQRETK >RHN68449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35629079:35630235:-1 gene:gene16808 transcript:rna16808 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIPPIINWVKRSSKLQMLWTIKYTTMKKYTYISSKEIYEETDILHSR >RHN53717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3558452:3561082:1 gene:gene28655 transcript:rna28655 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNFGLATTNLNATSGFRTRTKSWSRGKSTIVCIGGGPIGPLDWDPEGVLGRPNTGHLARLEFKRRLEKDSDAREAFERQVREEKERRRALRDSRDVPDTPQDLIEYLLDTEAQEIEFEIARMRPRLNDEFILALKSELGQLRFAVNKTQLTEDRLTELEALEKAIQEGTDAYDKMQAQLIKAKENLTKILTSKDVKATLLELVERNEINRSLLTLLDENISSAHGANQKQAAEYMEKLRGAVLKYITV >RHN45844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26227766:26230922:1 gene:gene40265 transcript:rna40265 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTMFSFALICIVVAAVRGHSPLATLSTSPATTLASLPATPPSNPTSPAPVASPISFPSTSPPTSPTTSPSNVVAPASALVNTPLVPVPISCPSTPVLARSPPSMAHVAAPTTMAVPGGAWEDVGDESTTLKYASIMIMSALCSIITLGIWEHQLARTIVIKCTMLTTDEAHYQVKKGKAKRG >RHN77850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9918392:9918990:1 gene:gene1346 transcript:rna1346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQILIFIYALIIVLSLRLVVSSKTTLPCVSKDDCPLGLPPLSVTCIKKICLYYVEGF >RHN57174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37084501:37088398:-1 gene:gene32679 transcript:rna32679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin-4 MLLKAAVNANASLFNSENTRLVPLLQRGTKLDRISVSATKGSNNNRVLTGVLFEPFEEVKKELDLVPIVPQDSLARHKFHVDSESAINEQINVEYNVSYVYHAMYAYFDRDNVALKGLAKFFKESSEEERGHAEKLMEYQNQRGGKVKLQSIVMPLSEFDHADKGDALHAMELALSLEKLTNEKLLNLHNVASKTGDVNLADFVESEFLGEQVEAIKKISEYVAQLRRVGKGHGVWHFDQMLLNEAAAA >RHN77951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10795105:10797780:1 gene:gene1461 transcript:rna1461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase class IV MKRLSKSIRILSNLAPQLLFKSNNAASLLPLAPNFQVSPPALQMLVNDSVGKPLQPLFGIRGNGAQLAVAGYGRNVAAAKYSDWARIRKTLEKLRPPSVTELLLSYNGDQILEGCVTNFFVVCRKDRGSDDEKAPYDHGKKNSFKVQTTPNSDGVLLGIIRQLVLEYDSFINLIFYYYVFLQPIRNYALSRNF >RHN53085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41916414:41924261:1 gene:gene37838 transcript:rna37838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-I-1 family MANSIGFFLFLVVCFGVAVLVHAQQQTGFISIDCGGPANFEYTDDVTEIKYGTDGAYIQTGLNRNISANYAYPKNPNLPYTFSDLRSFPQGNRNCYRLIAGRNGSLHLIRASFLYGNYDGENKLPEFDLYVGVNLWSTVKFKNASEGVTLEIISMATSEETNVCLVNKGKGIPFISALELRPVDSSIYKTEFGDSASLLLFKRWDIGSFNGSGRYQDDVYDRIWFPLNSSSWKSINTSSKIDVSGDGYKAPFEVISNAATPRNENVSLDFSWISDDPNLKFNVYLYFAEFEKLNKTQLRKFNVSWNGSPLINSTIPKYLQSTTVFNSKSLVANEHRISIRKTEDSTLPPILNAVEIYVVRQHDALPTSEEDAEAIRSVKDSYRVQRNWVGDPCEPKNYTWKGIKCNSSTSLSPRIVSLNLSRSGLSGNIDPAIASLSFLETLDLCNNSLTGSIPQFLEELKSLKYLDLRGNQLSGFVSTTLLERSRNGLLTLRVDDINLSDSNKSDTNKAIVPIVATLSSVFLVLLIIAILYWKLRRRNEPSEDEMHMINKGTVVSKKLQYTYAEVLDITSNLEIVIGRGGFGSVYSGQMKDGNKVAVKMLSASSAQGPKEFQTEAELLMTVHHKNLVSFIGYCDEGDKMALIYEFMANGNLKENLSEKSSHCLSWERRLQIAIDAAEGLDYLHHGCKPPIIHRDVKSANILLNEDLEAKIADFGLSKVFKNSDIQNADSTLIHVDVSGEKSAIMGTMGYLDPEYYKLQTLNEKSDIYSYGIVLLELITGLPAVIKGKPSKHILEFVRPRLNKDDLSKVIDPRLEGKFDVSSGWKVLGLAIACTASTSIQRPTMSVVLAELKQCVRMESHSDREIFVPPRNIYSEFYSSSDAFNSVDSESMTYPVAR >RHN53061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41626663:41628468:-1 gene:gene37811 transcript:rna37811 gene_biotype:protein_coding transcript_biotype:protein_coding MPWGRYDCSHRLGLYHPQPPKHKFCMRKTKATDFELASLEIILDQVNADLALPNTPSDLELWKNLKKDVLDSIAEREAEILAEREAEILAAREAEILAEREAEILAEREAEILAPKLEFHCRVSAKAPKQTAKTTEVPK >RHN41866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33702033:33705874:1 gene:gene48230 transcript:rna48230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pantetheine-phosphate adenylyltransferase MTVNDDPMVVPPTLSPPNTYEAVVNGGTFDRLHDGHRLFLTASATLAKHRIVIGVCDGPMLAKKQFSELIEPIETRMNNVKTFIKSVKPELEVQAVPITDPYGPSIIDEKLEAVIVSKETLPGGLAVNRKRAERGLSQLKIEVVDLVSGESGEIKLSSSMLRKLEAEKGP >RHN54508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10144719:10146679:-1 gene:gene29568 transcript:rna29568 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPRIPLSEEILSIKPKKKKNSTQKASLLQLQGNIVKGGGQAPLPLKSGHKNSKRHLMTEVSPPFQRPEGSNSDSLPDSSAGGNEYRQLRRKYLQLEDDGFVLGKELKEAEDQKSATCCHWTMNLGLS >RHN51365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16728979:16729889:-1 gene:gene35791 transcript:rna35791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKPTLVTTLCFLLFSFTIYFPLPFTHANKFIVKDIFGNPVVPSGSYYIWPDYLINGGELRLGETENSTCPFTVLQDYSNLGPGLPVKFTPQNQTSSDDPITLMLPIDITFENKPDCAESSKWLVVEEENEYPAPWVTIDGTNKNVYDGWFQIVGFKKTGYLIFFCHKLLSPTRGVCIYLSRRNDENGMRLVYEMDGDALGAVFVNVDDAARARRSSVLKKDHAFTLPMI >RHN45768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25424449:25425207:-1 gene:gene40164 transcript:rna40164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller MNSHQVESRAGVLYNDLIEEILSFLDVKSLTRFKCVSKSWKTLISDPTFIKLHLKRSARNTHLTLIYDDVKMVCFPLHRLIQNTSITLADNPYFHQPCFLDSPFFQEPDFPLYRRLEVVGSCNGLLCLYGYVTNSNYEEIFLYLWNPATKTLSNKIVFLHDEFHLRKCGRDKMINTRSLYTLWRFWFGYDDSINDYKIVAYYEKINEVRVFNLGDNVWRHIQLTSLNKFFVTLCDDLLIVDWISLSPRCSRC >RHN65624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3887797:3888192:1 gene:gene13487 transcript:rna13487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MGQSIGSVGSSMRRRTIVECRCGEETVIHTITDNTNPNCGRRFRGCKNYKNQFDKGCSFFKLLDEDVTDYRDLLISKLEKKNVKLKTGLEKTRTWLKMSLIFGLGCFGVCLVFGTILACKCSGSWNQLYLK >RHN61603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36737149:36755598:-1 gene:gene24084 transcript:rna24084 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTSVDASLWWDSFTLLFTELEDSSLSSDLPLNLAKKLKDNHAWFVDTLSCFKPPNQKSKDALNSKNVKIGSHQMNIEPQLKDKALQISSCLLLDEVQSYILVERYIEDNNAAIDSKAPDFIQIILIEYYKERQCLLKCIRWILMYAIYIGPVSENNSVKEEAKKLFHDGLESKLVSSLEGLLSCSYPEQMDVDLFTLWAEETLIEDNLVLDILFLAYDGPFCTCGSEIWKKFGSIYKGILAGEYNLGKLAITSESHQLSYHVKVQLLLILIETLNLENLLQMVHDETPYRRGASTFSFTDVQEMDALVSTFSTFEMNEAGPLILAWAVFLYLLSTLPGKNGNNELIDIDHIGYVRQAFEAGSLHYCLKILQCDILKDYDGPVSGYRSVLRTFISAFIASYEINLQPEDNNSTLILDIICKIYRGEESLCVQFWDKGSVIDGPIRSLLFNLESEFPVRTVELVRLLSSLSEGTWPAECVYTFLDRSVGISSLLEISSDLLADDVYHILEAPHAVQVPGIEGLFAPSGTRGRVLKVVGEKTALVRWEYSPSGVFVLLLHLAQDMYLNNKEEVFFTLDLLSRLASFNTAVCFAMTDLSNSMQFHAIGLPNERVEKNVWVVEMICNLVKNPPLNSYGAALMSMGLKILGIMLICSPSNVTAVTLNANLFDITLQTTVFSVSSNGLSSGSWMLSGRLARMLLIDCEQNSNDYPLAISVLEFTIQLVETGVENDVLLALIIFSFQYVLVNHENWKYRIKHIRWKITLKVLELMKKCIISMPYCGKLGEIIHNVLFSDSSIHNMLFHIACTTAHALEKLHASRFFDPMEIEGLQLAIGSVFDILSEMTTKLSKDTSSSIPVFLQAVFSCTTKPVSVVTSAISLISYFQDPVIQLGAVRFISTLFTTTDCVQSFSCETTYFAPDNQEIINLRHSMSYILKEKSELNEDLLVATVNLLTSAAHYQPSFIVAILAPGENNEDRSCISDANLQRKETSVVPPVSKGSVLVDALINYIERADDLIKSKPRILLCVLNLMTALWQGATQYANLLESLRSRVNFWKHLANAITNTASSETPLLESLKEKDALNLAYSFRCQSAILGIMAYELFLQKKLLHAESLVKNSAESKDKEQNATRTEKSKSADLHDLKGVWSSWFKDSVLEKLIKLYTSCGHKSDVYDSAKVATSLFCVHVMLKLAVNDSGSLSVSLLQKIRGIFTKLTIHPAFSELLSQYSQRGYSEGKELKKLILNDLYYHLQGELEGRKMGIGPFKELSQYLVESSFLASYQHQFNEDFFAKNMYLFDLKQLRADLNLGAWDCSDWRTSKDIAETMLRFVQDANAVMLLSSSKLSALKELIAVLAVYHDDSKGRATTGERIPNELIFTCIDNICQSFLDTIVRLSPVLDASEDMLNILACQVELLLLFTRTVSNGLSIDTSLLVMKCASSGLKLLSEFKLLPSKANLIMKLLLTLLLLVLQSNSLNLHFNAAADEGSGNDFSRVSNATLGLLPILCNCIATSELCMLTLSVMDLILGSFLMPRTWLPILQNHLHMQFVMLKLQDKNSSSIPIIMKLFLTIARTRGGAEMLYCSGFLSSLRVLFAQSGEAFSRIGSPNLNSACEKLEIPQDIWGLGLAVVTAMVQSLGDSSSGTAIVESMMPYFFSEKAHLIFNSLDAPDFPSEDHDKKRPRAQRPWVSFANLKETEHTLTLMCELAKHWNSWIKAIKNVDTQLREKCIHLLAFISRGTQRLGDSSIRNPPLLCPPTLKEDFEIWSKPSCINSRNGWFALSPPGCVPKPKISSFSTALSIYGQADETTGPVSKTCFSDTVAVQVYRITFLLLKFLCLQAEGAAKRAEEVGFIDLAHFPELPMPEILHGLQDQAIAIIAELCQANKLTDSLEIKNVCNLLSQILEMALQLELCVLQICGIRPVLGRVEDFSKEAKSLFSALEGHAFLKASSNSLKQMISYIYPGLL >RHN44364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3871459:3873963:-1 gene:gene38475 transcript:rna38475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MVSYLQILLALPLFVFFLFLKYKTNIKNSSSTFPKGPKGLPIIGNLHQLDTSNLHLQFWNLSKIYGPLFSLQIGFKKAIVVCSPKLAQEILKDHDHDVSSRPPSYGTQILSYNGMDMIFSPYNDHWREIRKICIVHFFSSKKISSFSHVRKSEVKQMIEKISNHVHSSEISNLSEILMSVLSSIVCRIAFGKSYEHEGGEKSRFHNLLHETEAIFLSFFVSDHIPFMGWIDKLTGANARVDKTFKALDEFLEQVLQEHLNPNNRKKDEEEKDIVDVLLELKNQGRLSIDLTNDHIKSVLMNLLVAATDTTSATSVWAMTGLMKNPRAMKKAQEEIRNICGKKEFIDEDDIQKLVYLKAVIKETLRFYAPAPLAPRETSKSFILNGHKIEPKTSVFVNIWAIHRDPEAWKDPDEFYPERFLNNDIDFKGRDFELIPFGAGRRICPGMPLGIATVEMIIANLLNSFDWETPEGMTKEDIDTEGLPGLSRHKKNHLCLVAKNHM >RHN40894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23864780:23865331:1 gene:gene47138 transcript:rna47138 gene_biotype:protein_coding transcript_biotype:protein_coding MSFASSKQEHALSFSYTHIIIIRKYTKTYYLRKQTAYCRSIKASSIFRATQDKWLYIQSEIQHLQNKRRHKIKQQRRKIETLFKDLSICISCLLILGLVLPLRGYANKFASDTPNILVDTISPNKPITGLTKLVIAAPPR >RHN39251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5287563:5289859:1 gene:gene45256 transcript:rna45256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MVSVTWQVLIAAVPATVASIFVQRYYQATARELIRINGTTKAPIMNFAAETSHGVVTIRAFNMVDRFYKNYLKLVDSDASLFFHSNVAMEWVVLRIEAIQNITVITAALLLILLPCGYVSPGLVGLSLSYAFTLTGAQIFWTRWFSNLSNYIISVERINQFIHIPAKPPSIVDNNRPPSSWPSKGKIDLEGLEIRYRPNSPLVLKGITCTSKEGSRAGVVGRTGSGKSTLISALFRLVEPSRGDILIDGINICSIGLRDLRTKLSIIPQEPTLFKGSIKTNLDPLGLHSDDEIWKTVEKCQLKETISKLSSLLDSSVSDEGGNWSLRQRQLFCLGRVLLKRNKILVLDEATASIDSSTDAILQRVIRQEFAECTVITVAHRVPTVIDSDMVMVLSYGKLVEYDEPSKLMNTNSSFSKLVAEYWSSCRKSSCRQQQ >RHN61051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32523288:32531502:1 gene:gene23463 transcript:rna23463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP-binding protein EngA MAYLSHLHFPTPISYYTTPKTPNTIFSFHNILPFPTSILRSLSQPKSISLPRRSLSRSATDNDDELPEELENQIDDYSDDDDVDVIALEREAKEVALEYSSSLSRVLTIEDERSDVKETGKNSKRSKPKRKIIPDNLLPRIAIVGRPNVGKSALFNRLVGGNKAIVVDEPGVTRDRLYGRSYWGDNEFMVVDTGGVLTVSKSQTTVMEDLDISTTIGMDGIPLASREAAVARMPSMIERQAIAAVEESPVIIFLVDGQAGLTAADVEIADWLRKNYSNKSIILAVNKCESPRKRIMQVSEFWSLGLEPIPISAISGTGTGDLLDLVCSGLQKVEEPQNLVEEEDYVPAISIVGRPNVGKSSILNALVGEDRTIVSPISGTTRDAIDTEFTGPDGQKFHLIDTAGIRKRTTVASAGSTTEALSVNRAFRAIRRSDVVALVIEAMACITEQDYKIAERIEKEGKGCVIVVNKWDTIPNKNQQTALYYEQDVREKLRLLDWAPVVYSTALAGQNVDKIIVAAIEVEKERSRRLGTSILNQVVREAVTFKPPPRTRGGKRGRVYYCTQAAIRPPTFVFFVNDAKLFPETYRRFMEKQLRSNAGFPGTPIRLLWRSRRKMEKDEGKKATRPKENFASHRRKLISATATATQ >RHN49618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55952633:55955401:1 gene:gene44467 transcript:rna44467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inorganic diphosphatase MKGFFHLCQGDQLLHTLGMILKLDLELPIFSIVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPIDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYKHFTDYKELAPHRIMEIRRFFEDYKKNENKEVAVNDFLPPSTAVEAIQYSMDLYAEYILHTLRR >RHN46041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28026397:28027134:-1 gene:gene40481 transcript:rna40481 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHILKYLFLALLFIPLVLASGLCYHGDCSDPTRCKEFCAYIGSKKANCSDNECCCD >RHN74374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32302525:32303277:-1 gene:gene10429 transcript:rna10429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MSSMIGSMFMSFIIFLNILLSKGKAKPNPYVGGVSMLIINGGYETIWPAIYTRSGPKVVPSGIKLEPEEQYDLKVPDSWSGTIWVRTGCSGNPNSNFHCAIGDCRTNNIHCHYKEPHPPVTQVKFNLVPKGGGSSSYKVDLKDGFSLPVTLTPLESKCKKIMCDMNLLDQCPNWLAVYSDEGRKIACKSPCYTTREPKHCCTEEYASPEKCAPNRYTELLENRCPSTVSNAFDETHFTCFGGTSFLILFG >RHN66832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19819649:19820011:1 gene:gene14934 transcript:rna14934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MAGKKFISLSMLVMILVMLVTKFDARQIDDVSCTSALFSLLPCLPFLQGVGPATPTSYCCAGANDLNQKADSTQSRRDVCNCLKPAASRFGVKSDRSTQLPKLCNITLNVPFDPSVDCNA >RHN58334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:883657:888155:1 gene:gene20237 transcript:rna20237 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CCR2 MPAYDNTSSVSGGDQTVCVTGAGGFIASWLVKLLLERGYTVRGTVRNPEDPKNGHLKELEGARERLTLHKVDLLDLQSIQSVVHGCHGVFHTASPVTDNPDEMLEPAVNGTKNVIIASAEAKVRRVVFTSSIGTVYMDPNTSRDVVVDESYWSDLEHCKNTKNWYCYGKTVAEQSAWDIAKENQVDLVVVNPVVVLGPLLQPTINASTIHILKYLNGAAKTYVNATQSYVHVKDVALAHLLVYETNSASGRYICCETALHRGEVVEILAKYFPEYPLPTKCSDEKNPRVKPYKFSNQKLKDLGLEFTPVKQCLYDTVRSLQEKGHLPIPPMQEDSA >RHN55569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19561559:19563766:-1 gene:gene30770 transcript:rna30770 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVHEYLVFRPFVKLIIILFLFMIGPVSSEVRLLCDLEQTEPSWAVRHVGGAMRGAGADQISVLVRTMVESKVSKNVLRMFYTLGYKLDHELLRVGFSFKFKRGAQITVRVSSVNKMLKLHATDEAVPVTPGIQMVEVTAPASDENYAEVAAAVQSFCEYLAPLLHLSKPGVSTGVVPTAAAAAASLMSDGGGTPL >RHN47611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40995918:40997097:1 gene:gene42231 transcript:rna42231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-reducing end alpha-L-arabinofuranosidase MHEINHAGAGGLWAELVDNRGGSNVSSNINLWTIIEDNSSSIIVSTDRSSCFERNKVALRLDVLCQGQSCPLGGVGISNPEFWGMNIEQGKKYKVVFYVRSLGPINLQVSFIGSDDGVKLASTNISAFGVNVTKWSRMETILEANGTNHNSSLQITTSNRGVVWLDQVSAMPLDTYKV >RHN70825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54311124:54311405:-1 gene:gene19450 transcript:rna19450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFCLPAAIRRASFSSSQASTKATNVPKGYLAVYVGEEMKRFVIHMSYLNQTSFQDLLSRAEDEFGYDHPMGGLTIPCREEVFLHITSRFNGL >RHN44820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8914443:8916221:-1 gene:gene38985 transcript:rna38985 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQLELELEEETYGPLLKYIINMGDVGQFQIFSKLIKDHIHNSKSISRLGYYDMLMWIRVNDEAMIRYACEYIIRGETDELRENYLLALCESDDRKAQISDVFKNTDVAEFTSTDEIFSNMFKLLGRLQLKSEAEKLLLDCYDDYLEEISNFIDIYAFSIPNLEVEHIIKEIENLHGLLKISPTFSLYEKLILYCCGKNKVDVAINIVDKMCEAYFMPSSHVMQSVLETCSETNQNFQTRRDRITNDTIRERFGVTPIVKMMVENRLRWFELVEKRLVDVVVQRVDQMEEGEIRRGRERPRKIIITVRKDLEVNELDPYMVYDRKLWHNLINVTNPT >RHN64091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56490419:56506113:1 gene:gene26872 transcript:rna26872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein ENHANCED DISEASE RESISTANCE 2, START MYKRDPHENPAIKPIRKGIVGPTLMVEELGRRKVNDGDLYVLRFYNRLDEARKGEIACATAGEARGWMEAFDHAKQQAEYELSSGGSARDRLNMEAEINLEGHRPRVRRYAHGLRKLIKIGQGPETLLRQSSKLAGRPDRFEGDSGDTVEAHQWKCVHTMAGIRIFEDVSDHKNGKGVLAKSVGVIDATADTVFEVLLSTERQKRYEWDMLVCDLELVDSYDGHYDVVYGTYDPKYLSRWHPKQDFVFSRQWFRGQDGAYTILQFPAIHKKKPQRSGYRRAKINPSTWEIRNLNAPMGSNSPKCLVTHTLEIHSESWNRWKNKRCSKFESSIPYTLLCLVAGLKEYIAANPALHQENATTIVHSKISDASISSSEYEDEVQDEFYDAIAAESSSSDEESGDDEKHDQKESRVKLKNVSWAIATLALKRTAAPDLSEELDPRVTPITIHPNDIHGSLRKGMDDNDTNCWTSPSGKGFMIRGKNYLKDNSKVVGGDPLLKLIAVDWFTVNKSVDRIALHPRCLVQSEVGKKLPFILVVNLQVPAKPNYSLVLYYAADRPINKKSLLAKFVDGSDVFRDSRFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYFRQDNFLEIDVDIGSSSVARGVIGLVLGYVTSLVVDLAILIEAKEEAELPEYILGTVRLNRLKLESAIPLEV >RHN58236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:172267:173567:-1 gene:gene20124 transcript:rna20124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin 11-oxidase MELQWLWMFAATLLTCYIFVSKVMRNLNGWYYDLKFKNKQYPLPPGDMGWPFIGNLWTFFKYFSSGRGETFINNIVHKFGRIGIYKTHLYGSPSIIVIAPAICKKVLIDEVTFKIGYPKAATELAKSKLLNSENGRFKRLVAAPIIGRNVLEMYLERIEDIVINKLEELSSMKHPVEFLMEMRKASFEFVIHIFLGSCDQSNVKKFGDLFNVMCIALFSLVPINVPGFAYNKALKARMKFVKIVQYIIYERRMTIKNGQNGKNNDLLDIILQIKDESGEKFEDKDIIDLLITFLFGAHETIATTIMWSVMYLTQNPLCLKKAKVNHLQYNIVTFKYFA >RHN41363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29497112:29498884:1 gene:gene47678 transcript:rna47678 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDQESHLLIDGLDLLDDYELEEHIKRKKMLLEKVAEKLPDKGAKLRATIKCYEDEVYHREVNLRPLVQESPLFIDGLDRLNDYELEEHIKRKKMLLEKVAKKLPDKGAKLCATIKCYEDEVYHREINLRPRVQESPLFIDGLDRLKDYELEEHIQRKKTLLEKSGKYLPDRGAKLRSMIKCYEQEFNKRKINPRPQEQKKKKFAQTTTSSAVGDACDDAESNNNRVVFETKEDPATNPVHKASSSNPMQSIPAQIADLRTHIDAQFLNLRKHISMVSSTTVQSIPAQIADLRTHIDDQFLSLRQHVGKASSSNTMQSIPAQIIDLRTHIDDQFLNVRQHISMVSSNTVQSIPAQIADLRIPIDEQFLNLRQHIGTYF >RHN47666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41367452:41378995:-1 gene:gene42289 transcript:rna42289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain, transcription elongation factor Spt6, DNA ligase B MAKGVISDDEDEVEYGMDEREPIDGEELEEDDGRGVVDDDDEEEEEEGQDEYEKDGFIVDDIEEEEEQDDEERAESDEERRKKKKRKKKEEYVLDEDDYELLEDNNINIHRRKENKKFKRLKKGRGTEEGHSRQSDDDEFFGSGKGGRSAKEKELEYTLFDGEEGTHLEDIGEEEEQGEEEEDADIGEEDEMADFIVDEEEVDENGIPLRTRKLKGVRRFKQAPSTALQEAQALFGDVEEYLDARNRSREQTDNMETRLEDEFEPIILSEKYMTEKDDMIRELDIPERMQISEESTGAPDGSSINEETQWIVKQLKHGAVPWIRKKDSSSQNKEQELPINQGDIVRFLELHHGQSLDIPFIAMYRKEECLSLLKDLERPEAGDENWDKNNKTPILKWHKILWALHDLDRKWLLLQKRKSALQLYYNKRFEEESRRVYDETRLNLNRQLFESVMRSLKEAESEREVDDVDSKFNVHFPPGEAGVDEGQYKRPKRKSMYSTFSKAGLWEVASRFGCSSEQLGLCLSLVQLQELEDPKETPEEVASNFTCAMYDTPEEVLKCARHMAAVEISCEPSIKKYVRSHFIDHAVVSTSPTADGNITIDSFHQFSGVKWLREKPLSKFEDAQWLLIQKAEEEKLIQVTIKLPEEYLNKLIDQFNELYISDSVSRSAQLWNEQRKLILHDAFFRFLLPSMEKEARSVLASKAKHWVLMEYGKALWNKVSVGPYQQKENDLSSDDEAAPRVMACSWGPGNPQTTFVMLDSSGEVQDVLYTGSLTLRSQNANDQQRKKNDQERVLKFMTDHQPHVIVLGAANLSCTRLKEDIYEVIYKMVEENPRDVGHEMDGLSIVYGDEALPRLYENSRISSEQLPSQQLGIVRRAVALGRYLQNPLAMVTTLCGPRKEILSWKLSPLESFLNPDDKLGMIEQVLVDVTNQVGLDINLAISHEWLFAPLQFISGLGPRKAASLQRSLVRAGSIFTRKDFLTEHKLGKKVFVNAVGFLRVRRSGLAASSSQFIDLLDDTRIHPESYILAQELARAVYEEDGTADANDDDDALEMAIEHVRDRPSYLKNLEVEEYALANNREDKIETFYDIKRELIQGFQDWRKQYEEPSQDEEFYMISGETEETLAEGKIVQVTVRRVQAQKAICGLESGMTGILMKEDYTDDWRDIIELSDRLHEGDMLTCKIKSIQKNRYQVFLVCKDSEMRSDRLQNNQDLDPYYHEDQSCLPSEQDKTRKEKERAKKHFKQRMIVHPRFQNITADEAMEFLSDKDPGESIFRPSSRGPSYLTLTLKIHEGVYAHKDLVEGGKEHKDITSLLRIGKTLKIGEDTFEDLDEVMDRYVDPLVTHLKTMLNYRKFRTGTKTEVDELLKMEKAECPMRIVYTFGISHEHPGTFILTYIRSTNPHHEYIGLYPKGFRFRKKMFEDIDRLVAYFQRHIDDPQNDSAPSIRSVAAMVPMRSPATGGSSAASVGSGWGGSNGDGGWRGHLNDRDRSSTPGSRTGRPSGVPRPYGGGRGRGRGSYNNRGHNNERQDGASGWGSGWGSAATKDKDDSLSNFPGAKVQNSPGREAFPGGWGGGSGWGGGASTGDKSGWGGGANTGDKSGWGGGNGWGGGASTGAEHGNSGWGSGSKKAADIGWSGN >RHN71155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56824874:56826653:1 gene:gene19805 transcript:rna19805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MAVEASDGSVTFKSSSASKSNQLYSEMRKSTSHSHDELSYKNTSDVSSSNGQTSSSQNGKVVPSAKASAVESSVGNTKASTSNLKTQRNKDLINVNEENNSTKHLKEDRCEDSEEEDSIPLSAIKMKMNNGNVKKATPDVLKKSYEDSDDDDIPLSARFPKNTNLGKYSCNFDDSNKQEMTSMLSVKRPLDKIDSLHSSGKKSKLSDPASSINAKQTTMKDAKAEEEEEDDDIPISRRRNKLVNKSSSLKKLTNVTKVNKGAAPSFKKKSKLKKSGNKSKHVKSTKLQSSSGDGQKKWTTLVHNGVIFPPPYKPHGVKVLYKAKPVTLTPEQEEVATMFAVVDAKYMQNEIFKDNFWNDWRKLLGENHVIQNLKDCDFTPIYDWCQVEKDKKKQMSSEVSCFIYFHLKMIESKLISQSIICFFHKILCASNITSGDLFF >RHN73945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21918963:21927365:-1 gene:gene9845 transcript:rna9845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MTSRSSHISGGSSNGGRAKAGKYELGRTLGEGNFAKVKFARHIETGDHVAIKILDKEKILKHKMIRQIKQEISTMKLIRHPNVIRMHEVIANRSKIFIVMELVTGGELFDKIARSGRLKEDEARKYFQQLICAVDYCHSRGVCHRDLKPENLLLDTNGTLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVIQNKGYDGAIADLWSCGVILFVLMAGYLPFEEDNLVALYKKIFKADFTCPPWFSSSAKKLIKRILDPSPITRIKIAEVIENEWFKKGYKPPVFEQADVSLDDVNSIFNGYMDSDNIVVERQEEGPVAPVTMNAFELISKSQGLNLSSLFEKQMGLVKRETRFTSKCSANEIISKIEKTAGPLGFDVKKNNCKLRIYGEKTGRKGHLSVATEILEVAPSIYMVEMRKSEGDTLEFHKFYKSITTGLKDIVWRADPIAEENDGASTSK >RHN63680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53157807:53162138:1 gene:gene26412 transcript:rna26412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribulose-phosphate 3-epimerase MASTTTSLCSSTLQSQINGLSLHKNSLFHPRSLTFSRRKISTVVKASSRVDKFSKSDIIVSPSILSANFAKLGEQVKAVELAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPDQRVPDFIKAGADIVSIHCEQSSTIHLHRAINQVKSLGAKAGVVLNPGTPLSAIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISDLRRLCVEKGVNPWIEVDGGVTPANAYKVIEAGANALVAGSAVFGAKDYAEAIKGIKASKRPEPVSV >RHN41812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33207283:33207588:-1 gene:gene48174 transcript:rna48174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative D-amino-acid oxidase MKSQDITKPLINTNVFFSFFKEEEVPDDPEEIRGNSESIAMLKRVAKTVSSHLGEGVKVEQACFLPCTDDGVPIIGEIPGVKGCYVATGHSCWGILNGPAT >RHN61728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37856368:37857975:-1 gene:gene24218 transcript:rna24218 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHVESLVSAFMRNFQNSTMNFDNSRGNQFQSYPPQSQVLNNVNIQLHSIIQSQPQHYPMNGSNQHVNGFPSLASFNANNPTLPVNASNQLMNDFPSSQKMALCHQLLNSYCLHQAFFLHFHLLNTLSIHIYQT >RHN46583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32948587:32950050:1 gene:gene41085 transcript:rna41085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase METQTQQLNVTFLPYPTPGHMNPMIDTARLFAKHGVNVTIITTQANALLFKKAIDNDLFSGYSIKTCVIQFPGAQVGLPDGVENIKDATSREMLGKIMLGIANIHDQIEILFRDLQPDCIVSDMLYPWTVESAAKLGIPRLYYYSSSYFSSCAAHFIKKQKPHENLVSDSQKFLIPGLPHNIEITSLQLQEYVRERSEFSDYFDAVHESEGRSYGTLSNSFHELEGDYENLYKSTMGIKAWSVGPVSAWVKKVQNEDLAVESELLNWLNSKPNDSVLYISFGSLTRLPHAQIVEIAHGLENSGHNFIWVVRKKDGEGGEDGFLEDFKQRMKENKKGYIIWNWAPQLLILGHPATGGIVTHCGWNSILESLSVGLPMIAWPMFAEQFYNEKLLVDVLKIGVSVGSKVNKFWSNEGEGEVAVIRREEIAKAVEILMGSEEESIAMRRRVKKLGYAAKKSIDENGTSYNNLMQLIDDLKSLKTSRRLDKQ >RHN71330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58286761:58298350:-1 gene:gene19998 transcript:rna19998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase transcription factor C2H2 family MASSSRARSSSPFSHRKPSTPYSSTSSSSSLTNGRIIPRSSSSTTSSFFNARSTTPNRGRSESTCYGGSLGGYRDRSPVAFGGEELSVDPVETSTSADSISVTIRFRPLSEREYNKGDEIAWYADGDKIVRNEYNPATAYAFDRVFGPHTVSDEVYEVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQNSPGIIPLAIKDVFSMIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDSQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISVLKLELDQVKKGMLVGVSHEEIMTLKQKLEEGQVKMQSRLEEEEDAKAALMSRIQRLTKLILVSSKNTIPGYLTDVPNHQRSHSFGEEEKLDAFRDGMLVENESKKDASAVSSHLFHDGRHKRSSSRWNDEFSQTSSSVTESTQAGELISKAKLASGGVSISDQMDLLVEQVKMLAGDIAFSTSTLKRLIEQSVNDPDGSKSQIENLEREIQEKRKQMRLLEQRLTETGESSMANSSLVEMQQTVSRLTTQCNEKAFELEIKSADNRVLQEQLNDKCSENRELQEKLKQLEQQLTASSSGTSLSSEQCASGEHINELKKKIQSQEIENEKLKLEQVHLSEDNSGLRVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELMTVRDLANSRVAVQMVNGVNRKYSDARSGRKGRISSRANDLSGAGLDDFDSWSLDADDLRLELQARKQREAALESALSEKEFVEEEFRKKAEEAKKREEALENDLANMWVLVAKLKKEGGIVPESNIDKKFDGAENINGQQNNGHECNFVFKDQHLDLSKPHGEIPKEEPLVVRLKARMQEMKEKELKYLGNGDANSHVCKVCFESPTAAILLPCRHFCLCKSCSLACSECPICRTNITDRLFAFTS >RHN73212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14435077:14438132:-1 gene:gene9004 transcript:rna9004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MNMEYRTLELNIISAKDLKNVNLFSKMDVYAVVSISGDPLNPQTATTHRHRDGGTSPTWNFPVKFTINDSLANQNRLSLEVKLISDRTVAGDTLIGKVHIPLKELLDNPSGDSFRQVNYQVRTSSGKAKGNLNLSYKFGEKVQAPAMKTTSKHEPVKGKNEHEPVMAYPPPGMAAAGSSSVPYGTPYPPQPQQPGYGYPPAPPAAAAYGGYPPAQPGGYPPAQPGYGYPPQQGHGYPPQQPGYGYPPQQPGYGYPPQQPGYGYPGAQAQKPKKNKMGMGLGAGLLGGALGGMLIGDMISDASSYDAGYDAGFDDGGFDGGFDF >RHN74010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23472589:23477646:1 gene:gene9935 transcript:rna9935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-exporting ATPase MTTDISFEDLKDENVDLENIPIDEVFQQLKCTKEGLSSEEGEKRLQVFGPNKLEEKSESKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGGKPPDWQDFTGIMVLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQEAEILVPGDVISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTRNPGQEVFSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTSIGNFCICSIAVGMVIEIIVMYPIQNRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFPTDMNKDSVVLYAARASRVENQDAIDASIVNMLSDPKEARAGITEVHFLPFNPVDKRTAITFIDGNGNWHRSSKGAPEQIIELCGLKGETLKRAHKTIDEYAERGLRSLAVGFQTVSEKTKESAGEPWVFLGLLPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQSNDAAIASIPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPVPDSWKLKEIFATGVVLGTYMAIMTAVFFHFIHDTDFFSEIFDVHSIADSEEQLNSALYLQVSIISQALIFVTRSRSWSFVERPGLMLLGAFIAAQLVATAIAVYAHWDFARINGIGWRWAGVIWIYSIITYIPLDILKFLIRMGLTGSAWDNMLQNKTAFTTKKDYGKGEREAQWAVAQRTMHGLDTQDAHKNNHHHELS >RHN52833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39283243:39283461:-1 gene:gene37551 transcript:rna37551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L20 MTRVKRGSIARKRRTEMSLFTSSFRGAHSKLIRTISQQKIKALVSAHRDGDRKKKGFSQFVDQSNKRNNCPK >RHN68377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34964240:34967404:-1 gene:gene16720 transcript:rna16720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nematode resistance protein-like HSPRO1 MHPKILAVHTSFLLISLLFQIEQPISSISFHINILLSSLIHFNSTTQQTQLHFNTTQNKHNNTHSPQFAKMVDLHWKLNMPNSDMSSKSPKLSISEKSSPRNSLPSLQLPSITNDISAAAPPLCAAYDYYLRLPELSKLWESREFPNWSNESILKPALQALEITFRFISTVLSDPRPYTNSREWSRRLESLATWQIEIIAMLCEDEENNPETRGTAPTAYLSSGESKIRSYSETSLLPRLATWYKSKDVAQRILLSVECQMMRCSYTLGLGEPNLAGKPSLKYDTVCKPNEVHNLKTTPYDDRIDNYENNAVHATHQIVESWIHVSRKLLERITDAIISRRFEKAVEDCYTVERIWKLLTEVEDIHLMMDPFDFLKLKNQLSLKSSCYETASFCMRSKELVEVTKMCRDLRHKVPEILEVEVDPLGGPRIQEAAMKLYVAEKMNGFEKIHLLQAMQGIEVAMKRFFYAYKQVLVVVMGSSEANGNRVGVSCDGGDSLTHMFLEPTYFPSLDAAKTFLGYFWDNDNKWV >RHN81422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45798729:45801275:1 gene:gene5461 transcript:rna5461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKCIISSVIFVMFILSMNEKCYGQEEDATVVSPMEKAEQEALYSTIQGFVGNSWNGSDLYPDPCGSTSIEGVSCDIFNGLWYVTVINIGPIHENSLPCANEKLEFKPELFQLKHLKAISFFNCFQSPNKLPVSIPTGNWEKLAESLESIEFRSNPGLIGNIPSTFGVLKNLQSLVLLENGLTGNIPQEIGNLVKLKRLVLSGNNFSGNIPDIFGGLSDLLILDLSRNSLSGTLPVTLGRLISVLKLDLSHNFLEGKLLNEFGNLKNLTLMDLRNNRLCCGLVLSLQEMNSLEEMVLSNNPLGGDIRTLKWENLQNLVILELSNMELIGEIPESLSQLKKLRFLGLSDNNITGNLSPKLETLPSLNALYLSGNNLKGEIQFSKGFFGKLGRRFGAWSNPKLCYPFELMSTNNVPYGVKPCHQEEIHLVKSNAKTEVINGDINHNSNFITSMGFSSCATSCFWWIFMILGLVLSFLI >RHN45377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:18228105:18232001:1 gene:gene39669 transcript:rna39669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysosomal cystine transporter MSSWNSVPLHVSYEALGWFAFVCWSISFWPQVILNFRRKSVVGLNFDFVLLNLTKHTSYLIYNTSLYFSSTIQQQYRDKYGKKEMIPVAANDVAFSVHAVLLTAVSLFQIAIYDRGNQKVSKIACGIVTVAWTTAVVCFFIALHNHHWLWLLSIFTIIQVSMTVVKYIPQAVMNFMRKSTDGWSIWNILLDFSGGVANYAQMAMQSIDQGSWMNFYGNIGKLLLSLVSIFFDILFIIQHYVLYPERKRKLETSPDFDNAKSSDQTLAENV >RHN42480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38690957:38693186:1 gene:gene48927 transcript:rna48927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rubber elongation factor MTTTKQMDVERNDHEKLKHLGFVRIAAIHAFVCMSSLYDYAKQNSGPLRSAVGTVEGTVTTVLGPVYNKFKPLPQDLLVFFDNKVDEATNKFEEHAPTFVKQVANQAKGLIQEATHKAEKVVNEAQSGGAKAAANYVATKSKQIVLTSSVKIWLGLNHYPPFHAVAEMAVPTAAHWSEKYNHVVKDITGKGYTVFGYLPLIPIDEIAKAFKQGEVNVSVDHETSSGEDFSD >RHN60048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17637186:17644251:-1 gene:gene22245 transcript:rna22245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CAP Gly-rich domain-containing protein MASSLQKIEGDESVLLRVTHSNLKSFNPDIRFSLQLTVEAVKDKLWKKCGTSVNSMHLELYDELRNKIADLSDHSKLLGFYSPFDGFRLHVVDLDPASVTSGGWLEDTSLVEKYEISEESYNKRGDTFRKYREKLTSQAPSTIEAKTLDTDMEDICANIKVGSRCEVEPGAKRGVVKFVGQAEPLGPGFWVGVQYDEPLGKHDGMVKGVRYFQCPPSHGGIVRPEKVKVGDFPERDPFEEDEI >RHN77449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6922159:6930889:1 gene:gene909 transcript:rna909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MDQEFLTAPVNSAVDKFQLIPEFLKVRGLVKQHLDSFNYFVNTGIKKIVRANDRVEATRHPYIYLRFFNVKIGKPSIMKDGNSDLISPQTCRLSDRTYAAPITVDIEYTLGSPDNLRKETRPEVNIGSMPIMLRSCCCVLNNRDEDELAKLGECPLDPGGYFIIKGNEKVILIQEQLSNNRMNNSRH >RHN51743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24065626:24072339:1 gene:gene36256 transcript:rna36256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Nin-like family MQKSEEENHDLPQKSKPLDENVFSMDYDIDFETSWPLDHISNSMHPFFLQNISEQPFSPIWTFSDDDHNIAASGNNKNIITENRVENDDKHKRVTPHIGLPPLQNPHGYCLIKERMTQALRNFKELTELNVLAQVWAPVRNGSRYKLTTSGQPFVLDAHSNGLHQYRTVSLMYMFSVDGENDEVLGLPGRVFQQKLPEWTPNVQYYSSKEYSRLNHALHYNVRGTLALPVFEPPGQSCVAVLELIMTSQKINYAPEVDKVCKALEAVNLRSSEILEHPYSQICNEDRQNALSEILEILTVVCETHNLPLAQTWVPCRHRSVLAHGGGLKKNCSSFDGYCMGQVCMSITEVAFYIIDSHTWGFHDACVEHHLQQGQGVAGRAFLSQNMCFCRNITQFCKTDYPLVHYALMFDLTSSFSICLQSSHTGGDDYVLEFFLPPSINKFCEQKGLLGSILATMKQNFQSLKVASGVELEEDGSVEIVEIINGTVHSRLESVPIIQSTKLSARHDDASPDMEEGVPLDQSRQKIITNFDDINDIGNLDDYAGGSIDQIHSLKTETKKKPSLRKRGKAEKSISLEVLQDYFSGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKIKKVNRSLSKLKCVIESVHGVEGAFGLDSPSTSTIPIAAGSFNEPSTSNKFEHHTSLSIMPSEPKKNENYLDASKSEPQKPLGGMLIEGADSSKDLKNLCPSTDVVLEDQVLEASRIHPPCSDFVHMQMQHMDTLNFAAQKEMKIVTIKATYRDDIIRFRVSWNCGIVELREEIAKRLKLEVGTFDIKYLDDDQEWILVACDADLQECMDILTLSGSNIIRLVVHDILSILGSSVESSGE >RHN64975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63398159:63399218:1 gene:gene27859 transcript:rna27859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol 3-alpha-galactosyltransferase MSPPHIITAATTVTDDQPKAAKRAFVTFLVGNGDYVKGVVGLAKGLRKVKTMYPLVVAVLPDVPEQHRNILIAQGCIVREIAPVYPPKNQTQFAMAYYVINYSKLRIWAFEEYEKMIYLDGDIQVFQNIDHLFDLPNNYFYAVMDCYCEASWRHTRQYQIGYCQQCPDKVQWPSNFGSKPPLYFNAGMFVYEPNMATYHDLLQKLQVTEPTSFAEHVPNS >RHN62956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47030943:47033256:-1 gene:gene25597 transcript:rna25597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MDSMKVISTTTVQAPIDNNNLNSSNYHKINLTPWDLRFLPLETIQKGLLFHQQPIPSTSNQNQINHLKQTLSTTLSYFPPLTGRLIITQHNVTTNNNNNTSCSILCNNLGALFVHAKAENTTVSNILEPNYVPSIVHSFFPLNGVKNHEATSQPILAVQVTELANGIFIGFTVNHVVSDGKSFWHFVNTWSQISKNSHEVTKLPSFKQTEAKSRKPPQNLPERIFHFTKEKIAELKSKATEETKTENVQIKISSLQVLLSHVWRCVVRSKKLDPQEDFCYILSIGVRPRMIPPLEEDYFGNASMIGGVTMKAGEILECGIGKVALELNKMIMSHSDEKIRDYYECWLRMPKIFGIDVLTGGNTLGTSSSPRFDVYGNDFGWGKPVGVRCGGANKNNGKITVFAGVEEGSIDVEVCLSYEILEALGNDAEFLVPSSK >RHN58323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:769741:779640:-1 gene:gene20225 transcript:rna20225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative golgi SNAP receptor complex, subunit 1 MEARKLEAQLDEQMNAYRKLVSTNLSTKGDAAQSDVESWIERLIKQLQQVNSQMQAWVSSGGSDMVSHTLTRHQEILQDITQEFYRLRSSLRAKQEHASLLDDFKEFDRTRLDLEEGGESEQHTLLKENASISRNTGHMDGVISQAQATLGALVFQRSTFGGINSKLSNVSSRLPTVNNILSAIKRKKSMDTLILSLVASVCTFLILIYWITK >RHN40700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19665164:19666080:-1 gene:gene46894 transcript:rna46894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MQIQIQDLETSRRYCDGDDLKGDLSNGDDLKVFSYSSIIVATNGFSSENKLGQGGFGPVFKGILPSGQEVAVKKLSQTSGQGIIEFKNELTLICKLQHTNLVRLIGHCIHEQERILIYEYMPNKSLDFFLFDSTRRKLLDWNKRFTIIEGIAQGLLYLHKYSRL >RHN82780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56326183:56330155:-1 gene:gene6966 transcript:rna6966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLFQVGGQGSRPTFFEMAAAEQLPRSLRAALTYSIGVLALRTPFLHKLLDYEHESFSLLMLVLEAHSLRTTDASFSESLYGLRRRPANIKLNDNDSSSSSSQLRRRQKLLSLLFLVVLPYLKSKLHSIYNKEREARIQATLWGDENESYTFNARASVTTLITKRFQKIVGLCYPLLHAGTEGFQFAYQLLYLLDATGYYSLALHALGIHVCRATGQELMDASSRISKIRSRERERLRGPQWIKTLQGALLSCTYTVLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPPPKVAKEGVQLPSDRTICPLCLQKRVNPSVMTVSGFVFCYACIFKFLTQYKRCPATMVPATVDQIRRLFHDV >RHN73358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15647245:15648715:-1 gene:gene9160 transcript:rna9160 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNNHNNLGYSQKPSDKKDSRDPTKKSPISNQIDMAYNNGPPPVANTGNLPANQNPLANQMNIGYNT >RHN82269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52448221:52452047:1 gene:gene6418 transcript:rna6418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MNIYSILSDPLSVFETWNYDDATPCSWHGVACSELGSPDTPDFLRVTSLILPNNQLLGSIAEDLGLIQNLHHIDLSDNFLNGSLPNSIFNSSQLQFLSLSNNKISGKLPELIGLSTNLQSLNLSDNAFVGSVPKNLTSLQNLTVVSLKSNYFSGEIPNGFNSVQILDLSSNLFNGSLPNNFQGQNLQYLNLSYNKISGPIPKTFAKHIPENSTIDLSFNNLTGTVPESMALLNQKAESLSGNSDLCGKPLKNLCIIPSSISTPPENINNTSSPAIAAMPRTVNSTPGTNTTSTSDSSQNGLKPTTIAAIVVGDIAGMGILALIILCVYQQRKKRYTKQNTVIHEKKVLESVVQQDQEDVKTQTHSRLQCSPCCLTIKQDEISEDPSSDESERDIKSIIVDNGKLPNEGTLVTVDGETKLDLETLLKASAYILGTSRASIVYKAVLQDGRVFAVRRIGECGVERMKEFENQVRAIAKVKHPNLVKIRGFCWGEDEKLVISDYVPNGSLSSIGYRRGGSSPMNLSLEVRLKIAKGVARGLAYLHEKKHVHGNVKPSNVLLNSEMEPIISDFGIDLLLLNDINHRGNGSFRHLVNQRTPQQQDISIGSTPSPYGTMGPSSSTCGGQVPYQAPESFQNIKSNPKSDVYSFGVILLEILSGRVFSDLELDQWSIPGSVEEEKNRVLRMVDVAIKHEIEGRENVVLTCFKLGLNCVSLVPQKRPSMKEALQILEKMATFVIN >RHN75168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39921409:39922460:-1 gene:gene11331 transcript:rna11331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MQMGCIKINVDDSSYGSPSIGTIGAVFRDWQAGFLGCFVQNIGHATPLEAEFSALMFAIEKAVEQHLSVVWLESEQLATQLGYKCSHAFLEGNMVADALAKKRTGVGSLHITMVE >RHN56341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30109450:30110634:-1 gene:gene31726 transcript:rna31726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSPSTSGRRRLSPLILHDDLFTQVLLLLPVKSLMQLKCVCKSWNTLISGPTFVELHLQQSQRRKRQLLLTYVLSYDDNRWFVPLSICRLLSNTSITLDCRRLMYTDCSEVVGSCNGLICLLGRSANKHRAIWFRVWNPATGNISEKLGSLNKPRKRGSSMLRYTFGYDISTGSYKVVGFDTREVKVFGLTDNVWRNLPCVPDNAGIVCWDNNVNKGEYLSGTINWLAIQNWLQCDKYCENISIMRFVIISLDLGMETYKKMMPPPDCDQVPFVIEPIIAVLMDCLCFAHHLRTHFVIWKMTQFGVEKSWSRFLKISYQDLQIDIRFNEKMIYHPLLLSPLCLSENGDKLILANNHEDQAIIYNIRDNRVELTRIINSIQWFLSKNYVESLVPIC >RHN55438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18326282:18328804:-1 gene:gene30618 transcript:rna30618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxygen-evolving enhancer protein MIDLEFSQLKWIIKFPRGIISRHKCINRATRSANKNLPKNFHSPNTPFKIHTIPKSFIHQKYPHTKNTMSSFTHATTLLHAHIKTKHKNTSNNINNNVNNSLSSKQNVTNRRNLVSTFLATSMVGVVHVTTTPLALAQNWGTRSFMKEHFFMPGLSPEDAVLRIKQTAEGLHNLREMLETLSWRYVMFYIRLKQNYLEQDLRNAITTLPENRRKEYVKTANELVDNLTEMDRYVRTPKVYESYLYYEKTLKSIDELVAQLA >RHN60409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26416738:26422996:1 gene:gene22719 transcript:rna22719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-BEL family MATYFHGNSNSNSSEIQPSVEGLQTLYLMNPNYVPYSEEEPQNPTQNMLFANPNATTNNNTSPHALHGFKFPHAPSSLNQHHLLGVTIPPSNFHGSNTTDTPTQPYEVSTFHPFASSATVTPRPHYNMWGSGSFIPDHTVTATTTDRSECSDVVAVTSSTEFRSQQIGFHRPIYQRGLSLSLSSQQTPYRSASGEIEVSPGSRGGEEGGGVSTMNGVVFGSKYLKVAQELLDEVVNVDKGIIKGESVEGGNSNNNKEKRKVNIESSSSGGRENDGGKQVAELSTAQRQELQMKKSKLVSMLDEVELRYRQYHHQMQSVISSFEQATGYGASKSYTSLALKTISKQFRSLKDSISSQIKTASKTLGEDDCLGVKLEGSRLRYVDHQLRQQRALQQLGMIHSNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKVMLARQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKEQEENNDSKDNTNKSKELGVTKLDQINNLHSKAENFNNQNPSPTVISSSNNSMSTSTMGGEGGSFQSNSGFHLAGSSDVQRSPKNSHQGSFLSMDMEMKSNRESNIEVEVERHNQDKNGYPLMSGNENHHDGGYGSFSMEDIRRFNVSEQLAPRFHGNGVSLTLGLPHNENIPLSSTQHGFLSQNLHLGGTIDEMGSNENEFCTINTTPSHSETNYESIDIENRKRFAAQLLRNFVT >RHN75185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40020406:40021878:-1 gene:gene11353 transcript:rna11353 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASRTNRKGKLKKTKARERKKKKIQGQIGLLTLFPLSSLYGNKFLVLLYRRNKIVFANSQRTS >RHN82012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50563127:50563846:-1 gene:gene6128 transcript:rna6128 gene_biotype:protein_coding transcript_biotype:protein_coding MFDEMPHRNLATWNAYISIAVQDWRTMDAIEVFKEFLCVHGEPNSLMLCAFVNISVANVMKFCAVLNACVDLLRLNHG >RHN40412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16060827:16061054:1 gene:gene46560 transcript:rna46560 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFSYNTLELQIQRKWMSKVMSIKFDVPPPEPNPFPVPMYTMPISTISSSSDASSPTLPPSTAPAPFCDDNEMI >RHN41497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30509047:30509612:-1 gene:gene47829 transcript:rna47829 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFPICAPSRHYPPRIGPRSPPTTGSPPTRPPSSPLPDPTTGSPPPPGSPPPDPTTGSPPPPGSPPDPSWESFLVAEHMLKPNSTKRAMLRTFEVAI >RHN54367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9031009:9031802:1 gene:gene29386 transcript:rna29386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MTLQGFVSLIFNLIGLAETQTNNDAILPYIVATSIVPNKTNQQSSESSSTTNDVGLCCVCLSMLNNKDEIRVLPCSHEFHKVCVDSWLKGHHKTCPLCRFSMGVEEKSHHRAEMFSEEMLIWFSSFHIAGM >RHN68856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39126130:39128840:-1 gene:gene17267 transcript:rna17267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MATTTLVVAIVSLIFASLSFHGDGVSAAALAQSPAPETAVLAPSPADDGCLMALTNMSDCLTFVEDGSKLTKPDKGCCPELAGLIDGNPICLCKLLGSNTADSFGIKINVNKALKLPTICGVTTPPVSACSAIGVPVSLPPSLSGDAMSPNMAMSPKGLALSPSTSSDSSGVLSPSGSKIGGASSIQASALTLIFALSTLSVTIFF >RHN71337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58358365:58362698:1 gene:gene20007 transcript:rna20007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MSSDSRSRSRSRSRSRSRSRSPGIRKIRSDRHSYRDAPYRRDSSRGFSRDNLCKNCKRPGHYARECPNVAVCHNCGLPGHIASECSTKSVCWNCKESGHMASNCPNEGICHTCGKTGHRARECSAPSLPPGDLRLCHNCYKQGHIAAECTNEKACNNCRKTGHLARDCPNDPICNVCNVSGHLARQCPKSDVIGDHRGRGSFRGAGGGVAAGGGGGYRDVVCRNCQQFGHMSRDCMGPLMICHNCGGRGHLAYECPSGRFVDRYPSRRY >RHN52295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33539680:33542961:1 gene:gene36954 transcript:rna36954 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFKRQKSEYEGFSHNVLKYVEFLGCVCSINVFELATHLLKSANSLKKMTFHSSERVYLGGGRWTTYFNACGGDCWLGKNVIHEMLKDEVNEQCQLIIL >RHN43284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44625418:44628817:-1 gene:gene49832 transcript:rna49832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative omega-hydroxypalmitate O-feruloyl transferase MANKLNIKLGEPTLVLPAQQTKKGLYFLSNLDQNIAYPVRTIYCYKSLSKGNEDVAQVLKDSLSKILVHYYPMAGRLKISSEGKLTIDCNEEGVVFVEAEANCMVEDLSDVKEPDLDILRKLVYDIPTAKNLLEMPPLLIQVTKFKCGGFVLGVNVNHCMNDGISAMQFVNSWGDTARGLDLKIPPFLDRTILKSRNPPQIEFTHHEFDELEDLSDTNKLIHEEEEIIYKSFWFNQNKLELLKKKATEDGVVKKCSTFEALSAFVWRARTQALKVHPNQLVKLLFAVDGRSRFVPPIPKGYFGNAIVLSNAMCKARELLKNPLSFSVELINKAIEMVTDSYMRSVIDYFEVKRSKPTLTSTLLITTWTRLSFHEADFGWGKPKYFCPVTLPQKEVILFLPHREDTKSINVFVGLPASTMQIFEEQVQICMDQILDEEGTQHFQYT >RHN51552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19736942:19737901:1 gene:gene36010 transcript:rna36010 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLLGANSDPDTLIKVITFDGNIMEFYPPITVNFITNEFQGHAIFPTNDQSSKPLCQFDELVAGQSYYLLPMTVLSPNNKIDYPSTCATAETGGGGQIIRQGHVRSQSVPTTPLPAPYRMSLDYQYYQGVGLLKRTSTESFSCRTNRSSVNKSTISSSRRSSRKSTGIWKVKLCITPEKLLEILSQEVSTKELIESVRIVAKCGVTAASSGGCGISSTTSIVSDQWSLSSSGRSAPSKVDALVLDI >RHN40111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13372410:13375487:1 gene:gene46214 transcript:rna46214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MVERSTGKSLKCLRSDNGGEYTSHEFKSYCSEHDIRHEKTVPGTPQHNGVAERINRTIMEKVRCMLKMAKLPKPFWVEAVQTTCYLINRSPSVPLGFDIPERVWSGHDISYSHLKVFGCKAFAHVNKEHRQKLDDKAIPCIFVGYGDEEFGYRLWDPEKRRIIRSRDVVFHEQETMSDSTILETPKRYGKVDLTLTTPPVRVATEGGDLDEDPGVDGEPVVEDGDDEDVQDGEQSTTSHLRRSSRDHHPSTRYPSSEYILITDEGEPDSFDEVQTHKDKIQWMKAMQEEMDSLQKNDTYELVKLPEGRKALKNKWVFKLKKDGDKLVKYKARLVVKGFGQKRGIDFDEIFSPVVKMSSIRVILGLVASLDLELEQMDVKTAFLHGDLDEEIYMVQPEGFEKTRKEHLVCKLKKSLYGLKQAPRQWYKKFDSFMMSHEYTKTDADHCVYVKTFRGNKFIILLLYVDDMLIVGQDKDMIGDLKKELSKSFDMKNLGPAKQILGMKILRDRKARKLWLSQQQYVDRVLERFNMKGAKPVSTPLANHFKLNRVSCPTSQDEKEAMVAIPYSSAVGSLMYAMVCTRPDIAHAVGVVSRFLSNPGKEHWEAVKWILRYLKGSSNKCLCFGGSDSLLKGYTDADMAGDLDGRKSTSGIVFTFAGGAVSWQSKLQKCVALSTTEAEYIAMAEAGKEMLWLKRFLDQLGMKQERYVIHCDSQSALDLSKNAMYHSRTKHIDVRYHWLRQAAGEQQFMLEKIHTDKNPADMLTKVVAREKLQLCAELIGMDRK >RHN40231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14419426:14419932:1 gene:gene46354 transcript:rna46354 gene_biotype:protein_coding transcript_biotype:protein_coding MRERDEVGKGEGEGGCSYMARSRSVGGTRNASSHNVLNLQGDRVSECVKHHPIKYHTAEKDVNWASEGVVATVHISESISLLQHYILNVGFDNLDNPLTYKLEINNHSDNKKSITLKKVYFLGVNPWYLRKKGPSNLEFCRKVNASIRKIFHHKIKLVLLNNLYNKTH >RHN62120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40917075:40918777:-1 gene:gene24655 transcript:rna24655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MEMSNSSNMMWFFKHRGFDDTIIQKMFTKCKRLETAHRERADENWDYLKTIGIQERKLPSIVSKCPKILVLGLNEKIVPMVECLNTLATKPHEVASAIAKFPQILSCSVEEKLCPLLAFFQTLGVPEKHIGKILLLNPRLISYSIETKMTEIVKFLASVGLDKNGMIGKIMVKDPYIMGYSVDKRLRPTSEFLKSIGLTEHDLQVLALNFPSILSRDVDKVLVHNYDFLKNCGFQGRQIVDLVVGYPPILIKSVRNSLEPRIKFLVDVMGREVDEIIDYPCFFRHGLKKKLVSRHKLLKKRNLNCSLSEMLDCNEKKFHLKFGLLEGHALSN >RHN54212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7844248:7844493:-1 gene:gene29212 transcript:rna29212 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYDVSIDGSVQICFESCGETAEMMIGREDKPRFSKKEGEKLGSSKRKGEEHTTLSLEEFDGFIRYLKFRDYDFTEIWKG >RHN67561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28276185:28277149:-1 gene:gene15759 transcript:rna15759 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKRFKDPKTGFHMLSSMHAKQYMNKIGLESEDYYFYKQIGKALLCTYTILGGLWIYNGGSPVEWWRKKSPTIKEKFEEANLYLGEVESVKEFIAKGKMIGTTLKGIVESDDKDASGREEMVKRKADQEAQKMWLKMKNEVVAELREKGIDVE >RHN43409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45597358:45608499:-1 gene:gene49980 transcript:rna49980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKCRSVACIWSGTPFPSAHKVTAVAAFTQPPSPAAFYTAGSDGSIIWWSLSTSASTSSPQVKAVGVLCGHASPITDLALCSPITAEENDHVSSKTNFSALISACCDGFLCVWSKSSGHCRCRRKLPPWVGTPMVIRTLPSTPRYVCIACSFVDNSVNDELGDRENHHKKSSKSTILIVDTYLLSITQTVFHGNLSIGPIKFMSLVFSDDDEKRNSVFLADSYGKQQTVPISDEPRDCVESVSSPHSDKFPLESSFSTEGFNGVDQVISVLTFGNTVAFILKDKCIFRSLSSDTMIGEVSFVDSLFFSNGHSTEARAVGGVVLESDDMGNTPDTYESGNLIPAHFVVWNNRGYAIIYEMSYQNDVFQCEPHSEIPAGHYQPDIRLSVFFLQVNENLVCVKSVCFHHEEPLLWRPHITIWSLHQFEDKLGQLCRQCRMIGDGVFSTNWFESTQLDGHGDLEIKSTFGGASPGSEDMDIINEKGISNYYPYKGKIVSSSMIIAENLFTPYAVVYGFFSGEIEVVRFDQFQEIFLDDASSNPDEKSTAHKQNFSGHTGSVLCLAVHQMMCSAKSRTFKRVLVSGSMDCTIRIWDLDSGSLIKVMHHHVAAVRQIILPPSLTGHPWSDCFLSVGEDACVALVSLETLQVERMFPGHVNYPSKVLWDGTRGYIACLCQTHYGTSDGDILYIWDVKTGSRERLIRGTAAHSLFDHFCKSISMNSISGSVLNGNTSVSSLLLPIVDDARLSNSPLNHTENLLTSSKSSPSISSMTELNSSKPNAGKGNSAKPSSSYLFGLLSDNLPIKCTCPFPGIVSLSFDLASLMFSFQKNESMESGEGKPVNINLKQQGVQEQNPSHHNPETSEGHNWVSLFEEYLLRYSLSFLHLWNVDSELDNLLISDMKLRRPENFILASGLQGDKGSLTLSFPGQSATLELWKSSSEFSAMRSLTMVSLAQRLISLSHSSSAASSALAAFYTRNFMENFPDMKPPSLQLLVAFWQDESEHVRMAARSIFHCAASHAIPLPLCNSKPSESINTISQTGSRDKHLGDVIVERISPKAEKQGMSQDEESKILTWLESYEVQDWISCVGGTSQDAMTSHIIVAAALAIWYPSLVKPRLSTLVVHPLMKLAMSMNEKYSSTAAELLAEGMESTWKECMVSEIPRLIGDIFFQVELSGPSSKSLTEIPPASFSIKQTLVEVLLPSLAMADIPGFLTVIESQIWSTASDSPVHMVSLLTLIRIMRGSPRYLAQYLDKVVNFILQTIDPSNSVLRKACFQSSWTTFKEVVRVYPMVAVNESWTRLAVGDVIGEVNNASIRVYDMQSVTMIKVLDASGPPGLPSLLTATASGAVLTTAISALSFSPDGEGLVAFSEHGLMIRWWSLGSFWYEKLSRNFVPVQCTKLIFVPPWEGFSPNSSRSSIMASILDTEKQLNLQNNAKDSNHVDSLKQLLHNLDLSYRLEWVAERKVLLTRHGNELGTFQL >RHN48190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45678383:45678980:1 gene:gene42878 transcript:rna42878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MEDELKEKVKQDELPKKIKYRGVRIRPWGKCAAEIRDSARHGARVWLGTFNTAEEAARAYDRAAFEMRGSSAILNFPHEHYFPCSVVYNPSKPSSSSNSTSSSSSSKVKSELVEQVIEFEYLDEKLLEELLDFESIINQDLFK >RHN38869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2518882:2519640:1 gene:gene44843 transcript:rna44843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MEKKTLASLCFFLIVLLAAQEAVVQIEACEKPSKFFSGACIGSSGNQQCGYLCRRGEGLLSGSCKNLKCVCAC >RHN79807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32385973:32389925:1 gene:gene3648 transcript:rna3648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MGNIGSSGSNNRRRHGGGGSGRRVHPPVNPPPPEAAGNRFVYPAAAPPYHNYPNYYPPPPGTVPMPLPAPYDHHHRQAMDPISWRYQQQVPAPAPYVEHQKAVTIKNDVNIKKETLMIEPDEENPGSFLVTFTFDATVSGSITVLFFAKEGDGCVLTSTKENVLAPVTINFQQGLGQKFRQPAGTGINFSMFEESELLKVADMDIYPVAVKADASSSDVEGSNETPISGNKTNTNNSQITQAVFEKEKGEFRLKVAKQILWVNGMRYELQEIYGIGTSVESDLDGNDPGKECVICLSEPRNTTVLPCRHMCMCSGCAKVLRFQTNRCPICRQPVERLLEIKVGPEPEPEQ >RHN66562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14095797:14096196:1 gene:gene14575 transcript:rna14575 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGGGPISLLFFCFVWWCRDGDGGGGSNKCDSIHAAASVVVSLPFTINHTSTRSRIVCRREETGVLWEVIHLGGCLCYSGPYSSSLALVRDFWGC >RHN60155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21906552:21907181:-1 gene:gene22393 transcript:rna22393 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSKKRGAYSDLTMEKKLLLKIQNENLLPKGGGGDQPSLEHRVFLHYFITKEKANVPKYIFKQMIKELREIQENKRCWIPYGRLISEILHQGGILKALKEVNIFTDEQLGTETDKVINGSTLKKMHLIKKEDYTKLSIGLKESNAVSNLMDDFPPICKQDPLDVRMNFIMDHFENTGQTIKLSDIPDTMYGGALPIAKSRKTKKRAIS >RHN59699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12666400:12668724:1 gene:gene21791 transcript:rna21791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A1 MLAGLFVCVVRKEEDSMIIRSPEPKVKILVDPEVKILVDRDPIKTSFEQWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLNDPTHIRPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITNELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQVHVSLPINQFLNAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYADFLTFRGGLDPLTGGLWLTDIAHHHLAIAILFLIAGHMYRTNWGIGHGIRDILEAHKGPFTGQGHKGLYEILTTSWHAQLSINLAMLGSLTIIVAHHMYAMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRILRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPVFAQWIQNTHALAPGTTAPGATASTSLTWGGGDLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGSINDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWAFFLARIIAVG >RHN65048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63843037:63844783:-1 gene:gene27941 transcript:rna27941 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIVNLVGGVPSILSRSRHRSVDEKWSCLHHKLNTNRSRFTCLFSDNNKREDQARKALEGALSGKKIEFEKWDKEIKRREELGGGGDGGGGGGGWFGWGRWFGWSNDDNFWQEAKQASLTILGIVLIYLLVAKGDLILAAIINPLLYALRGVRNGFGLATTKVLKNTSNGDQPDFDGSLKKEGYKSASAKESVVRKWGSD >RHN49363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54289223:54291122:1 gene:gene44185 transcript:rna44185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzyl alcohol O-benzoyltransferase MTSSSPLLFTVRRSQPELVLPAAPTPHEVKLLSDIDDQEGLRFNLPVIFVFRHEPSMKEKDPVKVLKHALSRTLVYYYPGAGRIREGAGRKLMVDCTGEGVMFIEAEADITLDQFGDALQPPFPCFQEILCDVPGSEYIIDRPIRLIQVTRLKCGGFILALSLNHTMGDGSGLRQFVSAWAEIARGANQPSIQPVWNREILMARDPPYITCNHREYEQILPPNTYIKEEDTTIVVHQSFFFTSAHIAAIRRLVPLHLSRCTAYDLITACYWCCRTKALQVEPDEDVRMMCIVNARSRFSANNRSSLIGYYGNCIAFSAAVTTAKELCGNQLGYAVELIRKAKAQVTEKYMHSLADFMVIKERCLFTKGRTCMVSDWTRAKFSEVNFGWGEAVYGGAAKGGIGSFLGGTFLVTHKNAKGEEGLILPICLPPEDMKRFVKELDDMLGNQNYPTMSGPSFILSTL >RHN82080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51137423:51137997:1 gene:gene6199 transcript:rna6199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IBR domain, E3 ubiquitin ligase RBR family MPLLPKELFDKWNDALCEALFVTVPKFYCPFKDCSAMLLDENEGVEDIRESECPFCHRLFCARCHVPWHPGIDCEEYQTLNADERGREDLLVRELANEKKWRRCPTCKFYVEKTEGCLHITCRCRFEFCYACGEQWTSTHGGCQPS >RHN43884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49204962:49210875:-1 gene:gene50518 transcript:rna50518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxin-3 MFSVRDFWRRHRRKIFLSVGIVGGGYCLYKLYGAHRQRLDALEMELDVQRQSDELIKSQMQAHFENIQRISDTITLPHSMHNLSCRIAQDLDLSHLLERLIQGKGQPNTLTQSEKLDLWGRLKILSFTRMALSVWATVMLSLYTKVQVNILGRHLYIDTARSLESSNLLMESEDVVDGEDKQKFLGSVDFLSQHGMPALISDMEEATKEVLKGKQLTSLFNNTTFDETITDILNTFMSRGSPHFWVKYIIPEDAKLHSTASGSNDTVPLDMTEFDQLVMEARGVLSSAEFGSVVEISLKAVVDTLRELMGTTSVPLARALPQVAQMCPLLLEEPSKNQFIQILKNIPEVELFLTFLYANMPSA >RHN39314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5906224:5907988:-1 gene:gene45324 transcript:rna45324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGHHSCCNKEKVNRGLWSPEEDEKLIKYITTYGQDCCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSHQEVALIVELHNILGNRWAQIAKHLPGRTDNEVKNFWNSSMKKKLISYDNVPSFTPFYDIHIPYNTGSKKSFFPLNANPNVILNFNPYHQDLLYLSNSFPNLQCFQQPDTKVDINNNFNAKFPPNQNPIIPEIVLPSNPSSCEDTWSLDCVALDLNPSQLENQISKSGTSINQSSLMQQYDQYHDDHNLVKLEALVPKLVNDQSLEDYACSILDYSSDSKEHVILNSYHHHHQDQFYLPTSSLNLQGNFYQIDTKVDMINNHYNPNFLDIENQIPSNPFPDEDPWSLDCVALHINRIKENPSDTSQHHNLVNPIVLMPQQYDQCHDHHLP >RHN56957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35235654:35238856:-1 gene:gene32429 transcript:rna32429 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTIGKSKKAKIMKIDGETFKVKTPTTSNEVVKNYPNHVLLDSQAVKHFGLRAKPLEPNQELKPKKIYFLVDLPKIEPENEKTSLPRRVRSSGIRNVDAKGRLELLMLSKRSVSDISLVKPPSNLGFDRPFDGSMRVKMRLPKAQLEKLMEESNDGSEVAEKIMSLYMGGGGDAAEHDSDVLRQNRKPRGKRVSFSPMEIEESPVEAAPQ >RHN71492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:518501:518908:-1 gene:gene7099 transcript:rna7099 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQILIRRSMRSLSGLHHSFSATATWLSVPITGSISSPLSLSKSINVLDGFQSRSFRSTLISLLSSHYRETSQILFDDDDDDEGCDYNHWFIVFDFPKDNKPTPEEMIRLYEETCAKGLNIRLWFFYYYQYKI >RHN38659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1056912:1066284:-1 gene:gene44617 transcript:rna44617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A(2) MIMVIGGFNTKLPPPTYGNAITILNIDGGGIKGILPTVLLRNLEKALQNVSNDETAALADYFDVITGTSTGGLIAAMLTTPHPNDPSRPLLSPEQIKQFYLDFGPSIFNQTAARKWSPIISHFPKYDGKFLHEKAREILQETRLSDTLTNVVIPAFDILQVHPVIFSSFKVKKVPSLNAKLSDIAIGTSAAPTLLPAYNFTNGDNEFNLIDGALAASSPAFLAVNEVMQELEEKNYDFIPVNPNEPTKFVLLSLGCGRTGTPGHNTSVVNQYTATKWAPFLLSGLLEAARDIVEYNLESIFPSLQSSENYYLRIEEYSLDQTLTADNATIENMEKLIKAGEDLLEQRVKILNVTSFTHHEKPSELDTNAEALQGLAEILYKEQQLRLKTKSIMEKRGRPFVDAITSPLRMFGME >RHN79487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29472775:29475437:-1 gene:gene3282 transcript:rna3282 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDFINRCLILLLGYAYPGFECYKTVEKNRVDIEELRFWCKYWTIVALFTVLEKFLDIFISWLPMYGEMKLVLFIYMWYPKTKGSGFIYETVLRPYVSKHENDIDRTIQEWKARGWDYTIFYWQYLAQFGQTAFVQALQQLASQSSKLSAKPTAQKSEEQGENAPTMKSSFMKQNSSLTKSKKWPTSPPSTPTTGQVNVVDAKTEYVDEEEDEEWEPEPITAEEGKDGQRVSVKDRINRARARLRKLDSQNPRTPKTPQRRQS >RHN47407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39492001:39495490:-1 gene:gene42001 transcript:rna42001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase A MASATFSVANPALKVNGKGFSEFSGLRNSSGYLPFSRKTSDDFHSVISFQTNAVGSSGRSKKGVVVEAKIKVAINGFGRIGRNFLRCWHGRKDSPLDVIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGTDGISVDGKVIKVVSDRNPANLPWGELGIDLVIEGTGVFVDREGAGKHITAGAKKVLITAPGKGDIPTYVVGVNADGYSHADDIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPSLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNEAFRDSAAKELSGILSVCDEPLVSVDFRCTDVSSTVDSSLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >RHN72872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11416263:11416909:1 gene:gene8635 transcript:rna8635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain-containing protein MSSHTHFIITTTIMITAILAGVSTARDLRPSDHGLSFQSPPPANSPPDMKSFFNTNSSSSSSSSSYDAYRNVPDSLPPAYWSTTGNGRGKLRKALVMASLVCGITGGVLLVVSILLCLFNKHRRKNSERNDSFRDEDNYNFNK >RHN57434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39127162:39132526:1 gene:gene32979 transcript:rna32979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain, type 1 protein MDENDVSNEVVKGKKKGKHDKPKPWDDDPNIDHWKVEKFDPSWNESGMLEVSSFSTLFPQYREKYLQEAWPLVKSSLKEFGISAELNLVEGSMTVSTTRKTKDPYIIVKARDLIRLLSRSVPAPQAIKVLDDEMQCDIIKISGLVRNKERFVKRRQHLVGPNSSTLKALEILTGCYILVQGNTVSVMGSYKGLKQVRRIVEECMLNKMHPVYNIKILMMKKELEKDPALAQENWDRFLPKFNKKNVKQKKVNAKPKKPYTPFPPPQQPSKVDIQLETGEYFLSDKKKSAKKWQERQVQQAEKTAENKRKRDESFVPPKEPANLVGNSEDASNNVAEMAMSLKEKARKFGKRKSEENINAETYIMGSSDQPSKKKSKKQKS >RHN52602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37021881:37033322:-1 gene:gene37296 transcript:rna37296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MASLTVTCRFKYDVFLSFRGEDTRHGFTGNLWKALDDKGVRTFMDDENLQKGDEITPSLIKAIEDSQIAIVVLSKNYASSSFCLQELSKILDTMKDKVGRFVMPVFYKVDPSDVRKLKGTYGDAMDKLGEASSSSHNKWKDSLHQVANLSGFPYEKRDGYVHEFIEKIVEEVLRNIKPVALPAGDFLVGVEHQKQHVTLLLNVGSDDSIHKVGILGIGGIGKTTLALEVYNSIVSQFECSCFLEKVRENSDKNGLIYLQKILLSHIFGEKNTEITSVGEGISILKKRLPEKKVLLLLDDVDKKEQLKAIAGSSNWFRKGSRVIITTRYKNLLISSGVERIYEVKGLNDEDAFDLVGWKTLKNDCSPRYKDVLLEQKYGRESDANKLRRLKDLKNDEGYANVLKRVVAYASGHPLALEVIGSHFSNKTIEQCNDALDRYKRVPHNMIQTTLQLSYDSLQEEEKIVFLDIACCFKGWKLTMVEGILHAHHGHTMKDQINVLVEKYLIKINESGNVTLHDLVEDMGKEIVRQEAPEDPGKRSRLWFSEDIIQVLEENTGTSKIEMIHFDGVIEVQWDGEAFKKMENLKTLIFSNDVFFSENPKHLPNSLRVLECRNHMCPFKWEGFLTMKFQNMRVLKLYYSDGLTQIPDISGLPNLEEFSIQNYGKLFTIDESVGSLRKLKILRVISCTEIHSLPSLMLPSLEELDLSYCINLESFSHVVDGFGDKLRTMSVRGCFKLKSIPPLKLDSLETMDLSCCFRLESFPLVVDGILGKIKTLNVESCHNLRSIPPLKLDSLEKLDISYCGSLESFPQVEGRFLGKLKTLNVKSCRIMISIPTLMLSLLEELDLSYCLNLENFPLVVDGFLGKLKTLSAKSCRNLRSIPSLKLDLLETLDLSNCVSLESLPLVVDGFLGKLKTLLVTNCHNLKSIPPLKCDALETLDLSCCYSLQSFSLVADRLWKLVLDDCKELQEIKVIPPCLRMLSAVNCTSLTSSCTSKLLNQELHKAGNTWFCLPRVPKIPEWFDHKYEAGLSISFWFRNKFPAIALCVVSPLTWDGSRRHSIRVIINGNTFIYTDGLKMGTKSPLNMYHLHLFHMKMENFNDNMEKVLFENMWNHAEVDFGLPFQKSGIHVSKEKSNMKDIRFTNPEVDFILL >RHN76870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1980236:1982022:-1 gene:gene255 transcript:rna255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVKTLKFMNVIIFFLSLFLFAHNIFLVAQNFDAFTKCVTVGDCPPDTKYMKYRCEQNICICRWIV >RHN49739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:744160:744977:1 gene:gene33946 transcript:rna33946 gene_biotype:protein_coding transcript_biotype:protein_coding MFCFDCLNICKFIKSSFTCKVIVEYLSHVIFYKLNVNLNCNIDASANKNYHLEVLVVNSRALYAFDSCFITYCMLLILVYFQLLSM >RHN64193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57181349:57182173:-1 gene:gene26980 transcript:rna26980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase/kelch, beta-propeller, F-box associated interaction MILLLCFPNLIQILILKLHAEVLLFSTVPQTSYLWNPSTGAHKLIPLPPNHDYLNFYGFGYDPSTDDYLMVSVSYDSIPNSDDMLSHLEIFSLKANVWKEIVGTIHWPFCSKVVSSYYPMVDSFFNGAIHWLAFRHDICVYVIVAFHLIERELLLIPLPDDIENDSNDRDLWVFKGFLSLWVSGDHTVDIWVMEEYGVHSSWIKILVLPIDAIPYLSPICCTKSGNIVASNGCIGLVKYNDKGEFLEHNSYCEDAPGFQMTLYTESLLSLPNDS >RHN51566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20036648:20037100:1 gene:gene36029 transcript:rna36029 gene_biotype:protein_coding transcript_biotype:protein_coding MEENVPFSAVDKDTAFHGKFDELVQDAGTSTLRTLLYIQSMERKHEALEKEYQDSVKDVEKFKHKATAFEERVEGLLKDKSVLEKTVSDMEKEKSVWEGEKKALEAQNAKLQGDLDKSKDEVEMGKWPWLAFLRMGSTGRSLKRCIFIQT >RHN76300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49245717:49247132:1 gene:gene12601 transcript:rna12601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP synthase, F0 complex, subunit G MTSKIPQLQLKVTQAMRKSMTSNIPHQHSKVTQATSEPMTSKIPQHQSKMTQTMSEFMTSEIPQQQSKMAEAMSEVKKSEIHQQQSKVTHTTSKSMTSKIPELQSKATQASAEVANHINSHFKLLMERNKHHVQEPPTIEKCQSLAKQLFYTRLASIPHRYDSFWKELDSAKNIMNNMNELNMEKIGTAALFGLECYLWYCGGEIVGRGFTFTGYYV >RHN80420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37991536:37998898:-1 gene:gene4348 transcript:rna4348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate kinase MSATRTLHFQLFTPPTTNFHSHTPHFPSPTLSFPLRRFPTLRASTSHLTIPDNNGATPPPPPPPSDPNTIEVDAVTETELKENGFRSTRRTKLVCTIGPATCGFDQLEALAVGGMNVARINMCHGTREWHKTVIDNVRRLNHQKGFAVAIMMDTEGSEIHMGDLAGASSAKAEDGEIWTFSVRAFDSTLPTPERTINVNYEGFAEDVKVGDDLLVDGGMVRFEVIEKIGPDVKCLCTDPGLLLPRANLTFWRNGSLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAISFVKSAEVITHLKSYIAARSRDSDISVIAKIESIDSLKNLEEIIQASDGAMVARGDLGAQIPLEQVPAAQQRIVQVCRQMNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQFPDKALTVLRSVSLRIERWWREEKRYEAMQLPSVGSYFSEKISEEICNSAAKMANNLEVDALFVYTKTGHMASLLSRCRPDCPIFAFTTTPSVRRRLNLQWGLIPFRLSFSDDMESNLNKTFALLKARNLIKSGDLVIAVSDMFQSIQVMNVP >RHN69902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47218273:47227129:1 gene:gene18434 transcript:rna18434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MLMISDEGDNDVFFDSLECLSPSKEDSLLTKQDLRYEFWLNEPVSVKVRKERFLQEMGLVDASSQNEIVCCEERIMDCSVTVSNGCILSDAEHVYEKLVDPKDDDDDDDDKELSCSSQDLRHREAETLEESNVIDNGKKKKKKWWNKVLNRGKVGSKSGNVGTRKALQINVRHNKKSWNQFSAVYTGQEIRAHKGLIWTMKFSPNGQYLATGGEDGVVRIWCVSSLKASSICFAKEDRDISKLKHDMSFSPKKCSSKTPAVLPRKILKIEESPLQELYGHSSDVMDLAWSDSDMLLSSSMDKTVRMWKIGCNQSLKVFHHNDYVTCIQFNPVDENHFISGSIDGKVRIWGVHEERVVDWADVRDIISAISYQPDGKGFVVGSLSGTCRFYVASGKQFQLEAKIRVNEKKRSSSNKITGIQFSQKNHRRVMITSQDSKVRILEGHELVQTYKGLSMSGSQMSGSFTSSGKHIISVGEDSRVYIWNFNDSEGHFSKQRKTESSCEYFRSKGVTVAIPWSGMTAERSCSLSDFANYSSKTQRQCVRESERFSFGSWFSIDGSCRGSMTWPEEKLPSWDSPLSEVESEDEELCLKNLCHDNCVPETWGLSVVAAGLDGTIKTFHNFGFPARL >RHN41675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32001994:32002750:-1 gene:gene48021 transcript:rna48021 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLTSGEHIKLFTYVYFINVILFRAKTCIYLINFTSSSVGTTSPKSLALQSEFDFTGIVSFHLQIFFFL >RHN47890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43349211:43364400:1 gene:gene42541 transcript:rna42541 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASVVSSGENELLWKSEPQTESIISVTLSRAVTSLLTARPNKLHDSISRLSSSHSPSPTASLHDSLRFFHTYVTDAVNHNRSFDQLLLPIIHSSLKCKDSKHSGQAIILLNWLFQDELLFIPVAEALASIITRNHDRYLSFGWCLLLRSIVDYESSVHQSLLSGIRDRYSDLLKILSTCLHDLAEIVSKESTLQDGFELPSRLGVSAADCFLTISGALTKAAKLQDKKSKFNTKAKDQAITYVQSPTIDKKVKSDSKSLLMSKFERDYTLWHHLDDLIFLVQRLLSWSKKSRFLHAKGLEQVLKWLEEIKNHYGSFQPEADSNAFKTGDLLLSSCWKHYYLLLHLEDPKFSQHYKELMDQYLSGIQYYLDNHASGSADNKDSGLETTKFFLNCLCLLLGRLDSKRFESTMSEIGMKISRILVPQLNCTDEDVVVGVVSIFKAIILKPNHSQEDSLVNNRQANIVIPFLLHLLDEQDGTSRAVVMLIAEYCSISKDDMCLVEVLKRLASENVSQRRNAMDVISEILHISSESKQPLPYSAWQETANTLLERLGDNDIRIREQASKLLPKIDPSLYLPALVRLVYSPDENQSSASDAIVGVLKRHNQNIEIIFLVVDCLNNISQSLDLPQSAGDKESKLDIARVLKLVPEWSKSVQDWNNLIGPLIDKMFTDPSNPVIVKFFSYISEDLTNVVDLVLHHVLLHVREQKEIDESFLARWECRSYSSDEYEEMQRTLFEHLCPLLIIKMLPMKTFDNLNSSVMYGHLSQNKTHGTIMTLSL >RHN44895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9546498:9550669:1 gene:gene39072 transcript:rna39072 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLAYNSRIRQVYQIVYQVIKCKYSIVYPHGLSFRPNNL >RHN58562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2818538:2818936:1 gene:gene20484 transcript:rna20484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-Xb-1 family MKSSNVLLDNEMESRVLDFEMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGEVYSFGVVMLELLNGERPGDKEDFGDTNLVGWAMIKVHEGKQMEVINTDLLLEIQGGTNEAELKEVIGTYRQLI >RHN50905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11702622:11703654:-1 gene:gene35257 transcript:rna35257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MKNTAREIQTSYNLVTFLCNTVAPLNDKPMIVVNYNDEEKHFAAEEISSMVLTKMGEIAEAYLGSTVKDVVIIVPTYFNVSQHAIAYGLDMKPFNHGCIHVFIFYLGGGTLDVSILTFEIGAINVKAIAGDTHLRGQDFDNTMVNHFVKEFSRKYKIDISGDPRALRRLNSACEKAKRILSSNTTTTIEIESLNQGIDFYSSISRAKFEELNKNHFDKCMEIVEKCIIDSGMDKSSIHDVVLVGGSTRIVKVQQMAVAYGAAVHASILSGERSEKVQDLLLSEVIPLSLGLETRGGL >RHN80085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34990791:34991111:-1 gene:gene3964 transcript:rna3964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I13, potato inhibitor I MEGRCLGKNKWPEVVGMDGDEAAEKIERENEKVNAIVVPEGSVVTMDFKCTRVWVWVDKHGRVTRMPKIG >RHN76736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:861085:864371:1 gene:gene107 transcript:rna107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MSFTLVSIVLHFFALTAMVEGAFLSATVESLLHKLASSEFTDYIKYSELNILKLTVFVTTLLTLRSVLHDAEQKQFFNPKIKQWMNELYNAIVVSEDLLDEIGYDSLRCKVENTPPKSNFIFDFQMKIVCQRLQRFVRPIDALGLRPVSGSVSGSNTPLVINEFVIIGREDDKERLMSMLVSGNDNDIDTSGNNNNNKLGVIAILGDGGVGKSTLARLVYNDKKVDEHFDLKVWVCVTEDFDISRITKALLESVSSTIAYVGNDLDDVRVRLKGGLMRKRFLFVLDGLWNDSYNDWHDLIAPLVNGNCGSRVIITTRYERVAEVAHTYPIHKLEPLSDEHCWSLLSKYAFGSGDIKYPTLEAIGKKIAKKCGGLPIAAKTLGGLLSSKLNAKEWTEILNSNIWNIPNNNILPALLLSYLYLPSHLKRCFVYCSIFPKGYPLEKKHLVLLWMAEGFLEHSMVGKVEEEVGDDFFMELFSRSLIEKFKDDADREVFVLHDLVYDLATIVSGKNCCKFEFGGRISKDVHHFSYNQEEYDIFKKFETFYDFKSLRSFLPIGPWWQESYLSRKVVDFILPSVRRLRVLSLSNYKNITMLPDSIGNLVQLRYLNLSQTGIKCLPATICNLYYLQTLILCWCVDLIELSIHIGKLINLRHLDISNGNIKEMPKQIVGLENLQTLTVFVVGKQEVGLRVRELVKFPNLRGKLCIKNLHNVNEACDANLKTKEHLEELELYWDKQFKGSIADKAVLDVLQPSMNLKKLSIYFYGGTSFPRWLGDCSFSNMVYLCLSSCVYCVTLPPLGQLTSLKDLQIKDMTRVETIGAEFYGMTSGGTNFPFQPFPALEKLEFERMPNWKQWLSFRDNAFPFPRLKTLCLSHCTELKGHLPSHLPSIEEIAIITCDCLLATPSTPHSLSSVKSLDLQSAGSLELSLLWSDSPCLMQDAKFYGFKTLPSLPKMLLSSTCLQHLDLTYIDSLAAFPADCLPTSLQSLCIHGCGDLEFMPLEMWSKYTSLVKLELGDCCDVLTSFPLNGFPVLRSLTIEGCMNLESIFILDSASLAPSTLQSLQFTVLL >RHN63880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54712353:54714063:-1 gene:gene26635 transcript:rna26635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, EAG/ELK/ERG MKIGEKIFSIGFLFINIGVVAYVVGNISHVLAPDVGPTGRYQARRLRVLSFCRQHNLPMHLQNEVSTHLELEYASNLEPSEEHGIFKSLPMPICKMILNYLYNNYMTDSYLFEGVSNAIRLQLVHEMEPVFYLANSTVILQDEFPVCFYFVVRGSVELKSFKNGVERDAGTAIAGNVFGQSCVLCNKPQLFTAKTREVCQLLKLDRDTLNDILKENPIAASNIMDNEILILKELVEEHNDPDMTDVLGEIERKKARDEAPGIA >RHN73518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17278226:17280296:1 gene:gene9340 transcript:rna9340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytokinin dehydrogenase MGPCVLFIGQGNIVTCSQEKNSEVFYAVLGGLGQFGVITRARILLGPAPTRANQEHLISFDRRNDSNGADYVEGMLLLNKPPLILSFYPPSDHPRITSLVTQYGITYVLELVKYYDTNSQANITEEVDNLVKGLKFVPTFMFQKDVTYEEFLDRVHSEELILRSKGLWDVPHPWLNMFIPKSRISDFNEGVFKGIILKQNISAGIVLVYPMNRNKWDDRMSAITPDEDVFYTLALLHAAKEMDEVKTFQAQNHQILQFCNDAGIKVKEYLSGNKTHQEWVEHFGAKWQQFEERKAKFDPKRILSPGQGIFQ >RHN50857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11199026:11211126:1 gene:gene35196 transcript:rna35196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MSLEPIPLDRKSSSLRERKQGSSESLGSVARWRGSPSPSHHNRRPHEFNRSGDFRRLAGHRRMGSWQQFPEEREHMFSRSCDKKLEEDNYRPSFSRGEGRYERGNKESRGTFSQREWRGRSSENVNNAPNMSRRQTGASNDRKSVDDMLTYSSRPNSDLVNTWEQHQMKDQHNKMDGVNRFGIGQRCDRDSSMGTVDWKPLKWTRPGSSRDSGFSRSSGMRSLGGTSLLGSCEGKVGLQQKFVTADESYSREAATFRTSSAPSEETNSKKPRLNWGEGLAKFEKKQVEVPEVTSNKDDPVSPPFNMESNNFLSPGLVDKSPKVLGVAGCASPTTLSSTPCSSSPGADDKLFGKVATLDCDVENSGCSPGPGCQSHLQMFSFNLEKVDIDSLTRLGSSLAELLPQFDNLNSIDCSVLSSTTMNKLMLLKADISKLLEVTETEIDSLETELRSLKSKSEGRFSCSTAIGSLVCCNSKSCDKHVGASDKVAHFEPLQIVSSDDLVVEKTPFSSNLLDNPEPARSKFVEHLPMINAVSRCDVGRYGNCLEDLDGIQSTSVQCLVPCTYRHVANVSSCGNNSSLEVVSSCGDNNSSLEVKNGVDAKSSASFYSSTEDNLYDQIISCNKKIAKEASDVIAKLMPEKCRKNNNIGASSSSCSHDGTFIMEGFAKRRRSARLKERVVTLKFKALQHLWKEDSSLLSTRKHRPKSQKKIELDLRTTNNGHQKKRSSFRFPSPAANKLRLVPTSEMIKYTSQLLSESKHDIHRSTLKMPALILDQKEKMNSMFLSSNGLVEDPLAIEKERAMINPWTSEEKEVFLEKFAAFGKDFQKISTFLDHKTTADCVEFYYKNHKSDCFEKIKKKDDDKVGKFFNAKTELIKWNSEANTSSLEVLRAASVTADGIALNRKMRSGRSLWRGYDNKTMSKGDKIIAEKPNSHAIIQEERETNAAADVLASICDDFHLPEAASSSITSSADPVKGKRVRKSVKARAICKQPPMPVMTQSIDPESCSDESCGEMELTDWTDVEKAAFLHALSSFGKDFAKIAQCVGTRSQFQCRAFFCKTHKRIGMDLMGERAGVVGSPGDDDVDGGRSDADNACIPETASANGSDTSGSKTVVDQPAYDKNLYQEESNPLVARNLSAESDESEEINGKADRKEVNIFSNEYVTGSESKLGTDCNAAALYSFDGPGTVQHQSAIVISDSTEIVKDKPSEGGGAVTELVSDMGTIEPCCSNSAAEGRLVSDGSSGLQGNELEASTVCRVDTDEVVTDVVIELKDNVHNSRTSGNTSLSPVEASCSRLSADAENEPQLCLEKPQFSGLSEGPVTKLNMNMICSSSATELPLLPQKVEQQGPHKSLQCLPNSEDTPNVKIFGKVLTIPSSTQKPNSSAKRNEENGTHDNTGTESAKLKVYDNDFRGIDFNAPIMNSGHGSTVQPAFSPPLPDFNAPYMGCSHGNTIQSGFSSLPASSILLAKYPAAFGLNAQPFIDDDMRRANGSEEKEDPSS >RHN67498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27726067:27726873:-1 gene:gene15691 transcript:rna15691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >RHN41402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29724404:29725495:1 gene:gene47720 transcript:rna47720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-PERK-1 family MPNEIATLINKLFMASICRYMAPEYATSGKLTDRSDVFSFGVVLLELVTGRKPVDESRPLGDESLVEWARPQLIHAFETREFGELVDPRLEKHYVESEMFRMVEAAAACVRHSAPKRPRMSQVVRALDTGDEVFDLSNGVKYGQSTVYDSRQYDKDIMLFRRMGNGSYVDSELSIYSGESSVSREHSRSHHAWMHSGSSTESESRAFNRNRNSTE >RHN75393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41896712:41902393:1 gene:gene11585 transcript:rna11585 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSFKNNTFILFLFLFLFFFCFILTETNKQKLFLPLTAQKKNMTLSSSSSLSFTISNTSQSIINNTIPSLNTFCSITLNNNSFNKTHHFRIHCINQGPLYPLEPSKSDQPSIGVNDGGNDDDGGDNFNGGGNGDDGGGEEEDGDEEFGPLLNFEAVMKEAEARGVKLPSDMEEAARVTGIREMFLLRYLELQGSAWPLSFLMKNCSMLRNRMLADPSFLFKVGTEIVIDSCCATFAEVQKRGKDFWAEFELYAADLLVGVAVDIALVGLLAPYARIGKPALSKGLLGRIQHACAALPSSVFEAERPGCKFSAMQRIATYFYKGALYGSVGFGCGIIGQGIANMIMNAKRSISKSEDDIPIPPLLQSAALWGFFLAVSSNTRYQIINGLESLVEASPVAKKVPLVAMAFTVGVRFGNNIYGGMQFVDWAKLSGVQ >RHN59545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11844531:11845472:-1 gene:gene21587 transcript:rna21587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MEDLISNLPDSLITHIISCLPTKDAVRTSVLSKKWLNHWISITKLDLDDGVFYSQRRNKSGAKQNFINFVNRTLLFTPRNNVESLSLVITKDYDASLLNAWIFCILEKCVKKICINAICEVPFSTLTSHYLFYHSNYLEELVLKMCCCAIKVPPSSYGYFTFGSLKVLNLCAIIFTIEESLVIHLPVLTKFKTKNCLWLSAYDVTVEAPRLKTVCIKEDFKPLTRELRSCKIKFSASCLKEFTYCGHDGIMQPIVLSNPSVAYDAYVNIIIYKRGSIVDREIGSSACLLLKQFSQVKCIKFHIWGEVILNHLT >RHN56888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34484742:34487674:-1 gene:gene32352 transcript:rna32352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MEASHERERIDQFFNFFHQQQQPTMSFSIIDASKKRKRWTKIFPLQSFADPGCPISPSGPFRENVRLFLQEAGELEDYTVMGNPLWCTFLIHEKKNLMVPFYALEEEVYNSSHPFCDHCRCVGWSGHFVSKRRYHFIIPMDDGWHKPLNEEALDDQSHLLHGVIHCNGYGHLVCLNGIEGGSKFLSGREIMDLWDRICTNLRARYIAVEDASRKRSMDLRLLHGVAYGHSWFGRWGYGFCRGSFGVTQQNYDEAIEILGSLVLDDIVRDLSKTKYHKDVKQMIRFYRDMSETHIITIRELLRFMLTIKSRRPVSKMTVTFSSPNAVSDTDSPSCSTSAFMSRNASKPVLSSSRSNFTMKEKSARYKKFSTAVANMDSRWPTRRLEFAAQVIVEALKEDKAMKPGSSGMTRQDVRDAARLHIGDTGLLDYVLKSLNNVIVGNYVVRRTVNSSSRILEYTIDELRKGHQAPEMEHGVLTVADKPQVESSTLSSSSSSVPGNDVYSDVVYLYKNVLLGYPDSEAVELAVQTILDCRHFVKEWKLRDEMEQVLTFICHLKPNFVENKSDLKGPSCGEIVTVPLHATVRDLKQTAEAALRDTYCIAERLIVTDIKELMDVEDEEVIFGQIESGVKLSVRGIGIDLCTPLKYQGGSDNWKVRCECGAQDDDGERMVACDICEVWQHTRCCGIDDSETVPPLFVCSGCCDSLMPPRIESSSFGMVDCADSFLVSPEPTHLLEYYGY >RHN82231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52172448:52178282:-1 gene:gene6376 transcript:rna6376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MWGPQNINSYNKNVFVSPIQAKTTYFYKLVHNYHVTLFTSLSPTLSFILPNSSHSLFSTMEDGDWVLVRSPSQKDLWNPSSPNAADNEPSRPLKLTFSAPAKYWTDAIPIGNGRLGAMVWGGVQTELLQLNDDTLWTGIPGNYTDKNAPEVLAEVRKLVDDKKYPEATTAAVKLSGAPSEVYQLLGDIKLEFDDSHIKYSEESYHKELDLDSATAKIKYNVGDIEFTREHFASKPDQVLATKFSTSKSGSLSFTVSLDSELHHNSRVSGQNQIIMEGSCPGKRIAPQMNSSGEQKGIQFCAVLDMQISNDKGVIHVLDDKKFRVEGSDWAILLLTASSSFDGPFTNPEDSKKDPTTESLSKMKSAKSFSYDDIYARHLDDYQNLFHRVSLQLSKSSKTVLGKPILDEKLVSGQTNISQLGGDSAVPTSSRVNSFQNDEDPSFVELLFQYGRYLLIACSRPGTQVANLQGIWNNKVAPAWDGAQHLNINLQMNYWPSLSCNLHECQEPLFDYISSLSVAGSKTAKVNYEANGWVAHQVSDLWAKTSPDRGEAVWALWPMGGAWLCTHLWEHYSYTMDKEFLKSKAYPLLEGCTLFLLDWLIKGPGGLLETNPSTSPEHMFIAPDQKQASVSYSSTMDISIIKEVFSIVVSAAEVLGKHDDAIIKRVIESQSKLPPTKIARDGSIMEWAEDFQDPDVHHRHVSHLFGLFPGHTINLEKTPDLCKAVDYSLIKRGDEGPGWSTTWKAALWAHLHNSEHAYRMIKHLIILVDPEREKDFEGGLYSNLFTAHPPFQIDANFGFSSAIAEMLVQSTTKDLYLLPALPRDKWANGCVKGLKARGGVTVNICWKEGNLHEVGLWCQNQNSKMRLHYKGSMVVTNLSPGKVYSYNNWLRCIKTYPLNEVNL >RHN65358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1439700:1447989:1 gene:gene13186 transcript:rna13186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ceramide kinase MEREGNDGDLGGERDKSLPGTSLDRKPSIFNSTLLLYNVGEVILSLNSDGLSWKSVEPLENDVSTCLGIKYVSKVASEIKLSDIYAVELIDNSSIHKLNLPRGTERTLSSHDRKMFHFTVHGFIKSKNQPSQWILAEYTFGHTNLQTCLMWVNQLDSSLKLEVGRPRSLLVFVHPRSGKGNGCRNWEAVAPIFALAKVETKVIVTERAGQAFDMMSSLTNKELNSYDGAIAVGGDGFFNEILNGFLSPRLKAPYPPTPPDFVHLAKDKGDSLVVDENEVFEETSSQSEDQFPLISSANQSGLRISNSSSEDKAPEFPVPNEWFRFGIIPAGSTDAIVICTTGARDPITSALHIVLGKRVHLDIAQVVRWKKTPRSEVEPLVRYAASFSGYGFYGDVIKESEKYRWMGPKRYDYAGTVVFLRHRSYEAEISFLDDESEETDSTTSKRSRESSLLRGLKSPRRSERCICRINCKVCNEKPNNAAAGTCSLTPHLNSEKRRWVKSKGRFISVGAAVISNRNEKAPDGLVADAHLSDGFLHLIMIKECPHASYLWHLTQLTKRGGSPLNFKFVEHCKTPAFTFTSSGNESVWNVDGEMFQAHQLSAQVFRGLVCMFATGPEI >RHN59551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11872495:11886270:1 gene:gene21593 transcript:rna21593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SAC domain-containing protein MSKSENSMGLGSTTTTTTSSSVSSKIHPSNHPPELDPSSYALEKFKLYETRARFYLIGSDRNKRFFRVLKIDRSEQSDLSISQDPVLYSQQEIKSLLQRIAEGNRATGGLTFVAKVFGIAGCIKFLESYYLILVTKRRQIGSICGHAIYSIKESQLITIPHVSIQSDLAHSKTELRYKKLLSSVDLTKDFFFSYTYPIMQSLQKNVSSDQEGGMPYDNIFVWNAYLTQAIRSRCNNTIWTIALVHGHFRQARLSIFGRDFSVSLISRRSRHFAGTRYLKRGVNDRGRVANDVETEQIVLDEEAGSCKGKMSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYQATKFHFEDLAQRYGNPIIVLNLIKTVEKRPREMMLRREFANAVGYLNQILPAESHLRFIHWDFHKFAKTKSANVLAVLGAVASEALDLTSFYYSGKPNIVKRANKSNRTSTARDASLRDLTASSGDLARLGISSEVLNSMANRDRETDMNHQNKDDYFNSDTPHFQSGVLRTNCIDCLDRTNVAQYAYGLQALGRQLHAMGLSDVPKVDPDSSIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFKPQEGKPALWELDSDYYLHVSGIGDDLFPEKFCEPNLKSSGRDGTIFTPVPACRDDFSRIKLTSFDKLIEKTCSTIKNVRLSCEPDQKPGGVSGNSGVAPDAAEIQLKSPNWLFGQRKYEEGSSAAKVASSETDIEGCHANDFCNLNWLSSGNDMNEEDVFHRYFTMTSANESNGWYGGTLLGDQDESSEIYRHYAELCQGPAFELFQDDHEREQHYADALSMSSFEIINEAGVAAEMEAALKEYDQVGADLGIIPSSCKFFADDPSWLTRWLIGDEKVPRI >RHN60629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28985685:28988870:-1 gene:gene22974 transcript:rna22974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MNDDRRPKRRDAPSEIVCYKCGEKGNKSNVCGRDERKCFRCGQKGHNLAECKRGDIVYYNCNEEGHISSQCTEPKKVRTGGKVFALTGTQTTNEDRLIRGTCFFNSTPLIAIIDTGATHCFIALESAYKLGLIVSDMKGEMVVETPAKGSVTTSLVCLGYPISMFGRDFVVDLVCLPLSGMDVIFGMNWLEYNRVHINCFSKTVHFSSAEEEGEVELLSTKQMKQFERDGILMYSLMAYLSVENQAVIGRLPVVNEFPKVFPDEIPDVPPEREVEFSIDLVPGTKPVSMAPYRMSASELAELKKQLKDLLDKKFVRPSVSPWGAPVLLVKKKDGSMRLCIDYRQLNMRQRRWLELLKDYDFGLNYHPGKANVVADALSRKTLHMSALMVKEFELLEQFRDLSLVCELSPQSIQLGMLKIVSNFLNSIREAQKADLKFVDLMTTGSDAEDSDFKVDDQGFLRFRERVCISDNDDLKKLILEESHKSRLSIHPGATKMYHDLKKLFWWSGLKRDVAQFVYACLICQKSKVEHQKPTGLMTPLDVPEWKWDSISMDFVTSLPNTPRGHDAIWVVVDRLTKSAHFIPINISYPVAQLAEIYVHSIVKLHGVPSSIVSDKDPRFTSRFWKSLQDALGSKLRLSSTYHPQTDGQSERTIQSLEDLLRVCVLEQGGAWDSHLPLIEFTYNNSYHSSIGMAPFEALYGRRCRTPLCWFESGESVVLGPNLVHETTEKVRLIREKMKASQSRQKSYHDKRRKALEFQEGDHVFLRVTPLTGVGRALKSRKLTPKFIGSYQISERVGTVAYRMGFQHIFRTCMMCFMCRSFGSM >RHN52677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37641191:37642402:1 gene:gene37375 transcript:rna37375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MMQSTDSVIAEKVSNHIHDDLAFSILSKLPLKSLKRFSCVRKSWSCLFENSNFLNMYRNYFISSTYEEDGPCLLLQQTLPYLPNLHVLYLLFGERFENKVKLNWPPPFQEDNIAIHILGPVINGIVCLYQGREPVVILWNPATEEYKVLPPSPTESPVLYEEVYYYVHGFGYDHVRDDYKVIRYVSYSLDVPDDFEGDIDGEPIKLSRDNMWEIYNLRSNSWRKLDLDLPRAHHGWVGVYVYMKGVCHWYQDEFEHKGYLVSFDISNEVFCTTPLPLYMNDSFDSVFLLRYLMVLNDHVALISNYVEMTTFHISILGELGVKESWTKLFVIGPLPCIEHPIGEGKNGDLFFKRKDGELVRFNLSTQVIDELGLKGEFGCCQIVNYKESSLPIGQINDRLCLCQ >RHN46349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30916777:30917934:-1 gene:gene40831 transcript:rna40831 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRQSTTDNGFVNESSTFIISDDLFVRPNHLGTSLNLLQNLGINDFDDIDKQIVNISKKEACFLSFYIIDLLKFSLLSKSPLSDFIFKKEHFVGNLDPRNRLEFWIGEVEEPCNESDEMVVKVVRRKSNEQILFVEGDENFSDFILSFLTIPLGGVVLMFEGLSFLCCMDNLYNSMTELNPDRCLRSQYVKDRLCEPQVGMHLELRNQILPIGETNSSGYIIHCKDHKKEVIFVDPKSPISGGFLRGPTSFMVTDDLVVSPMSLISGLAYLERMKVPLNDVEERVIRIGVKEGLSILKASLTSTSSLTNGLSLSIMEQFLYQNYL >RHN66312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10692271:10695131:1 gene:gene14267 transcript:rna14267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MMEDVVIRKCAKLLAMPKMIPRSTCLQHLKLYSLSSIAALPSSGLPTSLQSIEIEFCLNLSFLPPETWSNYTSLVRLYLSHSCDALTSFPLDGFPALKSLTIDGCSSLDSINVLEMSSPRSSSLQYLEIRSHDSIELFKVKLQMNSLTALEKLFLKCRGVLSFCEGVCLPPKLQKIVIFSKKITPPVTEWGLQDLTTLSELMIKEAGDIVNNLVTESLLPISLVSLDLYKMKSFDGNGLRHLSSLQRLDFCQCRQLQSLPENCLPSSLKTLRFVDCYELESLPENCLPSSLESLDFQSCNHLESLPENCLPLSLKSLRFANCEKLESFPDNCLPSSLKSLRLSDCKMLDSLPEDSLPSSLITLYIMGCPLLEERYKRKEHWSKISHIPVITINNQRTI >RHN51285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15808140:15809123:1 gene:gene35701 transcript:rna35701 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAERYQRNNSVDAATLSMRNFPFSANDTTSFITEDRYGRALPLGSCLTSGPQQHMSSPHSTLLSSYRADIAQNSSSNIVGSRGFANWSRNPSRPIIQNQSNHRYHPYGEGSNRRNNSFISNDFNLRPSGNPNRFHSVNDGSIPSAYRPPFCPINNNDLNMFLSSGNANWNSTHDTSTSHRVFGIRDNGARNISIPPPFCPTNNNDFNPRASVDTNRFHVNDVSVPITTPPFCPINTEDMSMFISSGNDNLDSIRATSTSHRVFDIRDTDACRRPMAPPDNCSEGIQNEKKELLLFKDTIPKSSMAEGADDANENDDEHLDLKLHL >RHN52471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35665673:35668345:1 gene:gene37155 transcript:rna37155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, leucine-rich repeat domain, L MDLEEYMLCAHYGQCMKYHIGVLVKKSLLKICPRGYVTLHDLIEDMGKEIVRQESPKEPGKRNRLWFYEDIFQVLEGNSGTSQIEIIHLDFALPEEIVEWKGDEFKKMKNLKTLVVKTSFFFNPHVHLPNSLRVLEWHAFPLHEIQSDFLPKNISLCKLPNSGLTSFKLANSLKERVMISSSSSCRQKNYLLTIHFLLFYFYFSLLQMFIGMTVLGLDDSKCLTEITDISGLQNLKEFSFERCNNLLTIHDSIGFLNKLKILNAHKKITIIYDYMDLECFLGYQGYHLLPNQSDKPSPMVSTNVQSLVHRKCNRTDESFPIILKWFANMTHLDLLKSNFTILPECLQEIRWFPPNLKCLSALNCKSSSSSCRNMLLNQVLFFLVFNLIFHSILYICKLTSSL >RHN77274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5602803:5608228:-1 gene:gene712 transcript:rna712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative actinidain MDSNTLSPAMKLMIVLIISSFTVSLALDMSIISYDKTHPDKSTSKRTNKEVLTMYEEWLVKHGKSYNGLGEKDKRFEIFKDNLKFIDEHNEYRSKFLGTKIDPNRRMKKLGGSKSNRYAPRVGDKLPESVDWRKEGAVVGVKDQASCGSCWAFSAIAAVEGINKIVTGDLISLSEQELVDCDTSYNEGCNGGLMDYAFEFIISNGGIDSEDDYPYKAVDGRCDQNRKNAKVVTIDDYEDVPAYDELALQKAVANQPIAVAVEGGGREFQLYEYGVFTGRCGTALDHGVAAVGYGTENGKDYWIVRNSWGGSWGEQGYIRLERNLASSRAGKCGIAIEPSYPIKNGQNPPNPGPSPPSPIKPPSVCDSYYSCAEGSTCCCIYEYGRSCFEWGCCPLESATCCDDHYSCCPHEYPVCDTRAGLCLKGKNNPLGVKSFKRTPAKPHWAFGGKNKMSNA >RHN43046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42809361:42814326:1 gene:gene49567 transcript:rna49567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain-containing protein MANMENLDAKIEQLLNVEKQMRLAGEIVGTRKAAIDILQLCFEAKAWKTLNDQIVVLSKRRGQLKQAVTAMVQQAMQYIDETPDIETRIELIKTLNSVSAGKIYVEIERARLVKKLAKIKEEQGLIAEAADLMQEIAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDIDASKEKKKPKEGDNMVEEAPADIPSLLELKQIYYELMIRYYSHNNDYLEICRCYKAIYEIPSIKENPADFIPILRKICWCLVLAPHSPMQSSLLNSTLEDRNLSEIPNFQLLLKQLVTMEVIQWTALWETYKNEFDNEMVSGKFLSEKAAEDLKQRIIEHNILVVSKYYARITLKRLAQLLCLSLEEAEKHLSDMVVTKALVAKIDRPMGIVCFQTAKDSNDVLNSWAANLEKLLDLVEKSCHQIHKETMVHKAALKV >RHN67495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27682859:27690187:-1 gene:gene15688 transcript:rna15688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome activator Blm10, mid region MHLYNAWLPPPVAAQTAGERDSFARIIAYVDSSFNRDDPESVYSTLKYISVLDIFIKAKSDVSLEDVRTLIQTGLELFHMSRNKLYAQVRWGNLLVRLLNKYRKKIALTIEWRPMYDTLICTHFTRSTDPEGWRLRQRHFETITSLVQSCRRFFPSGSAFEIWSEFKSLLQNPWHNSSFDGSGFARLFLPTNLDNQAFYTHDWITECIGLWESIPNCQFWNSQWADVIARVVKNYHNVDWEGLLPLLFAKYLNMFEVPVANGSGSYPFSRNVPIDTRFLFPYRTSTPAKAISKSIVYLLRPGSSAKQHFEKLVNILEQYYHPSNGGRWTYSLERFLFHLVIQFQKRLQNEQGINNCRPTEQHLGESERVFFVNTMLKLIDRGQYSKNTDLSETVAEATSILSYVEPSLVLPFVASRFQMALETLCLAFVGRSLFYTSVSASSMKQVDLGGGDEAFIDLVGVSLSNALLGMDANDPPKTLATMQLIGSIFSNLALLDDKIDDLSFMPKIRFSEWLDEFLCRLFSLLLHLEPSSVLNEGLHSSGTFLFDEGIYYFHVHEILLGRLSKSLYNQALKKISKFVTTNILPGAIPEVGLLCRACVHSNPEEAVNQLVEPILVSVTSSLKGTPGTGFGGGGTFDTSASTKVRSTISPALEAAIGYQLMILAVGIWYGGPALLRYKDQLKEVIFLAFDSPSFEVNHAANHLLRSLLGSQITYYPIEQYKCVLSHPVVVALEEWISTKDFSADERLTPKWHIPCDEEIHFANELLDIHFNSALDDLLKICQTKIHADEGDEKEHLQVTLLHIELSLQGLFSCLPDFVPASKNGMVEDSNHTFLIAGATGCTVGTTALRVKATEIVHAACKYVIENKSDDSFLLILVIAIIEALGNYGIEFSIQSSVCILFQILFVHAL >RHN82300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52665028:52666007:-1 gene:gene6456 transcript:rna6456 gene_biotype:protein_coding transcript_biotype:protein_coding MFANYYCTILLLSHNILSLLYYLTTPNHYFSHLSQHTLALVKQDSFFLHTNIVYSLFCNNVKPLL >RHN71858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3109833:3110268:-1 gene:gene7498 transcript:rna7498 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGTTPAAIMKINGGRRIICGRPIPRRGQVKLGIVLGLANSVVCIFTTSCAAPSHLTH >RHN51904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28066405:28072463:-1 gene:gene36470 transcript:rna36470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructokinase MAHSATPSVESNDLIKEDHKETTQTSSLVVSFGEMLIDFVPTVGGVSLAEAPAFKKSPGGAPANVAVGISRLGGSSAFIGKVGADEFGYMLADILKQNNVDTSGMRFDSNARTALAFVTLRSDGEREFLFFRNPSADMLLHESELDIDLLKKARIFHYGSISLIDDPCKSAHIAAMRIAKSSGCILSYDPNLRLALWPSAEAARNGIMSIWDQADVIKISEEEITFLTGGDDPYDDDVVLKKLFHRNLKLLIVTEGSKGCRYYTKEFRGRVGGVKVKPVDTTGAGDAFVSGFLYSIASDPSIFQNEKSLRKALYFANVCGAITVTERGAIPSLPTKEAVLQFLLEAAVI >RHN69490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43831409:43839880:1 gene:gene17969 transcript:rna17969 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-sugar pyrophosphorylase 1 MASSLGDNFNLLSPKQQELVKILLNNGQDHLFRDWPAPGVDDDEKKAFFDQLVLLDSSYPGGLESYINNAKRLLADSKAGTNPFDGFTPSVPTGETLTFGDENFIKFEEAGVREARRAAFVLVAGGLGERLGYNGIKVALPAETTTGTRFLQHYIESILALQEASSDGEGKTQIPFVIMTSDDTHGRTLELLESNSYFGMQPTQVTLLKQEKVACLDDNDARLALDPKNRYRIQTKPHGHGDVHSLLHSSGILKVWYNAGLKWVLFFQDTNGLLFKAIPSALGVSSTKQYHVNSLAVPRKAKEAIGGITRLTHSDGRSMVINVEYNQLDPLLRASGYPDGDVNSETGYSPFPGNINQLILELGPYIEELTKTGGAIQEFVNPKYKDSSKTAFKSSTRLECMMQDYPKTLPPSARVGFTVMETWFAYAPVKNNAEDAAKVPKGNPYHSATSGEMAIYRANSIILKKAGFQVADPVLQNFNGQEVEVWPRITWKPKWGLTFSSIKSKVSGNCSISHKSTLAIKGQKIFIENLAVDGAVIIDAVDDAEVKVGGSVQNKGWTIEPIDYKDSSEPEVLRIRGFKFNKVEQVEENYSKPGKFQFKG >RHN74020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23701766:23710185:-1 gene:gene9946 transcript:rna9946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylate kinase MLHTIPSSSYVHSTTLLPHSLLSSSSHQPCLLLQHHNFNTQYLHLTNFSRHANLPLRPKATRKVINCSTSEPLKVMISGAPASGKGTQCELIVNKYGLVHISTGDLLRAEVAAGTEIGNKAKEFMNAGRLVPDEIVTAMVAARLSCEDVKQKGWLLDGYPRSFSQAESLEKMQIRPDVYIVLDVHDEILIERCVGRRLDPVTGKIYHEKFFPPETEEIKARLVTRPDDTEEKVMSRLNIYKQNAESVSSSYSNITNKIDGSRPKEEVFKDIESLLSQLQQDSVKIVKSGEKPIPGMKKGQASLIQDKWRGIPTRLNNIPHSREMRKYFYDDVLLATQRAINDGKTRLKVDINIPELNPEMDVYRIGTLLELIRSLALSFADDGKRVKVCVQGSMGEGALAGMPLQLAGSRKILEFMDWGDYGAKGTFINIGSIGAAEVEEQDDIYFLVAPQNAVGNCIIDDLKAMTTAAEHRPVILINAKLKDLPASSGIMQTMGRDKRLEYSASFESCYLFRLLYYAGTQYPIMGALRMSYPYRYELYKRVEESPGKEKYALLSTFPERPTIDEINDSFEGKPRNGTKKASGFWGSLSGMFF >RHN58831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5287237:5290592:1 gene:gene20784 transcript:rna20784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative retrotransposon gag domain, aspartic peptidase domain-containing protein MEEENSQLRTELAALKEELAKAHDAMTALLAAQEQPVPVVSTAANVTPTVTTDPRFIMPSGFPYGLPPYYTANTGAGTSGITNSGPISGANLTPVSTALTQAATTVTEPIVNTVPQFVHANAHRESIATTGTMEERMEELAKELRREIKANRGNGDSIKTQDLCLVSKVDVPKKFKVPEFDKYNGLTCPQNHIVKYVRKMGNYKDNDSLMIHYFQDSLMEDAAEWYTSLSKDDVHTFDELAAAFKSHYGFNTRLKPNREFLRSLSQKKEESFREYAQRWRGAAARITPALDEEEMTQTFLKTLKKDYVERMIIAAPNNFSEMVTMGTRLEEAVRDGIIVFEKAESSASTSKRYGNGHHKKKETEVGMVSAGAGQSMATVAPINAAQMPPSYPYMPYSQHPFFPPFYHQYPLPPGQPQVPVNAIAQQMKQQMPVQQQQQQNQQARPTFPPIPMLYAELLPTLLQRGHCTTRQGKPPPDPLPPRFRSDLKCDFHQGALGHDVEGCYALKYIVKKLIDQGKLTFENNVPHVLDNPLLNHAAVNMIEVCEEAPRLDVRNVATPLVPLHIKLCKASLFSHDHAKCLGCLRDPLDCYTVQEDIQSLMNDNLLTVSDVCVIVPVFHDSPIKSVPLKKNAEPLVIRLPGPIPYVSDKAVPYKYNATMMENGVEVPLASFATVSNIAEGTSAALRSGKVRPPLFQKKVATPTIPPVEEATPTVVSPIATDMNQSGKSIEDSNLDEILRIIKRSDYKIVDQLLQTPSKISVLSLLLSSEAHRNTLLKVLEQAYVDHEVTVDRFGGIVGNITACNNLWFSEEELPEVGKSHNLALHISVNCKSDMISNVLVDTGSSLNVMPKTTLDQLSYRGTPLRRGTFLVKAFDGSRKNVLGEIDLPITIGPENFLITFQVMDINASYSCLLGRPWIHDAGAVTSTLHQKLKFIRNGKLVTVHGEEAYLVSQLSSFSCIEAGSAEGTAFQGLTIEGAEPKKAGAAMASLKDAQKVIQEGQTAGWGKVIQLCENKRKEGLGFSPSSKVSSGVFHSAGFVNAISEEATGSGLRPAFVTPGGIARDWDAIDIPSIMHVSE >RHN59526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11674834:11675292:-1 gene:gene21568 transcript:rna21568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MASSSMKKIILKSSEGETFEIEEAVAVQSQTIKHLIGDQCANDTEIPISNVTGKILAMVIEYCKKHVDAVSSDELRKWDAEFVQVDQDTLLKLILAARYLDIKSLYDLGCMTTANNIKDKTPEEICKIFNIKDEYTPEEKEEVRRENSWAFE >RHN63533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51999846:52001166:-1 gene:gene26240 transcript:rna26240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MASAASPSPQPPLTVFQAPPIDGSISYEKVTTTPSSISRISPVILLVIIVLAIIFFLYGLFQLIIWLVIKRPSSSSHYNSNRFQESTRTLALQRQLQNLFHLHDSGLEQSLIDTLPLFKYQDLLGLKEPFDCAVCLCEFSEQEKLRLVPICRHAFHMNCLDTWLLSNSTCPLCRANISSSSFPLENVDDSLVSQREIIVEEEKVGKRVFSVRLGKFRNNGLEDGSSPSLDERRCYSMGSYQYHVLGDSNLQVVLSQSCCDDDDLRSEESGNVEGKRIGNRTKGESFSVSKIWLWSKKRKFTSSNVDFP >RHN51959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29184728:29185335:1 gene:gene36542 transcript:rna36542 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPVTFIFSGGKARNRRREGEKREKLWFRERKKDDQMKKPVPPCIYRPVNRTGSKPVQSPSILAV >RHN81489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46361861:46365943:1 gene:gene5538 transcript:rna5538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MAGTMNEIDCFNLFDNIDDIYPVDDVDTAAASLPSSAGNYNSLASIWPNESDSVFSGNSTSDLSAELPVDPFEDLLSLEWVSNIVDDSFCEESLTMKVEQQPSSSAVSKEDSGHYQFQTPSPISVLESSSSCSGGKTTGIYVPIPVPCGRARTKRPRPTAFNPRSAMQLISPTSSSVEENMQPNVISTKAMSSDFENFAESRIIVKKPKLSSGETKKKKKIKAPLPTAPADSGEQIGSLPVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFCPAVHSNSHKKVLEMRCKDSFESDSAELIPNTNVLALEYNI >RHN59990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16187493:16192388:1 gene:gene22168 transcript:rna22168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endothelin-converting enzyme 1 MFRDVSSCNTYDYGDAHYWDARYIQEGGSFDWYQRYSNLKPFLRHCFSLSSTILMVGCGNAVISEDMVKDGYEEIVNIDISSVAIDMMRKKHERLPQLKYLQMDVRDMSFFPDESFDGVIDKGTLDSLMCGTDAPISASQMLAEVCRLLKPGGTYMLITYGDPTVRMPHLSKPVYNWKITLYNIPRPGFHKPECSTSSKKSLLEPIPLTDKGLLPADWVMEDPDSHFIYVCRKVNDTNGDDIPPYRLNIDVS >RHN65583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3428233:3432382:-1 gene:gene13437 transcript:rna13437 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQIGHIQAKHHDTFSKTFCTLFLDKNTHCLQNKKDMKIYIHNQQDIHMISNIFIMKLHTNHPHHMPTFYEKIYHKKCTNLDQTQN >RHN47809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42683335:42688418:-1 gene:gene42453 transcript:rna42453 gene_biotype:protein_coding transcript_biotype:protein_coding MDNWRRNQRISNHHQVGLWRSSSSSYNGKPPLDNRFPTVPLWEKQFCASVGSVPWRKLIEDKRYMYMHPKVVNWDDSAVKEAFDNAKNRYWAEINGIPWDIPLPNPNIYIDDVDSNASVDSELHRDVEIEAEARHNIKEKGEAAVILGSSLLLNQSSSGLGLGPTGWGDEEDEKLTKPSEPNYAALGCESNQHENNETNLCEQYHAPVEHAAKEHGWQNGQNDSQGWNQRERYGGDFHNKYQGRNSGNWGTWDGYNRKKENNMSWSKNPGYHHGTNEYQMNRGRRRNGGRGGGGGRRGNFAYKVATPSAW >RHN76036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47165087:47170381:1 gene:gene12309 transcript:rna12309 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSFNIPNMPSTLTSRNSTINSMPTGAVQQPTSSLSSGRFTSNNLPSALSQLSHGSSHGHSGVNSRGGLGVSPILGNAGPRITSSMGNMVGGGNIGRISSGGLSIPGLASRLNLNGNSGSGGLGVQGQNRMMSGVLPQGSPQVISMLGNSYPSAGGPLSQSHMQAVHHLNSMGMLNDLNSSDSSPFDLNDFPQLTSRPSSAGGPQGQLGSLRKQGLSPIVQQNQEFSIQNEDFPALPGYKGGNGEFTMDMHQKEQLNDNTMSMMQSQHFSMGRSAGFSLGGSYSSHRSQQQHSPSVSNSGVSFSSMNNQDLHMHGSDVFPSSNSTYHSQTNGPPGIGLRPLNSPNTVSGTGPYDQLIQQYQQHQNQSQFRLQQMSAVNQSFRDHGMKSMQTAQSAPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSEEPAKGDPEFSVLQCYYAKPPPALHQGYFAKFTLETLFYIFYSMPKDEAQLYAANELYKRGWFYHKEHRMWYIKVPNMEPLVKTSTYERGSYHCFDPSTFETVRRDNFVFQYEMVEKRPSLPQH >RHN58688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3966985:3969031:-1 gene:gene20621 transcript:rna20621 gene_biotype:protein_coding transcript_biotype:protein_coding MPILEKYVPYIPLHLSFFLHFPLLIILFLTFFNFTSLSFHYYCLLS >RHN59669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12607380:12607750:-1 gene:gene21744 transcript:rna21744 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L2 MAIHLYKTSIPSTRTRNRLIYGQHHCGKGRNARGIITAGHRGGGHKRLYRKIDFRRNEKDIYGRIVTIEYDPNRNAHICLIHYGDGEKRYILHPRGAIIGDTIVYGTEVPIKMGNALPLSAV >RHN49246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53549650:53550141:-1 gene:gene44057 transcript:rna44057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MEGVEHRTVEVNGIKMHVAEKGKEGPIVLFLHGFPEIWYSWRHQIVALSSLGYRTVAPDLCGYGDTDAPTSVSSYTILHLVGDIVALIDSLGVDQVFLVAHDWGAIIGWYLCLFRPEKVKAYVCLSVPLLPRNPKVKPVDGMQALFGDDYYICRFQVCFCILL >RHN54511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10164206:10164475:-1 gene:gene29571 transcript:rna29571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 2-beta-dioxygenase MQVWSNGRFCNVKHHIQCKEATIRSIATFMLGPRKGNIETPKELMDLDHPRLYQPFTYEEYRTLRDSINKAGDKYLQTGEVFELLRLIQ >RHN72260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6216506:6219586:-1 gene:gene7953 transcript:rna7953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MCSIRADQQPNRIYPEPSYFPQIDHFDRIPDSLLLLVFNKIGDVKALGRCCVVSRRFHSLVPQVENVVVRVDCVISDDDSNSSVNSSDKSRGPFWNLLRLVFGGIAKPIQTLGQFLGPKRGSNSSVNSGSGSSPLAVGSEEDGDGGVTHHSPTQVLKNFNEIRLLRIELPSGELGIEDGVLLKWRADFGSTLDNCVILGASSVFQPKSQDGVVAVDGGGANNNGGDDNGSIPDSFYTNGGLKLRVVWTISSLIAASARHYLLQPIISEHKTLDNLVLTDADGQGVLYMNRDQLEELRVKPLSASSASKRTLVPALNMRLWYAPHLELPDGVVLKGATLVAIRPSELSPTAGKKEGSDLSWVSTAFEEPYRSAAAMLIKRRTYCLEMNSF >RHN45571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23007295:23007534:-1 gene:gene39941 transcript:rna39941 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTNANPLQILSNVPVHQQLMKVHSACAKFGSLSQLESS >RHN43626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47326471:47331955:1 gene:gene50233 transcript:rna50233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin regulator PHD family MAKQLPCDADGVCMACKTKPLETETLHCRTCATPWHVPCLPLIPTSILDWECSDCSQPVAGDSAAPSVAGDLVSAIRAIENDVSLTDDEKAKKRQELVGGTSNSPAETNNRRSNGLLDIFDGSLNCSFCIQLPERPVTTPCGHNFCLKCFERWIKQGNRTCSNCRTAIPAKMASNPRINAQLAIAIRMAKVASSQGGVGGSSVPKVYHSVDNDERPDTAYTTERAKKTGKANACSGKIFVTIPKDHFGPIVAENDPTRNRGVLVGDTWEDRMDCRQWGAHLPHVAGIAGQSTHGAQSVALSGGYVDDEDHGEWFLYTGSGGRDLSGNKRTNKNQSFDQQFENMNEALRLSCRKGYPVRVVRSHKEKRSAYAPEAGVRYDGVYRIEKCWRKIGIQGHKVCRYLFVRCDNEPAPWTSDLSGDRPRPLPIIKEFKGAIDITERKGDPSWDFDEEKGCWLWKKPPPRSKKPVNIVDPVDGSKIKVVRPKTKKVSFKIKDRLLKEFGCNICRKVLASPLTTPCAHNFCKACLEGAFSGQSYIRNRASQSGRALRTQKNIMKCPTCSTDIADYLQNPQVNREMMGVIESLQQQAEQMEESSEESSVKSDENLKPDEETEVSKPCDSSEKVLKEINKNDLNPPQKRRKGTGGKAVANTEEHIDEMLFSSIDLETFDLDEALAAAAEEPLCKFAAWKNFVSSLPAVLDVTKEDVCSVCMESFEDSHNSEEGGNKRVPCGHVYHSNCITLWLHRCSSCPLCRRHISF >RHN40286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14880164:14882305:1 gene:gene46424 transcript:rna46424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor STY-LRP1 family MNMLGLRDLVLIAQTPSSLHNQQNQTISSDHNPNLPLPSSSALSVGLGIFPLLTTTHPHHQNPNNNNNNDNIHQDSNFWNLKMCQPQQVMMMNSSRKRVEEEDDDVRNKNLMMGCEENGEFRVCQDCGNRAKKDCSYKRCRTCCKGRGFDCSTHVKSTWIPASMRRDRSMVVAEGGDSDGGASSGTKRQKILMTSSQNNVAANSHSSSSNAATRTRSLSLDITSSCHQDPGFKQTLPRYVRAPAVFKCHRVSAIGNGEDELAYLATVNISGHVFKGFLYDQGVDDKNETMPCVSELQLGSNGSGKSNRECSSSAIEVPTSAYPASAC >RHN39332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6159620:6163786:1 gene:gene45342 transcript:rna45342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MLTSTRKKYDVFISFRGEDTRKNFTGKLHEALKKENIETYIDLYVKVGDEVGPMLIQAIHESQISVIVFSKNFVTSKWCLEELLHILECRKHHGQVVLPFYYETDPSNIVGLGKGSYEKAFARYERELMNNQCDDLTNPGKVSKWKAALVEVAAISARDSRHYSDDSQFIQCIVKDVLQTLSRLYPNELRDLIQIDEKGEEVENYLKKVPRIGIWGMDGLGKTTIARQMFSKHFMHFDSSCFLESISQGLKEFGLPYLRDKLLNDLLKQKIITSDFHGISGKRVFIVLDDVDNGMQLDYLCGELNDLAPNSRIIITTKNRDTLNGRVDEIYEVEKWKFKESLELFCLAAFKQKHPKVGYERLSERAVACARGVPLALKVLGSHLHSRNLEFWEFELNYLDSKGESLCEIQDMLRVSYNGLKAPEKEMFLDIAFFFKDENKDFVTSILDACGFDATSGIHILKDKALITISNDNKIQMHDLHQKLAFDIVQYKKDQRRRDPRKCSRLRDIEEVCGLLKNNKGTHNKIEGITFDLTQKVDLHIQDDTFNLITKLRFLRLHVPLGKKRLTNLYHPDQGIMPFCDKLRYLEWYGYPSKSLPQPFCAELLVEIRLPHSHVEHLWYGIQELVNLEGIDLTECKQLVELPDLSKATRLKWLFLSGCESLSEVHPSTFHNDTLVTLLLDRCKKLENLVCEKHLTSLKNIDVNGCSSLIEFSLSSDSIEGLDLSNTMVKTLHPSIGRMSNFSWLNLQGLRLQNVPKELSHLRSLTQLWISNCSVVTKSKLEEIFECHNGLESLLKTLVLKDCCNLFELPTNIDSLSFLYELRLDGSNVKMLPTNIKYLSNLTILSLNNCKMLVSLPQLPEHIKELRAENCTSLVEVSTLKTMSKHRNGDEKYISFKNGKMLESNELSLNRITEDTILVIKSVALYNVLVDKRCSEIHSYNYDSVVVCLPGSRIPSQLKYKTSDSKLTIGFSDIYYSLGFIFAVVVSPSSGMKNERGSGAKIQCKCYREDGSQVGVSSEWHNEVITNLDMDHVFVWYDPYRIGIIQYISEGNVSFEFNVTNDSEEQDCFLSVKGCGICPIYTSEFLSLLDMLHLDKDVESCMRRNIQC >RHN45931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26946298:26947512:1 gene:gene40361 transcript:rna40361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKTKTQKPRRCSRQSNPAPSVFLPDELITEILSCLRVKFLMKMKCVCKSWKTLISDSKFVKIHLNRSFAWNPYFSLVMYNRPTETDVFSFIPFSVSSLFENRYITPPKDPYYELNDKDCRKFIGSCNGLVCLLGYSLADHSAVMWFRFWNPATRKISDKLGYLHDDNYRRNSWMFVFCFDNSTDTYKVVALNCEDVFKKPEVKMSIFSLGDNVWRSIQCLTNCVGALSLSPYSSVYGGVHFSCTVNWSAPSLWTPNCVFKTVIVSLDLGTETYTKLMPPPSCEKVSCAYASVCVLMNSFCFYHNTINGTNFVIWKMTKFGDDKSWIPFLKFNHHNLGVNYEIRFAELIPLHLFENGDTLLFSNSLQDRAILYNWRNNIVLKPKVKNKGSGFSIKGYVESLVSTC >RHN64873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62610666:62611611:-1 gene:gene27741 transcript:rna27741 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKWAYLFISDLDISNLFLCLLLLYLFSNLTGKHFLSWICLVDLLETMFNGLQRREAKGRIFEKGIFVLSRLYVRSDAPRQLISDVAVINWDEGRNFK >RHN53861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4788245:4788595:-1 gene:gene28821 transcript:rna28821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MFPIVHVGSGKEMEMEIGKNESENENGKMIGKWNKYVHVFGERLRRVPSLAWKTTWNVGYEDPRRVIHAFKVGLSLTLASLLYLVEPLYHEIGQSAIWAVMTVVVVLEFTAGKFLS >RHN58035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43264226:43265126:1 gene:gene33640 transcript:rna33640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MLFTLLYVCDADDDTEVDDSPIEQVRLTVSITDDPTQPALTFRTWVIGFVSCIALAFVNQFFGFRTNPLSITSVSAQIIALPVGKLMAATLPTTIYKVPFTKWSFTLNPGPFNLKEHALITIFASVGAGGVYAISIIDIVKAFYHRSINPVAAFLLAITTQMLGYGWAGIFRRFLVDSPYMWWPKNLVQVSLFRYSPLSQIISLKKINSHLLRKQKYTILNYNFLSSTWNKFRQKM >RHN39402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6821517:6825629:-1 gene:gene45423 transcript:rna45423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MEPLFLGEKCIIHSSGKKQNGWRRPTMVLVCSSSTLSLTKLVPFTSTTPFGSSFLSNRDTNVSVAVPLLVCHAKKKIGFFDQILDYIEGGPKLRKWYGAPDLLEKEGTSTANDGDDDFPDEVRDAVLVTDGDSEIGQMVILSLIVNKSRIKALVKDKRVALEAFGNYVESMTGDTSDNRFLRKALRGVCTIICPNEGFISSVGSLQGVKHVVLLSQLSVYSGKTGIESMMKNNAKKLAEQDESVLRSSGIPYTIIRTGELQDTPGGKQGFTFDKGVAATGSISKEDVAFVCVKALEFVPQTGLIFEVANGENKIPDWKECLATLMEKSSQQPLQ >RHN67394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26795563:26806848:-1 gene:gene15580 transcript:rna15580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MSSAFSSIVSSDVVPQKKYDIFLSFRGEDTRRNFTSHLYDALSRKKVETFIDNNELQKGDEISAALIKAIEESHASIVIFSENYASSKWCLNELKKILECKKYMEQIVIPVFYNIDPSHVRKQTGSYKQAFAKHKRDLKHNNDKLKKWKDSLTEAANLVGWDSRNYRSEPDFIKDIVEDVLRKLNLRYPYEIKGLVGIEKNYKQIESMLKIGSHEVRVLGIWGMGGIGKTTLARALYAKMYSQFEGCCLLNVMDESNKYGLNVVHNKLLSSLLEEENIHPDASYIEAPFSERRIGRKKVLIVLDGVETLEQIEDLIPKIDGLGPGSRVIITTRDKHIFSQLSKCEIYEVKELEKPDSLQLLSLTAFKEKHPKTGYEDVSDSVIAYCKGNPLALKVLGANLSSRGREAWENELKKLQKIPNQKIYNVLKFSYADLDRCQKAIFLDIACLLSGQGKDFVRDLLEASEFFAISGIDVLLDKALIQLDSILHVKREVCTIEMHDLLQEMGREIVNQESEDPGKRSRLWKAEEIFDVLKNNKGTKAVEGITFDSTDVGDLYLKSNSFRWMTNLRYLKIYNKSRRSTSNVYFLDGLEWISDKLRYLRWEGYCLEYLPSTFCAEMLIELHLSHSKLKKLWDGVQNLVNLNILWLESSKNLIEIPDLSKATNLHRVYLFQCESLGQLHSSIFSLPDLRYLDLRGCKKIESLKTNIHSKSLCELLLDGCSSLTEFSVTSDEMTELTLDGTAIRELSSSIWRNRKLTSLSLTECNKLNIVGNKLSDDHGLGSVIELNLSRCTEINALSLWSILDGIQSLKRLSLQDCCNLECVPENIRNHSMLKWLVLDDCRKLVSLTELPPSLLCLSAINCTYLDTDSTQRSFLENIVQIFSKDPFHANKDVNNRSYYDVYFLPGAQVPRKFDFQTMKASITIPPIPKYNLSGFVFCTILSKGFHDLNHPLHCVIFEHGKEVDKCILVVYEYNGTLISDHVLICWHPYNRRKHGSNDCNLSFQFMHQDFNEELWWSTEGIKGCGVLPVYNLEHKSNLDGWEIGVGSDWSNNESEDGQGNYNDELQPTSIGGEVISSNIENEDDQKHPCCSIV >RHN41749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32619758:32630687:1 gene:gene48102 transcript:rna48102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MYGRNIPSFIGDPIPDDEARKKWGWRYKLKDKQCNDKVFIINEDEEDETIINVKCHYAQAIIGNCIFTVGDCASIKGEGEQKHIGKIVEFFLTTDSKKYFRVQWFYRIQDTVVQDEGDYHDKRRLFYSSIMNDNLIDSIIEKVSVRYRTPKVGLKLASNLPSDFYYDMEYCVDYSTFRKIPTDDAVKINKLSQPAVLESHSPEASTITKSLPNPELHRTELALLDLYSGCGGMSTGLCLGAKISSVNLVTRWAVDSNRYASKSLKLNHPETHVRNESAEDFLQLLKEWEKLCKRYNVGDTKNKTPLRSRNSGGKKQVNSKAADSSDDDFEVSRIVDICYGDPSETGKHGLYLKVHWKGYDESEDTWEPIENLRNCKESLQEFVREGIQSKLLPLPGEVDVVCGGPPCQGISGYNRYRNMESPLDDERNHQIVVFMDIATYLKPKYVLMENVVDILRFDKGSLGRYALGRLVHMHYQARLGIVAAGCYGLPQFRLRVFMWGAHPDEVLPQFPLPSHDVIMRYWPPPEFERNTVAYDEDQQREVEEALVIQDAISDLPPVTNFETRDEMPYQNPPETEFQRYIRSTKHEVTGSTLNGATKKTHILYDHRPLFMSEDDYLRVCQIPKRKGANFRDLPGVVVGADNVVRPHPTENIPLLPSGKRLVPDYCFTYEQGRSKRPFGRLWWDETVPTALTSPSCHNQVVIHPEQDRILTVREFARLQGFHDYYRFCGSVKERYRQIGNAVAVPVSRALGYALGIAHRKLGSNEHHMTLPPKFSLSNYVQLSSNHVGDTTFFESKDVVSADVVETKDVGNTTILESKDVGDSAIF >RHN48729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49783414:49786568:1 gene:gene43476 transcript:rna43476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLSSLQQHCSSTRSSACIATLLKACKRIQHLQQVHASIIQRGLEQDQFLISNFISLANTLSISTLSYSTAVFNRVLNPSTFLWNTFIRTHCQSSFFSDTISAFIRMKAEGAVPDSYTYPSVIKACSGTCKVLVGKSVHGSVFRCGLDQDLFVGTTLVDMYGKCGEIGDARKVFDELSDRNVVSWTAMVVGYVTAGDVVEAKKVFDEMPLRNVASWNAMIRGFVKVGDLSSARGVFDSMPEKNVVSFTTMIDGYAKAGDMESSRFLFEQAAEKDVVAWSALISGYVQNGEANEALKVFLEMESMKVIPDEFVLVSLMSAASQLGDLKLAQRVDSYVDNSSIDLQQDYVISALVDMNAKCGNMERALKLFREMPKRDLVSYCSMIHGFSIHGHGEDAVNLFNRMLMEGIVPDEAAFTIVLTACSHSGLVDKGWKYFNSMEENYGISPTPDHFACMVDLLGRSGQLRDAYELIKSMHIEPNAGAWGALIGACKLHGDTELGEIVANRLFELEPQNAANYVLLSNIYAAAGRWKDVSLVRSKMKERGVCKIPGCSKL >RHN60678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29348434:29348598:1 gene:gene23029 transcript:rna23029 gene_biotype:protein_coding transcript_biotype:protein_coding MINMDDDLAGELNNVFFCVLPMFIHVFRLVVITYAQLRRGSTVVSTGRFELEGF >RHN63151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48894791:48896452:-1 gene:gene25819 transcript:rna25819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zf-FLZ domain-containing protein MDSSIKLCYLEQDHGLASLKDMDVASYRGNSFVTKSMITMGYANNTSFKNLYVSSPRSGRFYDTRFEDHQPHFLEACSLCNKPLGGNKDIFMYRGDTPFCSEECRQEQIEIDELKEKNMNLSSSMKALRNKEHRKSNSSNKIQGQDYSFRKGTAIAA >RHN67832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30589401:30596174:1 gene:gene16071 transcript:rna16071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidate cytidylyltransferase MTHPQLTNSLSLHHHNFIPNYRSFSSQSQILCIPSSSSSSSFAKPKFKPKPFLRFTRRIYEPDRLPPLNAQKEDSLLKSQPQVSQLRNRIVFGLGIGLSAGGIVLAGGWVFAAAMAAVVFVGAREYFELVRSRGITEGMTPPPRYVSRVCSVICALMPLFVMYHGHIDVSVASAAFVLAMALLVQRGSPRFAQLSSAIFGLFYCGYLPCFWVKLRCGLAAPALNTRIGATWPFLLGGQAHWTVGLVATLISISSIIAADTFAFIGGKAFGRTPLTSISPKKTWEGTIIGFCGCIATSVVLSKVFSWPVSPLSAIALGVLTFFGSVFGDLTESMIKRDAGVKDSGSLIPGHGGVLDRADSYVFTGALAYSFIKTFLPLYGV >RHN62100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40724877:40731819:1 gene:gene24634 transcript:rna24634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperonin Cpn60/TCP-1 family, groEL-like apical domain, groEL-like equatorial MNTQIHPHTSLLFFPPNRFNFISSFSSFRRRNPQFAVRASPKKISFGKECRENLQVGIDKLADAVSLTVGPKGRNVILSESGKLKVINDGVTIARSIELSDAIENAGAMLIQEVASKMNDLAGDGTSTAIILARAMIKSGLSAVAFGANPISLKKGMEKTVKDLVKFLKKRSIPVEGRDHIKAVASISAGNDEYVGNLIAEAIEKIGFDGVITIESSRSSETSVVIEEGMKIDRGYMSPHFITNQEKSIVEFDNAKVLVTDQKISSVREIVPLLEKAMQLSAPLLIIAEDVTAQVLETLIVNKMQGLLRVAAVKCPGLGDGKKALLQDIALMTGADFLCGDLGLTLEGTTSDQLGSALKVKITSNATTIFADPNTKAEIQARILQIKKDLIETDNANHSRKLSERIAKLTGGIAVIKVGAHTELELEDRKLRIEDAKNATFAAINEGLVPGGGATYVHLLDLIPAIKNSMEDLDEQIGADIVAKALVEPAKSIAANAGVDGDVVVEKTRTFDWRIGYNAMTGTYEDLLNAGVADPSRVARCALQSAVSIAGVVLTTQAILVDKVKKPKPRVPLVPGITP >RHN47280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38459657:38465055:1 gene:gene41857 transcript:rna41857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MAWWNFLRPFSRFQDIWRSLGFSAMTGAHFSSVSFPLIDTKNEEEFRQMEAQLGAHTMRSHGYAVAKTHLHDWIILLLLVFIEIMLYLIYPFYRFVGKDMMSDLKYPLKSNTVPVWAVPMLAVVLPIVIFLVVYIRRRDIYDLHHAVLGLLFSILVTAVITDAIKDAVGRPRPDFFWRCFPDGKDVYDKLGDVICHGDESVIKEGHKSFPSGHTSWSFAGLGFLSLYLAGKLKAFDRKGHVAKLCIIFLPLLAASLVGISRVDDYWHHWTDVFAGGLIGLVVATFCYLQFFPPPYHHEGWGPYAYFRTLEETRGMTQAPNAQNGNQAQLAQLTEAQVENQEGQSHHGCMGLTLTGNGNPTSTLEDELESGRR >RHN67520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27913399:27917061:-1 gene:gene15716 transcript:rna15716 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPKAYTLLMTLELGSIGIKYQGLNTNPFQQSPQTLLLFLTSIFCHVVSSTADLTLPSTMIIFHFSGIVACETLLWILLPEFWNWYIINIFLLMVTTFCFFNYIHSIVKLFLPTNSRAVPIAQPPNPESQQEAQNSANIKQYYATCFSRIAGMVLFGGLLAPNIQIGWLG >RHN71108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56523992:56524491:-1 gene:gene19751 transcript:rna19751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BRCT domain-containing protein MNKDLRHRRDMTNVRVLFSQQLDGNVLKQQQKILARLNISTASNSVEATHFITDKFTHTKNMLEAMALGNLVLTHSWLESCGQANFLIDEKNYILRDMKKEKEIGFTMPVSLARARQKPLLKVNIHPCMPLHCCN >RHN75117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39514270:39521204:1 gene:gene11276 transcript:rna11276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polynucleotide adenylyltransferase MEVVQENLQIPEKIEYTTLTPLPLPLTADDSPDSDNHEQYSVFRNEISLDTPQVNSVSSTAIDFFSLDVADEIEPEDNLPEPVTPVEPKTPAIEHEVKLENGWFRGDCKFKSPMLQLHKEIVDFCEFLSPTPEEKAKRDAAIESVFEVIKHIWPHCQVEVFGSFRTGLYLPTSDIDVVILKSGLPKPQIGLNAISRSLSQRSMAKKIQVIGKARVPIIKFVERKSCLSFDISFDLENGPKAAEYIQDAVAKWPPLRPLCLILKVFLQQRELNEVYSGGIGSYALLTMLMAMLRNVRQSQASAEHNLGLLLVHFFDIYGRKLNTSDVGVDCNGEGTFFQKSSRGFYNKARPLLLGIQDPQTPDNDIGKNSFNYFQVRSAFLMAYSTLTNPKVILSLGPNRSILGTIIRPDPVLMERKGGSNGEMTFNSLLPGAGEPIRQQYGEHDMLCNWQLDFEEEPLPRGDGDNTPAEPSTRSSRKKRKSASKENKENGSVTENGVHKKHKKKRVKQRLEASC >RHN61023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32362636:32364181:1 gene:gene23433 transcript:rna23433 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFCLWVTICPWWFDQFGCSSLQFRVGFGIWFGLFWLCLFFNLSWFGFTWFWLFSELNIMLTIGLFVLLVTQVLTIWLFGESSAYNLVV >RHN67833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30606080:30609128:1 gene:gene16072 transcript:rna16072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MESDMKPNVLMERYELGRLLGQGTFGKVYYARSTVTNQSVAIKAIEKDKVMRTGQVDRIKREISVMKLARHPNIIQLFEVMATKSKIYFVMEYAKGGELFDKVCKGRLKEKVAHRYFKQLINAVDFCHSRGVYHRDIKPENVLLDENGNLKVSDFGLSALVESNQQDIILRTPCGTPAYVAPEVIKRKGYDGAKADIWSCGIVLFVLLAGYLPFHDSNLIEMYRKIHKAELKCPGWFRPEVCKLLSDILDPNPDTRISIEKIKEHCWFKNELTARNKKQLLENNTVSSSSSVVSDQNDENDGPESEAKEESVVPISINAFDIISLSASFDLSRFFKDGVQKREARFSSKLPASVIISKIEDIAKQLRMKIKKKAAGLLKLEGFDEGRKGVLSIDAEIFEVTPNFHLVEVKKSNGDTLEYQKILKEDIRPALQDIVWVWQSDQQQQSQQSDQQLQIHDQQQRQPQS >RHN58477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2085576:2087755:1 gene:gene20395 transcript:rna20395 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRSTMSYNSKSTFDELRWVIHVRKTLEEEFEEEDGELSVTIFNVPKLLMASDPDSYVPQQVAIGPYHYWRPELYEMQSYKLAATKRFLKSLQSFKLDNLVDQLTKYEQRVRGCYHKFLDLNGETMVWMMIVDASFLLELLQIYAMQEGATKRVVSSSMSHLVDYAGRKSAHNAMLRDIVMLENQIPLFVLRKLLEFKFSSKEAADEMLIFMFIGLFKQTSPFKMIEEFPSIKVSESAHLLDFFYDMIVPKLETGNDVTIDVEIQQEEEQDKGEDENSKGESSYVKQSLNEIWRILSKLNKGPMKSLKRVLVSRPLKVLVKFPWKIISNLPGGKLLKQPIESLFFSKEKGDEEKQETENSSTLINKPPLIEEITIPSVKELVKSGVNFSPTNGSISSISFDAKTRTFYLPIIGLDVNTEVFLRNLVAYESSVGSGPLVITRYTELMNGIIDSEDDAKILREKGIILNHLKSDKEVADMWNGMSKSLRLSRVLFLDKTIEDVNKFYNSRMKVKMLKFMKSYVFGSWQFLTFLAAIFLLLLMGVQAFCSVYTCSRFFGSLKESD >RHN70395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51155991:51157504:1 gene:gene18971 transcript:rna18971 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPTMLREVKKDASMLHLYLPGAGFFQTSSSCLKDRTCGVMVWLLLLDLILWAVCHSSST >RHN64395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58733720:58737129:1 gene:gene27211 transcript:rna27211 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRNMKGEGGEVDIESGLVVTKDDSGNTKQERTLFAKIYCGIVGDSIKVEERNSVLYLKDSNLSGDSVNMVKETNKLLTGQDLVKSVENSPVKEKRKKSSNKKAAKPPRAPRGPSLDAADQKLIREITQLAMLKRARVERMKALKKMKAAKASSSPSSSMFAMVCTVVFCIVILFQGISSSGKSSVTSFQGSPVSAGGAEGDLIAVQLQHQLNQISIQQHAPSSESHKIVRGSYLSRKLR >RHN60525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27704148:27712856:1 gene:gene22846 transcript:rna22846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MNSQDFLKGKTILVTGATGFLAKVFVEKILSIQPEIKKLYLLVRASKTDLAEHRLQNEVFEIDLFRVLRAKWGEKFSSFISKKVVAIAGDVAVENLGIKDQNILNEIFEEIDLLVHFAASTKFDERFDISMGVNTKGPLHAMNIAKNCKRIKAFLHISTAYVCGDAKEGHTLLRETPFKMDQSLKGTSKLDIHTEMNLLERKLIELKVMNADENTTKWAMKDYGMGRANLHGWPNTYTFTKAMGEMLLVHHKDDVPLIIIRPTMVTSTSKDPFPGWIEGLRTIDSVIYRIGQGKLKFFLGNPNTILDAIPADMVINCVITAIFIHSNQAPKNFIYHVSSSLRNPLKLSDVWNISHHYFMKTPYINQNGKPIVISKGIVVNSFVAFNIYMIVRYVLLLMVLNLVNKICRHSFQDVYEKKSRNISMMERLAKLYRPFVFFKSVFDDTNTEILRMATKDHLKAENEALNFDPTSIDWTDYMMNTHIPGLLKYQTK >RHN39239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5203468:5203895:1 gene:gene45244 transcript:rna45244 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFRGTLTHLSENSFFQSCREAQKNNKTIDQLNHLKLKTSCPRCEVSKRAGRILQR >RHN74773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36578396:36579037:-1 gene:gene10886 transcript:rna10886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MKITHILFLFIVFSFTVSYASISDFCVADLKAPNTPSGYACKPLTSVTSDDFSFHGLVAGNTNNSFKIGVATATVTNFPALNGLGISALRIDLDQGGLAPMHTHPDATELLSVVKGEITAGFLTPTSFYSKVLKPGDVFVFPQGMLHFAVNSGKGKATAFGAFSSENPTTHILDVLLFGNKLPSGLVSQTTLLDLSQVKKLKAKFDGSW >RHN51031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13194285:13201199:1 gene:gene35394 transcript:rna35394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MKTSLLGFMTILEKNKPYIAMLFIQFVYAGMALLSKAAISKGMSPYVFVVYRQIFASLALSPFAYFDSKHATPLSCNLLCKLFLVSLVGLTASSNLYYVAINYTSATFAAASTNTIPSITFIMAVIIGVETISIKYMHGMAKILGSILSLSGAIVFALVKGPSIDFIRWHHENQNHNSHSFTKIHSKGDNIKGSLMMLSANTGWSLWLILQGFIVKQYPAKFRLTIIQCFFSFIQSGILAIAMERNPSAWKLGWDFHLLSVAYCGIIVTAICYWLQVCTVETKGPVFTAMFTPLALVLTAIFSAIWWKETLFWGSIGGTVLLVLGLYSVLWGKNKEGVIVKEENFEDGQAKAGTKLECVIQS >RHN58615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3329010:3333246:-1 gene:gene20541 transcript:rna20541 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAVVWDPWLIVAQIVCLQCMYYITLGLLLTVLVGTRVSRLSLVYFFDYVTVTTSTVTGWCVCASFLLSSAAGAVYMLYLIERSKKCLDFAATIYIIHLCICIVYGGWPSSIAWWIVNGTGIALMALLGEYLCIRRELREIPIPRYRSNV >RHN59240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8714977:8716730:1 gene:gene21243 transcript:rna21243 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDYFESSITIHGILGLFAVDAFQIFFSTNFLSAGIQVLLKF >RHN65440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2259567:2259799:-1 gene:gene13279 transcript:rna13279 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSIYNSSSLLHHTNTIVHHHHHHHRHQTSLLVDPCLLPSLTKYGFKSKHNT >RHN54093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6858355:6864473:-1 gene:gene29077 transcript:rna29077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoporin p58/p45 MAFNFSTPQQSQPQQTLFQPQQQQQQSPFQQQSSLFQPQQPQFQPQQQFQQQQFQAQQQQQQQLFLFTNDKTPASYSTNWADLHPDSQKFLLQIEERVLEYRDESQRLDQCNRLYDSSVSNDGFEVDASHIVQELGGISTAMERQKTLLQELTSAVKDMLRNTEVAVRSFMILRPRFHYPSGGASSATAPSQTPGATTPSLNSQPPATSMVPVFDFYSGLPKKPSPFLQQTILRFEKYIGECHQWIQELEQLLLLESEKNASSNGSSLLQSLPKVMTNVHDFFVHVAAKVESIHQYMESMKTAYLADQRRRGEVNDPFLEADRRETARQEAASKRVHPTLHLPANSQPSTQVAGLFSSSGTQGALVSQQTAATTPSLSIGSGSSLFNTPSSAPSTTPSLFGTPTTPAQGASWPAPSSSTPQGSLFGSASSSLPGATSTPSLFGNSTPLFNSTPAGTSVFPSPYVSGAATGSGASFGAKNPRSKSRTARR >RHN44153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2015033:2015218:1 gene:gene38231 transcript:rna38231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase MVVNEKCDVYSFGLVALETLMGKHPGDILSSLKSISTQGTKLSCLSSNSIKTLSKFICRSC >RHN61269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34330451:34335451:-1 gene:gene23710 transcript:rna23710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase Clp MVSQALSVPATPTFTIASHRRIPSQLAFSHRNPCTIASSFHSPYGSSSQIGLSSKTHGFRLKLDEKSTHDVGTSYGVIEAKTGNPPITPAIMTPGGALDLSSVLFRNRIIFIGQPVNSQVAQRVISQLVTLATIDPDADILMYINCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGTLLLAGGEKGMRYSMPNARIMINQPQCGFGGHVEDVRRLVNESVQSRYKMEKMFSAFTGQALEKVQEYTERDSFLSVSEALEFGLIDGVLETEY >RHN75280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40868041:40883049:1 gene:gene11456 transcript:rna11456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UHRF1-binding protein MESILGRALEYTLKYWLKSFTRDQFKLHGHTVHLSNLDIDGDALHSSVGLPAALNVASAKVGKLEITLPSVSNVQTEPIVVQIDKLDLVLEENSDFDASSTSNSSTSSPAPAKGSGYGFADKIADGMTIQIQTVNLLLETRGGSRRQAGATWAPPMASMTIRNLMLYTTNENWQVVNLKEAREFSSNKKYIYVFKKLEWQSLSIDLLPHPDMFADVEEGSNQRDDDGAKRVFFGGERFIEGISGEAYITIQRTELNSPLGLEVQLHINEAVCPALSEPGLRALLRFMTGVYVCLNRGDVDSKAQKRSTEAAGCSLVSIVVDHIFLCIKDAEFQLEFLMQSLFFSRASLSEVDNDKNLTKITIGGLFLRDTFSSPPCTIVQPSMQAFTGEAFHVPKFARSFCPPIYPLGEQQWQLTEGTPLICLHALQIIPSPLPPSFASQTVIDCQPLMIHLQEESCLRISSFLADGIVVSPGDILPDFSVKSFIFTLKGLDLTVPFDKDKLEISRSVMDNTTNTSFTGARLHIENLSFLDSPSLKLRILNLDKDPACFCLWEGQPVDATQKKWTVRASQLTLSLEACTGTAGRQTAGLWRCVDLTEACIEVAMATVDGSPLLKIPPPGGIVRVGVACEQYVSNTSVEQLFFVLDLYGYFGKVSEMMSVAGKRKQLEDIRDKSSSGKLMDKVPSDTAVSLAVKDLQLRFLESSSINVEGLPLVQFVGDDLFTSATHRTLGGAIVVSSSLRWESVEISCVDAEGKLACESLSSSINAPSPSDNGYPHLRAVFWVHKNDRHLMDRNARSFPFLDISTVHVIPLHEQDPESHSLNVSAFVSGVRLGGGMNYTEVLLHRFGILEPDGAPGKGLCKGLENLQKGPLSKLFKSNPLIVDNSEDVESTGDGKESGFPHLKKPDDVDVTIELRDWLFALEGAEDTAEKWWFSSHEDEGREERCWHTSFHSLQVNAKGSPNNVTSGKGQIHRIRHHPVELVTVGVQGLKILKPRFQKHIPSSLVIGNGVKEFTDAVGGVGLEVRLILCEEDVDDETTNWEVENLKFSVQQPVEAVVTKDELQHLTFLCKSEIDSIGRITAGIIRLLKLEGSVGQSVIDQLGNLGSEGIDKMFSGEKFSRDGSIGSRGLSPLPNLISEGPNKTPEQTLALLEEAVMDSQAKINDLINDVGTSESSSSQHLTIVKLSQKIEAMQGLLVQLRDQL >RHN53498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2098993:2101539:-1 gene:gene28409 transcript:rna28409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MESMLQEEGSSSVTCSPLQLFSMMSVSPNIGGIGSPYPWLSRELKSEERGLYLIHLLITCANHVASGSLENANTTLEQISQLATPDGDTMQRIAAYFTEALADRILKTWPGLHRALNSTRIIMLSEEIMVQKFFFELFPFLKVAYILTNQAIVESMEGEKMVHIIDLNAAEPAQWIALLQVLSARPEGPPHLRITGIHQQKEVLEQMGHKLSEEAEKLDIPFQFNPVLSKLENLDFDKLRVKTGEALAISSILQLHSLLALDDESSSRRKTPILSRNSNGLHLQKAMLMNQNTSLGDLLEKDMVNGYSPSPDSTSSSSPASSSASMNVESFLNALWSLSPKVMVVTEQDSNHNGSTLMERLLEALYSYAALFDCLESTVSRTSLERLKVEKMLFGEEIKNIIACEGAERKERHEKLDKWFMRLDSCGFGNVPLSYYGMLQARRFLQSYGCEGYRMREENGCVVTCWQDRSLFSTTAWRARK >RHN39850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11074302:11074880:1 gene:gene45928 transcript:rna45928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ste24 endopeptidase MYFFETYLEVRQLRANKLTTLPKTLEGVISEDTFQKTRSYSLDHSRFHFVCQFVTIVRDSAILFFGVMPWFWKKSEDFMTLIGLNADNEILHSIAFLAGCMILLQVSVTLTSLMRLLTNHNSERY >RHN75020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38815451:38816421:1 gene:gene11167 transcript:rna11167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MQGNIRITQTTVLYTCIIIVISSFLVMRRFKEKYFSNGDVYIGFVKGKRMHGKGKYTWSNGTIYEGDWVDGKRTGKGRIIYPSGASFEGQFFRNSRHGRGTLKKSDGGIYVGNFESDLFHGKGKYTWLNGTIYEGDWVDGKRTGKGRIIYPSGASFEGQFFRNSRHGHGTLKKSDGGIYVGNFESDLFHGKGKYTWSHGTIYDGDWVDGRRTGKGQMIYLSGTSFEGQFFRNSRHGQGTLTISNGHVYIGNFKSDLFNGKGKYTWPDGEIYEGDWVDGRMTGKGQIIYPSGSTFEGEFSGNSRHE >RHN40998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25579088:25579480:1 gene:gene47265 transcript:rna47265 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGLVSVSCRVSMCTFRLARTLLGDHAEANTKSGWNLWIDAS >RHN64592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60260432:60260740:1 gene:gene27420 transcript:rna27420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MIMILQITKCSRYRYGGLGFLQKELMYISLPLNRRGGVYSSDGDVIVPVLSVGFMCAKAWRGRRRFNPSGICAYIRGDNLLKVGDRVHSDIFKFYENIKLKL >RHN70722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53458974:53460288:1 gene:gene19334 transcript:rna19334 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILTLPVQDPPCAEFSAETINLLNLEGGRQGGDDIALIPFARVDDFVKEESSNPTCPANFRVESRRKRASGSVAKPRVDGYLEYTLYWCSYGPEDYRESERVNRV >RHN57550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39975565:39976018:-1 gene:gene33114 transcript:rna33114 gene_biotype:protein_coding transcript_biotype:protein_coding MASANFEDFVVEHFVSRAHDIMVACNNAYMENDVDEFDECCAKRIHIKGCLQLLKISLTGHMEALVKEFIRIGAKNCEKFLHEATRRATKRIFPESKKTMPEVFQVVDLALFTEA >RHN72443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7693471:7694433:1 gene:gene8155 transcript:rna8155 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNQLESLMGKMRGLSLQSLGGCFDTCYDQTQAYGLGTRIWNLSDRPVELQIRVGSILKKVHILKPGSSKRVKSKRIYKTYMPSRSGSDNVGMKSLLYYYDETCHPYIWIHDIEGDSLRMVKQQYISLEDLKMSSEIRILRDHHRGCISVCKRTRPDLC >RHN38424.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000022.1:29086:29526:1 gene:gene50758 transcript:rna50758 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSNQRTSMQISTGEDYQIKGRTMKLKEGHLTVQVENPVDFVSLAHHDCDLKTYLKYQDFKGYFNMLNGSTYENLVRYFWVRAKIYYKYAAKVEEDHLVLLNPSHAGKSREEMGLNKFTRTEIRSNIMGIPISITEEVIGKAC >RHN63527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51970704:51974790:-1 gene:gene26233 transcript:rna26233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde dehydrogenase (NAD(+)) MAARTLSRLLSRSLSSSSSSSGASASLLRSPLGRKSEGLINTNRFSTAAAVQELITPQVSINYTQHLINGKFVDAASGKTFPTLDPRTGEVIAHVAEGDAEDINRAVSAAREAFDNGPWPKMSAYERCRILLRFADLVEKHNDEIAALEAWNNGKLYEQAAKAEVPMFVRLFRYYAGWADKIHGLTVPADGDYHVQTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTIVLKTAEQTPLTALLVAKLLHEAGLPPGVLNIVSGYGPTAGASLASHMDVDKLAFTGSTDTGKTVLELAARSNLKPVTLELGGKSPFIVCEDADIDKAVEIAHFALFFNQGQCCCAGSRTFVHERIYDEFLEKSKERALRRVVGDPFKKGVEQGPQIDSKQFEKVLRYIKSGIDSNATLECGGGRLGSKGFFVQPTVFSNVQDDMLIAKDEIFGPVQTILKFKDINEVIRRANATRYGLAAGVFTNNVSTANTLMRALRAGTVWINCFDVFDAAIPFGGYKMSGIGREKGIYSLHNYLQVKAVVSPLNNPAWL >RHN42862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41513033:41518777:1 gene:gene49360 transcript:rna49360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin 11-oxidase MEMQWVYICTAALFACYVFVNKFLRRFNGWYYHLKLRNKEYPLPPGDMGWPLIGNLLSFNKNFSSGQPDSFTTNLILKYGRDGIYKTHVCGNPSIIICDPEMCKRVLLDDVNFKIGYPKSIQELTKCRPMIDVSNANHKHFRRLITAPMVGHKVLDMYLERLEDIAINSLEELSSMKHPIELLKEMKKVSFKSIIHVFMGTSNQNIVKNIGSSFTDLSKGMYSIPINAPGFTFHKALKARKKIAKLLQPVVDERRLMIKNGQHVGEKKDLMDILLEIKDENGRKLEDQDISDLLIGLLFAGHESTATGIMWSVAHLTQHPHILQKAKEEQEEILKIRPASQKRLSLNEVKQMIYLSYVIDEMLRFANIAFSIFREATSDVNINGYLIPKGWRVLIWARAIHMDSEYYPNPKEFNPSRWKDYNAKAGTFLPFGAGSRLCPGADLAKLEISIFLHYFLLNYRLERINPDCPVTTLPQCKPTDNCLAKVIKVSRA >RHN48682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49446171:49447891:-1 gene:gene43424 transcript:rna43424 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVLQQINVVKIMKTNGKILEYKTQTPIKAEKVLPSPPSPSPSSPKERKKVRFADPEVQDVQKNSAVRIKLVISKQKLQEMLDNGGISVEKMLSLVHGENGMDGEDLCKKSDDACAGWKPVLQSIPEVI >RHN71068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56245126:56246037:-1 gene:gene19711 transcript:rna19711 gene_biotype:protein_coding transcript_biotype:protein_coding METFRNHGVVCLLLLLIAIVGLKGDEEHTNVNAPNKGNATFVTLSNSSQGINEEGKEKHDNIYNKDVKVSSYNRGGGGSGGGGGGGGGGSSGGGGGGGGGGGGGWGGGGGGGGGGGGWGWGWGGGGGGWWKWGCGGKPRHGKRKGEGKRISHYSNPYPYKVEDYIVGQFAQCMTKTRCKGMRLDCPLHCGGPCYYDCHHMCKAHCRRR >RHN60748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30092724:30099516:-1 gene:gene23105 transcript:rna23105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MATMNKKTKAKVVKSKEKEKKKTTTHDDGTNKGDNNNNVFASCSFSSLGLHQTLCDQLRERMGFEAPTLIQAQAIPVVLSGRHALVNAATGTGKTIAYLAPIIHHLQSYDKRVQRSDGTFALVLVPTRELCLQVHEILQKLLHRFHWIVPGYIMGGESRSKEKARLRKGISILIATPGRLLDHLKNTSSFVYTNLRWIIFDEADRILELGFGKEIQEILNLLGSMKTGHDDQESGVPRPSKFQRQNLLLSATLNEKVNHLAKISLEDPVMIGIDDKIMEPTSRIRFDNSDCDEDNEDTHSSKIPAIGAYKVPEQLIQRYMKVPCGSRLAILLSILKHLFEREPSQKVVLFFSTCDAVDFHYSLITEFLFSSYPQTEEGNRTMFLGCKTLRLHGNMEQEDRTTSFQAFKTEKSALLLSTDVSARGLDFPNVRCIIQYDSPGEATEYVHRVGRTARLGERGESLLFLQPSEIDYLQDLEKHGVSLVEYPLLKVLDSFPLSAHKNNIKKSVFIDMHPWIMCLQKALESCISSKPKMEELAKRAFCSWVRAYTAHRGELKRIFMVKKLHLGHVAKSFGLKQIPSLVGKSFQNQTKKRKRFEKKNGPSNKRKVARRVT >RHN61165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33584210:33589257:-1 gene:gene23601 transcript:rna23601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, ApaG domain-containing protein MDLESVGDLAIHEIMENLKPEDIARISCVSNRFKSFTSDDSLWSKICFRELSLTQPIDHLGNPTPSFKEAYQTWREAFVMYPWSLVKRVKRCWDNIKTWLTNNFPEAKETLCEGASEVEIQELEDVLNVKLPLPTRILYRFHNGQEIEKHDHDTSTSDISLGIIGGYSFYDHFVNVYLLPISQVIQETQQISHNLGFLRRSKYVLVAASSTYREKLFFLNCTNGQLYVGTRNLLTNRDLMPCVPQNLISLHHEMDSEKIQDAMLLWLEEHGRRLQRGFIKLLEEGNAKSISLFPEESPVCSTAVTNGVQIRASALLVPEGVDLQGDREKYLFSYSIRMSLQPQGCVINGMSHSSCQLYWRHWIIRANDAVVSDVNGEAVIGMYPLLRPGDKEFVYQSCSPLPTSSGSIEGSFTFVPGRLVDPRGDPFLVQVAHFPLQLPDYIF >RHN70872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54681157:54682927:-1 gene:gene19503 transcript:rna19503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MDGQLGLCEEEDSHDSLVPCLLSRFLELQPHNSSTDPSEAEDKASLEMCTVKAGGMMSLAIDNRGTLWMWGNIPQESKESGISLVSSFTPTPVWDFHGRTVVKVACGNEHVVALVNAIDSHEDEDLLCYSWGYNSHGQLGLGDRQSRLHPEVVKILDEESPWTIYEVACGAFHTALLAHKKKPDATLESMCWTFGLGENGQLGHGTTQSTLFPTPVKELPQSIHLISVDCGLFHTSVVSSTGDVWSWGMEKGLGLCPDANRAETNSGGDALSPLLISCNLHQPIFPGPVKVACGAAHTVVVAQEGHKVWSWGRGRSGVLGNGKEMDSYTPTIVPWPPTIEGLEEEELNSSDGQDKVAEKKPEIIAETDEKLSTALNELKLLQTKLSIMEKYASILHGSIFGKPFNEQDIPVSLGNSGSMDIAKEWENMLEAADDRKLVTMEMFYRDMLTGVKDKLMKRKIKEIIKECLESSEVIK >RHN77395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6567292:6568784:-1 gene:gene842 transcript:rna842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MTNFFYPFVAEVQEEEDKINITTEQLQFDFNTMRIATNDFSDSNKLGKGGFGFVYKGRFSNGQEVAVKRLSMNSGQGDLEFKNEVFLVAKLQHRNLVRLLGFCLEGRERLLIYEFVHNKSLDYFIFDQAKKAQLNWGRRYKIILGIARGILYLHEDSRLRVIHRDLKASNILLDEEMNPKIADFGMARLFSIDQTQENTNRIVGTYGYMAPEYVMQGQFSVKSDVFSFGILVLEIVSGAKNSGIRDGENTEYLSSFAWRNWKEGTATNIIDSTLNNDSRNEILRCIHIGLLCVQENVASRPSMASVVVMLNSDSVTLPMPLEPAFHMDWSDFQDTNPPSSAQELSVNGASNTELFPC >RHN70869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54656951:54660502:1 gene:gene19499 transcript:rna19499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAFTASPAIIRNPISKQNMVVCDMLDNTCRKKFSFRNKGIYKGQHNLRDHLTVTNVVSPSRVIAPPPPPEKRSETTGDHQHHVAWTSIPQERWEGELLVQGHIPLWLKGTYIRNGPGMWNIGDYNFRHLFDGYATLVGLHFEDGRLVAGHRQVESQAYQAAKKNQKICYREFSEVPKAENFLAYVGELANLFSGSSLTDNANTGVVKLGDGRVVCLTETQKGSIVIDPDTLETIGKFDYSDSLGGLIHSAHPIVTDNEFLTLIPDLVKPGYLVARMEPGSNERKVIGRVDCRGGTSPGWVHSFPVTEHYVIVPEMPLRYCAQNLLRAEPTPLYKFQWHPESKAFMHVMCKTSGKIVASVEVPLFVTFHFINAYEEEDEDGRVTAVIADCCEHNSNTDILDKLRLQNLRSFNGEDVLPDARVGRFRIPLDGSPYGTLDAALDPNEHGKGMDMCSINPNYLGLKYRYAYACGAQRPCNFPNTLTKIDLQSERAKNWYEEGAVPSEPFFVPRPGATKEDDGVVISIISEKNGEGYALVLDGSTFEEIARAKFPYGLPYGLHGCWVPKQ >RHN50100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4060164:4060554:1 gene:gene34353 transcript:rna34353 gene_biotype:protein_coding transcript_biotype:protein_coding MWYFLLISLRVILSIHTFSLPNSRVSSLSSLSFSTPPPPPLPLFSHRPPYSTTLVRHISTTVSQSDHHLTTTVRQNKTIRSHNHRLITTTTVARHLRLTTGTLNTDDGNDELWKL >RHN53411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1512960:1513940:1 gene:gene28314 transcript:rna28314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone synthase 1 MIRKRHVYLTEEILKDNPNFCFDKTPSLDARQDMMAVEIPRLGKEAAVKAIEEWGQPKSNITHLIFCTYSGVDMPGADYQLTKLLGLNPYVKRYMMYQLGCYAGGTVLRLAKDLAENNKGARVLVVCSEITAISFCGPSNTHLHKLVGQAIFGDGAAAVIVGSDLVPEIEKPLFELVWTAQTIASDSEGAICGQLREVGLTYNIRKDVSEIVSKNIDKVLVEAFQPLGISDYNSIFWIAHPGGPAILDQIEQKLGLKAEKLRVVREVLSEYGNMSSASVLFILNEMRRKSTQDRLKTTGEGQEWGVLLSFGPGLTIETVVLHSIVI >RHN64328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58195610:58196904:-1 gene:gene27133 transcript:rna27133 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:N13 MGVITSESEYVSSLSAEKLYRGIVEDGNIIYPKALPRFIEKAETLEGDGGPGTIKKLTFVGDFGSTKQHIDMVDRENCAYTYSVYEGIALSDQPLEKIVFEFKLVPTPEEGCIVKSTTKYYTKGDDIELSKDYLEAGIERFEGFTKAVESFLLANPDYNKDSN >RHN57408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38816102:38819168:1 gene:gene32948 transcript:rna32948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TLK family MNVRAGTVVSEIWEDGPALKDLHAQLGDGIDAEAGLSEDILIQEEIYKSRLASIKREEEIALRECHLYELEKGRLIREMKRILDEDASQFNNFQILNHRYVFLNLLGKGGYSEVYKAFDLVEHRYVACKLHGVNAQWSEEKKQSYIRHAIREYNIHKTLVHRHIVRLWDIFKIDPNTFCTVLEFCSGKDLDDVLKETPILPENEAKVVLFQIIKALVYMNERTPKVIHYDLKPGNVLFDELGIAKVTDFGLSKIVENDVGSQGMELTSQGAGTYWYLPPECFEQSKTPRISSKVDVWSAGICFYYMLFGRRPFGHDQTQQKIFLKHTIINAYEVEFPSGSTVSNKAKVIFIGQNVNFIPCASTIGLCDLSEFVISFLHI >RHN39810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10748233:10748748:-1 gene:gene45878 transcript:rna45878 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVVSPRSNFLRGHEVTINVVGSVHVKNIMGVETNPQHGLVAFIFFVLLGFLQVRYPENPTPFQVHPKTTLVSIASFLLYCLAFMIKLKFATRVDTLLEVFGSLSLISLVLMLLPENWGLFGYIIIYTIWFIFHVLIMIRLYFIGLSSKVRRLRPLLPNTLTDLDQWGHV >RHN58378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1320803:1321063:1 gene:gene20285 transcript:rna20285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MAGRLHWDKDGRVEIVYDSQGVLFVEADTDTVIDDLGDFTPTLQFCKLIPNVDYSSGIETYPPLGVAGVFPFSSIIYYLYCNLDEL >RHN56512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31524838:31528419:1 gene:gene31920 transcript:rna31920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative jacalin-like lectin domain-containing protein MSSVDSIKKPASVGPWGGNGGSRWDDGIYSGVRQLVVVHGTGIDSIQIEYDKKGSSIWSEKHGGTGGNKTDKVKLDYPDEFLTSVHGYYGSLNQWGHNLVRSLSFESNKKTYGPFGVEQGTYFSVPMTGAKIVGFHGRCGWYLDAIGVYMKSLKQPNPAKALHHSDHVNNTSENFGYSVIQGTVNQNYDIVLAVRQKDHKDDFGKPIPNKMPGKISTIKESKNIEHKEKITHSEIPPAKVGGVVTCGPWGGVGGYAFDDGTYKGIRQINLSRNVGIVWIRVMYDHDGDAIWGCKQGGTGGYKSDKIVFDFPYEVLTYISGYHGPLMYMGPAVIRSLTFHTTKRKYGPFGEEQGTYFTTKVKEGKIVGIHGRKGLFLDAFGVHVLEGKVVVPVAMPPKEIIPRETNIGEIGGTQWPNKLVLAKPTAAEEVSCGVVKEPAPCGPGPWGGDGGRPWDDGVFSAIKQIYLTKVSDGICSIQIEYDRNRQSVWSIKHGGNGGDTMHRIQLEFPHEVLTCISGYYGSITKDEKHTVIKSLTFHTSRGQYGPYGEEVGKFFTSTTTEGKVVGFHGRSSLYLDAIGIHMQHWLGSQKTSRSSLFKLF >RHN66506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13130032:13140360:1 gene:gene14509 transcript:rna14509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MRGEDVQANALFWEEEAEKLIQEDTKTNQKCFFGFCPHCIWRYRRGKELTNKKEQIKRLIETGEKLSIGFTARLPDVECYSPGQYIPFEISPSKYKELLDALKDDNNFITGMQGMGGTGKTTLVKEVCMKLKQSKQFTQIIHTTVSFSPDIKKIQDDIARPLGLKFDDCNESDRHKILWSRLTNGEKILLILDDVWEDIDFDEIGIPYSDNHKGCRILVTTRNILVCYRLGCSKTIQLDLLSKEDAWIMFQRHAGLSKTSNESLFEIGREIANECKRLPVAIAVIASNLEGKQHHKEWNEALNSMRSADDEILKFYKCIQFTYENMKNDNAKKLFLLCSVFREDDKISTEMLTRFGIGGGLFGEDYGSYKDARSQVVISKQVLRDSCLLLEENQNKVKMYDLIRDAALQIANREIQTVKLDGKNQKAIVEREKNIKYLFCEGRPKDVFSCKLDGSKLVILIVTVYKDEECHNVKIEVPNSFFETNNGLRVFHFLYDNDHKIPLSLPRSIESLKNIRSLLFTLVDLGDISTLGNLQSLETLDLKDCKIDELPLGIADLEKFRLLNLESCEIVRNNPFEVIERCSSLEELYFTGSFNTFCREITFPKLQSLQRFCIDEYSRPVNDSSSKYVSVVDNDEVFLSEATLKYCMQTTEVLRLRRIETGWRNLIPQIVSMDQGMKDIVELSLSCISQLRYLVDTKDIVFQGPNVVSKLVVLKLDRMENLEELFNGPVSFDFLKNLQKISIKDCKHLQSLFKFEQNLCNLKTIELQNCPMLVSLFRRLTSRNLVLLEELQIADCEGLENIITDERRDEESREEIHVDDDDHDSRASMFPKLKVLDIEGSSPLKYILPFLYTQSLPVLEAIRIRRCEELKYIFGHDYSMLSYRLCIWERVQCLPIQSYRMCNIKEIVLCHILKIKSVFILSITPKMLLETLTIKNCDELKNIILDTVDEITGGNNWGDVFPKLKSISVEDCMQLEYIIFGHDNHDHQSPSEINLRLPALKYINLCNLPGLVAMCTRQHRTTFPPLVELECNGCSHVAIKSFRDFGIHPISKSQDDAIMKEDGDGQRAIAFPPIAITKPVKHRQETSKTNSNNAQVFLNDDAIMKVSSDIEDQFLKDDEILVSKSKLCTIVSQCPSKPAQGDPSQRDEDLSYSWVVTKELRNLVSENYLAIENLSLLTDFLVKNPSVLRDTSLSNRFKGYAYNCLAELLKFLQKHYLLDVLGSSHSEFVELLQDMRRFPFDKEWLDGVEKLALFPGLQVSQNALQNFLDSKHILTQHVEDLKHQLASFEAVLQNITQQEAQILETQAALSDPIGY >RHN67991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31992813:31995964:-1 gene:gene16264 transcript:rna16264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Coiled-coil domain containing protein MWGRWCCSSGAGFRSFTKRVSSIVNLTHGFDEKVQSFGSPLLGLRSVVTVTHGGGGDRRGKGFGYFSVMLNQMKRGLSSSSSSSSNVVGDGGNGNNNERENTISSSEAKKLMRLVNVETLKMELGMGGKEIISYFELLQACQSSGIARNQDEAAKFAKVLDDAGVVLLFRDKVYLHPDKVVDLIRRAVPLALTSDNDPMREELMKLQEKKKEIDLLAHKQVRRVLWSGLGFGVITVGLFFRLTFWEFSWDVMEPIAFFVTTTGLVTGYAYFLFTSRDPTYQDLLKRLFLRRQRKLCKKQNFDVERFMELQCKCKTHLHSRSVLKNSIGVDLDLEDALHRD >RHN73163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13978384:13980397:1 gene:gene8950 transcript:rna8950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MGGNDNNGGQGQGKKHALLGVSCILLVAMVGVVAVSLTKGGDGEQKAHISNSQKNVDMLCQSTKFKETCHKTLEKASFSNMKNRIKGALGATEEELRKHINNSALYQELATDSMTKQAMEICNEVLDYAVDGIHKSVGTLDQFDFHKLSEYAFDIKVWLTGTLSHQQTCLDGFVNTKTHAGETMAKVLKTSMELSSNAIDMMDVVSRILKGFHPSQYGVSRRLLSDDGIPSWVSDGHRHLLAGGNVKANAVVAQDGSGQFKTLTDALKTVPPTNAAPFVIYVKAGVYKETVNVAKEMNYVTVIGDGPTKTKFTGSLNYADGINTYKTATFGVNGANFMAKDIGFENTAGTSKFQAVALRVTADQAIFHNCQMDGFQDTLFVESQRQFYRDCAISGTIDFVFGDAFGVFQNCKLICRVPAKGQKCLVTAGGRDKQNSASALVFLSSHFTGEPQLNKKKIKIKILLSPLLIIISSSRIFKTGEPALTSVTPKLSYLGRPWKLYSKVVIMDSTIDAMFAPEGYMPMVGGAFKDTCTFYEYNNKGPGADTNLRVKWHGVKVLTSNVAAEYYPGKFFEIVNATARDTWIVKSGVPYSLGPM >RHN64386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58682142:58682810:-1 gene:gene27202 transcript:rna27202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine--tRNA ligase MAENQRAAKVPVEGKRNILITSALPYVNNVPHLGNIIGCVLSADVYARYCRLRGYNVLYICGTDEYGTPIVTRALEQNCSPKQIADKYYQFLFSFIFCFFDSMVLHSSCLLLKQNFNNRLFSVFLCRYHAIHKEVYDWFDISFDKFGRTSSPEQTEICQSIFQKIFDNKWISERTMEQVFNFLFI >RHN74324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31841606:31842037:-1 gene:gene10365 transcript:rna10365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MVLMDASGNKIHATVRRTLIYKFEKDLQEGKVYSMSGFGVAANLGSYRTTKHEYKLNFQFNTKVKLCGKNSVPDDIYPISNSIVVFNSEYDTDYLVGMEIKI >RHN76103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47727359:47730550:1 gene:gene12381 transcript:rna12381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MLPPNTFLQDFSVPNSLNHVTNGFELEESSFSPSSVISSDEDPQYAADRYSNPFLRYISDILMDEEYDLEREPCMLQECLRLKAAEKSFYDVLGNNNPSPDGSTDLDGNFGRTVSFESNGSSCTTDNSCESDSVNLVGEFDSSYLELQTPLVEQNYYGVAQPDPVVNEQQAANHFQNGTWSWNEIQPVMVEEVSASLVPREKRSHAMDDNDDTSNEQEGRGSKISAIFSDELEPPEILDEVLLCQQGRTLTQHQQASQTVDSGEKAKAARSRSKKVSTTNNATVVDLWTMLTQCAQAVASYDQRNTNELLKQIRKHSSPFGDGLQRLAHYFANGLEIRFAAEIPSYMPLDVVTAGDMLKAYKLFVTSSPLQRMTNMLLTNTIYSLVKNESSVHIIDFGICYGFQWPCLIKKLSMRPGGPAKLRITGIELPQPGFRPAERAEETGRRLENYCKKFNVPFEYNCIAQKWETIRLEDLKIDRNEVTLVSCLYRMKNLPDETVAVNCPREELLNLIRKINPKIFFHGVVNGSYSAPFFLTRFKEALYHFSSLFDMFEANVPCEDPQRLMLERGLFGRDAINVIACEGAERVERPETYKQWQVRNKRAGFRQIRFDSDLVNETKAMVKKEYHKDFVVDVDGFDE >RHN39548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8120009:8121514:-1 gene:gene45579 transcript:rna45579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zf-FLZ domain-containing protein MEVGLSLLLQIISSKSNSNILVKSAVKKSNQTIPMDFCFLKTCNLCNKQLSQDKDIYMYRGDQGFCSIECRNRQIVLDEMKELEISTKKMVQCYRQCSNEARRETRLILEDIRMQRLKNKV >RHN59336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9678276:9684016:-1 gene:gene21349 transcript:rna21349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major sperm protein (MSP) MGETISAAASSSASKLKNQEDSFYLHMHPHELHFPLELKKNISCCLQLSNKSDNYLAFKVKTTIPEKYCVRPNIGVMLPMSTCDIIVTMQALEEAPPDMQCKDKFLLQSIVARPGATTKDITSDMFYKDSGYEVKESKFRAVCVAPPPSPNPPLSVHASESLDQEKKQISELTEERDYLKKGNKRLQQALAELQISKLTKERNDVNEKNKKLEQEVNTLIVKSQARQAKNDCCCCIL >RHN56874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34406086:34406457:-1 gene:gene32338 transcript:rna32338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MDIESSSISSTTPFKNMTPREMVTHLASSNVVVVFSSTDCCFSTVAKNLLFSLAVGPTVIELDRHASGSAILAALCQLSGDTRQPLPAVFVCGKFLGGVEILLAKHINGALIPLLKEAGALWL >RHN71299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58042455:58044540:-1 gene:gene19962 transcript:rna19962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CBS domain-containing protein MLYEKDTFGAVIVDVLNTETSSIRFSDRYIGFISFPNMVLWSLEECEKIREDAADNHIKDIENQGLFSILDRIPQIGQTKVGELAKSFLWEPFFPVRLNDTILHALLLLSKHRLQVLPVMQQLDAALIGFVTQNALVQLLLQSSELEWFNNVADKNLSDFRFEGQEHLSCVFGDQTVADALKLLWQNQTCAVAVVDRQTKKLIGNVRNSDIYNLVKNDDLLRNRKILTVEEFVHTKTDKTDAEPTIKHDHGTNHTAGSLHLKNSFTSRMDSPVTNRANQTLKQVMEHMTQTNSSFSFLINDNEQVTGVITVRDVILQFAPPCVNSSIGGGGFFELALEQSGCRINNGTIIRNR >RHN74408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32886952:32887994:1 gene:gene10467 transcript:rna10467 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIKFIYTMFLFIFLFVIPTKVDGRITHETLRNMPLPGSKPIPILRGECISDAECKHPECDNCRGICLNSRCVCMMRLGWTYTTPQN >RHN38582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:439185:441402:1 gene:gene44537 transcript:rna44537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MGCLDMEYYLPIMAMVLIEFIYAGLGIGTRIVFLQGLSPRIFVVYRHAIATILLAPVAYFSGRNSGSYSLNLRSFSLLFLTSLIGITLNQNLFFEGIFLASASVASAMSNLVPAVTFVIAAFVGMEKVNIRSMRTIAKIVGTVICISGAVSIALLKGPKLLINAENITSKSIMARLSSNDENWLLGCLCLLGNCVAWSIWLILQVPAYASHPNYLSFTAWMCLMSTFQSTVVTLFVESDLNVWKINSLLQFGCILYAGIMGSAVTFYLQAWCISKRGPLFSAMFNPLFTLIVTVFAILLLHEEIYIGSLIGAIGVIIGLYTVLWGKAEDVAEVKEKTDPKLMIINETEEGNLFINESCEKTYYETVLEEPLLPA >RHN76349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49588755:49589640:-1 gene:gene12659 transcript:rna12659 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLPVISKKVWSMVRVAFFMLRKGMSKGKLMMGLNMMLKRRSKLAGKAIANLMFHHHHNHGGSTSSRRSHHQFTASREYEFSCSNTPNHFFPIGKRHRSHNNFSTSAQAPPTQDDDVATMSAMKAVLEMLSNDQSIVEASPALPGFGRSPMVRQLRVTDSPFPLREDDEKDDQVDKAAEDFINRFYSQLRNQA >RHN63532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51988941:51994328:-1 gene:gene26239 transcript:rna26239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed RNA polymerase MVIPSSETPTVRVTNIPHTATANDLLRYLETTVGRSSVFALEIFSDYTNWKSRGVGRVQFETLEAKSKALTLAENKKLLLSSHFLCLDASSDDIIPRPALPRNRINNGALYAGFPIGPDCMSVLQSWEGVRGWVMPERQRLDFWVTHGDQCFKLEIPFENILECDGYCSDEGSKPNALLLKLRYGPRIYQKMAGPNVAAKFKDDRYRFCKENFEFMWVRTTDFSTLKSIGHSTSFFWEIVEESFDSDVFRSFPLYRENLKDLSLEDGEKFCSPTETVPLVKCRLDSKLPYESLFQLNSLVHTQKISLASVNDELIDLLASLDAETKAVIFQKLHKMNSTCYEPLKYVRTQLHVLSIKKKSVLPSQQKRLVDNNIMSCHRALITPSKIYCLGPELETSNHVVKHFAAYASDFMRITFVEEDWSKLPNNAISITLKKGMFSRPLRTEIYKRVLNILRDGILIGSKRFEFLAFSASQLRSNSVWLFASNDKVKAADIREWMGSFNNIRSVSKCAARMGQLFSSSRQTFEMAPQDVDLIPDIEITSDGIDYCFSDGIGKISQSFARQLAEKLKLDENRIPSAFQIRYGGYKGVIAVDRHSFKKLSLRKSMLKFESKNRMLCVTKWSESMPCFLNREIISLLSTLGIKDEALLALQEDQLQLLGKMLTDKEAALDVLESLNGADSNSILVKMLHRFYEPNSEPYLSMMLKAHYTYQLSDLKSRCRIFVPKGRVLIGCLDETGLLNYGQVFVRITVAKTKEKFGDENLRNVDGDDSTRIIVGKVVVTKNPCLHPGDIRVLDAVYSEELEEKGLRDCLVFPQKGHRPHPNECSGGDLDGDLFFISWDKDLIPAQTENPMDYTGRRPRIMDHKVTLEEIHQFFVDYMINDTLGAISTAHLVHADREHDKAKSRKCLELAELHSMAVDFAKTGAPAEMPRSLKPREFPDFMERFEKPMYISKGVLGKLYRATIDSNLQVRFDMVMSEKFAKEAYDHQLEVDGFEVFLETALSHRDMYAQKMISLMSFYGATTEDEMLTGNLQNRASYLQRDNRRYGDMKDRILISVKDLQLEAKEWFESDCQPHEYQLMASAWYHVTYHPKYYIESSTFLSFPWIVGDILLHIKSANAPSVP >RHN38619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:764100:765700:1 gene:gene44576 transcript:rna44576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MNKKTYIAMVPSPGLSHLIPQVEFAKQLLQQHNEFIVTFIIPTLCPLTPSMQQVLNTLPPNIEFIVLPQVKHLPEINLEPATQMKLIVKHSIPFLQEEVKSLISKTNLVSLIFGLFSTDAHEVAKQFNLSSYLFYASGALSLSFFLTLPNLDDSVSSEAEFLESAYETVNIPGCVIPFHIKDIPEIILCERSNVNYKIFLEVCQKLTLVDGFIISTFTDLEPDVIRVLQEKEKPCVYPVGPIIRNESNCEDNINSMCLRWLENQPPNSVLYVCFGSGGTVSHEQLNELAFGLELSGKKFLWVVRVPSKDVNSAYFVGAKDDPLEYLPNGFLERNKENGLVVPSWAPQVEILGHGSIGGFLSHCGWGSTLETVVNGVPVIAWPLFAEQRMNARLLTDVLKVAVRPKVDDESGIVKREEVAKCVKRIMEGDESLEIQKRIKELSDGAAVATSEHGSSRKALSSLALKLHNF >RHN48969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51459552:51460245:-1 gene:gene43746 transcript:rna43746 gene_biotype:protein_coding transcript_biotype:protein_coding MSLARGLHPHLSLALIQSAPLPVTGVRYLCPLPFRLHIQEQYNTMVKLKKIDAFFKRKTLDKENGCSFQYFGKDLPPLKVIKVMKPMIKKMTIINHIIGWLRRRLLSWSLDWGNWDELFKIIMCLFLCQVSLGLVLRGVLWTLIRE >RHN60531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27739508:27739651:1 gene:gene22852 transcript:rna22852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde oxygenase (deformylating) MSAWRIAGIIHALERWNVHECGDTVFDIEKVWEASIRHGFLPLKIPN >RHN64876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62621921:62627511:-1 gene:gene27744 transcript:rna27744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP phosphoribosyltransferase MLTMMSQIRLPLYCCYASSTSISETHHQVLNGNTVSRQEIRLGLPSKGRMSSDTLDLLKDCQLSVKQVNPRQYVAQIPQISNLEVWFQRPKDIVRKLLSGDLDLGIVGLDVLTEFGQGNEDLIVVHEALEYGDCRLSIAIPQYGIFENVNSLEELAKMPQWTEDKPLRVATGFTYLGPKFMKDNGIKHVAFSTADGALEAAPAMGIADAILDLVSSGTTLKENNLKEIEGGTVLESQAALVASRRSMIGRKGVLETTHEMLERLEAHLRAMGQFTVVANMRGSSAEEVAERVLSQPSLAGLQGPTVSPVFCKRDGKVSADYYAIVICVPKKALYKSIQQLRAIGGSGVLVSPLTYIFDEETPRWRQLLSKLGL >RHN53695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3418724:3420654:1 gene:gene28628 transcript:rna28628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MKGASDTPTDYPAAPRTRIPDFASGPAADSTSQGGIPPMQPVAPAKKKRGRPRKYRPDGSLSLAIPPKPTSSSIGEAAKFELENPGQLPASSVSATFTPHIIIVNAGEDVPMKIMSFCQQGPEAICILYVNGVISKVVISRPQSSRTLFTYEVKLHGRYEIRTLSGSFMPKEKCGRRSISGGMSVSLVDLHGHVVGGRVAGPLVAASPVNVVVGSFLPSEHEQKLKTQNNEVISTPAAPMSTAGPNT >RHN74933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37950739:37953970:1 gene:gene11068 transcript:rna11068 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Seed maturation protein MYSQMNLPCILHLSLFEHAVVSLTACNAMNITLTVSMSRTFTPNKENSQNQKINIKSLIFKSSFGNNNIIMSQEQPRRHEQEPIKYGDVFNVSGELSSQPIAPRDAATMQSAEYRTFGQTRKDGPASLMTSAAQKNEDAGFIKHNTATNIARNEGVAVSETYDGGKRVITETLGGQVLGKFVEDADRAKGNMAGDEMEDEGDPNPASAQAPKVGATKDIDFISNKGGGAMNPRFAGNQNEYARSNDSMVDNENPGVINSVPGGMGASMATATADRVKKNK >RHN78908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19894422:19895061:1 gene:gene2576 transcript:rna2576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MVMEYLTLVYTHLKWAIDFLTYYPFYKLHDSHFPIIGEMYNICNYESIPDSEKDVECVVCLCKIEEGDDIRVLKCDHMYHRHCLDKWVAFKNHTCPLCRESLRPERVIIENHCREYYNPFSVLGLDLSSPERVIIDKH >RHN47205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37904145:37908000:1 gene:gene41771 transcript:rna41771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MAVSTFIMIMFIILFMISWPQAVAEDSEAQALLKWKHSFDNQSQSLLSTWKNTTNTCTKWKGIFCDNSKSISTINLENFGLKDSIPTEFGLLQRLEVLDLGGNELSGMIPNEVAELPKLRMLNLSRNKIEGSIPSLFRSSLASLDLSGNRLNGKIPEILGFLGQLSMLNLSHNMLSGTIPSFSSMSLDFVNISDNQLEGPLPDNPAFLHAPFESFKNNKDLCGNFKGLDPCGSRKSKNVLRSVLIALGALILVLFGVGISMYTLGRRKKSNEKNQTEEQTQRGVLFSIWSHDGKMMFENIIEATENFDDKYLIGVGSQGNVYKAELSSGMVVAVKKLHIITDEEISHFSSKSFMSEIETLSGIRHRNIIKLHGFCSHSKFSFLVYKFLEGGSLGQMLNSDTQATAFDWEKRVNVVKGVANALSYLHHDCSPPIIHRDISSKNVLLNLDYEAQVSDFGTAKFLKPGLLSWTQFAGTFGYAAPELAQTMEVNEKCDVYSFGVLALEIIVGKHPGDLISLFLSQSTRLMANNMLLIDVLDQRPQHVMKPVDEEVILIARLAFACLNQNPRSRPTMDQVSKMLAIGKSPLVGMQLHMIRLGQLN >RHN80430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38107360:38112911:1 gene:gene4358 transcript:rna4358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-associated protein, MAP65/Ase1/PRC1 MANPQNDPLSQAETTCGSLLYELQIIWDEVGEAEPDRDRMLFELEQECLEVYRRKVDQANKSRAQLRQLIADCEAELAAICSAMGERPVHIRQSDQNAGSLKEEYARILPQLEEMKKQKLERRNQFTEVQEQIQSLSMEIYGPKEYGPSVVDETDLSLRKFEDLHRQLNALQSEKIGRLKTVQEHLCTLNSLCLVLGFDFKQTVLGIHPSLGDEGPKSVSNDTIQQLAAAIQQLREIKLQRMQKLQDLATTMLELWNLMDTPIEEQQMFQNVTCNIAASEDEITEPNTLSEDFINCVEVEVSRLEELKSSKMKELVLKKRTELEEICRKTHLVPEIDGAVEYAVEAIESGIVDPACVLEQFERQVAQVKEEALGRKDILEKVEKWLAACNEESWLEEYNRDDNRYNAGRGAHLTLKRAEKARGLVNKIPGMVDALTSKTIAWEKEKGFEFTYDGVRLLSMIEEYNILREEKEQERRRQRDLKKLQGQMIAEQEALYGSKPSPSKPQSVKKGPRMSTGGAASRRVSLGGAMLQTPKPDSKATHSRAMRKVDKVPQFDQLSYPDDGVSCLSSARRGLDIAGIPAKKHSLGAASAYELESPLTRQPFSPLSSNNVSSKANVANAANEHIMQSEKFPKTMALNNVPFVSPCKAMTVTDQENKTPKAMPIPIPPTPSTVSIPMNMAVTPVPSSVSMNVAVTPVPSSATVGCNVIPVQEIEYSFEERRLAYYMLA >RHN82751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56118328:56124178:1 gene:gene6935 transcript:rna6935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase MGKHKGFISDFLNHDGISEAIVMSDDDNKCSEVFSDRDYVPGEQVLIRYGKFSNATLMLDFGFTIPYNIYDQVQIQYDIPKYDPLRHTKLELLQQYFVPPTKDAKGSKYSVNSFAIKEVKSAGGKGKGVPQSLRALARVLSCTTLQELNHLVMEAAQTDGRLARHPLQDRIKEIQAHKMLSSLFIQLIGEHNATITSLDSCDSSSSSERLPVRRQMARDLLHGELHILKSASTWLENYCFSLT >RHN52081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31333632:31338103:-1 gene:gene36699 transcript:rna36699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Band 7 domain-containing protein MGNTFCLFCGCVEQSSVGIVEQWGRFQRVAQPGFQIFNPFAGECLAGILSTRIASLDVKIETKTKDNVFVQLLCSIQYRVVKENADDAFYELQNPQEQIQAYVFDVARAIVPKMNLDELFEQKGEVAKGVMEELGKVMGEYGYSIEHILMVDIIPDPSVRRAMNEINAAQRLLLASEFKGEADKVLIVKKAEAEAESKFLGGVGVARQRQAITDGLRENILQFSNKVEGTSAKEVMDLIMITQYFDTIRDLGNNSKNTTVFIPHGPGHVRDIGDQIRNGMMEASCAQVTDVE >RHN44925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9980843:9988846:-1 gene:gene39103 transcript:rna39103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MSKVKYIAEGGSSNRPPLFDGSNYYFWKGKMELFLRSQDNDMWTVITDGDFVPTTKEGAVKAKSAWSTDEKAQVLLNSKARLFLSCALTMEESERVDECTNAKEVWDTLKIHHEGTSHVKETRIDIGVRKFEVFEMSENETIDEMYARFTTIVNEMRSLGKAYSTHDRIRKILRCLPSMWRPMVTAITQAKDLKSMNLEDLIGSLRAHEVVLQGDKPVKKVKTLALKASQQSSSAAEDDVQESQELEEVYEEEAEDELALISKRIQRMMLRRNQIRKKFPNTNMSTKTEADKSQVTCFGCNKTGHYKSECPDIKKVQRKPPFKKKAMITWDDMEESEPQEDADTDMGLMAQSDDEEESLI >RHN50354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6433193:6433915:-1 gene:gene34629 transcript:rna34629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MVSHIFYFLSHRRRRCSDHSLPIKRKRKSSPENLPPEKLFQNPMKRKKRTSLKSSHQLVKEETCSYLPEECWEIVIRFLIINHNDRRCLNYISLVSKQFLSITNRLLFSLTVFHETCPFLRRRLFERFKHLNSLNFSRCNYGLNKLLCEISRFPLNITSLNISNSPTFPAYGLRVFSQKVSTLTSLNASDIHSLKSSHLLLIADCFPLLKQLNLGKTLSNNHTYAIHSLLSKCQYTTFGS >RHN77942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10678468:10684542:-1 gene:gene1452 transcript:rna1452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MADTCEKMSKGLRKIKPYLAMVSLQFGYAGMYIITMVSFKHGMSHWVLSVYRHVIATIFMAPFALVLERKIRPKMTLPIFLRLAVLGFLEPVLDQNLYNLGMKNTSTTFASATVNILPAITFIMAIIFRLETVNLKKIHSIAKIVGTVVTVSGAMVMTLYKGPAFQIIKGQSSNQHESGTTEPTEQNFVLGTIMLISSCGGWASFFILQSFTLKMYPAELSMTAWICFLGIFEGGIATLIFERDFSVWAIGFDSRLLACVYSGIVCSGMAYYVQGVVTRERGPVFVTSFSPLCMIITAALGSIVLAEQTHLGSIIGAIIIVCGLYTVVWGKSKDSVNTIDAPKSEGQELPIKDGTRTGSDIFESIEVNVPKDMKVAGMNVKP >RHN47810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42690266:42695326:-1 gene:gene42454 transcript:rna42454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP diphosphatase MQFSTRLHLLARPLLSSSFLYPSRKNGFREIMTRIGVNTCSLSTTVSAEASDLECDEIHDDVKAAEKNNALCLAVSQLASEFSKESMLSLQKFFRVRYANVVSTGSLKLDIALGIGGLPKGRIVEIYGREAAGKTTLALQIIKEAQKLGGYCAYLDVESALDLSLVESMGVNTKNLLISNPDCAENLLSMVDTLTKSGAVDVIVVDSVAALVPKCELDQLGVATSQDLHSRIMTQALRKIHYSLSRSQTLLIFVNQVRFSPKAFGGRGPMEEVTCGGNALRFYAAVRLRLSRMGLIKTEDKVEGITVCAQVVKNKLAPAAMKKAELGIKFGRGFCQESEVFALACEHGLIMKDEGNYFIEGEPFTSREAAEQFLAKNKRICDKLVMDMKRLYL >RHN55107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15198903:15200552:-1 gene:gene30235 transcript:rna30235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MGSTNPFNDNLSSFNKKFFHLTLYSLLPISLLCIYLILLSLTPFAPTKLLHSTTISTYHYSSTYSSPPSTSFVGKNKVYDHEKSCDYSNGDWVSDMRGPLYNVTTCGTIKESERCITNGRPDLGYLYWRWKPSECNLPRFEPNTFLNLIKNKHIAFVGDSLARNQLESLLCMLSTTSTPNLVYQDGKSNKFRKWHFPSHNATFSLYWSPFLVHGVERSNEGNYYNTMFLDLVNERWAKDIDQMDMIVVSTGHWFLLPSIYYESDLVLGSLNCPEFNHTQIDFYVPLRKALRTTLNSIIERKVAKGNRIDVIVKTFSPAHFEGDWDKAGTCSKTKPYRKEEKIVGGMDGEIRRIEIEEVESAKTKANKFEGIRIEVLDVTKLALLRPDGHPGPYMNPFPFANGVPKYVQNDCVHWCLPGPIDTWNEIFVEMMKRWE >RHN79695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31322320:31324401:-1 gene:gene3519 transcript:rna3519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MAITRVALCLSFAFLFYAARGATVTFTNRCGYTVWPGTLTGDQKPQLSTTGFELGPGATNSAEIPSPWSGRFWARTGCSTNNGQFTCATADCASGQVACNGAGAIPPATLVEITVASNGGQDFYDVSNVDGFNVPMSVTPQGGSGDCKSSSCPANINSVCPAELQLKGSDGSVVACKSACLAFNTDQYCCRGSFNTEATCPPTNYSEIFENQCPEAYSYAYDDKSSTFTCSNGPNYAITFCP >RHN44068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1408648:1411149:-1 gene:gene38125 transcript:rna38125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MFPHYSVSLFPKLVFAAIFKRSVNQPIKKLCLSVGSYDSHHLEKVSLLDYDIESWLTGAAERKLVHLEFDFIIDHYLPPCIFSFRNLVVLKLKDLTISTASHVDFPLLKTLHLYHVDFDHRWFVFKLFSGCPVLEDFEAEILYIYASINMSTSPDRVLECLPKLVRAKLSDISEDFPLEPFCSVESLYLKEVEYYDGLFPTFNNLTQLVLISLDSWQSLVDVLNHCPKLQRLELDQVNALEDVRAGECDLENWVDRDFVPQCLSLHLTTCNIFNYYGGQEGELLLARYILKNARVLQTMTIWNICFKIKGKLCSCPRASATCKLAIYDAPMYSRRPLI >RHN47238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38223403:38229684:-1 gene:gene41811 transcript:rna41811 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWELKNCCDHDQKLFIAFVGVYTVVILLLWRTFLLTPFKLITVFLHEASHAIACLLTCGKVEGIQVHANEGGVTQTRGGVYWLILPAGYLGSSFWGMALILASTNILTARIAAGCFLAALVIVLFVAKNWTLRGLCIGFIIFIAAIWLLQEKTKVHILRYVILFIGVMNSLFSVYDIYDDLISRRVNSSDAEKFAEVCPCPCNGFGWGVIWGMISFAFLCASLYLGLVILS >RHN61062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32613366:32614799:1 gene:gene23474 transcript:rna23474 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVMVRVEEVTHKHKVVVEGICRHIVEEEVIRKHMEEVAVTCRHMVVEVATCIHMVGVVMEMVEEETCRHMEVGCTHMVGEVATCRYMEEVVMVEEEMGKHKVEEVVTYTHMEEVGVICRYMEEEAMVEEEMGKHKVEEVVICIRMEEVVMVEEETGKRKVEEVVTCTHMEEVGVTCTHMEEVVMVEEETGKHKVEEVVTCTRMEEVGVTCTHMEEVAVTCIHTEEVVMVEEETGKRKVEEVVTCTRMEEVGVTCIHMEVAVMVEEETGKHKVEEVVTCTHMEEVVVIYRHKEVVVMEMVVVEICSNMVVICNHKEVAVTGVEVYSNYMEGTYGHMVVEIYSYMEGICVHMEVEIDNCMEGICRQMEVVVMVVEIYSNYMEGIYGHMEVGICIHIEVEIDSYYTTVVCNSKMVALLVVDSCSMVVGLHSKAYHQR >RHN59625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12548485:12548673:-1 gene:gene21685 transcript:rna21685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein Z MTIAFQLAVFALIVTSSILLIGVPVVFASPDGWSSNKNVVFSGTSLWIGLVFLVGILNSLIS >RHN80387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37637580:37638494:-1 gene:gene4308 transcript:rna4308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-mRNA splicing Prp18-interacting factor MVKYLLKLDVNSAYYDPKTCSMVVVLIKCFVDTPTPLEEKKFATWGTDVLDQKKLVEALKKVSPEEMVACRMKMIHRDDPVKAFLH >RHN44750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8094743:8099569:1 gene:gene38910 transcript:rna38910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative density-regulated protein DRP1 MAEKPQPVRVLYCQVCSLPPEYCEFGGSDFEKCKPWLIQNVPDLYPNLLNEANEKEVGKVADKLQGTGISSAAASSAGGAASSAKPEEVKRLPGGKVKKKDKQEVIIEKVVRNKRKSITTVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDVVEFITDTWPDVPERAIFFIEDGKKVPAA >RHN51266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15567359:15573488:-1 gene:gene35677 transcript:rna35677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADP-retinol dehydrogenase MKKSGTLQLQLKFHFSFNIVSLTMFLVKTWRQTAFGVYGYMNFTKPAFLEHSKNFKPEETEVQIPGKNCIVTGANSGIGYAAAEGLAQRGATVYLVCRNKERGEAALSQIQTKTGNQNVYLEICDLSSVTDIKSLASRFSEKNVPVHVLVNNAGLLEQNRVTTSEGFELNFAVNVLGTYAMTELMVPLLEKASPNARVITVSSGGMYSTPLTNDLQYSESSYNGTLQYARNKRVQVALTENWGETYKNKGIGFYSMHPGWADTPGVAKSLPGFSKSLAGKLRTSEEGADTVIWLALQPKEKLVSGAFYFDRAEAPKHLSYNATSGSHTLINSVIDSLRSLASLSA >RHN44969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10373633:10379141:1 gene:gene39159 transcript:rna39159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MSTLKEKFVTLYKSRWFVFVGAMWLQSWAGIGYLFGSISPVIKSSLNYNQKQLAMLGVAKDLGDCVGFLTGVLCEILPIWAALFIGASLNLVGYGWVWLIVTSKVPVLPLWAMCILIFVGTNGETYFNTVSLVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYAVIHSPDHASLIFMVAVGPALVGIGLMFIVRPVGGHKQVRPSDGKSFTFVYGVCLLLAAYLMGVMVVQDLVDLSETVITIFTIILFLILIVPIIIPLSLTFGSAEKTLEEEALLPETPGQSQNYSDEVILSELEDEKPKEVDLLPASERQKRIAQLQSRLLQAAAEGAVRVKRRRGPHRGEDFTLMQALIKADFWLLFISMVLGSGSGLTVIDNLGQMSQSLGYDNAHIFVSMISIWNFLGRVGGGYISEIVVKDHTFPRPAALAVFQLVMTVGHLFIGMGWPGSMYVGTLLVGLGYGAHWAVVPATASELFGLRNFGALYNFITLANPVGTLVFSSLIASRIYDYEAEKQAHGGQHLNIASFVSSALNVGDAPKCEGSICFFLTSTIMAGLCIVAAGLSMFLVFRTRIVYASLYGKSSMRSLR >RHN39455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7259241:7259816:1 gene:gene45479 transcript:rna45479 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMSSSVKPSANVQTTVHTTNKSHTLIEPTANVTTTVHTSSTSVRPTATVNTAVHTSKSSHTVVKPIANVTTVINPKPTVMKKPSVKPGMHRKPPRKPTVRTLDVVRAIVEPIVKVKAPVRRSGRIVWKGPAIKKGPGKDMENPIEVVDEEVEEAGGSGKHVAEATPRKLTDAGGSCLTLLRSVENVKYI >RHN77305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5916348:5920252:1 gene:gene747 transcript:rna747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (+)-abscisic acid 8'-hydroxylase MDSTLAYIIVLFLLTLFSFKFFPKRPKTKQTSAKLPPGSMGWPYIGQTLQLYSQDPNVFFFSKQKRYGEIFKTNILGCKCVMLASPEAARFVLVTQSHLFKPTYPKSKERLIGPCALFFHQGEYHLRLRKLIQRSLSLDSLRNLVPEIEALAVSTIKSWGDDGCMINTFKEMKKFSFEVGILKVFGNLEPRLREELKKNYWIVDNGYNSFPTQIPGTQYKKALLAREKLGSILKEIISERKEKKLLESERDLLSCLLNWKGEGGEILSDDEIGDNIIGVLFAAQDTTATVMTWVIKYLHDQPKLLECVKAEQKAIHMENDGKLQLNWNQTRNMPITYKVVLESMRMASVISFPFREAVADVEYKGFLIPKGWKAMPLFRNIHHNPEFFPEPHKFNPSRFEVSPKPNTFLPFGSGVHACPGNELAKLETLIMIHHLVTKFRLEVVGSQCGIQYGPFPLPLNGLPARCWRESTSIARKRFIGQK >RHN49146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52788847:52793613:-1 gene:gene43944 transcript:rna43944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phytepsin MVQTHFVVVFCLLAFTCSLLPSFSFGMMRIGLQKRPLDLHNMDAFKMVREQQLRSGRPMMLAHKSSDDAIVPLKNYMDAQYFGEIAIGTPPQTFTVIFDTGSSNLWVPSSKCYFSLACYTHNWYKAKKSKTYNKNGTSCKISYGTGSISGYFSQDNVKVGSSVVKHQDFIEATREGSLSFLAGKFDGIFGLGFQEISVERALPVWYNMLEQNLIGEKVFSFWLNGNPNAKKGGELVFGGVDPKHFKGKHTYVPVTEKGYWQIEMGDFFIGGLSTGVCEGGCAAIVDSGTSLLAGPTPVVAEINHAIGAEGVLSVECKEVVSQYGELIWDLLVSGVKPGDVCSQVGLCSIRGDQSNSAGIEMVTDKEQSELSAKDTPLCSSCQMLVLWVQNQLKQKATKERVFNYVNQLCESLPSPSGESVISCNDISKMPNISFTIGNKPFVLTPEQYILRTGEGITQVCLSGFIAFDVPPPKGPLWILGDVFMRAYHTVFDYGNLQVGFAEAA >RHN42524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39020106:39021889:1 gene:gene48972 transcript:rna48972 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFDTVQLQPSISFLSFYSATETCLSFLKRRVQNRASRYHYASR >RHN68659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37528357:37533089:-1 gene:gene17039 transcript:rna17039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BCP1 family protein MPRKPRRKQRPKSWPVTFSPFARALARMASVSKPNRRSKSIESSEKPTRSSTSNGSSKHTLEERPEGSESSDGEFDGVVQADFAFFDPKPNDFHGVKTLLQTYLDVEEWDLSGFADLILEQTTVGTVVKVEDDEDEGVFALATALNLWRYREQRCIMKLKEFLLLKASQEKGVGDQLRLLLGERAHNVGLLVSQRVVNLPPQLLPHLYDSLFNEVSWATEDEPTEDLRNSFQFKHYIILSKIYKHKNAEQQKRKRSDDREEEIVYVKPEDEIFHELSSWSFCFPLRTQQPAPHELKNYRSMGLIMTVEADKIPTFRQQLTSLINEG >RHN60789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30449888:30456054:-1 gene:gene23168 transcript:rna23168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isovaleryl-CoA dehydrogenase MIRTRINTARTIFSTVFRTNSSHSHYASAAAFSTTSFLFDDTQIQFKESVAQFATENIAPHASNIDHTNYFPKEVNLWKSMGEFNLHGITAPEEYGGLGLGYLYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRNGSHEQKQKYLPKLISGDHVGALAMSEPNSGSDVVSMKCKADRVDGGYVLNGNKMWCTNGPTAQTLVVYAKTDATAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPEENVLGKEGKGVYVMMSGLDLERLVLAAGPLGIMQSCLDVVLPYVRQREQFGRPIGEFQFIQGKVADMYTSLQSSRSYVYSVARDCDNGKVDPKDCAGVILCAAERATQVALQAIQCLGGNGYVNEYPTGRLLRDAKLYEIGAGTSEIRRMIIGRDLFKEQ >RHN70551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52249862:52251181:1 gene:gene19141 transcript:rna19141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein ENHANCED DISEASE RESISTANCE 2 MCTTGNNSRSSGSDSGSTTDWTTEAINGGSLRHVDLNTGTNGWASPPGDVFSLRSQSYFTKKQKSPAGDYLLSPIGMDWLKSSTKLDNVLNRSDNRVANALKKAQSNGKSLKSFIFAVNLQIPGKEHHSAVFYFATEDPVQSGSLLGRFIDGDDAFRNQRFKLVNRIVKGPWIVKKAVGNYSACLLGKALTCHYHRGANYFEADVDIGSSAIANAILRLALGYVTSVTIDMGFVVEAQTEEELPEKLIGAIRVCQMEMGSACTIVDAPRIGIAQVNHLGADATENQNHNQN >RHN66158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9283784:9289183:1 gene:gene14085 transcript:rna14085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (cytidine(34)-2'-O)-methyltransferase MDIPFPAALRLKSLNLAAAAANPYHFRSKLSLFRQLHFKPTSPFPSISSPPHLLPLSSSLATNGSVAAENEISSKEGSLPQGVGEGVNQASHSKILQVVLVSPQIPGNTGCIARTCAASAVGLHLVGPLGYKVDDTKLKRAGLDYWPYVVVKIHDSWEGFRDYFLQQEGEKRLLAFTKRGTKIHSDFSYRKGDYLLFGAETTGLPPEALLDCKTEPFGGGTIKIPMVETYVRCLNLSVSVGIALYEASRQLNYESLQIPSETCIDTEEESFITEDIFG >RHN63672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53093649:53098537:-1 gene:gene26400 transcript:rna26400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HNH nuclease MRGGSSLAGGSNKPERRRRNSTSPLKDRTKTTSTNLSSSSKRSSSPSATTLLDDDPTTVLFDEYTNPNPRSFPHSVKQKCWEKADKVKGRDPDRWRRDPLGNLIFRKLVGCPGCLCHDYDHIVPYSKGGQSTLENCQVLQATVNRSKGNRTDISKSDLIQKSSYCRVSDRDMDLLELSAFGNVRRGQDTGGCRIQ >RHN69587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44651299:44653603:1 gene:gene18072 transcript:rna18072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MEKQSILCKYTEHETVTKKLITTKKNGHSNNNNNTTINPRVVRISVTDPDATDSSSDEEGQIQTFRNRTKRYINRIEIETTTKAVVSRKRPAGETSTVRRPAKIPAVNNGKKFRGVRQRPWGKWAAEIRDPARRVRLWLGTFETAEEAAMVYDNAAIKLRGPDALTNFLTPPLKEDIPVEPSTVKPEMKVVVDADVEGEASGLGNSGYDSGEEICVPLSSPTSVLNFRSNSGEEEQSEACEVFRDCQGETTTNLFDETASFFQQDMPCWDDVFNFQTPDFPTLFEEDSNKMMFEENTTPFLFDEDLSDSIVLADSLIDFEKACFPSPSSSSTTSLCQVDDFFQDILLGSDPLVVL >RHN59689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12649642:12651306:-1 gene:gene21776 transcript:rna21776 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:matK MKEYQVYLERARSRQQDFLYPLIFREYIYGLAYSHNFNRSVFLENVGSDSKYSLLIVKRLITRMYQQNHLIISANDSNKNPFWGYNNNFYSQIISEGFAIVVEIPFFLELSSSLEEAEIIKSYKNLRSIHSIFPFLEDKFTYFNYVSDIRIPYPIHLEILVQILRYWVKDAPFFHLLRLFLYNFSNWNSFITTKNSISTFSKSNPRLFLFLYNFYVCEYESIFLFLRNKSSHLRLKSFNVFFERIFCYAKREHLVEVFAKDFSYTLTFFKDPLIHYVRYQGKYILASKNSPFLMNKWKHYFIHLWQGFFYVWSQPRTMNINQLSEHSFQLLGYFLNVRVNRSVVRSQMLQNTFLIEIFNKKLDIIVPIIPLIRSLAKAKFCNVLGHPISKPKSFSLLQWILKKKSLYRIKYILRLSCIKTLACKHKSTVRAFLKRSGSEELLEEFFTEEEEILSLIFPRDSSTLHRLNRNRIWYLDILFSNDLVNDE >RHN47001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36365055:36367616:-1 gene:gene41546 transcript:rna41546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAESFLFSLAESFITKVASRAVEEASLALGVYDDLREIKNTVSLIKAVLLDAELKQKQNHELREWLQQIKRVFYDAEDVINDFECEALRKHVVNTSGSIRRKVRRYLSSSNPLVYRLKMAHQIKHINKRLNKNAAARHNFGLQINDSDNHVVKRRELTHSHVVDSDVIGRDYDKQKIIDLLLQDSGHKSLSVIPIVGIGGLGKTTLAKTVFNDKSLDETFPLKMWVCVSDDFELQHLLIKILNSASVSDATPNLIHEENIKNLDVQQLQTHLRNTLAGKKFLLVLDDVWSEDRVKWIEVKNLLQVGDEGSKVLVTTRSHSIAKMMCTNTSYTLQGLSREDSLSVFVKWAFKEGEEKKYPKLIEIGKEIVQKCGGLPLALRTLGSLLFLKDDIEEWKFVRDNEIWNLPQKEDDILPAIKLSFDQLPSYLKRCFACFSLFEKDFKFVTYTVTVLWEALDFLPSPNKGKTLEDVGNQFLHELQSRSFLQDFYVSGNVCVFKLHDLVHDLALYVARDEFQLLKLHNENIIKNVLHLSFTTNDLLGQTPIPAGLRTILFPLEANNVAFLNNLASRCKFLRVLRLTHSTYESLPRSIGKLKHLRYLNLKGNKELKSLPDSVCKLQNLQTLILEGCLKLEKLPNGIGNLISLRQLHITTMQSSFPDKEIAKLTYLEFLSICSCDNLESLLGELELPNLKSLSIIYCGNITSLPLQLIPNVDSLMISNCNKLKLSLGHENAIPKLRLKLLYIESLPELLSFPQWLQGCADTLHSLFIGHCENLEKLPEWSSTFICLNTLTIRNCPKLLSLPDDVHCLPNLECLEMKDCPELCKRYQPKVGHDWPKISHIKRVNIKSSEHEN >RHN78083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11759330:11760223:1 gene:gene1598 transcript:rna1598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MVDNRYVPSILSRNSNNAFLENQPIRFASLLGSSPVTVSNTTTITTTTNNTNAMLMMPPPPSPPPPSPPPPPTLTLAQPLCDRSVDLPQDLTEDHTASSSKKTRGRPKGAKNKPKPVSIVTNENTDDILMEPFLIEVPIGKDVMETLINLARSQQAGITVLSGFGLVSDVTLLESMPCTPAFRVEGLFHMTSLSGTYINADGVHVPPRFMRTNPTYSSFSIIFSGTHGQLYGGIVGGSIKAAGVVSITASLFKKPSFHRMGVVNGSVREITEDDPIYDKGFIMNFDQGSYERITRTS >RHN43991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:564543:566922:1 gene:gene38035 transcript:rna38035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling ARID-HMG family MKERRWKEVTAAFSFPSTATNASFVLRKYYSSLLYHYEQIYYFRSKRWTPASSVSSSGSSLAGVIDGKFESGYLVSVSVGSETLKGVLYESPQSIKINNNNIASAALGVQRRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKLLNQTMDKDISRMIGELWNNLKESEKTVYQEKAIKDKERYQAEMEDYRDKMKTSIVTDNAGPLQQRFPEGDSALVDVDIKMHDSCQTPEESSSGESDYVADDINMDASSGGARVDSEAFVDSDKATKEGVIEVLSHCEGEVNAGGIQQTQKMNESQNMLSLL >RHN73434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16389751:16390121:1 gene:gene9247 transcript:rna9247 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLKYCNNLPGSPTGSCRYFNLCTCFYNTPPPKTRGIRTCSVGFGLCENCDLNCCNENCVNYYAHEYKNIKGKCLHILDPDPTYCICLYDPK >RHN56875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34410283:34410711:-1 gene:gene32339 transcript:rna32339 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKMEIEIEELEIISRSSRSLRIPNKSSIERRHSDINDNLHYISLKDIMCNTSTRCSLYEGNVFDSDIAIKNELVKRAASVYLQSTALLVTRNQNYIVVFWEKLKSKVDSWWRLFGGNSIRACLLPVFQFLNHVRRFHSLP >RHN65559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3211375:3212160:1 gene:gene13406 transcript:rna13406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S3Ae MVVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFQVKNVGKTLVSRTQGTKIALDGLKHRVFEVSLVDLQGDEEHAFRKIRLRAEDVQGKNLLTNFWGMNFTTDKLRSLVRKWQTLIEAHVDVNTTDNYTLRMFCIGFTKRRANQVKRTCYAQSSQIRQIRRKMVEIMINQASSCDLKGLVHKFIPEMIGKEIENATSSIYPLQNVFIRKVKILKAPKFHLEKLMEVHGDYSEDVGTKVESPADEMVTEEPTEIVGA >RHN57724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41313567:41320978:-1 gene:gene33307 transcript:rna33307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MESNILFFVLLFGFTSLIFISHFASAATLKLNTQEVKALKEIGNKIGKKDWDFGVDPCSGKGKWNVSDSRKGFESAVICNCSFNHNSSCHVVSIFLKAQNLSGTLSPEFSKLPHLKILDLSRNIITGSIPQQWAKMNLVDLSFMGNRFSGPFPTVLTNITTLKNLSIEGNQFSGFIPEDIGKLINLEKLVLQSNRFTGALPSAFSKLTKLNDLRISDNDFSGKIPDFISKWTLIEKLHIEGCSLEGPIPSSISALTVLSDLRITDLRGSRSSTFPPLSNMKSMKTLVLRKCLIKGEIPEYIGEMAKLKVLDLSFNSLSGKIPESFRDLDKVDFMYLTRNNLSGTIPDWVLKNNKNIDVSYNNFEWESSSPTECQRGSVNLVESYSLSATKKSNIHSCLKRNFPCTSKNPRHYSLRINCGGNEANVSGNIYTADIERKGASMLYISAEDWALSSTGSFMDNDIDSDPYIVTNTSSLQNVSVINSKLYTTARVSPLSLTYYGLCMINGNYTVQLHFAEIIFINDRSLNSLGRRIFDVYIQGKLVLRDFDIEREAGGAEKPIVKKFNATVTENTLKIQFYWAGKGTTGIPTRGVYGPLVSAISVDPNFKPPSEHGNRTRVILLAVGIVCGFLAVVLIMVAVMRRKGLLGGKDPVYKELRGIDLQTGLFTLRQIKVATKNFDAANKLGEGGFGSVYKGQLSDGTVIAVKQLSSKSKQGNREFVNEIGMISGLQHPNLVKLHGCCVEGNQLILIYEYMENNCLSRILFGKGSESKKKLDWLTRKKICLGIAKALAYLHEESRIKIIHRDIKASNVLLDKDFNAKVSDFGLAKLIEDDKTHVSTRIAGTVGYMAPEYAMRGYLTDKADVYSFGVVALEIISGKSNTNYRPDDEFFYLLDWAYVLQERGNLLELVDPDIGSEYSTEEAIVMLNVALLCTNASPTLRPTMCQAVSMLEGWTNIQDLLSDPGYSAAGSSSKHKSIRSHFWENPSRSQSMSIPTVYTDSSSSHVATEESNHLVKTNSVGSDK >RHN82088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51179629:51183985:1 gene:gene6209 transcript:rna6209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PDZ-binding protein, CRIPT MVCEKCQKKLSKVIVPDKWKEGASNTTEGGGRKINENKLLSKKNRWTPYGTTKCIICKQQVHQDGKYCHTCAYSKGVCAMCGKQVLDTKFYKQSNV >RHN38633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:865043:865901:1 gene:gene44591 transcript:rna44591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MDASILMSCDFNPSFESVDQIQHHLFDLDKHQNDFHSLIMNTNSTHHASDPSSHDSFTSPTLVVNNTDNVNDAACKNGSSSMIHKPHIPIPEWKRYRGVRRRPWGKFAAEIRDPKKNGARVWLGTYETEEEAGLAYDRATFKIRGRKAKLNFPHLIGSKEPTNVVVASKNSLSEANYEPSGGIIKKKKKNLVDVLNRLANNRWKIKCRV >RHN65417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2037202:2038125:1 gene:gene13253 transcript:rna13253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MASQSSSVSATSQDQGDSSNSKKIMIEKEIEHDQPSNSNPNKFFDFVKLSKDDSVLRSEVQEHDFFGTFQVGSSSRFPSNNNERKNENNDEEKNSDSKSFSCCFCKRKFSTSQALGGHQNAHKAERTLEKQRKQRYESGAFGLGQPHFNPYFSYPSTLFTRPYFSAIGTRMESMIQKPLFINPRITPHSFRPFGYGNGGLGLQEILNPSLVSLRNMEGSNSNSRVGILGTGDATTLRIEDGENNKIGTNLKFEDSSTNVTTSSNSNLEKKIIMAPTSTNDDIHDQSKSNNEEEPSDKSHGLDLSLKL >RHN47519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40277570:40288422:1 gene:gene42131 transcript:rna42131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease D MEDFNHHLSALSASLQSIPTSDSFRFHYNLPEFRHLIDQLTQKSQSMLNQIGSSDHIWGHNSSFPVDVDDGYEWMVNANDDVLELVDEVVDEFHRVLMEQDMEKGEDGFFKIKKERKGLILWGDDHGNETKKKVSFHVHTLRKPQYHYNLAVDNSNQPFEHVWLEKEKTEDGERFIHPLEYYSVLDFVDKNSIESLVPVKPPPLEFTPFKLVEDVKGLKELAAKLSLVDEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRDHVGKHLRDVFMDPTKKKVLHGADRDIVWLQRDFGIYICNMFDTGQASRVLKMERYSLQHLLQHFCGVTANKEYQNADWRARPLPDVMIKYGREDTHYLLYIYDLMRIKLFELSKESESPDDPLLEVYQRSYNVCMQLYEKDLLTENSFLNIKGLRGAGFNGQQLAVVSGLYEWRDVLARAEDESTGYILPNKVILLIAKHMPVTASNLRRLIAERSRLPYVERNHDIVVNIVRHSMGKAAAFEEAALRLKEEHAAFVEAAALRLKEEHAASVSNVASVEDGTEAPQSPSLSLKDECAANGSIGIENATCVNISEKSLFSSDPNSSAVKENGTNVQALKMPTVACDATLLGNSTSEGNGYPDKKGKKKIKVEQNVSSINLPSHSFLGNNEKSKLAVSVASVSTSTLDANMTVDLDSDSEDMMQSNL >RHN57258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37609474:37612950:-1 gene:gene32780 transcript:rna32780 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKKAILMLGLLAMVLISSVISETSTDPNWDSVEQTDLNYGKDGGYVGGSNNGGDSNNGDDSNNGDGDVHEKGGHVYNHGGEGYNGGGGGHNHGRRGHGGHGAVETEDETRN >RHN78591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16480019:16482032:1 gene:gene2214 transcript:rna2214 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPSKPKFGAHLELSFLRQLSLLLFTEMEQTHLSSLIIHLNDLLLTP >RHN57138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36799327:36800349:1 gene:gene32639 transcript:rna32639 gene_biotype:protein_coding transcript_biotype:protein_coding MVESQRGHNKEHLIPLLISSLLTGNALLMIFQIRTLCLGIVCRPQTFFQTVFIISSLEGP >RHN70934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55167015:55168011:-1 gene:gene19570 transcript:rna19570 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIPLLTNRWWFSLQIQQQPRCSSDIDIVPLHLRPLRQTG >RHN51221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15162373:15162987:1 gene:gene35618 transcript:rna35618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MTEARWLNENYIPTTEEYMRVSRTSCCYSLLILASYIGMGDKVTENIFKWVTNEPKIVNGAANICRLMDEIVSTEFEQKRGHVCSLLDCYKKHHGMSREAGIQECQKGVAIAWKDINRDCLRPTEVPMDFLTRALNFSRFMDVFYTDKDNYTHAEGLMKTYIKDVMVDPIPI >RHN82002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50509708:50511622:1 gene:gene6116 transcript:rna6116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MAQVKISKGLVLVILAMLCADAMAQSSCTNVLVNLSPCLDYITGKSSTPTSGCCTQLASVVKSQPQCLCQVLDGGGSSLGIKVNQTQALALPSACNVQTPPTSQCKTANSPAGARTVPSTDDGSSDGNSIKLSIPRLFVVFAATYLCYNFQDILAY >RHN55267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16609044:16609334:-1 gene:gene30419 transcript:rna30419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family MLTNAGNETVEKLAKLGIQTNFMVYLMTVYNMDHVYAANILNTWSAISNGLPVIGAFIADAYLGKFVTIAIASFANLAVCFNFIFIMFELMDKNNI >RHN38701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1376556:1377350:-1 gene:gene44662 transcript:rna44662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MMGNPSKTIGYFAMVALLALLFGGFISLYHCFFTSFSSQLLLSRNERIYHQQSIMTYRDVLGTALSKASMGNNKTLIIAVVNKAYVEQDVKGDPTTMFDLFLNSFWLGEGTRSLVDNILIVAVDQTAYDRCQFLKLNCYKLETDGVDFGGEKLYMSQDFINMMWTRTFFLLEILKRGYNFIFTVSLFR >RHN74115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28114198:28116160:1 gene:gene10098 transcript:rna10098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MHRMGPKKFAMIRQKLLAKKDDGEEVTQAEMFIETRQPQKGKTDEETEAAVAKLQDSIQDSSQSPNQVFQSLFGKEKPGKVRCFERTATSSMLKKNEEIANIKKHYEGEMTRMKERMEAYESLTKKRMEAYESLLKCVLLQQNPNLSVDDVDNMMGHALGIENSAVHHSSTSTHVPKKFRPKIIFKRNDASGLHVILVLCFGNARPVFYFLE >RHN62955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47027690:47029359:-1 gene:gene25596 transcript:rna25596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MASIKVISTTTVQAPIDHNNLNSRSDYRIIDLTPWDLQFLLVETIQKGILFHQTIPNQNQINHLKQTLSTTLSYFPPLTGRLIITQHNVTTNNNTSCSIICNNIGALFIHAKAENTTVSDILKPNYVPSIVHSFFPLNGVKNYEATSQPILGVQVTELANGIFIGLTLNHVVCDGKMFWHFINTWSQISKNFQSIPKLPSFQRWFPNEVELPIRFPFTKTESQRRKPPQNLPERIFHFTKEKIAELKSKANEETKTKNVQTKISSLQALLSHVWRCVVRSKKLDPEEDFRYVLVIGVRPRMTPPLDEDYFGNAGIIGSVTMKAGEILECGIGKCSLEMNKMIMLRSDEKIRDDYECWLKMPKLFGINSLAGANSLATSSSPRFDVYDNDFGWGKPVGVRSGGANKSNGKITVFAGADEGSIDIEVCLSYEILEALGNDAEFMVPSST >RHN49813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1352073:1360173:1 gene:gene34034 transcript:rna34034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase MSTSSINGFSLSSLSPTKTSIKKVTLRPIVSASLNSSSSSSSTSNFPSLIQDKPVFASSSSPIITPVLREEMGKGYDEAIEELQKLLREKTELKATAAEKVEQITAQLGTTASADGVPTSDQASERIKTGFLHFKKEKYDTKPALYGELAKGQAPPFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANMVPPYDQAKYAGTGSAIEYAVLHLKVSNIVVIGHSACGGIKGLLSFPFDGAYSTDFIEEWVKIGLPAKAKVKAKHGDAPFGELCTHCEKEAVNVSLGNLLTYPFVREGLVNKTLALKGGYYDFVKGSFELWGLEFGLSSTFSV >RHN52243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32981443:32988030:1 gene:gene36894 transcript:rna36894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prostaglandin-E synthase MRRASSVLLYRTLAAARDGSATSIALPNRFLQSTFYGTTAGSSPSRRRLFSSAIAVAAGTSLGVTGALFAASSLSQEVLAKEPPPSDALPNEVVLYQYEACPFCNKVKAFLDYYDIPYKVVEVNPLSKKEIKWSEYKKVPIIMIDGEQLNDSSAIIDKLGEKILSKKKADSTSEDEVDEETKWRRWVDNHLVHVLSPNIYRNTSEALESFDYITSNGNFSYMEKISVKYAGAAAMYFVSKKLKKKYNITDERAALYEAAETWVDALNGREFLGGSKPNFADLAVFGVLRPIRYLRSGKDMVDHTRIGEWYTRMESVVGEPSRIKA >RHN77904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10364411:10370224:1 gene:gene1408 transcript:rna1408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MLSMVKSLDILKTPSSVKIITLTIFSLTLLIFLFTRYSSSTTTISSLTFFSPPSPEDHQQQQPPPPPPPPPLQPTPKPFRIPPLPPQERIGLIDENGVMTDNFTVSNNDDDETLLNWSLNITNNNSDTNFVVEEVKKVKKYKICKDVRMVDYIPCLDNFEEIAKFNGSERGEKYERHCPEQEKGLNCVVPRPKGYRKPILWPKSRDEIWFSNVPHTRLVEDKGGQNWISRKKDKFVFPGGGTQFIHGADKYLDQISEMVPDIAFGYNTRVALDIGCGVASFGAFLMQRNVTTLSIAPKDVHENQIQFALERGVPALVAVFATHRLLFPSQAFDLIHCSRCRINWTRDDGILLLEANRLLRAGRYFVWAAQPVYKHEEGLQEQWKEMESLTARMCWELVRKEGYIAIWRKPMNNSCYLSRDIAVHPPLCESNDDPDDVWYVGLKACITQLPDNGYGANVTNWPSRLHQPPDRLQSIKMDASISRQELVRAESKYWNEIVDSYVRAFGWKGYNLRNVMDMRAGFGGFAAAMHDLQIDCWVMNVVPVSGFNTLPILYDRGLIGVMHDWCEPFDTYPRTYDLLHAAGLFSAEKKRKKCNISTIMLEMDRMLRPGGYVYIRDAVRVVSELEEIAKAMGWVTTRDDVGEGPYASLKILRCEKRF >RHN79691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31281019:31281360:-1 gene:gene3515 transcript:rna3515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phenazine biosynthesis PhzF protein MSSTTGYVDAFTETAFKGNPAAVCFLEEDKDDEWLQSLAAEFNVSQTCYLTPIHGTSIPRFGLKWFTPIVEVLQTSQHS >RHN43480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46200840:46202242:-1 gene:gene50064 transcript:rna50064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MILALLRESDLVLSHEMIEVIIDKAFQEADLKRDGIIDQEEWESFVAQNPSLLRNMTIPYLKDLNMQFPGFELVSDIEDNTTGIP >RHN44013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:825664:831714:1 gene:gene38057 transcript:rna38057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine--tRNA ligase MATASSNVSKKCFDRRDRLREIESKVKKWWEEEDVFKSEPGENPPKPGEKFFGNFPFPYTNGYLHLGHAFSLSKLEFAAAFYRLRGANVLLPFAFHCTGMPMKTSADKLAREIQQFGNPPVFPGVQEDNAMGAEGASDDDSNAKPSVNNKYKGKKSKAAAKSSGQAYQWEILRSVGISDEEISKFQDPYKWLTYFPPLAVEDLKAFGLGCDWRRSFITTDMNPYFDSFVRWQMRKLKSLGKVVKDVRYTIFSPLDGQPCADHDRASGEGVQPQEYMIIKMELVSPFPDKFKALEGKKVFLAAATLRPETMYGQTNAWVLPDGKYGAFEITETEVFVLTHRAALNLAYQNHSRVPQEPTCLLELTGHDLIGLLLRSPLALTEVIFVLPMLSILMNKGTGVVTSVPSDAPDDYMALKHLKKKPEFRAKYGVKDEWVMPFDIVPIIEVPEFGNKCAETVCLQMKIESPNERVKLADAKDITYLKGFSQGIIIVGEFKGRKVQEAKPLIRRKLLETGQAIVYSEPERPVMSRSGDECVVALTDQWYITYGESEWKKLAEDCLSSMNLYSDETQNGFDHTLSWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTIYMAYYTVAHHLQNGDMYGTNESAIKPQQLTDDVWDYIFCGGPFPKSTDISSTVLERMKLEFEYWYPFDLRVSGKDLLQNHLTFCIYNHTAIWSKRHWPRGFRCNGFLLLNKEKMSKSTGNFRTLRQAIEEFSADATRFALADAGDGVDDANFVFEKANKAILDLTQQIAWYEKIQDAKSSMRTGAPSTYADRVFANEINIAIKTTEQNYTNFMFREALVSGFYGLQAARDEYRLTYKENNQDNVKDYNQELVWHFMDVQTRLLAPICPHYAEFIWREILKKEGFVVKAGWPTADAPDLTLKSANKYLQDSIDSIRKLLEKKIPGSKKANKQGALATALKENKITCLIFVNEQFDGWKAVCLSILQNKFNRDTRTFAPHSEILEAIRQSSVGQSFDFKQIQKICNPFLKFKKDEAIALGEQALDLRLPFGEIEVLRENKDFIKRQISSKELVVQDVEILSAADADSVAKAGSSSSLNQNPPSPGVPTVIFLTQ >RHN47169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37634502:37639025:-1 gene:gene41734 transcript:rna41734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MAGIDLGSASQHFVHRLQRPDLEVHDESQDQDGNNNNNHEGLDLVSPNHGLGDVVGRRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVSSGCDVFDSVATYARKRQRGICVLSGSGTVTNVTLRQPAAAGSVVTLHGRFEILSLSGSFLPPPAPPGATSLSVFLGGGQGQVVGGNVVGPLVASGPVIVIASSFTNVAYERLPLDEDESLQMQQGQSSAGGGGGGGDGVNNSFPDPSSGLPFFNLPLNMPQLPVDGWAGNSGGRQSY >RHN46710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34231064:34236278:-1 gene:gene41230 transcript:rna41230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monodehydroascorbate reductase (NADH) MGNCLAKSRDRDNDSDQHVEFAAGNVALITTKEAWDQKLEEAKKDGKIVIANFSAVWCGPCKVIAPYYCEMSEKYTSMMFLLVDVDELTDFSTSWDIKATPTFFFLKDGQQLDKLVGANKPELEKKLVAIADSVPQNKQ >RHN56197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28643002:28645609:-1 gene:gene31561 transcript:rna31561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MALEAVVFPQDPFTYGCLTKDEEDLFGIISNNLDHNILSNWDSSSPSNIKEQWNSHSSPETSTLPPSTFEATTTITAPRRRKRRHTVNAKNKEEIESQRMTHIAVERNRRKQMNEYLDILKSLMPPSYVQRGDQASIVGGAINFLKELQQHLQFMKGQKKINKEAHENSFISCSCSSQPLTEFFMFPQYSMDARQNITCYPTKHNQSRAMGDIEVTLVDSHANIKIMLKKRQGQVMKMVAGIQNLGFNILHLNVSSMDDNVLVSVSAKVEEGSRLNTVDEIAAAVNELSHRIQVEAAAWT >RHN47603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40933893:40938657:1 gene:gene42223 transcript:rna42223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-reducing end alpha-L-arabinofuranosidase MIAQNILATMSFSHMFTAFLFSLIVCLVISECHADVNVNASHISKLVIDARTRRPIPDTFFGAFFEEINHAGAGGLWAELVDNRGFEVGGSNVSSNINPWIIIEDNSSSIIVSTDRSSCFERNKVALRLDVLCQGQSCPLGGVGISNPGFWGMNIEQGKKYKVVFYVRSLGPINLQVSFIGSDDGVKLASTNISAFGVNVTKWSRMETILEANGTNHNSSLQITTSNRGVVWLDQVSAMPLDTYKGHGFRSDLYQMAADLKPKTFRFSGGCYVEGDYLRNAFRWKDTVGPWEERPGHFNDIWNYWTDDGFGYFEGLQLSEDLGAFPVWVFNSGISHHDEVNTSDISPFVQEALDGIEFARGSSTSQWGSLRASMGHPEPFDLRFVAIGNEDCHKYNYLGNYLKFYEAIKHDYPDIQIISNCDGSIHQLDHPADLYDFHIYTDSKDMFSDYTKFDNAPRSGPKAFVSEYAVWKEDAGAGSLYAAVAEAAFLIGLEKNSDIVSMVAYAPLFLNTNDRKWIPDAIVFNSYQNYGTPSYWLQHLFIESSGATLLNSTLQNSSSSIVASAIEYKNSQDGKNYLRVKVVDFGNATENFMISINGLNSIVQSSNSSMVVLTSSNRMDENSFSEPNKIVPQRTALGNASNDMDVRLPPYSVTSFDLSI >RHN66844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19965040:19966369:1 gene:gene14946 transcript:rna14946 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQLKVKILPETAPNLASKFQNFQFISTMDHKSSQGNAKKWVRRHDWKAVSCPTSPTISMNRNGDELFPPSIMKFRNYPFSPIMDHKSRSQNSTKWIRPHSWKGSVSCPSSPMVSMIANADELSPPSTFLRNQANFHNRSSGRLSKNAASDGTKVVHFADANIRNLVAAMENSSLPSETRKPIYNGSKCTKTDSEPTSPKISCMGEIKLKKRKKIEKAKDREEKKHVTMFQRLFNFLKPKSEVRRKSNASAPQDNQVCPL >RHN80103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35131983:35132525:1 gene:gene3986 transcript:rna3986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDNYIFDILVIVFSSFLAALCFVLIALIIVHTCRRFHPNSSSSSSLSDLESLEYEVESTTTEEEAPHGLDESVIYAIPSFIYTTAKSEQEEESRGECVVCLEEYEDNDPIRILPFCSHTFHLNCIDVWLRSNPSCPLCRSCLYFFEEDFMLKRSNASGSERSLSPERMVVIDIPATASPW >RHN73727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19369868:19371886:-1 gene:gene9581 transcript:rna9581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin-containing monooxygenase MAFVGYVESVSNLYTSEIRSMWLADLIDDKFKLQECLEDAYTNN >RHN40422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16087696:16093759:-1 gene:gene46570 transcript:rna46570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-oxo-beta-amyrin 30-oxidase MIFFPGRGCQFFLMLMLVGCHFFSWMRHQPFFSLSYFFNMENGKDVYVSLLNLNDPTTLDISLMTFLHNTTRHNPIPRQKHKMEVFVFPTGTTIIISVLSVLLAVIPWYLLNKLWLKPKRFEKLLKAQGFQGEPYNLSVFKDKSRQNYMLKLQQEDKSKSIGLSKEAAPSIFTPIHQTVHKYGKNSFLWEGTIPRVIITDPDQIKDVFNKIDDFPKPKLRSIAKYLNVGILDHEGKKWAKHRKIANPAFHLEKLKVMLPAFSHSCNEMISKWKGLLSSDGTSFGSSYAEGTKLFQLLKKQGFLLMTGRHTNNPLWGLLATTTKTKMKEIDREIHDSLEGIIEKREKALKNGETTNDDLLGILLQSNHAEKQGHGNSKNIGMTTQDVIDECKLFYLAGQETT >RHN62236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41913381:41918411:-1 gene:gene24790 transcript:rna24790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, U1-type MTEYWVSQGNKWCDVCKIYISNNPSSIRNHELGTRHKENVTKRLATMRKENAAKDKEHKETANALVQIEAKAERSYLKDKAKFEEARESHELDSQGEWEFDSSSGYYYHKTNGFCYDQKSGFYYSDAIGKWVTHDEAYASPHFASNAERNVPSGKKGKITLQSISDDEYKPNKHHKGTLPVRVNPMRNAKTAAASSLAVGKRKRPNEKPKVISQEEKEALKAREAARKRVEQREKPLLGLYNKPY >RHN48170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45551666:45554866:-1 gene:gene42857 transcript:rna42857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, kelch-type beta propeller MNSDENSGDIPPLSTTEDSSPPPPPPPSPPSSVLIPNLPNEVSRTILSMIPYAHHARLKSISKSWKSALSSKSFLNNLLLHNRNSVICIFPQDPSISTPYLFDVNAVAWCPLPPMPCNPHVYGLCNFAAVPFGSHVYIIGGSVFDTRSFPINRPSSSSLVFRFNFRDFSWENRASMISPRGSFAYAVISNPGEIVVAGGGSRHLVFGAAGSRIRAVERYDVEEDRWEEMDPLPCFRAGCVGFVERGEREFRVVGGYSGSMTVSGVFPVDEYCRDAVVMGLEDGLWREVGDVWGDGENVRAGKIVVGDDDCGSPLVFMLDVNEIFRYEMSSNRWVYETCVPKRPPRGSAFGVVVVAGELYVLTHLYDDDFTESRRSRSYKKTGTMCFQIYNPKRKAWRTMVTKSPFTRRVDITSAVLSTIGL >RHN62605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44635752:44639264:1 gene:gene25198 transcript:rna25198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative branched-chain-amino-acid transaminase MHFPFRSTLSFSFPRSLFLFSFLQQPSFKVSSSFHTTITRRIPFLSTMAPPSILRDTEDGSESDMGENYADINWEGLSFSLTQTDYMHVMKCTKGEKFSQGSLIRYGNIEISPAAGIINYGQGIFEGLKAYRTEDGRILLFRPEENALRMKMGADRLCMPSPSVEQFVDAVKQTVLANKRWVPPPGKGTLYLRPLLMGTGAALGLAPSPEYTFLIYCSPVGKYHEGGRLNLKVEDKFHRSIAGSGGTGGIKSVTNYAPIYTAVTEAKANGFSDVLFLDSATGKNIEEATACNIFVVKENDIFTPAIDGSILPGVTRKSIIDIAIDLGYKVIERSISVEEMMSADEVFCTGTAVVVTSVASVTYKETRAEYKTGAETLSQKLQGILVGIQTGCIEDKKSWTVQVD >RHN47423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39641221:39641814:1 gene:gene42022 transcript:rna42022 gene_biotype:protein_coding transcript_biotype:protein_coding MFNITELDQLVFGSGIGKRPAPAPLGGAKPKNFCKFVLILMEHKKYRNAMEQSVGSLPCNPRWLIFITSPKGLNGNLATTLPSNSELSSPNTTKRTRATTPPDLNLKRDKSRLDSLRLVSTRSIFLVFDFLMLILLLSVFIVSSFLEALSIEDRRFL >RHN54003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6159493:6160152:1 gene:gene28978 transcript:rna28978 gene_biotype:protein_coding transcript_biotype:protein_coding MANQYRLGNQHSEETNPHRDAVYLRLFGTNISIINGGPRIVLFIPVIGGQTGARPTEPVAGSDFQRSGVVAGNSSNVNSISSFSDGINPTATGTRNDELFRASSRLKRSRSHDPLTRGETSNQGLQVDPSPSSSVPPRQPITTLNPSPSNYYQLNPPPAPLGFGFTIAAQTDQYIQTSSGSPRSENVTSHGGIHHNFISTSHELRPERNRLRIEVYILS >RHN62807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45963161:45965187:1 gene:gene25418 transcript:rna25418 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIRKREKILESVIMTRGFGVRHCRKFSSCLVVSIAEYVNEKKTAISTIIKYDLRGIIFCWLCYAYAARKLIYKIISL >RHN68671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37655243:37691561:1 gene:gene17053 transcript:rna17053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MVRPPPRDNWEKLVRATLKREQLRNAGQGHARHASGIAGAVPPSLAQTTNVDLILQAADDIQSEDPNVARILCEQAYSMAQNLDPKSDGRGVLQFKTGLMSVIKQKLAKEGGVRIDRNRDIENLWEFYQRYKRQHRVDDIQREEQRMQESGTFSSTLGELELRSSEMKKIISTLRALVEVMEALSKDADPSGVGGLITEELRKLNKSSATLSGELTPYNIVPLEAPSLTNPIRIFPEVKGAISSIRYTEQFPRLPAGFKVSGKRDADMFDLLEFVFGFQKDNVRNQRENVVLIIANTQSRLGIPAEADPKIDEKTINEVFKKVLDNYIKWCRYLRIRVAWNSFEAINRDRKLILVSLYFLIWGEAANVRFLPECICYIFHHMAKELDAILDRGEAEAAASCLTEEGSAKFLEKVICPIYETLADEAHYKNGKAAHSAWRNYDDFNEYFWSPACFELGWPMRTESPFLRKPKKSKRTGKSSFVEHRTFLHLYRSFHRLWIFLALMFQALTIIAFNKGSINLDTFKTVLSIGPSFAIMNFIKSCLDVLLTFGSYTTARGMAVSRLVIRFFWGGLTSASVTYLYVKVLQERHNNNSDNSFYFRIYLLVLGVYAAIRLFFALLLKLPACHKLSDMSDQSFFQFFKWIYQERYYVGRGLYEKMSDYCRYVVYWLIVLACKFTFAYFLQIKPLVQPTNIIRGLPSLTYSWHDFISKNNNNALTIVSLWAPVVAIYLMDLHIWYTVMSAIVGGVIGARARLGEIRSIEMVHKRFESFPGAFVKNLVSPQAKRIPNPDNGQSTEDSQDMKKAYAAMFAPFWNEIIKSLREEDFISNREMDLLSIPSNAGSLRLVQWPLFLLSSKILLAVDLALDCKDTQADLWSRICRDEYMAYAVKECYCSIEKILYSLVDDREGRLWVDRIFKEINNSILEGSLVITLSLKKLPLVLSRLTALTGLLCQTRNDPALVKGAAKAVYELYDVVTHDLVSSDLRENLDTWNVLARAREEGRLFSRIQWPNDPEIKELVKRLHLLLTVKDSAANVPKNLEARRRLEFFSNSLFMDMPSAKPVSETLPFSVFTPYYSETVLYSTSELQKENEDGISTLFYLQKIFPDEWDNFLERIGRRSSTEDAELQENSSDSLELRFWVSYRGQTLARTVRGMMYYRRALMLQSYMESRSLGVDSYSRNNFISSQGFESSRESRAQADLKFTYVVSCQIYGQQKQRKAPEAADIALLLQRNEGLRVAFIHVDESTTDSTTPRVFYSKLVKADINGKDQEIYSIKLPGDPKLGEGKPENQNHAIIFTRGDALQTIDMNQDNYLEEAMKMRNLLEEFHAKHGLRPPSILGVREHVFTGSVSSLAWFMSNQETSFVTLAQRVLANPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRLGNVTHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYFTTVGYYVCTMMTVLTVYIFLYGRAYLAFSGLDEAVSEKAKLLGNTALDAALNAQFLVQIGVFTAVPMIMGFILELGLLKAVFSFITMQLQLCSVFFTFSLGTKTHYFGRTVLHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIVYIAYGFAQGGAVTYVLLTLSSWFLVISWLFAPYIFNPSGFEWQKTVEDFDDWTSWLLYKGGVGVKGENSWESWWLEEQMHIQTLRGRIFETILSARFFLFQYGVVYKLHLTGDDTSLAIYGFSWVVLVGFVLIFKIFTYSPKKSADFQLVLRFLQGVVSIGLVAAVCLVVAFTPLSIPDLFASILAFIPTGWGILSLAITWKGIMKSLGLWESVREFARMYDAGMGMIIFSPIAFLSWFPFISTFQSRLLFNQAFSRGLEISLILSGNKANVET >RHN78147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12284027:12290280:-1 gene:gene1669 transcript:rna1669 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLSSTSVCFQPPLLGFKGTSCSVEKRGCCLGLKPFRASQKTWPKISCAMNMSAHQSDDDRKIQLAQLIDKARKLWDSSPEPVKNFPWNTTLGNFIQLVLDLTLAVVKYLAVPVFAVTCISELSYCAHQRKLFVVPIPVLLGAAVAGILKDTALELSPRLRDAQVPWHLIAIAIVFTLIKLPGPYYPYWGRILIPHFANGVLLRALWFAIFWNRRPKVLKMTDSDS >RHN58775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4757723:4758525:1 gene:gene20719 transcript:rna20719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MAGSKASSIGNSKGRTTPKCGCKRCMRLWVSNTDENPQRKFWRCCNFWENEDHCDLFIWDDELETPRVKAMLKRLAAKNTDHELGSTLHNSNGSTGYDVGSSNMVMNLTGNNVEDGCKNCARISEYLRVFGKEIGEEVVKELGKELGKVYGTERASTKHLRTKNKLQHERSKSFGLLVLVVLSWIFFFLVVFKFM >RHN81035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42766443:42774605:-1 gene:gene5029 transcript:rna5029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mediator complex, subunit Med14 MATAELGQQTVELSTLVTRAAQDSYNSLKDLVHKCSCSTELSDTDKKISMLKFLTKTRQRMIRLNVLSKWCQQLPLIQHCQQLSSTVSNHDMCFTQAADSLFFMHEGLLQARAPVYDIPSAIEILLTGSYECLPKCIDDVGSQYALTQDKQKPALKKLDMLVRSKLLEVSLPKELSDIRVSDGTAMVKVDGEFQVLLTLGYRGHMSLWRILHLELLVAEKNKPVKLEELRRHVLGDDLERRMAAAENPFSILYSVLHELCVVLVMDTNPDGESDSSGLRTPGLKIIYWLDFDKNADVADSGACPFIKIEPGSDLQIKCTHSIFVIDPLTGKEAEFLLDQNCIDVERLLLRAICCNRYTRLLEIKTELLKNVQVFRTADDVVLQSRMGEPDIEHKQKDDKRCNKDSEAHEVLHVHAYGSSFFTLGISIRYFISLVSFPNLLHFNLGIFQFPCFKFRNGRFLLQSSQNIAVSSALLECEEALNQGSMTAAEVFLSLRSKSMLHLFASIGRVLGLEVYFPVTLHLFFKEKSLNQFGLSLSLLLFRSPASLRLMDYGPPLQVYEHGLNTVKNPKTFFNGSTMLMMGFPDSGSSYFLLMQLDKKFNPLFKLLETEPDPSGKDNIFGDLNQVLRFKKIDIAQMQVLEDEMNLSLVDWEKLHSILSNTACPNQMSGHGLYSDIRLQNSIHTARGHHASGFSSLVDDVFGLEKGSSVPPFPVQNISSPLNTSLPFHYGSLPKAGNIQYNGSLFSSGGVKGLVQSSSVGSLLTGQGRSTVGKKLPALKSEQDLTSVKSPHSVDISSYTAMDEDTANDALSGSRPSLLSPPWPISSQMSSPSSRPNATTPVSQGPDTVNFSSSEDVISEHDKRSRKRTTSDMLNLIPSLQGFVKNQGICKRRKISDPCGSQLALRQGSITPEMIPRAEGCSYGSLIAEANKGNAPSSIYVAALLHVVRHCSLCIKHARLTSQMDALEISYVEEVGLRRESFNIWFRLPFARGDSWQHIFLRLGRPGCMYWDVKIDDQHFRDLWELQKGSSNTPWGSGVRIVNTSDIDSHIRYDPDGVVLSYQSVEEDSVKKLVADIQRLANARTFSIGIRKLLVIRADEKSEEFHTHSDVKISGVKTASDSADKLQMRRAFRIEAVGLMSLWFSFSSGVLARFVVEWESSKEGCTMHVSPDQLWPHTKFLEDFINGAEVSLLLDCIRLTAGPLHALAAATRLARAGPVPGVAAALSSFPKQAGYISLQGLLLGSLSSTANVGHPASGLGANTAVSNASGIANQTLSMLAAAGRGGPGIVPSSLSPFDVSVVHRGPYWIRIMYRKQFAVDMRCFAGDQVWLQPATPPKEGRPSGGSLPCPQFRPFIMEHVAQELNGLDPSFTGQQAGGRTSSNSPNSGTQSMAANGNRINSAAMSRTGNQVASLNSMGNALAGSSTLALTTSAVPLRRPPGTVVPAHVKGGLNTAIIGLGDDGGYGGGWVPLDALKKVLRGILKYLGVLWLFAQLPDLLKEILGSILKDNEGALLSLDPEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKRFHQQQQQQQQNSNPAPEELSSSEISEICEYFSRRVASEPYDASRVASFITMLTLPIPVLREFLKLIAWKKGLSQAQVGDVVSAQKPRIELCLENHAGLNADENSKSSSAFRSNIHYNRLHNSVDFALTVVLNSAHIPHVNAAGGAAWLPYCVSVSLRYSFGESLNVSFLGMSGSHGGRACWPRVDDWEKCKRRVARIVEVSASSTADVSQGRLKLVADSVQRNLHMCIQGLRDGSGATTSSGAT >RHN75766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44877863:44880954:1 gene:gene12012 transcript:rna12012 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTPPPNQSLPIITANPIQQSPSPSPSPSPSPLRKSKTRLIDRLETAADESPEPAGVKRRGKTRLTQNNTRKPRKPRYEVEIQQENKDGAVGLVEEVGKPRKRKYNTRPKKEKTNFPQPLKFEEENGVGLDHIGQLVSDLVMWKDVTKSTLWFGLGCICLLSSCFSQGFSFSIVSALSQLAILILGVSFFSNSISQSKGVEEKSYVKIKEDDVLRLAKLILPALNFAISRTRVLFSGEPSMTLKVIPFLLLGAEFGHLITIRRLCVTGFFVSFTIPKIYSCYTSQIDKRAEGLKLLLFDTWCACTHKKKLMVSVLLTFWNLSSIKTRIFTAFMLLVLFRYLKQHVVQQLQDGEAQVDEKEQQKKEPVVVKTEEQETQLALVVLKSDSKNKEN >RHN61689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37545279:37546080:1 gene:gene24178 transcript:rna24178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MATSNTCLTLTILVVFGTLLYNTNQVSGQCGGSLPALISECSKFVQKSGPKIAPSPGCCAAIRSFDVPCACKLITKEAEKFVSVPKAISVARSCGVKLPAGMQCGSIRIPPKAI >RHN70463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51593766:51595068:1 gene:gene19043 transcript:rna19043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MKVLLCHLYLQIAEFNSIFNLNLMDTFSVLFFTLFSPILGLGMSKKFQPTVETNVKFSDVKGVDEAKAELEEIVHFLKDPEYFSRLGGKLPKGVLLSGPPGIGKTMLARAIAGEADVPFFQISGSEFEEMLMDVGARRVRDLFAAAKKKSPCIIFIDEIDSIGRKRGNEDKMNMWQTLNQMLFELDGFKQNDGIIVIGATNHPESLDNALVRPW >RHN59043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7023262:7023786:-1 gene:gene21026 transcript:rna21026 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCNAVAEEDAIVSGHEDTDVGVPDGYNLDVPLSNNVTGLGQSTNKEMEHCNSVEEEDAIVSGHEDTDVGVLDGYNLDVPLGNNVTGLGQSTNKEMEHCNSVEEEDAIVSAHEDMDVGVPDGYKLDVPLGNNVTGLGQDENISEDKNLHAMDKSSGDDAMATNKKCACCFWWR >RHN82490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54192901:54193827:1 gene:gene6659 transcript:rna6659 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSTGRITRSKSSTQKFNSFSVGSSSREREDNKDPSCLVSTRLITVNPKVQKNPDFTKQLGFDDGEKSNRDQISCPDLKEGSQGMSPDKEPLALSEPLKLLDDDTHEVPTYSFSEPVIDNCLLNHTDNNVTNFNVRFPFDAPTDEVNVDSEQQAPNTVSWGQNGDLVRQSLLSNGEITSFSTGFNNFKSSAEGFANDVEHSCSQHKRRKIETEKFVPDSTHLMEKLVDTIDQRPASGTSSIKEDNPEAVIGVQRSTFDQADDIRHARMPADAMVDAREIQEMEGPSWKVRKDEVNLFCFHSKNILIN >RHN58447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1802947:1806556:1 gene:gene20362 transcript:rna20362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPPDE putative peptidase domain-containing protein MEEKKKHRRRMLLCGKVSRNGVVSGSVPVYLNVYDLTQFNGYAYWFGLGVYHSGVQVHGVEYAFGAHEYASTGIFEGEPKKCEGFRFRKTIMIGKTDMEIGEVRSVMEELAAEYKGNAYNLITKNCNHFCNDACVKLTGNSIPSWVNRLARIGFMCNCVLPVTLNSTKVRHHHHRIEDKQCEDDKLLKQALTSEPNKVNVSSSTPSRASSPASSSSGLRRGRSRTRRPPPSPLIIASPSS >RHN82317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52799084:52800034:-1 gene:gene6473 transcript:rna6473 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNIQCREMIEDVQRQVAGLSFQDKNTGGYNNNYPSVGNQNQRSNTQTDPRPQNPYYQPSEQPPVSSYAHPPPSYGHSHHQPPPPYQIPPTSTAPYPPPQVHQQQPPANHDYGQPAYPGWRAPYYNNAPTHPQQQQPGPRPPYTIPSPYPPPHQGGYYKQQ >RHN75305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41103211:41103753:-1 gene:gene11485 transcript:rna11485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucoside 2''-O-glucosyltransferase MFPWFAMGHSTPFIRLSNKLVIRGHKISFIIPKNTLNKLQHLNLHPNLITIVPITVPHVNCLPHDAETTSDVPSSLFPLIATAMDQTEKQIESLLKELKPQIVFFDFQYWLPNLTQKLGIKSLQYLIWNPISSAYLGNIPRQSQGSFLTEFDLMKPPSGFPDSSIKFYSHELRALVSHRN >RHN57397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38641688:38644538:-1 gene:gene32936 transcript:rna32936 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSYCTLHPLSSSSTLVHLSPCSSTSSPTLPQSSFSSKFYFQSSKCRRKPTKSRFLMIMDPILMFNGFGSSAFYIDTQTLLATVSVLAAIALSLFLGFRGDPVSCERCGGNGGTKCVFCNNGKMKKEMGLVDCKVCKGSGLVLCKKCAGSGYSRRL >RHN60405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26302849:26305929:-1 gene:gene22715 transcript:rna22715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative The fantastic four family protein MSTCMTMKIEEDTVLNQKQGIVTILNCNSDTNFTPNSPPSLRRTLSADMSSKKWLPPKGSSNLIKKISSSENLFQLHPNNSFSSSEEDGEFKETKLDDEAEAERERLEIWSSIQKNKKEEQQKGGSFDTWGSLMCLKTNDEISKSLPPYIHPLAKRTKSSLSRKSLEICTESLGSETGSDGPVSSYPPSESLGSDTGSDGLLSSDPPSETEDTEEHQQNHLEQEPEEDKKEVEDKEQEVLEVARFNYGGVAATTKKSPPRSFPPPLPSLSRQDGPSFQMRPHRDNGRLVLEAVSLPSLNNFCVQRQDGRLVLTFANQDQEIGENDEKENDGVGELEEEFEGFEEEKDDPYEYEDEIEDEEVEDADGDGGSKSVIEKGSFMSFDKTTIKSSIHWIGSNNKMVNKPIGVVNMNPKWSKKFNNEVDNKNFEDKDVQVVEASQMVKSLPPRPRVARLIPSAPNAATGSFNLNAYEHYWRTTKPTTTATKGGNYPLGPYQENNNNNNNKNKIVVSAAGDMKNMNINHVSNDKQQVLVLKGKNGDYLVHNLKSCKDSRRSFLFWEPYCIATS >RHN70136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49035441:49035999:-1 gene:gene18690 transcript:rna18690 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTNNNITFFRKQNLYSIPIKYISQRLNPSIIFLLLSGSIVQLNNNNTGILTLFSFSFLTFLSLPDLVVAKHGGKDGCCRRS >RHN54843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12822233:12824229:-1 gene:gene29936 transcript:rna29936 gene_biotype:protein_coding transcript_biotype:protein_coding MENKDSEIQDEVPKVLVDDDGSSKKLDPVKKDISNTVVDGSSKNLDLLKDSSNSVVDGNSEDIAVKPAEGSSKEDLDKTAEKSSKNLNDELAKKSYEIFQGIDKKACGGEDSKLKKRLVDLFNNKPASSVNDDEEVNKACDIIDEFLEEDNLLAAVIRKMETDVGAGMLLAQKELGAVIKNDEEEKKAKKQKTTK >RHN57405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38786431:38788436:1 gene:gene32945 transcript:rna32945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TLK family MLSCITLFCSLSNDAEAGLLEDILIHEEIYKSRLASIKRAFDLVEHRYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHRHIVRLWNIFEIDPNTFCTVLEYCSGKDLDAVLKETPILPEKEARVILVQIFQGLIYMNRRALKIIHYDLKPGNVLFDELGIAKVTDFGLSKIVENDVGSQGMELTSQGAGTYWYLPPECFELSKTPLISSKVDVWSAGILFYQMLFSRRPFGHDQIQERILREDTIIKARKVEFPSRPTVSNEAKVG >RHN64325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58166489:58167601:-1 gene:gene27129 transcript:rna27129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MTTQCSHSFLLLSILFFATTFSNLRSTTADDDAEFIRSSCNATLYPDICYTSLSRYANAVQQNPGQLARIAIAVSFSKVHRTASYLSNLTREADYSGSSRAALALHDCFSNLDDAVDEIRGSLKQMRQIGAAGTGAGAGADSFLFQMSNVQTWMSAALTDEETCTDGFQDVEDCPVKADVQNRVSKVKKFTSNALALVNGYAQKGMP >RHN60510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27451753:27455951:-1 gene:gene22831 transcript:rna22831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MSEESHQHHHHHDEDHRTPNSPPTTGTCCKCGGPTTFAPPPPSPNFSEISPPPTYRPIRAPAVPPDPHSQRAIILAPVPQAQHVPVASPPFHFQIPVKRIQSPDDIRRFHDSDSGKNFTGFIVALSDSTRGRKISDPCHQSETVNTIVSILETLTLWIDEIPPLQQAARYGNISYRTWHERLVNSGESLMFKFLPENLKPATVEIVPYFSDSFGNASRIDFGTGHETNFAAWLYCLARLGVIGEEDYQAVVSRVFVKYLELMRKLQLVYCLEPAGSHGVWGLDDYHFLPFIFGSSQLIDHKYMKPKSIHNKDILDNFSHEYLYLACIGFVMKVKKGHFAEHSPMLDDISGVPNWKKVNSGLLKMYKAEVLEKVPIMQHFLFGSLIKWE >RHN66964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22256099:22257355:1 gene:gene15091 transcript:rna15091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MMTILTTQISLLLLLLLSITTFYKSLCSNHTVVGCNEKDRETLLTFKQGINDTLGRILTWSTEIDCCAWEGVHCDNITGRVTKLDLNGKSNFDNEPFLKGSIPHSWKNLEYLFYINLWSNRLSGEVLVNLSDWRRLQFMNLGENEFSGTIPLNIPQYLEVFIY >RHN81593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47404773:47407385:1 gene:gene5663 transcript:rna5663 gene_biotype:protein_coding transcript_biotype:protein_coding MVALIKLVISFSDLNYKLFLSISKNLEATYASSQKTPHNTTQQQANNNSGPVAFCLVKDPIKSSCPTHTLSLLFSSLLFSQTRFGKTVRGTMSLACLVCHSVENLSPSHSFRRSVSNSDNEGRCYAIANCLTRKLSVQPPTVHSFLAASTSKVTPQPSNTEIPGPPRLVRSRAVRRDIVQDWNFDEVVAA >RHN62655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44992083:45001740:-1 gene:gene25251 transcript:rna25251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative p53 and DNA damage-regulated protein MDEKMKHFQKKLTELELEAEHLLLARHQLVENDKLRNGNREALTALRKKARTTTTSVPSPFGSIMKGVSGTGSRPLVQEVCTTCGNHDSFEQTWTMFPGTDLFVGIPFHAAHTILETDQAELDFEAKKLQSIVKDKSYLISEKGALADLISPGVLKSLVTLNDKPK >RHN48665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49340912:49342275:-1 gene:gene43406 transcript:rna43406 gene_biotype:protein_coding transcript_biotype:protein_coding MMPFDMHKSGASLLFNDVFVGFCSDAVLDPNSEHFSIHSVITSYLAINE >RHN52815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39115533:39116168:1 gene:gene37530 transcript:rna37530 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTLVSSTLQIRRHEYSGDMDIVILVIFVGIGTLLFYAINIDVVSLFTDLSFLFLANLNLYCIDVLYQFK >RHN51814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25810144:25810959:1 gene:gene36349 transcript:rna36349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MMLVNDQIEGKLVNSDVVMYNTIIDGLCKDKLVNDAYELYSEMIAEKISPDVATFNSLIYGFCIIGQLKDAFGLFSQMVLKNINPSVYTFTILVDGLCKEGKMKEAKSVIAMMMKDGVLPNVVTYTSIMEGYCLVNEVNKTKHVFRTISRMGVAPNTQSYNIMINGFCKIKIVNEALILFKEMCCRGIVPITVTYSSLIDGLCKSTMLGSLLMRCMLMVNHLIYPLTVLYIDALCKSNHLDKAIALVKKIKDQGIQSDMYTSNILIDGLCK >RHN79138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24114957:24117945:-1 gene:gene2859 transcript:rna2859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQISKSFYALIILLCLSLIVTGKDITCNVAGDCPEYFRCPPNTFVRCVSNICECRGLSHQQP >RHN52667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37542317:37543177:1 gene:gene37362 transcript:rna37362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLLHHKNPTPSIFEFGKILGSLVKANHYSIVVSLHRQMEFNGLASNLVTLSILINCYSQLGQNALSFSVFANILKKGYGSDAITFTTLIKGLCLKGEIHKALHFHDKVVAQGFKLNQVSYGTLINGLCKVGQTRAALEFLRRIDGKLVQPDVVMYNTIIDGLCKDKLVNDAFNLYFEMVAKRICPSVVTYNTLICGLCIMAQLKDAIGLLHKMILEDINPTVYTFSILIDAFCKEGKMKEAKNVFAVMMKEDVKPNIVTYNSLMDGHHLVNVVKKAKSIFNTMAQI >RHN44607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6778013:6778688:-1 gene:gene38746 transcript:rna38746 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMLHNNTEICVELTVRGTMAQGTTTSYATVFNSTSTSLNEVGKLLSQFFELLFAIIGFATTNTSIEKSPLLMALVFMVALYYLVLVLVTMLQLHIKNFLPFMMVIVLLGSVVSVLALMMISPTIAWIFLGLWILLFALMCYENKKELYQMIPQRIKNVFEGETQSGNSKLPV >RHN53412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1518069:1520040:1 gene:gene28315 transcript:rna28315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative naringenin-chalcone synthase MVSVSEIRKAQRAEGPATILAIGTANPPNCVEQSTYPDFYFRITNSEHKTELKEKFQRMCDKSMIKRRYMYLTEEILKENPSVCEYMAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIVCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPIPEIEKPIFEMVWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPEIVSKNINKALVDAFQPLGVSDYNSIFWIAHPGGPAILDQVEQKLALKPEKMKATREVLSEYGNMSSACVLFILDEMRKKSAQDGLKTTGEGHEWGVLFGFGPGLTIETVVLRSVAI >RHN72845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11214658:11214993:1 gene:gene8607 transcript:rna8607 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGCSKLQIQMKEGVCELLFMKFWWICLLLHIRNFRSEILVIFVIYCCL >RHN54546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10381452:10384502:-1 gene:gene29610 transcript:rna29610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator SWI/SNF-BAF60b family MNPAGLTGRRSMSTVSKAVAEIMGVGKTKTRKATSELCTFMGIPHKSRSEIASIISKFIKLYSFRSPGIKKDKLWEQNLQTLLRGRNSVGFPEIAKILSPEFSQGAINIKDTSTDSSTDNTKGKGQQKKGKKK >RHN48710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49621489:49624180:1 gene:gene43453 transcript:rna43453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, non-heme dioxygenase domain-containing protein MAAITSGYDRVKAVKEFDESKMGVKGLSDSGIKTIPQIFIHPQQTLSDIKSTSKQTTSIPLIDLSNVASPNHRPNIIKQIKEAAKTWGFFQIINHNVNVSSLDNTINAIESFHNQPHETKSKYYKRDEGRGVMYASNNDLYRTNAASWHDSLQAWMAPEAPKAEELPEICRKEMVEWDFHAAGVAEVVFELLSEGLGLERGKLKELSFCETRVIVGHCYPYCPQPDLTMGITPHTDPCAITLLLQNQVPGLQVKYEGEWVDVNPVQGGIIVNIGDFLQIISNGEYSSVQHRVLANSNKKSRISIVMFLNLSKWRGEGYHGPLPELLSPEKPPVYRDFTTQEFIENFYSKGIDAKSFVDKVKIQN >RHN51984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29514727:29516593:-1 gene:gene36574 transcript:rna36574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MEFSTIKWPKQFCLSHAKGENMSQIFMFAYVLIIFLSLFHVETNIHKIGCKTSEDCPYLGKCIEDFCQFKK >RHN74482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33886900:33890011:1 gene:gene10567 transcript:rna10567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MSQSAPMPTPSLTHLGLAPIALHCGGGYVPVGRVTLGRVMNVIGETIDDYGELTEKMLPIYRKAPSFYERNKTPVILQTGIKVIDMLAPYQRGGNIGLIGCAGVGKSILMMELMNIVADIYGGLSVFAGIKEQSQEGEDLYRRMVSDGIIKLGDKQASESQSKCAFVCGQINDPPGARSRVVYTGLTVAEGFRDEGRKVLLFVDNHFRFTQADSELSTLLGRIPSAVGYQPTLSIDIHSLQERIAATSKSFITSFHTIYPGDDVDVHLDAATVFSKEIFDRGIYPAIDPLKSTSSLLSPHCLHEDHFEVADGVIRNLQHYKNLQDIIAILGVDELSEDDQLIITRARKIELFLGQPLSVVAYPRSQETYVHLDDTLKGFQGLLDGEYDYIPDAYFHMTCGIKDVIAAYENHLLAGMQCN >RHN69281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42308257:42310717:1 gene:gene17727 transcript:rna17727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH-ubiquinone reductase complex 1 MLRQ subunit MAANRWIRPEVYPLFVPVGAAVGICVMQLVRNITTNPEVRVTKQNRAAGILDNHDEGEKYSQHFIRRFVRGKSTEIMPSLNGFFSDPK >RHN68698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37898902:37903438:1 gene:gene17083 transcript:rna17083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase CMGC-CLK family MEMERMAEFSRNYMDRPPRKRARLGWDIPETPKAQVGLFCGQEVENISSYAPSEHNITSSLFKGVARNGSPPWRDDDKDGHYMFELGDNLTSRYRVHGKMGEGTFGQVLECWDRERKEMVAIKVVRAIKKYREAAMIEIEMLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLHMIHTDLKPENILLVSSEYLKIPDYKSSSRAPCSFYKRVPKSSAIKVIDFGSTTYERENQNYIVSTRHYRAPEVILGLGWSYPCDVWSVGCILVELCTGEALFQTHENLEHLAMMERVLGLLPQQLLKRVDRHAEKYVRRGRLDWPEGANSRESIKAVMKLPRLQNLIMQHVDHSAGDLIHLLQGLLRYDPFERVTARDALRHPFFMRDHLRR >RHN77352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6233671:6238434:-1 gene:gene798 transcript:rna798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MRGFNIEKTLTHYNIKMSSMLSQKVLLWSLIFLVCKANQQSEYLYKFIQSKRSQQKPSHVESYSSTIVDEHVSKVHVVEQQLRLMEDDKVKALPGQPKGVDFDQYAGYVTVDSKGGRELFYYFVESSLNSSTKPLILWLNGGPGCSSFGYGAMQELGPFRVNSDGTTLSLNKDAWNKVANIIFLESPAGVGFSYSKNSLDYSNIGDNSTAKDSYIFLLNWLERFPQYKTRDFFIAGESYGGHYVPQLAHLILSNNKKLKNHTRINLRGIAVGNGWIDDNLCTKGMYDYFWMAGLNSDETHEGIDKLCDFGNFNYTNECNLYQSRANDELGNIDIYNIYAPFCNSSATKTSYSGSDFDPCSEDYTNAYLNLPKVQEALHVKPTKWSSCSDLSWTDSPASILPTINGLISSGISIWIYSGDIDGRVPITSTKYSINSLKLPVHTAWRPWYTGKEVGGYVIGYKGLALVTVRGAGHMVPSDQPYRALTMISSFLLGQLPPQLNS >RHN49188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53168774:53172517:-1 gene:gene43994 transcript:rna43994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MKTGSMIFSFLQVPRCRLHSLANNCGQTFHSFSNICENNSSVRLLGSRIYGLQGGHRKKWTRRPITTNTEGSAGTKSRSTKQEILSETISTSSTVNVKKTQLGQFPEIQYCDIHQEIAQNKDLSSLVTVIVFDIETTGFSRENERIIEIALRDLQGGPNSTFQTFVNPQRSVYNSHIHGITTQMVSRPGVPRMEDLVPILFHYVKSREKPRGYVLWVGHNARVFDVPFIINEFRRCSTQIPPNWLFVDTLPLARQLMKSEGTKLPSVSLDSLRKFYEIKVDGPAHRAMEDVNTLSLILPKLTCDLKLTLSGLVEKSFTEADIINSKKKKNSN >RHN64686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60995417:60997508:-1 gene:gene27528 transcript:rna27528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative intramolecular oxidoreductase MPTLNLFTNIPVDPVIASDILRDATKVVAKIIGKPESYVMILLNGGVPIAFGGTEEPAAYGELISIGGLGPSVNAKLSSTIAQILQTKLYIDSSRFYIKFYDSERSFFGFNGSTF >RHN65978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7227476:7227964:1 gene:gene13887 transcript:rna13887 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLRGSGLKFVFGDLRCYLCDVDYVKKNVCVLVLVVIAGQEKKRSGVKIVVCVLTVEYFFHVGPPSFLGCLRVDFTELEMSKNLLLGEEILQPVLSCVFGYYRTKF >RHN64163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57028109:57033062:-1 gene:gene26950 transcript:rna26950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MGTTDTTKPNFDSILSERKAFDETKASVKGFVDEGIKKIPSFFHHQPDKNEIAYNNCHVLPIIDLADIDNKDPIIHQKIIDKLKEACETWGFFQVVNHGIPLNILEELKDGIKRFHEQDPEVKKDLYTTDPKGPFTYNSNIGGLSNSPALNWRDTFICFLAPDTPKPEDFPLVCRDILIEYSKQMMNLGFLLFELLSEALGLNPNHLKDMGCVEGLIAACHYYPPCPEPDLTVGLKKHSDTGFLAVVLQDHIGGLQVLYQDKWVDVKPIHGSLVVNVGDLLQLITNDKFKSVEHRVVANQVGPRISAACFFYNGHKLSSRLYGPIKELLSEDNPPKYRETTIGDYAVYSPAAKRLGCTSVLSHYKI >RHN43226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44169535:44170080:1 gene:gene49766 transcript:rna49766 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLKNTCKRRKYPSIVNYRGSPKSAAVNYRGKPRKLTAEIQFQPVNPQEAPNNIYLTKSYYHFQHLSFLLFFLFSQPTIVAMESDEECNTGLCLGLGMGATKEKKQKLVNKPVIAPCLDLAFELCPKEEAMNVHNNKKGERFNLERHQHYQNVKCSPDSDNNNNNDRRKKLRLTKEQSSL >RHN50039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3443317:3443811:1 gene:gene34287 transcript:rna34287 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVPLVPNFGFGILRLETEDSRNWMDIKFEYTKREGKTIIDLHNWESEGFSISREYGHGQGFEGSVKISRIRKCALRGMQL >RHN74250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31092814:31093277:1 gene:gene10282 transcript:rna10282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRGRVQLKKIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSNKGKLYEYSSDPWYFIIITYFFTISYVLQLFSSFFFL >RHN57569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40163506:40163942:1 gene:gene33137 transcript:rna33137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin carboxyl-terminal hydrolase 7, ICP0-binding domain-containing protein MKLTFQESELENGDIICFQKDSSMDIVKQICFPDVSLYLEYVHKRYPYVASSFEYICAQSPGSFLSPRHRI >RHN53004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41047558:41048684:1 gene:gene37747 transcript:rna37747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MVDDLPSSPSSPTQQPLISSSDEEKRGKKRERETNNNNVNNTNTKHPVYRGVRMRTWGKWVSEIREPRKKNRIWLGTFATAEMAARAHDAAALTIKGSSAILNFPELSATLPRPASNSPRDVQAAAVKAASMVPPPPPPSPAPSSSSSSSSSSSLSSSSTPEDLGEIVELPRLGTCFESLDPEFVFFDPVDYWYHSNNNSIYDNEEENGYGYGDGGYLNMISMHDPENTLLSLWS >RHN47575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40738150:40742869:1 gene:gene42193 transcript:rna42193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GrpE nucleotide exchange factor MATVLRTPTFRPSPTLLTTAATSSNHSRTSRVSVASSRRRPSPLKSHRFSSIPTLRFAKLVPFAFDGDTEAPQVQDSPEVQVLDSTDGAADVEESTGDDEVSDAGEIPASPLIVLLQSYKEALANNDSVKVAELESSLKSIDDEIVGLEGKIASLSEELSIEKDRKLRIGADFDNYRKRTDRDRLSLVTNAQGEVVESLLPVLDNFERAKAQIKVETEGEEKINNSYQSIYKQFIEILNSLGVEPVDTVGNPFDPMLHEAIMREDSDEFEDGIILQEFRKGFKLGDRLLRPSMVKVSAGPGPAKPEQEVPQEEEVTNETSQDSKDNDGNTETESA >RHN38425.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000021.1:5097:6168:-1 gene:gene50755 transcript:rna50755 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGPFLQKIIIKKDWKMKVRTEMKLKTVWTKNAILGPQLRDQNAIKNKIE >RHN47123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37293813:37300291:-1 gene:gene41681 transcript:rna41681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyl-CoA carboxylase MASSLSSTSPSAAPTVTVAAAASTKSARFSHSSISFPRLSPNSNNSLRFFTKGCPPNHMLLCPRVKAQLNEVALDGSSNDVPPKAKSDVEPPTAPSVEPSSSVLADQESISELFDQIASLVKLVDSRDIVELKLKQLNCEVLIRKKEAMPQPEYAPQPAVLYSQPSQTAPPVAPSHTLALPAPSTPISPPPVNLTTSSRPPLKSPMAGTFYRSPAPGEPPFVKVGDEVKKGQVVCIIEAMKLMNEIEADKSGTIVEIIAEDAKPVSVDTPLFVIEP >RHN58553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2750461:2751980:-1 gene:gene20475 transcript:rna20475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen METKLRPLCLIAFIALVTLVSKGNAQDSSCLNKLAPCLNYLSGTKNPPDSCCDPLKSMIESDATCLCSLVSNKGTRQAEKAGINISDAQSLPGRCGQHVNPLSCLSNSPGSKNSDNNSATKLMNVSWLVMIISAVLTIIHMLSVST >RHN66004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7460202:7461555:-1 gene:gene13913 transcript:rna13913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MACKPAASAIRDIIQGRFHGFWKTYGDIPDDEKDAWFGLFEMKCTWNPKYYAQIRRNFHIRASARLSDMLRHVRLRHETAGKRPYWIGEPLMRQLVDYWGSPEFKTKSEKAKKNRASEKGGCIHTGGCISTAEHARRLAKKLGREPYINELHKKTHTYRSGQYVDDRSKICQEEYDRHLSEALSRGCSVDEALTLRAWTKVVGEKKKGRLYGAGNLAGNYRKGVATTLKLTLNAGEGSSRQPKLTPEIRDLITRLTQEQLAAHMQTQQELIHEVVRKQREFLDSQLDTFRQEQGWNMNEQGVGQQSGSRESVRAPPVCDDDADDPYELYGEGEEDDDVDDLEMDD >RHN47803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42635267:42638021:-1 gene:gene42446 transcript:rna42446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MQYANIIPQTLLKSSFSSMKYLKLGNVNFNDRGELLYIVSALQCASGLVELVIQSFVDVCNIQVSDHSEELECNSYCLNNLQIVNIHVRAGSQHAMSLIRFILANSTSLRTLTFKVAPSSKNFTRLVKDGTSITKSTC >RHN65067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63986179:63987504:-1 gene:gene27960 transcript:rna27960 gene_biotype:protein_coding transcript_biotype:protein_coding MFWPMDAVVQHIFLEVQYCNGNRATFILFMCSIASFILLFALVCKYVGIEYGFLVYVLPFWIFIFRGCGLALAFSYVESRYAFTNQLLSYDVSYIWVNIVLKSHSNK >RHN56976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35382154:35393187:-1 gene:gene32449 transcript:rna32449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AP complex subunit beta, AP-3 complex subunit beta domain-containing protein MFHQFGATAESLSKASTAVFRIGTDAALYDDPEDVNIAPLLDSRFDSEKCEALKRLLALIAQGFDVSNFFPQVVKNVASQSLEVKKLVYLYLLHYAEKRPNEALLSINCFQKDLGDTNPLVRAWALRAMAGIRLHAIAPLVLVAVGKCARDPSVYVRKCAANALPKLHDLRMDEHATAIEEMVGLLLNDHSPGVVGAAASAFTSVCPNNFSLIGRNYRKLCEILPDVEEWGQIMLIGILLRYVIARHGLVKESIMFSSYNKDHGNLDEDEHDVTLKKDAGYATEKTVSELTHMIFQCYIEGPDEYLSRSSSTIKIAPKLDESLYTSCSNEVVRILLQCTSPLLWSHNSAVVLAAAGVHWIMAPKEDVKRIVKPLLFVLRSSPASRYVVLCNIQVFAKAMPSLFAPHYEDLFIYSVDSYQIKALKLDILSIIASDSSISFILKEFQDYIRDPDRRFAADTVAAIGLCAQRLPKMATACLEGLLALIRQEFLCGEIRSLDGEEGVLIQAIMSIISIIKLEPPSYEKVIIQLVRSLDTIKVPAARAMIVWLLGEYCSLGEMIPRMLSTVLKYLAWCFTSEGLETKLQILNTITKVSLCIKGEDSWTLRKIWTYVIELAERDLNYDIRDRSRFLKKLLSSNLESQNVEEENSESRKDQSSVLAECIFGGQTKTVTVPSEPINDRFYLPGSLSQLVFHAAPGYEPLPKPCSLPYIDQYDGAVNSDSEEVDDPGSSGSSDDENASDYSSEQSISGSSEGSGSNETVSGDEGDNNDDPLIQISETSNVNENQNGGDHSGSSGFNDLMSTKSLESWLDEPSKSSKGSETEQSQVRKSSARITIGDIGSRVKPKCYTLLDPANGKGLMVNYSFSSETSSISSHLVCLEVLFENCSLEPMFDIVLLDEDSSKSADSTDQISQAAENTLKSHVDKPALVSMEAISSLEPSQKAKRTLLVRFHHHLLPLKLALFCNDNKFPVKLRPDIGYFVKPLPINIEAFIEKESHLPGMFEYVRSCTFNDHILKLNKESNSLTEDTFLVICESLALKMLSNANLSLVSVDLPVASNLDDASGLCLRFSSEILSNSMPCLITVTIEGKCSDPLITSVKVNCEETVFGLNFLNRIVNFLAEPPVTHS >RHN75729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44594044:44594364:-1 gene:gene11969 transcript:rna11969 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGNISVGGGLVAWLVRPAQVTVETIAVAAAVMAADTEKIVVQSILTEVDEPQLAGLGEDAGSM >RHN67956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31822694:31822900:1 gene:gene16221 transcript:rna16221 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta' MSSREVPIEVHYESFGTYYEIYGHYLVIRSIKKEIRCIYIRTTVGHISFYREIEEAIQGFSRAYSYGI >RHN69765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46111422:46115459:-1 gene:gene18278 transcript:rna18278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding protein BIN4 MSSSGDSSPDWLRSYQVPTPNSPVTLSSDSEYLHDSRSFSDEDIIDDEDSSPKSPKNLKANKNKGSAKSSTKKTLERKSLTIEDEAPPTRKKLYTRKDKNGKKEEKKIKLDSDTDKEAEHKESIHSMWELSSDSESCRDHSPKTEDQIDQVETKPQTSQPPGEDGLLRDNDGKSPSKKASKAKASQKQTDLEDCTPVKGKKTKVNAKGKGGDGDVEVKDEETFEKPVEPNVSSSILPLMLSEKVHRTKALVECQGDSIDLSGDMGAVGRVIISDSPSGDQEMCLDLKGTIYKTSIIPSRTFCVVSFGQTEAKIEAIMNDFIQLNPPSDVYEAETMVEGTLDGFSFDSDEEDGKRQKTTHPSDQNEHAEEQTPGKSKRKADKTSGAEKKRGKNTGGKSQPKTAKKKAPSSKRAKPKK >RHN63104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48469400:48469918:1 gene:gene25763 transcript:rna25763 gene_biotype:protein_coding transcript_biotype:protein_coding MSILLIFSPLSIYLSIYLSIYLSLSLSLSLSLSLSLSLSLSLSLFSLSLSLSLSLLSLSLSLSLSLSLSLSLSLSLSSLSLSLSLSLSLSLSLSLSLSLSSLSLSLSLSLSLSLSLSSLSLSLSLSLSLSLSLSLSLSLFSLSLSLSLSLSLSHLFFLLYSVFLSLSSSTYL >RHN76062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47366358:47368630:1 gene:gene12337 transcript:rna12337 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVWFPSCFRRVGSRLPYATTWTVLLIVTVVLTSLAPGVAFMFAVSQSSKPCHHHEFVRIPFDSPTEMVCLPEHVVIPSVLRANVTS >RHN59725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12713720:12713887:-1 gene:gene21830 transcript:rna21830 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L20 MSLFTSSFRGAHSKLIRTISQQKIKALVSAHRDRDRKKRDFRSLWISRINAIIAK >RHN54346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8883977:8891218:1 gene:gene29363 transcript:rna29363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase response regulator and transcription factor RR-A-type family MAKNIDKTSSDSENSLCSSSSSPPMSNKYRYMFNRLFRFANSWKKSNSPRGRRIFHRDVEKEEFQYASSHCLSSYYSVFVVRLAIMVMLAILIGLLTILTWHFTKIYTKKSLSSLAYGLRYELLQRPILRMWNILNSTSEITTAQVKLSQYVIRRYSNPASQAEQVELYEAMRAVTWSLFASRKALNSITINYKNGFVQAFHRDLKDNNTFYIYSDLSNYSMVATTSNMLKSISTHQAWDDKTLHGNFSAIWYREPLDPVTGEKIGKAMKIAPEDLINIAGLSQVPDGVATWHVAVSKFTDSPLLSAALPVWDSSNKSIMAVVGVTTAFYSVGQLMRELVEMHSGHMYLTSQQGYLLATSTSAPLLTNSTKPPPKLKMAVDCEDEIIRLGAEWLQRTYGNHFPNSTHEVHVENAKLGNQQYYIDSFYLNLKRLPLVGVIIIPRKYIMGQVDERSFKTLVILISASLCIFVIGCVCILILTNGVSKEMNLRAELISQLEARRKAEASSNYKSQFLANMSHELRTPMAAVIGLLDILISDDCLTNEQYSTVTQIRKCSTALLRLLNNILDLSKVESGKLVLEDAEFDLGRELEGLVDMFSVQCINHNVETVLDLSDDMPKLVRGDSGRVVQVFANLINNSIKFTTSGHVILRGWCEYPNSCNDSPNFYLDQKKSRNLHKSKEKPNASHAKRISMRDNKVILWFEVEDTGCGIDPSKWDSVFESFEQADPSTTRLHGGTGLGLCIVRNLVNKMGGEIKVTKKEGQGTLMRLCLLLSAPVDVTEQHALNLTDNGLVVLLALQGNMGRIITSKWLTKKGVCTMEASEWNGLTQILRELFHARSSIHNSNNFDAHYPINLEGFKSKLLSIKDMRNPIFVIVVDIGLLDLSTDIWKEQLNFLHKYFGRAKFVWMLNHDTSNTIKTELRRKGHLLMVNKPLYKAKMIHILEAVIKERNHELQKKNMKEGDLHEFLEIDSTHFDAATSSDDSDISEISEKPIVKLTNENEHLEENNSRKEESCSSEEKKAKETNFATQGYDRVTRTRKEVNDKKSLEGLRILLAEDTPVIQRVATIMLEKMGAVVVAVGDGQQAVDALNYMASAEDCRRESLQKDRNTRSQTEILTCHQYDLILMDCQMPKMDGYEATKEIRKSEEGTGKHIPIVALTAHAMSCDEAKCLKVGMDAYLTKPIDFKLMVSTILSLTKPTN >RHN63131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48720708:48720942:-1 gene:gene25794 transcript:rna25794 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSVWFWVFGGLICCWRRSLLFSVIINFCCHRKEKKSAAILLTSLCVCFDY >RHN74744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36309881:36317569:-1 gene:gene10852 transcript:rna10852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MMDITEVSILHHVGIVLISLWLLSTFDRCHAAFYFVALIYLYLVHERYVTRLRKKLQFEERKQSNQRRVLSDSETVRWLNHAVENIWPICMEQIASQKILLPIIPWFLEKYKPWTAKEASVQHLYLGRNPPLITDIRVLRQCDDDHLVLELGMNFLTGDDMSAILAVKLRKRLGFGMSAKLHITGMHVEGKVLVGVKFLKEWPFLGRIRVCFVEPPYFQMTVKPIFTHGLDVTELPGIAGWLDKLLSIAFEQTLVEPNMLVVDVEKFVSPDQEPWFKVDEKEPVAYAKIEIVEAAEMKPSDLNGLADPYLKGQLGGYRFRTKVQKKTLSPKWQEEFRIPIITWDCNNVLAIEVRDKDHFYDDILGECSVNINDFRDGQKYDKWLPLEKVKMGRLHLRITVLDDKEKEADTTCDQETIDIEERKDSFANETTNKGSFSSISSGKSPRLADNYEPIKIEGQEKTGVWVHQPGSEVPQMWEPRKGKSRRLDTEIQREPNDISGCNSTVSGSLNNDSSSPDDNAEDKHRMKTVRKGLHKISSVFRRSRKMDDNSGPIAQDFPSPRDNIRSVNASKGVGVKFVMDDNIAGFPTGKIQVEGGSTEGSGPDSPAKRDVKDMAKNIFKHAEKSARSLKHVLSGKSRKSKGDSAATFCEGENESDSSSGESLSVQSPKDARTKVVSQAIAPCNIGSPKSDVNEVRNVRSPKPDVDVVHNVPSNTTVVNKEPQKAFSPERSSEKIGMSGEHDKEEMLADKRVVSSIAE >RHN71705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2070459:2072714:1 gene:gene7333 transcript:rna7333 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGQLLLLRDRELVSSCILVWLATIILWWTGVRGARLVGRLLLIRDRESALWLKFGFGLFWCSFGVPEVVRLWKLIDL >RHN39418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6975708:6978013:1 gene:gene45439 transcript:rna45439 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSVVSIHQFLKSMRHRVVLHKFVSLSHSLAYFKTEGCSSKSNFKQDIFSFYFYPCTSDKI >RHN40351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15538852:15539160:-1 gene:gene46498 transcript:rna46498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative quercetin-3-sulfate 4'-sulfotransferase MTSPDKILFLKYEELKEDPIFHVTRLATFLGYSFTQEEESKKVVENIINLCCFETMKELEVNKSGFMRSYVGNKFFFRKAKVGDWKNYLSPSMEEKLSKIVD >RHN42742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40594541:40601510:1 gene:gene49226 transcript:rna49226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GBF-interacting protein MSGKVGGQKGTSSSLSGIPAASRKMVQSLKEIVSNIPDNEIYATLKDCNMDPNEAVSRLLSQDPFHEVKSKREKKKEGKDTTEPRSRGSNYNIPSRGGGGGRTGSDRYAGRGGGGGGASSNQFSNNDYGVPQSKPVFKKENGTPAYGSSSTSYASSVMDNNVNRQPPSWSDSVGVHDGLSSSSHHGGLQSAWSASPGQVSMADIVKMGRPQAKASVPKSSVHSGNHQNAVSTPAASHHNLNVTEATNEWPSIEPNVQQSDEWPSIDPNVQQSDEWPSVEHPPIVAVDAHPNSEYYTNTSNFGEANRQLQNHGNEFVAEDSPVEDENPDDVGSASIPAKIISDDNPGTASGYDDNLYKDINSYQSHRHPFDDNEAENGVSSVATNLEQLNLHTDEQGIEPEEDNSDVLIPNHLQLHTPECFSLSFGSFGPKQNATVSGAGTHSSRPLQSNLEETSGATDVSAIGSSDVKNPDYYGDEHIATTSDGNNISHITGVDARTYEHSSISQPEALKSEPSETAQENQYSFPSSSHEFTYENAQQPEVAYPHSQTSSQIQNLSPFSSVMAYTNSLPNALLASTVQTPREDIPYSPFPVTQAMPAKYSNMASSIGGSTINMSEALRANNISTPQAQPNQQSLPGAGVATGPSLPQHLAVHPYSQLGPFANMISYPFMPQSYTYMPSAFQQAFAGNSTYHQSLAAVLPQYKNSISVSSLPQSAAIPSGYGFGSSTSIPGGNYPLNPSAAPTSTTIGYDDVINSQYKDNNHMISLQQNENNPMWVQGPGSRTMSVPPSTYYSFQGQNQQQPGAFRQSQQPSQQHFAPHGYPNNFYHSQSGISLEHQQQNPRDASLAGSQSQPPKQSQQQLWQNSY >RHN70534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52106571:52107615:-1 gene:gene19122 transcript:rna19122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MNSPILIQISYTHIKHYYYYMNVTHILHMDHHHHHVTTGQVKTPPSSSSSSTSSGNNDNKTEKKYKGVRLRKWGKWVSEIRLPNSRERIWLGSYDSAEKAAKAFDAALYCLRGRHANFNFLDTPLNLDINAVSNNSLTPQQIREVAANFANKNPPIIDNNNNGNNSNVQSNMVTEIGGSSSTTTMYNNGSTIDWTFLNMLDGSNTHDANFVGSDYGLLYSDLDKMMHSGELMYSIPPPPLFEDYQNLSSVEEYDDDNGDPFSHQSFLWNWNF >RHN59471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11063991:11065390:1 gene:gene21502 transcript:rna21502 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVMTGILDESEDVSSNLVRPLLDSVRKENQVTKGLQTDNTCARDVQTIDDTQSNIRSKSSTTMDGGVAECDGSKSNPHSSFIENFEKGNSRTCTGNLETVQKLSSETQLDIVPRKRPLKPNSLMNPDEGYDHSWIHKESGTEKSSRSKKARDNSHPVTPSDDPTSIKDKKQSSSKTVSKALVCKVNNGKIETPAQSIKTRDKGSDFPSTKVRKGSEVKHEAPVRNFKLSIKIDGKVLVPPKSIVSPMKPEVLCEDVSRVPM >RHN47332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38956035:38960124:-1 gene:gene41920 transcript:rna41920 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPETNNHEEPTFPTKRKPDQEETHHLPNKNPKLTSTTTVDQETELPTTVNSSSDAVPNNEPENNTDDDDEDDEDEENSDEEPVVDRKGKGIMRDDKGKGKMIEEEDEDDDDSDDSDDSDDDSDGNVSGSDSDFSDDPLAEVDLDNILPSRTRRRTAQSGVRISGGTPNAD >RHN72190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5628446:5630199:-1 gene:gene7874 transcript:rna7874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MEFIIKKWLVVLIVFFSANYLQQCVNGKSQVPCLFIFGDSLSDSGNNNNLPTSAKSNYNPYGIDFPMGPTGRFTNGRTSIDIITQLLGFENFIPPFANINGSDILKGVNYASGAAGIRTETSMAKGFVISLGLQLKNHKVIISQIASRLGSLNKAQEYLNKCLYYVHIGSNDYINNYFLPQLYPTRHIYSPQQYAEALIEELSLNLQALHEIGARKYVLSGLGLLGCTPSAIFTHGTDGSCVEEQNDIASIFDFKLKSLVDHFNNKFSADSKFIFINSTSESDSQNSNGFLVANAPCCKTRLIGGCIPDERPCYNRGEYVFWDEFHTTEAWNLVTATRMYDSYNNLVFTYPMDIKNLAEQETMKDLEPINVITSKLSASS >RHN54444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9638028:9639419:1 gene:gene29485 transcript:rna29485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MSVGGGWGERGTKIAVGGRRRHDRESGEEKRKWLRVRERGLWKTHPIEEINSYYIDIPVFENLTVLRLYWIFHVLFDWDDVMKMLQSCPKPQDFTISKWTSDSETKEDWKYPHHVPECVSSHLTTCNILHYLDVEPDFRFARYIFQNARLLQDMKIHPISYRPKRELYEELSSCPRISNYTNKWSSNYRVHLITVQCMLCLAFSVTKLLE >RHN47364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39177007:39177888:1 gene:gene41956 transcript:rna41956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKKRKLEHNSNNSENQDRLSDLSDCVLLHILSSLNTKHAVQTCILSTRWKNLWKRLPSLALRSSDFRNVKRFAKFVSRVLSLRNDSTSLHTVDFCRTAIVEPYLLKKIVKYAVSHNVQQLDVSVAGNMEYFPPSFFSCRTLTSLSLDLSDGRRMSYGGKLFPNSLNLPALLNLSLYSFVFCVGNDGRVEPFSALKSLKCLIINKCEVLDARLLCISITTLVKLTILMHYYDPEKSFGIELSAPSLCSFDFRGIPVQKLYGSKSNLSSIKHVKIDVEMASEIWGYSFGSTRLAA >RHN62579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44475811:44482742:-1 gene:gene25171 transcript:rna25171 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKEESSSTVKRKLSCFEHFDALWFCYSPVYQMKQYYRLGTLDNCRGKWKAWTDCLMLKTKPKSQLEEVLKARENSKKPHIWNFRTRYEASQNWQKMYGHLDKPE >RHN68607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37048178:37052159:1 gene:gene16979 transcript:rna16979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA oxidative demethylase MERNTPVRKPHTSTADLLVWSETPINDSPAPPSSNTRSHQPSDGISKVVFGGQVTDEEVESLNKRKPCSEYKMKEITGSGIFVANGEDEAPEDGSANPSANKTGIRMYQQAIAGISHISFGEEESVSPKKPASLPEVAKQRELSGTMESEDNKLNKQLSDAKCKELSGHDIFAPPPEIKPRPITPRILELKGSIGLGESHGDGDQDATTGEPVLKTAKKILNQKFAELSGNNIFKGDSPPLAAEKSLSGAKLREISGSNIFADGKAESRDYLGGVRKPPGGESSIALV >RHN57514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39699280:39704819:-1 gene:gene33067 transcript:rna33067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter 2 MVTLLSCSSLSPFFHIPNFLIKPRKTQLLCNVPPFHQTKLQSTSLYLPSSMNRKNLHKCFSQQEQSQSIQEEQDDEQEQELQHSFQFERLFSNLNQVTFKREPGSLSSAIFLVAGTTVGAGILAIPAVTQESGFLASTVTCILCWAFMVVTGLLVAEVNVNTMCDLGSGGVSLVSMARRTLGTVGVQISCWSYIFIHYALLIAYIARSSDILTNFLGIPIWESATLFSLIFGGICYFGSQRFIGAINGVLVVGIIGFFAALVTVASGNLHLDALLKANFQAAPMSIPIIALSFVYQNVVPVLCTNLEGDLLKVRSAIILGTGIPLILFLVWNGVILGTVGDNPMGLDPLQQLRSSNGTIGPIVEVFSLLAIATSYIGFVLGLTDFLADLLNLPTGQNRPLPYILTLVPPLILSLLDPEIFFKALDFAGTYGVLLLFGVIPAAMSWSDRNSNSTSSVKLPEIVPGGRITLLMVLGCSGYVILSELFENLQHL >RHN54507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10139779:10144403:1 gene:gene29567 transcript:rna29567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MSSLRYHIFNLLLAVLVANSGFGFSTKVYVVYMGSKGSDQDSDDILKHNHQMLADVHSGSVEQAQASHIYSYKHGFKGFAAKLTNEQAYQISKMPGVVSVFPNSKRKLYTTHSWDFMGLLDDETMENMGYSNKNQANVIVGFIDTGIWPESPSFRDTDMPPVPRGWKGHCQIGEAFNASSCNRKVIGARYYMSGYETEEGSDKKVSFRSARDSSGHGSHTASTAAGRYVSNMNYNGLAAGNARGGAPMARISVYKTCWDSGCYDVDLLAAFDDAIRDGVHIISLSLGPESPQGDYFNDAISVGSFHAARHGVLVVASAGNEGTVGSATNLAPWIITVAAGSTDRDFTSDIMLGNGINIAGESLSLVEMNASRRTMPASEAFAGYFTPYQSSYCLDSSLNKTKTKGKILVCRHDEGSMASKLEKSKVVKEAGGVGMILIDETDQGVAIPFVIPSAIVRSKTGEQILSYINSTSVPMSRISGAKTVVGVQPAPRAAAFSSKGPNSLTPEILKPDVLAPGLNILAAWSPAAAGNMKFNILSGTSMSCPHVTGIAALIKAVHPSWSPSAIKSAIMTTATIVDKKNEPIRADPDRRRADAFDYGSGFVNPAGALDPGLVYDSQSEDFVAFLCSIGYDVKSLHLVTRDNSTCDGAFKSPSDLNYPSITVPNLEDSFSATRVVTNVGKARSVYEAEVLSPDGVNVTVVPNRLVFTRTGQKIKFTVNFKVIAPLKGYGFGFLTWRSRMSQVTSPLVVKVATASLGLVR >RHN72385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7229227:7229892:-1 gene:gene8090 transcript:rna8090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MYGFGYDHSSDTYKVVAVSWYESLINGNRAMKTQVNVHTMGTDYWRRIQTHFPYRFPNTGTGNFVSGTFNWFEAEHRFPYTRSIVSFDLETESFREILQPDYGGMSVFSPILNVMMDCLCILCHGDTLADVWLMKEYGNEDSWAKLFRVPYMGDADIPARNFCPYYKALYVSNDDQLLLGNVHELVVYNSTDGTFKALGIQTINGRWCPEVYHDSLISPCS >RHN57990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42942917:42943790:1 gene:gene33588 transcript:rna33588 gene_biotype:protein_coding transcript_biotype:protein_coding MQFQTPEEFTRNITSLVRLQHWSYISRASTGNNCIWIMEKVMQYLQT >RHN71082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56346343:56349165:-1 gene:gene19725 transcript:rna19725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small-subunit processome, Utp14 protein MAEKKRKERGDANRHTGKKKSKRTSDNQRKKTCPRLPSSLKKEIEHLNPTPVDVNEIDSDVYEYEEELPEEESSKNKRYDPVSVNDNNDLSSDFEDVNVQSDDDGNNIIGIKRNEIVSDDDSREEDDGRHERMVKLNIRLLRLREPFDGKNKWMIPKLTTTWKNDVIPTLYPESEYNSSHDVVEGDGHISIEDLLNTLQDAPEHSRLRLRNQQIENSSRTVHAPLPKADQAKVERKVAYEISKKQVTRWQSIIQRNREALTVYFDEKIDLGFSTIGAIASEFKPITEFEGKMAALVHHHKVIEVHNNDGVSLLDLDDKVTIEDKKDMQNRAAKLRSQNQAAKLQSLLFLHEMKAKRVKKIKSKTYRLLKKNRLKAKSSQLEMDPEAANEYAMKQECQRAEERMTLRHKQKSPWLQRKMQRGLDKQDIGTCAAVNEHFQRHEELIRKMYNMDSSSSDDSTYEEDNENTADSDLDKANNILRKAKQKTVEVLEEDDKMPNSGLPSLPFYDAWIGEKKRSNY >RHN59217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8501040:8504007:1 gene:gene21216 transcript:rna21216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MELLPEDCVAHILSFTSPIDVCRVSLISSIVKSMADSDNVWEKFLPHNYQGIVSRLVDPPLSCSSKKELLARLCKPQLIDDGNKMFSIEKTTGKICYSLSARQLSITFGNNPLHWSWRQVQGSRFAEVAELRTICYLETIGSINNEMLSPKTMYGAYLKVKNVECAYGLDLLPCIRSNCKRNGICNCEHKDEWLEIELGSFYTEKVQVQEVRMCLKEVNGVDFKGRLIVDGIELRPKTYRL >RHN54132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7176141:7178500:1 gene:gene29122 transcript:rna29122 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NFP MSAFFLPSSSHALFLVLMLFFLTNISAQPLYISETNFTCPVDSPPSCETYVAYRAQSPNFLSLSNISDIFNLSPLRIAKASNIEAEDKKLIPDQLLLVPVTCGCTKNHSFANITYSIKQGDNFFILSITSYQNLTNYLEFKNFNPNLSPTLLPLDTKVSVPLFCKCPSKNQLNKGIKYLITYVWQDNDNVTLVSSKFGASQVEMLAENNHNFTASTNRSVLIPVTSLPKLDQPSSNGRKSSSQNLALIIGISLGSAFFILVLTLSLVYVYCLKMKRLNRSTSSSETADKLLSGVSGYVSKPTMYEIDAIMEGTTNLSDNCKIGESVYKANIDGRVLAVKKIKKDASEELKILQKVNHGNLVKLMGVSSDNDGNCFLVYEYAENGSLEEWLFSESSKTSNSVVSLTWSQRITIAMDVAIGLQYMHEHTYPRIIHRDITTSNILLGSNFKAKIANFGMARTSTNSMMPKIDVFAFGVVLIELLTGKKAMTTKENGEVVILWKDFWKIFDLEGNREERLRKWMDPKLESFYPIDNALSLASLAVNCTADKSLSRPTIAEIVLCLSLLNQPSSEPMLERSLTSGLDAEATHVVTSVIAR >RHN52940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40563385:40568251:1 gene:gene37682 transcript:rna37682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MVFSEEDITKLFRIQKTLLQMLSDRNYLVEETELTMSREDFIFKYGEHMKREQLEINKTHRNNPSEKICVFFSDDAKLGVKIVRGFITRMLQENVDSGILVCQTKLSHYARSAVTEMSSVGSKRLEVFLEDELLVNITKHERVPPHQVLTEAEKRALLKKYTVKDTQLPRILTSDPVARYYGLRRGQVVRIIRPSETAGTYITYRIAS >RHN70265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50126371:50128974:-1 gene:gene18828 transcript:rna18828 gene_biotype:protein_coding transcript_biotype:protein_coding MVELKFLSVSVDRFLATDMYLGDGNIVAVLQQPVGEFCREPGFIVT >RHN61682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37476758:37481252:-1 gene:gene24170 transcript:rna24170 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIAFSFVPPSSHSLSSHSPSTPKSLPSFSFPPLSLRLRITPSPSSSFRINHRTDPLFILHSTDSAAPSFDGGSFGGGDDGKNNDGHGGHGGHGDGESNDGKSGGLNEALLLLAQAGRSLESVPADLASAIKEGKIPASVVARFLELEKSPFMRWLLQFGGFKERLLADDLFLAKVGFECGVGVFTKTAAEYDRRREKFFDELEIVFADVVMAIIADFMLVYLPAPTVSLRPPLGVSAGAITKFFHNCPDNAFQVALSGSSYSFLQRVGAIVRNGSKLFAVGSASSLVGTVVTNAAINAKKAVNKDSAEEIENVPIFSTSAAYGVYMAVSSNLRYQVLSGIIEQRLLEPLLHQHKLVLSALCFAVRTGNTYLGSLLWVDYARWIGVQ >RHN52060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31117922:31118756:-1 gene:gene36677 transcript:rna36677 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSILELITQATSSSTFIFCFCNLIIVIILLDLKPRISVHQRSEIHLSISETQKQETNIKFSSEKSVESLTQEKEVPHVTEAVEAEIEEEEEEAEYKIEIEGNDDIDCNNEEEGKEEMEKEVEEENDDELRKRVEEFIEKVNKKWKEELLSTSSLVYERKNNEIFAI >RHN61483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35815386:35821526:-1 gene:gene23954 transcript:rna23954 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLIPVNAVNDQIAAPMSIFGSYKRWYSKYLEDFRQTVLRCAVTEIHNDGKTEISTYLKVPLFLAWLSSWGPMNPDSHVGIVWDYENIPLPKNFDVDEFEYAMIMVLKKNELAKSEDGLPKILTFGHFVETKKLRANITSNIKYQRTMRGRNFGDAQIKKAMLACGMAK >RHN71451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:249856:256316:1 gene:gene7055 transcript:rna7055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-GSK family MASASLGNGGIGSSSRSAAALRAASSSVDWLGREMLHMNLNRDHDHDDDDEDDARESEPDIIDGVGAETGHVIRTSIAARNGQSKQNVSYIAEHVVGTGSFGTVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVALRHCFFSTTDKEELYLNLVLEYVPETVNRIARNYSRINQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACIHPFFDELRDPNTRLPNGRPLPPLFNFKPQELSGIPPDVINRLIPEHARKQNLFMALHT >RHN41924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34120032:34121163:1 gene:gene48299 transcript:rna48299 gene_biotype:protein_coding transcript_biotype:protein_coding MFIWQSLQCLSRPSNNLINMHCLHPLTSFCIQKLSFQSIYIRYVMEKEASMLHLRRPVAGEIAHETTDVARYLVSLFFFLLKKLVGESRLQKVICEFFVKKESDLQKWNILMFNQRSVRYYKCFFLILIKIF >RHN67169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24539807:24541344:1 gene:gene15321 transcript:rna15321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKTLQFVYYMILCISLFLVAKNINAIHCNDVNDCPPDISNPFVRCESNRCIYSRLEPPFGC >RHN62020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40131638:40134200:-1 gene:gene24545 transcript:rna24545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MSDEVVHVAMFPSAGMGHLTPFLRLASLFLNNNCKVTLITPLPTVSLAESQLLDHFHSCFPQVNRVPFNLPPPPPSSSISVDPFFLRVQTLRNSTHLLPSLISSLSPPITVFISDILLFSPLNKITQKLSLPNYILNTSSSAMFSLFSHFPTLAQSLSSQEQEYDASDGVLVPGIPFSPLPYSSIPPFLLQPTSILRNLAMEDSPKLVYLHGVFANTFEALESHSLEALNSGKVVKNMPPVYAVGPFVPFEFEKGQKEASSPRSIKWLDDQPIGSVVYVCFGSRTTLGREQMKEIGDGLMRSGYKFLWVVKDKIVDKEEEVGLDEVLGVELVEKMKDRGLVVKEWVDQSEILSHKSVGGFVSHCGWNSITEAALNGVPILGWPQHGDQKINAKLVEISGWGVWNKSWGWGGELVVKGGEIGDAIKEMMKNELFKVKAIELKEEGRKAISVGGDCEVTIQKLIQKWKNNVNNI >RHN48514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48088349:48090962:-1 gene:gene43238 transcript:rna43238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MGQKDEMKNDDIPSVVLKLDLHCEGCVKKIKRAVRHFDGVEDVKADTPNNKLTVIGKVDPHKVRDKLAEKIKKKVELVSSPQPKKDDPAAADKPQEKKNHDEEKKKPDEDKKPEEKKPEEKSSKQSVQNTVVLKIRLHCDGCIQKIEKIILKIKGVESVTSDEGKDLVTVKGTIDAKEIVPYLAEKLKRNVDVVQPKKEDGKNKEKDQSGGEKKEGEKAKEVGTKVEVNKMEHHGYGYGHQTPMYWYDGYEPGQNSGGSSSSNYAMQVQPGYSNQQVHNYNYVNQEGYNYNYANQHQQGYDYNYVNHQGQGGHMVEPQYQYQQPQFYLHPNQPPPQMFSDENPNACSMM >RHN42942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42079842:42083172:-1 gene:gene49450 transcript:rna49450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trehalose-phosphatase MTQKNVVVSETKTGINGSGTITVAQKPPAAPGGYVHIPRRRILKNLEINGGQRINTWIDSMRASSPTHVKSSPSLAEEYNSWILRHPSALDMFEQIMDAAKGKQIVMFLDYDGTLSPIVDDPDRAFMSESMRKTVKKLARCFPTAIVTGRCIDKVYNFVRLDELYYAGSHGMDIKGPTKESKYNKNNKAEEVLFQPAREFVPMINEVYEQLVEKTKSTPGARVENHKFCTSVHFRCVDEKRWVELAQQVKSVLKEYPKLRLTQGRKVLEIRPAIKWDKGKALEFLLESLGFANCNDVFPVYIGDDRTDEDAFKKLRDIDQGFGILVSKFPKDTAAAYSLQEPNEVMEFLQRLVEWKKTSPRSRSRV >RHN61962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39631560:39633568:-1 gene:gene24479 transcript:rna24479 gene_biotype:protein_coding transcript_biotype:protein_coding MFETSNHKYESVKYSCSPGYWIRYLIDSGQELRVNKVRFWGCLSKHCEGQYGLIDIICNGMKSELSSSLIQDDEIYYHLSGPLGGFISMVGNLRYAPILENTGTLTLDGVLEFEKELVTPGWNMFACTFLKLTLPDSFLNFQTELPEQQISGGSAVIGPAPAESNVPVIPETVPDRDSAEYVANLKIQKLCKDLETNLVALGTKLRADVVTIWPNP >RHN44203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2402900:2403292:-1 gene:gene38293 transcript:rna38293 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSCLNIQEALAAFSKVFGKVALLLADLMLKMGLVADFEWEDIKNRLSAREGENVTRVKSKGLAAQYSSSSFLVRHTHDSHSHELIYGRCSVNRDTISMKTFEKATSVSQNQPLNLFPTSIQSNAMSFY >RHN78362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14413773:14414369:-1 gene:gene1906 transcript:rna1906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MNQSVENCTMKQRSSFDYKMKRKVDDEISFTPGEREVARILLSMQKIEDEKRRTYNNNAHPAPQINIPTIPGITNNDILFCCKPFVKKLYPTDLEPGQSRLLLNKNHVKTYFLPLLKKGEEDVREGIDVVVYDMQGNTFNMIFKFWSEKVYVLSGGRWVNFSRTHQLKAIEDHVTVWMFRHSQTNQLCFALSVRKVQN >RHN62272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42248882:42250607:1 gene:gene24827 transcript:rna24827 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFCSLPPPLSYFQFSLFMQDPKNDSNTRCRKPWYQRAMDVTSLWRIIPKSTNISTSNNSTLWKAIPKSPQVPTQSSNNNKTKLRKCTSLKVATSFTRVCLCAPIYSYNEILRNEVPPRRSNSYPRSSKPLQTTSHEIRTHSARLSTEGSRRIFRGKSLTDDVLMKRFVIEEEAMMQIRRRNQMEVIRKRSVMRRKRLGPSPLSRMVLADDIGQF >RHN48771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50088129:50099261:-1 gene:gene43523 transcript:rna43523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MLISYEVHNYKAFYRQNGYLLAIQGHKEVDVWSPFVYGTNVPVAPYNSVALSQDQDNGNVLVVVKFDGRVRWKVGAFISGHYHIFVRCPAFITFGPRSNGISVGDSGAVKYQIVQRYHFSLLSQILQTSLSPNSTKQNLNNLNLNLNINLKTMSVKECNHHKGKKHKRFRKIFWGIIIFLFIVLVTILIIWAVLKPSKPSFILQDVTVYAFNATVPNFLTSNFQVTVSSRNPNDKIGIYYDRLDSYVTYRSQQITYRTAIPPSYQGHKEVDVWSPFVYGTNVPVAPYNSVALSQDQDNGNVLVVVKFDGRVRWKVGAFISGHYHIFVRCPAFITFGPRSNGISVGDSGAVKYQIVQRCTVSV >RHN51367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16791349:16791917:-1 gene:gene35793 transcript:rna35793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MLILSSRIYMGTPFGGGFRLGETENSTCPFTVLQDYSNLGHGLPVKLTPQNQPSSDDPITRGLHLDIAFDYKPDCAESSKWLMVEAENEFPTPWLAIDGTGKNVHDGWFELTRYKRSGYLIFFCYKNDGCIYLSRKNDKNGMRLVYETDGDGDALGAVFVKDDDAARARISSVV >RHN80487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38516838:38518290:-1 gene:gene4421 transcript:rna4421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MDQPKNGDHLHIFWHKEVPLKANLFAWHLLHNRIPPTNNLIRRRVLRPKAELCASSCDLQKNISHLFFSCDFFTKLWFDIYNWLGFVRFIRHTSHITYFNLDHLVATQKYSFCSSFDLVECSSFSIK >RHN69055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40650252:40650976:-1 gene:gene17482 transcript:rna17482 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVYTLLLSIKMSTSHTISYLLASNHIGSYVICKPCLVH >RHN55347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17529907:17531927:1 gene:gene30517 transcript:rna30517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MAIQSHEAEAKNLKVIFIPFSATSHIIPLVEMARLFAMHGVDSTIVTTAGNAGIFQKSIDHDFNRGRPIKTHVLEFPAKQVNLSVVTETFNTDTPLTEAAKFQEGFVMLQSLIENYLLGELEVDCIVSDLCHPWTVEVASKLGIPRIVFSPASIFSRCAELLFEKHRAHNEVESDYDKFTIVGFPHKFEMSRSQLPDWMKKPSMYGMIIKALNDSARRSYGAIFNSFSDFEGAYEEHYKNAFGTKCWGIGPVSLWANQDVSDKEERGEAKVEEGNSDLLKWLHSKKENSVIYVSFGSLNKFPPSQLIEIAHALEASSHNFIWVVRKNINEKEGDEGFMEEFEKRMKENNKGYLIWGWAPQMLILENKAIGGIVTHCGWSTIMESIKVGLPMIIIDVLRIGVSVGAKEWRNWNEFGSEVVKREEIEKAIALVMENGKESEEMRSRSKALSEDAKKAILVGGSSHANLMQLIHELKSLKHQRLNGVLLGQ >RHN80541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38919063:38925614:-1 gene:gene4479 transcript:rna4479 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIKSSPARTVLADSNSKKSCLYVIFPGALLLFVVFFFTSSIYSQSYREKLSSFKNGVGQNVHTYDKCRKQCRPSGSEALPQGIVSSTSSLELRPLWMSKNGHHFQLNVKVKPSTSLFAMAVGIKQKDLVDKMVKKFLASNFTVTLFHYDGNVDEWKKFKWNDDVVHISVVDQGKWWFAKRFLHPDIVAEYDYIFLWDEDLGVENFHPEKYVSIVKEEGLEISQPALDPTKSEVHHQITARGRRSKVHRRTFKTVIDGKGCDGSSTAPPCTGWIEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDMQLGYCSQGDRTKNVGVVDAEYIVHYNRPTLGGTDDKTTVKNVSDSSQEKDHRVDVRRLSYKELDIFKKRWVKAVKEDKCWVDPFQ >RHN78093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11832113:11835888:-1 gene:gene1608 transcript:rna1608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-IX family MGFRVSYVLHLVVLFSMMFVSAWSQDDVVMQKLKSSIKSSSNDLDWSDSDFRKWNRVQINGNTVTAIQIAGLNIQGSLPKELVQLTQLNRFECNGNALSGDFPYMPSSLQFLYINNNNFTSMPSDFFTNMSNLIEVSIGYNPFPQWQIPSSLKNCLALKVFSAMNASLVGVIPEFFGKETFPGLTNLYLSFNFLEGNLPNSLSGSSIEKLWVNGQSSINRLNGTLSVLQNLTSLKQIWVHGNSFTGRIPDLSNHDQLFDVSLRDNQLTGVVPPSLTSLQSLTVVNLTNNYLQGSLPKFQNRVRVDNDIDRGTHSFCTKAIGQPCSPLVNALLSVVEPFGYPLKLAQSWQGNDPCQGGWLGVVCSSGNITIIDFQNKGFTGSISPNFASLSSLTKLLLANNNLTGTLPKELASMPQLKELDVSNNLLYGHIPSFRGDVVVKTGGNPDIGKDKPHDSPDSPKSSSDSSSGGEDKKKLSVGAIVGIVIGILCLIGTLVVVFVMCHRRQNKRDDKIETPNAIVVHPRHSGDGNGVKISVAASGSSGAGVSGGTAGFSQSSSVQNVEAGNMVISIQVLREVTGNFSEKNILGRGGFATVYKGELDDGTTIAVKRMKSEMVGDEGLNEIKSEIAVLTKVRHRHLVALHGYCLDDNEKLLVFEYMPQGTLSQHLFEWKDDGLKPLGWKSRLSIALDVARGVEYLHGLAQQIFIHRDLKPTNILLGDDMRAKVADFGLVRLAPEGKASLIQTRFAGTFGYMAPEYAVTGRVTTKLDVYSYGVILMEMITGKRVIDNNQPDENIHLVTWFRRIILNKGSYEKVIDPAMDINEEGLESFRIISELASHCCAREPHQRPDMGYVVNVLAPLVEIWKPAEPNADDMYGIDLYMGLPQAPSKLQNLEGMSNTLDVSYCSSKAASSENTQSSIPPQSPGFVDSFTSSDAR >RHN80043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34580616:34580819:1 gene:gene3919 transcript:rna3919 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLSGFIESPQNHSRHRRGGRLLFWSFANIVVVVVAFVAVWLCWNGTAVVSRLRQCSGAVVGGSVL >RHN77923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10552624:10556704:1 gene:gene1430 transcript:rna1430 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNSVFNGHGNYNRTFSSYSSASQRDVRYSCGACGYELNLSSSNRNTTSIGSKYGKSIKRDGEESSPSSKVSSGVKYDIRIRSLQPSSSDESGIPVLA >RHN66927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21709907:21710729:1 gene:gene15049 transcript:rna15049 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNLLVRIKYYVHIIIRNATIYQNQAKVKYRYFVTSLVPAVWLVKSGLSRIFEISMAFS >RHN45455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20951343:20960654:-1 gene:gene39798 transcript:rna39798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MEDNDITRGSGRGAGISINKVKGNKGGKHKTTLSHSSRLQAPRPADTQQSLSTKQPTHYPISQTHTNFLPFLSTSSPQMSTVHAPTSQIPTNTQQPHSTMKPTHHLTSKTPSNIQQSVFTKQPTHHPISQTHTNFPPFLSTSSPQMSTVHAPTSQIPTNTQQPHSTRKPTHHLTSNTPSNIQLPQPTRQPKHHPISQTFTNFPPFLSTPSPQMSTIHASTSQIPTNIQSPHSTPSPPHLSNTHRPYDVVSSGDPHASSSSCDDSYLIAGSTDPTDRRIWIRPGPQLTFELAVKPPRDITKIMKRLFQGTWATYGELMKNDTALADLWYNEFQRIYKWLPEHDQDIKKTYHHKASDGYQNTMYRVRRGMDKGEWIPALLREKLEQNWEDSKWKDKAAVNKRNRRSSNGPLHTCGSIPTIEHSKRLKTDSNMTPSCWEVYLKTHKMKGDPSKWVSSKSQMVADEYERQIFERNSQQTEGDDVSNDHQLDNFIFLDVVGGVDKKGRIYGLGTEAGKYKPSSSRSSDGISPSEYDHMRTAISKMSAENMELKERLKTNEELICASQEESHLAREQAQQSQEDSRLLREQFHKLMESFTQSHSHLPLYQPHRSS >RHN49490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55175323:55177542:-1 gene:gene44328 transcript:rna44328 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQWKRSGQIPAFGNWDFANELPITQYFETARQAGLIRYSSSSGESDPYVHVRAEHHDLYAVEYKKPVRKATRNRERKYQNVMVNEKETTMNMRKQQGKVYDVMEHPRKQMNKNKKVHVSAPLQPTRLPKPVDEDLYKIPPELLRTTKRKKMLGFISKCLVPAACVS >RHN40674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19216022:19216210:1 gene:gene46861 transcript:rna46861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein Z MTIAFQLAVFALIVTSSILLIGVPVVFASPDGWSSNKNVVFSGTSLWIGLVFLVGILNSLIS >RHN51871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27413860:27414599:1 gene:gene36426 transcript:rna36426 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBF9 MFTNNNGEGRLAESCPKKPAGRKKFKETRHPVYRGVRKRNLDKWVCEMREPNKKTRIWLGTFPTAEMAARAHDVAAMALRGRYACLNFADSVWRLPIPASAEAKDIQRAAAEAAEAFRPDKTLMTNDIDTVVAVVVTEELSLFCVELEEEEEVLNMFCVEVEKEEEVLNMSEMWRNMALMSPTHSMEHEYENFDLQDFQDEEVSLWNF >RHN74317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31790317:31791363:-1 gene:gene10356 transcript:rna10356 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLGDMLLKVAMFVLVQALVYLILSNSSNIFSKNIKRSNSFKPARSVSIRRMLAYLSDFPPEGEPSPSTMSPQSQATQS >RHN72944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12039432:12042849:-1 gene:gene8711 transcript:rna8711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellular retinaldehyde binding/alpha-tocopherol transport, GOLD domain, CRAL/TRIO MTAETMVQEEAQKVEVVVEEGKVVEENVEAKESMEESKPTKTVEKCSSYKEESNFLSDLKEFEKKALIEFRSKVEEAVLGNTLFEKKEEETKKVETLPEGGEESSEKVVKEEEEKRVEEVEEKDLSLWGVSLLPSKGNEGVDVVLLKFLRAREFKVNEAFEMLKKTLKWRKEMKIDSVLEEDFGSDLASAAYMNGVDREGHPVCYNIYSVFDGEEIYQKTFGTEEKRKEFLRWRCSVMEKWIQKLNLKPGGVSSLLQINDLKNSPGPSKKELRIATKQTVTMLQDNYPELVAKNIFINVPFWYYALNALLSPFLTQRTKSKFVVARPAKVTETLIKYIPIEEIPVNYGGFKRENDSEFFGQDASVSELFLKAGSTATIEIPALEVGNTLCWDLAVLGWEVSYKEEFVPNDDGSYTVIVQKGKKIGSQEGPIRNTFKNNEPGKVILTINNSSNKKKRVLYRYKTNKSLP >RHN40846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:22987825:22988683:-1 gene:gene47079 transcript:rna47079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquinone biosynthesis protein Coq4 MVGGGLIKLKTWQKTAVTLGSAVGALLDPRRADLIAALGETTRKPAFQRVLQRMKSSPEGRLGSPIFSYFHAVLLERPRVVSTNVGHAWDLPANTFGAAYARFMGSRNFSPDDRPPVRFMDTDELAYVAMRAREVHDFWHTFFDLPTNLIGESALKVIEFEQMHLPMCVMSVLGGTTRFSEKQRKLFYQHYFPWAVRAGTQCNDLMCVYYEQHFHQDLEDVRRKLGIIPVPAIP >RHN66850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20210730:20211158:-1 gene:gene14958 transcript:rna14958 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTSWSFKKLSKEQLRPWKFSHGFHWKRLHLRTTIMDTVVFKIVYVVEGVVIVSSFCFFYLCCGF >RHN57424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39052755:39055509:1 gene:gene32967 transcript:rna32967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L20 MNKDKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIQRINAGTRIHGVNYGNFMHGLLKENIQLNRKVLSEISMHEPYSFKSLVDISRNAFPGNKNVVVPPRKVSF >RHN52348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34192219:34195065:-1 gene:gene37012 transcript:rna37012 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKSNNGNDVYALSGYSPSSAFMIITFVQRTARNEVLVQHMQFFSDESLSNNALIRGKKLKCIEERYSPRRKLLKTCQGSIYADKGHLVSFLNVSIPTEMASVLE >RHN56541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31754560:31757196:1 gene:gene31957 transcript:rna31957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MSIQCIILKLYLMYCADYVVIVSCIILVGLFSIQHHGTHRVAFMFAPVVAAWLLCISGIGIYNIFRWNRQVYRALSPVYMFRFLKTTGIEGWLSLSGVIAFTCLVYPCLILAYMGEAAFLSKHHYDIERKAVFWPVFIVATFDAVVGSQAVISATFSIISQCCALNCFRVKIVHTSSKIYGQIYVPEVNWILMCLCLAVTIGLWDPNMMGHAYGLAITTVMFVTTCLMTLVIIMVWKQGIIKALTCFLLFGSIELLYISASVCKVAEGGWIPILLSFIFMAIMFTWNYGTMKKHQFDVENKVSMSKMVRVPGIGLIFSNLASGIPAIFGHFITNLPASHQVLVFVCAKSVQVPYVSENERLVISRIGPKEFYMFRCIVRYGYNDMQQENYNFEIKLVSAIIQFIEIEDSVPEQTNELTIDDGRNLNMEDLGQSQHTLKLNWSHSEKNCLPFSCDGQQVQDESYKFESFQILRAKELGVTYIVGYSYAEAKKSSTILKKFGIDVVYAFLSKNCREPDIMLEVAHTSLPEVGMVYHV >RHN42003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34714531:34716326:1 gene:gene48387 transcript:rna48387 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase 16 kDa proteolipid subunit MAPFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >RHN38905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2742454:2744830:-1 gene:gene44882 transcript:rna44882 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQNTCNEEPMALLTAKRFIQNFSVVLILHGTKWAGSPESTVHTVLLLLGIRVCKTGPDIVFHCRIEICRNLRLIRTRRHSSFVHIKLHVIPSSFFSV >RHN40487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16775655:16779399:-1 gene:gene46643 transcript:rna46643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome-b5 reductase MLELPFNMTLSFPAFFKSLPFAQNLEPEILNGAIALVAVGLTAAYIYYRKNPKGSLDPKNFKEFKLVKKTMISPNSARFKFALPTPNSILGLPVGKNILVRGRDSQGEEVMRSYTPITLDSDIGYFELVVKMYPNGKMSHHFRQMKEGDNLAVKGPKGRFSYKPGQVRAFGMIAGGSGITPMFQVIRAILENPKDKTKVYLIYANVTVDDILLKEELDRFADKFPHRFHVFHVLNKPPNQWNGGTGYISKEMIESHCPAPAPDIQILRCGPPPMNKAIATHLAALGYTSNMQFEF >RHN64963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63328141:63330884:1 gene:gene27847 transcript:rna27847 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGCYTSKPEVDKFAPHRGYAAITADDDTTYRYVTVSAYSNQIQITTTPPKLDSLASPVTAPPNPKPSPTAQNVKTVQKTQKTILEKPYEDIKKLYTFGKELSRGKFGITYFCTENSTGQNYACRSILKRKLVSKADKEDIKREIQILQHFSGQPNIVKFKGAYEDSLSIHLVLEHCAYGELFDTINAHPHGYSERVVASLCRCIVNVVHTCHCMGVMHRDLNPENFLFSTKDKALTLKAVDFRFSVFIEEGKIYNDMVGSGCYVAPEVLLRSYGKEIDIWSAGIILYILLSGGPPFSPGTDKGLLNAVLEGELDLLSEPWPSISDSAKDLVKKMLTPDPKKRITSKQVLEHTWMRDGGEASDKPIDISVLSRMKQFRAINTFTKLGLKVMAENLAEEVKGLKETFENMDTDSSGTITYEELKTGLAQIGLMKAADVDGKGSIDYLEFISATMHRYRLERDENIHKAFQYFDKDSSGHITREELETALTKHGISDEAKIKEIVTEVYTDNDGKINYDEFCAMMRSGMPHQGPLF >RHN67509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27831161:27835226:1 gene:gene15704 transcript:rna15704 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNTMHHLFPLLQLTIRTLHKLHLRPHSIKIPRARKRSPKLCNLPRSLINCNHITTRHFLLPNRLNHLRPQIINRLHLRRLQRQLPRLRRSSSHRRTINLNLNNLTFNHLRFLLNSHTNRLTKSLRQSFGFRHLKREKLTTSNHGERSLKTEGFRHSHCNSGFASTWLTGEKNSASSDFTVFNHLKNDAGGFASGDLADHAFRDGAWL >RHN58417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1579468:1579809:1 gene:gene20327 transcript:rna20327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MFHETFPSSSRTRLNCDADNMERKRFDSLESWSMILDFENVEMWEASKEGQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPTQNEERRTLLEQQFLLRACI >RHN69291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42377255:42380193:1 gene:gene17738 transcript:rna17738 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGMSLNALLHPPLSSSSSRFHNDSLFSMPRQFPRTQRQHQHHHVLVVEAKSKKGMMSRQYQRQPPPPLPKIEDDGNPKFVVFIRMANVYLWYPLSIVSGGTTAKIMVAAKDNFLGKFIYKDTLDRNLAAVIYRDEKEIQKSAFKQHRVLKSATEFRYGYKLVENGNVKAALSTSDVIQLPTPDKLKTVADKVKDFFGDVKESFGEITSLVTATDESEDDSKEKTK >RHN75829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45409849:45412499:-1 gene:gene12083 transcript:rna12083 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQLSRRENTTNERDIHVEKDRVPKMTTHFEQLTVVDKEPPHGSIEALQGGEMNKDHAGKAIGDIGGRGRSRETHELGSNVKQSDRDHHHAAATNLGHKQGFQSHSDQNVKQSERDRHHATNVVGHRQGAEGMKERGEGLGDIGGRGRERESHELGSNFQSLPDRNENQSYLDRAHVRDSANVAGKEDFGGVRDVGKFQMESKGLTDDREKLDSRTKVVSGTPHVKEMNRGMGTGQVVAEKGRKTEDLGTRGEGKVPGAGNVGSMWGRSAEEQKGRAREMEEERAREKLEETRGRKVGGENEGVILGKSVAEQRGRAGAGNEGAMLGKSAAEQRERAREEEEKMSLEEIGKYRNQAQQNEMDAISAAQERYEKAKQATNETLNNTAQTTREKTAQAMEKAAQAKDATVEKTQQGYEATKDTVSSAAKTATEYVTPVAEKAKSAVVQAKDVTVETGKTAAEVASKVAVDLKDKATVAGWTAAHYSTKLTVDGTKAAAHAVEGAAGYVVPKAAGLALKSVDVVKGLAASAGETAKEFTAKKKDESWREYETKRASGQLQEGEEIMPSSGGIGENVSNYSQKMMPTGERTQAQGTNYQEKGRGSNVMSSIGETVGNVGEKVGETMANVGDKMKKPFENMTSTGQVQGGSDKPLGGSDVLGAVTETVSDIGNNMVKATDNSKVNISQEGQGGGVLDAIGETIAEIAHTTKVIVVGEDDEVLDESRKNIGSESHSSGRAKHEGHPASKNVF >RHN40518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17097003:17097836:1 gene:gene46677 transcript:rna46677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CBS domain-containing protein MLIRWLQPMFMSGCSKVTIDDMSEGLLEDCAEVVKANSIQGKISADGGERTVKKLHSSKALTISEGISVSDACRRMAARRVDAVLLTDANGLLFGIMTDKDTATRVISEGL >RHN54320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8643526:8645415:1 gene:gene29332 transcript:rna29332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 3-dioxygenase MASAKTITSLSEENTFESGFIRDEDERPKVAYNQFSNEIPVISIAGIDEVNGRREEICKKIVEACEEWGIFQIVDHGVDTKLISEMTHLSREFFALPPEEKLRFDMSGGKKGGFVVSSHLQGEVVQDWREMVIYFSYPIKDRDYSRWPDKPEEWKKVIEEYSENLMNLGCKLLEVLSEAMDLEKDALTKACVDMDQKIVVNCYPKCPKPDLTLGNKRHTDPGTITLLLQDQVGGLQATKDDGKTWITIQPIEDAFVVNLGDHGHILSNGRFKNADHQAVVNPNHNRLSIATFQNPSQDATVYPLKIREGEKSLLEEPITFAEMYRRKMSNDIEKAKLKKLAKEKQLQDLEINAKVED >RHN55476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18829899:18831935:-1 gene:gene30662 transcript:rna30662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor IF5A MSDEEHHFESKADAGASKTYPQQAGTIRKNGHIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTESGGTKDDLKLPTDETLLSQIKDGFGEGKDLIVSVMSAMGEEQICGLKDIGPK >RHN60528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27726407:27726889:-1 gene:gene22849 transcript:rna22849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase, Very-long-chain 3-oxoacyl-CoA synthase MSVFSMSFLFLAQSKSSTLCIIRDYLNTQILFKYSNIFSLLMWCASIAFIVTFYQKKCSKKVYLVDFACYKPFPNGICSKELFIKQTKSGGNFKDESIDFQKKILDRSGFGDKTYVPESLLKIPQNTSIVEARKETESVIFGAIDELLMKTKMKVDDIEK >RHN53666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3214613:3215099:-1 gene:gene28597 transcript:rna28597 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSFFGIIFLLLLILPKKFVMNMLSKSARWKPCWFFFSYKFRKWLQNNFLLRWFTKHCPRYSNFFLIHQSTMKDTFVLSIAKYLNCVTNMHEN >RHN76125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47876890:47877108:1 gene:gene12406 transcript:rna12406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MNTNITASTKPKYTVIDRNPPFTTVVGNFNTLDYLRFTTIAGISVTVSYPSGIKPGIRGLLTLPFISMSCYP >RHN41702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32183530:32184548:1 gene:gene48052 transcript:rna48052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MEFKVRTFLATVVMFLLASSVFILESEAAGECGRTPIGSAAASLSPCLGAVRNVRAKVPPVCCARVGALLRTSPRCLCSVLLSPLAKQAKINPAIAITVPKRCNIRNRPAGKKCGRYTLP >RHN80640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39703839:39704024:-1 gene:gene4587 transcript:rna4587 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSREQIDISDIETLVRENSALANSYLARIDNFYCGIDCPCTLMLLHEISSHIHLDQILS >RHN43335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45001606:45003910:-1 gene:gene49892 transcript:rna49892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(A)-specific ribonuclease MNQLKEKPIIIRQVWASNLEVEFDLIRQVVNQYPFISMDTEFPGVIYSPKVDRCNLKPSDHYRYLKVNVDALKLIQVGITLSNGNGNLPHFGTNNRYIWEFNFCDFDFEHDLYNQDSIDMLCRQGIDFKRNFSHGVNSSRFAEFMLTSGLVFNKSVVWVTFHSAYDFGYLVKILTRRNLPNRLEDFLNILTILFGKNVYDMKHMMRFCNALYGGLERVASTLNVCRAVGKSHQAGSDSLLTWHAFKKMMDTHFLNNEAQKHAGVLFGLEIAT >RHN74928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37881351:37881600:1 gene:gene11063 transcript:rna11063 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGARERALLSSGSGIFLPSFSSPSRDSLTEFACCLVMFLVI >RHN38715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1443986:1444324:1 gene:gene44676 transcript:rna44676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetylornithine transaminase MSAVQICLNHSTSQSPYKPIHFFNLERDNTLIRGRSVPRNNFQRAVVSACLNNVDVSAANAGKIASEKTKAVIEMEGKYLVGTYARIPVVLERGEGCKVYDIEGREYLELRH >RHN68097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32832926:32834579:-1 gene:gene16394 transcript:rna16394 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLNRYHNKYIFTSCHVLEKNYPCAQLHATRAFGICAMYGGNQFKFYASAGISYLSKVISKGLSTSELYDTAVAALGKICEFHRDNTGSMVVKKWLYFLPLKHDLYMHMGCFLN >RHN57250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37542081:37544655:-1 gene:gene32770 transcript:rna32770 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKLFVFACFYTLCIFLVAIMPFNAAETKKSNINIEVHGYENWLSRGTILQGGDRNTNEFDLGAIWNGNKGENNDGCGDGIEGHCGKGYIDVTWQKDHTWGRGWWASGGKGGGGGKGGKGGKGGGGGYKIPIPGGGKGDGGKVGDN >RHN61377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35254114:35260978:1 gene:gene23838 transcript:rna23838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MGAIAGEELSKWEKMNGVSGCEEKILVLLRLRPLNEKEISANESADWECINDTTILYRNTLREGSTFPSAYTFDRVFRGDCETRQVYEEGAREIALSVVGGINSSIFAYGQTSSGKTYTMVGITEFAVADIFDYAKRHEERAFALKFSAIEIYNEIVRDLLSTDNTPLRLRDDPERGPVLEKLTEETLQDWGHFQELLSFCEAQRQVGETYLNEKSSRSHQIIRLTIESSAREFLGKGNSTTLSASVNFVDLAGSERASQVSSAGVRLKEGCHINRSLLTLSTVIRKLSKGRQGHINYRDSKLTRILQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKQLQKELARLEGELKTPATSNTDYVALLRKKDQQIEKMDKEIRELTKQRDLAESRIEDLLRMVGKEQISKKEGEDLWEEDCSVSESSSICGPNISIREFNNPHYSGGDSGSNQDEEDLDEYCKEVQCVELEESSRDNSELLDPSLNDNGDLALTVSGGENGTSHEMSTHLNEDHHDEQSLHAMSGNMSNYKNLKLTRSRSCSEHHMTASPETGEMERTPFNGVEKGFPGRPDGLWRKFNPLNLDGSTRFSRNDSQSSIGSPSVDDLRGNSLRSSGDEDITSIHTFVAGMKEMVKLEYEKQLVDAQGQETDRKLRNVKDVGVDPMQEAPGTPLDWSLQFKRQQKEIIELWQSCYVPLTHRTYFFLLFRGEQTDSIYMEVELRRLCFLKETFFDENQSEKDSQTITLTSSVRALRREKEMLMKLMRKRLSEEERKRLFNEWGIGLNSKRRRMQLADRLWCNTDMNHVMQSAAIVARLVRFSEQGRALKEMFGLSFTPQLTRRSYSWKSSRASLP >RHN47540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40437569:40442719:1 gene:gene42153 transcript:rna42153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ENT domain, protein EMSY-LIKE, plant MEIQAYSSMLKAFIAQSELLTWGKEELLTELRKELNIADSEHGEILTKINSDDSIKWIREQRKMAYHSQAHDSIKANASGCTSTSIGNSTIRLQTHSHAQDYIKANTSGCPASIGNSTVKLQTHSHAQDYIKANTQAYDYIKANTSGCPASIGNSTVKLQTHSHAQDYIKANTQAYDNIKANSSGCPSASIGNSTVRLQTHSHAQDYIKANTQAYDYIKANTSGCPSASIGNSTVSLQTHSHAQDYIKANTTGCPSASIGNSVIRLKAPSSAAFYPQNNLSRSKASHSSIHIPASLPPKFNDNLLTAEFVHGNADQPKEMFNYDAQLPPIGRGNVPKQNDQFKQYFPPSKSVMLNNKSDLIQIRATDRVIQDVEKILFSREKPGPADIERAKQTLKEQEGALLEALGKLADVLEEGNDQFLNSLFL >RHN82313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52765901:52768849:-1 gene:gene6469 transcript:rna6469 gene_biotype:protein_coding transcript_biotype:protein_coding MACCSRSNGVMVPGYCKFNLRHVRKCVMRTSWHCGLPLSFLCVSYQIQPFLVPLEPSCLAPLGCTLPCLV >RHN55279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16757288:16759066:1 gene:gene30431 transcript:rna30431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase MAFKIKNVHSLLIFIFIFIFIFTISQTTALITTETSTTSNTLGHWIQLQNSIGISAMHMQVMKDNKVIIFDRTDFGPSNISLSNNRCRYNPHDMALKLDCTAHSILYDITTNTLRPLTLQTDAWCSSGAVSPTGTLIQTGGFNDGYTKLRTFTPCPHNNTCDWEELQQNLSSSRWYASNQILPNGRIIVVGGRSSFSYEFVPKRLNDASFYHLRFLQLTRDSNPGEENNLYPFLHLLPTGNLFIFANRRSILFDYERNRVIREFPIIPGEEKRNYPSTGSSVMLPLNLTGKNGTEFIEVEIMICGGAFPGAFDYASKKKVFLEASSSCGRLKVSDVEPEWVMEVMPVPRVMPDMLLLPTGNVIILNGAANGTAGWENAANPVLYPVLYKPGLDNPFMKFELLAPASTPRMYHSSAVLLPDGRILVGGSNPHRLYDFQAKYPTELSLDAYYPDYLRPELDTLRPVIVAVEVVNSTLSYESLFSVSFLLREVKDVNRIRVSMVAPSFTTHSFAMNQRLLFLEVTALEEVVNSMQDQNFGEFGFGSSLGPGKIANSVYKATVRGPPSLNVAPPGYYMLFVIHVGIPSVATWVHVH >RHN79507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29702787:29703275:-1 gene:gene3306 transcript:rna3306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MAFLQIISRHISFDQKKRLIEGAMSLLTQKFLLLWVLTLLSAHDVFAAHSDSIFWRTQHVNVTNYLLDNLDLTLHCKSKDDDLGVHLLHHGDNVRWGFGLNFFGETLFFCSFQWNDELHRFDIYRSDRDYGVCRSCNWYIFQSGPCRFNGDRSDVCFSWDNN >RHN39738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10135050:10141411:-1 gene:gene45799 transcript:rna45799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MTKLFLSQLRLLQFHPMKLHSLSLFKLSHIPLRNAAFHPRPFSVRPDRIRASKSLVDDEADLSNWVDDLRTGRAETLKPAQRVGSREPAVRDGGFRKRRGGGDFKKGKPNLDAKTRFGLSSDSDNDEVVERGKFKDAGGSGSIGEFLSEDDVDEEESDIDDEDEEIVNKSRSVLFGKQNGVSNTTRPASSGGSDSYLSDSRFDQCSVSPLSLKGIKDAGYEKMTVVQEATLPVILKGKDVLAKAKTGTGKTVAFLLPSIEVVVKSPPSDRDQRRPPIFVLVICPTRELACQAAAEATKLLKYHPTIGVQVVIGGTRLALEQKRMQANPCQILVATPGRLRDHIENTAGFASRLMGVKSLVLDEADHLLDMGFRKDIEKIIAAIPKQRQTLMFSATIPDEVRQVCHVALKRDFEYINTVQEGSEDTHSQVRQMHLVAPLDKHFPLLYAILKEHIADDVDYKVLVFCTTAMVTRLVADLLGELNLNVREIHSRKPQSYRTRVSDEFRKSKGLILVTSDVSARGVDYPDVTLVVQVGLPADKQQYIHRLGRTGRKGKEGQGILLLAPWEEFFLATAKDLPIVKAPVPLVDPDTKKKVERALSNVEMKNKEAAYQAWLGYYNSNKKVGKDKYRLVELANEFSRCMGLDNPPAIPKLVLGKMGLKNVPGLRSK >RHN70951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55349709:55350895:1 gene:gene19587 transcript:rna19587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MSSDYKATLASVDFMFKAPEVRKEVNIWVEKETNGLIKELIPPGSVDSLTSLIFANALYFRGAWNEKFDVSCCSMTQNLMDEEYCC >RHN73224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14527499:14538135:1 gene:gene9018 transcript:rna9018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MLIKGIKKEYSYLLKLYEEKLNLAYTRDKICMSQLDFKVCLRMLFHILFEIYFVITVIRASLALLTWTYVLFFLLIFFKILLFISLKSNNLPVLSKLNFLAFMGMYISPIRKKRSFNWKGCGTKLCCVLVYLNHHLLHKMAMLRYIIFNYHHYRAFISNNPHKSIPLFPFQFSHNFTTSQSDSFTVSYLINTIGFPREAALKASKRLRFNSPQKPNSVFNFFTNLGFSDSNIRSIIIKQPRLLSSDTHNSILPKFQFFLSKGASSSEIACLLAANPRILQNSLENRIIPLFEFYKRFFKSDKATICCIIRNLISLPYNLTTVNVKLLIDYGVCDSAIARLLLTRPAILGSIDFISTLEEVKGLGFHLSTSTFGAALVAKKCMSKTLWDEKVDVFKKWGWTDEDVIRAFRGRPELLLTSIDKINLVISFWVNQLGWNSLALSKRPRIFSYSLHKRIVPRALVLQYLVMKGLRKKNASLVEPFAPSKEMFLRKYVLSFKEDSDYLLKLYEENCLFFQGIISSRCKKAQNVLAFDRGMFSHGVSSPSIFVQSRILSSINKLCKPSSVVQCVLSFSQIIILLGMRCKKGRDIFLRRLFQQKFITSRLILITMLNKWFLSQNVVLGLITTKTTTSKFNNPLFNQHFSFPFSLTRFCTTTSQSESVTHPFAASYLINNFGFSHESALKAFNLKQVRFNTADKPDSVITFFQNHGFSHDNIRIMIRRAPWLLSSQPHKRFLPKFQFFLSNAASSSDIVPLLTTNPRILRSSLDLEKQIIPLFELLSRFLKTNKDIILCLIRYWTAFATNPYHLIVSNINLMSDFGVSDNVIGSLLQSRPSIFGSKDLIKSLEEVKDLGFHPSMTNFGTALMAKKCMSKKLWDEKIDTFKKWGWSDEAIIRAFRCRPELLLASIDKINLVMSFWVNQLGWNSLALTKRPHIFSYSLDKRITPRASVLQFLLMKGLQKKNASLVTPLSYSENLFLQKFVFSFKVDSDYLLKYYGCNNQCYLLLCYIVISFQLPLFLYNQAAALQKLVSSCCMAETSIKRP >RHN66284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10353620:10357941:1 gene:gene14234 transcript:rna14234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MESSAEDYAAFEEKVQRTVYIDNMSPQVTESVIRTALDQFASVKSVKFIPNSLGPSSMPQCALVELDSAKKVKEVIAMIKQYPFMMSGMPRPVRARPAVMEMFDDRPMKPKRKMKCCWLEQSDPDFEVAVELKNLTRKHSSEIALMHRVLLKEEEKLAAQQAETLKVHYKKFRMIDGIMGDKTAHTLARKYNLAVADE >RHN71239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57537284:57540422:-1 gene:gene19895 transcript:rna19895 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERREVCLNGEEEEVVIKDEDKVALQFMDSLHNYLSLSDSLSSTLRQGWLELASARYSMGTSRVNSSLLDLKFHSAATILKITENEDGTQPHFTLQKWVSSEHELESTKLEYKNEQPQDSNSIKSSENLMGLADNDEVQKERHKSLSVFGVLISPKLRATQLSFEKALETLIEIANLRSSLLHSFSQLNQEVEDTKE >RHN75196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40102963:40114667:-1 gene:gene11366 transcript:rna11366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA double-strand break repair protein Mre11 MADAEENTLRILIATDCHLGYMEKDEVRRHDSFQAFEEICSIAEKKHVDFMLLGGDLFHENKPSRSTLVKAIEILRRYCLNDRPVPFQVVSDQTLNFQNTFGHVNYEDPHFNVGLPVFTIHGNHDDPAGVDNLSVVDILSACNLVNYFGKTVLGGSGVGQITINPILIKKGSTAVALYGLGNIRDERLNRMFQTPHAVQWMRPESQEGCEVSDWFNILVLHQNRVKTNPKNAINEHFLPRFLDFIVWGHEHECLVDPQEVPGMGFHISQPGSSVATSLIDGESKPKHVLLLEIKGNQYRPTKIPLTSVRPFEYTEVVLKDMPDIDSNDQNSILEHLDKVVEKLIEKSSKKVVQRAELKLPLVRIKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKASKLEKGVGKIDDSERLRPEELNQQNIEALVAENNLKMEILPVNDLDIALHNFVNKDDKMAFYTCVQYNIDETRNKIAKDSNEPKLDVEDLVVKVGECFEERVKERSTHSKDSSQFTPGAQSFEGFQGRSAAGADSAVSFSDDEDAMPTSSSKPSTRGRKGSVSSQTTTRGRGRGRGRGRGKDSSTLKQTTLDGSLGFRPSQRSAATSIQSGADDGDNMASSDDAKGNAVEEIDDSSDNESNLPARKRAAPRGRGRGATQSSKRGRKSENSSIHRMLMNNDDDDDDDDDNPQKRLNTSQPRVCDKKLWSSKKMKTRFYLTFSTGLADKITTVI >RHN81528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46739999:46741176:-1 gene:gene5588 transcript:rna5588 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNYALYKTWNPVHSFTATTLHSHILILAARVLALLSSSSS >RHN55957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26011008:26011142:-1 gene:gene31255 transcript:rna31255 gene_biotype:protein_coding transcript_biotype:protein_coding MPYESRRDLMPFRDICWYSGWIMTDKDRMCRHLPERVLRQYDYA >RHN54576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10582932:10586099:1 gene:gene29641 transcript:rna29641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MSFSSLSSILLYLHLLFLFTLNLMWFTTNKMVAVAALGNQTDHLALLKFKESISSDPYNALESWNSSIHFCKWQGITCNPMHQRVIELNLRSNHLHGSLSPYVGNLTFLINLDLGNNSFSGEIPPELGQLLQLQHLYLLNNSFVGEIPTNLTYCSNLIDLILGGNKLIGKIPIEIGSLKKLHSFHLFGNNLTGGIPSSIGNLSSLVRFTCASNKLGGDIPREVCRLKNLTLLLLGENKLSGMIPPCIYNMSSLIELSLVMNNFTGYLPSNMFNNFPGLTVFEIGANQFSGPIPISIVNASSLQVLDLAQNYLVGQVPSLEKLQDLYWLSFGYNNLGNNSIIDLEFLNYLTNCSKLEMLSIASNNFGGHLPNFIGNLSIQLTQLYLGGNMISGKIPVEIGNLVGLILLTMESNLFVGVIPTTFGKFEKMQILYLGGNKLSGDMPPFIGNLSQLYDLELAHNMFEGNIPPSIGNCQNLQVLDLSYNKFNGSIPLEVFSLSSLTNLLNLSHNSLSGSLPRELGVLKNLEILDVSKNHLSGDIPTEIGECISLEYLMLQGNAFNRTIPSSMASLKGLRYLDLSRNQLSGSIPDVMQNISVLEYLNVSFNMLEGDVPLNGVFGNVTQIEVIGNKKLCGGISQLHLPPCPIKGRKHAKQKKIRLMAVIISVVSFLLILSFIITIYWMRKRNPKRSCDSPTVDQLSKVSYQELHQGTDGFSTRNLIGSGSFGLVYKGNLVSEDNVVAVKVLNLQKKGAHKSFIVECNALKNIRHRNLVKVLTCCSSTDYKGQEFKALVFEYMKNGSLDQWLHPEILNAEPPTTLDFAHRLYIIIDVASALHYLHRECEELVIHCDLKPSNILLDDDMVAHVSDFGIARLVSAIGSTSYKNTSTIEVKGTVGYSPPEYGMGAEVSTCGDMYSFGIFMLEMLTGRRPTDHAFEDGQNLHNFVAISFPGNLKKILDPHLLSMDAEVEMKDGNHENLIPPAKECLVSLFRIGLMCSMESPKERINIEVVCRELSIIRKAFLAGEIN >RHN46941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35908766:35909583:-1 gene:gene41480 transcript:rna41480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I12, Bowman-Birk MTYIPLVALYKLTQCSCMFPTSQRKDTLIILSEKEIVIMDLMMNKKAMVMKLALLVFLLGFTSTVVDARFDGSSFITQLLSNGEATYEVKSTTTACCNSCPCTKSIPPQCHCADIGEKCHSACKRCLCTRSFPPQCRCTDTTDFCYEPCSYSSNGGSH >RHN44823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8938208:8940061:-1 gene:gene38988 transcript:rna38988 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAFSLQKLRVISYYFSSDMHNIHKTSFSWNPILSSRKLHNTPPPPLPAGKLLHGFLDRLTIEREKLWNSREDFIDGQIPFELEIEKVRSTSELNLIRHLLQSMPVQLELELEEETYGPVLKYIINMGDVGQFQIFSKLIKDHIHNSISISRLGYYDMLMWIRVNDEAMIRYACEYIIRGETDELRENYLLALCESDDRKAQISDVFKNTDVAEFTSTDEIFSNMFKLLGRLQLKSEAEKLLLDCYDDYLEEISNFIDIYAFSIPNLEVEHIIKEIENLHGLLKISPTFSLYEKLILYCCGKNKVDVAINIIDKMCEAYFMPSSHVMQSVLETCSETNQDFQVLYILRRERPRKRGRERPRKIIITVRKDLEVNELDPYMVYDRKLWHNLINVTNPT >RHN72344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6936253:6943608:-1 gene:gene8045 transcript:rna8045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-deoxy-D-xylulose-5-phosphate synthase MALMNTSPFPIHLNQSAKHFDPKKSTPLGGSNYQWGASDLISHHKLNQVRKIPYGVCASISETGEYHYERQPTPLLDTINYPIHMKNLSNKELKQLACELRSDIIFSVSQTGGHLGSNLGVVELTVALHYVFNAPIDKILWDVGHQSYPHKILTGRRNKMHTLRQKDGLSGFTKRSESEFDIFGTGHSSTTISAGLGMAVGRDLKGEKHNVVTIIGDGAMTAGQAFEAMNNAGYLNSNMIVILNDNKQVSLPTADLDGPIPPVGALSRTLSRLQSNIPLRELREVAKGVTKQNGRPIAKVEEYALGMISGSGSTLFEELGLDYIGPVDGHSIDDLVAILKQVKSPKKTGPVLIHVVTEKGLGYSYAEKAADKYHGVAKFDPATGKQFKTKAPTPVLTSCFVDALIAEAEADKGIVGIHAAMGGGTGMNHFLRRFPTRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRAYDQVVHDVDLQKLPVRFVIDKAGFVGEDGPTHCGSFDVTYMACLPNMVVMAPSDEAEIIHMVATAVAINDRPSCFRFPRANGTGVELPPGNRGIPMEIGKGRILIEGERVALLGFGTAVQNCVAAASMLEDHGLRVTVADARFCKPLDHSLIRSLAKSHEVLITVEEGSIGGFGSHVAQFMALDGLLDGKLKWRPMVFPDIYIDHGSPAEQLAVAGLTSSHIAATVFNILGQTREALEVMTLSI >RHN81653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47830943:47831470:-1 gene:gene5725 transcript:rna5725 gene_biotype:protein_coding transcript_biotype:protein_coding MPARLLVADNDAYLNGICHWCAQANSKMKFNLVSFDLSNEVYFITPFPLEGMYDDFGFSVQVLNGSVAVILKDVKDMSFHVSILGELGVKESWVRLFNVDPFPIQHSTEPFLACIPNPTGAWKEGNIFFRTPNYELACLDLTTGVIEEIGVRVENYCCQMVVYKKNLLSFGVADN >RHN79422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28702133:28702963:-1 gene:gene3212 transcript:rna3212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ammonia-lyase, Serine racemase MYVFNILHGSNCLQRGSSTCGQGTISLEFLEQIPQIDTLVVPISGGGMIAGIAIAAKAINPAIRILAAEPKGADDAAQSKAAGRIIKLHEINTIADGLQAFLGDHTWPVVRDLVDDKITVEDFEIIKAMKLCFKILKIVIEPSGAIGLAAVLSETFQKNPTWKDCKHIGIVVSGGNVDMDVFWESLNK >RHN45624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23840643:23841872:-1 gene:gene40003 transcript:rna40003 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMVVMVRRECKRWRWWWLYLRVTVVAVVRFKVEDRGRRWWEGVTWWWCPMREKKSRERGKEEIEERIESCLVCWTKLPHYT >RHN81973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50277860:50280635:-1 gene:gene6080 transcript:rna6080 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLPGVGLSPRKRSEHYHNRHEHYATYRDSYLKESLDAPWTSMTTTLDETAFKAKQRLQKKLGHFFSSSRSSEHNPKKEGKEFQKKDAGLGRKLLESSWLLRGNKFKKERKICAVCLEDFQQDEEVTPRVPMEEWWIIYPNQYTLAT >RHN62861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46300226:46303551:1 gene:gene25487 transcript:rna25487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation HMG family MAKKAKASNSLKNASSSSASTSGKLVLAIKSNEGMKRSLRQTKSRDITKAVQKKNKQKIDAKKPKKPPTAFFYFLEDFRKEFKDQNPDVKSMRDIGKACGEKWKTMTFEEKVQYYDIATEKRAEFDRATTEYNKKMESGEYEETDEESEYDE >RHN69173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41514672:41517187:-1 gene:gene17607 transcript:rna17607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine hydroxymethyltransferase MDPVSEWGNTPLVTVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDQIENICRSRALTAFHLDAATWGVNVQPYSGSPANFAAYTAVLNPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSTTGFIDYDRLEEKALDFRPKLIICGGSAYPRDWDYGRFRQVADKCGALLLCDMAHISGLVAAQEANDPFAFCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPENAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQATTPGFKAYAKQVKANAVALGNYLISKGYSLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGAPAMTSRGLVEKDFEQIAEFLHRAVTLTLEIQKEYGKLLKDFNKGLVNNKALEDLKADVEKFSASFDMPGFLVSELKYKD >RHN59631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12562690:12563350:1 gene:gene21697 transcript:rna21697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MGRSKSRKKKEFLVRRMELVKHFIRTNIEPEWMVLSLLPVLPPELRPIIQIDGGKLMSSDINELYRRVIYRNNTLIDLLTTNIIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHRCGLPREIAIELFQTFLIRGLIRKHFASNIGVAKSKIREKEPIVWEILQEVMRGIQYC >RHN63160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48978085:48982845:-1 gene:gene25830 transcript:rna25830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MKCFFFKEKSKSAPELQNKNKNKSPASKRATNSTGSISSPRSVKDLYREKEQSFRVFTFQELRDATNGFNRMLKIGEGGFGSVYKGSIKPPDGQGDPVIVAIKRLNTRGFQGHKEWLAEVQFLSIVNHPNLVKLLGYCSVDGERGIQRLLVYEFMPNRSLENHLFSRTLPVLPWKTRLEIMLGAAEGLAYLHEGLEIQVIYRDFKSSNVLLDEDFHPKLSDFGLAREGPQGDQTHVSTAVVGTQGYAAPEYIETGHLKVQSDMWSFGVVLYEILTGRRSLERNRPTTEQKLLDWVKQYPADTSRFSMIMDPRLRNQYPIVAARKIAKLADSCLKKNAEDRPSMSQIVEGLKQALQFSEMSNTPHDNAESSRSRLVQKGK >RHN50615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8587582:8588620:1 gene:gene34923 transcript:rna34923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MIFNNYFVRTPIVDFIKGICAQPGLNCNDLFTALTGENCCLDPSAFNQFVKVEPQPTSVRNMFHLAQNVRNGVLTKFDFMLPHLNFWHYRRLTPPIYNLSNIPKNVPIFMSYGGSDALSDVADVKRLLNEHFQNHDANKLSVQFIENYAHADYMFGVNANDLVYNNVTSFFKRKW >RHN56558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31869246:31870249:-1 gene:gene31974 transcript:rna31974 gene_biotype:protein_coding transcript_biotype:protein_coding MWATTISSTRETHILPAKLAKERLNAADQRMDVQDGCIVN >RHN66402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11848145:11850176:-1 gene:gene14372 transcript:rna14372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Seed maturation protein MSHHSYRGYVIIRHVHPSLLHNLHVPLLHAAFQPTIIYLTQKNCHQIYHSLNFQTLLQPKKKQKRNTMSHGQPTRLQEEINNQEPIKYGEVFNVSGDLASETISPQAAANMAAAEFNVLGQAQPGSPAAAMQAAALINEASGQCLVGRHGIAEMVKDHGIRVTETTAANRRVVTEAVDGQIIGRRVEPDAHFVPMNMGSAAIDGDPITIGEALEAAGISVGDKPVDQNDADAISAAEIRATGERCVRPDGVGATAQSAATLNSHANRIEEMTKLSDVLTDATDKLAADRAVTIEDAEAVYDAEVHKNSSTQQMMNIAEPGGIAASMATAAKLNQET >RHN54372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9077541:9079136:-1 gene:gene29392 transcript:rna29392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MAVMVTFLNLIIIFSVVSTGKSLSLNYYEKSCHDLEYIVLKTVTDATARDKTVPAALLRMHFHDCFVRGCDASVLLNSKGKNKAEKDGPPNISLHAFYVIDEAKKALEAKCPGVVSCADILALAARDAVYLSGGPKWNVPKGRKDGRTSKASETRQLPAPTFNISQLQQSFSQRALSVEDLVALSGGHTLGFSHCSSFQNRIQNFNATHDVDPSLHQSFAAKLKSICPLKNKAKNAGTTMDPSATNFDNTYYKLILQQKGLFSSDQALLDSPKTKQLVSKFAASQKAFFDAFAKSMIKMSSINGGQEVRKDCRKIN >RHN53783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4134067:4136469:1 gene:gene28729 transcript:rna28729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MVSSSSTLYIIFILFLQLLLVFGNVTRSSTLSTTNKNSWLSPSGEFAFGFQQLGTATNLFMLAIWYNKIPEKTIVWSAKNTNNNNLVQAPTGSQVQLTSGGLTLTTQQGESIWTAQPNTAVSYGIMHDTGNFVLVNKNSSIVWESFKFPTDTLLPNQSLELGGNITSRFSETNYTSGRFQLYFRDDDHNLMLSPLAWPTQLRYKFYYRIDVNNSASSSLVFDESGDIYVETNKNGTTRIIPQGTQWKNLDLDPKLYYYRATLDYYGVLTQYSHPRDTKAKQGWTIMRYVPDNICIAIFNEMGSGTCGYNSYCSMENQRPTCKCPYGYSLIDPSNQFGGCQLNFTLGCGDNNGEGLNVKPEELYEFTVLRDVDWPLSDYEKMQPYSQQDCQQSCLHDCMCAVAVFNNNTCWKKRLPIANGRAQSGGQLVLVKTRVSPFGPSSTTHDLKKDDRVKPILQGLLISSTVFNSILLAAVVFMTLLKPKRVVQAATLVETNLCSFSYDALKEATWGFSEELGRGSFGIVYKGELKAGSTCNVVAVKRLDRLVEDREKEFKTELRAIGKTCHKNLVRLVGFCDEGLHRMLVYEFMSNGSLANILFGETKPIWNQRVGFALGIARGLVYLHEECDTPIIHCDIKPQNILIDEYFTAKISDFGLAKLLLADQSRTNTMVRGTRGYVAPEWFKNVPVTAKVDVYSFGAMLLEIVCCRKSVVLMESGEEEKAILTDWACDCYMEGRIDALVENDQEALDDIDRLEKWIKIAIWCIQEHPEMRPTMRMVMQMLEDVVKVPDPPSPFSFGSIP >RHN56866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34328747:34329896:-1 gene:gene32327 transcript:rna32327 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLALFIQGLLVIVVLISSMGEARNLVEVAMKQNDVDNVKYYAKKSLTNKGDSSSDCFIPGFPGIPGIPEIPGIPGIPGFPGIPGWPGTPNTPNTPASPSPSTTPETPDSPTPSPDDSPAPNTPASPNPSTTPETPDSPAPSLDD >RHN78585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16454180:16456500:-1 gene:gene2203 transcript:rna2203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MNHISKFVYALIIFLSVYLVVLDGRPVSCKDHYDCRRKVKIVGCIFPQEKPMCINSMCTCIREIVP >RHN64518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59713862:59715184:1 gene:gene27341 transcript:rna27341 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLNSLVNNPCILAHDAETETFEKPTAVVVVIRSHTTQLLLQKTPE >RHN73796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19949209:19949820:1 gene:gene9662 transcript:rna9662 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLYIPDETGDNTGFAFRSTYHATAMYHRNNDKELCTYVGSMLCSVVPGFRNSIEEALNGIGVRPRFISLPSQSQVHNIVEAEFPLLDWPSILVIYGYCFLTIFKLIKFDEDANSFRNKMTKWISELRVKVGCAPSNILNIPFGATRENVIRTMLGSHSFCKTAITFLTKNFNLHVRDLKMNDLCHYMSNNILSWSGDMSL >RHN47334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38965317:38967560:1 gene:gene41922 transcript:rna41922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L18 MTLTKRYVLRLFISIKYITANVVDRNSGRIVATSSTAEHDIKQSLECGRSCNAKAAAVVGEVLARRLKVEGLNEGEGRGIHVNVNKEIEKKGFKSQTKILAVVNALKYNGVKLVRDDEDDGNNP >RHN82792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56450732:56452350:-1 gene:gene6979 transcript:rna6979 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGRSGSGKMKNNNKKGVVLLEGYVDEDICRTKSLTDEDLDELKGCLDLGFGFSYDEIPELCNTLPALELCYSMSNSTCSPDWKISSPGDHPEDVKARLKYWAQAVACTVKLCS >RHN75238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40481002:40484087:1 gene:gene11411 transcript:rna11411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GH3 family protein MPTSLDYDLSQKNKKTLDFIEDITTHADEIQKKVLAEILNRNANVEYLQRHGLNGHTDSETFKKLLPIITYEDIQNDINLIANGDTSPILTSNPISNFLTSSGTSGGERKLMPATEEEFGRRYLLYSYLMPIMSQFIPDLEKGKGMYLMFIKNESKTPGGIKASPVLTNYYKSSHFLNRPYDPYTNFTSPNETVLCLDSYQSMYSQLLCGLIQNNEVLRVGAVFASGLIRAIRFLEKNWRLLCNDIRTGTLDLLITDDSVREAVMKILKPNKNLADFVEGECNKGSWQGIITRLWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECYFGVNLNPLSKPCHVSYTLIPTMCYYEFLPVNRSNCEVNGSIPPSTTKSLGEKKYQEVVDLVDVKLGQEYELVVTTYAGLYRYKVGDVLKVTGFKNKAPQFSFVCRKNVVLSIESDKTDEVELQNAMKNAVTHLAPFEADVAEYTSYADTTTIPGHYVLYWELNLKGSTKIPHSVYEDCCLTIEESLNSVYRQGRVSDKSIGPLEIKIVEQGTFDKLMDYAINLGASINQYKTPRCVKFAPMVELLESRVMAKYFSPKCPKWVPGHKKWNNE >RHN65567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3284808:3287653:1 gene:gene13417 transcript:rna13417 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDIIATLTTRDKLYTVSICHIGRTVPNKQESGVRDYFKKQKILQG >RHN59048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7093318:7096467:1 gene:gene21032 transcript:rna21032 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVVSVDMFVDLENIQGNVLKNVNSKKLLKIHSTSEGDCVIKGPWLGQVQRVVDRLSVLFDDRTKYEITTLENDKIVPQNPNFLEDSRYPYYPGQRVKVMSSTASKSARWLCDNWRDNHDEGTVCYVEAGLVYVNWLASVPLGSNSNVNTPPCWQDSKNLTLLSCFSHANWQLGDWCMLPVADQKEQVEQMIRDSSDSYLPNKHSMARECRRRNLNSSMDELFIIGKIKTKVDNIWQNGEHTLGSDPQNLVPVNVINTHEFWPHQFVMEKGTSDE >RHN71864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3139872:3145603:-1 gene:gene7504 transcript:rna7504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein MAGRYDSNPFDEEQVNPFSNSGGVAPATNSRPAPLNPERAGYNYGFGQTVDIPLDASTDVKKKERELQAKEAELRKREQEVRRKEEAISRAGIVIEEKNWPPFFPIIHHDIANEIPVHLQRLQYVAFFSLLGLVLCLTWNVVAVTAAWIKGEGVKIWFLAIIYFIAGVPGAYVLWYKPLYRAFRTDSAIKFGWFFLFYLLHLGFCILAAVAPPIVFKGKSLTGILSAIDVIGDYTIIGIFYFIGFGLFCLETLISVWVIQQVYMYFRGGGKTAEMKREAALGAMGAALR >RHN55461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18585533:18593226:-1 gene:gene30643 transcript:rna30643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MMSSKKASLVTVNSLMRLCNRCCSRHVANCIKGSNTSRNISTAAERWDYQANDSSDYHQNCPRFHQQNASGYFPNNHASSERIYYGSGQTAHGGHVNNNITQNVVQNNLAEHNGSVNEDFGQRNFKMQHKVGVGVDNSRGFGMHPYGSFERHNWRLESGETMKYPNSHSLLRPIDYQGHHGNLTQNLGRWQQARNSDNQNSASDTDKLKKLDEVCKEGEVKKAIAVLQELEKLHTYVDLYLCLRLMQLCGKAEFLEEAKVVHRYVLQHLSPLKVSTCNGILEMYFQCGSVDDAVNVFKNMTERDLTTICIMIKQLAKNGFAEDSIDLFTQFKRSGLKPDGQMFIGVFGACSMLGDIVEGMLHFESMSRDYDIVPTMEHYVSLVDMIGSIGHLDEALEFIEKMPMEPSVEVWETLMNSCRVHGNTELGDRCAELVEKLDPSRLNEKSKVGLLLIETSDITKNKKQNKPPDNNPVGNMNRIHEYRAGDTSLPENNMIYVLLRRLRVQMKEAGYIAETRFCLHDVDQEDKEDALLGHSERLAIAKGLLNSPARSTIRVIKNLRVCGDCHTALKIISDLVGREFIIRDAKRFHHFKNGLCSCRDYW >RHN64156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56999141:57000244:1 gene:gene26942 transcript:rna26942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MEKKTIPLPDLPQDLIVQILLRLSVKSLIRFKCVCKSWFSLISEPHFTNSHFQLTAATPSRRILFISTPPQSIDLEASLGYDTISSAVNLDFLLPEPNYDFEIKGSCRGFILLHCFSSLYLWNPSTGFHKQIPMSPFWSEVDDDDEKMYGFGYDQLADDYLVVLSFSPYMASRFEFFSLRANTWIQIECPHSPFSNAFDEKCGVGSLYHGAIHWLAYRDDLQKNVILAFDFTERQLFDMHLPDDYYNYNYDPNNCGLWVFGEFLSLYAMDYNDNTIEMWVMNEYKVNSSWTKTLVLSVDDISDESFSPLCCTKSGDIIGAHSGIGLVKYDDNGQLLGRFSKWNVTWDGSVAIYTESLLSLPGDNEQV >RHN66932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21768766:21769648:-1 gene:gene15054 transcript:rna15054 gene_biotype:protein_coding transcript_biotype:protein_coding MRDYSNKMRTINAFECWPFESKEDLTQEKINSMLPPMTNPNNSSRFVSQNDVSRRQSPQHSDQRNSNKIIPDAKDVASASREKLLSRSKLRRRSIAEIFDRKKNDEKPQPMLAAPNYPLAPPPPPPQPTKDLHLPSSSSFQIGNLLNVIVLEDNEEEDDQMVSKFRRSKRLEALRSEPESLNNILTMASETNVACDREGETENEADVKVKEFIGTKVF >RHN79894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33307730:33312380:1 gene:gene3749 transcript:rna3749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inorganic diphosphatase MAPPIETPNKIPTANYTSPPPLNERILSSLTRRSVAAHPWHDLEIGPEAPKIFNCVVEIGKGNKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTICEDGDPIDVLVIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPSSSAFEAIEHSMTLYADYVVESLRR >RHN80759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40683614:40687858:1 gene:gene4724 transcript:rna4724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amidase METASDYGAFMEKFILPPNSSSPDFPLNSLTFAVKDIFDVKGYVAGFGNPDWARTHQAATSTAPTVLAILSAGATCVGKTVMDEMAYSINGENIHYGTPRNPCAEDRVPGGSSSGSAVAVGAKLVDFSLGTDTGGSVRVPASYCGIFGFRPSHGRISKSGVVPMAQSFDTVGWFARDPKILSAVGHVLLQSPRITPIRPTQIIIAEDCFQLSSVPFDVVSRIVIKAIQKLYGDGLLRYEFLGEYVKAQVPGLKHFMSQEKTDQIYNIPSLAALSSAMRLLQRYEFKNNHGEWINAVKPELGPGISERVSDALRTTEENIDICRSIIRELRDALTSLLGDFGVLMIPTVPGPPPKLQTNSSDLEIFRARAFSLLSIVGVSGFCQVSIPLGMYNDLPASVSLVARNGADEFLLHLVESIYDNIEK >RHN75063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39126907:39127656:1 gene:gene11213 transcript:rna11213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MISRVASSLTDSSLILSLSPSLSLPFYPLPFSSLMEETIVTKTHQLTLTFTGTLTTTTPPLPTLPFDLIAEILCRLPVKILIQLRCLCKSVNSLVSDPKFAKKHLCMSNTRHRLMLSSRNDLDELVLFDSPMPSDFPTFTVKQTQVSYPDCLKIEYDSPLYVCSCDGILCFTMYIGYDVSPPILWNPSIRAFKILPPLDNKAFSIYSFGYDHYIDKYKIVAVSLVVDENQYIVEDCLQKKNILLRTKLK >RHN61905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39237517:39242663:1 gene:gene24418 transcript:rna24418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MADNTRLKELTTELRRQAEAQEKSNVENKARFDRLEAMQTASDLRFNQLSATLERFMQQAPQHNVSHGNTNSGSSSSAISATVVSSQSTFVGHTQSTVVGKQSTSSMSSQPFQVRHIKLEFPRFNGKHVLDWIFKAEQFFGYYNTPDAERLIIASVHLEQEVVPWFQMVNRSRPFQSWNDFTRALELDFGPSIYDCPRASLFKLQQNKSVNEYYMEFTALSNRVYGLSNDALIDCFVSGLKDELRRDVMLHTPISIVKAVSLAKLFEEKIAANSNPKQPLKPYTPAQPHQHRAPFNPTRNDQNQTIEKAPNLPLLPTPPTRPMSHLQKNPAIKRISPAEMQLRREKGLCYFCDDKFSFSHKCPNKQLMLLELDDDPDPPNTPSQTAVTSDTEIQEVAEHHLSLNALKGATGMGVIRFKGYIGPISVSILLDGGSSESFIQPRIVHCLNLPIEHTDKCNVLVGNGQHMKAEGVVRKLSLKVQDIDITVPAYLLPVAGSDVILGAPWLASLGPHVADYSISKLKFYMDGKFVTLQGESDNKPAVSQLNHFRRLQHMNAISELFTIQKIDPAVIEDNWEGMPVNIEPEMATLLHTYREIFQIPKGLPPNRELSHEILLKEGAQPVKVKPYRYPHSQKEQIEKMVQDMLEEGIIQPSLSPFSSPIILVKKKDGTWRCCTDYRALNAITIKDSFPMPTVDELLDELHGAQYFTKLDLRSGYHQILLKPEDRQKTAFRTHQGHYEWLVMPFGLTSAPATFQRLMNQLFQPLLRKCVLVFFDDILILSQNVLYAKLSKCSFGITEVEYLGHVVSGSGVAMDKTKVIAVLEWPTPTNLKQLRGFLGLTGYYRRFIRSYATIAGPLTNLLKKDAFKWDENTAKAFDTLKQAITTAPVLVLPDFSQPFVLETDASGTGVGAVLSQGGHPIAYFSKKMAPRMQLQSAYTREFYAITTALAKFRHYLLGHKFILRTDQKSLKSLLDQSLQTPEQQAWLHKFIGFDFQIEYKPGKDNQAADALSRVMSLSWSAPEHDFLEQLKKEIVNDSHLQTIVQQCLDNTMDDINYMVKEGLLYWKHRLVIPMESNLIHQILKEYHDTPIGGHAGVTRTLARVTAQFYWPNMRQHIQKFIEACVTCQQAKSVNTTYAGLLQPLPIPEQVCDDVTMDFITGLPLSFGFTVIMVVVDRLSKYAHFMPLKADYSSRTVAEAFMNNVVKLHGMPKSIISDRDKVFTSKFWQHLFQMQGTTLSMSTAYHPQTDGQSEAVNKCLEMYLRCFTFKNPKTWFKALTWAELWYNTSLHTSLGMFPFKALYGREPPMLTRYSVNNSDPTEVQQQLMDRDKLLVELKENLLRAQQVMKSNADKKRKDASFEVGDQVLVKLQPYRQSSVALRKNNKLGMRYFGPFTVIAKVGVVAYKLQLPETARIHPVFHVSQLKIFKGLTAEPYFPLPLTTMEEGPVIQPEVILKWKDMQNSEATWEDKQEMLDSYPNLNLEDKIVLEGEGNVMSVECPIDKSGANDDMANKKGLRRSYRIKGNHPMWSQFVSK >RHN77612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8129338:8131368:1 gene:gene1082 transcript:rna1082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MKLKQRQRNYDVLDWSEREVETAEILALLYHRFSLLSSVTYSWGCKKQRSAIQNNPSSYGGAAVLPPSSDAGKAQASSPATPLSFPATESDDKTKPFKNKVSLKRKREHYLNMIEDLTKNKDSINQEIENVKRHYEQLKEYNFKLKAKQKELSINGPKGEYKNLNLVINQPIQVSVNSSNFTVENEEKMKQQIMEIPNHHNHSNFGLVQFQCASSSSNPTLQVGSSSLGRNSSNMGPLAIPDLNLSIEESVHVDTCQPLDEATSNNNNNNMDLSKVMAAQARQRRIQIFRLKKPVVGNNNTKQRQPSYR >RHN68822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38871575:38872126:1 gene:gene17231 transcript:rna17231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MRSFPYSPPPMTTIAPTQPHMEQYHHHASSPSLIMNPFIFFPMLFVGVVLVKILMKILFLSIKYWCTTRHGTMVEARRTHVIHNLDESVINTAVILSSVYNAKYAQEEFQRDCVICLNEFEDNDTIGTLPLCSQSFHLRCIQDWLPKQPNCPLCRSSCLLNTQVLSVINYKCDVENPSQGFRV >RHN69828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46560730:46562100:1 gene:gene18347 transcript:rna18347 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPMICNYLLTCLLSSRAYKECAVDLIGGMESLGYRLNSEEFATTLALLTPILKRKERKNNTQKRLNYTD >RHN66945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21993172:21995565:1 gene:gene15068 transcript:rna15068 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MMTILTTQMSLILLLFLSITTFHKCICSNHTVVRCNEKDLEILLTFKQGINDSLGTISTWSTENDCCAWKGVHCDNITRRVTKIDLNTYFYEYEPVKVLEGEMNLCILELKFLSYLDLSENNFDVIRIPSIQQNITHSSILLHLDLSHLYGPLHMDNLDWLSPLSSLKYLNLDGIYLHKENNWFQVVNTLPSLLELQLSHCNLNNFPSVEYLNLSSLVTLHLSGNNFTSHLPDGLFNLTKDINSLRFEGSNIYGEMPSSLLNLQNLKLLDLSSNKLQGLIPDEIGQLAHIEYLDLARNMLSGFIPLTLGNLSSLYLLSIGSNNFSGEISKLTFSKLSSLDSLDLSNSNFLFQFDLDWVPPFQLSQLSLSNTNQGPHFPCWIYTQKSLKDLDLSSAVISLVDRNKFTNLIERIPSELILSKNSISVDMSNLTLSCSWLSLDHNNFTSGLPNISPTAEFVDLSYNSFSGSIPHSWKNLSELEVLKLWSNRLSGEVLAHLSASKRLLFMNLGENEFSGTIPMNLSENLEIVILRANQFEGNIPPQLFNLSYLFHLDLAHNKFSGSFPHCIYNFTHMVTFHIYELYSTTIDLFTKGQEYVYDVNPDRRTIDLSPNSLSGEMPLELFRLVQVQTLNLSHNNFIGTIPKTIGGMINMESLDLSNNKFFGEIPQSMALLNFLEVLNLSCNNFDGKIPTGTQLQSFNASSYIGNPKLCGAPLNNCTITEENPKTALPSTENEDDESIIESLYLGMGVGFGVGFWGICGSLFLIRKWRHAYFRFIYGVGDKLYVILTVKLNSFRRN >RHN57557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40010648:40016470:-1 gene:gene33123 transcript:rna33123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloroquine-resistance transporter MASFCRRCPDAGAIILPAGVTLRRSEMTAGDYGIRYGYSPRKTRLLRMKFTVVVAAGDGGSRRIMEKVGPCNYAVEDGSVGNDDVAGRSGNKAAEVAVAAAATVVMGVGNRVLYKLALVPLKQYPFFLAQLSTFGYVIVYFGIMYIRHRAGIVTDEMLSLPKTPFVVIGLLEALAAATGMAAGAILSGASIPILSQTFLVWQILLSIIFLGRRYKVNHLLGCFLVTIGVVVTVASGPDAGNSLKDGGLFWSLLMIVSFLLQAADTVLKELIFLDANKKLKGGSVDLFVVNSYGSAFQALFICLLLPFLSKLWGVPFSQLPNYLKDGAACFLNVGTLSSGCDGAPLLPLLFIIVNIGFNIALLHLLKISSAVVSCLASTFSVPISIYVFTLQLPYLGVASSLPTGFVAGAIVLIIGLLIYAWTPSNGSSGASFSEGST >RHN74480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33885639:33885910:-1 gene:gene10565 transcript:rna10565 gene_biotype:protein_coding transcript_biotype:protein_coding MKISYLNFTSFYKNNLLFLLQLGLTSIYFITSFTCGAVRVII >RHN53884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5016550:5017856:1 gene:gene28844 transcript:rna28844 gene_biotype:protein_coding transcript_biotype:protein_coding MFQWPYPIQNAPQAPWQQLPHPQQGTQVFWPPQMLGWQAPPPVPGATSATNQNLVPNMYYSVGYPFPSFSGPWDPSSNLAQMHQLQHSHVLNSVEAQSKENAKLWSMVNKLQAEVSDYKARLTILEQEVSSLKKDKMEGQPRKRGRPAKLSPINSLWVSQPLAHGKKPAPIKTQFESKSPIFEKVILKKVDHKEIPVRYARYIGNTAGTPSQYPARHVLDATHQQGGNVTPGSNFADEKDPSEEEENGTLGSIEDEMVDDDDDTGFST >RHN41235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28464521:28488555:-1 gene:gene47535 transcript:rna47535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipid-translocating ATPase MMKGWDGIQSSSRSSTRTSSTISNRVPSQTVRLGRVQPQAPSYRTIFCNDREANFPVRFKGNSISTTKYNFFTFLPKGLFEQFRRVANLYFLTISILSTTPISPVSPITNVLPLSLVLLVSLIKEAFEDWKRFQNDMSINNNMIDVLQDQKWVSIPWKKLQVGDIIKVKQDGFFPADLIFLASTNVDGVCYIETANLDGETNLKIRKALEKTWDYLTPEKASEFKGEIQCEQPNNSLYTFTGNLLIQKQTLPLSPNQILLRGCSLRNTEYIVGVVIFTGQETKVMMNSMNVPSKRSTLERKLDKLILALFATLFMMCFIGAIGSAIFVNKKYFYLHLDSSEEGSAQFNPGNRFLVFILTMFTLITLYSTIIPISLYVSIEMIKFIQSTQFINKDLGMYHKESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGAEVYGNGVTEIERGLAERNGMKIEENRSPNAVQERGFNFEDARLMRGAWRNEPNPDACKEFFRCLAICHTVLPEGDEKSPEKIKYQAASPDEAALVIAAKHFGFFFYRRTPTMIYVRESHVEKMGKVQDIPYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADTVIYERLADSNSDMKKITREYLEQFGSSGLRTLCLAYRELHPNVYESWNEKFIQAKSTLHDREKKLDEVAELIENNLILIGSTAIEDKLQEGVPACIETLQRAGIKIWVLTGDKIETAINIAYACNLINNEMKQFVISSETDAIREVEDRGDQVEIARFIKEEVKRQLKKCLEEAQSYFHTVSGPKLALVIDGKCLMYALDPTLRVMLLNLSLNCHAVVCCRVSPLQKAQVTSMVKKGAKKITLSIGDGANDVSMIQAAHVGVGISGMEGMQAVMASDFAIAQFRYLEDLLLVHGRWSYLRICKVVIYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIMVGLFDKDVSASLSKKYPELYMEGIRNVFFKWKVVAIWAFFSVYQSLIFFYFVSTTNLSAKNSDGKTFGLWDVSTMAFTCVVVTVNLRLLMICNSITRWHYISVGGSILAWFIFIFIYSGITTPYDRQENVYFVIYVLMSTVYFYITLLLVPVAALFCDFVYQGVQRWFFPYDYQIVQEIHRHEIESTGRAQLLEIGNHLTPTEARSYAISQLPRELSKHTGFAFDSPGYESFFAAQLGAYAPPKAWDVARRASMKSRPKTEQQK >RHN59646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12585308:12585430:-1 gene:gene21716 transcript:rna21716 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbJ MADTTGRIPLWIIGTVTGIVVIGLVGIFFYGSYSGLGSSL >RHN80694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40147547:40152393:1 gene:gene4651 transcript:rna4651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine synthase MEQHFAIKKDVTQLIGNTPLVYLNNITEGCVARIAAKLEYLQSCCSVKDRISLSMIEDAESKGLITPGKTVLVEPTSGNTGIGLASIAAMRGYKLLVTMPATMSLERKIILRAFGAEVYLTDPAKGIDGVFQKADELLAKTPNSYKLNQFENSANPKIHYETTGPEIWKDSGGRVDALVAGIGTGGTVTGTGKFLKEKNPDIKVYGVEPTESAVLNGGKPGKHLIQGIGAGIVPPILEVDLLDEVIQVSGEEAIETAKLLASKEGLLMGISSGAATAAAIKLGKRPENAGKLIVVIFPSFGERYLSSPLFESIRHEAEQMTFD >RHN60904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31494138:31496492:-1 gene:gene23299 transcript:rna23299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVSQEISRKGPWTEQEDYKLAYFVGLFGDRRWDFIAKVSGLKVAGESLSRSGKSCRLRWVNYLHPDLKRGKMTPQEERLVMELHSKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKRAQEKKHATPSISSSPDQSSSCQSSHFSNSNHGVDSHASNKESEEENYQVQEIEQGYSMDDIWKDIAMSEDDINVLQPDFDGTSEENSNNLSCPIMPSTSSWDYSYLDPLWVMDEEESKMLFPPIGDQYFSFYDQQGNTFLTG >RHN54708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11483240:11486124:-1 gene:gene29786 transcript:rna29786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP-dependent synthetase/ligase, AMP-binding enzyme domain-containing protein MATNWNFFSIPNSTITYPHWYSPKPGIYSSLQSPRNLPTDPFLDVVSFIFSHPHDGVLALIDSSSGTSISYSNLLTLVKSLASGLHKMGVSQGDVVLLLLPNSIYYPIVLLSVMYLGAVVTPLNPFSSVGEIRKQVKECRVSFSFTIPENIKKLESLGIPVIAVPENEMDLKHDCFSCFYNLTYGKFYSIKKNLIYGDFDLPQRPVIKQEDTAGILYSSGTTGVSKGVVLTHRNLIAMVELFVRFEASQYDYSSSKTVHLAVLPMFHLYGLALFATGLLSLGSTIVVIRKYDIDEAIRAIDKYKVTHFHVVPMMLSALTAKAKDGNGSKLQSLRHVSCGAEPLSKGAIKDFVQAFPNVDFIQGYGMTESGAVGTRGFNTDKFHNYSSLGLLAPNIEAKVVDWKSGTFLPPGLSGELWLRGPSIMKGYLNNEEATMSTIDKDGWIHTGDIVYFDQDGYLYMSGRLKDIIKYKGFQIAPADLEALLISHPEIVDAAVTAGKVDVAGEIPVAFVVKKVGSVLSSQHVIDYVAKQVAPYKKVRKVVFTDKIPRSATGKILRKELRNCLTSKL >RHN78176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12477873:12479231:1 gene:gene1703 transcript:rna1703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MYSIAKFSNHKDTTMNTTKRYNEISHFSHQKHKLTFQHSEFPFKCDGCKEIGIGSRYSCNICDYDLHMHCSIPSPSLFHPFYPKCCFQFLSKPPGDIPRYCNACEKSVTGFVYHCFSCGFDLHPCCAKLPMILDDHGEVKLYLYRKVSSSCQRCGQKGRSWSYRSSCKKYNLHVACVREMIVESWHQMYVGRSNGRTTTMSTMVETGVPMSLKNNLHTVHNGGRKSKGKVRKCAEMAGMAVQIVVSAVLGDPTVLIAGIMGSLISRS >RHN43868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49092201:49093575:-1 gene:gene50502 transcript:rna50502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAATMIGGAFLSATVQTLVVLDDAEEKQITNLTVKQWLDDLKNTIFDAEDLLNQISYDSLRCKVENTQVANKTNQVWNFLSSPFKNFYGEINSQMKIMCESLQLFAQHKDIIGLETKSARVSHRTPSSSGVNESIMVGRKHDKDRLIDMLVSDSTSRNNNLGVVATLGMGGVGKTTLAQLVYNDIKVEQHFDLKAWICVSEDFNVVRITKSLLECVVRKTTYVDSNVWESDNLDILQVELMKHLMDRRFLFVLDDIWNDNYIDWSELITPLTNRGTESKVIITTREQNVAEVAHTFPIHKLEPLSDEDCWSLLSKHAFDSEDRVQGNTQT >RHN57462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39329427:39333139:1 gene:gene33009 transcript:rna33009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase with a phosphate group as acceptor MVKDSIKRRKPNILVTGTPGTGKTTTSTALAEATQLNHINIGDLVKEKNLHDGWDDELDSYILNEDLVCDELEDVMDEGGNIVDYHGCDFFPERWFDCVVVLQTDNTILYDRLSRRGYKESKLSNNVECEIFQVLLEEAKESYAEDKVVALKSDTIEDISRNVATLTDWIRNWSIQV >RHN46010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27726709:27727111:-1 gene:gene40445 transcript:rna40445 gene_biotype:protein_coding transcript_biotype:protein_coding MHYYQDANKNWYYTESEFGSGAAMDEGRGVAGDDEVIGEAESEDDDPDYAHPVGLQAVLDSIAEMRMCMENMLDAHDARRTMIESSIQRIKNNNAFTMSPNTFNTNYPDHSFNSN >RHN61566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36448658:36448861:1 gene:gene24043 transcript:rna24043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLFFLQAISVLHEVVRLEPNLPDSYHTLGLVHGAIGDHENEMGFYMITAHLTPKDPTLWKTLYVWSM >RHN60157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22036478:22039946:-1 gene:gene22396 transcript:rna22396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GH3 family protein MEPTLLNNNNNGCGNSEYENIISWFEDVSRNTGSVQTQILCNILKQNHGVEYLKKWLGNYNIFEMEPCALESLFTSLVPIASHADFEPFIQRISDGDTAPLLTQQPITTLSLSSGTTEGRQKFVPFTRHSAQTTLQIFTLSAAYRSRVYPIREGGRVLEFIYGSNCFKTKGNLTVGTATTHCYASEEFKIKKQKTKSFSCSPQEVIFSGDYKQSTYCHLLLGLFYSDQVEFITSAFVYSMVQAFTTFEEHWREICNDIRHGTLSSKIKSPKLREAILDIISPNTNLATKLQGYCEELEKVDWFGLIPKIWPNVKYLYAIMTGSMQPYLKKLRHYANGLPLISADYGSTESWIGVNVNPSLPPEEVTFAVVPTFSYFEFIPLHRNKQDSISSDDFIEDKPIPLSKIIVGQQYEIVLTTFTGINIFLPSMHLFYFIFEPS >RHN48688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49494615:49495487:1 gene:gene43430 transcript:rna43430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MIAEFTCTFVQYNRRAAAEVKRDNLELQTWADLPAEVLELFLSRLDVGDNIRASAVCKRWCSVATSVRVVDQSPRLMYFPKIGNFYDFYDPMQRKTIPLSCQSWMDVVFAMQKMVGYC >RHN56773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33625744:33626539:1 gene:gene32221 transcript:rna32221 gene_biotype:protein_coding transcript_biotype:protein_coding MILSVGPTSFKWSQQNRGGESYRTSGGWRRKRVARGWPPTSKKVAATTRS >RHN71643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1605349:1613053:-1 gene:gene7264 transcript:rna7264 gene_biotype:protein_coding transcript_biotype:protein_coding MFEDNEWGEFDENDDLQVPHSGSQHNNQLVIEGDGCNKSLHEFDGIKSSGNVSSYGTLGREELYLQNMTQNERIPEKGSWSDTPEGVFSSCDGDSYREAKGPASDNTGMSDHCFKSSNIDSGGSELCADDTILEDKCVVEDDSACQYPVNHISQDDNELSFLENDGWLDIGNLEDIDRMLSCDLTFGMESLNNEEDFCWFSSSHGAEGSDDALKSDIELHYAEMSPLKNISEYNMDSSKENIGFLPVNGSNKKLSPGDKKIRSQMDVDDNVVPASLSMFNESDTKSGHKDVLVPKEKKLPKSSAGKRKNGNSVRPYTPSEQYADINQRCGASSSGVTSLDSFQKHKQNIDSNSLGCILTQIPSAHLEFSHALSHTSLSSIFSGLRAEHDGHMSPSFMDLSYASNMESSHGHSLVAAASKTNEKREKYHSHDHLLSRSFKNERRANEMLFHSQGSSQQVTHQFENENEGHSKVRGVSLGFSSEIDSSTVQESSPISSALDKNSLEANSFCHLQQVLEQLDIKTKLCIRDSLYRLAKSAEQRHIITNANGCIGDGTEACKDTMAQDASRCTGFIDIETNTNPIDRSIAHLLFHRPTDTSTLLPNDIAPSKFNAAIHESVINPGKAENQVFQEDSSTDVEKKLLGSNT >RHN74457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33586131:33590109:1 gene:gene10533 transcript:rna10533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoglycerate kinase MATKRSVGTLKEGELKGKRVFVRVDLNVPLDDNLNITDDTRIRAAVPTIKYLTGYGAKVILSSHLGRPKGVTPKYSLKPLVPRLSELLETQVKISDDCIGEEVEKLVAQIPEGGVLLLENVRFHKEEEKNEPEFAKKLASLADLYVNDAFGTAHRAHASTEGVAKYLKPSVAGFLMQKELDYLVGAVSNPKKPFAAIVGGSKVSSKIGVIESLLEKVDILLLGGGMIYTFYKAQGYSIGSSLVEEDKLDLATSLMEKAKAKGVSLLLPTDVVIADKFSADANDKIVPASSIPDGWMGLDIGPDSIKTFNEALDKSQTIIWNGPMGVFEFDKFAAGTEAIAKKLAEVSGKGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKPLPGVLALDDA >RHN43356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45122144:45124413:1 gene:gene49916 transcript:rna49916 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTVEEEEEPNFSQDPNPNPESERETLIFNANNQREILKAIEVVERDSFAIAQSFTSVFASLRLSLSQSTDTSLHHIQCFTDAAGHLQESVLDAATKGNRYINSCLKLNEEMKSIDSLASQLKILRRHVDVLDSAVNKLLHVS >RHN49860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1762874:1763704:1 gene:gene34084 transcript:rna34084 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPAPITKTTTDNDEDMQETAFRKRGCICFPIPCFSSETSSPSWWERIQIQSPEKNHHHQWWFRGWMKVRELSEIVAGPKWKTFIRRFGKNRNSYVKQGTLNYDPSSYALNFDDGATREDGNFYGYGGFSARYAAVPVTEKSSMDLKKEAPVIT >RHN70131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48993966:49004897:1 gene:gene18683 transcript:rna18683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling &Metalloenzymes JmjC family MDSQQAHTHIQRDRRIDALGDLRVLPDEILCSILERLTPQDAARVACVSSVMYILSNEEPLWMTLCLRGASGFLQYKGSWKKTALNNLNLSEKYKECHRQQPLHFDGFNSLFLYRRLYRCHTTLDTFYTEGGNVERINDISLKDFSNKYDMKKPVMLNGLADAWPARQKWTTDQLLQNYGDVAFKISQRSSKKVSMKFKDYVSYMEVQHDEDPLYIFDEKFGEHAPSLLKDYCVPHLFQEDLFDILDTDKRPSYRWLIIGPQRSGASWHVDPALTSAWNTLLSGRKRWALYPPGKVPLGVTVHVNEEDGDVSIETPSSLQWWLDFYPLLADEDKPIECTQLPGETIYVPSGWWHCILNLETTIAVTQNFVNSNNFEFVCLDMAPGYRHKGVCRVGLLALEEDDYENVIQNMSCNEENLSYSDLSRKEKRSKTLKDVDDLCLERNISGASRSYNLWKGGFSYDINFLSMFLDKDRDHYNFEWSSGNSIGQRELREWLSKLWIQKPDMRDLIWKGACIALNAGKWVECLSKICAFNNLPPPTDDERLPVGSGSNPVYLVGNYVVKIFVEEGLEASLYGLGTELEFYSLLLEANSPLRKHIPSVMASGVVYLEDGSYTNLSWDGKGVPSVILKSNIITEKCNVDGFPFGVWGKQLFEYRNAGVPVDGSVSLAGNSSLWPYLIIKRCEGNMFADLRDRLSWEDKTNLASFLGEQMRHLHLLPHPPLNNSFISDIERELNWSEVNGCIANVNCKSNNAAEWGIFTRILKKKRKDVSSRLTKWGDPIPSKLIEKIDVYLPSDLSKLLNINENFSSGASKQCSWIHTDIMDDNIYMEPSSICSTSSGNTEDAAEGDNGLLSDHVGVKSWCPSYLLDFSDLSLGDPIFDLIPIYLDVFRGDSYLLKQFLESYKLPFSGNISKYESTEGGLKFGRLSYVAMCYCILHDDNVLGAIFSIWDKLRSSESWEEVEMTVWGELNNYKGFL >RHN43841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48893202:48900939:-1 gene:gene50471 transcript:rna50471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Porin domain-containing protein MVPPIPTADDKKVDQKVDHSNLPCPIPFEELHREAMMSLKPELFEGMRFDFTKILNQKFSLNHRYLLLLGRAMTDGRVTARVKYDVFDNLTLKANAQLTSEPHMSQGMINFDYKGKDYRTQFQMGNGALLGASYIQEMEHVDEEVASLK >RHN51021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12964266:12965864:1 gene:gene35382 transcript:rna35382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MEGVEVERPLKLHFIPFPAPGHMIPMCKIATLFASRGHHVTIITTPSNTHFFTNKNSSFAIPFFHLHTVDFPFQEVGLANGIESLSSTADLTTATKINSGLILLQGPIGDFLEKDPPDYIIVDCIYPGVYDLADKLHIPTIGFTVMSLFTVSLLESLRTTHLLHPHTDSDLDSHSFVVPNFPHHITLCTKPPKMFTIFLEMMLETIHKSNRLIVNSFTELDGKECVEHYEKIMGHKAWHLGPHSLICETVQEKAERGNESVVSVHECQSWLNSKQENSVLYICFGSLCNFSDKQLYEISCGIEASGKKFIWVVPEKKGKEDESDEEKEKWLPKGFEERNIRRNKMGLIIRGWAPQVLILSHPAVGAFMTHCGWNSVVEAVSAGIPMITWPVHGEHFYNEKLITNVRGIGVEVGATEWCLYDFGKKKVVSRDNIEKAVRRLINGSSEAKEIRQRARELGEKARLAVQQGGSSNNNLLSLVDDLKRWRDIRKTLD >RHN60691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29558118:29563314:-1 gene:gene23045 transcript:rna23045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MEFRNMNMNNHGGGGGIGETSNKILYIVVIDEKNIDKGKESFCYTRSVLQSTLQIMGCKARHAFKIYVQICKRVFECTKSKSTTDLLQPDAAISLEDLGNQSLLSKDSKIKSIPFELYKRRTSVFVRRETFLDIVCDALAEYKYLGPNQRADLVLACRLREKKESVTVLLCGTSGCGKSTLSAILGSRLGITTVVSTDSIRHMMRSFASEKENPLLWASTYHAGECLDPVAVAEAKAKKKAKKMAGVSQSLPKDESTDGQNSSKPDTRTSETGSCATEHPSPKQMAIEGYKAQSEMVIDSLDRLITAWEERKQSVVVEGVHLSLNFVVCQNLITCPLFFFRIDVNIYIAVFSNPLTIITGIIMQMGLMKKHPSIIPFMIYITNEEKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCTRAEKHLVPKVNNTNVDKSVAAIHATVFSCLRRREAGDQLYDPVRNTVTVVYEEYRNQCAANSLSSKGMFQLIQRKGSSRNLMALVNTDGSVAKAWPVNLVDSNGKSICGVGPENGIGHPMYGPLRIGKAEPVNLQFGFYGISAWPSDGGTSYAGSVDENRADGTDTGSRYQSSYQSSGCSSPRLSDFPAKELKEEFSVHGSDEEIDDQLDVGSDEDFSDDSDRHVDDEVGSVDEESTKSDEEYDDLAMEDVLENGYWTDDDYELKTKLDVVELGAKIHGIKYRQNLDQFLRTRSEPVPIAVASQEPFCAYPSLLAEKSEKKLSSNGRAKLRKRSLSIPALGKHSSADPILSGAPQR >RHN60011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16497602:16497970:1 gene:gene22199 transcript:rna22199 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAFSLFWVVLSNMSNPSPLLPLGCCYRDRIPGVEFGWYLGPIAFSIFWEVIAMGWYWEFILLSFDAVESLFGLGVGT >RHN52922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40379245:40380439:-1 gene:gene37659 transcript:rna37659 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSLPIFLAKGINPNLPELDHENYMLRIVQRAQKAWANKARTRRAERRAEERTRKAEEKKEFIQKESEDRMTKPEEEELAEVQIERAELRMIEAEHEIKMAEYQKQRAEEKMKKAEDKRLRAKEKLRNCEERAEWYMIKAEIEFKDAVEQRQSAHERKLRAEDQKLRAENQKLRAEERMRIGEEIDFAEVQNLEDKRRKANIEILKLRDRKRKAKDRMQKAEDRKQKAKIMKFERSQPPRIAKAA >RHN38687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1309529:1311216:1 gene:gene44647 transcript:rna44647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAQVRNKQVILRDYVSGFPKESDMNVVDSTIILNLPQGSNDVVLLKNLYLSCDPYLRIFMAKDTIAGLGGLTPGSPLTGLGVSKVVESGHPDYKKDDLVWGMTKWEEYSLVPAAQILFKIEHTDVPLSYYTGILGMPGMTAYAGFFEVGSPKKGENVFVSAASGAVGQLVGQFAKLHGCYVVGSAGSKEKVDLLKNKFGYDEAFNYKEEPDLNAALKRSVFNIFMVDF >RHN76259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48940017:48942578:-1 gene:gene12553 transcript:rna12553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator FHA-SMAD family MEFEGEDGSKFSLQSSDKALFGRGCGFNTKDHTVSRRHVSFQLNNESDSEPPKVSFQVIGRNPIWVLKNNDKTLKIFKKFEMGHLELGDRFCLSAKTPFWFNLNKSEDSESEIEFDQLDISQIDPIKEFGFLVMRHEFDQYPKGMIRNVENWEWFLEEPSKESEDEDDFEEKRKIKGKRKVFRDNEDDVWNGDSEDDKELVAKVGKGKKPRYSTRSKDNRGPNRDIKDSSNSKRKKATSVDENEEEEEDDDDDVDDETLGGFIVTDEEDEDEEQNNDDEEEEEEEEEEFEEEEEEDDDDAVAG >RHN76353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49617219:49625913:-1 gene:gene12663 transcript:rna12663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & lipid binding HD-SAD family MSCKDGKGVMDNGKYVRYTPEQVEALERLYHDCPKPSSIRRQQLIRECPILSHIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLSAMNKLLMEENDRLQKQVSHLVYENGYFRQHTQNTNLATKDTSCDSAVTSGQRSLTAQHPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPESIGIIAISHGCHGVAARACGLVGLEPTRVAEILKDRPSWYRDCRAVDILNVLPTANGGTIELLYMQLYAPTTLAPARDLWLLRYTSVLEDGSLVICERSLKNTQNGPSMPPVQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTMLAQKTTMVALRHLRQISHEVSQSNVTGWGRRPAALRALGQRLSRGFNEALNGFTDEGWSMIGNDGVDDVTILVNSSPDKLMGLNLSFANGFPSVSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADNNMDAYSAAAIKAGPCSFSGSRVGNYGGQVILPLAHTIEHEEFLEVIKLEGIAHSPEDAIMPREVFLLQLCSGMDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSGKEVANNPNRTLDLTSALDIGPAGNKASNDYSGNSGCMRSVMTIAFEFAFESHMQDHVASMARQYVRSIISSVQRVALALSPSNLNSQGGLRTPLGTPEAQTLARWISNSYRCFLGAELLKSNNEGSESLLKSLWHHTDAILCCTLKALPVFTFANQAGLDMLETTLVALQDIALEKIFDDHGRKILCSEFPLIIQQGFACLQGGLCLSSMGRPISYERVVAWKVLNEEENAHCICFMFVNWSFV >RHN42065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35170943:35172214:-1 gene:gene48456 transcript:rna48456 gene_biotype:protein_coding transcript_biotype:protein_coding MNANPLSSSFIIPRAKPSSGLECVRQSAVTAPTLKVYPRNQTQCVPVLKSRKPCHVCLAGGKGMMDNSEFSQRKSLEEAMKSLQEKIQKGEYSGGSGSKPPGGRGSGSGGGRGSSGGSEEGSFGGMSVETLQIVFATIGFIFVYIYVINGVEFTKLARDFIKYLLGGAQSVRLKRASYKLARFYKKVTRQKEVDENGLEKAPTQWNIADFYRDVLRNYMKPNSNE >RHN46026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27862890:27866917:-1 gene:gene40463 transcript:rna40463 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLQTLPLPSHLSHSALTFLDHNFHTATVLTESPNFVAELQTQCSELDRALDDLTRRLGAGLAKYASFSGEIHSLFDGVKFKLNEISATCSSSIVPDGGRCEGDGKGEKGFREELATLAKEVARLETVRVYAETALKLDTLVGDIEDAVSYTMSNKNIRKHSSDENSGDMRLFAIKKLKMTEETLTSITNIHPQWRNLVSAVDHRVDRALAILRPQAIADHRALLSSLGWPPPLSALTSSHSDARIANPLQSMHADHKLRYSENFLALCNLQELQRKRKSRQLVGHDREIALRQPLWAIEELVNPLSLASEKHFSKWVDKPEFIFTLVYKITRDYVDSVDEMLQPLVDEAKVVGYSCREEWISAMVTSLSTYLAKEIFPSYITQLEEESITGIQSSSRISWLHLIDLMIAFDKKIMSLVENSGVLLSLDDDILQRISSLSVFCDRPDWLDLWAEIELGDALDKLKPDIENENNWRKKIESVALSSNIDDHKSPLVSSAFVRHLASVVERCRSLPNVTLRSKFLRLVGVPIIRKFSDSILVRCQEAEGLTALTDNDALTKVAISINAAHYFESVLNEWSEDVFFLEMGVDEEDKVELPSNSNRDSEGWPESSNRVIFDDEIKKLEEFRTEWVEKIAVVILRGFDARSREYLKNKKQWQKSEEGWTVSKTLIEALDYLQGKMAVVEEGLNSRDFVGVWRSLAAGIDRLIFNGILLSNAKFHNSGVERFGSDLDVLFGVFGSWCLRPEGFFPNTIEGLKLLKMDEKRVQECMTGGKRRLKENGIRHLSVSEAEKILKNRVFAS >RHN46845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35270975:35275774:-1 gene:gene41379 transcript:rna41379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-GSK family MNMMRRLKSIASGRTSVSSDPGGDSTTKRAKFDLETEGKENEETNRGERGGNNQDKYVDAVEETSSSCNSNVSAVAKTEKSGFDQLPKELHEMKIKDDKSKNNNEKDMEATVVNGNGTETGQIITTSIGGRDGQPKRIISYMAERVVGTGSFGVVYQAKCVETGESVAIKKVLQDKRYKNRELQVMRMLEHTNVLKLKHCFYSTAEKDEVYLNLVLEFVPETVYRVSKHYVRMHQHMPIIYVQLYTYQICRGLNYLHHVVGVCHRDIKPQNLLVNPACHQLKICDFGSAKMLLPGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNYSEFKFPQIKAHPWHKIFHKSMPSEAVDLVSRMLQYSPNLRCTALDACAHSFFDDLRDPNARLPNGQELPPLFDFTAQELAGAPDELRRRLIPEHARS >RHN48689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49495489:49497861:1 gene:gene43431 transcript:rna43431 gene_biotype:protein_coding transcript_biotype:protein_coding MCYPGAKEWTTVNYYAVLSCSIMCNKLIISNGLFYCLSHTGWLGVFDPLDCTWSVLEVPPPKCLVESSTSKNWSKEKFMIEHKGNIFVVHICCGEDPIIFKLDLTLMEWKEVRTLDGVTLFAGFLSSHSRTYVTGIMRNRVYFPKVRFYGKRCISFSLDDHRYYPTKQCRDLVEPNAFENLWIEPPKDFA >RHN77808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9539379:9543563:1 gene:gene1301 transcript:rna1301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MESSVCFLQTTVVILILVYHHHHQTCEATNSNNNQTNCPPSSCGKLTNIKHPFRLKNDPTTCGDPRYELSCVNNITTLTLLSGNYNVKSINYDNYTIRLVDPGIQEDDCFSIPRYFLTKSNFTSYYSNSKREDPYGFDYASWFEYITYLNCSKPVKDDPDYVDTAPCRVNWDSNSTDHVYVIARRISARKLNIDCHVKLVAMSAAPAFISRSDQHHFSYAEIHGMLSYGFEISWITRACEDFCDLKRQDCSMDRINTGPLICLSRVCTAPFGYNILCGESMWSYSPTCIHPLVVVGEGDAMWKGEEE >RHN82383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53343523:53343741:1 gene:gene6546 transcript:rna6546 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGVEDDLILFGDLFFFSLLLLLLAYLVVVIESVVDVVLIFQKMGEEDEEFKWRR >RHN53847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4663229:4671573:1 gene:gene28801 transcript:rna28801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetraspanin/Peripherin MVCCRGFWECLLKLLNFILTVTGLAIVGYGIYLLVAFAKASDDDKPDISPTPDISPVSGDSALIQFGRPMLMAVSLSDNIFDKLPKAWFIYLFIGVGAVLFVVSCFGCIGATTRNGCCLICYSILVALLILVELGCAAFLFFDKSWKEEIPTDKTGDFDMIYGFLRENWDIVRWVALGIVIFEALLFLLALIVRAVNRPADYDSDDELINPRQQVRQPLLNNRQAGPPSGLPVAGTTDQRPNRNDAWSTRMREKYGLDTSEFTYNPSESQRFQQANSQPTEEKSRCTIM >RHN38873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2535848:2539244:1 gene:gene44847 transcript:rna44847 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative septin and tuftelin interacting protein MDMDEDQEMENFGTEKDFEGGQWVNGEFYFRKRREKARTQTKDDVLYGVFGDSEDDDDDGYSSKKRRKDFGRKQDLTKPVNFISTGNFMPDKDNVDEDLKEQDDGEDRPGFGLGFGSASVSGSGLGFNSGRGVNGSDRNDDESDENDNRDDKFLPTAFGKKIKEGAMKREKERLEEKKKKKGKKPGMGLGQEGSVDVGKFESHTKGIGMKLLEKMGYKGGGLGKNEQGILNPIEAKLRAKNSGLGFNESKGTTMPLPALQTEKKTEPGVVQPTVGRTRSWLKRPKKKNKEEEKYVTAEELLASKQEEESEVVQKIYDMRGPQVRVLTNLSDLNAEEKAKENDVPMPELQHNVGLIVQIAEAYIQEIDKDLRKERDIALSLKKEKEKLEAEAAFQKMQLDNYEKIMSVLDSVGEENTLGTLTLDSLAQCFMDMHKRYAEDYKLCNLSCIACSYALPLFIRMFQGWDPLRNPSHGLELVSRWKALLQGDDCFDIWDMSSPYTQLVSEVVLPAVRISGINTWHARDPEPMLRFLESWEKLLPSSVLATILDNIVMPKLSSAVGTWEPHLETIPIHTWVHPWLPLLGHKLEVIYQTIRFKLSTVLGAWHPSDGSAYAILSPWKTVFDSDSWQQLMHRFIVPKLKAVLQDDFQVNPASQNLDQFYWVMNWASAIPIHLMVDTMEIFFAKWLTVLYRWLCSNPNFDEVTKWYLGWKELIPKELLANESIRYKLNCGLDMMNQAVEGMEVVQPGLKEKISYIRVSEQRKFETQQKAAASAQQQAAASMAGGADGVNEMSVKDLIEAYARDNGLLFKPKSGRTHNGHQIYGFGNVSIIIDSLNQKVYAQNEETWSLETLERLLELHNKSLSKRR >RHN65936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6702548:6710093:1 gene:gene13834 transcript:rna13834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAELVAGAFLQSSFQVIIEKLASVGIRDYFSSNNVDELVKELNIALDSINQVLDEAEIKQYQNKYVKKWLDELKHVVYEADQLLDEISTDAMINKLNAESEPLTTNLLGLVSALTTNPFECRLNEQLDKLELLAKQKKDLRLGEGPSASNEGLVSWKPSKRLSSTALVDESSIYGRDVDKEKLIKFLLEGNDSGNQVPIISIVGLGGMGKTTLAKLVYNDNKIKKHFELKAWVYVSESFDVFGLTKAILKSFNPSADGEDLNQLQHQLQHMLMGKKYLLVLDDIWNGSVEYWEQLLLPFNHGSSGSKIIVTTREKEVACHVLKSTELFDLQQLEKSNCWRLFVTHAFQGKSVCEYPNLETIGKKIVDKCGGLPLAIKSLAQLLRRKFSEHEWIKILETDMWRLSDGDHTINSVLRLSYHNLPSDLRRCFSYCSIFPKGYRFEKEVLIKLWMGEGLLKCCGSDKSEEEFGNEIFGDLESISFFQQSFDPYEHYVMHDLVNDLTKSVSGEFCLQIEGARVEGINERTRHIQFSFPSHCDDDFLLKNPNGVDNLLEPICELKGLRSLMILQGMRASMDITNNVQHGLFSRLKCLRMLTFRGCYLSELVDEISNLKLLRYLDLSYTKIRSLPDTICMLYNLQTLLLKGCRQLTELPSNFSKLINLRHLELPCIKKMPKNMGKLNNLQTLSYFIVEAHNESDLKDLAKLNHLHGTIHIKGLGNVSDPADAATSNLKDKKYLEEFQMEFNGGREEMDERSVLVLEALQPNSNLKKLNITCYKGSSFPNWLRGSHLPNLVSLQLKGCGLCSCLPALGQLPSLKKLSIYDCEGIKIIDEEFYGNNSTIVPFKSLEYLRFVDMVNWEEWICVRFPLLKELYIENCPKLKSTLPQHLPSLQKLIIYGCKELEEWLCLEGFLSLKELSIWYCSKFKRVLPQHLPSLLNLRINYCNELEEWLCLGEFPLLNEFSISNCPELKRALPQHLPSLQKLFINDCNKLEASIPKCDNMIELDIQSCDRILVNELPTSLKSLLLWQNRYTEFSVDQNLINFPFLESLQLDFTGFVECPSLDLRCYNSLWRLSIQGWHSSSLPLELHLFTNLNYLYLYDCPELESFPMGGLPSNLGSLQIYNCPKLIGSREEWGLFQLNSLKSFFVTDEFENVESFPEENLLPPTLETLVLNKCSKLRKMNNKGFLHLKSLNWLSILDCPSLESLPEKEALPNSLSELNIRNCGIIKEKYEKEGGELWHTISHIPNVCIDNIKQE >RHN39125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4292318:4298495:1 gene:gene45123 transcript:rna45123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MVQLHHNQKASFTKVDGLQIDWKPSPVIALATSVDGLRVAAAREDGSLELWLVSPGSDRWHCQLTIRGDPNKRVSSLVWCNGSDFGRLFSSNLDGSVSKWDFFHLKQTTVLESDGIAIWKMAVTLPKIGVVNAETKGDRLWNRHVDSCGSENSESDEDSDSPATVMQSILGFPRVAIGYDDGRVGIYAISDADEFMHLKSLLRVKGRVLSVTWSEDANYIYSGSSDGLIQIWDAMSGHEVDWTKVGQELCIWSLLFLRSGTLVSADSSGSVQFLDSKNLSLLQTHSLHKGDAIALAAAPSHDMVFSAGSDGQVILYTMSKSSNNVNSPTTMKWIHVKSVRAHTHDVRALTVAVPIVEEEFLPVERIKRMRREKKPKDFSYHKWAHMKGPMLISAGDDTKLLAYPVKEFAIRDICPAPQGTPIQLVLNTAFNQSSMLLVQSSHWLDIHLLQLRNVHTSGRHANPKMQNFKIYASARVQSRASRQIICSTISNSGVLVAYSDHEKPSLVELKWEVGKITLSTKKLPQRLPFTHSMMFTHDSAWLILAGHDRRIYVVDVGSSKLVHTFTPRRELHDEESSLTEPPITKLYSSSDRKWLAAVNCFGDIYIFNLVILRQHWFISRLDGASVTAGGFPPQNNNVLIVTTSSNKVYAFDIEAEKLGKWSNRNTHVLPMTFREFPGEVIGLSFPPSSTSSLFVVYSSRAMCLIDFGLSLEQDDNDVLDIQDPTVQNLQNFNVLPLDNPVLFLAYMSKNSFFMVDKPWLEVVKSLEASPIHRHVFGS >RHN46677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33981714:33982097:1 gene:gene41196 transcript:rna41196 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLSGTWLPTVVLNTFPANVSAEISFMRIFLCHLLRKYWNFCSKQAYGQVNSLSTDQKLSADPKILRNQLPMKVLPRNQNRWQNPHETDFLRTFGRNPQEKRRFPVVGGSVRSEFTFSVLAVNLPY >RHN82402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53432725:53442040:-1 gene:gene6565 transcript:rna6565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NUDIX hydrolase domain, metallo-beta-lactamase MATHKLALIIQNPSNQNEFLLIKQSRPPKFNDEEYDSFLDSDLWDLPSVQLNPLQPQSDPPVEVQISVSHSDEFNFSEFDIHSALKEVFGELGFGIVERGEWKFHKYVKEPAFGPGLPVNTVFIAGKLVDDEIKDFSDSYKWMSIQSCLNWLLEVIPHGDRVGPLVVVGLVNDSSVSANWEAPPAINYQEYPTGVILIPMGSRTAKPFHTTNLVVFAPENVPNASKDNQLIVYGDALIVDPGCLSKFHGELKNIVTALPRRLVVFVTHHHRDHVDGLSVIQKCNPDAILLAHENTMRRISRDDWSLGYTSVTGDEDIYIGGQKLKVIFAPGHTDGHMALLHVNTHSLIVGDHCVGQGSALLDINSGGNMSEYFETTYKFLELSPHALIPMHGRVNVWPKQMLCEYLKNRRSREAAILKAIEGGAKTLFEIVAYVYSNVDRRAWIPASSNVRLHVDHLAEQHKLPKDFCLETFSSSLVAFADNLGKL >RHN82196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51875266:51876366:1 gene:gene6336 transcript:rna6336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative quinoprotein amine dehydrogenase, beta chain MFIRNKYKGKKVKKVEENGELPWDVLDIISKTLDFDDLFEFSGVSKNWRAFYKICRRNFLASFLESQEPLLLQISYRDGGSFSFISIPNQKVYCLKMMEHCFRYTYVTSSRGYLIMAGDISNSFMLINLFTRMKKVISTPTFEVISSYLPERALLAFGKCSEEFVLLILCEYRLHVYQSRNCGWVTYSTTRDAGRVVDFVVLHNIIYVVTNNANIGVLDLNYANIKFLKLKSTPDVPFSLYPRLVNCDEQLLVVDSTCGEIRNVYKIDFSTMNYVKLETLGNIALFYVSNGFERNCYALNNPNLWGYERNSVYVIGILSAVCSVYSGDDKKLQKCITLPALHGTSSHMYDWCFRHQQYEVDYSLVE >RHN54411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9351543:9352406:-1 gene:gene29443 transcript:rna29443 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKYHVRSNSFPSQSHPNSTRIEQELCKIKTWKATSTSTSDSITNGLFLLEDLYISLEDLLNMSSTQKAFAHHQGEKFVEELLDGSVKVLDICGITRDIMLQINENFQSIHSSLRRRKGESSIEKSVAEYKFFTKKMKKNVTKLITSLKHMESKFGASSLLNKLDQDLVAVITVLREVIAMNLSTFRSLFSFLVGSSSKSKAAKWLKVTKLMQKRVTCEENMESFNEFQCVEASLRTLISEGSNVAHEGFEALENAIESIENGLENIFRRLVKTRVCLLNIMTLS >RHN58663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3760170:3763538:1 gene:gene20595 transcript:rna20595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MSTRPSPAFFSDDLLAVILSLLPVKSLLRFKCVSNPWNTLISDPNFVKFHLMKSKSQNQYFTLITHHLKIIKGNSPYGSDDEAEIDYSIIPYPIPRLLDNPSFTVVADPHSMLNEKDCSGIAGFCNGLICLTGYRFIYGSADTECYEYWLRLWNPATRKISPKIGCFRDLHSFVFNCGCDNSTGTFKVVASRYIHDHLKSEVRVFSLGDNVWRNIESFPAVPLRLDYQGFGHTDVFLNGTLNWLAVHNDIPITWFGDPAVEDITVEQIVIVSLDLGTESYKQYRLPLGFDEVPTEEPTVGVLRDCLCFSYCYKKTDFIIWQMKEFGVEESWTQFLKISYHDLQLNHDFRDDTLKYHLRFLPLFLSKDGDTLVLRSSLERDAILYNWRDNRVERTRVTVHKTSIDDGTRSSLCWNYAKGFVESLISIC >RHN61337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34897926:34900064:1 gene:gene23792 transcript:rna23792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cathepsin F MDHRTLLLFVVLFIFSVSAFSTPDEGEDPIIRQVVDEEGVRLGAEHHFNLFKHKFGKVYSSKDEHDYRFKIFKSNLNRAKRHQLMDPSAVHGVTRFSDLTPREFRKSVLGLRGVGLPKDANAAPILPTDNLPKDFDWREKGAVTAVKNQGSCGSCWSFSTTGALEGAHFLSTGKLVSLSEQQLVDCDHECDPEQPGSCDAGCNGGLMNSAFEYILKSGGVMREEDYPYSGTDRGSCKFDKKKIAASVANFSVVSLDEDQIAANLVKNGPLAIALNAVYMQTYVGGVSCPYICSKRLDHGVLLVGYGSGAYSPIRLKEKPYWIIKNSWGETWGENGYYKICRGRNICGVDSMVSTVAAVHTTT >RHN64607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60364337:60375850:-1 gene:gene27436 transcript:rna27436 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKFDASSSSPDRPLYAAQRGSNIAASLDRSGSFRECMENPILSSLPNMLRSSSPAKHGDVESFFNYVHFDPKLLVIDHKSNRHVDYKRHVNAALGISPDESPSSSAKGKLLPSPVPEDVKRMRDSLYSSTVKARERVKMFNEALSVFNEVFPLVNVKKRSRVEGFSNDRSSVMLNDRSVLGPSVGKVGVQGHHVTGGFELDQQKSEERTKSLGPNKRPRTSMVDVKMDVRTNSLVRPSGTVDRDKEKLRIANNGVVQSEERNLPIVGDGWETSKMKKKKRSCIKLDVSPSTTLNKPANGFQETKQGMQQRLATDSRSKLSNDSNSFRLGVSNGTVAASKSDGISQQTGLGIRTPTHRNGQDNNSLVNDKRGRSVSSDKDRVNFRAVNKATVRDEFNSASPTSSAKMNTAIRAPRSGSGVAPKMSPVVHRTAVPNDWELSHCTTKPQLGLIVLTIANVPQKSSRTARRTSFVPVVSSNDEAPTVDAVSDVAGNDIGLGFVRRSAGSSPQQIRLKGEPSPSAALSESEESGLAEVKPKEKGRKPEEIDLKAGQNVPKVSNLATRKSKLVSGEELGDGVRRQGRTGRSLNATRSLAPMTSEKLGKIGTAKQLRSARQGCDKNESKVGRPPTRKLSDRKAYARQKPTAISAAADYFVGSVDGHGELLAAVKGVIKSAYSFSSPFWKQMEPFFSMIPEEDITYWKQKVNLESSTLTPTPVPSNIDGCETVVNRYGLIGCERDVRSDAQRSAGNNTEKLPLPKGDHNVVPLCQRLIAALISEEDRNGGNEDLKFDAYDNESELDGELELSGLDHHSLSNFQFSCHSANNGYGIIGKPAHDESDMIDNPNFGLNPSFGNSINGFLHDKALMSSLACSELQYNSLGINDKLLLELQSIGLDLESVPEMVQEDDEAISEDITRLGELYQGQVSKKKNLLDGLLKSASAAKELHEKDFDQRALDQLIVTAYEKYTACRGASSGKSSSNSKMVKQAAMAFVKWTLERYHQFEDTGKSCFSEPLFKDLFLTASSQHSIVRKSDGLEADSSKPYASPLSLEATPASMGSQPSPSPFSQNLDNLDLTSSDMLPALNNSSEQTSGNEDFWSNRVKKRELFLDDVGGTQGNSSTPGIGSSLTSSTKGKRSERERDGKGHGREVPSRNGTTKAGRQASSSAKGERKTKTKPKQKATQHSVSVNGLLGKLPEQPKPALPSVSKSTEKPSYRNTKEKDEFGLGGLDEPIDLSNLQLPGMDVLGDPGDLAENGQDLGSWLNIDDDGLQDHDDFMGLEIPMDDLSDLNMMV >RHN68622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37203756:37207194:1 gene:gene16994 transcript:rna16994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MDRLCCFKSSYSQLVASRPSSSTGKGKNYEGSIKYGFSLVKGRANHPMEDYHVAKFVQLQDKELGLFAIYDGHLGDRVPAYLQKHLFSNILREEGFWDDPTLSISKAYESTDQAILSHSSDLGHGGSTAVTAILIDGRRLWIANVGDSRAVLSRKGQAVQMTIDHEPNTERGSIETRGGFVSNLPGDVPRVNGQLAVSRAFGDRSLKSHLRSDPDVQTTDIDVDIEILILASDGIWKVMANQEAVDIAKRMKDPHKAAKQLAAEAIKRNSKDDISCVVVKFR >RHN57746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41448823:41453460:-1 gene:gene33330 transcript:rna33330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MKYFSSKNFVYSKSTEHAYNKLMEALKDRKYHMIGFHGMGGSGKTTLVKEVGKKAEELQLFDKVVMAVVSHNPEVTYIQGQIADSLDLILREESPIGRAQRLSTSLQNERTLVILDDVWENLEFEAIGIPPCCTVLLTTRGRDVCVCMNCQITVELSLLDEEEAWTLFKRCADIIDDSPYALKLKNVPRKIAKKCKGLPIAIVTMASMLRGKRVEEWELALLRLEETQTIDGEEVLSSCYACIKLSYDNLTKQVSKNLFLLCSMFPEDWEINVEDLVRYIKGLGPAAGTIGTMEKVRREIQVTLLILKDSYLLQQCGKKEFVKMHDLVRDAALWIASKEGKAIKVPTKTLAEIEENVKELTAISLWGMENLPPVDQLQCPKLKTLLLHSTDESSLQLPNAYFGKMQMLEVLGITKFYYTWRNLYTLRYLSSSLSILAMPQSIERLTMLRDLCLRGYELGDISILASLTRLEILDLRSSTFDELPQGIATLKKLRLLDIYTCRIKKSNPYEVIMKCTQLEELYMWRDHVPSRALCIDQFDASALIHDSSSIKDLFMRSEHLYLGHLRGGCKNIVPHMDQGGMTELIGLILESCSEIECLVDTTNTNSPAFFELVTLKLICMNGLKQVFIDPTSQCSLEKIEDLQIEYCTQLSSISFPRKSNMCNLKILRLQWCPMLTSSLFTPTIARSLVLLEELKLFDCSKLKHIIAEEYVEVENANYPNHALKVFPNLRILHVHGCQGLESIFPITFAQTLERLEKIVIWYNFGLNYVFGTHNDYKNSSGSETKTNINLLALRRISLVSLLNLIDIFPSYCHPNSPNLKEIECRECPRFSTNVLYKTMIGSDHQKGRMATEERVIFPDSGEPVLALECLTIENSMVLEGIFQLQAEKQSPLNSSLSHLCLKELPELRLIWKGPKDILTLQKLKSLVLVGCRNLETIFSPTIVGSLAELSELVVSKCEKLENIICSDQDGNLSTFSKPVCFPLLSIVHVFQCNNLKCLFSHSLPSPFPELEFITVEECSEIEQVFFFNDDDRGQHVTEENKQRLILPKLREVKLVCLPNFTEFCRGPYKLQQNVKHYTVRHCPKYTYAWFPTENQEWNPFSSIHLQSTGDVWEMGLGSSSTSTFAVATSNSVNDPEIQDKVIKLETNLESERQRRRLMALELQVESERRRLIELKLEAEQERRRIMEETLLSFFHKMLGRVPQQFSYLLSQTRLAQNEENEDQTLFDVSIQSL >RHN46094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28431059:28435169:1 gene:gene40534 transcript:rna40534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinacetylesterase/NOTUM MPLSTNLHLRNVIILLKKWSKQEYTIAAFTIILFIFSLTFLNRSNQSHSNDSHINLIPFTPLANFKQLGALCLDGTAPGYHFQKGFGSGSRNWLLHLEGGGWCNSISSCSYRKTTALGSSNYMDTPVPFSGILSSVPSQNPDFFNWNKVKIRYCDGASFAGHPESEPKGSGLFFRGQIIWEAIMNELLSIGMSKAKQALLSGCSAGGLATLIHCDNFRQLLPKEATVKCLADAGFFLDEKDIAGNSTMKSFYHDVVQLQVMLVLQKVCTKSALLKWNHLRKCLFPSEILKNIKTPVFLVHPAYDFWQIHNILVPEGSDPHRRWKSCRLNIQSCDANMISILDSFRSSLLKAVNEFQQRKDIGMFIDSCFIHCQTWMGETWHSPRSPKINHKTIAESVADWFFDRQVVKLIDCPFPCNPTCHNMDFTRV >RHN41704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32195291:32196057:1 gene:gene48054 transcript:rna48054 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKCSLIWVILFLCMLGLSVKAIARNIVGATEMYAVSDEIMGQNRKLLSPDQRCKRGKIHYNLHDVMTDRKASNNPRCHHYL >RHN47060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36862147:36865085:1 gene:gene41615 transcript:rna41615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MEPNNVFSLLLIISLWFLLTFHSNAETSTYIIHMNKSFFPQVFTTHHDWFKSTIHSLKSKTLVPDDYDQASKQSQKKLVYTYDHAMYGFSAVLSSNELEILKNIDGFVSAYQDRTATIDTTHTFEFLSLDSPSGLWHTSDFGDDVVVGVIDTGLWPESQSFKDDGMTKKIPNKWKGTCETGQEFNTSMCNFKLIGARYFNKGVIASNPNVTISMNSARDTIGHGTHTSSTVAGNYVNGASYFGYAKGIARGIAPKARIAMYKVIWEEGRFASDVLAGMDQAINDGVDVISISMGFDDVPLYEDPIAIASFAAMEKGIVVSSSAGNAGPEFGTLHNGIPWLLTAAAGTIDRTFGTLVLGNGQSIIGWTLFPANAIVENVLLVYNNTLSSCNSLNLLSQLNKKVIILCDDSLSNRNKTSVFNQINVVTEANLLGAVFVSDSPQLIDLGRIYTPSIVIKPKDAQSVINYAKSNNNPTSSIKFQQTFVGTKPAPAAAYYSSRGPSHSYPWILKPDIMAPGSRVLAAYIPNKPTARIGTNVFLSSDYNFMSGTSMSCPHVSGVAALLKAAHPQWSAAAIRSALITTANPLDNTQNPIRDNGYPSQHASPLAIGAGEIDPNRAMNPGLIYDATPQDYVNLLCGLKFTKNQILTITRSNSYDCENPSLDLNYPSFIAFYSNKTRSMVHKFKRIVTNVGDGAATYRAKVTYPKGSVVTVSPDILTFKYKNEKQSYNIIIKYVMYKKENVSFGDLVWIEDGGAHIVRSPIVVAPTGIV >RHN54090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6849845:6852089:1 gene:gene29073 transcript:rna29073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyneuridine-aldehyde esterase MSELKMNQKQKHFVLVHGVSVGAWSWYKLKPQLESVGHKVTTFDLAACGINTHKIEDVHTFAEYAKPLLEFLTSLDPNEKVVLVGHSFGGMSIALAMEKFPEKIEVGIFLAAFIPDTQHKPSYVLEQYIERYPVTGWLDTEFSFGGNKMLLLPGSKFLSTKFFQLCSIEDLELMKILIRTGSLFLEDLSEAKNLSKEGYGSVPRACIVANDDLAIPVEYEQWMIQNAGIDVVKVINGADHMAMLSKTQELCLSLLEIADKYACSSQ >RHN69626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45029900:45034535:1 gene:gene18118 transcript:rna18118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MEYGRLGLSDPGGSTPSQPIINTTKPRSSKKKIIFLSLLAVLLIIASTISAAMLTGIHSHTTSEPKNPTLRRNPTQAISNTCSKTRFPSLCINYLLDFPDSTGASEKDLVHISLNMTLQHLSKALYTSASISSTVGINPYIRAAYTDCLELLDNSVDALARALTSAVPSSSSNGAVKPLTSSSTEDVLTWLSAALTNQDTCAEGFADTSGDVKDQMTNNLKDLSELVSNCLAIFSAGGGDDFSGVPIGNRRRLMTMPEPEDDFPVWLKRRERRLLSLPVTTIQADVIVSKDGNGTVKTISEALKKIPEYGNRRFIIYIKQGRYEEDNLKVGRKKTNVMIIGDGKGKTVITGGKNVMQNLTTFHTASFAASGPGFIAKDMTFENYAGPAKHQAVALRVSSDHAVVYRCNVIGYQDTMYAHSNRQFYRECDIYGTVDFIFGNAAVVFQNCSLYARKPMPYQKNTITAQNRKDPNQNTGISIHNCRILATQDLEASKGNFTTYLGRPWKLYSRTVYMLSYMGDHVHPRGWLEWNTTFALDTLYYGEYMNYGPGGAIGQRVKWPGYRVITSTVEANRFTVAQFISGSTWLPSTGVAYVAGLST >RHN46411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31465008:31466647:-1 gene:gene40893 transcript:rna40893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyltransferase, DXD sugar-binding, alpha 1,4-glycosyltransferase MKVKPKAMSILQNLCHIKTLITNRTTTSSSNKMFNPLIKHAKIFSIFSLITLSTIFFLIQGDHVIYHDSLILHATNKEPLVMLQAQRSTLTLEGHNYNKPLLRSMQKEKLEEVDYANQKVLVAPLNLNIEQRIVWFKENLQEFKILRSNKLAKQFHARIQGFHKNNSCESQFFMTWISPSSSFGSRETLSIESVFKVQPQACLTILSRTLDSIHGYKILKPFIDKGFKVQAITPNLSFLLKGTLAETWLHELRKGKKDPGEIPLFQNLSNLIRLAVLYKYGGVYIDIDFILLKPLSGLRNSIGAQSMDFGTKHWTRLNNAVLIFDKNHPLVLRFINEFALTFDGNKWGHNGPYLVSRVVERLKKRQGFNFTILPPMAFYPVSWTKIGGFFRKPKTRSEEKWVEAKLKQLSGETFGVHLWNKQSSGLVIEEGSVMARLVSNHCIICKL >RHN72690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9780738:9784058:-1 gene:gene8437 transcript:rna8437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MLRSLPKFSIIFSSTKNSRYLANATQRFISSGRTRRIITRPTSRVKIGVTTAVPIRCMSTAADDQVQRVKVLKSTDDKYGGVIVELDQPMDSTTFVSILRASILHWKQMGKKGVWIKLPIHLVGLAEGLVKEGFWFHHAEPKYLMLVYWIPESPNTIPANATHRVGIGSFLMNEKHEVLVVRENSGLFQGTGVWKFPTGVVDQGEDICVAAVREVKEETGVDSEFLEVLAFRQSHNSFFEKSDLFFLCHLRPLSSDIQMQNSEIEGAQWMPFMEYAAQPFVQKSDILKFINDICLAKIDGQYSGYTPVSTLSNFSEQQNYMYLNAGALKRSNS >RHN64278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57852818:57859382:1 gene:gene27080 transcript:rna27080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MENPQYPNNPPPSPYNQRSASIPKQPPSHHSDNNDPTTAELRALDCNLASLCEHVQIEGFNSGSFSDIVVNAMGSTYHLHRLILSRSSYFRNMLHGPWKEASAAIVTLQIDDNNVNDEAIAIALAYLYGNHPKLNDNNAFRVLAAASFLDLQDLCAICTDFIISELWTSNFLAYQVFAENQDYGIHGERVRTACWGYLCQSGGMELREVLPKLSSHTLHALLTSNDLWIPCEEKRFELAFHTFLAKSAHCKVEHPAHGIPGSESGTGIHSDNTKGKGIADGCTNKMLETDLGKMSLKSDLKDTSMPNLLVELGDSEGDFNSDICDSNQRVQLASYDISPNLNPSYPSDMEGPSLGNSLSDPDGVRTSCYVEVPLGAGTTTGVGIEGPSEEGSCYHSDNNNRLVRDQSRDSFSSSSCSGLTSSEWGRYGTPLLSWGGHVGRRQVKAHPRGNYRDEDDVFINIFEGGSLLYCNMSFDALLNVRKQLEEIGFPCKAVNDGLWLQMLLSQRVQEIAADTCRVCSLMTMSCTCHRQFAFLHGSTTGSYIQEHNHNNMPGGGGNIYVAESSTGERNGSFRPVRVHVRGAIDGLAGIGRGTTFVPAAASPPTRFVFSRVPFGVGNRNYPQSAANDDSEARADHNGDLSGDGLTALVGLSQGGNYGTNIHTELTQREQEMGLQSTAGGASTGGIPVQLLETPEHTIGIEWENDNSSSISLDLKTPLSHFPPFRFGVSFEEVHRLGDGQVKHSPEVFYAGSLWKVSIQAFNDEDPQGRRTLGLFLHRRKAEITDVHRKVHMYVDSREKVTARYQLTCPSKREMLVFGSFKQTGTLLPKAPKGWGWRTALLFDELADLLQNGALRVIAVVQLV >RHN72045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4607901:4608532:1 gene:gene7714 transcript:rna7714 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVFPSAKPIETAISGPKPSESDRCPPRKYIIITPLIYMKDVTFTATTSL >RHN78537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16068861:16071273:1 gene:gene2117 transcript:rna2117 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLVIYVCNNYLEEYVNRSFPKKYKTNRREAKNKAKLKHGNHDDERTGRGYGYHWDTEIIKVISYISSHHYQQMMKEKGEGCANDFVRNLCMKSEREFTSMGRIACSLKKIGMIKVAGLTSENSLTLLNTSATTEIGKSSIAKRETDIEQT >RHN77253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5448657:5449067:-1 gene:gene691 transcript:rna691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAWLAVHNQTWNTDNYVDYIQCDVSDQKDVELKLSPLTDVTHIFYVSWTSMPTEAQNCKVNGSMLRNVLRALIPNTLNLCHVSLQTGIKHYFGSFEIVGKIKPHESPFTEDVPRLVTPNFYHTQEDILLEEVGKLN >RHN72995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12428159:12428558:-1 gene:gene8764 transcript:rna8764 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSMIRKCAVMMLMIMLIVTHVECNSPSQEFEPTKLKGWPRTSCEIKCTMSCLPHIIHDYEACVIDCENKNC >RHN67684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29293100:29293889:1 gene:gene15898 transcript:rna15898 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTFLYICFLFAFATTCFARDVPIVNSNMMIEESDYADPDPNPVLPPIPLPPPKFLNEDPHTHPSPVPNLKV >RHN66545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13646701:13647099:-1 gene:gene14551 transcript:rna14551 gene_biotype:protein_coding transcript_biotype:protein_coding MKISPLVELKTKVGNVILVIYEARIRTRTPTQLNFLENHKMQCNYMCRCRTRDVSDTETRLIQGVSVLHSLS >RHN69162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41478746:41479024:-1 gene:gene17595 transcript:rna17595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFHLPAIRRTSFTSSQASSKAVNVPKGYLAVYVGEQMKRFVIPMSYLNQASFQNLLSQAEEEFGYDHPMGGLTIPCTEDIFMEITSRFNGL >RHN78503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15739754:15741364:1 gene:gene2078 transcript:rna2078 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDATQLVEQVDEVSLRFMVDRERNKVLYVEAGKDFVDTLFSFLIFPLGTIARLAAKESNIDAVKFGSLSSLYESVSDLGEEHLWNKTCKEMLLKPRVPMGLYYRKLKFNIDESEPLRYYHCEDKTCKIENRYCVSFFRNQKCICRKLLNQESFVNSLKGIEESGFVKETSTFIVSDDLCVLANEVGTSLNLCQKHGVNDIDTIDKRTVNISKKEACFLSMYIVYVIDILKLSLVSKTPLTDFIYKKEQFVGNLDPRNRSEFWIREVDESSDEINDKMVVKVLRRKSNEQILFLEAQDDFIDFVFSFLTFPLGGVLHMLQGFSLLSCIDNLYKSMIELSSDGCIISENLKEKLTKPPIPMQFELRNQILPIDTKYKDYYTQYKFIDPKSPISGGYVKGPITFIVTDDMVVTPLSSIAVVSYLERMKVAFNDVEERVIRIGRKEGLSILKASLTTTSTLTNSLSLLWSNSRMNKGPSLSIQEHSLQEQWST >RHN70694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53250549:53259017:1 gene:gene19305 transcript:rna19305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQPQPQQSQHAQQQQQQHMQMQQLLMQRHAQQQQQQQQHQQQPQSQPQQPQPQQQQNRDRTHLLNGSANGLAGNPATANAIATKMYEERLKGPPQRDSLDDAAMKQRFGENMGQLLDPNHASILKSAAAGGQPSGQVLHGTAGGMSPQVQARSQQLPGSTTDIKSEINPVLNPRAAGPEGSLLGISGSNQGNNNLTLKGWPLTGLEQLRSGLLQQQKPYMQAPQPFHQLQMLTPQHQQQLMLAQQSLASPSASEESRRLRMLLNNRNIGLNKDGLSNPGGDMLSNVGSPLHGGGPPFPRGDTDMLMKLKLAQLQQQQQQQQHQQQSSINAQQQQLQQHALSNQQSQTSNHSMHQQDKVGGGGGSVTMDGSMSNSYRGNDQVSKNQMGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDAVSMPALPHNSSSSKPLMMFSTDGTGTLTSPSNQLWDDKDLELKADVDRFVDDGSLDDNVESFLSQDDTDPRDPVGRCMDVSKGFTFSDVNSVRASSSKIACCHFSSDGKLLASGGHDKKAVIWYADSLKQKAILEEHSALITDVRFSASMPRLATSSFDKTVRVWDVDNPGYSLRTFTGHSTSVMSLDFHPNKDDLICSCDGDGEIRYWSINNGSCVRVSKGGTTQMRFQPRLGRYLAAAAENIVSILDVETQACRYSLKGHTKTIDSVCWDPSGELLASVSEDSVRIWTLEGECVHELSCNGSKFHSCVFHPTFPSLLVIGCYQSLELWNMAENKTMTLSAHDGLITALAVSTVNGLVASASHDKFIKLWK >RHN71171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56918745:56922027:-1 gene:gene19821 transcript:rna19821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proline--tRNA ligase MNTFLKLINRKDQNFQHWYSEVVFSAKLIEHYDISGLYILRPSAVEIWETLQAFFDSEIKKMNVENCYFPMFVLDSHLKKEEAHNSGFKQEVAWVTKSGQSDLEAHLALRPSSETIMYPYYSKWIRGHRDLPLKLNQWCNAVRWVVGDPTPFIRSREFLWQEGHGAFATEDEAHAEALEILELYRRIYEEYLAVPVIKGTKSEMETFGGAIYTNTVEAFIPNTGCGIQGATTHCLGQKFAEKFEVKFEDKKGEKAMVWQNSWGYSTRTIGAMVMVHGDDKGLVLPPKVASTQVIVIPVPYKDVDTQGIYDTCKATVNMLCEAKIRAELDSRDSYSPEKKYSEWEMKGVPLRIEIRPMDLENKQVIYVVLLLLLYSHMSFCFYPVCSMRHQINHFNFAQVCVVRRDNGAKIHIANADLVEEIRKFLNNIQQNMFDVAKQKRDECIQVIHTWEEFKEALNQRKMILAPWCDEKDVELDVKRKTENEMGPAKTLCSPFDQPELPEGTKCFKSGKPAKKWTYWGRSY >RHN79264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26837982:26840043:-1 gene:gene3031 transcript:rna3031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MVNLSLLLKYQSLAIIFTIIALTKVNSFYFNFPTFQQSDRSTNFLLSKYSNIYKDDIQVTPDNRGSPISNYSGRAFYKKPYTLWKKNKLSSFNTTFVLNISPVTSPRGEGIAFILTSDTTLPDESDNTTANPNSPDGILAVEFNTRQSSTQDRPDNLVGININSIFGLKQASLENTKVNLSSGLDVTMRIQYSNDIISVFGSMSNSNDSMETLLVSPPLSLASFLKQEVYVGFSASTSNTTETNSVRAWEFNGVDIANSNKNNLLQIIWIIIPIVIIIGVLVFFLVYRNVKRSMEIQEDTYPRIEDQIQYSSMAPKKYRLKELMKATGGFSHQNKLGEGGFGTVYKGFLGKNNKEIAVKRVSKNSRQGKKEFIAEVTTIGSLHHKNLVKLIGWCYENKELLLVYEFMPNGSLDKYLFNKTRELELHFSKVLAWKTRNSVIGDVAQALDYLHNGCEKRVLHRDIKASNIMLDFDYVAKLGDFGLARIVQMRNETHHSTKEIAGTPGYMAPEIFLTGRATVETDVYAFGVLVLEVVCGKRPRNMYAQDDYKYSIVYWVWELYGEGKIVSAVDDRISSEEEEIEKVEIVLILGLSCCHPNPHERPSMKTVLMVLNGEASPPMVPIERPAFVWPAMPSSFKQNENGSLISGTLTPFTQLGGR >RHN61501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35966018:35970191:-1 gene:gene23974 transcript:rna23974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MGRFLLFLIFISFLTLSFVSEVQTTSFKIVNKCRYTIWPGLLSGATSPPLPTTGFTLKSGNSRTIQIPKAWSGRIWARTLCGQDSDRKFSCITADCGSGKVACAGGGAKPPATLAEFTLNGADGLDFYDVSLVDGYNIPMLIVAKGGTRGGCSPTGCLVDLNGGCPVDLKVARGNGSGGGVACRSACEAFGDPRYCCSEEYSTPDTCGPSVYSLFFKHACPRAYSYAYDDKTSTYTCASADYLIVFCPLPYTSQKVLGARKDGAPLPLVNKTMMYLPKQHSGGSSSTGLIQTQIIAYVTSIAVAFFLSCPFNTVYGSFCIDTSGRK >RHN40617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18224790:18226051:1 gene:gene46787 transcript:rna46787 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEDGFVVNPGMDASDDDSEDNDELEGRFVLVVHHGGVFVDFNHMGYNGTEIVLECEPDYWAYFSILSTLKRLGYPMVRSLWYHDPNLVDDLIRLRSDIGCRRMMHIAEMYDRVHLYVEHTVGEEPVMGELNPLIEYPIQNVGANVGGNGGVHVEEIFEEEVNQGNGGNEGNLGVHVEEMEEIFEEVAIEGNCGMNVEEYFEEEMAGVNGDGNTEMINMGGDNAEGINEGWGVEGEVDCGANGDGNLGPTLVDDIGNLRQNIGPSVLEESEHVGTTVDGEGIFNGPTTLNVGSNEAGPSAVVSKGKTVQ >RHN77197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4887793:4893988:1 gene:gene623 transcript:rna623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperonin Cpn60/TCP-1 family, groEL-like apical domain, groEL-like equatorial MASLNLSSCNLSSSASISSFPSSSRRKTTSNVALTRKNRNPKVSAMAKELYFNKDGSAIKKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTAKALVAELKLMSKEVEDSELADVAAVSAGNNHEVGNMIAEALSKVGRKGVVTLEEGRSAENNLYVVEGMQFDRGYISPYFVTDSEKMTVEFENCKLLLVDKKISNARDLINILEDAIRNGFPILIIAEDIEQEALATLVVNKLRGSLKIAALKAPGFGERKSQYLDDIAILTGGTVIREEVGLSLDKAGNEVLGTAAKVVLTKDTTTIVGDGSTQEAVTKRVSQIKNQIEAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKATLANDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSNDDTRYGYNAATGKYEDLMSAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIKEPEVAPVGNPMDNSGFGM >RHN74989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38515029:38518680:1 gene:gene11134 transcript:rna11134 gene_biotype:protein_coding transcript_biotype:protein_coding MANQIFSRVIPKTLTLTTFLSRSFSTTTTNPSSSALSFLRRLRPLSATAITSRHILLPSFRAFSTRPTTSSLNDPNPNWSNRPPKETILLDGCDFEHWLVVMEKPEGDPTRDEIIDSYIKTLAEVIGSEEEARKSIYSVSTRHYFAFGALCSEELSYKLKELPKVRWVLPDSYLNVREKDYGGEPFINGQAVPYDPKYHEEWVRNNARANERNRRNDRPRNNDRSRNFERRRENVVNRDMQSRPPVQNSGPPPNNSSGYPPNNSSGYPPNNAGGYPPNNQAGYSPPNQSGYSPPNQAGYAPPNQGGYAPPNQGGYAPPNAGGGYPPPNMSGPPPPNMSRPPPPNSGYGAPQNNYLGQQNQNIAGMPPNAGWSNNQ >RHN60045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17607100:17608024:-1 gene:gene22242 transcript:rna22242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructokinase MLMLSMTDGVRKIYGIETYRRPILAIQPSSPLGLKVKFTNVSAHTGIFLLLRENIEVLGGGGLVDDDNTHTVQADNKDGAPPVSMPYSCSFNTHIQASNGFAGNLRNTKANNDGVPPVSRPYKLPDFPITEGCSKTSGLVVCFGELLIDYIAIHEPFGRCRRDLGGAIANVAVGISKLGGSSAFMSKVYICLFIDMVMWFLVTIYMTSMFDLFKSSYLRNLLCVGLFEIDYTFLNGMCVCVFQGKQINSLKSEHGNVMVKVHAFCHTLIFDLRPH >RHN44326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3573416:3573595:1 gene:gene38429 transcript:rna38429 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRLVDDINAMLDTSEPPSTDDCCIYKVPSVIRKHNEDAYTPKFVSIGPFHHGKTRL >RHN51950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29010988:29013503:-1 gene:gene36527 transcript:rna36527 gene_biotype:protein_coding transcript_biotype:protein_coding MWIICGMHRFTHALHSTPSSHVNMLDISSTLGELLIERQVINRGFFLKPREVQEQHNIKEIRKTIHM >RHN68432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35496588:35496851:1 gene:gene16786 transcript:rna16786 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNITRRVGNGLSTLFWVDPWLEEKPLCITFSRLYELAENK >RHN58004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43058730:43059701:1 gene:gene33609 transcript:rna33609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MADSDNESGGAPNAGNSELSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKIYLQRFREIEGEKTVAARDKDGVAPSSSSSSVFDYGAPPPQVGLIMHHQHQGHVYGSGGFHQVPGGPVYPNAGSNAGRPR >RHN44620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6901964:6906411:-1 gene:gene38759 transcript:rna38759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, RNA polymerase Rpc34 MKRLQESGSSQQKRQKLSALPTEERILYDLILSKENSGISKTDMRRETNLPIAALNKHMKALMNKSMVKELPSIKNKGIKIYMGTEFEPSKDITGGHFYSEGSLDTVFIDALKQTCLQCISLERVSTCDGCLEWVKRSGVFTTQVTGEQIEEILQTLVLDDEIMQMTSTGYGDFASIPVGKTCYICKSKGEKKSADSTPFPCFSCQRMSFCSSDGVVSPTTCVYFQKWLDFF >RHN71533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:829212:830248:1 gene:gene7144 transcript:rna7144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MDSSMALRSCSRIKRNQIERPRIALPLCLEFARERLPVIITSTQSYLLCTTLVVLKLRWFCFSRGACSHLNLPSLKTLHLKDIIFDQQCQLMMLLDACPVLEDLQLSNIINLESYTRDYFDDFESSSMLRKLNRADITACNWYFYAYNYPRFVLFSDGVNKKNKKLIGNIRMHYWLYPKSVPSCVSLNLTTCTMRDFALAGQQCNHIMLAIFILKNARVLETMTIWSNNKQSEIESRLSPCPRASATCQLSFY >RHN68973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40011084:40019423:1 gene:gene17398 transcript:rna17398 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQARVQHFTTECEIEIQPTIQIQMSLALQTFHRTNNSRSKVSFLEISARKVLGFARTRLRRDFRLSVNVLSHIAEVKFYYDGLASIKKLIQKHLEQDKRVLHGDNQDGETTVNMLDNSCSSINASDEKN >RHN72826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11043359:11043751:1 gene:gene8587 transcript:rna8587 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQQTWTSVTLLVVENFAKDFTPQLRSSHRTSFGSFGVDPSNSATSTTETTFSAALFPKEFGVFEVTTGATASTFEADTNFGSFVLDASHPPTSMSSKDLLIGVTSESATPTLSLLSESTPSIVRTNFE >RHN49109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52508995:52511271:1 gene:gene43903 transcript:rna43903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MASSPTQNESEHNNSTDSVILPVTTKDSTRSGLTHSLTIKLDEKNFLLWSQQVNGVITAHDLHRFVLDPKIPLQFASESDCLQNKISDEYRKWLTKDQVLFTWLLSTISDEVLPRVLGCKHAFQVWDKIHKFFNSFLKAKARQLRSELKNTKKLGRSINEFLLRIKMIVNSLIAIGDVVSDQEHINAIVDGLPEEYNSFVLMIYSRLDSPSIEEVESILMMQEAQFEKYRQELTNPSVSANLAQSELPSKSGNSESQEVGTKYYNAGRGRGRGRGRGRGRGRSNSNRLQCQICARNNHDAARCWFRYDQASSSQAHHKAPPFNSHMRPMANFAMQGFQAPPSEYAASSSYSEAPWYPDSGASHHLTFNPHNLAYRTPYNGQEQVLMGNGQGVSIQSLGYSSFTAPFNSNVKLSLNDLLHVPNISKNLLSVSKFAQDNNVIFEFHPYKCFVKSQDSKQILLEGVVGSDGLYQFKPLQFLPSMSKSPSYCNNATISSVVCNSSISSNDSFNKWHCRLGHANPNAVKSILNLCKIPFQNKHVLDFCVACSVGKSHKLHAPLSNTTYTKPFEVVHCDLWGPSPFTSHYGYNYYISFVDTFTKYTWIYFLKNKSDAIQAFKLFYQLIHNQFQTSLKALQSDWGGEFRPFTNLLNSLGIIHRLTCPHTSHQNGTVERKHRQIVEMGLTLLSHASIPLKFWDHSFTQAVSLINKLPSSAIPQFYSPHHALFLTILISKCLAVSASLILDLLISTNFNSGLVHVSI >RHN74881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37432522:37439004:1 gene:gene11013 transcript:rna11013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MNTSSQFLFLSLISIWFISLTAFAATTIHPDEKKALEVIAKSLGKKDWNFDIDPCSNKPNWVTPTIPNVVENNVICNCSVAGDNFCHVVEINLKGQNLQGTLPSELNRLRYLQVIDLARNNLKGPIPKEWGSLTNIYKFALLGNQLTGSIPVEIANLSALQILELFNNQFSGNLPPGLGHLTQIQILRLSSNKFTGELPATFAKLTTLQEFRIEDNQFSGKIPNFIQNWTSINKLMIQGSGLSGPIPSGISLLTNLTDLRISDLNGSEYSHLPQLNNMKSLNRLILRNCNINGTLPENLRTITTLKHLDLGFNNLSGTIPRTYADMNGLKYIFLTGNLLTGPVPSWRKNVSVDLSYNNFSISQGSQICKDENVNLFSPSWGRNDTGTVSCLSLKCPKPANSLYINCGGKQAIVDGKSYDGDSDLPGPARFHANPTGNWAYSTTGVFVESNQLGDTYSPKNITKLTMVDAELYTNARASPTSLTYYGFCLANGSYIVNLHFAEIMIPDDQTYGSLGRRVFDIYLQGKPVQKDFNIAKEAGGVGKKVIKQFKDIIVSSNTLEIRLYWAGKGTQSLPNKSIYGPLISAISVESSDSQPGSISADSSPDSITAGAVVGILVAATILILSF >RHN50089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3950886:3954989:1 gene:gene34342 transcript:rna34342 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYLSRLFPRCNSSSFLCSGKALQSEVLRLGKETFLVDAGPGTPKNCLRDELTGVPINRATRFENKVGFLDRAAGETHIRKKNLERLFIDLVAGEPLIKERAAARFNDMAGSTDVVAGEPLLLLPRRFRQDRAWMKLNKIWRTNTKVKGFIIDKVRGGYSVAIAGFIAYLPFRSHSKRQRRRISNDQFTIESINPKNKSIMVF >RHN66817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19293987:19296639:1 gene:gene14911 transcript:rna14911 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKSGISTFIARAALAIRGIISSPHSLPCAFCVVVVEDSKHLFFNCTPVKNVWRNVFDSLGLENTNHDDVWKHFLFGNLAKSHKGMKINI >RHN74018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23690381:23691418:-1 gene:gene9944 transcript:rna9944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MLSSSTMEKFPSCIFLLLLTLTTHNLIIPSLSLKCNPAEKEALLKIKKELGSPTKLSSWNPTTDCCSHKWEGVLCDSQTYRVNKLDLFDLNLPKHVQIPPSIFTNLPFINFLSIDNIPNLVGTIPPSISKLTKLEVLIISGTSISGEIPNSLSQIKTLTSILLTDNKLTGTIPATLSSLPDVFSIYFNGNQLTGTIPESYGSLPKSFMCFGLAENRLTGKIPASLANLNLVLVNLSGNALEGDASVLFGSKKRTVELSLDKNMLSFDIGKVGLPKNLTLLNLSKNKIYGALPKGLTKLKVLSDFNVSYNNLCGEIPIGGKLQNFNESSYAHNKCLCGSPLRHCKT >RHN78772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18188138:18188566:1 gene:gene2421 transcript:rna2421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MDDEVRKIFNKFDKNGDGRISVTELEQMLSSLGSKWTTDELKTMMEHIDKNGDGYIDLKEFADFHCNDDAAAAAGKDEELRDAFNLYDLDKDGVISPTELHIVLNKLGEKCSLGDCQRMISNVDADGDGKVNFDEFKKMMTR >RHN76276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49094981:49098921:-1 gene:gene12574 transcript:rna12574 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPSSNPLFHLYHPHNKSKMHHPIFLFASLSLLSLTILFFSLYKRFSKPHKHNQKTKLSDNSPSQPDPISPTHLSKSILFEVLPSDSAKWDTLFDDPDSVLEVPSEKKKRRKKKAKKKKEMNSESEECVKAGFDSGVKLESDCMYPFTSSSSVLQRKIKLQYDELVKSNESKKLTLPQVVRFANSLIDARNELQHKADVIQRKFVITKALLCKADRSSFDRLHQQIYKLELEQKRLEEDAFVYNSLQYQLKLSPAYKKMLELGACTEKAKQCEQVDNIDDEFADISFEELLAQEKKDSFWQKNGKSRVCSS >RHN54458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9771963:9781876:-1 gene:gene29507 transcript:rna29507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA mismatch repair protein, MutL MATVRPLPESVRSSIRSAFSLFDFTRIVEELVYNSLDARATKVSVFVDIGSCYLKVVDDGGGITRDGLELVGERYATSKFLNLADLNATSENFGFRGEALASISEVSLLEIVTRAYGRPNGYRKVLKGCKCIYLGIDDDRKEVGTTVIVSEIFYNQPVRRKQIQSSPNKVLQSIKKCVMRLALVCPNISFKVVDIEREYELFCSHSAASPLSLVTTGFGVEVTNSLHELEVENDIIKLTGYISGPCNTLDMKALQYLYINSQFVCKGPVHKLLSQLAIRFEHRNSWSADNESQNKKRGRFQPCPAYILNLRCPRSLYVLSFEPSKTYVEFKDWAPILNFIEKVIKQFWEGSIACGDSSNKASYMVQEDQREKVDATISAEADISKFGSQNRKDCLDLFFSTSDKLNEDDNHQCYREDVITSIDYLYRGTKILKEKQKKGGHLCQTGYSGNLLDVSYAKSMSTIMKKSNSFLTYDNNDLWQGVHFLDGMCPAAESFYDNIPSYARSSSRGRKLNEEDAGMICESFEGYECHGFGYDEEIGWNFQKPFLKSCSTQKDSILNEKTLLVNDELQLQTDSFWSKQNLGEDFCSGSKDLYTRPCVEVAKKLKMSEDSDFLVKAWPEENCLPLDSWYSATQIGNSGSDDRLSNSEWHHVYQESSSRATALSVYHTNDINDLAGSSRCNKRIPRTPIFDDKENGNIFSYDLGGASRCSTRITHIFDDEENGYNFSYDMSRNANQDPCTSSFANSGFSFDGAVDCKEIFNRLVDWPDFHDTHFTKRSDILIEEPDCLLPESCVIKCNRPNIIKGDRDLFRHPTLEKTRVRSKRSFSAPPFHRSRRRFFSLNQPPQMVAKRPSGLASDPASSLLEASDFKYSQHSPDALSPNNEDLLDNLKTNVKRSSEVLGATQVNDTAETEGFESFNVQQNDPFRELISKEVQDSVDYGTKWRSCAPQTPKNDKIDIQSQNNILDISSGFLHLAGDSLIPDTISKKCLEDAKVLHQVDKKFIPIMAGRTLAVIDQHAGDERIRLEDLRQKVLSGEAKAITYLDAEQELVLPEIGYQLLHSYREQIKDWGWICNIHTQNSESFRRNLDLLNRQQMTIALVAVPCILGVNLNDVDLLEFLQQLADTDGSSTMPPSVVRLLNSKSCRGAIMFGDSLLPSECSLLVEELKHTSLCFQCAHGRPTTVPLVNLEALRNQIDKLGLMNKSSSNKWHGLQRHEVSIDRAVQRLSSANS >RHN73971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22656723:22657165:-1 gene:gene9882 transcript:rna9882 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYSFVLVLFLAIISLTNGRNYSPPPTARQHTQKPPVFKPPIRKPITVPSAPHIHPPQRSRPPPMM >RHN47957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43820798:43821887:-1 gene:gene42615 transcript:rna42615 gene_biotype:protein_coding transcript_biotype:protein_coding MKITSCRVFAVILTLILIHFLLFSCCCVHHEPMFSRKTRLTMSRELLSSSFASFATSGINGKISGSKKQNRKVVEPSMRIAPPSIPNPTQNK >RHN67772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30128174:30129011:1 gene:gene16002 transcript:rna16002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQILILFYVMTIFIYLFLVSTNVDAGIRCRNVYDCPKATYCRAGSHRVQCIKHQCKCVRIFESIDPA >RHN78173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12456414:12458802:1 gene:gene1698 transcript:rna1698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cold-regulated 413 protein MRIDFEDEAAAQLITSDLTDLALAANNFILLGVSGSGISVLQLIASIAAIYLLILDRTNWKTNIFTSLLIPYIFLSLPSWIFSIFRAEIGRWIALIAVVLRLFFPRHFPDWLELPAALILLIVVAPDLFANTFRSDAVGGVVVCLIIACYLLQEHIRASGGFRDSFTKAYGMSNTIGIILLLIYPIWTLVLYITT >RHN43873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49113316:49125912:-1 gene:gene50507 transcript:rna50507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative procollagen-lysine 5-dioxygenase MSRLMLNPVKNHKPEKYEDLGLDISSSLLFSSLEMHLPRTLLRAPRDQKASYMQEILHEYAPPALIYRDQLQKEYREKIMSSYQPLHQELYTMDPAAFFVPTFLEAINDNTEQSFRSIMSEPVPGIFTFEMLQPHFCELLISEAEHFEKWAYKAKLCVMRPNTMNKYGVVLDDFGFQTMLNKFIEDFISPLSKVFYAEAGGATLDSHHGFIVECGLHRDVETEFHVDDSEVTLNVCLGRQFSGGELYFRGLRCDKHLHTISHSEEIFDYSHVPGCAVLHHGRHRHGARATFAGHRFNLLLWCRSSFYREMQKFKRDFSGWCVECNQEKKDKKDRMLSAYDTTGMEILREGGTTA >RHN64927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63064533:63067966:-1 gene:gene27808 transcript:rna27808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-B-type family MAVENPLEVENVFREDFPVGMRVLAVDDDQTCLKLLEKFLLMCKYNVTTTTKPVEALELLREKRDMFDLVISDVSMPEMDGFKLLEQVGLEIDLPFIMLSGNDDRERVMKGVMKGACDYLVKPIRLEELKNIWQHVVRKKIESKDRNKGIITDGICSQDTSSENIANRNKTRGQKIKEQSEDEEEEEEEAEEDNDEERSTRKKPRLVWDDELHKKFVSIVSQLGLDKAYPKKICDLMNVEGLTRENVASHLQKYKLSLKRPSKQARVDAALDPHLQKNSVAGYGDFCTLPGSRRILSSTLPTYASSNMFCRLNAPSGLNLRGMSSSALVPPLQSQNIPSYKQPLFFASESSSALKGVRTSVEINQFQQNIYPPGNMKLSPIDDSSAFTDSSGFQDIRANVNNANSFLSCISSNHLQTHNSRAFINHPSVGGPAMEQKSFNPATSGSYNFASNPSPLSEDFNNDQISHNSLKFASLSSQFKKNPDDFSSIRVIDVPLEETMQCQDGLLGSVVTASCYTHQQNVGSSFNNTLDSSNADTSSMVHSVPQTISPLITQMPVVEKFYSDERTMESNGDCFFEQLLDVDGFVQNSSLPWMTQ >RHN67180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24656612:24662727:-1 gene:gene15336 transcript:rna15336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CDK7 family MAEVNPCKKLADRYLKREVLGEGTYGIVFKAIDTQTGQMVAIKKIRKRIDEPLDGVNFTALREIKLLKELKHPNIVDLIDVFQYKQNLHLVFEFMETDLEAVIRDRNIFLSPADIKSYLLMILKGLAYCHKKWVLHRDVKPNNLLIGQNGQLKLADFGLSQIFGDPDHEFPDQAFARWYRAPELLFGAKKYGSGVDVWATACVFAELLLRRPFLEGSTDTHQARKIFTAFGTPSPSQWPDMMYLKYYVQEKYIAAPPLRSLFPMASDDALDLLSKMFTYDPNARISVQKALEHRYFSSVPLPTDPEKLPRPVPKRESRVSDLNSFQLSPSRKIRRVMPRCDGFEGSTLERNKIDDNVGNFKHTVGDNTGTNEPTPNSLNFSVFGLKPPNRPTITSADRSHLKRKLDLDFQ >RHN71213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57269647:57274626:1 gene:gene19866 transcript:rna19866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MQAVSSGIGYGLKYQARCISDVKADTDHTSFLAGTLSLKEENEVHLIRLSANGTELFCEGLFSHPNEIWDLVSCPFDQRIFSTVYSNGETYGAAIWQIPELYGELNSPQLEKITSLETQSGKIKCILWWPTGRHDKLISIDDENLCLWSLDVSKKTAQVQSQDSAGMMHKLSGGAWDPHDMNSVAATSESSLQFWDVRTMKKTLSIECSHVRSVDYHPRKNNILVTAEHESGIRIWDQRKPKVPIQELPGHTHWTWIVKCNPEYDGMILSAGTDSTVNLWSASINHDELPTESQAESSVSLVDPLLNTYSDYEDSIYGLTWSSRQPWIFASLSYDGRVVLESMKPFISKK >RHN53721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3568459:3573345:-1 gene:gene28659 transcript:rna28659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock chaperonin-binding, tetratricopeptide-like helical domain-containing protein MADEAKAKGNAAFSSGDFSTAIRHFSEAIDLSPTNHVLYSNRSAAYASLQNYTDALTDAKKTVELKPDWSKGYSRLGAAHLGLSQYDDAVSAYKKGLEIDPNNEPLKSGLADAQKAAAASFSRPRSAPGANPFGDAFSGPEMWAKLTADPTTRVYLQQPDFVKMMQDIQKDPNNLNLYLKDQRVMQAFGVLLNVKIGRGGDDVDMPDMPSSSERKRAAEPEVTKQPEVEPEPEPEPMEVADEEKEVKQRKADAQKEKEAGNAAYKKKDFDTAIQHYSKALELDDEDVSFLTNRAAVYLEMGKYEDCIKDCDKAVERGRELRADYKMIARALTRKGTAMGKTAKCSKDYEPVIETYQKALTEHRNPDTLKKLNEAEKAKKELEQQEYFDPNLADEEREKGNEYFKQQKYPEAIKHYTESIKRNPQNPKAYSNRAACYTKLGAMPEGLKDAEKCIELDPTFTKGYTRKGAVQFFMKEYEKALETYQEGLKHDANNQELLEGVRSCVKQINRTSRGDVTPEELKERQAKAMSDPEIQNILQDPVMRQVLVDFQENPKAAQEHTKNPGVMDKIQKLISAGIVQMK >RHN41437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30010180:30011844:1 gene:gene47755 transcript:rna47755 gene_biotype:protein_coding transcript_biotype:protein_coding MILIKNTSMACILLVLLCASWLSNARLHPQGGVVEVNGISSTKANVTTAIDGKNESVNSPSRSSFHGKRSLRTHLSDDSGFVAFTTDYHPPRHHPPKNNK >RHN55453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18530964:18534127:1 gene:gene30634 transcript:rna30634 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLGLNTQKPYLLFLLVVAMGLFSFSVQSMRFDLPNGKGKARCFSEDMKKNTMVVGNYSIVNPNEGHPLPDNHTITVQVATHGSMAKYHLAERVQAGQFAFTAYQSGDYVICFVDKTEDPHVSLSIDFEWKTGMAAIDRHSIAKKTNVDNMTQEVKILLESALSIKEEMSYLLERNTELVELSWITENRMLLMIFVSFFVCFSVAGLQIWHLKTFFQKNKLI >RHN52106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31592086:31594374:1 gene:gene36729 transcript:rna36729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKRNLNELVTTILTKINHLNQLKQLQSHLTTLGHSQTHFYAFKLIRFCSLNLSNLHYAHQIFNHIHSPNIYLFTAIITAFSSQQHTTFKLFKTMLNSNIRPNNFIYPHVLKSVKERFLVDLVHAQIVKCGFLNYPVVETSLVDSYSKVLGGLRDAHKVFDEMSERNIVVFTVLVSGYLRVGDVEKGLMVFDEMVDRDVPAWNAVISGCTQNGFFSEGIRLFREMVFAAGLGEGGFCKGNKPNQVTVVCVLSACGHGGMLQLGKWIHGYVYRHGFVVDSFVSNALVDMYGKCGSLELARKVFEMDQRKGLTSWNSMINCYALHGKCEDAITFFEKMVECGGGVRPDEVTFIGLLNACTHGGLVEQGCGYFEMMIKEYGIEPQIAHYGCLIDLLGRAGQFDEAMDVVKGMSMEPDEVVWGSLLNGCKVHGRTDLAEFAAKKLVEIDPHNGGYGTMLANIYGQLGKWDEMRNVWSKLKQQKSYKIPGCSWIEVDDKVHQFFSLDQSNPKTEELYNILESLFGNGSEVVVEM >RHN39916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11674841:11677488:1 gene:gene46000 transcript:rna46000 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRVHLLVLLLLLFSLRCKSLGWFSSSKESHSSERSYDNKGSFRGASAEFSIEAFNDPKGMKLIENAKNKMVGSNTCWQNAYQHLFAGCSEILAADEKRSRLAWHLSDCFQRDSGRDSFPHCDSKTSIAKCLRNLDDLAHKVYLEFYLETNSICYQLQTHAFKHETERLVTELKSSAQYVEDKLDSIEEKSDDLLQGSKKISDSLESVNSHTQLVAQTVKNVETHIDVVLRHSESVYEQTTKIAASQSQLEEGQEDMKRKLEDGVALLKESYSYLGKEIEKLRDEAIEIENEVIKVGDAMSSKMNTLQSKAEDIGNMAGISLGKQQELLDGQSTALEGLNSLNEVQFKALEESRKSLQYFAEYGHKQQEELLRRQEQMQGIHDRLMENLKTILSTQETFEAKQATMFVALDKIFALQNAMLLESRLIKAFFMYAISIFVIFMLTSTKQTYNVRPLLYIELCVALFVEVFIIRLTNDDIEQQTWIINNVRLFFMAAASVQLMYTIFTYRDYERLNHHMLLTLVNEINNIQKLKETTWDSETTDYVDWSRLLDTDLPDDVNCLDDPDFVVPEEVAENSITSSISKNYNLRSRNRLR >RHN48222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45909603:45909931:1 gene:gene42912 transcript:rna42912 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARKTSSEQTLVQKSRSAHVMTVIFLDIRCRFQVESASVLELF >RHN53801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4238425:4240306:1 gene:gene28749 transcript:rna28749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ASX domain-containing protein MNLLILFWRRDKLERVQILGNHDSPLCLVDLNDVVNYEEFTRNLSYEEQQQLLKFLPVVDTAKLPDGLKVMFSSSQFKENLTNFQQLLAKGAFDISLPEVKPEDCETLKRYALNELSKSKWVEHYHNKRCKSRAEKSDVPGSSGIASTNVANVKRMRDSRNQNFPEMKTIMRSPKRIITKISYNPKSLFPLPPDASSHLLDSFNFVEESSDQDLLLEVPSNNSFPQAELLHPTSIFGAQASTSSSSAYSHFVNH >RHN79961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33899342:33908956:1 gene:gene3825 transcript:rna3825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEFSMESAELHPLCHDQPSSSQNSNTSGRNVFSLLAQREISPRNKHVRKCHWGESSESKSGCSRSGTVVDAKCGLLSWVEAESLQHLSAKYCPLLPAPRSTIAASFSPDGKILASTHGDHTVKIIDCETGNCLKVLVGHRRTPWVVRFHPLQRQILASGSLDQEVRLWDASSSEWILSHYFYRPIASLAFHANGEIIAVASGHKLYMWHYKNARELSSPVFVLKTRRSLRAVHFHPHGAPYLLTAQVNDLNSSDCSMTEATSHGHLEYPPPAVFVTNVHPRTQINMSSEPPVSRVELQHASNDVGLSSMQVEQYGTRLVNSEIPTSSQTGAEYNAHTTLPNQMRIGINNLTMGGREAYETEPAEASQHENADHVVKRVELSEHGQFPQIVPSPDSNGWELPFLQGWLVGQSQVGVPSMLPQQIGSFTMPSNLFTTNVELAVPPSGMPNGISIPAVLQSGLQNQFSPSRLPVTDFGNLVPSINLPHDGFDSQTIINRIQSELAKSVATAAATELPCTVNLKVWSYDLKNPCAPLQRCRLTIPHVVLCSEMGAHFSPCGRFLAACVACMHPHMEADPGLQTLVHQEPGLPTSPTRHPISAHQVMYELRIYSLEEATFGSVLVSRPIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLKSIVLDGEKTSSIYTVLEVYRVSDMELVRVLSSAEDEVNVACFHPFPGGGLVYGTKEGKLSVFQFDRTCNVNGTGSGYFPEENIIGVNQ >RHN43219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44118190:44125124:-1 gene:gene49759 transcript:rna49759 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLCFVLDLRTLAPPLLGDLKQSLLQLANFYAISNSSLSSRKSDTLSDKIGLCYVVKNRLSSSDELMIAYRPVGNFNLRDFHHAVNNLPSDAFLPDIDTVSGADVMISDVLSERVLYSWQGKDIERKVIVITSILPEDVNFVMQKSLTDAADRCVSVDFAVFQPKSSHLIDSRENINNFRRSISHLDNCSVQTYITDFRSFNGLVKRWLQVLKDDMDKPLLARLIFKDDLLDSVNHIFCNLLPPVNPITNSISQCQTCRCHGIPLDDAGKKLNMFSCSVTGSNLETCDVNENSVCLGGKTILFLPSFHNSLKLLPIYSPINVTVTERINLASLDEGLIMGASFVVTPSPYHVIETNSDDTDQSDMNAQLFQGLSSILHSMDQGLICSSNCDLETMTEAPYHCYYILQPSDNGPMLMRRIAGAEEVKQSPDYPLIDPSVNKDVENSVQACLLKIDLTDYDPLLHERGFHQKLNVLVKESLKLGSVFPKMDGAFSELSSTRQPSSEVIGRAESATNVIGVDEGTLSMDITDQDDRTMAYITEEWKQLVVSEEPKLYSPSCIPKAKLDQSSISPRNGIRQLDKETSRILERLEVPRPSKGKKASPVSNESCMKNIAVPTKKPLIPFLPTQNTEQVIVGSQLMKPNFQRQKRKQR >RHN61243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34099756:34112951:-1 gene:gene23684 transcript:rna23684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDIDFKEYQLRCELHGHEDDVRGITICGGDNGGIATSSRDKTIRLWTRDNRKFVLDKVLVGHTSFVGPIAWIPPNPDLPHGGVVSGGMDTLVLVWDLNSGEKVQSLKGHQLQVTGIVVDDGDLVSSSMDCTLRRWRNGQCIETWEAHKGPIQAVIKLPTGELVTGSSDTTLKTWKGKTCLHTFQGHADTVRGLAVMSDLGILSASHDGSLRLWAVSGEGLMEMVGHTAIVYSVDSHASGLIVSGSEDRFAKIWKDGVCVQSIEHPGCVWDTKFMENGDIVTACSDGIARIWTVNQDYFADQLELDLYTSQLSQYKSSRKRVGGLKLEELPGLDTLKIPGTSDGQTKVVREGDNGVAYAWNMAEQKWDKIGEVVDGPEESNRPLFDGVQYDYVFDVDIGDGMPIRKLPYNRSDNAYDAADKWLLKEGLPLSFREQVVQFILQNSGQKDITFDASFRDPYTGSNAYVPGQPSRTSDISAKPTFKHIPKKGMLVFDTAQFDGILKKIAEFNNALLSDQGNQKLSLTELDVARLDAIVKTLKDTSYYHSSKFAESEIALLLNMLSSWPTTMIFPVIDITRMVVLHPDGAIALQKHVESEKDILMEVIKKVTINPTIPANLLTSIRAVSNLFKNSCFYNWLQKHRSEILDAFSSCSSSTNKNLQLSYSTLILNYAVLLIESKDQEGQSHVLSAALEIVEDENVAPDSKFRALVAVGSLMLEGLVKKIALDFDVLSIAKAAKGSKDSKIAEVGSDIELVSKQS >RHN74120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28580497:28582606:1 gene:gene10110 transcript:rna10110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MEIVSDSSSPSKTKDIDGLLANVGYKVRSSELHQVAQNLERLESAIVNSSSDISQFASDTVHYDPSDIGNWVDNLLSEFDHTASLPYDFSQLPDLSAPPPQHHHHQSSSTVNNVEEDSAIKLVHMLMTCADSVQRGNLSLAGSLIEGMQGLLANMNTNSGIGKVAGYFIDALNRRIFGQNNVSHQVSLYENDVLYHHYYEACPYLKFAHFTANQAILEAFNGHDCVHVIDFNLMHGLQWPALIQALALRPGGPPFLRLTGIGPPSPDDRDNLREIGLRLAELARSVNVRFAFRGVAAWRLEDVKPWMLQVSSKEAVAVNSIFQLHRLLGSESDSNYHSGIEMVLGWIRSLNPKIVTVVEQEANHNENGFMERFTEALHYYSTVFDSLEACPVEPDKAMAEMYLQREICNVVCCEGPARVERHEPLVKWKERLGKAGFRPLHLGSNAFKQASMLLTLFSAEGYCVEENQGSLTLGWHSRPLIAASAWQAVPLLDAETLRFDC >RHN57697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41112634:41118171:-1 gene:gene33278 transcript:rna33278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Mg2+ transporter protein, CorA-like/Zinc transport protein ZntB MVVEALVPLLEYDRQGTNEDYSASFGNEAKEQGNHKVHCSTKDNLQTREFWTDGLICAFEFIRGSRKTHPSAAVKEVAQKKGFQGNQINHLKRSPSRSGFHELPLPVDESSGGLDLDDFDSNNCFGKEGLPRSYWKPIGWARVSELVQAVHSDASWASHPHDFADDESDLPVADVATPYWERPVGPIWWCHLDASHPYVTTWLASSQWLHPAISIALHDESRLISDRMKHLFYEVPVRVAGGLLFELLGQSAGDPFIEEDDIPIVLRAWQAQNFMVTVLHVKGSASNINVLGILEVQELLAGGGANIPRSIHEVVAHLACRLARWDDRLFRKHIFGAADEIELMFMNRRNHEDLHLLTIILNQEIRRLSTQVIRVKWSLHAREEIVFELLQQLRGNVTRSLLSGVMKGTRQMIDEQEAVRGRLFTIQDVMQSAVRAWLQDRSLTVTHNLGVFGGCGLVLSIVTGLFGINVDGIPGSEATPYAFVLFSVVLVVLGAVLIGIGLLYLGLKKPIIEENVAGRKLELQELVKMFQHEAETHAQVRKTVPHKDVPQAASVRPPNGASRRFMFSKLFNR >RHN60940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31710953:31711417:-1 gene:gene23339 transcript:rna23339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived protein MGYYLSDGIYPEWATFVKSIPMPQGEKRKLFAQHQEGARKDIERAFGVLQSRFAIIRNPARSWHLDSLKRIMDTCIILHNMIVEDERATYGGNFDYSYDHLGNDPIAPSDNSNIDFREFLRRRHHVQDKQIHRHLQQDLIEHIWERFGHENNRN >RHN65965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7113697:7116940:-1 gene:gene13873 transcript:rna13873 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TF80 MDSGSPYHWLRELRYDSHGSNPMIPLIECAKCVASGSIKTADIGLEYISQISSPHGNGVQRMVTYFSEALGYKIVKHLPGVYKALNSSKISLSSDDILVQKYFYDLCPFLKFSYLITNQAIIESMEREKVVHIIDLHCSEPAQWINLIQTLKKRPGGPPFLKITGINEKKEALEQMSFHLTTEAGILDFPLQFNPIISKLEDVDFENLPVKTGDAVAISSVLQLHSLLATDDEMVSSSGAASFNMQRAAHLGQRTFAEWLERDMINAYILSPDSALSPLFLGASPKMGIFLNAMRKLQPKLLVITEQESNLNGCNLTERIDRALYFYGSLFDCLESTVTRTSVERQKLESMLLGEQIKNIITCEGVDRKERHEKLEQWIQRLKMAGFVKVPLSYNGRIEATNLLQRYSHKYKFKEENDCLLVCWSDRPLFSVSAWKFR >RHN70992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55677920:55682453:-1 gene:gene19632 transcript:rna19632 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEDFEALPSSPVIERKLKRLKKASRVSENPLPFSSPIKFSGSEQNSGLEVSIRGSVPEVESLGGENVTDTVEENDLGAKRVLEFESMDDELDARVPEKTIEESGDKNTVELEIKQPSVEEVSEKKEEISEKKGNKNKKSKKKGSDDDNGSEKKLKESISNKRKAEKERRENLKQLRAESQRLLRETRDAAFKPVPLVQKPISSILDKIRKRKLEFLKKSNVSFHDNDGFHVDIDSDPRPSEEITNKIENAESEETPATRPAENDLSTLHIDGSTDAADHSSRESIPSPMDVVSETIHAFRAPVDDTQDLFSDSEINDIKEEALNGKLNKPSEEVFAPSMLSMNLKLDSAPVDDDMSSDDEDNDKENTVPHLHGSADLSLPASGDPVKAFVDEEAEEEDDSDNDLQRFQDNEEGDNDDDIEELNDMIATGYEEAPIDREKRDQLHQQWLEQQDTTGMDNLLQKLNCGSRLKDSDDEVDEEDEESKETENESDDEVEDFAAPSDAVRINLKKAKQMIPQMFTDKDDAYVSSDEETEERLAKQSLSYRVEKNAQFFSPAEDESSRGVFNLIKKLNVVPDTRIKGRIPSIFDMPHIGQNITKSSKSSFIGRASNQFMPSSQKHGSSKVRSSYIFGRDDSNSRPSVLMSEDSSDTIIRESQAPKVVSTKFQRNTQNKYATSTSKSQESNVSLMEVLSRSSNRTKRCVKNVGVQQNESIFEAFKLTKKSIKTGERV >RHN73863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20798234:20800422:1 gene:gene9747 transcript:rna9747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGEEENHTTQRQRHLSPTGDSLLHRQPFLPDELVFEILSRLPVKTLLQYKCVCKSWKALISDPQFAQTHLWNLIVDPTINHQRFFYSPESKPCKIASIPVKPLFQNLPEPPKEIEFSMEHKYRILGSCNGLLCLFSVKEGYVTLLNPSIEWKSKKSPTLDCYDDHKWITYDGFGYDHVHDKYKLLAVVANEFGGKLTQIHTFGENSWTTIPNFPFPVGSVSCSGSFVSGTLNWVDYLSGPLNWVDILSGPLNWVDNRCSSNRDVILSFDLSNETYKEVLLPEPDGVNVRSNRVLGVLSNCLCVCFDSNNTHWDFWLMKKYGVAESWTRLMMIPLDKILQCLQFRPSFIQPLFMSENSSVLLRTYTKFFLYNLNNGRLDCLPGSYVFDPHIYHESLVVMCLILIFITRVSYHQNFEYTFEEWSLQEWILFRFYFRSVLAALNQLGYTSIRLEIIMNIAIYRLE >RHN50579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8235517:8235731:1 gene:gene34882 transcript:rna34882 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNMMHQVNISICQVTLAMRKNYHQVNIHMPSNISYEKKSPK >RHN71995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4264601:4266709:1 gene:gene7662 transcript:rna7662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MMPLQYGAKGSKILVTTRSDKVASMVQTFQGYSLEKLSDEDCWSVFAIHACLSPEQSTEKTDLQKTGREIVRKCKGLPLAAKSLGGLLRSTHDISDWNNLLHSNIWETQKELILLWMAEDLLQPPKTGKTLEAVGNDHFNDLVSISFFQRSWSGSLCFVMHDLVHDLATFTSGEFYFQSEDLGRETEIIGAKTRHLSFAEFTDPALENFEFFGRPIFLRTFFPIIYNDYFYNENIAHIILLNLKYLRVLSFNCFTLLHTLPDSIGELIHLRYLDLSSSGVETLPDSLCNLYNLQTLKLCYCEQLTKLPRDMQNLVNLRHFDFKETYLEEMPREMSRLNHLQHLSYFVVGKHEDKGIKELGTL >RHN45593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23426584:23431257:-1 gene:gene39969 transcript:rna39969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MAGLSNRTRSKNVPLFGSISHDVSFYSKPKRRKSDEDDKGMNYVSGRTRSKNVPLFGSLSVGVSCSKKRKGNFVEKKCGLDEDNPISICSDEYSESDEEHSVGFGGDNEEEEEKEGDDVKEGVKKDESKGSGGNGDIDVKDGSKCGLEEDNPITIDTDEDGESDEEEEHSVGSDSDNAAAADDDDVNEGVKSDESGGIDEFADKDSDESEDGDSSDEDFKVEELNEISDNDDDSSSDSPYVDEKKEKKKDYRKDLNMVEKLIREVMDRQCGISEKNNENSPSSTSTDELEHADHASVSSSTYVNGVSEALKAKSVDVEVKNISDESVNVEANAKSKEQKKESDKQGEENEVLWDELDAALRESEVVSKNEMSEGKKAKSVNVAKVEFKSKVKYNAKPNNSVNVADCDKGKEHYVKGLDVGGVSLNQTKESDKEKMMENKGRDYKGIANIPIVKKKKESIDNNGLNQSFKSSLFMPKELGLLELLGKCLWDDKNTVKDDSIVLEMKDIGVDLQDMQKPPTCVKTPHERIWSLKKVEKVLKTKEQEEQEPLWDELDTSLREEDAVSKIGNFRTNEATQETNGNPSSRCEHDTLLDEQIGIYCKLCGVVITEIKDISQLVYERASNEGSGRRVSFDDSVNPSLFDGSQFNVSDGDSDDNYFHKEGTVWDLIPGVKQKLYPHQQEGFEFIWKNLAGNTNLRKLKKAVPCREGGCIISHAPGTGKTRLTVVFLMAYLKVFPKCLPVIVAPASLLHTWEEEFKKWDIPFHNLNNPELSGKVHDDVVNLLNWSNSQHSMDTMRMVKLISWYKEKSILGISYSLYMKLAGGGGESDDERGEDEKKKKQSSVAKRKEIMGNVLRQIPGLLVLDEGHTPRNKKSGIWQVLSEVQTRKRIILSGTPFQNNFMELYNTLSLVKPSFPNTMPHELKMFCQKKDHKKASKEWIWEPVPEEKIKQLKLLMDPFVHVHKGAILQKMLPGLRKCVLRLMPDSFHKKILEGIQSSKNTLSFDFKETRASVHPSLLLERDLLEEEESVLDKDRLEKLRLNPYAGVKTKFLVEFVRLCAALNEKVLVFSQFLSPLRLIIEQLLNSSLKWTMEKEVLFIYGEVKDRKSLIDTFNDENSQAKILLATTKTCSEGISLVGASRVVLLDVVWNPSVEKQAISRAYRIGQKKVVYTYHLLTEGTRECDKFRKQAKKDRLSELVFSAKNDDNNEELRSCAANIEDRILDEMIRHEKLKDMFFECLVQPKEREFESWY >RHN56869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34379465:34381328:-1 gene:gene32333 transcript:rna32333 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDFEDEDEEASSESEVKGSSPVGGRLKLKKDMEVINLDNDQCISRGVHEKKSFSGIAVKNELPNSSSSIAVQQKPSLQMLLTQSKENILSPTVKPPLLRPPMIYCISIFLL >RHN72290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6512103:6517482:1 gene:gene7984 transcript:rna7984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lupus La protein METGEHVLLPATATAPTAGGDSTASSPPLGDSVIIMTPDPAIDVDDVAEPEIHALISDEEHDHEREIEIDHDFDHENEHELDQRDDGQEPDHVAETVVSLDDLKLKIIKQVEYYFSDENLPTDKYMLSLVKRNKEGFVPIPAIASFRKMKRLTRDHLFIAAALKESSLLVVSGDGKRVKRLNPLRFNEVKDHKLYTVLAENLPEDHSRENIRQIFQIAGNIKKLTINDPRSTAASAKHIKQDKFIGSKLHALVEYETIEGAEKAVAMLNNEEDWRNGMRVKFLSRMAVKYVQKKQPLKGSNSEKNGSSHVSEKTGDEENHGSHEHREDMPVVEDGEHVSKDKGGQRNSKQGRSRKQKYRATNGMGHGGTSSIRTTEPSKPPPGPKMPDGTRGFAIGRGRPPVPASN >RHN58985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6400668:6403139:-1 gene:gene20963 transcript:rna20963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine-nucleoside phosphorylase MREILHIQGGQCGNQIGAKFWEVVCAEHGIDPTGRYVGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENSDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLVPFPRLHFFMLGFAPLTSRGSQQYRALSVPEITQQMWDSKNMMCAADPRHGRYLTASAIFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEEDEYEDEEEDYQQEHDEA >RHN57046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36059334:36063999:-1 gene:gene32536 transcript:rna32536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PRONE domain-containing protein MEALCNKSENFQKRKNGSCSSTGSCCSDLTEQAKTARGSSSFPIRKATMSKCSKSDEKENEPVSQVDDKKVTSISSKISGSGGDMMKERFAKLLLGEDMSGSGKGVSTALAISNAITNLCGTVFGQLWRLEPVPCEKKEKWQREMDWLLCVGDHIVELMPSWQTYPDGSKQEVMTCRPRSDIFINLPALRKLDNMLLEILDSCTAMEFWYVDQGIVAPDADGSASFRKRNQRQEEKWWLPVPRVPPAGLSENSRKKLNHTRESASQILKAAMSINSIALDEMEVPESYLDTLPKNGRTCLGDFIYRYITSDQFSQECLLDCIDISNEHVALEIANRLEAAIYVWRRRSHSKPPLYPSRSTTKSSWDIVKDFMVDGDKRELLAERAESILISLKQRFPGLSQTTLDTSKIQCNKDVGKSILESYSRVLESMAFNIVARIDDLLYVDDLTKHSDRFPLAPMVNMVSQKKVSQQLPVSVSDTQHKAKFGTPSYSPVPLISPSKGEITPFIHNNNSNIIKPQRRGFGVKRVLSNYLGGEMKAAKIFSNSSHEVNSSNPSCKRTEQLECKNESCSMKSKTK >RHN52919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40344718:40348431:1 gene:gene37656 transcript:rna37656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA(Ile)-lysidine synthetase MARGLILLSSPTSLSANTLLSSFSKNPSTSFKLSPFSHLLHLQKPSSVSSPCCFTVCSSSSPSSQQCSIDMAKYRQVFSRRMDMAGIKPHHRIALGVSGGPDSIALCVLTAGWKTAGANSVGTDSSGFIDGLLAIIVDHGLRAESKDEANIVRNRVSQMGIRCEIANCDWPSGKPKQGHLQKAARDMRYQVFHDVCAKHQIGVLFIAHHADDQAELFILRLSRNSGVLGLAGTPFTSQIFPMHTHSYCEVPANGGVLLVRPLLEFSKEDMYKICRGGTEEWVEDPTNQNQLFTRNRIRRELNHLSSSFKSELQRVISACRKTRAYVDHVCHSLIHQAVVIKDLGYAVIDLQILCPSKIEDIYLLKFLSLVLQALLILHLNIFHLNLIFMCILLQS >RHN46976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36175796:36177043:-1 gene:gene41520 transcript:rna41520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDIQTAKKNRPPTVVVLPDDLIAEVLSYLPVKILLQFRCVNKYWKALIFDTVFIKLHLNRSASRDPKFTLVTYHADDMVDYVLDLGYSVVPYSIRRLIENPSFTHSVIDDDCYFLMENYHTIVGSCNGLICLSTFFGEDGYQFRLWNPATRTTKDFAVASRYDPEHHCRSNVKILSLHDNVWRDIESFPVAPLHLDDTELHDRRVNCGVYLSSTLNWLAIHNHLHYNSKNITVEQFVIISLDLGTETYNKYQLPRDFDEVPPEAPTVGVLGGSLCFSYSYKEPDFVIWRMMKFGVEDSWTQFFKISYQDLQIDHDYYMATQLVPLLPYEDGHTLIIKRQYEHAAILYNWRDNKVERTKIIRDSTYDYVCWYSTMDYTESLVSIL >RHN80478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38436605:38443610:1 gene:gene4411 transcript:rna4411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PH domain-containing protein MASSFYGLILLGFTFGVVAVVTVQAIAFLWIIKRLRHSHNHSSHTQVLATSSTDQLDHQQSLHFASQKQGVVWVLESGKISKEPKRKDELLEVSPIKMYGKIKDQSLILRESDNLQLHSTIELKGCTVQAVSASILSSKKWAKKFPIKVESKTSVIYKRSKILYVYLETSWEKEAWCKALYLASCDEKEKAKWFTQVHEDFHSYLTSLNSVYHCIMKPSVGLNVEVVEAIERAIKPDDPSSKVRQFLKKIAKKTSRVGVENKSTWTSLSGPEGKKSIENLYTSQDAVLRTDSKSSSKLPPLGSENLLSASSDGDVLKKFDIDEGTLCWNLLISRLFFDVKGSMQLKRSMQERIQRTLSNMRTPSYIGEVICTNINTGNVPPCIVGMRVLPMEMSEVWALEVDIEYSGGAVLEIETRLEARELQLHAGTDDSISESSNVRSVPSDLLEGFEYLGKQLNLEERENDCQEQKEEADRNNGASKSSTSTITSSNHGSRWKSILNSVSKQVSQVPLSFAIRVASLKGTLRLHIKPPPSDQLWFGFTSMPDIDFNLESSVGDRKITSGYFTSLVVNRLKSAILENLVLPNCENVCIPWMLAEKDDWVPPNVAPFIWINQENRNETSTSINTNNQSSSGAKARSEASASTSSYGPANKQQKPKSVESTQAPTSKSSDSLVLPVNSSGAGTLKSSNSLEDLTKPLLENDKPQQTRDLKESSLQNDNQLETSENNMENNSEFLSLRGSMVVTEKQNNTFEQEDGLPKKMGRKERMFDLRKKMGEKLEEKRRHLEEKSRHIVEKMRGP >RHN51144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14416733:14420173:-1 gene:gene35529 transcript:rna35529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MTTMSIMNPFCFKLIQIIFLMCLVFQADQLICSKEVVRCIQSERKALLQFKYGLVDEFGMLSSWTTEDCCEWYGIGCSNLTGHVLMLNLHGFFGSLRNLRYLDLSICNLGGQIPIQLESLSHLKYLDLSNNHLDGVIPHRLGDLSNLQFLDLNTNDLDGSIPSQLGNLSNLQFLDLSHNIGLEGRIPSQLGKLTNLQELYLEGGYVFRFLTVDNDEPSGGQWLSNLNSLTHLHMSSISNLNKSNSWLQMVGKLPKLRELSLRDCGLSDHFIHSLSQSKFNFSTSLSILDLSDNNFASSLIFHWVSNISANLVELDLIGNQMVDLPSNSISCSLPKMRKLRLSYNKFISFMIFQWVSNMSSNLIELDLSNNLLEVLPSYGYGIVMKSLQVLDLSNNKLKGVAFKSFMNLCALRSLDIEENNFTEDLQLIFHYLSSTCVRNSLQVLDLRSNGITGTIPDLSIFTSLQTLDLSYNKLSGKIPEGSRLPFQLEYLSVQSNTLEGEIPKSFWMNACKLKSLKMSNNSFSGELQVLIHHLSRCARYSLQELYLSSNKINGTLPDLSIFSFLEIFHISENKINGVISDFHFAGMSMLKELDLSDNSLALTFTENWVPPFQLRGIGLRSCKLGLTFPKWIQTQKHIHNLDISNAGISDNIPEWFWAKLSSQECYRINISNNNLKGPIPAFLQGSELIDLSKNKFSDSRPFLCANGIDAMLGQVDLSNNQLSGQIPNCWSNFKSLAYVDLSHNNFSGKIPTSMGSLVKLQALLLTNNSLIGEIPFSLMNCTKLVMLDLRENRLQGLIPYWIGIELKRLQVLSLQKNNFFGSLPFEICYIQNIKLFDVSLNNLSGRIPECIKNFTSMTQKGSAQGFADQRYNITHGLITYFRAYKLNAFLTWKGVEQEFNNNGLSLLKSIDLSSNHFSEEIPPEIANLIQLVSLNLSRNNLTGKIPSNIGKLTSLDFLDFSRNNLLCSIPSSLSQIHRLGVLDLSHNQLSGEIPRSTQLQSFNASSYEDNLDLCGAPLVKLCVDGEPSQEPKGKIQDDEDLLRNRGFYISLTFGFILGFWGVFGSILIKRSWRHAYFKFMNNLWDNIYVKCRR >RHN70532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52089240:52096344:1 gene:gene19120 transcript:rna19120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metallo-beta-lactamase, sterile alpha motif/pointed domain-containing protein MADSDHTQFDNNDNDDFEVPLTQTQSPILKPLSQSNNQNQRPSKKPKTNKTTSFPGKENIPPFEGYDIGNSSLDFVPSTLDSDSTVFSSPVSELKKSNKRDYFSNSLESRLVASRKNAFDLEVNLCDEFGSSVDCPLCGVDISNLTEEQRNLHTNDCLDKSGEDVAPPNDDVGAQFGPKNSPVVEWIRGLGLAKYEEVFVREEVDWDTLQWLTEEDLLNMGITALGPRKKIVHALSELRRGITLGTTSSSSSEKHEDAEVEPRRTRNQKSKMQHDKSERKVDGTVKPVANKLITEYFPGFATSGKKVSAPPVERNETNTSGSSDRKRKAKNISTSTKIRDIPKWCAIQGTPFRVDAFKYLRGDCSHWFLTHFHMDHYQGLTKSFNHGKIYCSSVTARLVNMNIGIPYDKLHILPLNQKVEIAGIGVTCLDANHCPGSIIILFEPPNGKAVLHTGDFRYSEEMANNPLLQTCPIHTLILDTTYCNPQYDFPKQEAVIQFVIDAIQAEAFNPRTLFLIGSYTIGKERLFLEVARSLHQKVYVTAAKLRLLKCLEFTEEDMQWFTSNEHESNIHVAPMWTLASFKRLKHISSQYASRFSLIVAFSPTGWTFGKGKKKSPGRRWQQGTIIRYEVPYSEHCSFTELKEFVNFVSPDNIIPSVNNDGPESADAMVSLMST >RHN39711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9837448:9838078:1 gene:gene45764 transcript:rna45764 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWFQVLSGMFSVLRIGIDNSWKEITRKEAHRIDNRWCFFVALPPHYSGGNDLYWMSIKEVIVFDVDKEITLRDYPLFHSPHGLFPKYLWMGNRLSCIEHGHEMEPFVCMGACGPGIDIMSVVFRFWINDQIIFRFSLHQDRIGNIFSGIKRIHFGYNVKTRQLTKIKDIDEGNIEVWLHTNNLVSLPSTPA >RHN54052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6539755:6540111:1 gene:gene29031 transcript:rna29031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MLSEVGRKGKGPYIENVENAVEFISNPPRSITKGRPKTKRSKGGIELSREARSCSFCNRSGHNVTTCPDKEGYVPSSTIKKRKNEAQATKFKSYFIFKILVKFFELYHEITTKKSGHS >RHN65880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6205888:6210676:-1 gene:gene13773 transcript:rna13773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAVTLPSLASFTCEWTYDVFLSFRGIDTRNNFTGNLYNSLNQRGIRTFFDDEEIQKGEEITPTLLQAIKESRIFIVVFSTNYASSTFCLTELVTILGCSKSQGRIFLPIFYDVDPSQIRNLTGTYAEAFAKHEMRFGDEEDKVQKWRDALRQAANMSGWHFKPGSESEYKFIGKIVEEVSIKSSCIPFHVANYPVGLESRMLEVTSLLGLGSDERTNMVGIYGIGGIGKSTTARAVHNLIADQFESVCFLAGIRERAINHGLAHLQETLLSEILGEKDIKVGDVYRGISIIKRRLQRKKVLLILDDVDKVEHLRALAGGHDWFGLGTKIIITTRDKHLLATHGIVKVYKVKELNNEKAFELFSWHAFKNKKIDPCYVDIAKRAVSYCHGLPLALEVIGSHLFGKSLDVWKSLLDKYERVLRKDIHETLKVSYDDLDEDEKGIFLDIACFFNSYKIGYVKEILYLHGFHADDGIQVLTDKSLIKIDANSCVRMHDLIQGMGREIVRQESTLEPGRRSRLWFSDDIVHVLEENKGTDTIEVIIANLCKDRKVKWCGKAFGQMKNLRILIIRNARFSRGPQILPNSLRVLDWSGHESSSLPSDFNPKNLVLLSLRESCLKRFKLLNVFETLIFLDFEDCKFLTEIPSLSRVPNLGSLCLDYCTNLFRIHDSVGFLDKLVLLSAKRCIQLQSLVPCMNLPSLETLDLTGCSRLESFPEVLGVMENIKDVYLDGTNLYQLPVTIGNLVGLKRLFLRSCQRMIQIPSYVLPKVEIVISHHRRAVRSSNYAEKVSPKVSTNAMCVYNEYGKSFLNVYSLNVSSNNVIEVCSPSWNQDGHKLKNIGYRLFCHPIHSGRVIMDKVRSNKSPVQFWFRKKFPRIALCCFCETGELLHNVVLDFKLNVLINGTKQLSTSCEYIYYTKRKMHQKLCCDLQCNKEGIFSENEWNHVEILCEIEHHMQCHSENAVDDRDWNTKRILKSSVIHVYSESNEDDFNLVENPDFPLSSEQKFQEMQRRLKRLQFIQLHFNFI >RHN81329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44910405:44911455:1 gene:gene5347 transcript:rna5347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain-containing protein MAFFPTNQNLFSVVLAIFITSFLLLATELVNSQKTCRVFLHSGILLISNPAYDKYGSGRALYSTPVPIWDKTTGNVASFVTSFTFQVSNFKNFYPGSGFIFFLTPTDKANIPPTSYGANLGVVDDNNAYNQFYKHIGINVGSLISLRTVKWNRVSGALVDVNISYDSLSKTLNVIVSYPDGTFSTIAQVIDLKAVLPDTVRIGFSGTSSSVGTNLTQVRQLQYIHSWSFKSNLATTKTTISDNIASF >RHN46654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33768481:33770580:-1 gene:gene41173 transcript:rna41173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MANEESTNSVVLFGKYEIGRLLGVGASAKVYHATNIETGKSVAVKVISKKKLINNGEFAANIEREISILRRLHHPNIIDLFEVLASKSKIYFVVEFAEAGELFEEVAKKEKLTEDHARRYFRQLISAVKHCHSRGVFHRDLKLDNLLLDENDNLKVTDFGLSAVKNQIRPDGLLHTVCGTPSYVAPEILAKKGYEGAKADVWSCGVVLFTVTAGYLPFNDYNVTVLYRKIYRGQFRFPKWMSCDLKNLLSRMLDTNPKTRISVDEILEDPWFSSGGYKLDRVLVKSQMEESRTGFKSLNAFDLISFSTGLDMSGMFEENVGLSLVERVVSAKLPERIVKRVEEVVGTKVVVKRLKNGGGARLEGQEGNLIALVVIYQLTEELVVVEMKKRGKGDEYNAQLWKNKLRPLLVELAEKQEVPVSR >RHN42505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38909620:38910230:-1 gene:gene48952 transcript:rna48952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zeta-carotene isomerase MFLVLISAGVHSGLASFRDTCEKLIGDRAYRVRFAGTSLPLYTFFLISCRKTLLSSMTCAHIHSLIP >RHN66900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21120980:21121916:-1 gene:gene15016 transcript:rna15016 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYVFPISICKELPELEALVISEADKLEEIFVCEEGDQKVNMPNLKVVTFVNLPSLYQTQEIHFQAVQNCFVQNCKKLSLTSSASTEDARNRSFYYNHSDETGHPDTCSENSSSETTEDIASEIEVEVASGHMSTSSQVNPIQFIQA >RHN38918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2794507:2795226:-1 gene:gene44895 transcript:rna44895 gene_biotype:protein_coding transcript_biotype:protein_coding MLCISFYFFLSSHLNILSDLIILQKYAAYNWKPEQKADKKIWIPNGTKGGKWVKSGKCIIHDPDKLFRLKFYVLEDIYEWALLPFLKFSLEVRIKPSLDDYVDVWNDWERSSEGLSYEKCWKFWMFILKHLGENPEKELSERLIKLPVTTGSKEIFLLHKKDLFIPDNLHLKKLFEQEKIFVWYPQQNFGPSSISKLYNIYRNIGARNISESLCKKVSSLVNDGVERVRCKLIIIEFST >RHN43452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45995525:45996568:1 gene:gene50032 transcript:rna50032 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQCYKTCEQSCQQKNHHHQQQHSSIGRKVTDLFGLGGHHNRHANDGTQNHTQYYSQTEVIYQPGHVAKHQTNTCNRNHHAATGTTFATSNTTATCQGRNRREHKRNNMFQRMKDGVSGHNSDSGSSSDESDSDNENCRNRKHYCN >RHN75322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41270687:41275761:-1 gene:gene11504 transcript:rna11504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MLFSWRSLLLQPFRALLGRFSFSNSGQRGTTFSGPSIDSSGVHGYKYDVFISFRGADTRNTFVDHLYAHLTRKGVFAFKDDKRLEKGECFSTQHRQAITNSRISIVVFSERYAESTWCLEEMATIVERCNDLQRIVFPVFYDIDPSHVRKENGVYQNAFVSHRNKFKHYPCKVERWTRAMVNLAMVNA >RHN41891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33911258:33912724:1 gene:gene48255 transcript:rna48255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MGSTTKLSCLILCLLAFFLGSKAQQCGRQANGAVCANRLCCSQFGYCGNTADYCGAGCQSQCTSNPTPTPTTPTPSGGDVGSLISSSMFDEMLKYRNDPRCAARGFYSYDSFITAARSFNGFGTTGDENTRKREVAAFLGQTSHETTGGWPTAPDGPYAWGYCFVNERNPPSDYCSPGTWPCAPGKRYYGRGPIQLTHNYNYGPAGRAINQDLINNPDLVSSNPSVSFRTALWFWMTPQGNKPSSHDVITGRWTPSDADRSARRVPGYGVITNIINGGLECGRGQDPRVEDRIGFYKRYCQLLRTTTGDNLDCYNQRPFA >RHN60304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24960734:24963131:-1 gene:gene22600 transcript:rna22600 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSLNSSRGKVVPTTPTTTKNQSGQEESGWTTYFDDFSKGIEPSYCSGGGSSLLSDAASCAAWKFSHHHNQVASKLPKKLCFKKTRSKIISDDDPLEDTASSPVNSPKVRDLSPNEMASRKIDDQLQAGSMGKGYTSSENHSELLHMNDKHEFGFNEKNVDCIDLKQRGICLVPLSLLVNYLG >RHN61504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35995166:35998428:-1 gene:gene23977 transcript:rna23977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor CSD family MSGSKLTGKVKWFNDQKGFGFITPDDGSEELFVHQSQIQTDGFRSLAEGESVEYQIESDNDGRSKAVSVTGPDGASVQGSRRGGGGGGGYERGGGGYGGGGGGYGGGGGGYGGRGAATAVVNLVTLQEIVQQAALVRSE >RHN57205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37291347:37291733:-1 gene:gene32714 transcript:rna32714 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRRKEVRCAFKGKYFSLERKFCKKRKVVSISVVANKIVTPEEEERVTDHETSLEQTNYKKSVDSLIDHQEVKKILANWRQRKNIQSEREVARLKLEQIQNTARFSENIDSMYDFHKLIGVSFNCY >RHN43569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46953792:46957561:1 gene:gene50172 transcript:rna50172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP synthase, F0 complex, subunit G MRSSMSKLQQLTSKVCQASQFITKHGTSYHKQLLEKNKEYIQEPATVEKCQLLAKQLFYTRLASIPNRCESFHKELDYVKQIWKNKQDLKIEDAGIAVLFGLECYAWFCAGQIVGRGFTFTGYYV >RHN76862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1888727:1893310:1 gene:gene246 transcript:rna246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK family MEVLNINISTAEMDVLFDVSKYCSVDNYTKPTLTSDSYVFSSKENKFVTVGYDSYGYFNSYDGENEHSTTGCLTSVIGHERLINNGTCSGIGCCQVDIPPRMRYISIQATNFNKNSSDFCSYAFVAKNGSYSFSSTHLSQGLPFNRSPVVLDWTIEDNNCSTAPSNNMGIFNYYGCKNNSYCDDKDKDFGYRCICNQGFEGNPYEPNIGCTDTDECEKNKHGCKTKANCKNTIGNYTCFCPRGQSGDGTKERGCQTKATPILPLTVIGVGATLILLLVGTFSYLIYHKRKFIKMKEKFFEQNGGLILKQKLSTREDSSTESTKIFTQDQLNKATKDFDENLIIGKGGFGTVYKGFLDDKRIVAIKKSKIIDRSQINQFINEVIILSQINHRNVVKLLGCCLETEVPLLVYEFVTNGTLSGFIHTQSNKVNNETWKTRLKIAAEVAESLSYLHSYASIPIIHRDVKCDNILLDGTNTAKVSDFGASRLVPIDQTEVATMVQGTIGYLDPEYMQTSQLTEKSDVYSFGVVLVELLTGEKPFCFGRPEERRSLAMYFLSCLKKDNVFEVIQDGLWNEENKQEIKEVAVLAAKCLRLRGEERPSMKEVAMELEGMRLMNKHSWINDDSNVEESRYLLHESSSSLYEPGDSITHGDIGYDSLKDHVLIALDDGR >RHN80159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35669460:35677081:-1 gene:gene4049 transcript:rna4049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein Networked (NET), actin-binding (NAB) MATATRSHADSRRMYSWWWDSHISPKNSKWLQENLTDMDVKVKQMIKLIEEDADSFARRAEMYYKKRPELMKMVEEFYRAYRALAERYDHATGVIRHAHRTMAEAFPNQIPVMITDDLPMVTSMETEPRTPETRHPSRTFLDSDESEKDAHFIKRNGADSEELHSALNKTGLRQLNDLLIPREHAKFEGHARRGLNFLETQEESSELNNGGRGTKAHVLSESERVTKAEAEISALKKALAKLEDEKEAGLLQYQQSLEKLSNLELEVSSAQENSQRVDERASKAEAEVQDLKEAVIKLQAEREATLLQYQECLEKITDLEKNISFAQKDAGEFNERATRAETEVDSLKQDLLRVEAEKEVALLQYKQCLETLSKLEERLKESEENVRRINQQANLAENEIEALKLEVTKLNEEKEDAALRYQQCLEIISSLEHKLSCAEEEVGRLNSKIDDEVEKLHSSEQKCLLLETSNHALQSELQSLAHKMGSQSEELNEKQKELGKLWSSLQEERLRFIEAETAFQTLQHLHSQSQEDLRALAADFHGKLEILGNVESRKQSLEDEVHRVNEENKILNELKISSSLSIQTLQDEILNLKETIEKLEQEVELRLNERNALQQEIYCLKEELNDMNKKHEAMIDEVRSADLDPQCFGSSVKQLQDENSKLKETCEAEKDEKLALLVKLETMEKLLEKNSVLENSISDLNAELDSVRGKVNVLEGTCQSLLVEKSTLAAEKATLFSQLQATTEKLEKLSENNNLLENSLFDVSTELDVLRGKSKILEDACQLLDHEKSSISSEKEALVSELNTTQQILKDLEKQHSELELMHLELKGERESSLKKVEELLVSLYSQREEHCRVLKLNEDEVANKELQIDILKEDAKCRKQEYEEELDRSLNAQIEIFILQKCIQDLEKRNFSLLVECQRLLEASKMSDKIISNLETENIQKQDDVDSLSDKIKILRVGLHQVLKTLDINGDNFFEDMLDEDQTLLNHIHGKLKERKKSFDAIFKESHHLTVENSVLITFLEQLKMTVENLVIEKGALDEESKIQSKQFTALQIEFQKALEKNQELKLAISKGEEKMEGMTAEIVNLREELSNFEKIHRNLHEKSCTIIEEKKSLLGRFKDLSEEKGNLEEELCVLSHETFVQSNISAIYENIISEKLQELKQLGQELDKLGSENNNLEERLKIMAHKLENEEMENSHLKELFVKSNVELNLVESVNDQLTCQIRNEREMLCQKEKVLSEAAKTFHALHTEKTELQRTAEDLKIRYDDAKGKLEEQANRISHLSSDKDRQNEELGCLSEVNQKLESEMKCLHQELEEIKLREKKLSYEVHEGINEIEQWETQAAVLFAELQVSAVNETLLQGKACELADTCEHLESINYSKDMEREQLKELVSKLEGENGKMCDQLAAYVPAISALNDCVTSLEVQTLGHPKHHDYEKPEVKNLVNHQYIENGQQIDEYQSVTAPDPLLDFQDLQRRINEISMAVKNFNASSKANVEMREIQEAKEIEQKMGSLRPDNPVTEIEVLPKDIMLDQISECSSYGVSRGGTLESDDHMLELWETSDKTPKMAAEPAEDHHQRRASKETYNKHPSGDSLVEKELGVDKLEISRRMSRPREEGNKSRVLERLDSDSQKLTNLQITIQDLMKKVETIEKSTKGKSAEYDTVKEQLEASQETVMKLFDANRKLVKNVEEGALSSAGRASSESDEIGSVSRRRFSEQAQRGSEKIGQLQLEVQRLQFLLLKLNDAKESKEKTKMADQSRRVRLRDYLYGGTKTNNQKKKKTPFCACVRPPTKGD >RHN60379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26011273:26011416:1 gene:gene22686 transcript:rna22686 gene_biotype:protein_coding transcript_biotype:protein_coding MCGMDQDNSSLSLSFFLSLSLSLPSLSLSLSIESSDCVFITFTYNTT >RHN78448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15259778:15261519:1 gene:gene2015 transcript:rna2015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MESLGVKVEEETMLKAVFLPFISKSHLIFVVDIARLFAMHGVDVTIITTPSNAAIFQTSIDRDSSRGRPIRTHVVMFPQVPGLARGMESFNADTPNEIRSKIYQGLIILQEQFKQQFRDMKPDFIVTDMFYPWSVDVADELGIPRLICISGSYFAHSAMNSIEHFSPQAKVKLNSESFLLPGLPHKVEMKRLQLPDWLRAPNDYTYLMKMIKDSERKSYGSLFDSHEIESTYEEHYKTAMGTKSWSLGPVSLWVNQDDSDKAGRGHGKEEDEDEGVLKWLDSKKDDSVLYVSFGSMNKFPTPQLVEIAHALEHSGHDFIWVVRKIEDVEDGDFFTEFEKRMKESNKGYLIWGWAPQLLILEHAAVGAVVTHCGWNTIMESVNAGLSLATWPLFAEQFFNERLLVDVLKIGVAVGAKEWRNWNEFGDDVVKRDEIGKAIGLLMGGGEECLEMRKKAKALSGAAKKAIEVGGSSYTKLKQLIEELKSFKLEKKVNNKLEVDLVST >RHN80154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35617471:35619687:1 gene:gene4044 transcript:rna4044 gene_biotype:protein_coding transcript_biotype:protein_coding MWNRNQPYGKTDVETGSRPLYPMMLESPELRWSFIRKVYVIIALQLLATIAVGAVVVTVRPISTFFATTGAGLALYIVLIFVPFITLCPLYYYYQTHPINYLLLAVFTLSLSFVVGLSCAFTSEKVILEAVILTAVVVIALTLYTFWAASRGYDFNFLGPFLFGAILVLMVFGMIQIFFPLGKLSTMIYGCLAAIIFCGYILYDTDNLIKRYSYDEFIWASVSLYLDIINLFLSLLTIFRAADS >RHN56234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29101554:29106524:-1 gene:gene31602 transcript:rna31602 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIIADPNPVRVKRKTLQTVLEQCQRALELINASSDDDADDDDENDDVSDSNQQPLPSTPPDPEADQLCDLLKSRLECPDFLDKLECAQASVYQNTTEEGNSWDLISENDLWEGVDTSDEEDYVLVRQDDIVDGIACFMAAYLLSLKKTKDLTPSQLQDALSKTFSVKKKKGKLRKAWDGSKVIYNVASWGATAIGIYQNPVILRAATKAFWTSCQVISKLL >RHN79221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26199531:26204457:1 gene:gene2969 transcript:rna2969 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPDDIRNLPIDITFSRLGEWLVDRKRVPSDWRKRFAAIKAQISKEFSSLPKDSDPLFQTLDPEGIGYLEAKQIYDILLKSNTESRNIFGRLSGSAGAWEAIVKSFEKDHVFLGEAALIITQNVNYEIPYQRKQVQKIQQQLAELDRKEADIKRSAALSAAKYVEACQELGLQGHTVRLELLETAKSLPSMFSRILEVVNSDNISQAIEYYCNFVRDAHTEKDRSSEAALQNLRNMRENPPSLNVAVDSEVINIGSVHSSDKETNPAESNVEVAAPDIDWDISVESSQIDWDIGTVEETEDTGNGLGPYEIINASEAIQTSSSTSDVGCDPAISNEELGSHVDICWDISVESAHVDVIDNVNASNAVLDNQSSLPDALSQLTENKEGRSQLLDTEYRNKILDDLYEIKSFLNQRLAELKNEETLSLQNQVQAVSPFVLQQYAADTIETMQSDISLAISLLTNRKTRDLIMILNSKRFLDRLVNSLEEKKHHEVKLKDGLKDLSTKRMELQNSLSSLWPKQDAAVTKTKELKKLCESTLSSMFDGRPVNIIGEINTLLTSGLGA >RHN44460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4966918:4969669:1 gene:gene38581 transcript:rna38581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MANSRNKKFHTKIILLSLILLLFPLVATSYDPIYNLAINCGSSSDTTFDKRIWVGDNNDNTKLFSFNEPKTTNTSLTIPPNTLSNIQIPFTTARVSLSNFTYSFPSITTSPVFLRLHFYPTSYRNFEPSNSLSNALFSVKVNNNVTLLKNFNPSLWFYHDDEKITKEYCIQTKPNEKLNITFIPSNINQSNPYYAFINGIEVVSMPSFLYYTNLSDPKYHLISLDLDNRDYQVLNDKALETVYRVNVGGNQVPPNQDTGMFRNWDNDFPRYLEKQYPQSISSDFKHHLNYENNTIQNYTAPEAVYLTARSRGLDETEDFNVTWNFEVDVGFTYMVRLHFCEFDQHIKNIGDRVFQIFIANTLAEINADVISWSTTPMVPVHKDYAVSMHSQEGSSQIERLNLSIKLQRAPGRIFTRYGDVTLNGIEILKINDKNDNLFGSNPKLNISSKENVLPTKQQKKSTTVILVVVVSCLLLAFVVVGIIVYARRRRRLESHIEMEESSWRTRKEGSSTLPSHLCRYFTIAEIRAATNNFEDIFIIGVGGFGNVFKGYIDEGTPVAIKRLKPGSQQGVNEFMNEIELLSQLRHIHLVSLIGYCNEGAEMILVYDFMERGTLREYLYGSDNEPLTWKKRLEILLGAARGLHYLHAGAKHNIIHRDVKSTNILLDDKWVAKVSDFGLSKVGPTGMSMTHVSTKVKGSLGYLDPEYYLRQRLTLKSDVYSFGVVLLEVLCARPPLVRSLEKKKASLVFWFQRCYNEGVIIEEMVDPFIKDSITAECLKSYCQMVLSCLHDDGNQRMSMSDVVGALEFALQLEISEEDTKFGWNNEKEKSEKRQQVSQFISEDGSDIRFTSSSDDYETQTSKVSTNSASTEDQPLFSATVFSEIGNPRAR >RHN40784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21109738:21114640:-1 gene:gene46992 transcript:rna46992 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKNLQLAAVVKLSFALVAVDMIYCDFKAAANLNITNMQTLGFYEG >RHN54541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10363592:10369161:1 gene:gene29605 transcript:rna29605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycoside hydrolase family 18, catalytic domain, glycoside hydrolase, catalytic MAKNRDQHRSSQSSGHRKSQINSSSQQDQLTHSASPSGAIKIVAFFIISSVIAVVAAYRLRQSSPSKSNEFNVYQRGLVTTDTNYQDILTENVKVSENAFTRRYDYPVLGYVTPWNSHGYEMAKRFNSKFTHLSPVWYDLKSQQTDLVLEGRHNADRGWISELKKTGEALILPRVVLEASPEELLRKKKHRNKAIDLIVTECKEMGYDGVVLESWSRWAAYGILRDPSMRNLALQFVKQLGDALHSVSSENTSGQKLQLVYVIGPPSSEKLQAHDFGPKDLETLSEAVDGFSLMTYDFSNPHNPGPNAPLKWIQIILQILLGGASANSAQNIAPKIFLGINFYGNDFSLSKDSGGGAIIGRDYLALLEKHRPVLQWDKNSGEHVFFYTDDKNIKHAVFYPSLKSISLRLEEARTWGCGISIWEIGQGLDYFFYLL >RHN71465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:381082:385190:1 gene:gene7070 transcript:rna7070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glyoxalase/Bleomycin resistance protein/Dihydroxybiphenyl dioxygenase MPLPLLSLNHVSFVCRSLQESVKFYENVLGFVLIKRPSSFKFQGAWLFNYGIGIHLLETESDKVPVKRGEINTKENHISFQCSDMKLIMKNLDEMNIEYKTAVVEDGGIKVDQLFFHDPDGYMIEMCNCQNLPVLPISTCPLKQPTNQAPVPFYGEGKNCHAEEALLMMEILVIDLLRISI >RHN67238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25086439:25089875:-1 gene:gene15405 transcript:rna15405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, V0 complex, subunit e1/e2 MGFLITTLIFVVVGIIACLCTRICCNRGPSANLFHLTLVITATICCWMMWAIVYLAQMKPLIVPILSEGE >RHN73017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12739260:12742596:-1 gene:gene8787 transcript:rna8787 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKDKFVKGPNKENLMHVMSTSRSKFMTTMVNPLRFCDKKEEDIGGSEIVADNSSATSALAAGSF >RHN53766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3968805:3976681:-1 gene:gene28710 transcript:rna28710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylserine decarboxylase MKPRVPHRFPILSYHTRFHNHTHKSFTSFIKNFPKPQPPSSVNGANGNSKGDSSYLLPGATVATILMLGALHARRMYDDKKIEKRQEKGIEVEFQPDVKASFMSFLPLRSISRCWGQLTSMEIPAWLRPHVYKAWARAFHSNLEEAALPLDKYTSLREFFVRALKEGSRPIDTDPQCLVSPVDGKILRFGELKGAGAMIEQVKGFSYPVFSLLGASSLLPTTAHGNVQEETSESIKPTEKSKKSWWRVSLASPKVWDPTSSCPKKGLFYCVIYLKPGDYNRIHSPADWNILVRRHFSGHLYPVNERATRTIRNLYIENERVVLEGVWQEGFMALAAVGATNIGSIELFIEPELQTNKPIKKSLNSEPPEERVYDCEGVGKVLKKGDELGAFNMGSTVVLVFQAPISKLLHEGDSSQEFNFNVKCGDRIKVGEALGRWHSS >RHN75364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41643035:41643178:1 gene:gene11551 transcript:rna11551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MIDLINIRSIDINLADESAWIQAGATLGELYYKISETNKVHGFPSVN >RHN46238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29868027:29868815:-1 gene:gene40696 transcript:rna40696 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFCSRHGKKRDYNNTYKNGGGFKAMHASKASATKYRGDSGAKDGNMIFMTAAIATTPVDTTSAGNHGHHGDGGYSGGGDGGDGDGGGGCGGGCGGGCGG >RHN77658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8468458:8475552:1 gene:gene1132 transcript:rna1132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGLGLFKAFFCCTTNRDIPIVSSSESSPRHSSGYGYNQPSFDPSPKTTKSPSSSSNPQIGAILGKPYVVINNIYDMKKELGRGQSGVTYLCTEKTTGREYACKSISRAKLLSEQEIDDVRREVMILQHLSGQPNIVEFRGAYEDKQNVYLVMEVCKGGELFDRIIEKGNYTEREAATIMRQIVNVVHVCHFMGVMHRDLKPENFLLASKDENAAVKATDFGLSIFLEEGKVYKELVGSAYYVAPEVLKRSYGKEIDIWSAGIILYILLSGVPPFWAETEKGIFQAILEGKLDLESAPWPSISVAAKDLIRKMLSYDPKKRITASDALEHPWMKEGGEASDKPLDNAVLIRMKQFRAMNKMKKLALKVIAENLSDEEIKGLKQMFNNIDTDRSGTITYEELKSGLSKLGSKLSESEIKQLMDAADVDKNGTIDYHEFITATINRHKLEREENLFKAFQYFDKDNSGYVTREELRQALAEYQMGDEATIDEVIDDVDTDNDGRINYQEFATMMRKGTLDNDDKEKPR >RHN76786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1282391:1283936:1 gene:gene163 transcript:rna163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-CTR1-DRK-1 family MCAMALEDRPQLCGATSLGMGGFGKTLRIPQGRAIELLLSKEYDEKCDVFSYEVILWELLALPSRNPGK >RHN60138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21642189:21645463:-1 gene:gene22375 transcript:rna22375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MGIWRCMLLHRLHPIFPLFLPKEAKLTSFYHFTLVLLYLKIFLSKVHLLILASLADFETLQHSQQVEVATSKKVQGKRGYKKIIDVNDSKGVRNLVVRVVDLWTVIIKQRQESMDMIIVDKENVKIQFTVPTEELAEHKEKLELNKTYDMQNFKVFKNELVVLTLSD >RHN44585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6481996:6482388:-1 gene:gene38722 transcript:rna38722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MDGVGKTLLATLVETEVNRKGYNVVWVTISQKFNISKLQDDIAKRIGVKLDEKDENIRVDKLYSTQKEKVVLILDDVWRYIDFQKAGIQPRTNGIKLILTTRLKHVCQQMDFVPNHMLPIMPLNSYDGDG >RHN77036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3421035:3421783:1 gene:gene443 transcript:rna443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MIPSSLFSCQGLQHLNLNYCWLKPPTIFEGFRNLKSLYLNRVTMTQDAFNNMMSGCPLLERLTLTKIDGLTHINIHAPSLKFFEIEDEFESINFDNTFQLATIFVYMKSKSNQGRLHGFSSNLLKFFDHLPRIQSLKIDDGFLKYLAAGIVPAKLPTLFVNLRSICLWINFNDLKQISVVLCLLKSSPNLRDLRVIVSTL >RHN76233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48773511:48777784:1 gene:gene12526 transcript:rna12526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MEGRSRTVEQIFDDFKSRRTGIIKALTVDVEDFYRQCDPEKENLCLYGLLNENWEVNLPVEEVPPEIPEPVLGINFARDGMQEKDWLALVAVHSDTWLLSLAFYFGARFGFDKADRKRLFNLINELPTVFEVVTGAAKKQVKEKSSVSNNSGSKSKSSSKARAPEAQSRQPKAALLPKDEEEELEEQDDDEQGEATCGACGDSNGADEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSSNKRAR >RHN82503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54326099:54328900:1 gene:gene6673 transcript:rna6673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hemerythrin MGNCFVKSEKLTAEIIPRDVAKVYPTVRLYGSPKSILTTYIRFALLHKSVSLDFVPSSETLRDHFGSDPDGHVTLQVGSESVTGSREKVLRFMEARFPNLVTAAGGGGVEEGKLPVMVTLTRLQHKSMLWHVERVLRWAEDLVKRGGKKAVDPSVGSPKMEIRKFARSYSELLEVMMEHARMEETLLFPFFDRADRGLSKAAKEEHARDLPLMNGIKEIIKSVGVLDSGSPDFQEALYNLSARLKLLLGQCKQHFKEEEVDLLPLMEALELSKDQEETALDQCFDLMHGTHGRLLKFFLEGLQPRDSMKYLDLISMCSNQDKMESMLQMIVM >RHN75989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46802317:46803340:-1 gene:gene12258 transcript:rna12258 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQLLLVGLSTYIKSQPFFLSILFSHWSFFYSFIFGEWSL >RHN77635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8297624:8301939:1 gene:gene1108 transcript:rna1108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MLVYNIVPLMNSLSSFIHFIILLLVACKTKGIVQLPPNVSVPAVLVFGDSIVDTGNNNNNLRTTARCNFPPYGKDFKGGIPTGRFSNGKVPSDFIVEELGIKEFLPAYLDPNLQPSDLSTGVCFASGGAGFDPLTSQTASAISLSGQLDLFKEYIGKLRELVGEDRTNFILANSLFLVVLGSNDISNTYFLSHIRQLQYDFPDYADLMVNSASNFLKEIYELGARRIGVFNAPPIGCLPFQRTAAGGIERRIVVEYNEAVELYNSKLSKGLASFNQNYPNSRIVYIDVYNPLLDIIVNSNKYGYKVDDKGCCGTGIIEVVLLCNHLSSTCPNDMEFVFWDSFHPTESVYKRLIAPIIQKYVNDFM >RHN39924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11727917:11728936:-1 gene:gene46008 transcript:rna46008 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTEFEGITEGVNIPEYFPLSIHPFPHVVKNDMEAYMAAYLFIADDENRHGEEILAQSELGSWDTGKPLRL >RHN55728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21778842:21779351:-1 gene:gene30958 transcript:rna30958 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 6 MKFRFLCLFFLHIMDFPETLHDFLLVFLGSGIILGSLGVVLLTNSIFSAFSLGLVLVCISLLYILSNSHFVAASQLLIYVGAINILIIFVVMFMNSFEYYQDFNLWTVGDGITLIVCTSIFVSLITIISDTSWYGIIWTTRPNQIIEQDLISTSQQIGIHFINRFFSSI >RHN46587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32970765:32971767:1 gene:gene41089 transcript:rna41089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDIRPIKKQPQSTAPLLPTSIPDELVAEILSRLDVKTIVRFKSVSKSWNTLISDPAFVDKHLQKSSQKQNLIVIWNDNDGYNVSRIPLHRLIGNPSIGIHSHNNSHYLERGCYIAGSCNGLICLFSKYFYITENVGSRHVGHENYSIYFWNPSTGKKSEKLGSFSYSTPLDRLRPFHTLSNSFQFGFGYDDSMKTYKVVAFHAKENKPAPVTSEVKVFSLGGNCWRNIQSFPVIPLNGLNHRHTCLNNGMHLSGTVNWLAGLNDFYSIHEYRYITNVEDFVIISLDLSTETYKQLLLPQGFDEISAVWPVLMTMVLFYGR >RHN74658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35540323:35542325:-1 gene:gene10763 transcript:rna10763 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRQDIKYYPIFHGNDFLIQSLEKSNMCRKINSRLHLKK >RHN67710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29568051:29569983:-1 gene:gene15928 transcript:rna15928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator GNAT family MEFNKFRIRSYEGQSADRAQVENLERKCEVGPSESVFLFTDTLGDPICRIRNSPMYIMLVAEFDNELIGVIQGSIKVVTVQGHPPKDLAKVGYVLGLRVSPHHRRKGIGSSLVRTLEEWFISNDVDYAYMATEKDNHASVNLFMNKFNYIKFRTPSILVNPVNHHSLKISNNIEISRLKIEQAESLYRRFMGSTEFFPNDIGNILRNKLSLGTWMACFKDDINIGPNGQVPNSWAMLSVWNSGEIFKLKIGKAPFCCLLYTKSWCLIDKIFPCLKLPTLPDFFNPFGFYFMYGVYHEGPFSGKLVKALCQFVHNMAKERKDEKCKIIVTEVGGRDELNHHIPHWKLLSCPEDLWCIKALKNEGLSINTFHELTKIPPTRALFVDPREV >RHN45959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27256070:27257594:-1 gene:gene40389 transcript:rna40389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MMIKVITLLSIIDDTYDAYGTIDELELFTKAVERWDISSLDELPDYMKPIYRSFLTIYEEIEKEMRKEGRIYTLDYYKIEFKKSVQAFMTEARWLNENHIPTTEEYMRISKKSGAYPLLILTSYIGMGDIATKEIFNWVSNEPRIVNAAATLCRLMDEIVSSERSCYSRMQNRMTIVWKDINEECLRPTEVPMPFMTRVLNLSRFMDVIYKNKDNYTDSDGLMKTCIKEVLVDPVPI >RHN45867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26386280:26389890:1 gene:gene40289 transcript:rna40289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MNFNNYKNMFMFFILTMLCFNFLDVSDAIDTITSTQFIKDPETLSSKSGNFTLGFFSPENSTNRYVGIWWQPHFTILWVLNRDQPLKDSSGVVKISDNGNDLVVLNGKKEVIWTSNAPNVATNSSSKLLDSGNLVLLEGTTERTMWESFQHPSNVMLPNMKLTSNKITGEKVKQTSWKTPYDPSIGSFSLSVERLTIPEVFIWNENQPYWRTGPWNGKIFTGLPYMTTHYLGGLHVGDDGEGNVSFFQITSDTVGLIIYNLSSEGNCEEKWWDEKKKEWKVTWNSHEMECDVYGVCGHFASCNSQSSPICSCLKGFEPRNKEEWNKQNWTEGCVRRTPLQQCERYRNQNTSEDSNADGFLKLPMVKVPDFADGSSLTLSSETCKSQCLENCSCVAYSYDADIGCMSWTGNLVDIQKFSNGGLDLYIRVAHTELDKEKNMKVIIITITVLTGTVIVLACAYIMWRRRTNHHATIRSDNAIGELSQVKLQELLLFNFGKLATATNNFHSSNKLGQGGFGPVYKGTMHDGQEIAVKRLSKASGQGLKEFMNEVAVISKLQHRNLVKLLGCCVDGEEKMLIYEYMPNKSLDAFLFDASKSKILDWRKRFSIIEGIARGLLYLHRDSRLKIIHRDLKPSNILLDNELNPKISDFGMARIFGGSEDQENTRRVVGTYGYMSPEYAMQGLFSDKSDVFSFGVLLLEIISGRRNSSFYDCDSLTLLGFVWIQWQEGNILPLIDPEIYDHIHHKYITRSIHIGLLCVQEFSIDRPTMAAVISMLNSDIVDLPPPKKPAFILKQNMLSSVSPEENNDDF >RHN66959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22179970:22180821:1 gene:gene15084 transcript:rna15084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MMIIPTIQISLLLLILLSITTFHITMSSNNHRVLGCNKKDQETLLIFKNGTTGSWDDSTTTWSAEEDCCAREGVHCNNIIGRVIELDLLFRQLEGEINLCILELEFLNYLKLTVYEFDVMVSIPTILPNITHASNLLYFELSLMSMSYSTSGLVSYIHMDNLDWLSSLSSLENLYLSGIDLSKESNWIQGVSTLPSLLQLQISDWKPNNFMINPSIEYLNLSSIVTLDLSFNNFASHLPDGFFNLTKDLTYLRLHQSNIHGGIPSSLLNLQNLTYLDLSENQL >RHN80245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36463263:36465626:1 gene:gene4147 transcript:rna4147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MLFTFPLYQCLQDEISSPLSARIFELCNTELFPEALQNSEVTSSSNCCYEENSSYATNILDVENKFNNSNSNNTVVTTPTSTNNNINNNNSNNSNNLSVIFDSQEEIDNDISASIDFSLSPSFNVPSFLPVTSSQQVEQFDFSSVQPHHVQLTACSSVDGFSQYHNPNDSVAPLMGAPLSLPSVFEEDCITSVPSYVPLNPSSPSCNYLGPAGMAAYMPNGSLTTALSTDSSGLFGGNMVFGSEIQTQELDYQGDNGRIYCPDPIQRVFNPPDLQALNPENQQLVAGAGSSATLTPEISNLEDSTFKVGKLSVEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGDTNRTASGNHEEEDEEEVVVKEEDDMVDSSDIFAHISGVNSFKCNYSIQSWI >RHN81212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44133036:44136577:-1 gene:gene5224 transcript:rna5224 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQKLCVMASHGFPSQEYAMKGCQQLVPCYAPKPDIVRYQSPNLRLNSFEESRMTTSKEWFNSNQFVDFDLSALKPMVIDVQATCPSTVLFSFGVVGQCTEHDKTSLCITSETAEAVVDGVRKALLSDLMGLQLSGINIPQMSLHPLIYPNRTFYISKPLLDIFQDSALSSKFTVHLNGQVTFMGTEIEMKDFLAIVAESYVSKRTHNGEKQSMLVPHFSRLNINEVEARSISPTLDIHSTLTVPLKSPEKVKAKPSRKKKKKVARERDLFKKNYIHACESLLFLMADKRHHRETAILSLKKSGPELPELLTQFSAGIAGTGLAVVLSVICKLACGRVPFCASKVFNTGLGFGLVWLSWGVNKLRDTIVNISKNTGKMGLKDEEMIQKVDKSLKEVYFRAAALLVVAVLRLA >RHN39931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11793497:11797793:-1 gene:gene46015 transcript:rna46015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative remorin MAELQTKQEAVPAPAPAPAPVPTPVPAAVEPPLAEAPPVNVVEKKAVAPPPVADDTKALVVVDNEKIPEPVKKNASLDRDIALAEIGKEKRLSNVKAWEDSEKTKAENKAQKQLSTVAAWENSKKAALEAQLRKIEEQLEKKKAEYGEKIKNKVAMVHKQAEEKRAIVEAQRAEAILKAEEIAAKHNATGTVPKKLLGCF >RHN57312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38057485:38057865:-1 gene:gene32837 transcript:rna32837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase MLKKATEENIVVGLTNVYDRFFLPTEKGKSKVIASRLPCFMGDCWCRNAMVVAETLEKESSGDYEKLLKQVSNKTIKGMGHAKPSKDIMVMQKVCLSCHLLSSNFSLYENRRNSRVIRMCKTFLWY >RHN45791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25610207:25611345:-1 gene:gene40194 transcript:rna40194 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIFWTIFFDILFAALTAKMPQWARDLTGSLFILLFLIFGHRELKVGREYMVIMFNGFINA >RHN74472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33810255:33810892:1 gene:gene10555 transcript:rna10555 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNRYNKIRGKKKIHIRLLRWSFFSRLMVLTINITKTHLKPLFYKLFRKHLLES >RHN60160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22154059:22162747:1 gene:gene22400 transcript:rna22400 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDPNPFEEEETHVNPFADGTAKGKGSGQSSYGGGAFYTTNPGSVPSAASRLSPLPHEPYDRNATVDIPLDSSKDVKAKEKELQARESELKKREQELKRREDAIARAGIVIEEKNWPPFFPIIHHDIGTEIPIHLQRMQYIAFSTWLGLVLCLLWNIVAVTTAWIKGEGPTIWFLAIIYFISGVPASYVMWYRPLYRAMRTDSALKFGWFFVSYMLHIAFCIFAAVAPPIIFKGKSLTGILAAIDVIGGSALVGIFYFIGFGFFCIESLMSVWVFQQVLMYFRGSGKAAELKREAARGTMMAAL >RHN80347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37347173:37351634:-1 gene:gene4261 transcript:rna4261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MRAKSLISKKKFSIRRELRKMIKCVCSKEKLSVDELNYSSESLATRDYSATASGYSSRPGENDTKLDNSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEAALHVFEGIDIAAVIPKMKMSISRKCESNNKRSPQRDSVPSMSIHAVSLLLEAFFLKAKSLQTLGRFQEAAQSCKTILDTVESALPEGWPENFVSDCKLQEIVTNSVELLPELWNLAGSPQDVILSFRKALLYHWNLDTESTARIQKEFALFLLYSGYEADSPSLRSQLNGSFVPRNNIEEAVLLLLILLRNSKDRSIIHHLSFALSVCGEFMTLAQQVEELLPETMERRERYYTLALCYCGKGEHIVALDLLRNLLKNRENSVYSDCIEELLLASKICADNKVCIEDGIKFSSKAISQMHGKCNQIEAIANCLLGVLLSAKSKSVTSESEKVLIQSQAFSALKASEKLMKENDPYIVLNLCLEYAEHRKLRIAYDHAKKLIKLEGGSSISGYILLARILSAQKKFVDAEIVIDAALDQSGKWDQGELLRTKAKIQIAQGRLKNAIETYTFFLAILQVHNKNLGTANKVMKCKRNRDRRLEVEVWHDLANVYTALSRWHDAEICLAKSQAIDPYSASRLHSTGLLNEARGLHQEALKSYKKALDIEPNHVASLISTACVLRKLGGQSSSLIVRSLLTDALKLDTTNSSAWYNLGLLYKADLGTSALEAAECFETAVFLEESSPIEPFR >RHN78914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19972815:19976928:-1 gene:gene2582 transcript:rna2582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative urease accessory protein UreD MSNEEEEKEKKICSVVVEKVGGRSSVITCLSRYPLKFIIPKKVGPSKIDAVWVYVLNYGGGIVSGDQISCKFSVGDACTMVLTTQGSTKVYKSVGSKCSQQILEARVGSNALLAIIPDPVTCFSTARYYQKQIFTVSSDSNLVIVDWITSGRHESGEKWDFDLYRSTNNIFFDDGQPLFLDTMLLEKDKFGRVKEHMQDYQVIAMIVLLGPKMQYIQNIVQDNVKRIMSEQLHNPSAALSHQKKKADFFTTKPTFVASCSVFGPKKIGLVVRVASVTTESVYKFLRHQLAPLEQMIGVPPYR >RHN68499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35999871:36000972:1 gene:gene16861 transcript:rna16861 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNDGINHHHHHPSEMSFRITQDGRFFSRLMSKETSNANSSSRRVFYYGETSVAVPFMWEAQPGTPKHPLSETSLPPLTPPPSYSSTNYKSNGKRRNSKVNNIFFTILPRLVGSRKSHNVSPSSSSSSWSSSSSSSSFSTRDGRLSRSPHSSTSLKNKFSNGFRGCYPFGSMKNATVSHGAL >RHN80253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36547654:36548533:-1 gene:gene4155 transcript:rna4155 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLFYSYFLLQQKLMEQYIRNVKLMSIVDKYGLLLSALITNVNQFYEYQTHESTQTPNQEECFVMLPK >RHN51973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29395138:29400652:1 gene:gene36560 transcript:rna36560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MAYSTIHLLSILILVRFLSSATMAQDSPLFLNTICPINVTKPSSTFQSNLINLFNSLSSKAIGNTKFYNTTVTSINSSVSVYGLFMCRGDIPSHLCHDCIVKATNQLSSECSLSKQAVIWYGECMVRFSDNSFFSTIDMMPGFHWCNTAEILNTKSFVSLLFSTMNRTADEAASPLSGDKNKFATKEARVSENQTLYSLAQCTLDLSPNDCRTCLTSAIETLSQSCVGKVGGRFLYPSCNVRYELYPFYRSTYVLSPNELAPQTNDSIQDSKILSEDPFYLSYNCSRNYNTITDKNIKLLLSYLLSNATIGKKFYWLKVEEIAYGLFMCRGDLPPRLCVQCVKNATEQLYSKCHSFPEGIIWYSHCLLRYSNRYFIHSIETGPMFRDINITNGSIPDQNLFTNALSNQLSELVNVTGNNEEKYAKNSLILNDKQTLYTLEQCTKDLSSQDCNSCLNDMISREIPWSFLGSVGGRIIYPSCNLRFELFKFYMDGDEALPPGSPTTFARHTEKRTIISIVVPTIILVMLFTIGYYLIKRRRRKSRRTILRENFGEESATLEPLQFDWMVIEAATNNFSKDNYIGKGGFGEVFKAILSDGREVAIKRLSRSSKQGVEEFKNEILLIAKLQHRNLVTFIGFCLEGHEKILIYEYVSNKSLDYFLFDSHQQKLLTWVERFNIIGGIARGILYLHEHSRLKVIHRDLKPSNILLDENMIPKISDFGLARMVEISQEEGSTNRIVGTYGYMSPEYAMFGQFSEKSDVYSFGVMILEIVAGKKNISSYEPQHVANSLLNSVWRQWMDKTPLNILDPNIKGDFSKTEVIKCIQIGLLCVQNDPEARPSILTIASYLSSHSIELPTPQQPAFFLQGRTYNDSSALFSSNGMPSSTFFPR >RHN80638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39684028:39688707:1 gene:gene4584 transcript:rna4584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronosyltransferase MKALLWFMILVFSVNSLGSESKRTEVAYASLLYGDEFLLGVRVLGKSIRDTRSNKDMVVLVSDGVSDYAKNLLKADGWIVEKISLLENPNQVRPKRFWGVYTKLKIFNMTNYNKVVYLDADTIVVRNIEELFKCGKFCANLKHSERLNSGVMVVEPSTTLFNDMMSKVKTLPSYTGGDQGFLNSYYSGFPNAHVFEPDLSQEILETRPVPEMERLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTSWLLKPIDVWQNVREQLPESLPGTRGGRNPKDDFLVKFLFLLPLCAVLFCCYRSFRKNQGSFGFCCRSSLCDHVRHFYYRIKSGGPVSYTGISTSTVSSTHQLLNGTPYKVPAYLGGVSVCVSFMAAMVSLGLTLVIVPRQVTPWTGLLLMYEWAFTIFFILFGGYLNLIYHWGKIKASRAVSSLSQSKPSDDDSGKGHQRQISSCDTATWFYGLGMAFLAIVAPSLPCLFGITALFLRLGLMIVGGIILTSFMTYASEHLAMKSFLKGLDDRDIARNGNFCFSC >RHN74617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35224333:35230298:1 gene:gene10718 transcript:rna10718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MSNISMVEAKLPPGFRFHPRDEELVCDYLMKKVTHSDSFLMIDVDLNKCEPWDIPEAACVGGKEWYFYTQRDRKYATGLRTNRATASGYWKATGKDRAILRKGTLVGMRKTLVFYQGRAPKGRKTEWVMHEFRIEGPHGPPKISSSKEDWVLCRVFYKNREVATKPPSMGSCYDDTGSSSLPALMDSYISFDQAQFHTDEYEQVPCFSMFSQNQTNPIYNNITTNMEPKLPLANNNNASTFGGAPYSLDPLSCDRKVLKAVLSQLSKMERNPINDQNLKGSSPSLGEGSSESYLSEVGMPHMWNNF >RHN40175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13865329:13868276:-1 gene:gene46289 transcript:rna46289 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGPPPTLFVNDGSFMERFRQLQQEQERGKNAKPEDSKPIKVVSGPLTPKPSISKANDAKKSSQGGSSGKLAFSLKQKSKLVPPPVKLADDDEDEIDAGYVSIDAPTKRQKLGQDDGIEQSSRQLDVGNYFSLKSYAR >RHN72673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9612714:9613520:-1 gene:gene8419 transcript:rna8419 gene_biotype:protein_coding transcript_biotype:protein_coding MHLEPRDCSGPHEHFLLPYKHFLQIPFFSYAPDIYLLQLNCGNQQHELPAIMFNKCQ >RHN44913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9798898:9801067:1 gene:gene39090 transcript:rna39090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MAYWVVANEFRKIGNVVVEIKVLKMKRKLGVAPRNGDYKGFIFELVSEKRILEAKMTGEVIVGGNFVVEDDVFNVLIESVSDIDPVGAIVFFNYVVEKERFLSVSSLNSLSRNLCRVGKVDELLEVFRVLDCRNYFKDVEGYAVLQEMKKKGLNPDVSSYNYVMEACCKEDLLRPARKLWDEMFASGCCGNLKTYNVLIHKFLKEGLIEEARTLFNRMVDKGVEPDSASYTFLLQGFCQEDKLEEAFELYSRSVRQDITIARDILGSFILSLCKKGINVYSL >RHN52977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40798906:40799194:1 gene:gene37719 transcript:rna37719 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFRFVEGTYINCLFLNISSEVELYLLLNCIFSYKKKKKKKTQFNPLPVFFSPSLLTLLCIIPFLMHGRILT >RHN54531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10283123:10288485:-1 gene:gene29595 transcript:rna29595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MATPMGSDTWKAHLAMAMVQLFNGGYHVITKVALNVGVNQLVFCLYRDLLALSILAPIAYIREKRTRPPITKNLLTSFFFLGLTGIFGNQLLFLIGLSYTNPTYAAATQPAIPVFTFLFAVIMGTERVNLLRSEGLAKVLGTLICVSGAVLMVLFRGPIVIGYSEIDHVARNEISARGQPEPSGWFVGGLLDLGLDHFHLGVLCLIGNCMCMAAFLAIQAPVLKKYPANLSVTAYSYFFGAALMVAVSFLMTNESTDWSLTSSEILAVIYAGIIASALNYGLITWCNKILGPALVALYNPLQPAFSALLSRMFLGSPIYLGSIIGGSFIIAGLYTVTWGSYRERHATVGVIPHGWEAEPLIHDKIGQIFSGSSSLSASPKSAAD >RHN71152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56808930:56809906:1 gene:gene19801 transcript:rna19801 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLNTFKKSIQGLHPSLREIRKSLARELVTLQEKLDSITVENVQNGEQNQEQQEEKVASEKDSSEGISEGSPKEQLCMKDDDGGSESRSHVDSTSSERTKPTMLPDGLINEDCSPELPVGVLDEYTATFEETNTSENVLSEVSDENEVFIEELPVGVIDEDKATSEETNTSETEVQAGNEVFIEELPVGVLDEDTTTSEKTNTSVNDVQARNEVLINAQINAPENGVNFSHKGSFIKHGGRHRGMWPIRVVDPQNFHGIFIIWGYSG >RHN51283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15783043:15787479:-1 gene:gene35699 transcript:rna35699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PH domain-containing protein MSTSTDHHEEEDVPAGDEEDTGAQIAPIIQLHEVAVSTGEEDEEAILDLKAKLYRFDKVGNQWKERGAGTVKFLKHKVTGKVRLVMRQSKTLKICANHLILPKMTVQEHAGNEKSCVWHAKDFADGELKDEFFCIRFASIENCRKFIETFQEIAESLNKEESKDASTAADLLENLSVEGKADAEKKDKEKSEEKTKEKETPEKESKEDTEKKVEEPASSA >RHN75009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38682845:38686441:-1 gene:gene11155 transcript:rna11155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MAKTRPGKKDMDSYTIRGTNKVVKAGDCVLMRPSDTSKPPYVARVEKIEQDNRNNVRVRVRWYYRPEESIGGRRQFHGAKELFLSDHFDVQSAHTIEGKCIVHSFKNYTKLENVGSEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACVGMTIEEAKKLDHFVCSECSSDDDLKKPQAAFPVSPGSDGKVEPKRRKRGP >RHN46893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35603713:35606417:-1 gene:gene41428 transcript:rna41428 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEISKTHEAFRSSEDSDSEELERLESDLKEMSHKILEFRSTLPDQLKSTLLSVLESQRPFLPQFNSGASDQNISREGSSSTAEDPESAEKVKLLKEKISSNCAAMPIVLKRMKDCIAKIEKLDSYNAANIHQGFKRKKTG >RHN45963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27328139:27331569:-1 gene:gene40393 transcript:rna40393 gene_biotype:protein_coding transcript_biotype:protein_coding MCSAKVDSLNNLNGSNTLDQMMDDGATHQPAAQKPVWCARKQAVVQDEIRKMNQLPANSTYVAHRLKVLNKIMQLMSVQNYISGAGVGVAFCWFVFVKEYQQACWAIRTSRLIDYNLYRLSIMYIRRSRAGMLGGYYLEFNFHKPL >RHN49224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53392495:53396718:1 gene:gene44033 transcript:rna44033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-actin-capping protein subunit alpha MAEEEEESELSEKQKVEIAKWFLLNSPPGEIQYVAKDVKSILNDDGSFNEAASEAFPIYNKSHFIVLSMPDRSGDVLVTSYGELEDNAYLDPRTAQVAIVDHVKQVCTEVRPALDEELPSAYIEEFRFSLDAEILKYVEEVYPKGVCSVYCVNGKDTEGPGSDFELAVVISAARHSPQNFCNGSWCSIWNIDFKDDQQTVEVKGKLQVGAHYFEEGNVQLDAKHECKDATLFQAPDDCAIAISSIIRHHETEYLASLEASYLNLPDSTFKDLRRKLPVTRTLFPWHNTLQFSLSRDISKELGIGK >RHN57828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42005726:42006248:-1 gene:gene33417 transcript:rna33417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Rcd1-like family MFSLQCVASHSGTKMSFLDANMPLYFYPFLQTISELAQFEHFRLVSLGVIGVLVKVLNSR >RHN57297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37920801:37921992:-1 gene:gene32820 transcript:rna32820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MVRGKGRDYMPRKKIVNSAASTAVKISKAADPMKSSVTAAVKEIRYRGVRKRPWGRFAAEIRDPWKKARVWLGTFDTAEEAAHAYDSAAIKFRASKAKTNFPIPEHILAAETAAAAAQNPQPLVAVDNRRAEVLKFVEPEVVQVNWPTSSSMSSTVESLSGPRIVQVVGSSSSSAVSRVPIVAGAGGGAAQPVGAAAARAGKKFHSGCDSSTSAVDDDENCVILSSSTASVRKPMVQAPAQAHVHAPAQVPAQVQVPAQAQAQAQVQALVFAIDLNLPPPMDDE >RHN70462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51591742:51592916:1 gene:gene19042 transcript:rna19042 gene_biotype:protein_coding transcript_biotype:protein_coding MACWRRFITHLRYSTHQSPWQIERRQSEFGKVKDLLTTNYSSRNKFEGYSILGKLLLALDIACCTYFQIYAGNNRLFFSQYRYKGNLARPQCDSSEAAEEASYDPQAVIRAFESQPSLHTDSFTFSEYVKALVKVDRLDESEFLKTFLRGDYLARIAHFLLTVRVIVVYSV >RHN73698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19019753:19020838:-1 gene:gene9546 transcript:rna9546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MNSPIAKSPHSNNCVPTSLLILLDELIAEILSRLPVKTLMQMKCVCKSLKTLISHPSFVKLHLHRSPRNTTILLLPDWASPAGIDLSVVPIPISYLLESPLLMDHYVPHYLRLREFAIHNDPYYLLSNMDCYQIVGSCNGLICLRGTAKHDNYWFRLWNPATNSLSENLGFSENRLRLTFGYDVLNDTYKVVGFSAITVKVFSLGDNVWKDIPSFPIVPFNLRIYSRLMMDNGVYVSGTINWLAIRDMTNYKWNDITIEQFVIVSLDLATETYQQLLPPSGFVEAPPVEPSVSVLMDCLCFSHRFRGTHFVIWKMMEFGVQESWTQFLKISFQNLHIDYGISDSLAYYAQLFLLPLCVSET >RHN49159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52906979:52909380:1 gene:gene43960 transcript:rna43960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannan endo-1,4-beta-mannosidase MGFKNLVILISVVTILNVLVGQYVNCKGTDGNHYHRRTRHHQHHRNSHTHLGNNKTLYENGFVQRKETSFVLNGKPLYLNGFNSYFLMIVASDPSTMSKVTTTFQEASQNGLNLARTWAFNDGGYKALQISPGSYDEVIFKGLDFVISEAGKNGVQLILSLVNNWNDYGGKSQYVKWAKEQGQNINNDDDFYVHPIVKQYYKNHVAAVLTRNNTITGLAYKDDPTIFAWELMNEPRSQSDSSGKSFQDWVSEMAAYVKSIDSNHLLEVGLEGFYGESMPEKNPGYGVGTDFISNNQVPEIDFTTIHLYPESWVSSSDEAAQNAFVDKWVQDHIQDSKDILNKPILITEFGKSSKYSGYNVEKRNSYFEKLFNFVYDSASNGGACAGGLFWQFIAQGLDSFRDGYEVILEENPSTVTVISQQSKRMSNLK >RHN66685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16552318:16567806:-1 gene:gene14735 transcript:rna14735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MIQVLISENEMKHQVLSLKTFSKLFKNHFWIGLLCEIHTRMSMVRKSLSSRVENVEEIEDKLSLLDLPELILECILEKLPPSSLCQMAGICHSLRERCVSDYFWERHMKKKWGGVIGQAAYREWKWHVASNMGVGSLKHGKQSGLWMKIFSLLWPFQGMKLKVGDGNDSCNHRSSLPVEAVMNWYLAIETGRFWFPAQVYNRENGHLGFLLSCYDAELSYDSRTNTFLARYPSHGRREARECGIPWERIRAPPVDISPHDLHISNCLNDLHPGNHIEIQWRRKKEFPYGWWYGVVGHLESCNNNENHCRCHNSDSVMIEFNHYTPGSRWRQTSINRKDHREEGNEADGFYGGIRKIESLNEISIWKRMWPSKVLD >RHN64554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59988537:59990501:1 gene:gene27379 transcript:rna27379 gene_biotype:protein_coding transcript_biotype:protein_coding MCHQVNSKKLDLGILGGLSVISGSMRCLDLGLVFKWLGSSFLTASF >RHN69762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46087302:46089124:1 gene:gene18275 transcript:rna18275 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTPKDFAVNEGEAPVEVPTSPKKADGETVAQENSQEVVEKKDEPLVNSSEPEEKAVESNPGEAATVTAEAEKTELAPVEEPKADETNKNLVTL >RHN71371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58571456:58574045:1 gene:gene20048 transcript:rna20048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MERLGWCLEFVLGESSIDSECIKELIKVNYSVTEINNRNMRMIMLKFLEDELLSKASIDEWMLELLEVLEKLLLIDCNDPSNPNPISHAMKSAYFYIAVECTVKYLEAGGTSNYLQAAVDRIWNRRFQKLEEGSYLLTPKWRLWKTLINDSLVDSQTMLRLASLSNTRKKAIKEVQRFLVDARNNLVGPSFLHSVAAPAQNQSHNESPMEVDKDNGRLKGKASTTIVVVEEMATSTSFSKIDPLPVNEAPKNKCNSVEFETFANDPVPDSICMTDIVRSDVAIEETNQEPQMENQSKDANVPNPQTCLNINNDEANLTKATSHHPSLMNPNSSARTHEWDDSIDGLQAGTSRGTSRIRLRSPETKKLSPLKEYEPKKITKRRKKKKWSQWEEDNLRTGIKLIGEGNWKSILRSYAFDERTEVDLKDKWRNLKRYESQ >RHN45216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13110632:13111729:-1 gene:gene39447 transcript:rna39447 gene_biotype:protein_coding transcript_biotype:protein_coding MDIILAELHKACLYTVPKHMVYKESIFQSKEAYFRSIGYQSDGENLEECTKNYLDQLKSYMKLYGALVQMAGFALYKRYKSQFLKMLKIISDNFLVDLKSRTVPELNYVELQTCIEEKKFLQVPKGRSMQSKPLSRGILPFMHGDAFSIHNSNNLYTIHFS >RHN47032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36609933:36618446:1 gene:gene41581 transcript:rna41581 gene_biotype:protein_coding transcript_biotype:protein_coding MDFENEDQSLLDQATDSGLQKKLKISYTREFLLSFSGLDICKEFPSGFDRSLLSEFEDASLDRQRSTGALSTHSFRRNEYSSSPPTRGDMNNFSRGTHGKWDSRSSGRSDRDGDSQSEWDSDSGKRFGNQPRRSLQGPEHDGLLGSGSFPRPPGYAPGSSAPKFRANDNYQPNRSNEPYHPPRPYKAPHSRRETNDSFNDETFGSLECTNEDRAEEERKRRASFELMRKEQTEKLKLNPEKSKADFDLSSLIDDDSKRLVTRSNDSVEESPSTLAAISNDEKSSSLPHASARPLVPPGFASSMLERNTGTKISANTHAAEAGQLEPGGDTRGSYVFSINPENKEGKLPTKQVDNNQQNLQKADINVSINNEKEDILNLSYAADIPNIKIGMSDQLRKRSALSEALEASDDSKFIQLNAEVKGKEAVGAAFNPESSESILYKLFGNASTLTSGISTSIVEPDPKADETWSPHAFQSSKFAHWFAEEEKKPMDDLTPRPNDLLSLIVGGEKGGLQVSGVETTHHVAPNFTYCNPEPAGEHVATNVTHTAIVNSGLSHESDKPEILPAVLTCEDLEQSILSQVGENGSSSQQRLKDKDFGAKTGKSTSIDGHASEHLLSLLQKGSLHKDMELSSVLDSTDMVHNTEGVTTGKFLDNPEEANADASNPSKTLTLETLFGSAFMKELQSVGAPLSVQRGSIGSSGADFSESQLFPFPTSENSHPPPAELSLNRHGSGVFPSEQTHQPKSNRFEEQWLGYGDSHGDVNPSMLHSGIPKASGFNRSHDIRLPEEDNLISAGDPLQSFLSVGNSAKAELSQESPVEITRKLAALNSAFRDERLMMRNQEGQAYPRGPYDIREPGIPYQNLNSHRPSQLQPHQLNHFGPMLNQLDSHSPHISSYMKHATSEGMVHHGSPTNRQFPGNMLRPPFHQPSSVVTGFDPPAHHPLLQQMHMQGNLHPPHLLRGFPRGATMPPHPSNPMAGIMQEPNPMQGFPFGGQQHPSLGGPGMQLQAPAVAGGRNHPEALQRLFEMELRSNSKPIHPSGHNQGIHGHELDLGFGYR >RHN66595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14621679:14622718:1 gene:gene14616 transcript:rna14616 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MtN16 MTYVKLVTLAVFMLTTFLIVETMNIEARLCPTAGTACSQRRGNSCGGIECICVSQGYPYDGGICKSRN >RHN63180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49152562:49156495:1 gene:gene25854 transcript:rna25854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MNALAATNRNFQRASRILGLDSKLEKSLLIPFREIKVECTIPKDDGTLVSYVGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCNPRDLSISELERLTRVFTQKIHDLIGVQRDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGLGVVFATEAWLTENGRSISDHTFVIQGFGNVGTWAAKAIFERGGKVVAVSDITGAIKNPNGIDITALLKHKETNGNLTEFQGADAMDPNELLVHECDVLIPCALGGVLNKDNAADVKAKLIVEAANHPTDPEADEILSKKGVTILPDIYANAGGVTVSYFEWVQNIQGFMWDEEKVNRELKKYMSKAFLDIKAMCKIHDCDLRMGAFTLGVNRVARATLLRGWEA >RHN67908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31320858:31321314:-1 gene:gene16158 transcript:rna16158 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGFRPVFVACWPIGISCGSVLIFDGVGLLVVNMVRLFEIGCDCWIRFVNDMYGFG >RHN50626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8642414:8645843:1 gene:gene34935 transcript:rna34935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator SWI/SNF-BAF60b family MSVNNNNNPSKGIGASSSSFGNAGMPSNSMSTNPVFSQAQAQAQAQAQAQAQMAAGFQGQFPQSQAHAIVQAQNKAQAQAQAHAQAAAVAHAQLQAHLQAQGLSLNQNQVGGLGNLGVSSSSMSTPGSGSAKRGPLKPPMRPVGFPPQNNFSPLRPMELTPAAARRKKQKLPEKPMQERVAAILPESALYTQLLEFESRVDAALSRKKVDIQEALKNPPCIQKTLRIYVFNTFANQIRTIPKKPNAEPPTWTLKIVGRVLEDGVDPDQPGVVQKSSPMYPKFSAFFKKVIITLDPRLYPENNTIMWDSYRSPAPQEGFEVKRKGDKEFSVNIQFYMNYAPEKFKLSPALTEVLAIDVDTRPRIIAAIWHYVKARKLQNPSDPSFFHCDQPLQKVFGEEKVKFTTVSQKISPHLFPPPPIVVEHQIKLSGNSPAGSACYDAMIDIPFPIQRELSALLANVEKNKEIETCDESICGIIRKIHEHRRRRAFFLGFSQSPVEFINALIESQSRDLKAAAGEPSRSAEKERRADFFNQPWVEDAVIRYLNRKPAAGSDAPGST >RHN81939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49955824:49957164:-1 gene:gene6039 transcript:rna6039 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKERKKHIREPPSIPFIWETKPGIPIKDWKPVDSSFCQLPKTPLKQIASIPFVWEEKPGIPLPNFSHVDENESITSLDLESFSFDTNESFNSVPSLLADCLVSSTKLSTAIPLQQTPSSPASSETDSSISSYETGRSSLTGSAFLECLFPLFPPKSSFLERDIGYNEKLSSSLVELKGNDFENEDYTCDMSRKQPTLGELIMMSRRRSCIRKATQMNKWDPPKKTTRKQAFGCFSVVTGSSIIEGLLKKKYYYYPRLKLV >RHN61427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35479668:35480051:-1 gene:gene23895 transcript:rna23895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MTAKHFEQTRITHIVSHLKFRNLSLVLVFSSAVIFFSRHTRMGFHLPGIKRSSSSKGLDVPKGYLAVYVGEKMKRFVIPMSYLNQTSFQDLLSQAVEEFGYDHPMGGLTIPCEEDFFVDITSRLSRC >RHN65820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5638144:5639883:1 gene:gene13710 transcript:rna13710 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNRNIAAGVFHYSSSQESTQIDGDSSDDNTDSLNTLEDSSDDKEYSKNALVNALVAWTQASEAELAFILAENESINASSSITECVTALDKIEDITDDIYMKACEKFMDPDWREMFLAMPSHRRKGWLVRL >RHN53870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4836185:4836940:1 gene:gene28830 transcript:rna28830 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PALM1 MATDIGLLSNMTQIQKSSQSQSQQHQPNPNSNTTTPPSPSSSTWMWNPKQHQEQEDEDSWEVRAFAEDTRNIMNTTWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLHQTQPPLNSSHPSSPFINIPPQDLVANAGLCLLYHLPNPNNNAFASFNSSSPNGESPSTFLSISSSTSYPPNNLMMQMQACSPSFNFQVDNSARLINNSISSFSSKVDQQHATCTSIDDNGHEIEELDLELRLGNKPTPT >RHN61496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35908716:35910016:1 gene:gene23968 transcript:rna23968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cathepsin F MHLFVITHSLLSPFFSNRNHYIKTHSAPNLTYHNIPYYDQAMMNRNKTLMLFSVLFLFFSVDLAFSTPNDREDPIIQQVVDKGGAEYQFNEFKQRFGKVYSSKDEHDYRFNVFKSNLHRAKRHGIMDPSATHGVTRFSDLTPREFRNSILGLKGVGLPRHAKAAPILSSENLPRDFDWREKGAVTPVRNQGFCGSSWSFSTIGALEGAHFLSSGELVSLSEQHHVDCDHEYIQKYGGLMRVEDYTYYKTNTARSVAANFSSISVDDNQIAANLVKHGPLAAAINAVYMQTYVGGISCPYICTRRLDLGVLLVGYGSGAGADMKEKEKPYWIVKNSWGETWGENGYYKICRGRNICGVDSMVSTVAAAHTNTQ >RHN71882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3346351:3348687:1 gene:gene7528 transcript:rna7528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MCFFVTGPGCSSVGYGATQEIGPFLVDTDGKGLKFNNFSWNREANLLFLESPVGVGFSYSNTTSEYKQLGDDFTANDTYTFLHKWFLKFPSYRTRALYIGGESYGGHFVPQLAEVILDRNKDPSLHIDLKGILVGNPETSYAEDWWGMIDYAWSHAVISDETHKLLKTNCEFKSSEDILSKDDVCNKGLDEMFKQYNEIDIYSLYTPTCLANKGISKPMQKVMKRSSNKDMIPKVMGGYDPCLDDYAKIFYNRPDVQKALHASDGHNLKNWSICNDDIFHDWAQSKRSIIPIYKKLIPTGLRIWLYSGDTDGRVPVLSTRYSINLLGLPITKPWSPWYNEKQVSGWYQEYKGLTFATFRGAGHDVPTFKPSNSLVFFSSFLAGQSLPSIR >RHN43118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43358132:43360890:1 gene:gene49644 transcript:rna49644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MVGACRAVAAPPISHLPLRNPKTIRIKNSCHQNKTKAFRAQLSNRRLFLFSIPLSSFIFLPFPAFCEGDSVISQEYDPVTRSERDASALISQRVSRGVELLEKGRELQALGDFNGALQYFSQVIESYKDLAFSEYARVGRALALYEIGDREEAIAEMEDVSISLKGYPEVHAALAAALYADKHAALLAENQFTIATLLDPHFTDLSYVRDTKHWPPSLISSLQHFITLS >RHN38945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2962451:2968456:-1 gene:gene44922 transcript:rna44922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calponin domain-containing protein MSGHWGILVSDPCLQNQFTQVELRSLKTHFMSMRRESGKLVIADLASKMSRLKVVGENLSEKERASYVQDLYQNTDEEVDFELFLKVYLKLQTFANSRTGSSPKNSSAFLKAATTTLLHTISESEKSSYVTHINHYLSQDEFLKKYLPIDPSTNELFEIAKDGVLLCKLINVAVPGTIDERAINTKRLLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGVISQIIKIQLLADLNLKKTPQLLELLDDSKDMEELMNLAPEKILLRWMNFHLKKSEYKKIVTNFSSDVKDAEAYAHLLNVLAPEYTNPSTLAIKNPFERAKLVLEHSDKMGCKRYLTARDIVEGSPNLNLAFVAHIFQHRNGLTDQTKQISLLQAIPDDNEDSREERAFRLWINSLGNSTYINNVFEDLRDGWILLETLEKVSAGIVNWKIANKPPIKMPFRKVENCNQVVKIGKQLKFSLVNVAGNDIVQGNKKLILAYLWQLMRYNILQLLKNLRFHARGKEITDADILEWANSKVSSRGSQSSMNSFKDKSLADGIFFLELLSSVQPRAVNWGLVTKGVTDEEKKMNASYIISIARKLGCSIFLLPEDITEVNQKMILTLTASIMSWFLKHPHEERTVGGTSDSESGSQLETTSNSTLDDSASDSSIDENGNM >RHN44235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2650207:2652756:-1 gene:gene38328 transcript:rna38328 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L34 MVQRLTYRRRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPTEYKRSRLPRNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKTKEKQAAKS >RHN52521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36300374:36301249:-1 gene:gene37209 transcript:rna37209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MFLIRLLPYSSFSSSSSSSTTILEDDNKHDLVSSFNRLLHQQNPTPSIFQFGKILGSLVKINHYSTVVSLHQQMELNGFVSNLVTLSILINSFSQLGQNPLSFSVLAKIFKKGYDPDAITLTSLIKGLCLKGQIHQALHFHDKLAALGFRFNHVSYGTLINGLCKVGQTRAALQLLRRVDGKLVQPDVVMYTMIIDSMCKDKLVNEAFDLYSEMVSKGISPDVVTYSALISGFCILGKLNDSIGLFHKMISEKINPNMYTFNILIDAFVEIIFINPYFSFKDEKIYLYFIL >RHN47141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37460967:37462838:-1 gene:gene41701 transcript:rna41701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MVYARRCVKFLAFHKHGSMKLKTNRAMFFFLHRHFSTTTKTNFPNLSKIPIKHRNHVIYEAQKALTDYLHNTKSIPFTYAEHIGNNTFCSLTNLISKVNFSPPTFSNNIKRVIRFHPINEFEVFFESIGIDYTLVSDLLPNDKLFFSEDRTLLEAACVLCDFGFPWEKLGVLYMEKSSIFGKSVEELKLRLCWFKRFGFGNVEVIGICLAFPFVLSEEVDQIQKGVFGIDGLFSDLKLIFLDNSLGSYVEGNVDSWYEVCRKLRMFFDLSGWKGNVGELMGKNKSIFVDHKEDEIVHRVEYLCRFGGKKEEVALLILQCPEVLKLDLEKTVINVLELLKHFGMSSKDLEDVIENFGHVLGTIRMVNLPNVMRAMGLQEWFCDKLKGGHHQLLADYIASDRNEDRDKVYQDGLRRIHISRARVHSINKLNFLHRLGFGENALTMNLLDCLHGTSSELQERFDCLLRSRIEFSKLCMMVRKTPRILNQNYEIIEQKVIFFNQKMGTTLDYLETFPAMLHYHLDDRIIPRYRFHTWLTERGLSYRKYSVQSMITDSEKKFVARVFKIHPAAPKHWFEQFCRRKLPM >RHN40845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:22983622:22984520:1 gene:gene47078 transcript:rna47078 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLGGCNGGNFLEVLILRDCMHLKEVGVIIDFWWIPYFISKTVSEMLIIHGQIEVARLLIADISNREGLACEADWYRRCYNSTIMPIKQVLEARPGMRVVAEYPSEGRLDILSNRVEGFLFQKSSF >RHN66204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9702730:9703569:-1 gene:gene14144 transcript:rna14144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MCREKSFLSFLQTMVVVLLLHHHHHHQACDATSNSDNQKLCPPSSCGKMRNIKHPFRLKNDPTNCGDPRYELSCENNITTLSLFWGKYYVKSINYKNYTIRVVDPGIEEGDCTIPRYFLTATNFTSYYSHYEYKGDPYAFHDYSRPEHVVYLNCSKQLKNDPMYVDTSTCRLNSENNSYIYAIAGDFRVVKLNVGCRVKLVTMSSALAFISKKDFQPFSYVEIHRFLSYGFELSWIRQPCEDSCDMNQQNCYMNYDSGGLVCTVDYCTTPLGIDISCGK >RHN39164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4619245:4619475:1 gene:gene45165 transcript:rna45165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MRLQGEFSIDSAWKVVEIAMSCISQTATERPDISQIFAELKKCVPLEMVQTNNGSTRSRDDLVSVATVSETTILAR >RHN66572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14139076:14139778:1 gene:gene14585 transcript:rna14585 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLQKNDLVSAETNTSNEPETEENKTSSTEKSTKNDAVSEEPSTTKEAEKSAKNEKSAKNDAVSEEPSTTKEAETKENKSSGTQKGVEVPETDDVSSFSDGTDLKAYKHEKVVEIMLNKDDDAKSNDENVDDEEVEIDAKIIDDSVKAACNVGMTLTQDTILKFPEFFEASNAG >RHN59219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8520081:8527595:-1 gene:gene21219 transcript:rna21219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sec1-like protein MFIGLLYEMLRSAKSGDSKAWKVLIMDKVTVKVMSHSCKMADITDQEVSLVEDLFRRRQPLPSLDAVYYIQPSKENVVMFLSDMSGREPLYKKAYVFFSSPIPKELINHIKCDTSVLPRIGALREVRICFNHLYSIYEQVIVVKFVLTEISFGFILQMNLEYFPIDSQGFITDQETALQELYGNANNIRRFNTCLNNMAIRMATVFASLKELPNVWYRSAKESDESEPTAGRELVPTKLADAVWDMVSKYKSTIPNFPQSETCDLIIVDRSIDQVAPVIHEWTYDAMIHDLLDMDGNKYIHEVASKTGGSPEKKEVLLEEHDAVWLELRHSHIADVRLIHSLSAIILFFLNQISMLFYQLKFQASERLHDKFTNFVQKNKAAQIHQSGRDGSELSTRDLQKMVQALPQYTEQVEKISLHVEIAGKINTIIRENDLRELGQLEQDLVFGDAAAKDVINFLRTKQKMSPEYKLRLLMIYATVYPEKFEGDKGVKLMQLAKLSPDDMKVVSNMQMLAGATKKKAAAATGDFSLKFSNQKTSQAARKDRTDEEEETWSLFRFYPVIEEVIENLNKGDLPKSDYACKNEPVPASKGNSARSSTRNQTTQAPTTAPNSIRSRRTANWAKSRASDDGYSSDSTLKNVAADFKKMGKRIFVFIIGGATRSELRVCHKLTTKLKREVILGTTSMDDPPMYLTVITPSFFAISYQGTVQSSHNSDFYMNNVRIIIVDHLLHCSMLFNVSFFSIRSILNDFF >RHN73223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14525886:14527497:1 gene:gene9017 transcript:rna9017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MLKKLFLSQNVVLCLITTKSTTPKFNNPLFNQHLLFPISLRSFCTSESDTQPFAVSYLVNKFGFSHESALKAFNNRQVRFNTPDNPDSVITFFQNHGFSHDNIRIIITKAPWLLSSQPHKMVLPKFQFLLSKGASSSDIIRLLTANPKILQSSLEKRIIPLFQLLNRFSKTNKDTIVCLIRNSLSFIIYPYHVLEANINLMIEFGVSDSNIARLLQTRSSIFGSTDLIKSLEEVKCLGFHPSKTTFGAALIAKKCSKKLWDEKVDVFKKWGWSDEVVIRVFRFQPNLLGASNGKINFLHKRIIPRASVLQFLLMKGLRKKNASLVTPFTYSEKLFLSKCVLCFKEESDYLLKLYEEKMKFAHTMENDGMAFTTTTTTKPYPTKRGRQWHGIH >RHN76153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48060448:48063363:1 gene:gene12436 transcript:rna12436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator A20-like family MDHDQTGCEAAPEGPMLCINNCGFFGSAATMNMCSKCHKDMMLKQEQATLAASSIGNIMNGSSSSSGIEPAITANVEISVDPVEPKIISAEPLVASGSEESLEKKPKDGPKRCSNCNKRVGLTGFNCRCGNLYCAVHRYSDKHDCPFDYRTAGRDAIAKANPVVKAEKLDKI >RHN65992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7373575:7374937:1 gene:gene13901 transcript:rna13901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKTRRRNYNNDKKEDRISDLPDGLILHILSSLKVKQTVQTSILSKRWKNLWKHLPTLTLTSSHFKTLKAFTKFVTPLLSLRNVPTSLHTLKFIYNGTIDPRLFEKILNYAVSHNVNELQLKGTCDIQQLPSCFFSCNTLTSLRFKVSPKTLSKRILFPNSLNLPSVTSLYLWLVSFRGGDDPFSGFTKLNSLMLSDFKILGEQNLSISSTTLDKLEIEMMFLFENYYKIELSTPNLSTFAFIGTPFHNKLLPCGSHLCSIKCVYIDTYADMCMNLEMDSPAILSWLMELTDIESLAISSTTLQVLSLVPDLLKVKLPSLCNLKSLRVKMKRISYSLFKEQLPTRSKEEFAKLKESFKKGSSSIPDGIVDFLLQNSPSAEVLIIN >RHN40049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12759007:12760438:-1 gene:gene46141 transcript:rna46141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSTAPIIGDNDVNPVIFREYIGVKSYPDSLNNFPADIIGRHIPEFHFILGFAHETYVDGKGTGIFNASWKIPFFGPDNVDDIKTNHGNVKVVISIGGRDTKYPFHPAHKLEWCDNAVESLKKIFQLYNRTNSCYNLIDGIDINYEYIHPDVSEEDFSYCIGDVIKRLKKDVGIDVVSIAPSHETQKHYKTLYLARTNDINWVNYQFYIDTLKSKDEFVNLFLNLSDEYGSKKLLAGASTDPADAGKGKLSREDFLEGCVDLHSTQSLRGIFIWNANDSASNPNGKPFSLEKKAQEILNN >RHN42561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39328681:39329619:1 gene:gene49014 transcript:rna49014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative naringenin-chalcone synthase MHLTEEILKENPNMCAYMAPSLDARQDMVVVEVPRLGKEAATKAIKEWGQPKSKITHLIFCITSGVDMPGADYQLTKLLGLRPSVKRYMMYQQGCFAGGMVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAACSCDQWTAQTILPDSQGAIDGHLREALVEAFKPLGISYYNSIFWIAHTGGPAILDQVEDKLGLKPEKLEATRNVLSSYGNMSSACVLFILDEMRKKSKDNGLATTR >RHN43734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48050655:48052385:-1 gene:gene50355 transcript:rna50355 gene_biotype:protein_coding transcript_biotype:protein_coding MCSQTSSPRFSFSNDVSPMKHDVVSCRDTLLLESNTDFEFITSKNIEFGTSSADELFSNGVILPLQIHDKKNNTASYKEQPRYMNLPPRPFSTKTKKEINKEVQETNTRSSSFIGFKKSKSLNCDTNKNFVCFSPPLSRSNSTGSAPNLKRTSSNRQQSSLSSSCSTLNNLYPVQKSCSGKSYGNGLRFSPVLNVPTPCFSKGSLSLFGFGSFLRVGKAKKNSN >RHN71339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58363643:58366577:1 gene:gene20010 transcript:rna20010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MMGSAEVDIIGCKSVQELSLDSENLPSNNYIYKEGGVGFRDALLPSQSDLHIPVVDIGKLISPSTSQQELHKLHSALSSWGLFQAINHGMTSLTLNKVREISKQFFELSKEEKQKYAREPNGIEGYGNDVILSENQKLDWTDRVYLKVHPEHQRNFKLFPQKPNDFRNTIEQYTQSLRQLYEIILRAVAKSLNLEEDCFLKECGERDTMFMRINYYPPCPMPDHVLGVKPHADGSSITFLLQDKEVEGLQVLKDNQWFKVPIIPDALVINVGDQIEIMSNGIFQSPVHRVVINEEKERLTVAMFCIPDSEQEIKPVDKLVDGTKPILYRPVKNYVDLYFQYYQQGKRPIEASKI >RHN78736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17959287:17964206:-1 gene:gene2384 transcript:rna2384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MTEAMIRKKPGMASVKDMPVLQDGPPPGGFAPVRFARRIPNTGPSAIAIFLTTFGAFSWGMYQVGQGNKIRRALKEEKYAARRAILPVLQAEEDERFVQEWHKYLEYEADVMKDVPGWKVGESVYNSARWMPPASGELRPDVW >RHN80422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38005013:38007830:-1 gene:gene4350 transcript:rna4350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-methyl-6-phytyl-1,4-hydroquinone methyltransferase MASLMLSGAENTKLINSIVPSGLGSVSLNFHKKCFFRNNVVSYGNKYGARNLTPRCSISSARPSSQPRFIQHKKEAFWFYRFLSIVYDHIINPGHWTEDMRDDALEPADLYDRRMRVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLARAKKKEALKECKIIEGDAEDLPFPTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKIGGKACIIGPVYPTFWLSQFFADVWMLFPKEEEYIEWFKKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKPLSGDSPLQLGPKVEDVQKPVNPLVFLSRLILGTIAATYYVLVPIYMWLKDQIVPKGRRI >RHN72931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11933050:11933991:1 gene:gene8697 transcript:rna8697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MILQFTIFHIILALIFPIFPPLTSAQQEDGIIAQQGCNSTCGTMSIPFPFGMKEPRCYADKWFEIECKLDNSSQNPKPYLKSLNLEVNNVYLDLGMVEIMNPIYHSNCQQNINNKTVTINLGVSPFMYSQSYNKFLAVGCNNLAFLQSNGTTVGGCVSICDDGNFNNNFNSSNDRCNGRYCCETSLPTHLSEYNATFQGLSEQSIDQCSYALILSDNWISFDGSYLSTFNELGNMEYAPAMLEWEILVNSTFQLPSDSYCYDSKVTSLNNRTTGRKCQCSSGYTGNPYIVGGCTGFSLYTFSNEIQSYFNMIT >RHN44882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9386929:9388149:1 gene:gene39049 transcript:rna39049 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKIRINSCVGTSPGTSEVIIFAVLYCKLRKIPNTNYGNQEKYAARILDFLSSKRSGKRERDSWNAYLLQSKGDLRDLIFTKLVHIRVRINTSDHPKAENDRDFILTDSTIKVNVLLN >RHN41717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32314208:32321044:-1 gene:gene48069 transcript:rna48069 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASLSQPLPLTLPSISSSQSHSLPSTFRYSHSLFTNGTSFTKLSTTHRRILSFVPSAGNEDADLSVSSTQQLDDDDVVDDDEEEEEPTPQDLENVAEIKRVLELLKRNRDMLFGEVKLTIMIEDPRDVERKRLLGIEDLDAPTREDLVAALEEVNEGKIPKDKAVLQMLAEEMTAWPNLEAELSPKKKPKKSLYAKTTDTGIDPQVAAKRLNIDWDSAAEIEEAKTDDETEVPSVLGYGALYLVSAFPVIIGISVVLILFYNSLQ >RHN41195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28062516:28068110:-1 gene:gene47488 transcript:rna47488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MYHSCQSLASSIIHTHYRKMVNLSSHLFLLSLSLHCCFIACLAANTKNITTDQSALLAFKSLITSDPYDVLANNWSTSSSVCNWIGVTCDERHGRVHSLILRNMSLRGTVSPNLGNLSFLVILDLKNNSFGGQLPTELYRLRRLKILHISYNEFEGGIPAALGDLSQLEYLYLGVNNFSGFIPQSIGNLHQLKELGIGRNKMSGPIPQTILNMSSLEVLHLSSNYFSGEIPSLNNMTSLRVVKFGFNNLNGRLPNDFFNQLPQLEKFALNNNQFEGSIPQSIGNCTSLIFLDLSSNFLTGMLCFLSRHIYLK >RHN74170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29788751:29793100:-1 gene:gene10176 transcript:rna10176 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRSGKEFATSSRRPPPKKQVSAKNHGIIFKDNKQRDRYKNLISKPLHPCKYPDNYDLVTLGLSDNVFKFLRRLGWVAMLRPMRGYENFTYEFLSSIVFTKDMMNFDNPNHKISFRLMNIDYEMSLQHFCDEMSFANAGFIRDSWDQSLKPVDYQHANFWEHITDLRQFNTRSNKASNIHHPVLRYLQRVMTCTIWGRTELGNTRTDELFMSWAMLNNHPVNTCFYLLDYLSHVGNRFDSRGEIVVGGIITYIARQLGVGEDQGITKIEGINRLNIETLIFMNFIRPRPPMSYTLKLNVPILIILSNPSRTNTEVEENLLYVVDDPQVHEKTMMKARKMHIAPRRGRCTIAPC >RHN55020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14442881:14443846:-1 gene:gene30137 transcript:rna30137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiE/COQ5 methyltransferase, S-adenosyl-L-methionine-dependent methyltransferase MFSCRWKNMYFNLTRESCECCVAEMFPAVAPYPSYRPTSIRCSNDRQALFNRIAPVYDNLNDLLSLGQHRIWKRMAVSWTEAKKGDRVLDVCCGSGDLSFLLSNKVASNGKANSSSLLFFFFFLHYVEKYVAKSFLQFYVGGEIKIVTERHGEVQI >RHN65826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5655809:5665530:-1 gene:gene13717 transcript:rna13717 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSVRESHCGEDGGSEISGRMVRVERSKDVTNPIWNLESGNNKDIYVITIYYALRNIIFTSTIYI >RHN63907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54968149:54970168:1 gene:gene26663 transcript:rna26663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RanBP2-type MSWSGGDWMCGACEHINFKKREACQNCGYPKYGGPDPSTYRYNRTETLAGDWFCTSMNCGAHNYASRSNCYRCGAFKDPYSSGYGGNMVGSGGYGSDCSSPPGWKSGDWICPRIGCGIHNYASRTECYKCKMPRDYGGAD >RHN41051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26431104:26432071:1 gene:gene47327 transcript:rna47327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MYGEHRFYMKRVVNMAKIVKYVYVIIIFLSLFLVATKIEGYYYKCFKDSDCVKLLCRIPLRPKCMYRHICKCKVVLTQNNYVLT >RHN54112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6976668:6979450:1 gene:gene29098 transcript:rna29098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSSIFKLKPAKAVSFCIHTETQISKTILQELKSPTHQTLHYLIDQCISLKQLKHVHAQIILHGLATQVLTLGKLVSSSVQLRDLRYAHKLFDQIPQPNKFMFNHLIKGYSNSSDPIKSLLLYRRMVCDGILPNQFTIPFVLKACAAKSCYWLGVCVHAQSFKLGMGSHACVQNAILNIYVACGLITSARRVFDDISERTLVSWNSMINGYSKMGRSEEAVLMFREMQEVGLEPDVFTLVGLLSVSTKHGNFDLGRFVHLHMVVTGIEIDSIVTNALMDMYAKCGNLKCAKSVFDQMLDKDVVSWTCMINAYANHGLIDCALEFFNQMPGKNVVSWNSIIWCHVQEGLYAEAVDLFYRMCDSGVMANDTTLVAILSSCSHMGDLALGKQAHSYIFDNNITLSATLCNAIIDMYAKCGALQTAMDVFFGMPEKNAVSWNVIIGALALHGYGKEAIEMFEKMQASGVCPDEITFTGLLSACSHSGLVDTGQHYFEIMNLTFGISPDVEHYACMVDLLGRRGLLGEAISLIKKMPVKPDVVVWSALLGACRTYGNLAIGKQIMKQLLELGRYNSGLYVLLSNMYSESQRWDDMKNIWKILDQNGIKKCRAISFIEIDGCCYQFMVDDKRHGASTSIYSMLGQLMDHLKSAGYPCKHLDVEEIYCSYI >RHN59417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10473140:10480012:1 gene:gene21443 transcript:rna21443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase WNK-NRBP family MFKGRIGGKAQLGYVETDPSGRYGRFRDILGKGAMKVVYRAFDEVLGIEVAWNQIKLDDVFHSPDQLQRLYSEVHLLKNLDHDSIMTFHGTWIDANCRTFNFITELFTSGTLREYRKKYPRVDIRAIKNWARQILSGLEYLHSHDPPVIHRDLKCDNIFVNGHMGKVKIGDLGLAAILRGSQHAHSVIGTPEFMAPELYEEEYNELVDIYSFGMCMIELFTSEFPYSECSNPAQIYKKVTSGKLPKAFDKIHDEETQKFIGKCLANVSERLSAKELLMDPFLATAHHDSPLPSPTLVSKHTQQINFNATIAKEQPPLKNATNSTHMTITGTINEEDGTVFLKVKISNKNGHTRNIYFPFDTKKDTAIEVANEMVKELEISDLEPIEIAEMIEEEISTIVPTWRDCCSSKYQRQHSFIYGEEDDHDISNHHPFFSPPSRSSSHGSLPMFCASYNNNSNHCGNHYSFAQDWPQDDLQYMNDDASSQSSTNSFKSFNYHCNDSCNEDDQGTNQVVGEEPLCCNPKSHNKWTRFGPPEEMVEAGFTKQFCNKRMDSHTHTHRNHHGQRHGCQRLTRINSCVDVRKQQLQRSLMEEMQKIRMFNTVGAIENVGFQNPDEGGWFSS >RHN56224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28973330:28974819:-1 gene:gene31591 transcript:rna31591 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQAASQTKFRALKHENGTAGCATIIVRVIACFQTLRECQAEYFRQLLKPVT >RHN80913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41855058:41858745:-1 gene:gene4897 transcript:rna4897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MGDKEVKEELKPIIQWKKQKGGFRASMFIFVLSALDNMGFVTNMVSLVLYFIGVMHFDLSSSANTLTNFMGSTFLLSLVGAFISDTYLNRFTTCLVFGSLEVMALVMITVQAALDNLHPKACGKSSCVEGGIAVMFYTSLCLYALGMGGVRGSLTAFGANQFDEKDPNEAKALATFFNWLLLSSTLGSVIGVTGIVWVSTQKAWHWGFFIITVASSIGFVTLAIGKPFYRIKTPGESPILRIIQVIVVAFKNRKLQLPESNEQLYEVYKDATIEKIVHTNQMRFLDKAAILQENSESQQPWKVCTVTQVEEVKILTRMLPILASTIVMNTCLAQLQTFSVQQGNSMNLKLGSFTVPASSIPVIPLIFLCTLIPIYELFFVPFIRKITHHPSGVTQLQRVGVGLVLSAISMTIAGFIEVKRRDQGRKDPSKPISLFWLSFQYAIFGIADMFTLVGLLEFFYREAPSTMKSLSTSFTFLSMSLGYFLSTVFVNVINTVTKRITPSKQGWLQGFDLNQNNLNLFYWFLAILSCLNFFNFLYWASWYKYKSEEDSNNSKELGETHLLMVGGRKHDDKAKAKESSQTSEANTEGPSSSDETDDGKEKERHR >RHN72694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9851824:9852580:1 gene:gene8443 transcript:rna8443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MGSKGVGSIFIIFLNLLLVSTLVASHTPRRPPPPPCLSTPPPVDTPSTPPPVVTPSTPPPSTPPPSTPPSPSPPIITPSAPPPSTPPPTTPPSTPPSIPRTPPSTPPPIPVTPPQNCNLLNLNICAKVLNNVVGLNPRNNRCCTLISGLVDLDAAVCVCAALKANIIGISVNINADLKIILNSCGVNTPAGFTCRR >RHN73485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16910464:16911283:1 gene:gene9303 transcript:rna9303 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEMLEEFLPITPIRTIAPMTKTLKHVSEVETTKKVLRENEQECHTPTSSRGQSSHNFLVCPPAPKKSRVTQRNKNSALPSQVFFQVPHDLASVFVFRKPNIARRSEIYSS >RHN59944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15132343:15133176:1 gene:gene22116 transcript:rna22116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MYSIGVTTLSALRICPTESMSIIMATVDQYVTDDGWWFLSCICHEQVVPDSPAYYCERCNKHVLMITPRFRITFHVTDNTGSATFLMHDSVAAEFFGIPCSKMLEFGENVGMSSYVPDELLNMVGKCYLFKVFSKVGAGCDGEKCYPISKINDDEELLGRFKRHNSVVIKLDTPSVSKYMSILEKLH >RHN51563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19903199:19903513:-1 gene:gene36023 transcript:rna36023 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGGGAASMKAWANFLELLMKSRHRCKAKMHRIVLNNFGREITVSDEITDILNEVHRGYNSTDILVGQISFALCAIVRSPKDTSAYALIFHALGTPKSLKTLK >RHN49054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52120628:52125238:-1 gene:gene43841 transcript:rna43841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-GSK family MMASGGVAPASGFIDKNASSVAVEKLPEEMNDMKIRDDKEMEAATIVDGNGTETGHIIVTTIGGKNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVSRVIRHYNKMNQRMPMIYVKLYSYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLGELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSTALEALVHPFYDELRDPNTRLPNGRFLPPLFNFKVNELKGVPAEMLVKLVPPHARKQCALFASS >RHN52541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36487943:36489479:1 gene:gene37230 transcript:rna37230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MVKLDFSNPFEDKTYIDLFGFGSINDTILLHQYCVYKHVLWNPATQKFNLLPPTPFESYVPDDVKRYCSFVSYLHGFGYDSVTGDYKVIRFLFFPDRENMHCRCLGNKYFEPLWEIYDLRSNSSRKLEVDMPPSLDITEGTHVYMDGVCHWLCQNDYGYWKKHNIAFQPSLVSFYLSSEVFFITPVSSDVDDCFDVGAEWKWINLVVLNLSIALISYHEKMATFHVSILGEVGMKETWTRLFTVRPLPCVERPFGVGTKGEISFTRKDKELAWFDLSTQMIEELGFKVDFPECRIIVYKETILPFGGLNN >RHN45262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13912738:13915443:-1 gene:gene39503 transcript:rna39503 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRKTQRRQREKSLGSDSTVEIHQFGKLEKRTKKKHRSLSRCFLSLLGVFYFILGQALIL >RHN40146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13621702:13627886:-1 gene:gene46256 transcript:rna46256 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQSEVSVLLSLVVEVKINRIMYTISLSFGIDLLIHFVYPHSTEQYIFISIKNAFHFYKAAKVSTLLFFLIHSFRSHLVSGHSFFVCCLFLLLL >RHN69510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44060587:44061096:-1 gene:gene17989 transcript:rna17989 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYWRNQFLFFILLTSVVVSQGSRFPKNFWEQMLPKKLPSPSSSPSKGTNSVSSTSFTTWKKDSIPSSDGKV >RHN47851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43013231:43016150:1 gene:gene42498 transcript:rna42498 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPKSTNEDNSTTTTNNNNMSLLLVLFPQDKSSTTTTTKLNSPSSSSSFSPSSSSSKSLIISKAQSTLSICALLLFTTLLLFTLSTLPTTPPSPSPLRRRFLLNHHNNHHFALQKMGTLYLRGTKPMSDLLICHVSDETSHEDFRFFLRLLHLSTIISSTDVVFIFSSPSSSSSFSHIIQQENHSFITLLHSPNNTFFNTSRFFHKGNNHPVQEPLWGNKIRNNATTASLSYGSVLSFDATELDPENSLSGFLDRVPFSLRRWACYPMLLGRVRRNFKHVMLLDTKTILILRDPFNRVKNRSPESVLLFNKHGKKLQSSTQRAVLPAVVIGGARGVRRLSNAVMVEIVRAATQHRKKKNSVTESVLLSQLASNEFLLKSKNVQLNVLNELIPEVSSLNGHNHAIIQRGMNNHDLNSVIKKQICSSVVDSSSVYRDC >RHN65921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6547410:6554317:-1 gene:gene13817 transcript:rna13817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEKSRLELQEFTNYLYEERASGFATSFMAESIIYGREREKEEIIKFLLSDSYNRNQVSIISIVGLTGMGKTALAQLVYNDHRIQEQFEFKAWVHVSDESFDCLRLNKEILNHQLQKWLAGNKYLLVLDDAWIKNRNMLERLLLLFNQGYIRGKMIVTTNDKEVASVMRSTRIIHLRQLEESDSWNLFVRHAFEGRNMFEYPNLESIGKKIVEKCGGLPSALKTLGILLQRKFSENEWVKILETDLWRLPKGDNSNIYSALRMSYLSLPSNLKHCFAYCSIFPKGYEFEKGELIKLWMAKGLLKGITKKEEELGNKFFNDLVSMSFFQPSAIMPFWAGKYYFIMHDLINDLATSMSGEFCLRIEGVKVQDIPQRTRHIWCRLDLEDGDRKLKQIHNIKGLQSLMVEEQGYGEKRFKISTNVQQSLFSRLKYLRILSFSGCNLLELADEIRNLKLLRYLDLSYTEITSLPDSICMLYNLHTLLLEECFKLTELPSNFHNLINLCHLNLKGTHIKKMPKKIRELINLEMLTDFVVEEQHGYDIKQLAELNHLKGRLRISGLKNVADPAVAMAANLKEKKHLEELSLSYDEWREMDGSETEARVSVLEALQPNRNLMRLTINDYRGSSFPNWLGDLNLPNLVSLELVGCKHCSQLPPLGKFPSLKKLSISGCHGIKIIGSEFCGYNSSNVAFRSLETLRVEYMSEWKEWLCLEGFPLLQELCLKQCPKLKSALPHHLPCLQKLEIIDCEELEALIPKAANISDIELKRCDGILINELPSSLKTAILCGTHVIESTLEKVLINSAFLEELEVEDFFGRNMEWSSLHVCSCYSLCTLTITGWHSSSLPFALHLFTNLNSLVLYDCPWLESFFGRQLPCNLGSLRIERCPNLMASIEEWGLFQLKSLKQFTLSDDFEIFESFPEESMLPSTINSLELTNCSNLTKINYKGLLHLTSLESLYIEDCPCLDSLPEEGLPSSLSTLSIHDCPLIKQLYQKEQGEHWHTISHIPYVIIS >RHN52552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36573269:36580459:-1 gene:gene37242 transcript:rna37242 gene_biotype:protein_coding transcript_biotype:protein_coding MALANAAVIGPSLYSVARITKAPFPGSHGEIGSRFCGSAFCVCSHAGKSDVVLERRKLKFGDSNNNRIVENQVLKSTVEIPVSCYQLIGVPDRAEKDEIVKAVMSLKNAEIDEGYTMGVVASREDLLMDVRDKLLFEPEYAGNLKEKIPPKPSLRIPWSWLPGALCLLQEIGESKLVLDIGRTSLQHQDAKPYADDLVLSMALAECTVAKIGFEKNKVSQGFEALARAQCLLRSKPSLAKMTLLSQIEESLEELAPACTLELLSVPNTPENVERRRGAIAALRELLRQGLDVEASCQVQDWPSFLSQAFHNLLANEIVDLLPWDSLAVMRKNKKTIESQNLRIVIDSNCFYRVFTAHMALGFSSKQKELINKAKSICECLIASEGIDLKFEEAFCLFLLGLGTEEEAVEKLKQLELNSNPKRNSVLGKAIMDSSAVNPSLELWLKDSALDLYPDTKGCSPALANFFNAQKKFSGSKNSKGSPQMFPTICHRPLSSSGSVERKDFEEPRSYMSSSSNLGFAVKQLTPTDLQGSLLSGKNENGVNQSEQTVKVKRNLSTHHNGIWNNHFTRAQVFERITHITILGCIAFACMKLLGMNLGKNGSNLAFKKAHTSTSWTTNSSANYTVGPTYIRRSSVGNKLKGLISMVKMQFLRRPDAESRSGLHSTLTSSSSPINVYRRLMPVEEAETLIREWQTIKAEALGPSHEVNGLTDVLDESMLAQWQALADAAIEKSCHWRFLLLKLSVLRADILSDGNGSDIAEIEALLEEAAELVDSSQQKNPNYYSTYKVKYVVKRQDDGSWKFCEADIQTR >RHN75830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45416195:45421398:-1 gene:gene12084 transcript:rna12084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MGFLLPTLFLLLLFSSHQTKAYEFNSNTTATTTISSSSSSSNERKLAGRCNWFRGKWVYDPSYPLYDPSSCPFIDPQFNCQKYGRPDTQYQKYRWQPFTCSIPRFNALDFLAKYRGKKIMFVGDSLSLNQFNSLACMIHSWVPKTRYTFSKQSAISTITFQDYGLQLFLFRTPYLVDLDRENVGRVLKLDSIKSGNAWRGMDVLVFNTWHWWTHTGNAQPWDYIQEGGKVYKDMNRFIAFYKGLTTWARWVNINVNPAQTKVFFLGISPVHYEGRDWNQPAKSCMRETEPFFGLKYPAGTPMAWVIVNKVLSRLKKPVYFLDVTTLSQYRKDAHPEGYSGVMPTDCSHWCLPGLPDTWNVLLHAALFG >RHN42962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42204846:42209193:-1 gene:gene49474 transcript:rna49474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transaminase MANTFLHNNTTCTISFPDKSLPAISVSRHRSLSFSSNLSTFPKMSTAVEQNRRETIIVKSKSDSELEVDISLSPRVNAVKPSRTVAITDQATALVQAGVPVIRLAAGEPDFDTPAVIAEAGVNAICEGHTRYTPNAGTLEIRQAICHKLKEENGLDYTPDQIVVTNGAKQSITQAVIAVCSPGDEVIIPAPFWVSYPEMARLADATPVILPTSISNNFLLDPKLLESKITEKSRLLILCSPSNPTGSVYPKYLLEEIAKIVAKHPRLLVISDEIYEHIIYAPATHTSFASLPRMWNRTLTVNGFSKAFAMTGWRLGYIAGPKHFIAAVGKIQSQFTSGPSSISQKAGVAALGLGYAGGEAVSTMVKAFRERRDYLVKSFSEIDGVKISEPQGAFYLFIDFSSYYGKEAEGFGKIENSESLCRYLLDKGQVALVPGSAFGDDTCIRISYAASLPTLQAAVENIKKALIPLTSAALV >RHN80993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42449354:42452504:1 gene:gene4981 transcript:rna4981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MKHSPILTLLFSLYFLTSFLSIQARHHFHTKHKHYSHSHNSSSEISLPPAPLPSPTSSAPEEAPSASASPSPSPEVASGLLDVRKFGAIGDGITDDTESFKMAWDTACQSELDLNVIFVPPGFSFIVQSTIFTGPCKGGLVLKVDGTIMTPDGPESWLKNNSRRQWLVFYRVNGMSLEGSGTIDGRGQKWWDLPCKPHKGPNGTTLPGPCDSPVAIRFFMSSNLTVQGLRIKNSPQFHFRFDGCQSVHVESIFITAPALSPNTDGIHIENTNDVKIYNSVVSNGDDCVSIGSGCYDVDIKNITCGPGHGISIGSLGNHNSRACVSNITVRDSVIRVSDNGVRIKTWQGGSGSVSGVTFSNIHMDTVKNPIIIDQFYCLSKDCSNKTSAVFVSDIVYTSIKGTYDIRHPPMHFACSDSIPCTNLTLSDIELLPSQGDMLNDPFCWNAYGNSETLTIPPVFCLLDGIPQSIPANDIDHC >RHN82474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54062832:54073351:1 gene:gene6643 transcript:rna6643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MAVGNSIGVHGLGDMKSLTVQFITGRWFVIFASFLIMAAAGATYMFGLYSPDIKTTLGYDQSTLNLLSFFKDFGSNVGVLSGLINELYPPWVVLTIGAILNFFGYFMIWLSVTKKIARPQVWQMCLYICIGANSQSFANTGSLVTCVKNFPESRGAVLGILKGYVGLSGAIITQLYSAIYYDDTKALILFIGWLPAAISFAFLRTIRYMKPVRQVNELKVFYNFLYISLGLAGFLLVMIIIQKKVSFSQSEYGLSAAVVIFLLFLPLAVVFIEENKIWQSKKLALVDPSPVKIVTEGETVTETEKVNSAVSVSAPKKDPKWWEDVFNPPARGEDYTILQALFSMDMLILFVACICGVGGTLTAIDNLGQIGTSLRYPKKSISTFVSLVSIWNYLGRVFSGFVSEHFLTKYRFPRPLMLTMTLFVSCVGHLLIAFDVPEGLYVASVIIGFCFGAQWPLLFAIISELFGLKYYATLYNFGSVASPLGLYVLNVKIAGHLYDKEAKKQLEALGKQRIEGQELNCVGVDCYKLSFIIITAATFFGAVVSLILVARTRKFYKGDIYKRYREEAEMVEVKNVEKTEEDDKVGQQQHVLVICILGLNMAIGVHDWGDMKSLTVQVITGRWFVIFASFLIMSAAGATYMFGLYSSDIKETLGYDQSTLDLLSFFKDFGSNVGILAGLINEISPPWVVLAIGAILNFFGYFMIWLSITKKIARPHVWQMCLYICIGANSQSFANTGSLVTCVKNFPESRGAVLGILKGYLGLSGAIITQLYSAIYYNDSKALILLIGWLPAAISFAFLRTIRYIKPVRQVNELKVFYNFLYISLALAGFLLVIIIIEKSSSFTQGGFGLSAAVVIFLLFLPLAVVFIEENKIWQSKKLALVDPSPVQIVTEANNNLSSTTSAVSVSVPKKDPKWWEDVFNPPARGEDYTILQALFSIDMLILFVACICGIGGSLTAVDNLGQIGTSLRYPKKSISTFVSLVSIWNYLGRVFSGFVSEYFLTKYRFPRPLMLSLTLFVSCVGHLLIAFDVPQGLYVASVIIGFCFGAQWPLLFAIISELFGLKYYATLYNFGSITSPIGLYVLNVAITGHLYDKEAEKQLEALGKQRIEGQELNCVGVDCFKLSFIIIAAATFFGAVVNLILVARTRKFYKGDIYKRYREEAEMVEVKNVGKTEEGDKVGSSIGMRTNNMAVGNSIGVHGLGDMKSLTVQFITGRWFVIFASFLIMAAAGATYMFGLYSGDIKTTLGYDQSTLNLLSFFKDFGSNVGVLAGLVNELYPPWVVLTIGAILNFFGYFMIWLSVTKKIARPKVWQMCLYICIGANSQSFANTGSLVTCVKNFPESRGAVLGILKGYVGLSGAIITQLYSAIYYDDTKALILFIGWLPAAISFAFLRTIRYMKPVRQVNELKVFYNFLYVSLGLAGFLLVMIIIQKKSSFTQGEFGLSAAVVVFLLFLPLVVVFIEENKIWQSKKHALVDPSPVKIVTEGETVTETEKVNSAVSVSAPKKDPKWWEDVFNPPARGEDYTILQALFSMDMLILFVACICGVGGTLTAIDNLGQIGTSLRYPKKSISTFVSLVSIWNYLGRVFSGFVSEHFLTKYRFPRPLMLTLTLFVSCVGHLLIAFDVPEGLYVASVIIGFCFGAQWPLLFAIISELFGLKYYATLYNFGSVASPLGLYVLNVKITGHLYDKEAKKQLEALGKHRIEGHELNCVGVDCFKLSFIIITAATFFGAVVSLILVSRTRKFYKGDIYKRYREEAEMVEVKNVGKTEEDDKIGQRQHVVTT >RHN63882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54728189:54729184:1 gene:gene26637 transcript:rna26637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MSNVFVISALLFALMLFKTSNAFPYTISTPRTRYCRTIISYMMVECLPYFINDDNSQQPNTPCCIAVQSIAANDTNNCFCDIIIDNDDDSPMDLTKATNLPTICGVSPPCHANTPSPGPSHAPEDERIANAPSPGPSQAPEDVTILYWFLIGLAIYFVVALLLWFLIPFCL >RHN42125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35587715:35592199:-1 gene:gene48525 transcript:rna48525 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSKLVEQLRDYQIRSQHKYSPLTFFSPKPHIITWVDVAVAIAFALVFCMLVVISFTTLYFRRFWIFLVVVSFSIILLIRLRASRQTLARKRERRLPLSI >RHN63018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47660514:47660953:-1 gene:gene25666 transcript:rna25666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-18 MEKQTEKETKPTTTNEASKGNIEGLPLQDSPYLKYEDLEDYKRQGYGTQGHQQPQPGRGAGATEAPTLSGANVSSEAKFNAANAVNSKGVP >RHN48102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45025104:45029606:-1 gene:gene42777 transcript:rna42777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MKMSKKFKSEEELPDCVISYIFSKLCLKDLVKTSVLSKRWLHEWRSRIDLNFDLHNMFDYNTLQELPQNLPVPLLKTFQSEFATRMDRFMLKHRGDMIRSLRVDFPLGNQHSDVIDKMIFKGIAKGVERIELLFSYQNNSDFEIEPYKFVFELLSLDDSLTYLHLQKCHLVAPINLSGFNNLRTLVLHLVVVKQDLLQGMLSSCIHLVDFTLNDCEFNSDLKIISPKLFNLNIVNCGVEIGREKNIDIFASNLSSIEYSCNGREVHSMNIKADMLSKFSYRGREISQHIGFSGLKNVTTIVFDGLHECISDDIVPHLFSECLQLEDVTFKNCWMICRMKIISPKLRRLSIIDCCYDGMSPYRMSIDALNLSSFEYSGYTRVFSVNAPRLSKVFWNATTREETPFAIHPYESLLYIENLTMIMSTSQVSREINGDIAMASHHLEKLSVTIENSHMIGLQRKRREYAGFFHNDLKYVELHGCVCTINVIELASHLLRNAYSLKKITFSSLDKFYMGAGRWTKGSNVCCWGFKRNFIHEMLKDDVNEHCQLTIV >RHN79142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24271620:24274433:1 gene:gene2867 transcript:rna2867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTQISKSFYALIIFLSLILVVTSKDITCTVAGDCPNFFVCPPNNFVRCIRNLCKCRSLSYKQP >RHN51351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16553380:16554337:1 gene:gene35777 transcript:rna35777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKPTLVTTLCFLLFSFTIYFPLPFTHANDFIVKDIFGNPVVPSGSYYIWPDYLVSGGELRLGETENSTCPFTVLQDYSNLGPGLPVKFTPQNQTSGDDPITLSLHIDIAFENKPDCAESSKWLVVEAENEYPTPWLAIDGTGKKVYDDGWFEIIGYKKTGYLIYFCHKLSPTLGECIYLSRKNDKNGMRLVYEMDGDALAAVFVNINDAARARRSSAI >RHN60556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28123180:28125904:-1 gene:gene22879 transcript:rna22879 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKFSCFSENSINVSNASCSSYSNNTCISPNVVSPSIQNSISSVYKLVLSTLKHLLITVTWCKNHSNQGLSISFGDEDPSTVPSFRLNTNSRFFRKKKGSKMLDFEDFKVTVLWDLSNAKYETGPEPVDAFYVVIVVDSEIGLILGDSETVTKKMKDSVPMAKISLLSRREHCSGNTVYYNTKAQFCDSGNFHDVLIKCSVENEGFNNKSSPVLSVNIDKKNVIRVKRLQWNFRGNQTIFVDGLLVDLLWDVHDWFFNPQASGYAVFMFRTRSGLDSRLWLEEKHSQKDKDRVEFSLLIYACKSS >RHN50090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3977933:3979198:1 gene:gene34343 transcript:rna34343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MARKRKVSEAVEEGSMVWDDMMKEAASLGGARRVRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPCSQSSTSPALSSKITNLLLQRLKERNMNNSCSSFSSSKYTSLLGSPEERREQQRANDHDRQEMQQQVDAYGEVSKDFSIDQFTDFLNDHEDYSTSNNELINDTAQFDYISSSFESCLTENNGCKEDEMNMESKSNNVTETSSGDSNSEDGEEEVNNDVSVDVPDFRFLDDIAPTSYYSPFEIAEEMEEQMVENESFCDEPSMIRAVMKRMKYERKFSASLYAFNGIPECLKLKLESGNNNGRGISDQLNNLKEACNKNKLEKKKKMVDEKNEENNQTSTEMESSCLSSNDGDLFLWNSLDLPPICFVNLLENGSFN >RHN44217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2490037:2494855:1 gene:gene38307 transcript:rna38307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyvinyl alcohol dehydrogenase (cytochrome) MATVEQITCFLVSLFLLCSLTCSKRYDYTEKEQNWLNHGGDIYNRRYASKEHKINLKTISNLTLKWEFNAGKDITATPAIFNGTIYFPSWNGLIFAVREIDGTLVWKKNLTKLTGLDSIGFIANVNWTVARATPTIAVDEDLVIVGIYGPAVVIAVNRSTGDLIWQTRLDSNDAGVVTMSGTYYKGAYYVGSSSLEELKSAEECCTFRGSFSKLDIKSGAILWKTYMLPDNNGKRGEYSGGAIWGSSPPIDASRNHIYIATGNLYSAPLHIRQCQDEENNKNLTRPTQPDQCVEPENHSNSILALDLYNGEIKWYHQLGGYDVWFLACNDLSTPNCPPGPNPDADFGEAPMMLTIDVNGTKQDIVVAVQKSGFAWALHRHNGDIIWSTEAGPGGVAGGGTWGAATDKERVYTNIANSNAKNFTLKPSNKTITSGGWVAMEASSGKILWAIANPSNATANGPVSVANGIVFAGSANRKGPIYAINGKTGEILWSYETGATVYGGISINNGCIYLGNGYTVGLATVIGGLTGGTSLYAFCV >RHN54901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13336599:13338584:-1 gene:gene29999 transcript:rna29999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamyl-alcohol dehydrogenase MATEAKVFEHPKKAFGWAARDSSGVLSPFNFSRRETGEKDVAFKVLYCGICHTDLHMMKNEWGNSIYPLVPGHELAGIVTEVGSKVEKFKVGDKVGVGYMVDSCRSCENCAEDLENYCPQQTVTCGAKYRDGSVTYGGYSDSMVADEHFVIRIPDSLPLDVAGPLLCAGVTVYSPLRHFQLDKPGMNIGVVGLGGLGHMAVKFAKAFGANVTVISTSPSKEKEAIEHLGADSFLVSRDPDQMQAAMGTLNGIIDTVSASHPILPLIGLLKSNGKLVMVGGVAKPLELPVFSLLGGRKLVAGSLIGGIKETQEMIDFAAEHNVTPDIEVVPIDYVNTAMERLEKADVKYRFVIDIGNTLKPSP >RHN80385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37632694:37632948:-1 gene:gene4306 transcript:rna4306 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta MGTLLTSTKEGSITSIQEVYVPAVDLSDPTPAITFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGEDHYETAQRVK >RHN49064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52167651:52168112:1 gene:gene43851 transcript:rna43851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAINVRGSATTIKHAARVMVERKIRGSIICTASVAGFVAGCAGHDYTTSKHGLIGLVRSTCSELGAYGIRVNSISPSGVATPLLCRALNKDVSEVEAIGNDSANLKGITLKAGHIAEAAMFLASDESAYISGQNLVVDGGFTVVNRWLKKQAI >RHN59374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10016863:10018610:-1 gene:gene21400 transcript:rna21400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKRKKISIAAARTKVDEAESRELCPYFDNLPSHLTAHILLRLPFKSVLICKSVCKVWKTMISESQFAKLHFERSPISLMIRTRHRVSRTLYLLECEPDKFEIGSNNHVKLAPIFKLPLRSFRDKRDQINIESKRPFRAARLVSGKNNDNSDRGRQSLYIDCNRDIDKFDIVNSCNGLLCLSDPSFGNPIVICNPVTGEFIRLPESTTNRTRVRMQGQAGFGFQPKTNEYKVISVWIRHVKQANQWVFERVILEINTLGTTSWRNVEVDPQISFSSLKYPTCVNGALHWIRFEDEQRSILVFCFESERLQSFPSPPHVFGNHNVYCSRPISMGELKGFLYICDPTFISNVSMWVMNEYGIGESWTKIYNIDTSFNPLDRVPRGYGLSWPIKHFEEGAAILSYHSFNCFTYYEPEKYGFKVFRIHGSRKNYFEVIQHIPSLISLKDVLKGVNIEVLNIHSR >RHN40873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23572065:23572394:1 gene:gene47116 transcript:rna47116 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPFPQNCESLLITDLSMQLSNVMDEVVRSDLCCADQQSIISPVKESVPNVSGIMAASDAPLDPTLQKEMNFMKTWLLQSAAIEVPFFQVILKSHRKKINKVAYQTRS >RHN77194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4854664:4855140:-1 gene:gene620 transcript:rna620 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMELCYHICYTLCFEFCLPPFMDWMESFVTMNMTAEFIFYACLTLFIHLYFHRFIHWINPFINITTKGMLTPIVITIILFHRFDWSFASTPMDFKMALFSHICYGILFNLSIPRIIHWINPSSNVTNEFLLIPILSAIIEFHSWMPLSELEPSQGS >RHN58912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5878944:5880065:1 gene:gene20868 transcript:rna20868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSNEMTQTLVVLNMKMNNLIGTIPDVFPPYCALRTLDLQKNNLDGQIPKSLVKCSALEVLNLANNIIIGTFPCLLKNISTIRVIVLRSNKFNGHIGCPNTSGTWQMLQIVDLAFNNFSGKLPGKFFTTWEAMRSDENQADLKVKRVQFEVLQFGQIYYHDSVTVTSKGQQMDLVKILTVFTSIDFSSNHFEGPIPYSIGNFKALYILNISNNRLSGKIPSSIGNLKQLESLDLSNNTLTGEIPVQLESLSFLSYLNLSFNHLVGKIPTGTQLQSFQSSSFEGNDGLYGPPLTEKPDGKRNDELLSCSTDWKFLSVELGFVFGLGIVIGPLMFWKQWRIRYWKLVDKILCWIFSRIHLEYVTHRGQTYIVLRWH >RHN55397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17925243:17926089:1 gene:gene30570 transcript:rna30570 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDIGSSYQVVKDNEIQHDLLSVFISFRKSTIVNCKPQVTYPNQNHNN >RHN40877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23614935:23615627:1 gene:gene47120 transcript:rna47120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MEILTSIVEKITEYTVVPIGRQASYLIFYKGNFKTLKDHVEDLEAARDRINHDLESEMQNGKLIEKDVMNWLDKVNEVIEKGNGLQNDPRRANVRCSAWSFPNLILRHQLSRKATKIAADVVQVQRKENFKRFSYHPPLDLVASSSSTRDGEKYDTRESLKEDILKALADLTSRNIGVCGLGGAGKTTLVEKVAQIAKEHKLFDRVVKAEVSKNPDIKIIQGEIADFLGL >RHN46992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36340963:36342978:1 gene:gene41537 transcript:rna41537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAKKYEGPAIGIDLGTTYSCVAVWQQRNNRAEIIHNDQGNRTTPSVVAFTDSLRLIGNAAKNQAASNPTNTIFGNAKRLIGRKFSDSIIRKDIKLWPFKVIPGADDNPKILVKYKGKEKCFVAEEISSMILSKMQEIAEKFLELPVKNAVITVPAYFNDSQRKATKDAGIIAGLNVMRIINEPTAAALAYGIQKRGNFVVGKRNVFIFDLGGGTFDVSLLTLKDDSFEVKATAGDTHLGGEDFDNKMVSHFVNELKRKNNVDISLNPKALRKLRTACERAKRALSYDIEATIDIDAICQGIDFCSSITRAKFERLNMDLFEKCMEIVRSCLRDAYMDQSMVHEIVLVGGSSRIPKVRKLLQDFFKGRDLCMSINPDEAVAYGAAVQAALLIDGIKNVPNLVLRDITPLSLGIWTKGDVMSVVIPKNTPIPIKMTEDRCKTSVDNQLGVSIHVYEGERIKASENNLLGLFRLFVPPAPRGLCIKVCFAIDADGILNVTAEEETTGNKSEITITNDNRRLSTQEIERMIQEAEKFKVEDMKFQEKARAVNALEDYLYKTSKVMEDNSVSSMLAPADKMKIDSAIMNGKSLIDNHGNQHNETYVFVDFLKELEIIFESSLNKINKGFKRKFEQ >RHN38868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2514667:2516910:1 gene:gene44841 transcript:rna44841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MDRKLVVGMCLFIIVFLTPHTAAATMYGPCEKPSRLFNGICIGHSGNKQCEFLCQEGEYLLRGSCQMKTCVCYVC >RHN72955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12105319:12111422:-1 gene:gene8723 transcript:rna8723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MSHCGQMSQWLSRRPLTKSVFSIHSTTTSYAAASSLPLSTTKVQILKHKLEPLGITLDNNSCLSGMYHNLYCPKCKGGQLMERSLSFHIITNGDFAMWRCFRPTCGWAGQVFADDKELDNKPDEESLRLEPLGPKLVAYFKERLISEKTLSRNAVRQLSGDKNVIAFTYTQNGLIVGCKYRTMEKRFWLGKGTKKVFYGLDDIIHATEIIIVEGEIDKLSLEEAGYQNCVSVPVGAPGKVSSKDLPPIEKDTAFQYLWNCKAYLDKVGRIILATDSDPPGQALAEELARRLGRERCWQVRWPKKDEFSFFKDANEVLKYMGADALKRIVENAEPYTSAH >RHN78264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13396928:13410557:-1 gene:gene1798 transcript:rna1798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSNESESEVCDNPIPPPNIGKRQCEDEDEKEVITKKQKIEEVVEMKEIKEETDSDYLKIGEDEDRKEVITMKEIKEEIISDDSEHDKKLEAKNDSATGCGPSESLDDVISDSDSHRSSGEDNPDDSESPEYIGEEVEEKVSEAHQERHETPVTINEKDAPSKTICVRNLSYSVERTDMEDIFKDCGEVVDVRFNTDREGRFRGFGYVEFGTAEAAKKALKLDSTELLNRRMRVDIAIEKSGYPPCRSNLSSSVHTGGNLQSHPHTVKGVVDASIVENKPKSPATPNETNVASKTIYVRNLSYTVERSDMENIFKDCGEIVDIRLHTDREGKFKGHAHVQFATADAAQKALVFNKKVFYNRLMFVGIAFERGIYSPNRSNSTWSSSFHKDERFQSQTIPVKCFDTSLAEDKLACAKDVKDIQMSDADADAASAENKLPETPATQKEKNDASKTVCVRNLSFDVQRAEIESIFKDCGEVVDVRVHVDVEFATTEAAEKALQLDHTKFANRPIKVGIAPGEGECFPNRSLSISFQKGESFQPLTVFVIGFDTSVAEEKIKASLKAHFSPCGEITRISLPRYHDSGNIKGFAHLDFKDIDGYKKALQLDQTAIGDYWLSVVKAKPRRDYQGIGGGRGGYHGGGWDGGDHGGRAGWGRSHGAGRHWTANTEHW >RHN52536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36446772:36456197:-1 gene:gene37225 transcript:rna37225 gene_biotype:protein_coding transcript_biotype:protein_coding MMPCFIIIANTVLPSFIFLSLQNPSTNILKVYPSGLMPCFIIISNTVLPSFIFPSLQNALTNILKVYTSGLMFSNIILLNRSIASFSLPRMQKPLIKAL >RHN78530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15966698:15969758:1 gene:gene2110 transcript:rna2110 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSWKASNSMIFNKEKFDPVVVATSAVGFVDEFNKANPKRSGQYSRNQLDKRKPPNLDAGCFKDGTTS >RHN76897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2214363:2215931:-1 gene:gene285 transcript:rna285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MATTVSIVCKRTVVSTKAVEPGKYLPLSVLDRFMDKNHIRMVYYYQTSGDLELGQLTKKLRETLSEMLSHFPIVTGRLLRDEMGHWKIKCNDAGVRMVEAKAKGSVEEWLRSVDREKELKLVYWEDMLQKPYYWSTFYVQLTEFEEGGLAIGLSCTHLLADSICATKFMKAWADISLGNKITSPPIFHPLPHQRPQNRKPNNNSYMSLISHYKSLIENPISIKEAKYTTISMGFSDQMVQACISMAQPNDSLSPTSTPTPFEALAGLFWVTLSKIKGIKNGLVDTSLYLDVRKILGLDEGFFGNSMLYNKVHLDENFNLDYDKFPQATKAIRNVVTKFGNEEIMGLIEWFENNDINSSAMMNGHDLVFTSLENVEPYLAMFQDKFKPIHVSYYIEPVFGEGHVLILPTSNDEGPLGRVVMVTLQEEEAIKLCEDEFILQFSPTILMKY >RHN43368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45209243:45211556:-1 gene:gene49929 transcript:rna49929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MPPFAGSHPVGVFRSDTNIVTIDVGGQIFQTTKQTLTSAGPKTFFSTISQTSSPYTPFIDRDPDIFSLLLSLLRTGNLPSKAKSFDLQDLIIESKFYGIENLLINSLSNPSQFEPFNLQNSLQLPLNGRDSPSTVSTTPYGSLHVAHGSKITTFDWSLRRKSTILTHFTAVDSLLALSPSLAAAGANDFSGLQILDLENGYVKDTLIWENVTKSGSTVQAIGSSPENMFVSFESSRRNSNSILVYDLHSLRPVTEIAHNEIYGADIDSAIPATKLQWIESHNLLMASGSHSGPSGVSGNVRLWDVRSGNVVWEISEKVDCFADVTVSDSLDAMFKVGVNSGEAFYMDLKNLSSEKNSWVCLGDKRKVLNGKKEGFGCKIETQGNQVFCTKGGDVELWSDIIMGSSNNRIFKKNLMGRVQDMGGAKITNLAFGGSRMFLTRKDLQCVEVWQSSSREL >RHN82789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56437917:56443315:1 gene:gene6976 transcript:rna6976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-sugar transporter MQIPTNNGMIECSVCHSKLVSPAATKTVSRAYDRHKNRITSKQRVLNILLVGGDCMLVGFQPILVYMSKVDGKFNFSPISVNFLTEITKVFFAIVMLLLQARNQKVGDKPLLSISTFMQAARNNVLLAVPAFLYAINNYLKFVMQLYFNPATVKMLSNLKVLVIALLLKVVMKRRFSIIQWEALALLLIGISVNQLRSLPEGTTALGLPVTMGAYVYTFIFVTVPSMASVYNEYALKSQYDTSIYLQNLFLYGYGAMFNFLGIVVTAIFKGPSSFDILEGHSKATMLLIANNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAVLFGHKLTMNFLIGISIVFISMHQFFSPLSKVRDEQNGALELHDVQEKQRSKDSFLNMAAGANEEASHRVGHDERQPLLPT >RHN61498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35949503:35953194:-1 gene:gene23970 transcript:rna23970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L37 MLMNHARSVRRILSTKVAVSVVCQRTFATGKAKKGSKGVGPSDALKASTLSKEVKASTVVGANILKDGTDPKILPNSKYPDWLCQLIDKRPALSELRRKNVDTLLYEDLKRYVKLDNRAKIKENNSLKAKN >RHN71376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58587513:58591144:-1 gene:gene20053 transcript:rna20053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MTPTHNLAFLSILLVLLPAIVAAHDHGRVAGINNVTYDGKSLFVNGRRELLFSGSIHYTRSTPDAWPDILDKARHGGLNVIQTYVFWNAHEPEQGKFNFEGNNDLVKFIRLVQSKGMYVTLRVGPFIQAEWNHGGLPYWLREVPGIIFRSDNEPYKKYMKAYVSKIIQMMKDEKLFAPQGGPIILAQIENEYNHIQLAYEEKGDSYVQWAANMAVALDIGVPWIMCKQKDAPDPVINACNGRHCGDTFSGPNKPYKPSLWTENWTAQYRVFGDPVSQRSAEDIAFSVARFFSKNGNLVNYYMYHGGTNFGRTTSAFTTTRYYDEAPLDEYGMERQPKWSHLRDAHKALLLCRKAILGGVPTVQKLNDYHEVRIFEKPGTSTCSAFITNNHTNQAATISFRGSNYFLPAHSISVLPDCKTVVYNTQNIVSQHNKRNFVKSAVANNLKWELFLEAIPSSKKLESNQKIPLELYTLLKDTTDYGWYTTSFELGPEDLPKKSAILRIMSLGHTLSAFVNGQYIGTDHGTHEEKSFEFEQPANFKVGTNYISILATTVGLPDSGAYMEHRYAGPKSISILGLNKGKLELTKNGWGHRVGLRGEQLKVFTEEGSKKVQWDPVTGETRALSWLKTRFATPEGRGPVAIRMTGMGKGMIWVNGKSIGRHWMSFLSPLGQPSQEEYHIPRDYLNAKDNLLVVLEEEKGSPEKIEIMIVDRDTICSYITENSPANVNSWGSKNGEFRSVGKNSGPQASLKCPSGKKIVAVEFASFGNPSGYCGDFALGNCNGGAAKGVVEKACLGKEECLVEVNRANFNGQGCAGSVNTLAIQAKCSY >RHN64059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56252383:56254219:-1 gene:gene26837 transcript:rna26837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription repressor PLATZ family MIMGCQKPSWLEALYTEKFFAGCSYHENAKKNEKNVCCLDCCTSICPHCFPSHRYHRLLQVRRYVYHDVLRLEELEKLIDCTNVQAYTINSAKVVFIKKRPQNRQFKGSGNYCTSCDRILQEPFIHCSLGCKVDFVLKHYKDLSPYLRICNTLQLSPDYLIPQEMGDELEMTRSTIVDCDDPMSSYSVSSSGSENMSMPMPCTEIVRKRRSGWTSMCAKFMANSNSNKVSDEDMATSMISRRKGVPHRSPLC >RHN62052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40325527:40334847:1 gene:gene24582 transcript:rna24582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rossmann-like alpha/beta/alpha sandwich, nucleophile aminohydrolase MCGIALTVSGIRINISSLPFDSTSLNRQSENLLISLDDLKEALRRRGPDSLGVKKVTLQHNEKQISSFIEHDETLLCSANEDSNGELHFIGATLQLRGTVPLVQPLVDASRNILVYNGEIFGGLQLASDCNDAEFLMQTLGNCCSCGSCLTGNCVKCGKGSITDVLSTIKGPWAIIYWQDSSRTLWFGRDAFGRRSLLVHWPTEDDSTFLLSSVSPVAPDQQATENEAHNGIENRSYWEELPCGVYSMHVDASNSNGFLVGEVQIHEYTNSTLKELIKWERISVVPSSENLQTFCPKFSRGQQDVHSASLETVPCEAGSTQNSIPMRAHMLLNVLKESVIRRTSLYTIYQAVISGIKQEKFVPVAILFSGGLDSMILAALLDQCLDPTYEIDLLTVSFDGELAPDRKSAKTGLKELKRVAPSRRWRLVEIDADLSDLVSETSHVMSLINPANTYMDLNIGIALWLASCGDGWVSADDNDDTHARIKYKSNARILLVGSGADEQCAGYGRHRTSFRRGSWLGLDEEMKLDMQRIWKRNLGRDDRCIADNGKEARFPFLDEDVIRVLLNIPLWEVANLDQPSGIGDKKILREVAQLLGLYEAAVLPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVRICRKSNFG >RHN74207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30178503:30181799:1 gene:gene10225 transcript:rna10225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L21 MTTTMMTMTMMIMMKRREIMKMTMMILLQYQVGKRCTRQRRRKKKQKLLDTKWWGPTKKNDNVFKPYEPAFAVVEIGSHQFKVSNGDNIFTERLKFCEVNDKLILNKVLLLGSPSQTIVGRPIVPDGAVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITNIEGVEKPLNELVEKPSNSAKKEQEKVAVSA >RHN59226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8561984:8562487:-1 gene:gene21226 transcript:rna21226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Agenet-like domain-containing protein MVGKFKFHPGNKVEVSIDHGIGIYCSWFTATIVKWVSSDKLLVEYDDVDVKPTTVGLHQLRPVPTPESDDWEVKIGDKVEAFRKQRWWEGRVIEDLGNGSFRVCFTDSEEIVFPKDLLRVHRQWINHNWVPPITPQQIKNHKVRLFIFKIIITVTIIYWKKLFLINE >RHN46127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28981806:28983017:-1 gene:gene40570 transcript:rna40570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKMNFPPSQSRSFLPKELIVKVLSLLPVKSMMRMRCLGKSYNSLFIDPIFVKMHLQQSARNPHLALVTTKIPSAQNPHLALITTNIERVIPFPVRNLLENPSISLDEDPQYLIVNNIDNEGSNVHRIVGSCNGLICLLIFTYSLGYRDAWLQFWNPSTRTRSEKLGYIRLFRNKYYGPIYYEFTFGYDNLTSTYKVVMLGYHCKNMMILETKVFSLGDNIWRNITNLPAVPLQVFNFRQHYVVYLKGTLNWLAVFDFPIDSVNKYVIISLDLGTERYTQMRLPQGFDKLPQVFPTIGVLNGRLSFSYDVKQTHFVLWHMMDFRVVESWTPLLQISYENLQIDYFGGPIFYLVPLHLSEDCQTLILASTVEDQAILYNTRNNSVERTRIPNTLMDYVESLVSIC >RHN42248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36706358:36707120:-1 gene:gene48665 transcript:rna48665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative encoded peptide MAQNKTIVFSVISLALIIFCMQSIEGRLVKYIDESNLLKNVKHDGISDANEATLVNVTPTILPPSAVVGSNGVAAPPPSHDVGAFRPTTPGNSPGVGHSIHY >RHN64589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60249360:60250075:-1 gene:gene27417 transcript:rna27417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II MASTSTISMAVPLTCASKKLEAPTSQAFLKAPLTLKPSKSVAAARFVVKASLKEKIVTGLTAAALTASMISPDVAEAATVSPSLKNFLLSIVSGGVVVTAILGAVIGVSNFDPVKRG >RHN59730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12718666:12718950:1 gene:gene21835 transcript:rna21835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP47 reaction center protein MPTFLKHFRFLVDGDGIVRADVPFRRAESKYSVEQVGVTVEFFGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTF >RHN73141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13717025:13717282:-1 gene:gene8927 transcript:rna8927 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLEQEYGLLFNLKYFEEKILAGDLDECEKYVNGSTIKK >RHN57688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41046633:41049397:-1 gene:gene33267 transcript:rna33267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MNNCGVYSLDFMICNIIYEEEVAKEFCIPAQFQRFWVFAKRENDTCRPFRPLTRIEEAGPVGELKVVNKVHKAELKLFLEVEHGPDLCPIEPLHKVKDDMLLFFKLYDPEKEELRYAGRFFVNCTDKPSEILTSLNKLAGYDPDEEIELYEEITFEPTVMCEPVDKKLTFQESELENGDIICFQKASKMNKEKHFRYPDVRSYLEYVHNLQFPFSPSAEETKDEESLEEQNKNSLAEETNVDKNEAQQSKVDLETTNDEGTSKANNSKFVGIDFEKINDMILEELRISLLQQTNVDKSAKGQPEKVDIETTHNEGSSKASSSQKISLDLKDIDAMIGEDVIAAIDKVLSEGNTISLQFQHSVQGQEEVAKLDPSLPKQLLQELRDIAFKEDLVEKLKEGISPKVNFNTVKEKIDANADAFTSRQLEQVGVVVNLLNTIVKVFEKLENLKKERALTKESTDQDNEALKETRQKILTSKTSLTNHQTQRNSLDAQIADLKAKLEKLQGDRAKIDEIEDQEKDKITSFNKEVKSIFHRLANDQIKLKSVEDKIPEAQTELESHEKVYRIFRAIPPF >RHN41709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32242829:32246058:-1 gene:gene48059 transcript:rna48059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MSNEIRFFSLNTGAKIPSVGLGTWQSDPGLVAQAVAAAIKAGYRHIDCAQVYGNEKEIGSILKKLFAEGVVKREDLWITSKLWNTDHAPEDVPLALDRTLTDLQLDYVDLYLIHWPAPMKKGSVGFKAENLVQPNLASTWKAMEALYDSGKARAIGVSNFSSKKLGDLLEVARVPPAVNQVECHPSWRQDKLRDFCNSKGVHLSGYSPLGSPGTTWLQSDVIKHPVLNMIAGKLGKTPAQVSLRWGLQMGHSVLPKSTNEARINENFDVFDWAIPEDLFAKFSEIQQARLLRGASFVHETYGGYRSVEELWDGEI >RHN71348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58444432:58447471:1 gene:gene20021 transcript:rna20021 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFVSFSIMQPFASSLSPIHRFPQPTQGIHEGGTTPVTSSMKASQKNTKIRIFHEVGGGKKN >RHN81990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50380217:50389901:-1 gene:gene6102 transcript:rna6102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MESNNHFNFEEYEGFDWEAAVRDMDTVVEMRSDAKSIGNEAEKVKEKGKGKQSTLDNFVGIAEKENQTVHVQQNDAEIEEEPTCTHNIDTEAAKTWIYPVNVPLRDYQFHITQTALFQNTLVALPTGLGKTLIAAVVMFNYFRWFPEGKIVFAAPSRPLVMQQIEACHNIVGIPQEWTVDMTGQISPPKRAHLWKKKRVFFVTPQVLEKDIHSGKCMVKYLVCLVIDEAHRAMGNYSYCEAVRELMAVPVQLRILALTATPGSKQQTVQDVIDNLHISKLEHRSETDHDVMSYVHDRKIELIQVPMGQDAVEINNKFLEVIRPIVARLTSIGAIQNRDYRTLSPCVLLEMRETFRQGHMQDLSHINHGDVEGYFGVLITLYYIHKLLSSHGIRPAFEMLERKLKQGFFAKYMSKNEDILKARQLMQQSLSHGASSPKLSKMLEVLLEHFKTNDPQNSRVIIFSNYRESVRDIMVALGGTGDQVRATEFIGQSSGKAMKGQSQKVQQAVLKKFRSGAYNVIVATSIGEEGLDIMEVDLVISFDANISPLRMIQRMGRTGRKHDGRFWLVKGPS >RHN39114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4194133:4195041:1 gene:gene45110 transcript:rna45110 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSYEGILTKEEEIAEACPVCCGNSNCKACLQSKVLKLKDWPPSLFEESFPRHCAEFIPFKEYTDPFKSVLNLAAKLPKAVLQADMGPKTYIAYGFSQELGWGDSVTKLYCDMSHAGIAAIKKLKQKHLKQDKREWHCDNRYAGTSVNMLDNSSSSINPLDEQNSVQVVENGSGLCDAKVVDPVNKRL >RHN72844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11214445:11214685:-1 gene:gene8606 transcript:rna8606 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRNNNNNNLLILLRKKKIDGGERINKLENGVYVVGQYEFLT >RHN70140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49061454:49062612:-1 gene:gene18694 transcript:rna18694 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLKGCRSKKHPKHIQSRGVCSICLKDKLDQLSTSSSYSSQKTTSSCCSSSTSSLSSYYSSSSASSCSSPMHDCSFSTEGKTSSIFLFHKQGGLVKSKSMVVVPRRRKGDNESNDRHSKKNGFWFKLFHPNKSKKMMENMGIFKVVRTSSVRQTVTVAS >RHN51924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28489024:28510922:1 gene:gene36496 transcript:rna36496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEILISVVAKIAEYTVVPIGRQASYLIFYKGNFKTLKDHVKDLEAARERMIHSVERERGNGREIEKDVLNWLEKVNEVIEKANGLQNDPRRPNVRCSTWLFPNLILRHQLSRKATKIAKDVVQVQGKGIFDQVGYLPPLDVLASSSPRDGENYDTRESLKDDIVKALADLNSHNIGVYGLGGVGKTTLVEKVAVIAKKNKMFDKVVTTHVSENPDFKTIQGEIADSLGLQFVEETVLGRANRLRQRIKMEKNILVILDDIWSILDLKKVGIPFGNKHNGCKLLMTSRNQDVLLKMDVPMEFTFKLELMSENETWSLFQFMAGDVVEDRNLKDVAVQVAKKCEGLPLMVVTVARAMKNKRDVQSWKDALRKLQSTDHTEMDSITYSALELSYNSLESDEMKDLFLLFALLLGNDIEYFLKVAMGLDILKHINAIDDARNRLYTITKSLKATCLLLEVKTGGRIQMHDFVRDFAISIARRDKHVFLRKQFDEEWTTKDFFKRCTQIILDRCCIHELPQMIDCPNIKLFYLGSMNQSLEIPDTFFEGMRSLRVLDLTHLNLLSLPTSFRLLTDLQTLCLDQCVLGNTDAIEALQNLEILRLWKSSMIKLPREIGRLIQLRMLDLSHSGIEVVPPNIISNLTKLEELYMGNTSINWKDVNSKVQNENASIAELRKLPHLTALELQVRETWMLPRDLQLVFQKLERYKVAIGDVWDWSDIKDGTLKTLMLKLSTNIHLEHGIKALVKGVENLYLDDVDGIQNVLYQLNGEGFPLLKHLHVQNNANMKHIVDSKERNQIHVSFPILETLVLLNLKNLMHICHGPLSITSFGSLNVVKVKNCVQLKYLFSFAMLKGLSHLREIEVCQCNSMKEIVLEDNNSCANNDITNEKIEFLLLRSLTLEHLETLDSFFSYCLTHSRSKQNCHGLERNVSTPFFNAQVAFPKLDTVKLSSILNLNQVWDDNHQSMYNLTNLIVDNCVGLKYLFPSTLVESFMNLKHLEISNCPMMEEIIAKKDRNNALKEVHFLKLEKILLKDMDNLKTIWHPQFKTLKMLEVNNCKKIVVVFPSSVQNTYNDLEKLEVTNCALVEEIFELNFNENNSEEATTHLKEVTIDGLLKLKKIWSGDLEGILSFQAINCAIVNECPSLEYLLPLSVATRCSHLKELDIKDCQNMKEIVAEEKESSVNAAPIFEFNQLTTLLLWGLNKLNSFYAGNHTLVCPSLTKIDVYKCTKLNLFRTLSTRSSNFQDDKHSILTQQPLFIAEEVIPNIEELKIVQADADMILQIQNSSSLFCKMTLLGLASYNTEEARFPYWFLENVHTLESLVVEDSCFKKIFQDKGEISEKKTQTQIKNLMLNELPKLQHICEEGSQIDPVLEFLEYLNVSNCSSLTNLMPSSVTLNRLTYLEVTKCDGLKYLITTPTARCLDKLTVLIIKDCNSLEEIITGVENVFDIAFINLETLMLECLPSLVKFCSSKCILKFLSLEEVFVRECPRMKIFSAGNTSTPILRKVKIAENEEWLWKGNLNDTIYNMFEDKVAFGSFKHLKLSEYPELKELWYGHLQHNTFRSLKYLVVHKCDFLSDVLFQQKLLEVLINLEELDIKDCNSLEAVFDLTGEFAKEIVLHNSTQLQKLKLSNLPKLKHVWKEDPHNTVRFQNLNDVSVVGCRSLISLFPLSVASDMMKIQSLRVSKCGIQEIVAKEDGTDEIVKFVFPHLTSITLNYLTKLKAFFVGVHSLQCKSLKTIDLFACPNIELFKAEPLKHEESIRNDELNISKYQPLFVIEEVLANVENLNLNNKDFGMILLSQFSGVQFNNIKHITVCEFYNEEANFPYWFLKNVPNSESWLVEWSLFTEIFQGEEIIRGEKETQISPRLKQLKLRHLSKLQCICKEGFQMDPVLQFLESIYVYQCSSLTMLVPSSVTFCYMTFLEVTNCNGLNNLITHSTAKSLVKLITMKIKMCNWLEDIVNGKEDETNEIEFCSLQTLELISLERLSRFCSCSCTIMFPLLEVVVVKECPRMELFSFGVTKTTNLQHVQTDEGNHWEGDLNRTVKRLFDDKVAFGSFKHLKLSEYPELKELWYGHFQHNTFRSLKYLVVHKCDFLSDVLFQPKLLEVLINLEELDIKDCNSLEAVFDLKGEFAKEIVLQNSTLLKKLKLSNLPKLKHVWKEDPHYTMRFQNLSDVSVVECECLMSLFPLSVARDMMQLQSLRVSRCGIQEIVVKEEGTDEIVKFLFPHLTSITLNYLTKLKAFFVGVHSFQCKSLKTIDLFACPNIELFKAEPLNHEVCTRNDELNISKYQPLFVIEEVLANVENLNLNNKDFGMILQSQFSGVQFNNIKHITVCEFYNEEANFPYWFLKNVPNSESWLVECSLFTEIFQGEEIIRGEKETQISPRLKQLKLRHLSKLQCICKEGFQMDPVLQFLESIYVYQCSSLTMLVPSSVTFCYMTFLEVTNCNGLNNLITHSTAKSLVKLITMKIKMCNWLEDIVNGKEDETNEIEFCSLQTLELISLERLSRFCSCSCTIMFPLLEVVVVKECPRMELFSFGVTKTTNLQHVQTDEGNHWEGDLNRTVKRLFDDKVAFPKLKYLALSDYPELKNLWYGQLHRNVFCNLKHLLVQKCDFLSHVLFPSNVMQVLLALEELEVKDCDSLEAVFEVKGMKSQEILIKQRTQLKRLTLSNLPKLTHIWKEDPHEIISFGNLCKVDVSMCQSLLYIFPYSLCPDLRHLETLKIESCRVKEIVAMEETGSMEINFNFPRLKVMKLYHLTNFKSFYQGKHTLECPSLKTLNVYHCEALRMFSLNNIDLQQPYSVDENHDIQFQQALFCIEKLSPIVEECAVNGTDMLGILNGYGQENIFHKVRFLRLQCFVETPTILLNDFHTIFPNLETFQVRNSSFEILFPTKGTTDHLSMQLSRQIRKLWLFDLENLKHVWQVDFPLDHPLLQYLENLCVVNCPSLISLVPSSSTSFTNLTYLVVDNCIELICLITSTTAKSLVQLKTLKIKNCEKMLDVVKIDHEEKVEENILFENLEFLELTSLSCLRSFCCGKQAIVFPSLLDFVVKGCPQMKIFSSALTVAPCLTSIEVEEENMRWKGDLNTTIEQMFIEKEVPHSS >RHN48617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48846687:48848177:-1 gene:gene43356 transcript:rna43356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FCP1 domain, HAD-like domain-containing protein MEISKSKTLEADHDDHKSSEEPEFGLSLEKLNLGPKKKLLIMNLNGFLLHRAHVCDKKAIPKSRTADYKYPYFLLFKRPFSEEFIKFCLERFEVGLWSSAMEHNIDDALACAIGELKNKLLFVWDQDKCRDSGFKSLENNQKPLFFKELKEVWHSVKKGGPYSASNTLLIDDKPYKSLLNPPNTSIFTEPYDPVDKCDKALDPNGDLCKYLKGLAEAEDVQSYVKDNAFGVPAITTSHPDWGFYSRFRRSIE >RHN49117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52571822:52593043:1 gene:gene43911 transcript:rna43911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MLRLKAFRPSSDKIVKIQLHPTHPWMVTADDSDRVSVWNWEHRQVIYELKAGGVDERRLVGAKLEKLAEGERESRGKPTEAIRGGSVKQVTFYDDDVRFWQLWHNRSAAAEAPTAVHTSAFSSPAPSTKGRHFLVICCLNKAIFLDLVTMRGRDVPKQELDNKSLHCMEFLYRSGVGDGPLVAFGASDGVIRVLSMITWKLARRYTGGHKGTISCLKSFMAASGEALLVSGASDGLLIIWSADHGQDSRELVPKLSLKAHDGGVVAVELSRVMGGAPQLITIGADKTLAIWDTVSFKELRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHVWAIEHPTYSALTRPLCELTTVIPPQALAPNKKLRVYCMVAHTLQPHLVAIGTNIGVVICEFDARSLPPVAPLPTPSDSREHSAVFVIERELKLLNFQLNNSVNPSLGNNSSLSETGRPQGDAFEPLPVKQGKKHISTPVPHDSYSVLSVSSSGKYLGIVWPDIPYFSVYKVSDWSIVDSGSARLLAWDTCRDRFAILESSVVPRIPIIPKGSSSKRAKEAAAAQAAAAAAAAGSAASVQVRIMLDDGTSNMVTRSVGARSEPVIGLHGGALLGVAYRTSRRISPIAATAISTIQSMPLSGYGSSGLSSFTTYDDGFSSNRSPAEAAPQNFQLYSWETFQPVGALLPQPEWTAWDQTVEYCAFAYQQYIVISSLRPQYRYLGDVSIPYATSAVWHRRQLFVATPTTIEVVFVDAGVTQIDIETKKMKEEQKLREAQTRAISEHGELALITVEGPQSTTEERISLRPPMLQVVRLASFQHAPSVPPFLSLPKQSRADGDDSWTKEAEERKASEVAVGGGGVSVAVTRFPMEQKRPVGPLVVVGVKDGVLWLIDRYMRAHALSLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMKSNDLKRALHCLLTMSNSRDIGHDGTGLGLNDILNLTDKKQDVTDKKQDVVEGVQGIVKFAKEFLDLIDAADATAQGEIAREALKRLAAAGSVKGALQGHELRGLALRLANHGELTRLSGLINNLITLGLGREAAFSAAVLGDNALMEKAWQDTGMMAEAVLHAHAHGRPTLKNLVQAWNQVLQREVEPTPSQKTDATSAFLASLEEPKLTSLADAGKKPPIEILPPGMMSLNAPISISKKPASAAQNSQPQPIKPLALEAPPTTTAAPDSSTQQLESAPAPVSDPPPSDSTPTPEATTPESNSGETAVANGGPTPASVSEENPNVNGETVQAETTSDPAPPEAPSPVAEVLETNTPNPTTVPASGDPFL >RHN75222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40311346:40316155:1 gene:gene11395 transcript:rna11395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MLLMEIFKVLVLCFLVFNFIPCFNTLETLVPGQSIKDNETLISKDGTFEAGFFNLGDSNNQYFGVWYKDISPITVVWIANRDSPLGNSLGVFNVTDKGNLVIVDSKGAMIWSSNTSTTDAKPTVQVLDSGNLVVKDETNQDKFLWQSFDKPGDTLLPGMKIRSNLVNGDIKGLVSWRDTHDPSTGLYSYIIDTNGLPQVVITKGNSFYVRIGSWNGNMLTGIPSTTLYSNFNFTFFFTETEVSYGYELLESSIVSRYMLTSTGQMTRYIFSDQKKSFELFFLGPADSCDNYLICGANSNCDPNNTPACECLKGFIPKSKEKWNSQIWSDGCVRRVQLDCDNRDRFSKRMGMKLPDTSKSWFNKSMSLEECEKSCLGNCNCTAYASLDVRDGGSGCILWFNNILDAKKLRAGGQDLYIRVAASELDNNTGINKKLAGILVGCIMFTLIMIILGVAIYRNRRKKPEKRVMNPVFSFKNHTDSNESEDIDIPIFDLSTIANATNNFSIDNKLGQGGFGPVYKGKLENGQDIAVKRLCNTSSQGPKEFINEVKLIANLQHRNLVKLLGCCIHLDERLLIYEFMINRSLDYFIFDQTRRSSLHWTRRFQIIRGIARGLLYLHEDSRLRIIHRDLKTSNILLDKNMNPKISDFGLARTLWGDEAEVETIRVVGTHGYISPEYAARGFFSVKSDVFSFGVIILETITGKKNREYSDHHDLDLLGYAWRMWCDSTPLMLIDESLSDSIAVAEPEILRCIQIGLLCVQERPDDRPDMSAAVLMLNGEKALPKPKEPAFFPHQFGSSSGTTKLYSNNEVSITMLEAR >RHN39936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11813513:11817017:1 gene:gene46020 transcript:rna46020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MASNKFSFCFLIFFISYGMLIPTQCLGDICLPKEHVALFIFGDSLFDVGNNNYINTTTDYQANFSPYGETFFKFSTGRFSDGRVIPDFIAEYAKLPLIQPYLFPDSQQYINGINFASAGAGALVETYQGMVIDLETQLTYFKNVKNVLRQKLGDEETTNLLAKAVYLINIAGNDYFAENSSLYTHEKYVSMVVGNITTWIKGVHEIGGRKFGLLNTPSIGCFPFVNALVNGTKIGSCLEEFSAPAQVHNTMLSEELEKLTKEIKGFKYSLFDLFNFTLDASSNPTKYGLKEGAVACCGSGPYNGNYSCGDKRLVKGYDLCENPSEYLFFDSTHPTETGSRIISQLMWSGNQSIIGPYNLKALFEE >RHN52848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39500789:39502523:-1 gene:gene37572 transcript:rna37572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mediator complex, subunit Med25, von Willebrand factor type A MQFINWTKDVNKFMGNLSHLSFNGNDANQSTMAEGLAEALVMYPKPCDTMTEREYYNSERHCILVAPGDPAPKSMLVCLPMIQRAQVIGQRLKACQADFLEVAKTCVPLAVSMSVITPNPVPIFGAIFNMGNNVLTLSSAPISSYSTGQLTVLLSKNFKEAHIALKEKGIMEYPSTTSVGSFSATPDTTLFRAFSTNLQGYISLHIYVYVCARVHCHNF >RHN67669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29063046:29066405:-1 gene:gene15878 transcript:rna15878 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLSTQTQTQTPTSSCSNVSPPMCSSSKSTTTGCLTAIMRKILCSGNLPKDSSNQTTEFDSANSVLSVNDHNLGVSAVTPPPPLVARLMGLESMVEVPFASKPGSLSRSKSMNSLDYLGESNGKIESLHHKRVGSTLSFREPPTFQMSENESFFVLSFGNEGESGKGLKSNGRKKKMSCSELKEKKNKREIVCDEKMSKRVSNKLQEITNTSHRFKASSSEKKCFDSEAGELLKAMNCKEVVVGERLKKRRTRKKRRNCYTEQKIETECKSDDLSPVSVLEFERKSCVAGIDSVAVGLNPRRKLTPELETGKHIRMRSDDNLMIDEKNDKAIQNNIYEGSKKKEKQSYKEYIDIWDEACRLVGDELAGSKNQVHVWMNEQSDLGSICTDFESEIFDQLLNEVVTQLVE >RHN41475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30311381:30312382:1 gene:gene47804 transcript:rna47804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MFCVIIFYEAVPVKSFLEVGERGIQLSGGQKQRIAISRAIVKNPSILLLDEATSALDAESEKSVQEALDHVMIGRTTVIVAHRLSTIKNADMIAVVEGGSVVETGNHEELISNPNSLYSSLVQGQPSPDPSLGQSSRCFILIHNYN >RHN41038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26292275:26296795:1 gene:gene47311 transcript:rna47311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L34Ae MVQRLTYRRRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPTEYKRSRLPRNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKTKEKQALKG >RHN43775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48400407:48403708:-1 gene:gene50397 transcript:rna50397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MSNTNTNMVLFLLFLVTLLTIPTPSISDTNSFIFGGCSRFKYSPGSSYENSVNSILTSLVNSAAFSNYNNFTVPGSTESETIYGLSQCRGDLNNDQCSRCVGRAVSQLGTLCFATYGGALQLEGCFVKYDNLTFFGVQDKTEVVKKCGPSIGLTSDAFTRRDAVLAYLQSSDGGGYKNFRTSSAEDFQGVAQCTGDLSYSKCQDCVSEAIQRLKTECGDSTWGDMYLAKCYVRYSEGGVHSRGSNNDDENHNDDEIEKTLAILIGLIAGVGLIIVFLSFLSKLCEKQRGGK >RHN78268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13446012:13454180:1 gene:gene1802 transcript:rna1802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MEILISIVGKIAEYTVVPIGRQASYLIFYKGYFKTLKDHVEDLEAARERMIHSVKSERENGKEIEKDVMNWLEKVDGVIKEANQLQNDSHNANVRCSPWSFPNLILRHQLSRNATKIANNVVEVQGKEKFNSFGHLPPLDVVASSSTRDGEMYDTRESLKKDIVKALGDSTSCNIGIYGLGGVGKTTLVEKVTQIAKEHNLFDKVVKAEVSKKSDIRRIQGEIADFLGLRFEEESIPGRAERLRQRIKMERSVLIILDNIWTIVDLKEVGIPVGNEHNGCKLMLKLGNEHSV >RHN64992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63496138:63498278:-1 gene:gene27881 transcript:rna27881 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGDDMRITGAQGFPLFALVVWLESGTGKLLQRRVEFLESCSKTYI >RHN77501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7259911:7260725:-1 gene:gene964 transcript:rna964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative jasmonate O-methyltransferase MKSHLEKHAPGGLEDERGKGLNKGKLYISKSSPNCVLKAYSQQFKNDFSQFLESRSQEMVHGGRMVLSFMGRESMDPTSPNCCYQWELLAQALMTLVLEVLQLFSTYIRVTSLLPYRTRYYKILKKIKKKITKRAKSRLKVVVSFLVL >RHN73129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13647065:13647319:1 gene:gene8913 transcript:rna8913 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFISASIVLVLLVVTTMINGAYSAEVEVDGPFPPELQKLCDDWFWKCNDDPHSVYCHWYNKRFCSTGPVSCDYPPKPESTLP >RHN76617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51693665:51695791:-1 gene:gene12968 transcript:rna12968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TUBBY family MKKNQNQTLGRMFSNPLSEIDTNVELRHTRSCSNTCLYVADNKNKNKNKENAANVVSLPKSNSLQLCMQINSDSSHFSSSLKIWDHSDSEAAPASSWSTLPNKSLICRTLPIDIGRCTCVIVKEPTPQGLSRSAANFFSLYTYEGHGRQNRKLAVAHHKRRSGRSHFTVAQNLKGLLSNSHDDDTFLGTVTSNLTGSKYHIWDQGYHRHKPRSKPPLAVVEYVPTIATCTGTHRTIKAYIPNHQSMSFKNTNQVQHIKGLPMNWEGKMDKVHQLFSRDPLYNKSTKQFELDYRDKGRPGLGIQRSVKNFQLTLEENGKQTILQLGRVGKSNFVMDYRYPLTGYQAFCICLASIDAKLCCIV >RHN53822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4388413:4389846:1 gene:gene28772 transcript:rna28772 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLKKELISIDDDEDDIQDRIGFNQKGIDAKKEFVEPKDDQEKQTESSNATANEINNNVSVNIFGQTSRTETFPSKGLEAMV >RHN63977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55578896:55580859:-1 gene:gene26743 transcript:rna26743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MELHLGLALSTYNNSHAYNISSTKNHFPLNHDNKKRRFSQLLEHAAETTNLPTLSLLPLTPGHSDDHDHDDQHCSHSSTTTITKSNEDDEEALVGWPPVNCRRKKLRCNENDVEDHVVPIDGSHNHRNYVKVKMEGVGIARKVNLGMHHSFHTLNQTLMDMFEKCDHDQQQYELVYQDKEGDWLLAQDISWRSFIECAQRLKLLKSRG >RHN51479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18607959:18609048:1 gene:gene35920 transcript:rna35920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Hemopexin-like domain-containing protein MPASLIGAAFRSSKPNECYLFVKERYVILDYYTLRKDLISGPVEIDAGFPMFSKTIFKRGIDSSFETEGNVAYFFSKSQCVKTDYAPRSGPAAARIVIGPIEIVEMFPSLVTTPFANGIDAALRFPGSKDAVLFKGNMCGILDFKYNHVYEVENITYHYPTFVDTVFEEGIDAAFCAHGGNEIFIFKGEHCARVNLFGQFIGGIKRIDADWPTLRGII >RHN41068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26613081:26622933:1 gene:gene47347 transcript:rna47347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MADLQKGDSRDIEQAITSLKKGSYLLKYGRRGKPKFCPFKLSNDESLLIWYSGKEEKKVKLSTVSKIIPGQRTATFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEIWFAGLKALVTRGNYRKWRFETRAESLYSESPKSGTRRSTPYTPFDPGDVDGIAIQNSSPNKWVKAFSEIISYTAPTNSSSQPESITNFSISSESVDNLSTRNSASEAIRVSLSSAVSSSSQGSCNEDFDALGDVFIWGEGTNDGILGGGLRRVGNLSTSEMDAFLPKALESKVVLDVHSIGCGYKHAVLVTKQGEMFSWGEESGGRLGHGAEVDVLHPKLINTLNGMNIELVACGEYHTCAVTYSGDLYTWGDGTHNSGLLGHGNEVSHWIPKKVSGALEGLRVSYVSCGPWHTAIVTSAGQLFTFGDGTFGALGHGDHSSSNIPREVETLKGLRATRVSCGVWHTAAVVEVINESVESSRQSSSGRLFTWGDGDKGQLGDVDKKPRLLPVCVTELANENICRVACGNSLTVALTTSGHVYTMGSTAYGQLGCPAASGKVPTRVEDKIADSFVEDIACGSYHVAVLTSKAEVYTWGKGLNGQLGHGDNGHRNKPTLVEFLKDKQVKSVFCGSNFTAVVCLHKWVPSVDHSACSGCRNPFNFRRKRHNCYNCGLVFCNSCTSKRSMRASLAPNTNKTYRVCDDCYYKLQKAAESVNLPSPSSRSVGLQDSKAAKTQGTLLRLSSFGSNVQSESSHSKLPESHDSYIFPVLNGKLQLRVVSKSSNSPSGESRKYQSVSEFAPRISCQSTSPVSSKSSPRQSCEDIMQSDLKHRNDILSHEVISLKAQVEDLTQKSKSLEAELERTSKQLKEVTAVAADEAGKCKSAKEVIKSLKTQLKEMMQRLPEVHNADSSIDSYVETTNSTVDQSLDESHITNTITPQNESGSNAENMVYPNGATAQNGKAEWVVQDEPGVYVSLSSLPGGGNELKRVRFSRRHFTEQEAEKWWAENGTKVLERHNVVAL >RHN61899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39209336:39213387:-1 gene:gene24411 transcript:rna24411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MACTISTDAYKIQKHNVDDIISNLPEGVLLHILSLLPTKDAVRTSILAKKWKQLWTYLSAFDFNFRHPRYDLDPRNQNNTENSLLDQKNKENSLLDLVGRLLQKSNRVERLCVQIFRSVIDADKVSSLISSVAKHKMQYLKLSLGHPNDKFVLPHSFPAFESLNELHLNLKFTLHIPNGIHFPKLKNLVVSDVTFANEKSVQRLFSGCPVLQELSLYDWSWENIMQISVAISTLRKLTVHFDFDCVDYDHGCTFKIDAANLISLICSCDPTIECIPVNLTSIVDADINLFPPDEVFASQCAIELLSGLSNVKSLKLANDTLECLYHTKDTLHLLPSFDNLTHLNVYSWSPENTNEVLMHILRKTPKLEVLEIPRVVLNYLDSEDLILNSVPCCFKSSLSRLSFLNFYGNEYEIQFVTFILKNAPYLEEINIHCSRRLSADMEKLDDARNQLEDVCLESCAIKFCSSYYDDESSDDEFGEDEAANSEVLPAADTL >RHN44907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9722911:9723880:-1 gene:gene39084 transcript:rna39084 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQVQTFSFRVVVRIGNENIKGNEGDLLVKKVSSACSKKKVSSDGLCVGDEQFNFDEGFDANSNQILKGVGSGSGQSRDRCRFDIDEDETKIKMKVKSDSDSKNTCVFLFCFRFDKNIERTKSSSEIKTTYT >RHN57768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41610194:41616505:-1 gene:gene33353 transcript:rna33353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MRKPKPSKQFRKQQRDSELDKINLLNSWIQSQKPDSGSNPMSLPPLPKNSPVGRLADGTFSRYAGVVRFDQLPISKKTKDGLISKKTQDELHSKFVSMTKIQRASLPHALCGRDVLGAAKTGSGKTLAFIIPVLEKLHRERWGTEDGVGSIIISPTRELAGQIFGVLNAIGEHHRFSAGLLIGGGGDVAIEKECVNKLNILICTPGRLLQHMDETPNFDCSQMQVLVLDEADRILDSGFKKEVNAIISQLPKRRQTMLFSATQTKSVQDLARLSLKDPEYISVHEESVTATPTLLKQTVMIVPLDQKLDMLWTFIKRHLQSKTLVFLSSCKQVKFVFEVFKKLHPGIPLKCLHGRMKQEKRMAIYSEFCEEKRSVLFSTDVAARGLDFNKAVDWVVQVDCPENVASYIHRVGRTARYNSVGKSVLFLLPSETMMHEKLKAAKVPVHCQKPRKELLQPVSSLLASLLVKYPELQQRAQRAFVTYLRSIHLQKDKEIFDVLKLPIDEYSASLGLPMTPKIRFLNQKIKSKAVATKSILVEPEVPKKENVFEGSRKKIDTIVFKDEEIENDLLHVADTSNEGDVKSAEIGELMPATRLLKKKKLKINTHRPLGSRVVFDDEGNTLPPLARIADPQSGNGTLIDPEQKAEYYKRMREDLKKADKEDKLVERQRLRDKKFKQKMKWKAGNEEEEDNQDDTSGSEGDEPINRRHKKSKIYFDSDSDEGQRKEASRRQTEDQEELALKLLQSMH >RHN76480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50703297:50708091:1 gene:gene12813 transcript:rna12813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative JAB1/MPN/MOV34 metalloenzyme domain-containing protein MTVTTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDSGFIGLIFSCYNEDANKIGKIQVIAFQSSDGKQNSMSRPIPLSPVNKSSIIHIDSSPSSSENLTTRSGYFKGDSPEQDTGDSRSAGASKGGGRSSELGNFFANADANYLGSVKDGENYHLNNSDIIAVDDPMDMSESMQEAMHRSNLDMSGAEYVRKEIPLHVLPSLSFINLDSPLSSYTDLQHVLFEEERTAYNQAILQNTRWDGKVHPLTFIHHTSTYQASLCKLIEYCLSPAINALQDRLRENEIRLAVLSEEAKSLEAEASTSRGSEASLGSPRQVASPRQVASPIHRGSSSPRQRNSQSSSESLGSKSVASPGSRSRKG >RHN51477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18536723:18537787:-1 gene:gene35916 transcript:rna35916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Hemopexin-like domain-containing protein MSASVIGAAFRSSRPYECYLFVKERYVILNYHNKELISGPVDIEAGFPMFSKTIFKRGVDSSFETEGNVAYFFYKSKCVKTDYAPRSGPAAARIVIGPVEIVEMFPSLEATPFANGIDAALKYNGSSQIALFKGNMCGVLDYNSNYVYNVVNITSIYPAFVNTVFQEGIDAAFTSYSGSEIFIFKGDQYARINLAGQFIGRIKPISDDWLTLRGII >RHN64356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58453908:58456612:1 gene:gene27167 transcript:rna27167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MSSSKKEEIKAAALTAAKGLSRAQAERAATAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVKLGERKDVKPTMSTVDGNAQCQKCYQGGHWTFQCKNERVYISRPSRTQQLKNPKLRPDVSVGYDLDDNNNNNHRDRDVKEEKAKVSSKKSKRKYDSVSNSEDSVFETDSGSGSSSATGSDYSSSSGSSSSSESEEERRRKKKKSQKKKQTKKKSKGKSRRYSTSSESSDSDSGSDSDSDDKSSRRKKRHSRRR >RHN71228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57429802:57430155:1 gene:gene19882 transcript:rna19882 gene_biotype:protein_coding transcript_biotype:protein_coding MCTAYRFNCPKNVMLGQLKDLEASFDFSYTKPSFNGRSFLTSVLKTLFKELIKRIFMKVIKNHTFNKLFYQILMYSITIREMIFLQLFCSNFLSHTHILFLFYLYSFYFCVNIYFSL >RHN46886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35574555:35581532:1 gene:gene41421 transcript:rna41421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative malate dehydrogenase (decarboxylating) MWKVTRFAASSRSRLFSTAIPAPCMVHKRGADILHDPWFNKDTGFPLTERDRLGLRGLLPPRIISFQEQYDRFMSSYRSLEKNTHGQSDKIVSLSKWRILNRLHDRNETLYYRALIDNIKEFAPIIYTPTVGLVCQNYCGLYRRPRGMYFSAKDKGEMMSMIYNWPAPQVDMIVLTDGSRILGLGDLGVQGIGIPVGKLDMYVAAAGINPQRILPIMLDVGTNNQKLLDDRLYLGLRQPRLEGEEYLSIVDEFMEAVHARWPKAIVQFEDFQMKWAFETLKRYRHKFCMFNDDIQGTAGVALAGLLGTVRAQGRPLSDFAKQKIVIVGAGSAGLGVLNMAVQAVSRMSGCSETAAKSQFFLIDKNGLVTTERSNLDPAAVPFAKNPRDLEGLAEGASIIEVVKKVKPHVLLGLSGVGGVFNEQVLKAMRESVSTKPAIFAMSNPTMNAECTAIDAFNHAGENIVFASGSPFENVDLGNGRAGHVNQANNMYLFPGIGLGSLLSGAHHITDGMLQAASECLASYMTEEDIQKGILYPSIDCIRNVTAEVGAAVLRAAIAEGLAEGHGGVGSKELEHMSEDDTVEYVRGNMWYPEYCPLVHEK >RHN46991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36334725:36339923:1 gene:gene41536 transcript:rna41536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MEASSSSSCRSSSTTSLCTYHVFLSFRGEDTRKGFTDHLCAALERKGITTFKDDKDLERGQVISEKLINAIKDSMFAITILSPDYASSTWCLDELQMIMECSSKNNLHVLPVFYGVDPSDVRHQRGCFEEAFRKHQEKFGQHSDRVDRWRDAFTQVASYSGWDSKGQHEASLVENIAQHIHRKLVPKLPSCTENLVGIVSKVEEVNKFLGMGLNDVRFIGIWGMGGIGKSTIARAVYETIRCEFELTCFLENVREISETNGLVHLQRQLLSHLSISRNDFHDLYDGKKTIQNSLCRKKVLLVLDDVNELNQLENLVGKQDWFGPGSRVIITTRDKHLLMTHGVHKTYKTGMLCKHDALVLFCLKAFKGDKPQEGYLDLSKEVVDYCGGLPLALEVLGSYLYGRNIDVWHSAVKKLRSFPHPRVQDNLKISYDSLDTMEKDIFLDIACFFKGMKGDKVIDILESCGYFPQIGIQILIERSLITLDSVNNKLGMHDLLQEMGRDIVFQESPNDPCRRSRLWSQEDIDRVLTKNKGTEAINSIDMKLLQPYEAHWNTEAFSKTSQLKFLSLCEMQLPLGLSCLPSSLKVLHWRGCPLKTLPITTQLDELVDITLSHSKIEQLWQGVKFMEKMKYLNLAFSKNLKRLPDFSGVPNLEKLILEGCEGLIEVHPSLAHHKKVVLVNLKDCKSLKSLSGKLEMSSLKKLILSGSSKFKFLPEFGEKMENLSMLALEGTDIRKLPLSLGRLVGLTNLNLKDCKSLVCLPDTIHGLNSLITLDISGCSKLCRLPDGLKEIKCLEELHANDTAIDELPSSIFYLDSLKVLSFAGCQGPSTTSMNWFLPFNLMFGSQPASNGFRLPSSVMGLPSLEYLNLSYCNLSEESFPNYFHHLSSLKSLDLTGNNFVIIPSSISKLSRLRFLCLNWCQKLQLLPELPLTMTQLNASNCDSLDTMKFNPAKLCSLFASPRKLSYVQELYKRFEDRCLPTTRFDMLIPGDEIPSWFVPQRSVSWAKVHIPNNFPQDEWVGFALCFLLVSYADPPELCKHEIDCYLFASNGKKLITTRSLPPMDPCYPHLYILYMSIDEFRDEILKDDYWSESGIEFVLKCYCCQSLQVVSCGSRLVCKQDVEDWSKMSHFNES >RHN79898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33336341:33337067:-1 gene:gene3753 transcript:rna3753 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCNKIFKTFDPSERSLKKVEFSANRPCRGRFFFSSTIFSLIRCSSPLSLTFCIKLPIHVVISFKECNPKFVLAMKFSIEKLPLMFICILLFLLHEYLDIMSHEPLSSR >RHN61138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33381918:33384716:1 gene:gene23571 transcript:rna23571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEEINNSAMKVSSSISSWLSDLEMDEYNIFAEECNLNFLDADVGGFLSQDISNVFQEQNKQQCLSLGSTSTNDLSKSFIHETIDDSDKISESLSPNISPSFQFQIPNSPPSNSKENETISVSPTELENMNHSTETSKGKRPRAHGRDHIMAERNRREKLTQTFIALAALVPNLKKMDKLSILFDTIKYMKELKNRLEVVEEQNKKTKESSSTKPCLCSNEDSSSCDDSVECVIGSTFQVEARVLGKQMLIRIQCQEHRGLLVKIMVEIQKYQLFVVNSSVIPFGDSILDITIIAQLGEGYNLSIKELVKNVRMALLKFIHHNMNMSTCN >RHN82733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55962887:55967293:1 gene:gene6917 transcript:rna6917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MSSNWTKLRVHIEVVCLLSTFLVHSGYDVIMISDTDSVKHATTAVGEKTSAAYSDKDQPSPPSAPIIVMPSRDHDSLFSGGGISLLSGSRNGRISYGYSSFKGKRSSMEDFFETKVSEVDGQMVGFFGVFDGHGGSRTAEYLKNNLFKNLCSHPDFITDTKTAIVEAFKQTDVDYLKEEMGHAGSTASTAVLLGDRILVANVGDSRVVASRAGLAVPLSVDHKPNRSDERQRIEQAGGFVIWAGTWRVGGILAVSRAFGDKLLRPYVVADPEIQEEEIEGVDFIIIASDGLWDVISNEEAVSLVQNFTDAEVASRELIKEAYTRGSSDNITCVVVRFDPHPIATSGN >RHN81441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45933229:45937344:1 gene:gene5483 transcript:rna5483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAAASSSTSFFGIREENQSQITHHHPHPQSTTTSATSSAPSTTVPQKKRRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKSNKEPRRKVYLCPEPTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESVLRHHNPFGSTHQLYATNHHISLGQISHQLQNHQNQITSSTSNNNNLLRLGSAPPKFEQNLISSPPLNHSSSFGHSIPSSSPFFNMSDISNQSFEEQQSQQGQFLNKQLHGLMHLPDLQGNTNTNNSNSSNLFNLMVHDQFNNMSSTQGTTLYINNNSSLSDHQVGFVNSMQHGQNMSSPHMSATALLQKASQIGSTNSTNNNKGSNNDHKSGDRDFVVSDHNNINATFGNRSSSIENDHDNNDLHGLINSIANGNTSSIFGNESNLNMRFGGSDKLTLDFLGVGGMVRNMSGGFSQSEQQRDMMNTSMVSLNHDLKSAHSSQHFGSSNILQ >RHN52781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38738494:38739985:-1 gene:gene37490 transcript:rna37490 gene_biotype:protein_coding transcript_biotype:protein_coding MCSETSTPPRLSFSHNLSEFQLQKDVTCIETLLLDSNSDFEFNTSNILEFESSSADELFSNGKILPKHTPFVKSNHTKFPPRPSASNVDKMKKESNPRWILAQSGTETVRELLNVSTNNEKKHHSKSFWGFSRSKSLNCDTKKNLSFSLPPLYRSNSTGSAKKMSSNKQTSSATTKSSSWSNINLYPMQKSNSGKSYGGSYGNGHWISPVLNVPTPCVSKGSANFFRFGSFLSIGKVKKNKK >RHN79035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21507565:21511923:1 gene:gene2723 transcript:rna2723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-N-acetylglucosamine--dolichyl-phosphate N-acetylglucosaminephosphotransferase MGTRKRLISPTTQPSSPDQKPQQQITDPPIAPPKWGFLFKLSLFSIPYFYLIFFHFTIDSHLRRSIIINAGLSLVGFFVTVRMIPVASRYVLKRSLFGFDINKKGTPQGNVKVPESLGIVVGIVFLVVAIVFQYFNFTADSNWLVEYNAALACICFMTLLGFVDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIVIPKPLVPHIGIEILDLGWIYKLYMGLLAVFCTNSINIHAGLNGLEVGQTVVITYAILIHNIMQIGASTDPEYKLAHAFSIYLVQPLLATSLALLSYNWYPSSVFVGDTYTYFAGMTMAVVGILGHFSETLLIFFLPQVLNFLLSLPQLSGYIPCPRHRLPRFDPQTGLLTGTNDGTLVNFFLRKLGPKSEKSLCIYLLIFQGIACCFCFMLRYFLAGWYK >RHN56435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30838861:30847186:-1 gene:gene31837 transcript:rna31837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MWCPGFEPRPLHKLCIILCSFLILFILLFLCFISFVTPIIFFASKVISVIQNQHTILTTRYQCHSHRKTRQLPQRTPSMSSAIIFGGATATKPPTLPKNHRTILSNFHSPKLNLTRSPFPNKIQFPNGGSTSLRSLLRLRVSSNDAQFNSLPENNHQHQQQQLQPSFTEFITSERVKVVAMLALALALCNADRVVMSVAIVPLSVANGWTRAFSGIVQSSFLWGYLLSPIGGGMLVDNYGGKVVMAWGVALWSLATFLTPFAAETSLLALLAVRALLGLAEGVALPCMNNMVARWFPQTERARAVGISMAGFQLGCAIGLTLSPILMSQGGIFGPFVIFGLSGFLWVLVWLSATSSTPDRSPQISKYELEYILNKRQTSFPVEAKKVNVVPPFRRLLSKMPTWSLIAANAMHSWGFFVVLSWMPIYFNSVYHVDLRQAAWFSAVPWVVMAIMNYLAGFWSDMMIQSGTSVTLTRKIMQSIGFVGPGVSLIGLATARNPSVASAWLTLAFGLKSFGHSGFLVNFQEIAPQYSGVIHGMANTAGTLAAIIGTVGAGFFVELVGSFRGFLLLTSVLYFLAALFYCLYSTGERVNFDDPVG >RHN41907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34019804:34022592:-1 gene:gene48276 transcript:rna48276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MISLFSKVNKSLRSDSSSSMDEIVDWSQLPIELWPKIGKYLDNHIDVLRFRSVCESFRSSIPPSHPNSPSFPLQIPHPVYNSLNNYVNQSTVYIIEPTDASSNSNLEPLAPSSSKGWLIKVEETKNQPVSLLSPISDRKLSYPLSNNNTSPMLWNLLDYRVIELCKSYKIEKTTPFSSSAIKVAFYPNSPWTSVEDCVACCIFQEGKLGLMKHGDEKWTLVDDKNFYYDDIIVFKGQFYVTDKWGTISWIDVSTLRLIQFSPPLCGFGNKKHLMESCGSLYVVDRYYEISDDMGRNYVRRRYDRDTDVECFKVYKLDEEWGKWVDVKNLRDRAFILSKSCNFSVSTKDLIGYQGNCIYFRDTYDARMYNLDDHRITMVNFNPCIDKTLWSRSPWMRC >RHN43279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44566489:44566782:-1 gene:gene49827 transcript:rna49827 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVQINQSSARSINGITTYIVEIANTCVRGCKISNIHVACGGLSSAHFIKPTIFKRLNKNECLVNNGKILQNGGIVSFQYANRIRYPLSVSSVRCN >RHN62938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46895508:46898544:-1 gene:gene25577 transcript:rna25577 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSMRSKREKRLRAIRREIVEPYYDKKEAAKLAAQEAALAAPKLQVSVPSTTTMEVSTSSINNNPMDVEMSDGNQTKTSLKPVGRIGKKLKKKFKMAKGNRRNGNSKPSRKRHI >RHN79657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30957333:30965459:-1 gene:gene3475 transcript:rna3475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cactin, central domain-containing protein MGRKERRRRKSDDSASESTSSQSNDSDRSRRSGHRSDRQSRRRRSRHESDSDNSTSDDNSSDRDGRKRKSSRKITEEEIAQYLAKKAQRKALKVAKKLKAHTVSGYSNDSNPFGDSNLNEKFVWRKKIERDVVQGVPIDEFSVKAEKKRQRERMAEIEKVKKRREERALEKARHEEEMALLARERARAEFQDWEKREVEFHFDQSKFRSEIRLREGRAKPIDILTKHLDGSDDLDIEINEPYMVFKGLTVNEMEELRDDIKMHLDFDRATPTHVEYWEALLLVSDWELAEARKKDAIDRARVRGEEPPAEVLAEQRGLHNSVEPDVKKLLQGKTHAELEALQAHIESEMRTGAAKLVEYWETVLKYLRIYKAKACLKEIHAKMLRKHLLRLKKPLEGEDKLEDAHVMKSEEDIEDYVKFQYANRSLSPEPIKAEGQEGEEVEDEAGSLSPELFHGDENEEAIDPEEDRALLEQKRLAVKEEQQRRIQEAMASKPAPSEDNFEMKAMNAMGAIEEGDVMFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKVVQGYKFNIFYPDLVDKTKAPTYTIEKDGSNGETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRHRYRR >RHN63976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55571214:55574475:-1 gene:gene26742 transcript:rna26742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-1,4-mannosyl-glycoprotein 4-beta-N-acetylglucosaminyltransferase MTLRPRLTSRRKFPKKFLCILLLLLVAICVIGIFIHGQKVSYFFRPLWDNPPAPFTSIPHYYAENVSMDHLCHLHGWSLRSQPRRIYDAIIFSNELDLLEIRWHELYPYVSKFVILESNTTFTGIPKPLFFAINQERFAFAKQKVVHDLYPGRVAVHGSNEDPFVLESRQRGAMNTLLRRAGISNGDILLMSDTDEIPSPHTLKLLQWCDGIPPIMHLELRNYMYSFEFPVDYSSWRATAHVYGPRSNYRHSRQTDVIFSDAGWHCSFCFRYISEFVFKMTAYSHADRVKRRSFLSHSRIQDLICKGDDLFDMLPEEYSFQELIKKMGSIPRSASAVHLPAYLIENADKFKFLLPGGCLRKPE >RHN38739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1678998:1689354:-1 gene:gene44704 transcript:rna44704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative initiation factor eIF-4 gamma, MA3 MSYNQSKTEKNDAFYRKSGRSSSFNQQRGPSGGHGRGSGGQAPASSLNSNRSFSKKSNNAQGGQYRVNPSPVNSTEPNSTYAARTIHNGTHVQPQMHGASDGPVAKASESTAAQRSPRVAQNAPTSQPPPVSSEPTVPTSPAKGDTSKAFPFQFGSIVPGVMNGVAIPARTSSAPPNLDEQKRDQARHDSIKPVPSAPIPTVPKPQQPPRNDAVVTEKTNARDTHLGAKAKKDPQLPALTPASQMQRPSAVPVPGMSMPTPFQQSQQSLQFGGPNPQIQSQGMSSTPMHIPMPMSIPIGNVGQVQQPVFIPGLQPHPMHSHGMMHPSHNLSFAHQMGHQLPHQLGNMGIGTGPPYPQQQGGNFAGPRKTTTVKITHPETHEELRLDKRADGYSDGGSSGARPHPNVPSQSHPVKSIAASQPSNYYPSGSYSSSPPYYQPPGSLPLTSSQITPNTQPPIFNYPVNNGPQNLAFINSSSLSSLPVNKVSTPIPHIAEAPTAERSREVPKVTSSASTGVSVTIKPSAVSAVTDSSLTNSSISGVQNPDTSSEISTQHSKSSEDSSISSLPKQSAASVVTDEKLTVLPTPAVTVDSVSVVTNNEANTREPVSRSNSAKDNQKKSGKIGQSSQDQVSVQSPTAASMQSRAVDSSISDTGVSTPVGSETNHFPAIITEDLLTSEGSVAEVADSLSDHKHDKIDESSEASDLQSADLPEANKETNDSAENACSDSMSHSVSGTKDRPNLEPNKAKTTSKGKKKRKEFLQKADAAGTTSDLYNAYKGPEEKKETGLISESSESECTSEGLKQLSADSAQLDAAVSEKSGQNKAEPDDWEDAADVSTPKLEVDDKSQQDFDGSGSTEKKYSRDFLLKFSEQCITLPEGFEITADIAAALMNSNVGNSRDSHPSPGRTVDRSRMEHRGNVVAEEDKWNKVSNAFHSGRGLDGSGGFRHGQGGNFGVLRNPRGPAPIQYGGAILSGPMQSGAHQGGMQRNSPDGERWQRSTSFQQRGLIPSPQSPLQMMHKAEKKYEIGKVSDAEEAKQRQLKAILNKLTPQNFDRLFEQVKAVNIDNAITLTGVISQIFEKALMEPTFCEMYANFCSHLAAELPDLSVDNEKITFKRLLLNKCQEEFERGEREQEEANKVDEAEGEVKLSNEEREQRRTKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQCQDPDEEDVEALCKLMSTIGEMIDHPKAKEHMDVYFERLKILSNNMNLSSRVRFMLKDVIDLRRNRWQVRRKVDGPKKIEEVHRDAVQERQAQAQVGRTGRGMGNNQSARRNPMDFGPRGSPMLSPPSPMGGPRGLSTQTRGYGLQDARFEERQSYEPRTLPINFPQRPLGNESITLGPQGGLARGMSSRGPTNSNMSIPDVHSGPGDSHRMPSGINGYGNLSERTSYGNREDLASRYMSDRPSSPAGYDHSSAASHNINYGNRDLRNDDRNLNRPVATSPHAQPQGPIVSQNASTDEQLRDMSLSAIREYYSARDVNEVAQCIKDLNSPNFHPSMVSLWVTDSFERKDAERDLLAKLLVKLGKSQDGLLSPTQLIEGFETVLSTLEDAVNDAPKAPEFLGRIFAELITESLVGLNEIGQLVHDGGEEPGSLLEFGLAADVLGSTLEAIKHEKGDVVLSEIRTSSTLRLESFRPPNNSTTSRKLEQFI >RHN58483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2127271:2132909:1 gene:gene20401 transcript:rna20401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative coactivator CBP, KIX domain-containing protein MTTVTAQSPPPPPPPPSFSSLSTTNASHEFLIEGYSLTKGMGIGKHIASEVFTGGGYEWAIYFYPDGKNPQDKSEFVSVYVTLESEVTNVRALFELKLLDQSGKGKHKVHSHFVPPLQTVPYTLKQKGSMWGYKRFFRRALLESSDFLKNDCLKFNCTVGVVISATDCPPLKSIHVPKSDIVSHFGAPLENIEGSNVTIDVASDTTEPTIDTSDWRTQIQPDQRHRIVNKIMDTLRKYHPISGSEGLLELRKLAQRFEDKIYTDAASQSDYLRKISLKMVTMENKSQNTMANNLPSNEGGPRNNLPGQAHLHCASLSPVVLLSPLRHSSFSSSPFPLHTLLTSSVIIDNVKN >RHN76271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49050696:49052252:-1 gene:gene12566 transcript:rna12566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase STE-STE20-Fray family MATNIAERVQYPLDSSSYKIVDEIGAGNSAVVYKAICIPINSTPVAIKSIDLDRSRPDLDDVRREAKTLSLLSHPNILKAHCSFTVDNRLWVVMPFMAGGSLQSIISHSFQNGLTEQSIAVILKDTLNALSYLHGQGHLHRDIKSGNILVDSNGLVKLADFGVSASIYESNNSVGACSSYSSSSSNSSSSHIFTDFAGTPYWMAPEVIHSHNGYSFKADIWSFGITALELAHGRPPLSHLPPSKSLMLNITKRFKFSDFDKHSYKGHGGSNKFSKAFKDMVALCLNQDPTKRPSAEKLLKHSFFKNCKGSDVLVKNVLNGLPSVEKRYKEIKAIMDPDSKCKDDGDDDDDESVKNVKQRRISGWNFNEDGLELVPVFPKDQSKDDEVVKQVRFEEEKVIQEDAVVTASGTVMEPKTNTSDVVDHENVGGVVKNREATLATLNVLKESLEQELGQVKFLMNLIGGEEIHVAESDEKMVQEISKLRTELENEKKKNLQLEMQLENIKLHLISSAANSPTS >RHN81853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49347002:49347450:1 gene:gene5945 transcript:rna5945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator A20-like family MVPTLCLNGCGFYGSPSKNNLCSKCYNDYLKENIKKSNEESFVHESSSTCPSKNPINDFCDVVEAISLIDNENMKKKKNRCKSCNKKVGPLGFECRCGDVFCGMHRYPEEHDCTVNLKEIGRQILDKQNPLCMGDKLEHRI >RHN64159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57009434:57013214:1 gene:gene26945 transcript:rna26945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MEKKTIPLPHLHHELIVQILLRLPVNSLIRFKCVCKSWFFLISDPLFANSHFHLTAATHTRRILFISPPPRSIDLEASLAYDSISSSVNLDFLLPESYYDFEIKGSCRGFILLHCAPTLYLWNPSTGFHKQIPLCPSWLEEDDDDERLYGFGYDQLTDDYLVVLSFFPYISSHFEFFSLRANTWIQIECTDSPFANGIDDKPSVGSLYNGAIHWLAYRAGLGKNVILAFDLTERQLFDMLLPDDYYNYNYDPNNCGLWVFGEFLSLYAMDYNDNTIEMWVMNEYKVNSSWTKTLVLSVDDISDESFSPLCCTKSGDIIGAHSGIGLVKYDDNGQLLGRFSKWNVTWDGSVAIYTESLLSLPGDNEQV >RHN74728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36174844:36180423:1 gene:gene10836 transcript:rna10836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDATISVAAKIAEYMVVPIGRQFGYILYYKRNLERMKTNVQKLEGTKASVQHTVDEARSNGEEIENIVQNWMNKVDIMVAEANKLTESEGHAKVQCSIGHFPNLCTRHQLSRKTKNLIQEISEVLAEGKFDRISYRLASQVTVSPFCRGYEALDSRTSMLNEILMELKNPNIFISGVYGMGGVGKTTLVKELAWQAEKDGSFGAVVMATITSSPNVENIQGQIADALDLQFNKETKEGRARQLRERIRKEKNILVILDDIWGRIDLTEVGIPFGDDYKGCKLVVTSRDLNVLNCEMGIQKEFRLEVLQQEDSWKLFEKMAGDIVHELNIKPIAVEVARCCAGLPLLIVTVAKALRKKDSSSWKDALNQLERFDQEGLHKKVYSTLELSYNCLESDDLKSLFLFIGSLGQGNIHTGILFRCYWRSGFCKHVQTLTEARNRYFNLINDLRHSSLLLELDKDRAEMHDVVRDVAKSIASRFHLTYSVKRYTQVKQWPEIDQLQKCHQIIFPWSYIEELPEKLECPELKLLVLQTIGDHLKIPDEFFSETRELKVLDLYGMMLTPTPPPSLRLLTSIQSLVLSGCVLEDISIVAELKSLEILSLEKSDITDLPKEIGQLANLRMLNLTSCSGLRFIPANLISSLTCLEELYMGNCFIQWNDVRSKDQRKSASLEELCNLSQLTTLDIMIQDVSAWPRNLQVFAKLERYNIFIGDMWKWTLEWSGGASESSRILKLVESKSTCILLDHGFNFLLNSTENLCLAKLQCVRDVLYELNSQGFPQLKHLCIQDSAELKYIVNSTGCIHPNPAFPNLETLTLENLFNLEKICHGPIPIQSLTKLKSIEVKGCEKLKNLWYSLVRDLPQLLEIKISDCKMITEIVAVQKSEADKEFNQIMFPKLRSLELERLPCLISFCSVPCTAAQFTPLALIDNKVGMPHLELLKLSNINSRKLWDDNLPGHFCIQNMRSLTIDKCDGIAYAFSSSVARELVNLKYLEFSNCQMLEDIFVSDGKLGNLPSSQKQFSDDEVIFPNLEALEISHMKHLKSIWHNKLAPNSFCKLKQLKIQFCNELSNVFPSFVLAKLQNLETVTVSDCPVLELVFETQSLKPDGGRQTSLEMQLRTLTLKHLPMLKHIWSGNPNKIFKFQNICQLKVTECKNLNHVFPLSVATELQHLQVLHIEECGIEIIVAQDEMADTNPKLIFPKLTSLSLRELTQLRSFYHASHTLDSPVLRDMDVFHCDKLLLFKSSVPIDTLPLLSIEKVQFTFQYSSHKCHLVVSCCYTQKTSFL >RHN67226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25041277:25044992:1 gene:gene15391 transcript:rna15391 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFAKISHNLPKCLLHHCLLYICGDQDKSQNFRTSSKQEKLRDKLRQDANQDQILMEYSQLKNKWNEDSSCL >RHN58699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4149480:4153378:1 gene:gene20632 transcript:rna20632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative intramolecular oxidoreductase MPCLNLSTNVNLEGVDTSSILSEATSTVATLIGKPESYVMIVLKGSVPISFGGTEQEAAYGELVSIGGLNPDVNKKLSAAIAAILETKLSVPKTRFFLKFYDTKGSNFGWNGTTF >RHN59750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12753234:12777996:1 gene:gene21862 transcript:rna21862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MAQQSSRLQRLLTLLDTGSTQATRLTAARQIGEIAKSHPQDLTSLLKKVSQYLRSKKWDTRVAAAHAIGSIADNVKHISLNELIASVVTKMSESGISCSVDDLCAWPYLQAKITGSSFRSFDMNKVLEFGALLASGGQEYDIASDNIKNPKERLVRQKQNLRRRLGLDVCEQFMDINDVIRDEDLMAYKSDSYLNGIDHKVFTSCSVHNIQKMVANMVPSVKSKWPSARERNLLKRKAKINSKDQTKSWCEDGTEPSGTQNLTSKGTCPDSGNYSKASEQVNHEEDGFEHDGDGQWPFSTFVEQLIIDMFDPVWEVRHGSVMALREILTHQGASAGVFKQESRLGGTSFVELEDKNISNTLKRERDIDLNMQVSADESVLNLKRPKLEDVSSTTSMDSVMTCSNDGDIENSISSEIQGCNLPLDYENGKFDGSSVDMNLKTHSDGLHDACKDSANITEQKGYSDDKMITGNPNVLRNLPQNCELMNLVKVARSSWLRNCEFLHDCVIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAAFKYMHAALVNETLNILLKMQCSPEWEIRHGSLLGIKYLVAVRQEMLSDLLGRVLPACKSGLEDPDDDVRAVAADALIPAAAAIVALQGQTLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSHQEMVPKMYKVFKMGDKEIENGAGGCGDDGEEHPFVLSTLAPRLWPFMRHSITSVRYSAIRTLERLLEAGYKRSMSEPSPASFWPSSIFGDTLRIVFQNLLLETNEDILQCSERVWSLLVQCSVVDLENAASSYMTSWIELASTPFGSALDASKMFWPVAFPRKSQFRAAAKMRAVKIENEYGGDLGLESMKSTIPQDRNGDVPLNSIKIVVGAEVDISVTRTRVVTATALGTFASKLPEGSLKYVIDPLWSSLTSLSGVQRQVASLVLISWFKENRTRSLSENLNVIPACLKDWLLDLLACSDSAFPTKGSLLPYAELSRTYSKMRSEAAQLLNAVKSSGMFSELLTTTNIELDNLSVDDAIGFASKIPAVCNDSTANESIKNTMDDIESSKQRLLTTSGYLKCVQNNLHVTVTSAVAAAVVWMSEFPTRLNPIILPLMASIRREQEEILQIKSAEALAELIYHCVSRRPCPNDKLIRNICGLTCMDPSETPQAKSICSIESIDDQGLLSFRTPVNKQKSKVHVLTGEDRSKVEGFISRRGSEQSLRLLCEKFGVLLFDKLPKLWDCLTEVLKPSSSESLLAANEEEDTVAIESVSDPQTLINNIQVVRSIAPLLNEELKPKLLTLLPSVFKCVKHSHVAVRLAASRCITSMAQSMIVKVMGAVVENAIPMLEDASSVHARQGAGMLISFLVQGLGVELVPYAPLLVVPLLRCMSDCDQSVRQSVTHSFAALVPLLPLARGVPQPIGLGEGVSRNAEDLHFLEQLLDNSHIEDYKLCTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVASEIVERRTQIGNEDLLPSLIICPSTLVGHWAFEIEKFIDVSVISSLQYVGSAQDRMLLRDSFCKHNVIITSYDVVRKDIDYLGQLPWNYCILDEGHIIKNAKSKVTLAVKQLKAQHRLILSGTPIQNNIMDLWSLFDFLMPGFLGTDRQFQSTYGKPLLASRDPKCSAKDAEAGALAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSTVQLKLYEQFSGSRAKQEVSSIVTTNESAAGEGSGSSTKASSHVFQALQYLLKLCSHPLLVLGGKIPDSLSTILLELFPAGSDVISELHKLHHSPKLVALHEILEECGIGVDASSTENAVGIGQHRVLIFAQHKAFLDIIEKDLFQTHMKSVTYLRLDGSVETEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFVEHDWNPMRDLQAMDRAHRLGQKKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENASLKTMNTDQLLDLFASAEIPKGSSVAKSSEDNSDGDPKLLGSGKGMKAILGGLEDLWDQSQYTEEYNLSQFLAKLNG >RHN76787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1283972:1288182:1 gene:gene164 transcript:rna164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MMTHKRAYYCIFILFMLFINLEPTFGDTNGQILIRFKSFLSNANALNNWVDEANLCNWAGLLCTNNKFHGLRLENMGLGGKIDVDTLVELTDLVSFSVNNNTFEGPMPEFKKLVKLRGLFLSNNKFSGEISDDSFEGMGNLKRVFLAGNGFNGHIPLSLAKLPRLLDLDLHGNSFGGNIPEFQQNGFRVFDLSNNQLEGPIPNSLSNEPSTSFSANKGLCGKPLNNPCNIPPTKSIVQTNSVFSTQGNGKKNKKILIVVIVVVSMVVLASILALLFIQSRQRRRSEQDQPIIGLQLNSESNPSPSVKVTKSIDLAGDFSKGENGELNFVREDKGGFELQDLLRASAEVLGSGSFGSTYKAIVLNGPTVVVKRFRHMNNVGKQEFFEHMKKLGSLTHPNLLPLIAFYYKKEEKFLVYDFGENGSLASHLHGRNSIVLTWSTRLKIIKGVARGLAHLYKEFPKQNLPHGHLKSSNVMLNISFEPLLTEYGLVPITNKNHAQQFMASYKSPEVTHFDRPNEKTDIWCLGILILELLTGKFPANYLRHGKGENSDLATWVNSVVREEWTGEVFDKNIMGTRNGEGEMLKLLRIGMYCCEWSVERRWDWKEALDKIEELKENDGEDESFSYVSEGDLYSRGATEDEFSFSVTDSQADKFGNVTG >RHN42780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40940336:40943863:1 gene:gene49267 transcript:rna49267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-OST1L family MEKYEEVKDIGDGNYSVVKLIRHKETKCLFAVKYISRGHKVDERVAREIINHRTLRHPNIIQFKEVYLTPTHIAIVMEYASSGDLFDYVCSKGKLNEDEARYFFQQIVSGVSHCHEMDICHRDLKLENTLLDGNHVKICDFGYSKSYVLHSMPKSMIGTPSYIAPEIFARKEYDGKLADVWSCGVILYIMLVGEFPFGDQKDLQNLKKIMNKIMLVQYKIPNTVHMSQDCSNLMSRIFVANPMRRITMREIKSHPWFLVNLPKESTKEAQDVFNIEENRISSLQSIEDIMNIVDEAKTLPTTSSSDQLEDLVETLKKM >RHN64751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61516852:61528065:-1 gene:gene27606 transcript:rna27606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Fructose-bisphosphate aldolase]-lysine N-methyltransferase MDSDEECSLVLELSENDPFFDEKKKLLRCKGFGPKERIHLKCSSAPSWMNDTVKILLQIAKIIQLNELELYFAEDDASVEFYSSRNELEALNSIVSLTDKSLSSCPHFHTNVLQGLQQKILDLISDFGDQSNMKGVLEKHHSCEREEHLIEWGKSHGVKTGLKIAYVEGAGRGMIAGKDLKVGDIAIEIPVSLIISEELVLETDMYRLLKEVDGISSETILLLWSMKEKYNCDSKFKIYFDTLPEKFNTGLSFGIEAITMLDGTLLFEEIMQSRQHLHAQYDELVPALCNGFPDIFPPEIYTWENFLWACELWYSNSMKIMYSDGKLRTCLIPLAGFLNHSLCPHITHYGKVDPSTNSLKFCLSRSCRSGEECCLSYGNFSSSHFITFYGFLPQGDNPYDVIPLDIDSSDVDSIEDKPVSNWTTHMVRGTWLSNNHSIFYYGLPSPLLDHLRRSRSTVMQTKTFLQGNLENELEVLGDLKDIFDDMMDSMGEVDLDNRESYTWDEKLAVDCKNLQRRIAHSVSTSCCTGINMLKNELHNCLAEDIVG >RHN45528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22279649:22298985:1 gene:gene39886 transcript:rna39886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MGSMGEHDRKRRFSSLSPTPATAKKLPFLPVSEDKKLDIAVLQYQNQKLTQKLETQKLEYAALENKFSQLKEKQQSYDSTLAVVKKSWEQLVNDLESCSEHIRESSSKVDSRFASSTDDGSSSTVQDVFLSRLLQTGATESSSSYHFANETEQHREITAEKAKSILNNIVTSINNFQCLKDGFHTVLLKKLRGDVSCGQMLSNDLEVESKNLRLALSELHLKHKSLASDFRTHRDLDAKNKAELKRLKGELESTVAELEESNQKLATLKVEKDTAKGAVLPVLAVGNTLIPNDKIKDKQKDLQDMESTLKDLLDQASTRAVELKNLHEERIRLLQQLCDLQNTLKNLKCITSSHAFQLVRDQTEKSKSEVQEYQALYEKLQAEKDSLTWREREWYIKNDLADLFQRSVEVSDLKVADIRTELRKTIEQRDVIENKLKEEAREPGRKEIIAEFKSLLSSFPEEMGSMQSQLSKYKESASDIHSLRADVHSISSILDQKVKECDALSVRSAGQLAEINRLLAVVQDLRVTEDEMKLILRMFRRETIDSRDVMEAREAEYIAWAHVQTLKSSLDEHNLELRVKTANESEARSQQKLAAAEAEIADMRHNLDDSKRATCKQSDVMRSKNEENEAYLSEIETIGQAYDDMQTQNQHLLHQITERDDYNIKLVLEGVRARQKQDSFIMEMRLMEQEMQQSNVSLNLYNTKAAKIEDQMRFCSDQIQKLVDNKLQSSVDLENTQRRLSDIRPSSQQVRNTVVEVQSKITSSRVTHMELLVDLEKERFAKKRVEKDLEVARRNFSHLKAQDEDSSETDKLQQELGEYRDIVKCSICRDRTKEVVITKCYHLFCNSCIQKIAGSRQRKCPQCGACFGANDVKPVYL >RHN49779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1099175:1100279:-1 gene:gene33990 transcript:rna33990 gene_biotype:protein_coding transcript_biotype:protein_coding MISEIETYRFNNTCPAFTPSRGGWDCTTCLKASPKCGFCASDSNKVNMQSSSFHRPFLSHFDKDFTIKYYIRP >RHN82061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50977393:50977761:1 gene:gene6178 transcript:rna6178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MRRSRVNANIGEAEALAFIMKVKYEFQDKREKYEEFLELMKDFKAQRIDTEAVKERVMELFKEHQYLISRFNIFMPSGHEISLPLDDDQQESDGLEFKDEHNDDELQGDGVALKDEQKNDEE >RHN80178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35875607:35879020:1 gene:gene4073 transcript:rna4073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTQILLFVYFFIIFLSLSFVVTSYRTRIPCVSDYDCPKASYPLFIKCIYNFSQISCVSDDDCPKVPYPLYIKCEDNFCDIWASPY >RHN55772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23515298:23522733:1 gene:gene31021 transcript:rna31021 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQKHITNPRCVCGRRIWSQPAHCVCSKLSPNPTKSSRNAGEGQSSCISKCKCCNHGLDTKIYAAIEIIKQKHVSFPFLSNSALRSIIRVH >RHN42282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37085295:37097483:-1 gene:gene48706 transcript:rna48706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, uvrD-like Helicase, ATP-binding protein MNASTSTSRKKKKKKKGSYSYEDHNFINTIFSWSLQDIFNHDLYSNYLDYISLSFDSVGQYLKSFVYPLLDETRAELCSCMETLSTLPFTKVISLQHSLSHSHGRNHYLIKTDTWKNSFSHYGKKLHKTLPGDVFILADFKPETVNDLQRYGRTWRFLLSAEILDEEIQGNDDENKLTSTFKVIASKDIDIDEMGQKSMFIIFLTNITPNRRIWNALHMDGDSKLIQKILCASDVVEESCDHCSPKTDALRDDVTYQRLSSELNESQNKAICACLSAFHCNHKSTVDLICGPPGTGKTKTLGTLLFALFKMNSRTLVCAPTNVAIKEVASRVLSMVRESFDRNSDVLFCNLGDMLLFGSNERLKVGAEIEEIYLNYRVKQLLLCFTPPSGWKCCFVSMIDLLENCVFHYHQTGDNNSNRAKDDSPSDYGVGMHKSFVEFVRERFLAIASPLRDCISILCTHIARSCIMEHNLKDLACLIYSLTSFQALLFENNIVCEVLEKLFSPESQYSCFESVVGFEYSLQQSRTECLSLLKTLKVSLGYLNLPDVVTKESIREFCLRTASLIFSTASSSFMLYSVVMEPLDVLVIDEAAQLKECESIIPLLLPEINHAILVGDECQLPAMVESSVSFEVGFGRSLFARLSTMGHPNHLLNIQYRMHPSISSFPNSCFYLNQILDAPKVIAENYRKQYLPGKMFGPYSFINITGGTEEFEDTGRSRKNMVEVAVVRKIIRNCFRAWNGSNENLSIGVVSPYAAQVVALQDMLGQKYDKHEGFDVKVNTIDGFQGGEQDIIMFSTVRTDCSTSLDQRTNVALTRARHCLWILGNERTLVSQDNVWKALVLDAKQRQCFFNVDEDKDLAKGIWDAKKELDQLDDLLNSESVIFRNSRWKVLFSDNFLKSFKNLPTKRTKKWVISLLLKLSSGWRPKRRKGDLICGSSSQMLKQYKVEGLFVVCSKDIVKEVNFTQVLRIWNILPPEYIAKVVKHLDSIFESYTDDFISRCSEQCFEGKMEVPMSWDRSTEIIKIKNLVNTGIEANSSCCDQRIYVENSKVEESFLLMKFYSLSSVVVSHLLSDRNSNELELPFEVSDEEQDIILFSKSTFVLGRSGTGKTTVLTMKLFRKEELHHVALEHAYGIKSVEVPCLSYEKEYKDSSPMNDSPVLHQLFVTVSPKLCQAVKQQVGRMQRFICGADISSKSCSIEEEIVDVDTSIQFRDIPDSFVNLPANSYPLVITFQKFLMMLDGTVGNSFFERFSDLSSLSENLGVRSVVLETFIRKKEVTYDRFDSLYWPHFNSQYTKTLDSSRVFTEIMSHIKGSMSSVESGEGKLSRQDYLFLSENRASSLSKQKREIIYDIYQSYEKMKMDKGDFDLADIVADLHCRFRIHKYEGDEMHFVYIDEVQDLTMSQITLFKHVCQNVEEGFVFCGDTAQTIARGIDFKFQDIKSLFYKKFVLESKRSAYNQGKDKMKVSEIFLLNQNFRTHAGVLKLSQSIIELLFRFFPHSIDVLKPETSLLYGDAPVVLECRSRKNAIVTIFGNTGHESGKIVGFGAEQVILVRDDDARKEILDYVGKQALVLTILECKGLEFQDVLLYNFFGTSPLKNRWRVIYEYMNEQDIVELTESNSFPSFNDSKHNILCSELKQLYVAITRTRQRLWICENTEEYCIPMFDYWKKKCLVQFKELDDSLAQAMKVASSPEEWKSRGKKLYYQNNYEMATVCFERAGDSYWGKKSKAASLRATAIRLHDLNSEEANAILREAAEIFEGLGIVESAAQCFSDLGDYERAGKLYLEKCEEPDLKRAGDCFYLARCHEMAAQVYARGSFFSDCLNVCAKGGLFDIGLHYIQCWKQNESADPGWANSHDLNAIEQKFMENCAHNYFEKKDIKSMMKFVRAFHSMDLKRGFLRSLSLLDELLELEEESGNFMEALNIAKQMSDILREADYLGKAGEFLEAYELMFFYVLAKSLWSGGSKAWPFKQFTQKEDLLGRALIYAKEVSSSFYELASTEVEILSNKHDNIFEIMNQLKSSRIHRSIRGEILCLWKLLDSHFRLNSSKYVWQDSLFDVSVEGMIMKNQFSVETLFYCWTCWKDNIVHMLESLSNFKTQEPHPHCSYVKFAFNYLGVQKQIYNLNDIYLLVIPDANWVKKLGGRLLKKKVSLVSVDVQPLVSFAQSYWSSELLSVGVDVLHNLDALYKFSVHKAFSKFNQVQSLLHIYEVSKFLLKSKCFSHSHSNLKTLETFYRKPVECLFHHVVPLDWKKSLSKEMVYLRVTEAFQNIMEEVIYESTKQKDRLTYGKIGRVVVMILGTANVKDDLFAQVMTRFEDNKYWKEFIESLQLFSAHDILPDKKTDFEMHPACKLYKALCYTWSVNRIKEVDYISPSCFMYLVEQLLLLTSCSMGRLIYATKSSFTEWLICQNKFSLANLSFKAPVDTRDVHVFIENFLRKFVNDQSDIKTWIKKSNSDVDKNFPSLFLRSVVLMCLLHLSTGSPKYLELLHCWLKNSYITTQLPLEFCNVLQKGEKHMGVQVFAEAFKVIGNPLVIVRLQNSSSEIVCSDAAFVDLATYKKRELVLETLFPSIVDSVGWQTTAEASESKSMEFPSNLQNKSSASVSDQASDVDGDCFWNWLENFKSETDVLCLKSLSPDSIWASQFFLHYLHSLQGFLDHYNELWNLLQENPVIMGKKIEMEEYVSFLDEMKELRKIMSKGGSMTEKEKDTAIVLQKKILSRRSTVAHILYQLDLAQRNTDAESDSSQASTTVSDDDYEEDF >RHN48991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51617506:51624334:-1 gene:gene43769 transcript:rna43769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MSSSDAHTAPTNINPKCILFNAASGASAGVIAATFVCPLDVIKTRFQVGVPQLANGTVKGSVIVASLQQIFHKEGLRGMYRGLAPTVLALLPNWAVYFTMYEQLKSLLHSDDESHHLSVGSNMVAAAGAGAATTLFTNPLWVVKTRLQTQGMRSGVVPYRSTLSALRRIASEEGIRGLYSGLVPALAGISHVAIQFPMYETIKFYLANQDDAAVDKLGARDVAIASSVSKIFASTLTYPHEVVRSRLQEQGHHSEKRYSGMIDCIRKVFQQEGVPGFYRGCATNLLRTTPAAVITFTSFEMIHRFLVSHFPSDPQPHIL >RHN52628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37231453:37231896:1 gene:gene37322 transcript:rna37322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MYNTIIDGMCKGKFVNDAFDLYFEMVSRRTSPNVVTYSALISGFCIVGKLRDAIDFFNKMIFENINPNVYTFTILVDGFCKEGRVKEAKNVLAMMMKQGIKPDVVTYNSLMDGYCIVKEVNKAKSILNTMSQRGVNPDIHSYNIMIN >RHN72139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5310740:5312993:1 gene:gene7818 transcript:rna7818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MLNFTNFPFLIFSVANKLSSKMKQILFFTLLVLLGHIFFTTTLAQSPAAAPKAPEKPAPATPAPATAPAKPLVPSLPQSPSSDSSSGQDIIKILRKAKSFNTLIRLLKTTQIINQINSQLVTTKNGGLTILAPDDGAFLQLKAGYFNSLGERQQKELIQFHVLPVYVSSSNFDSLSNPVLTLASDSPSGYHMNVTAYGNNVNISTGPVNATLTGIVYSDKTLAIYHVDKVLIPLDFSKPKALAPAPSTAKAPKADKDSSSEDGDQGESTKATSGANNLISLQGTMFVSLLVAAVTMFG >RHN77428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6802324:6809191:1 gene:gene880 transcript:rna880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plasmalogen synthase MNNLKTMESELQDLNSSSKPPPPPVPDDLPLLKPITTVFASNTIDLENKFSPYVRRDVYGTMGRGELPTKEKVLLGVALVTLVPVRVVLAMTLLVLYYLICRVCTVFSVPNREDEQEDYAHVVGWRRVVIVQCGKMLSRIVLFVLGFYWIRQSSFCAHQNPTSSSNEKKNKSQPAQTGRPGVIISNHVSYIDILYHMSNSFPSFVAKRSVGKIPLVGLISKCLGCIYVQRESKTSDFKGVSAVVTERIREAHRNASAPIMMLFPEGTTTNGEYLLPFKSGGFLASAPVLPVILNYHYQRFSPAWDSISGVRHVIFLLCQFVNYMEVTELPTYYPSQQEKDNPKLYANNVRKLMATEGNMLLSDIGLAEKRIYHSALNGLFSQC >RHN40233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14442711:14443085:-1 gene:gene46356 transcript:rna46356 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYLPERVLRQFERVQTIPRHPAESAPHVINLVEITNRFQHALDHTLTHEQLGHYAVHGVEAVKGYIEWFYHQSHPCMILPDMLVSMSRPPEREVIDVVAAQDDGEHGYIQLSGRLSCIREHV >RHN48873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50824986:50829469:1 gene:gene43637 transcript:rna43637 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S5 MAEETVVIPVEVDPNLNEVKLFNQWSFDDLQITDLSLGDYIGARSKHATYVPHTAGRYSKKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIIKHAMEIIHLLTDQNPIQVIVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >RHN47450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39865297:39869732:-1 gene:gene42054 transcript:rna42054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein phosphatase inhibitor MDRRRSTNRPVALSSPSVTGATTITIESSVPSSSSSRQEQQQPEVLFLLLNRKKKKVSWKDGTVDNEFMQKKSSKKCCIFHKEKPFDEDDSDEDDVPHDSDKHPHDHSDNGFCCKNHDEAGPSS >RHN46188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29513858:29518379:1 gene:gene40634 transcript:rna40634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tropinone reductase I MDETKLKFKDQRWSLQGKTALVTGGTRGIGHAIVEELVEFGARVHICARNQDDINKCLEEWKGKGFCVTGSACDLLSCDQRQNLMENVASIFQGKLNILVNNAATCTHKKILEYTAEDIVTTMGTNFESGYHLCQLAYPLLKESGYGSIVFISSVGGLKAFDACSIYAASKGAINQLTKNIALEWAKDNIRANVVAPGPVNTDLLESILKSKPFEKITMDDIASSAPVGRVGEPKDISALVAFLCLPAASYVTGQIIAADGGFIL >RHN75467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42509410:42510030:1 gene:gene11683 transcript:rna11683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MSSSTTSKMLTLIISAFAIVQITLAGDPDILTDFIAPNGTQVDGNFFTYTGFRALTVPNIQPSFFVALKASKKEFPALDGQSVSYAALMYPPGTINPPHTHPRSAELLFLVKGSLNVGFVDTTNKLFTQTLQPGDMFVFPKGLVHFQFNSNTTKSALAFSAFGSANAGTISIASTLFNSTIDDNVLALAFKTDVATVQTLKKGFSS >RHN66330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10957064:10957719:1 gene:gene14290 transcript:rna14290 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVENVLQSSKKRVVGRKLTCDTLIDNEEDDADLEAGTFKKAVRRFLQPENNQSSTSPT >RHN51467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18177088:18177448:-1 gene:gene35904 transcript:rna35904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galacturan 1,4-alpha-galacturonidase MLPTPFKHSRCLQCEVLVLHYSSTNVRIEDCQIVSGDDCVAIKSGWNEYGIQFGMPSQHIIITRLKCVSPDSAMIALGSEMSGGIQDIRIEDLTAMNT >RHN70613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52732298:52734073:-1 gene:gene19215 transcript:rna19215 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDQPSKHRVLTLLNSLKQASKDLQIKTNPFTFLFKTDSKAAVEALLELESKAITIFSTNPNLYNLSHSLTTLKTLIENLEKCKGYGLKSILQRQIISYKISQASSSLESEIQTYLDRVIIINLVKTLQEQNNEDEQVKAIVEFEQRLLSGFDLEFQDLILRAKIFTLLERTLIEPFSSKRVREESAMAIADLVKFNKNVFVGLVLMGPTIKALIAMSSECSIKVISLLVRLIRSPLVDEILSNGMIPKIIGFLLSSDWCLRVAALDCVFELCYIGRREVVEAMLQQELVKILMILQRKEDLCDVEEIREKEKVLGVDYDLDDSVFDGCVSRFAIQVEIGEGLSSEEKREVKLEILRLVKEASQSDAEFATVSAEILWGSSP >RHN73462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16654538:16655478:-1 gene:gene9277 transcript:rna9277 gene_biotype:protein_coding transcript_biotype:protein_coding MFDELHWYLQNKGCQMPHLTIFETCLLLSSPSLAALAANNKKY >RHN64497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59554246:59557371:-1 gene:gene27317 transcript:rna27317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TIFY family MTTSNSINNIDINSISDQESVKHFSAANWPLSTSGLNAMTPGTTQFAILYNGSMCVYDGIPAEKVHEIMMMASANAKSSEMKSGIPFNSLFSSTTPSSPQGNSDNLPSPPSVGFPAAEKSSICRMQEFPLARRQSLQRFLEKRRIRVRSKAPYTSSSSKAANNSDNNFSLVMVSLEGK >RHN45880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26511315:26512550:1 gene:gene40302 transcript:rna40302 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKYSLVLLLLGMIMLTTVIGERNDNKFEYDPMLDPEDDWPEIFNEPLPSYYKIPKEESPDYETNLSNEAINHTV >RHN48586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48597995:48602280:1 gene:gene43319 transcript:rna43319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyadenylate binding protein MHAESFLHSLTHTHHQDPLIHIHTHSFFLSFFISLSLSLSLSLSPFLFLSLETNNSLHPSMAVPPSATPASLYVGDLHPDLSDSQLHDAFSDFKTLASVRICRDSSSGKSLCYGYVNFLSPHDAIRAIEVKNHSTLNGKAIRVMWSRRDPDARKSCIGNVFVKNLAESIDNSGLEDMFKKFGNILSSKVVMSEDGKSKGYGFVQFETEESANAAIERLNGYLVGDKQIYVGKFVKKSDRISSGPDTRYTNLYMKNLDLDISETLLREKFSSFGKIISLAVAKDSNGMSKGFGFVNFDNPEDAKRAMETMNGLQLGSKILYVARAQKKAEREQILHQQFEEKRKEQVLKYKGSNIYVKNIDDNVSDEGLRDHFSVCGTITSAKIMRDDKGISKGFGFVCFSTPEEANKAVNSFHGFMFHGKPLYVSLAQRKEDRQAQLQLQYAQQIAGLAGPSTAIVPGGYPPFYYTATGVVSHAPPRAGLVYQPMALRPGWRANGSAPPARSFQQSPTPVVSNNNTRQHRQNRGRMNGHAVSQGNTHSGTYMPQAQQASQSAISSRESSTQQRTGQAKYVPSGRHREMEKGSGLSSSGGSLGPEVLHSMLANATPEQQKEILGEHLYMLVQKIKPNLAAKITGMLLEMDNAELLVLIESPESLSAKVEEAFQVLKNSKAKVSGQDVLHSNFLSAEVAVN >RHN60098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20287994:20288447:-1 gene:gene22320 transcript:rna22320 gene_biotype:protein_coding transcript_biotype:protein_coding MILFSSFLIHHQPQRDCRQEYTLSKSKVCLKCFDEGPFPSLLVSMLLLFL >RHN72151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5407018:5407581:-1 gene:gene7832 transcript:rna7832 gene_biotype:protein_coding transcript_biotype:protein_coding MTNCFNDITSSSFTFGTNHRSTFANSAKSFTEVTTATNERNTEIVFVDVIVFISKGKNFALIDVIDSERFKDLSFNEMTDTGFRHDGDGDGGFDFFDELRVGHTSNTALSTDVGGDTLQGHDGASTSFFSDASLFGVDDVHDDAAAEHLSEADFDGEWGGFGGGGGCFSECTVAVDGYDSRSFHVEG >RHN79177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25218539:25222323:1 gene:gene2908 transcript:rna2908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MPPHNNIITLLFLFLSLSITFTSSLNSDGLSLLALKAAIDVDPTGILTSWSDSDSLPTPCSWEGISCTNKQVTQITLVSKSLTGYIPSELGHLTELTTLQLSNNNFTKTIPSSLFTNKLIFLDLSHNSLSGPLPSSLTSLTSLVHFDLSSNFLNGSLPESLSELISLTGTLNLSHNSFSGQIPEKLGNLPVEVSLDLRDNMLSGEIPQVGSLLNQGPTAFSGNPGLCGFPLRNLCQDETKVPDYLPENPDTNPNAVRTEPVQDGRGRGGLFVVVGLVLVSVGVVVVLMLVVVRRRRRRLNDREGGFEKGKVEGEVLGCGEEQKGRFVVVDEGFGLELEDLLRASAYVVGKSRSGIVYKVVGGGKGSVPAATVAVRRLSEGDDGGLRFKEFEAEVEAIGRLRHPNVVPLRAYYYASDEKLLITDFIRNGSLHTALHGRPSDSSPPLSWAARIKIAQGTARGLMYIHEFSGRKYVHGNIKSTKILLDDDLHPYISGFGLTRLCLGTLKSTTFTHKRQNSNQSIVVSTKSSKVAANSKNYMAPEVRMSGGKFTQKCDVYSFGIVLLELLTGRLPDLGPESDQKELESFVRKAFQEEQPLSEIIDPALLPEVNAKKQVVAAFHVALNCTEHDPELRPRMRTISESLDHIKIQ >RHN66052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8025878:8033622:1 gene:gene13963 transcript:rna13963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leo1-like protein MGGEEKRHQMMQNLFGDQSEEEEDELESEHESNPQLNSDEGEGGVGQDGEEGEGEVEGQGEVEEEAESEGEREVGDQRVEEESEGRGEDTDSDAKDDYNQRVVTSKRRDVVESGSDDDEQEVDAAARRSISGSPRDDKDHTHDLDSAPEIRDVFGDFDDEEEDMGYAAQQDIEQDSNRYPAEEEGSYEKSLRPEDILADEEHQYESEEENIEMKTKDKPLGPPLELEIPLRPPPALPGKMNVFKVSNIMGVDPKPFDPKTYEEEDTFVTDEFGNKKRIRLENNIVRWRKVTNPDGTTSVESNARFVRWSDGSIQLLIGNEVLDVSEQDAQHDQAHLFLRHGKGILQSQGQLSRKMKFMPSSLSSNSHRLLTAIVDSRQRKAVKIKNCVTDIDPEREKEEKEKAESQTIRANVLLTRKREKVNRKYTPTERRRQLSPGFLEDALDEDEEADYYDSRRTQRHYEEDFEAEVRAEKRIMNAKKSQGPKSIPRKSSFLPPAKSSRHQVGYPDDEREESEYETEDEEEEERPRSRMRDDDSEAEYEDEEEDEQIEQENDASDEDEDEGLKQKSKNLKGKAKKKGFDSDEGSPPPKKPTTHRRMTIMSDSDDE >RHN79103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22939701:22942354:1 gene:gene2813 transcript:rna2813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter MANKRQDRITIWVLTIRRVVINWFSSVARKRDKNVQGRFASRRKLPVMIILNVAFLSFVMTGLKLRFPSATGSIIYAILQIVITGVGAALINKAGRKPLLLVSGSRLVAGCIFTAVAFYLKETPL >RHN73732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19432325:19435061:1 gene:gene9588 transcript:rna9588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MQFSNDDANQNHSYCENALGIFDGCYESSDDSVSIIPSFTNKPSEVRFKNVISRAFSILTRRNKTSDTNDVSFLGVGKDGDIVIDPSVYMPSAPPIEIDYSAYKEVLEVEPPEWLRDSSATACMQCSAPFTALTRGRHHCRFCGGVFCRGCTKGRCLLPVAFRERNPQRVCDACHGKLDPVQDFLISNISNAVQVAKHDVMDWTCAKGWLNLPIGFSMEDEIYKSSNTLRNYCKVARSNPEKSIPLAILKEAKGLAILTVVKAGAFLSYKLGTGLVVSRKSDGSWSAPSAIFSLGLGWGAQIGGELMDFIIVLRDIKAVRTFCSHMHFSLGAGCSAAAGPIGRVLEADIRAGDRGSCMCYTYSCSKGAFVGASLEGNIVATRMDANMQFYGNPCLTTSDILLGMVDRPEAAEPLYGALKDLYSSLSC >RHN40950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24928752:24930500:1 gene:gene47200 transcript:rna47200 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDPDKPMAIYVKRNSRRKSVPVRIDGIGKLSLAEALLLLDNRHEGCQIKPEFSIAISSMPGVKDVKITGDIVENERVVVTGTITGGGTDGCSRAQWYKSSSQTLDESKLEALSTSTVQKAFRIPLGAVGCYLVAKYTPMSPDGVSGTPTFVISDREVESLLLKLTFIEIIGDYYEGGKVTASYGYVGGHEGKSIYNWCIYEKEDDPNSAILEDSGRLEYQFTENDVGKFILFQCTPVRSDGVPGVKRICMGAKCIHPGNISL >RHN58590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3042164:3049480:-1 gene:gene20514 transcript:rna20514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MEGSDIYKAGNSFRMSSSSTTVWRNSKMEAFSMSSRHGGEDEEALRWAALEKLPTYNRLRKGLLATSRGVANEIDILSDLGFQERQKLLDRLINVAEEGNEKFLLKLKERIDRVGIEIPTIEVRYEHLIVDAEAYVGGRALPTLLNSVMNAVESILTYLHIFTSKKKHMTILKDVSGIVKPRRMTLLLGPPSSGKTSLLLALSGKLDPNLKVSGRVTYNGHGMDEFVPQRTAAYISQHDVHIGEMTVRETLAFSARCQGVGSRYDLLSELSRREKEAKIKPDPDIDVFMKAVATGGQQESVVTDYVLKLLGLDVCADTMVGNEMLRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKSLRQYVHILNGTAVISLLQPAPETYELFDDIILISDGQIVYQGPREHVLDFFESVGFKCPERKGVADFLQEVTSKKDQEQYWVDREKPYRFLTVTQFAEAFQSYHVGRKTRDELAIPFDKSKNHPAALTTKKYGVNKKELLKANFSREYLLMKRNSFVYIFKICQLTLMATVTMTLFLRTEMHRDSLNDGGVYAGAIFFSVVMLMFNGLAELSMTIAKLPSFYKQRDLLFYPSWAYSIPAWILKIPITFLEVAVWVFLTYYVIGFDPNVTRLLKQYLLLLLINQMASGLFRAIAALGRNMIVANTFGSFALLALLTLGGFIMSRRDIKSWWIWGYWISPLMYGQNAIMVNEFLGDSWNHFTPNSNKTLGIQVLESRGFFTDAYWYWIGIGALTGFMFLFNILFTMALTHLNPFDKPQAKINEESEDSITNGTLQEVELPRIASLGEYVVSSSNRKKRGMILPFEPHSIIFDQVVYSVDMPQEMKVQGVVEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGTIKISGYLKRQETFARISGYCEQNDIHSPHVTVYESLVYSAWLRLPAEVDSNSRKMFIEEVMELVELNPLRNSLVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQETYVGPLGRHSNQLIKYFESIEGVSKIKDGYNPATWMLEVTSSAQEHTLGVDFHDIYKNSELYRRNKQLIVELGKPAPGSKDLHFSAQYSQSFWIQCLACLWKQHWSYWRNPPYTAVRFFFTTFIALMFGTMFWNLGRKYSNRQDLFNALGSMYTAVLFLGVQNSSSVQPVVAVERSVFYRERAAGMYSALPYAFAQVIKSPFLQIKYLYKYLYP >RHN65356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1406695:1412299:-1 gene:gene13184 transcript:rna13184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative N(4)-(beta-N-acetylglucosaminyl)-L-asparaginase MRLLLIEVLVFHLSLLSLVMGHESVELEQYPLVVSTWPFIEAVRAAWRAVDGGSSAVDAVVEGCSTCEELRCDGTVGPGGSPDENGETTMDALVMDGVTMEVGAVAAMRYVKDGIKAARLVMQHTEHTLLVGEKASEFAISMGLPGPTNLSSSESIEKWTEWKNSSCQPNFRKNVSPANNCGPYRPTNYLELSDETCSNTYENQILRLPHVGLHSHDTISMAVIDRMGHIAVGTSTNGATFKIPGRVGDGPIAGSSAYAIDEVGACCATGDGDIMMRFLPCYQVVESMRLGMEPKLAAKDAIARITKKFPDFVGAVVALNKLGEHAGACHGWTFKYSVRSPAMKDVKVFTVLP >RHN45903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26679299:26694967:-1 gene:gene40330 transcript:rna40330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoplasmin ATPase transcription factor MYB-HB-like family MHGCNFGSVHVVNAEVDSMGGVVDGGVGVGLKTSPRRAAIEKAQAELRQEYDAREERRKELEFLEKGGNPLDFKSGNAASVSVQSTSLTDQHQEQFVTSEAKGSFVLTASPHGDSVDSSARPGAPSINEPNSADNLLLFDGENELPEGEKRSLHSYKRNKIAPSEQSSQIGGGQNAKETEESAIFTPYARRNRSRPNHGPRGGSRDVKGFLSDTNRQKDRNVPSLSKPKPANSNGEILAKDPTTNDPLDNESVGLQARQTNSVSASVAADTLDITLNRNFKEDQRIVPSQDDTVQDPLVLASGKASADGERNPGASGELELSSSVAAAQPGNESCPSQTNGFGTIKVDRKSSPAKDQNSSVAAGLKRVDPEPGCAQTSLARDVNNDTDMCTNTKKADDNGNTSEQTLFNKKLSSTGYEAVKEWSETNIDQGGATVKNEHASSFVNHSGCGSIIKNEEDLSTSSSCMPNKLKDSSSIRGVHDNDSTVLKANKGVSVVMVDRSNSTKDDNCERLKLSKDVSISANPQSNKADKVTTAVSDCQPCSPLRLKLADKAREDSILEEARIIEVKRKRILELSAHTLPSPILRKSHWDFVLEEMAWLANDFAQERLWKTTAAAQLCHQASLTSRLRFEKQNKNLEMKILSHTMAKAVMQFWHSVELLLDKNVPDHNCIGVSVEHEKVDSNEASKDKRKNSEKETSNNYLEGHNPRKHLALKVHSYALRYLRDSRSHGMSSQAEAPATPDKVSDSGTVDMSWEEHLTEESLFYTVPPTAMETYRKSIESYFLLCEKTGSSIQEEVETSIYDTAADFGCEEVAYEDDGDTSTYYLPGTYEGRRSSKSVQKKQKNRMKSYPHRSGEIGTDLPYAHYSTGAHPSILHGNRPANLNVGTIPIRRVRTASRQRVVNPFAAVSGTVQALVKVDAASSGDTNSFQDDQSTLHGGSQLQKSMEVESVGDFDKQLPYDCGETSVKTKKKKPKNLNSAYDQGWQLDSVVLSEQRDHSKKRLDSHHFESNGNSGLYGQHNVKKLKMTKQSLETFDNVSPINNSIPSPAASQMSNMSNPSNKLIRIITGRDKGRKAKPLKNSAGGQPGSGSPWTLFEDQALVVLVHDMGPNWELVSDAVNSTLHFKCIFRKPKECKERHKVLMDKSTGDGADSAEDSGSSQSYPSTLPGIPKGSARQLFQRLQGPVEEDTLKTHFDKIIKIGQRQRYHRNQNDNQDLKQLAPVHNSHVLALSHVCPNNLNGGVLTPLDLCETNATSPDVLSLGYQGSHAGGLPLPNHGSVSSVLPSSGLSSSNAPPSGTSLGNNLSSPSGPMAASARDSRYGVPRGVPISADEQQRLQQYNQLISSRNMQQSSMSVPGSDRGARMLPGANGMGMMGGINRSIAMARPGFHGMTSSSMLSSGGMLSSSMVGMPGVGAGQGNSMMRPRDTVHMMRPGHNQGHQRQMMVPELPMQVTQGNSQGIPAFSGMSSAFNSQTTPPSVQQYPVHAQQQSHLSNPHPHLQGPNHPNNQQQAYIRLAKERQLQQQQQQRYLQQQQLSATNALIPHVQAQAQPPISSPQQNSSQAQPQNSSQQVSVSPATPSSPLTPMSSQHQQQKHHLPQPGFSRNPGSSVTSQAVKQRQRQAQQRQYQQSARQHPNQPQHAQAQQQAKILKGIGRGNTLIHQNNSVDPSHINGLSVAPGTQPVEKGDQITQMTQGQTLYPGSGIDPNQPPKPLGPAHPSNSNHSQLQQKLHSGSTSTSSKQHQALVSPSDSNIQVQVSPVTSGHITTPTQPAVGAPNHHQLQMPSQTQSKQINQTQPNVQKTLQHNCQVPSESLNMSQSDSLKIDQQPGNSASQVSTSTSMSQGSMDSASVLAVAPTVSSQRKTSEPPFDSPIPNPVTQVSSLESTAVENSAATESLTVNQGLGPRQLSANLASHSHNSGAQRQHQPLPLKQQSTLKPNLSQQSCQEPEHQQQEQEQHFPEDVALQHQPQHVQNLQPGQSSLLIRPPNSTVE >RHN46425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31619165:31619354:-1 gene:gene40908 transcript:rna40908 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIGICIRDDQAGEFVMAKTDCFSPLCDVDIREAVGFHTAATMGVKSSL >RHN60868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31169468:31172562:-1 gene:gene23257 transcript:rna23257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-phosphofructokinase MEMWTLGLQDHFTKVKELAITFKYIDPTYIIRVVPSNASGGVYYTLLTVSVVHGAMAGYIGHQLYKSSCQQKTNLYTLYDQTRRAAGIFCQ >RHN75932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46224451:46227493:1 gene:gene12193 transcript:rna12193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase, beta-propeller MDQREGVNDGFPRHRPNDSLLPGLIDDVALNCLAWVGRSNHASLSCINKRYSRLISSGYLYGLRKELGVVEHLVYLVCDPRGWVAFDPTIGRWMALPKIPCDECFNHADKESLAVGCELLVFGRELMEFAIWKYSLICRRWLKCPEMNQPRCLFGSSSLGSIAIVAGGSDKYGNVLKSAELYDSASCTWELLPNMHTPRRLCSSFFMDGKFYVIGGMSSTTVSLTCGEEYDLSTRSWRKIEGMYPYVNVGAQAPPLVAVVDNQLYAVEHLTNMVKMYDKQKNTWNELGRLPVRADSSNGWGLAFKACGDKLLVVGGQRGPEGEAIVLNSWCPKSGVRDGTIDWQVLGLKEHVGVFVYNCAVMGC >RHN67876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31117850:31121129:1 gene:gene16121 transcript:rna16121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative taxadiene 5-alpha-hydroxylase MVVEIYFIVICLLTLSLAFLLTKFLSKSKIKNVPKGSMGYPLIGETYGFLKALRQDKGSEWLEERVAKYGPVFKTSILGSPTVFIIGQQGNKFVLGSSHDVLSSKKPPTLQRIFGKQSLLELTGSRFKLVKGEMLKFLKPECLQNYVEKMDELVKTVLLRELKENKTIEVVRLMKKLTYDMACNILFDIDEQTREVLYEDFILAFKAIHSLPINFPGTSLWKGLKARERIVDKILPIMNKRREELSKGVLSSTNDMISCLLAIRDENNQPLDEEMIVGTFIFIFVAGHDTSATLMTLMIWKLSRDQEVYNNVLEEQMEIIKQREGNEDRLTWGEIQNMKYTWRVAQELMRMIPPLFGAFRKTIEDTNYEGYDIPKGWQVYWASCGTHMNKDIFENPEKFDPSRFENRTKSIPPFSYIPFGAGLHYCIGNEFARVQTLTTIHNFVKTYEWSQMNLEETITRRPMPYPSLGLPIKIKPRCNMS >RHN46826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35156118:35161614:-1 gene:gene41357 transcript:rna41357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MPLNNRTKGGSSFPLLAFVLLCLLAPLIFFFASSLHTSSDHNGISDVTSQQATKWREQKALHDLKSLFPKEVLDVIKSSTNDMGPLSLENFRNNLSASWRVVGSKTSNATHQLNNPETHLRQEVQKEKEGRSSGLPQWTDSPVRLARRQLIEKRMAKRAAELVQQDDEVIVKLEDLAIERSKSVDSAVLGKYNLWRKENENENADSTVRLMRDQIIMARVYLSIAKMKNKLELYQELQIRLKESQRALGEATSDADLHQSEHEKIKAMGQVLSKAKDQLYDCKLVIGKVRAMLQTADEQVRGLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLPPEKRKFPMTENLVNPSLYHYALFSDNVLAASVVVNSTVVNAKDPSKHVFHLVTDKLNFGAMNMWFLLNPPGKATIYVENVDEFKWLNSSYCPVLRQLESVTMKEYYFKAGHPSTTGASNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWNVDLHGKVNGAVETCGESFHRFDKYLNFSNPHIAKNFDPNACGWAYGMNMFDLKVWKKKDITGIYHRWQNLNEDRVLWKLGTLPPGLMTFYGLTHPLNKSWHVLGLGYSPSIDRTEIENAAVVHYNGNMKPWLEIAMTKYRPYWTKYVKYNHPYLRNCKLSEWSG >RHN59608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12471618:12478737:1 gene:gene21661 transcript:rna21661 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMTNTCTGTSLRWQQQPHLPPFNRRHRQPVTVSFKNNNNNNKPNDMDRVLKEAWQNANDGFERFLFEAKKTAERIDRQYSVSKRFASVASAAADRAREIDRDFEIGVKYRNFSSDFALNWPRYRMQISKFLDSPVGKSFTTIFFIWFAFSGWLFRFLIIATWVLPFAGPLLIGTLANNLVIKGSCPNCKTQFAGYKNQVVRCTKCGNTVWQPKGKGDFFTRGGRNNSSSKSDPNIIDVDFEEK >RHN64766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61664579:61665203:1 gene:gene27621 transcript:rna27621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MAYTHNCSMITVLLSLLVFATFMTTYAAGNFYQNFDITWGDGRAKILDNGQLLTLSLDKASGSGFQSKNEYLFGNIDMTNETLQERSLLTICHQKDQIGMRLTLNS >RHN82325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52835241:52836275:1 gene:gene6481 transcript:rna6481 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRNWANLEALALNLILDKLEEHIDYVSFGAVCKTWRSIAKFSHQNHQFKTNALPMLMISRSSTEKNWLYGILNKRWHPFQFQLCHANNSKLSLCGSSHGWFAFVDDSKSIITLMSPFKDTPCITLPPLNSVNKVTLSADPMQSPNDYMVVAIYDDCGNLAYVGRGQQNWTYIDTSKSSFIDVIFYKGLLFALNIRNKIVSFNFGYSDDTLGKTTITSTVVLEEKVGHCFLGDKFLVKSLEEELWMVRRCDTSMCTFLVYKLKLDVKSEKLEHMFELKSLGDNILFVGAGDSISVSASHFSKSLQRDSIYFANGLKIYNMKSGAASYRCPYWDSPYWILPHFQ >RHN64569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60113519:60114220:1 gene:gene27397 transcript:rna27397 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLLVDFTNTFIEMKKHMNSFSRANLIGMPQFEEIGTCELTEKLQRNMQRHTVSVNEENDDMEHETDDGSPTPFSKAIKAIQERIAKSMKKGLVDESLIWHSKFNEEDLEKRSFSVPSLQELCLNILANHADAIASLDSVSEELKQRLSTLLCDLGKMNGHFLELLLSGFSNVIRLKDCFWLTEEEFIKYFGTLDTSILEVCSFNLIVQQILYLVFLVILLQFHLFLSKFQF >RHN43749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48187360:48187781:-1 gene:gene50371 transcript:rna50371 gene_biotype:protein_coding transcript_biotype:protein_coding MECHSIKKCVYSVVETLPQVTYMIVIYEHYVTIIYELKTHFL >RHN42737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40563706:40566538:1 gene:gene49220 transcript:rna49220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cold-regulated 413 protein MISSSTLGCVLSSSSSTSIVNARSTTFSLLNCTRTTDPSSSSSLSFSHPRTFNPLCLRSFTRHYGIKIAHNPKTSTNGFRLFSSYAPYPFTTPNIQWLSTVSSLVLILAKGTAVPKSFIVPLFALQAPAPVFSWIQGRYGVWSAFLALLVRLFFHIPGELELPFIAFLLVIVAPHEAVRLRDTKEGAVISLLIAVYLAFQHFSRTSLEKSFDQGSVVSTLAVIGITVASLLLLI >RHN45302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:15840811:15841474:1 gene:gene39564 transcript:rna39564 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFPPISKEDNPEVLYHFISAHFKNTGEIISYASIPDTMGGAPLKVKGKRTKIVEKEDAPAPKSKRAKVSKTEASTASDTVSDLREEVIQKKRTKGKRNLQEAVKEATEEWVKEEDNKEEEPVPEPKKKKAKKPLDIVSPMVEVTPEMAQMVKEYADAEIAKKKQLAEQYRKERDEKLKAAGILRKQLVCYKRL >RHN74613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35158835:35160613:-1 gene:gene10710 transcript:rna10710 gene_biotype:protein_coding transcript_biotype:protein_coding MASACINNNISMSPETFFPWGDPEPDFEFRLQDPVNMLPADQLFSDGKLVPLHFKPSSSSSTTTSPKKITTSITTTDPILFSPKAPRCSSRWKDLLGLKKLYNNSTNTSTTTKTMSSSNNKSFKHLLNRSCKTTSSENGSLNLPLLKDTENESLSISSRLSLSSSSSSHDHDDLPRLSLDSDKPNPISIHRNPNQNPNQNPNPRIRLVKPKSGSFDGNNNKPDHNRAGRSPIRMESSSTTQGCRGVSVDSPRLNSSGKIVFQSLERSSSSPGSFTGGPRFKHRGMERSYSANVRVTPVLNVPVCSLRGSSKSGSVFGFGQLFSSPQKRDSTVGGGNSNGNGNGKSHHHQYSVKHHQQHKHT >RHN74839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37074267:37078832:1 gene:gene10964 transcript:rna10964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase with an alcohol group as acceptor MAMKKLLLLLKPFAVSPQPSQTHPQVLHFLNNRNKVHHDSINFCQEILQKKSVEWKAVHRNDLTQPINDVDLVVTIGGDGTLLQASHFIDDKIPVLGVNSDPTRIDEVEKFSGEFDATRSTGHLCAATVENFEQVLDGILEGRIAPSELTRVMISVNAQRLSTYALNDILVAHPCPASLSRFSFRITKEGQPCSPPVNCRSSGLRVSTAAGSTAAMLSAGGFPMPILSRDLQYMVREPISPTAVSDSMHGLIKHDEKMNATWTCRKGVIYIDGSHINYTIQDGDIIEISSKAPSLNVFLPHYLLQLGKM >RHN61396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35392439:35392717:1 gene:gene23860 transcript:rna23860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRVPSIIRKSSFSASRVISKVVDVPKGYLAVYVGKQKRFVIPISYLNQPSFQDLLSQAEEEFGYDHSMGGLTIPCTEDVFQHITSRLNGI >RHN64327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58182157:58187075:-1 gene:gene27131 transcript:rna27131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-binding, CRM domain-containing protein MFSAASVRGAKHGFSFTFNRYSLFWLCLVEDVPTVASSPSIASRSFTQYKSIHPRTYRTPRHDSRAPPACSPSALVPQQRSNIIRSHGNQGNWLPSRYTSNESVELKTQNDVVRFSLYKPDDIGSANKNQNKKKKSRKAKLNELRFFRLKAKQKMYSPNPEVRIRYKLEKAKRKETWLIEKLRKFDVPKLPTETFDPEILTEEERHYLKRTGEKKKHYVPVGRRGVFGGVVLNMHLHWKNHETVKVICKPCKPGQAHEYAEELARLSKGIVIDIKPNSIIIFYRGKNYVQPKVMSPPDTLSKAKALEKYRYEQSLEHTSQFIERLEKELEEYHEHVAKFKKEKEDTT >RHN45673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24315569:24316266:-1 gene:gene40056 transcript:rna40056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQLLKFVYAIIFLFSLCLAATKEKFHSCVNANDCPYDFCSPPKYAKCVYNSCYCEDQGRL >RHN66182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9531740:9532243:1 gene:gene14115 transcript:rna14115 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAIKFFSFFFVAIFAAIVASAQDLSPSLAPAPGPDAGAAGSVASSVAMIGVSIVLSMLAIFKH >RHN81370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45304334:45304642:-1 gene:gene5395 transcript:rna5395 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLENLEDVDLVGFNALLVSLLLLVGGGCFLWDLLFGFGFLCWRLLCWLLLGGFLLSLWCHC >RHN59682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12640765:12641010:1 gene:gene21766 transcript:rna21766 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaC MSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLGPETTRSMGLAY >RHN40392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15913903:15916665:-1 gene:gene46540 transcript:rna46540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MVNTNLEEIKQDHEHVYDRQKELKLLDESKEGVKGLVDAGLTKVPKIFIHDKIHEHNNKQTSSTNLSIPIIDFGPLFTNTSSSSRLEIIEKVKYASEKWGFFQVVNHGIPSTVLDEMIDGVVRFHEQDTETKKEFYSRDNGKRVYYNTNFDLYVTPAVNWRDSLSCVMGPQPLDPQKLPTVCRDITTTYSEYVKKVGTILFELLSEALGLNSNYLKDIDCVEGLFLISHYYPPCPEPELTIGASAHSDSSFLTVLLQDQLGGLQVFHENQWVDVTPIPGALVVNLGDMMQIITNDKFLSVKHRVLAQSIGPRVSVACFFRQHLPPENTKLYGPIAELLTPENPPLYKETSVKGLVSKLYGKGLDGNSALDHFRIQAEQQEE >RHN74742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36292215:36305658:1 gene:gene10850 transcript:rna10850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MAEIVLAEPIHFEQGESSTSRQNQHHQYEHEYEHEYEYDNSMAITTNSVSSSSNDEHLQPGPFDSERLPPVFDSEIQRFLRVANLLEREEPRVAYLCRVHAFVIAHNMDKNSSGRGVRQFKTTLLHRLEKDENATKRGGIGDIRELKRVYHVYRDYFTRNNKAFDLEQSRREKLINARFIASVLFEVLKTVTNTPSNQALTDGNAIHKKTEFNILPLEQGGIQHAIMQQPEIKAAIAVIRNIRGLPPAQDFKKHGAFVDLFDFLQHCFGFQEANVANQREHLILLLANMQTRQQTHNQKSVLKLGEGGVDELMRKFFKNYTNWCKFLERKSNIRLPFVKQEAQQYKILYIGLYLLIWGEAANLRFMPECLCYIFHHMSYEMHGVLSGAISLTTWERVIPAYGGEPESFLNNVVTPIYDVIKKEVENSKGGTTDHSAWRNYDDLNEYFWSPDCFGIGWPMRDQDHDFFFVKTKDKPDFKNALVVSHAQKKEKKKKKKKETKVDEEPQETVEEDQENNENEEKRERQWLGKTNFVEIRSFWQIFRSFDRMWSFYIISLQAIIIIACHDLGSPLQLFDATVFEDIISIFITSAILKFIQAISDIAFTWKARYTMEHSQKVKLLVKLGFAMMWNIILPVCYANSRRKYTCYSTKYGSLVEEWCFTSYMVAAAIYLTSNAAEVVLFFVPAMGKYIEVSNNKICKVLAWWIQPRIYVGRGMQEDQLSVIKYTLFWILVLSCKFVFSYSFEIKPLIEPTRRIMKIGVRKYEWHELFPRVKNNAGAIVAVWSPVVMIYFMDTQIWYSVFCTIVGGIYGVLHHLGEIRTQGMLRSRFDNLPAAFDVCLNPPSSKRGKKRRKGFLSNIFQKLPDEKNALAKFVVIWNQIISHLRLEDLISNREMDLMMMPVSSELFSRKVLWPVFLLANKFSTALTIAKDFEGKEEALVKKITKDKYMFYAVRECYQSLKFVLEILIVGSQEKRIIRDILSEIENSIEETTLLKNFNMKVLPSLHVKVIELAELLIEGGKDNRHRVAKTLLDMFELVTNDMMDDSRTLDTSHFPEENECGFVYFRDDNQLFATEEIDSESFPFSNESCVHFPLPEGPLMEKIKRFHLLVTVKETAMDIPANLDARRRISFFATSLFTDMPDAPKVHSMVPFSVITPHYMEDINFSMKELGSEREEDSIIFYMQKIYPDEWKNFLERMCCEDRRSLEDENKSEELRLWASFRGQTLGRTVRGMMYYTEALKLQAFLDMAEDEDILEGYETAEKGNRALFARLEALADMKYTYVISCQSFALQKSMNDPRYKDTIDLMIRYPSLRVSYVEEKEEIMQGRPHKVYYSKLVKAVNGFEQTVYQIKLPGPPQLGEGKPENQNNAIIYTRGEALQTIDMNQDNYLEEALKMRNLLQEFLKRQGRRPPTILGLREHIFTGSVSSLAWFMSYQETSFVTIGQRLLANPLRVRFHYGHPDVFDRVFHITRGGISKASKTINLSEDVFAGFNSTLRRGCITYHEYLQIGKGRDVSLNSISKFEAKVANGNSEQTISRDIFRLARQFDFFRMLSCYFTTIGFYFSSLISVIGIYVFLYGQLYLVLSGLERALIIEARIKNVQSLETALASQSFIQLGLLTGLPMMMEIGLERGFLTALKDFILMQLQLAAVFFTFSLGTKTHYYGRTILHGGAKYRPTGRKVVFHASFTENYRLYSRSHFVKAFELMLLLVVYNMFRKSYQSNMTYVLITYAIWFMSLTWLCAPFLFNPAGFSWTKAVDDWKEWNKWIRQQGGLGIHQDKSWHSWWYDEQTHLRHSSLGSRFAEILLSLRFFIYQYGLVYHLDITQQSKNLLVYVFSWVVIFGIFVLVKAVNIGRNLLSANYQLGFRFFKAILFVAVVALIITLSIICQLSVSDLFVCCMAFMPTAWGLIQIAQAARPKIEHTGLWDFTRALAREFDYGMGIVLFAPIAILAWLPIIKAFHARILFNEAFKRHLQIQPLLSVKKKKHRP >RHN48625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48937171:48944327:1 gene:gene43364 transcript:rna43364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNMENSRRSLDRSREPGAKKPRLIDELQQGSNQTSRTFPQRQQPTSGVATMLSSGRFRMNDRDSESSDGGGGYHPQPPPHQELVTQYKAALAELTFNSKPIITNLTIIAGENLSAAKSIAGAVCGNILEVPSDQKLPSLYLLDSIVKNIGRDYIKYFAVRLPEVFCNTYRQVDTPVHSSMRHLFGTWRGVFPPQTLQIIEKELNFNPAVNGSASASATLRSDSQSQRPSHSIHVNPKYLERQRLQQSSRTKGVFDDMAGVISNANEGAERPDRALGAARPWLDPRLNMHNNQHTHRGALNDSVPEKSIGGAYGDDEYNSSVSNSLGSGVGRTGSRLIGGVAETLSGQRNGFSLKHSFSNHEAPKSVNLDAHNIRSSAMSKNWKNSEEEEFMWDEVNPGLSDNVPNVSNNLSSDQWMADDDNLESEDHLQFTHPIGTKVNKGISTVKKQLPSSGGHSSLSWELQKQVPSAKLNMKPGHSEIFVSAPSGLPKNPNSSAARIRNQSSMPHTTIGMSKITGQQQFDSEGTESPSEQSSPLRQQSPKVPVTIRNPPSMRNLAEQDCPTTLKTSQHLGGLQSQYIRDPVPAIRSNVQVGNLRKSQEKDMRGPLSSATSFQPKPQQQQLGSSQAEVTLKAKQPLKSKAPLVKAKVTSEKSTTKCLPAPSVKSGIIPNKSITRNLDASNRPSQIGVKPTRSGGPSPATLISSGSPAMSLGSPDDYSPTLPKLPQGKAGKKQNDSTQPSTSSNNRGASAPSSNTANKNTLNPISNLLSSLVAKGLISAGTESATTVRSETVMRSKDQTESIAVSSSLPVASVPVSSAVPVKSSRIEADDAAKASLALSQSTSTEIRNLIGFDFKPDVIREMHPHVIEELLDELPHHCGDCGIRLKQQEQFNRHLEWHATKEREQNGLTVASRRWYVTSDDWIASKAECLSESEFTDSVDEYDDNKTDGSQLDTMVVADENQCLCVLCGELFEDVYCQERDEWMFKGAVYLNNPDSDSEMESRNVGPIIHARCLSDNSILGVTNTEHD >RHN65677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4299770:4300924:1 gene:gene13546 transcript:rna13546 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVPRMCACSWLSTFFKFVVQNCCLCWQVQVILVSYRHLVMKSKIWSHFAPQIVTWKKNKVRQNCVFPHHLPFLSIYIFVKET >RHN64070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56359417:56360171:-1 gene:gene26850 transcript:rna26850 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPPPAGSGSHHPGPPGPHPPGPAHPPHVHPPPNPPQGPTPPPGSQPPPLGSPPGPHGHPPHHHHHHR >RHN55663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20826799:20828748:-1 gene:gene30881 transcript:rna30881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAHLKFVYVMILFLFLFLITKNIEAYKCNIDVDCPITPSPKFKWKCINKRCLYIRFDEIWTSDPRE >RHN82006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50541601:50542701:1 gene:gene6122 transcript:rna6122 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESAKCECCGLKEDCTQDYITDVKSKFDGKWLCGLCSEAVRDEVMSGGKKQWDMDEAVKAHMSFCRKFKSNPAVRVAEGMRQMLRRRSNDSSSSSSSSPSSKKYSRSPTTSQVGDSSTFSLY >RHN59171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8156617:8158383:-1 gene:gene21164 transcript:rna21164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MGAIRVLSTDTIKAPKSSEQTIHLTPWDLRYLLVLTNKKGLLYRHPVVANQIQRLRHSLSSALAFFQPLAGRLKITEHRDNTVSCSVICNNAGVLFVHAAAENTCVADILEPTYVPPIVDLLFALSEVRSYEGTSKPLLAVQVTELVDGIFIGCSFNHVVVDGKSVWHFINSWAEISRSCCHHQISKPPTLERWFPNGVQRPIRFPFTLEQQNDHSDRLSFSSFNEEKYCLSNRLFHFTKEKILQLKSKINEEIGTTKISSLQALLTHVWCYVTRFKQFDPQEEVFNRVVIGVRPRLVPPLPEDYFGNALISCMVKMKAGELLEEGGLCKGALEMNKLIASHTDEKLKNHYESWLRNPSFFRLAKNMVKNNFISISSSPWFDVYGNDFGWGKPLAVRSGYKINGLITVFAGIEEGSIDLQVCLPREILEGMGNDPNFMDVVSN >RHN59726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12716013:12716306:-1 gene:gene21831 transcript:rna21831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase Clp MPPRLGKTPILLDSQLRVMIHQPATSLYEGQVGECMLEAEELLKMRKTITNIYAQRTGKASWQIYRDMERDLFMSAEEAEATELLIRSQLNPKKGVN >RHN43171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43728397:43729228:1 gene:gene49707 transcript:rna49707 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDYEDMPKLSQLHLSNSNSFIRDVNYSCGSCGYELNLNSSNRNTTLSLTDSNYGKSIKKRKRCLISFFSVDETRFTQIQQFSFSFSWRISFFNFQRTTTTKLLCRNCRNHLGYARTFPSQSHSHSHSWDGISDSRIFYIKLNAIQPSS >RHN60329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25299726:25308072:1 gene:gene22628 transcript:rna22628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain, NEDD8-activating enzyme E1 regulatory subunit APP-BP1 MAEPKVKYDRQLRIWGDQGQAALEKSNICLLNCGPTGSETLKNLVLGGIGSITVVDGSKVEVGDLGNNFLVDEASLGEPKAKIVCSFLQELNDAVKAKYIEEYPDKLIETNPSFFSQFTLVVATQLVESSMVKLDKICREANVILIFARSYGLAGFVRISLKEHTVIESKPEHFLDDLRLNNPWPELKRFAEGFELNVHDPVAHKHIPYVVILVKMADEWAKSHGGRLPSTREEKKEFKELLKAGMVAPDEDNYKEAMESSFKVFAPRGISSELQLILNDSSVEVDSSSSDFWVLVAALKDFVANEGGGEAPLEGSIPDMTSSTEQYVNLQNIYQAKAEADFLAIERMARNTLKKIGRDPNSIPRATIKSFCKNARKLKVCRYRPIEDEFNSPNLSELQKYLTDEDHRLCPFIVNSPNLPELQNFAVGIYILLRAVDRFAANYNRFPGQFDSAMDEDIARLKSTSISLLNELGCNSATLADDLINEMCRFGASELHAVAALVGGIASQEVIKLITRQFVPITGTYIFNGIDHKSQLLLL >RHN46929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35842946:35850207:-1 gene:gene41466 transcript:rna41466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I12, Bowman-Birk MDLKMKVVLLLFFLGLTTTIIDARFEPNSLITQVISNGVKSTCKPCCNNCSCTFSIPPQCRCKDVKPTCHSACKTCRCFESFPLKCDCLDITDFCYEPCNSTIAKAH >RHN45719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24973222:24974004:-1 gene:gene40106 transcript:rna40106 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MVMKEHRKLVSLLMVVVIMASMLKFSNGMSLCNMNEDGLDACKPSVTQPYPAKPSTECCKALTGADLQCLCSYKNSAELPLLGIDPTLAASLPKECDLTPPSNC >RHN68109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32919814:32921527:1 gene:gene16409 transcript:rna16409 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYPRNSSEDYCSSLKLNIDATEPTRYFLYSNVAKCEYRFLSSFKNLRCECGSVLDRFVSVESDKVCNGFVKDSPNFIVTDDLTVLPNSMDANFGLLKRFGIKSTSLVKEVNMDITEKQVLDLLKYSLLSNIGFKLRLFVRKTDGEILFAQGEEDFVDFLFSFLTFCLGSIDSLYASISDLDRYKCLMSNEVMNRLVDPCIAPQFKISKQILQINEPQASSYYCYSQRSYRESIMHDQFSITCRFRMFLNETYTRLKLVDPKSSKEGQEGYAKGPALFMITNDLVIEPMSQISALSLLNRFETPPSNVTEKDVFIGLMEGFTILKAALTIDINISSNKQSQPPFRLQSGLDLNEKHLNNFLVNQPTNLASRFEKNKLSSLLENYN >RHN67650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28962557:28963106:1 gene:gene15858 transcript:rna15858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MLRKKIISLSMVVMVLGMLVATLDARQIDDVSCPSALFSLLPCLPFLQGVGPATPTSYCCAGITDLNQKANTTQILRDVCNCLKPAASRFGVNPDRSKQLPTLCNITLNVPFDPSVDCNTVQ >RHN51066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13569250:13571483:-1 gene:gene35439 transcript:rna35439 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSFIYVNIHSMYLQLYGVRVPRFELYSYVKIVFLIFWMMEQVYGQDSYLGNVKNTEDTLSISVNIILMKNGYL >RHN69963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47632458:47633946:-1 gene:gene18498 transcript:rna18498 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNNPHWRWNWLDRWMASRPWEGQNTKDQKNHRSAKGAASHTMSVGEISKLYALRDQSQDDKKSSTSQKANNPNQVSRAVPSTSTKGKAKTSSSQKVGSWGGDGDSHSTKLPKVIVVIALRCLRCSTSK >RHN66669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16016285:16018699:-1 gene:gene14710 transcript:rna14710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MIFHSHHLLNKLKFKFKFKKHFSFSFSSSSSSSSSNLLHLCTHSQTLSQTNQLHAFSILNAFLPHSVSISASLILKYASFRHPETSLILFQNTLPFSKTAFLWNTLIRAYSIAGFFDGFGVYNTMVRSGVKPDDHTYPFVLKACSDYLKFDKGREVHGVVFKVGFDKDVFVGNTLLMFYGNCGFFVDAMNVFDEMFERDKVSWNTVIGLCSDRGFHEESLCFFKEMVVAAPVVRPDLVTVVSVLPVCADSENVVMARIVHGYVFKVGLSGHVKVGNALVDVYGKCGSEEACKKVFDEMDERNEVSWNAVITGFSFRGLSMDALDAFRSMINTGMRPNPVTISSMLPVLGELGLFKLGMEVHGYSLRMGIESDIFIGNSLIDMYAKSGSSRVASTIFNKMGDRNIVSWNSMVANFAQNRHHFAAVELLRQMQAHGENPNNVTFTNVLPACARLGFLNVGKEIHARIIQTGCATDLFLSNALTDMYSKCGHLSLARNVFNVSIKDKVSYNILIIGYSQTTNSSESLNLFSEMRLSGMTPDIVSFIGIISACAHLSSIKQGKEIHGHLVRKLFHTHLFAANSLLDLYTKCGRIDLATKVFDRIQHKDVASWNTMILGYGMRGEFETAINLFEAMKEDGGVEYDSVSYIAVLSACSHGGLIEKGNKYFKQMQDYNIEPTHTHYACMVDLLGRAGQIEEAANLIRGLSFEPDANIWGALLGACRIYGNVELGHWAAEHLFKLKPDHCGYYILLSNMYAEAGRWDEANMVRELMKSRGAKKNPGCSWVQIGDQVHGFLVGEKIESLDTGF >RHN68249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33982479:33982700:-1 gene:gene16579 transcript:rna16579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MMKKMSNESNLQVTFSKRHSGLFKKASELCTLCGAYVTLIIFSPSEKVFSFGQPSVETVIIGTSFSFHPKTMP >RHN47101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37149509:37150350:-1 gene:gene41657 transcript:rna41657 gene_biotype:protein_coding transcript_biotype:protein_coding MPWVQEFKIENLWNRGTGQPNNISDHVLQFTHLTGGGVARHSLCNLYGFYVSGLFGMNVITVCLIMLLPPSLVY >RHN74015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23515751:23518407:-1 gene:gene9940 transcript:rna9940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein ENHANCED DISEASE RESISTANCE 2 MDKKPTSKILCNLIVAPNLCKELDSHASPIEIDASDFNGSLRKGKDENDTDCWTSPSGEGFKIRGKNYRKDNSKVVGGDPLLKLVAIDWLKVDKAVDKVALHSRSMVQSEAGKKLPFILVLNLQVPAKPNYSLVLYYASERPINKDSLLAKFLEGDDMFRDSRFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYFKQDNFLEIDVDIGSSSVARSVVGLVLGYVTSLVVDLAILIEAKEESELPEYILGTVRLNRVRLESAVPFEV >RHN54862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13010195:13012988:-1 gene:gene29957 transcript:rna29957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MVTGWRKAFCTSIPRDKEPKITTEKKHHQHCENSSTNQSPRITSKFGFFSNPSTPRTQSQPSSTGSSTLRCKTSISSTTCSVPNSPKLQCNNHNPKTPKSFTNPNSPKSPSSFSFLKNTLRLSKNRCGICMQSVKSGQGTAIFTAECSHTFHFPCISTHVTKQPLHVCPVCGTNWKELPVLSIQHEKNENRDSRNFKVYNDDEPLMSPTSLSRFNPIPESENETEEDEDDDNVQFQGFNVNRSSPVVRKNLEVCLLPETAVVAANRNYETYVVVLKLKTPAPAPVKVLRRAPVDVVIVLDVGGAMSGQKLRLMKNTMRLVISSLNATDRLSIVAFSGGSKRLLPLKRMTGGGQRSARRIVEALAAIDQIRDAVPAKNDALKKAAKVLEDRREKNPVACIVVLSDIVDSRAVNASFQKISLVSSTRLSNMEVPIHAVRFPKESECTHALPDEILSKCINSLLTVVAQDVKIQLKIVSRARPVEIAAVYSLAGKPAPIEPGSIKIGDLYAEEERELLLELKVPAVSAGSHHVLTVLSSYRDTVTREIVKPFEQAMLIPRPHTVRSSQIEGLRNFHVTIRAVAESNRLAQHSDVSGAYHLLSSARALFLQSTKPVEEEYLRWLEVEQAELQKRREQQRTQGQNQRRRNSRSEEKLEPLTPTSAWRAAERLAKVAIMRKSMNRVSDLHGFENARF >RHN76414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50039550:50041988:1 gene:gene12737 transcript:rna12737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MKISKETCYTYTNLLWPCQPRMDQTHVVFSNRFRVTCTLSLLLLFTTMYIFYPSDPYLKIVRLKLKKIKVHRVPHITVDISMLFTLRVQNVDVYSMDFGAVDVAVSYRGKPLGHVTSKNGHVRAMGSSFVDADAEFSGIGVLPEIVLLLEDLAKGMVPFDTVSQVRGKMGILFFYFPIKAKLSCAVLVSTINQTIIRQHCLYE >RHN68010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32106247:32109094:1 gene:gene16286 transcript:rna16286 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCLSKKKNSSTTTSTSSLAEIKSAIATSVEFEWKNKNHSSHNGITMSKPKVETQPQVKLKKALEKHDDDSVEKHKGQVKKEIFIIKHRKSHDDSEKNSSIEGAELMGMRTSNCTKEDVDAILIHCGRLSRNSSGKASSFREHRRRLSGSNRTRSNDFDHCDNDTIFSSEKGQKNSDLYEDDWKEPAEKLYRNRRRTPSREREQRQRSSSRERRVSISPVRRSSDTTTPLNARNNTNTSSMPRKMVSVPATVTSLVMDKSNNNGCEGGESAAGTIGVKRITVRRNVGSPRSQSPARANGNATSQSSLSRSSSRKAEESPYRRNPLNELEQNSLANPHSTVNNNNSRAQSRPKKEIETEANKIPNSSRIALDKVEDVSCKTKLQDEDVKMMSSVTDNVVVKTVVVPPVVENLKPWRLTRSRSSRRSRDLELDHNPEDLLIPPQSYTSLLLQDIQNFHQKNTPPPPSPPPVSLPACVARACSIVEAVANFNSNSSSNLSGVEDRRNPPGFQSNRNEYDVLPGTSNSYGKRVADNKDRDVEYELIVYDDMVEPSLHKFETMNRGSSNMEEQESSGSNSLTVSSAKHRRNISSSSSWEPSSSDSKDCWTARLNNCKEEDKKSPLGMERRVSSVARRANIDGAKMKLNSKRRESDHQHDNETGRGRLGANNVPHMKQVVTAVAST >RHN60602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28692457:28692864:-1 gene:gene22939 transcript:rna22939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MSKEMGQSAGSVGSSLRKRAITECRCGEESVVRTVTDSYNPNCGKKFWGCRNYKNQFDKGCSFFKLVDEEFTDDRDLKIAKLEKKNTKLKNELGKTRFWLKMSLIVGLFWFGVCLVLGTILLCRNSGNWSHVYLK >RHN58535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2615901:2616734:-1 gene:gene20457 transcript:rna20457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MAAASRSSRSYVPKKMATNSYLPEELWEGIFKFLNDGDNNFYSNMDEYGMLDSNLSAISLRNSFKSLSVVSKEFLSITNSLRFSVTISDQTIPSLHPLFQRFPNVTSLNITLRESDLDELLTQISTLAIDLRSLALYHPTTVPVNGLRALSKKMKNLTSFTGYQFASMIDRNDLFFISNCFPLLEELILTDIGYSRFIWYLDDDDEDDDQLLALPKLRKIALSPNFMGRRSIDYLCKNCDLLQEVTVIEDLPSPLLDIDDDDKYCECGFQFHEDDTP >RHN80395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37690533:37693702:-1 gene:gene4320 transcript:rna4320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, non-heme dioxygenase domain-containing protein MVESEENKSSTSTYDRVAEVKAFEESKVGVKGLLESGICVTKIPRMFHYPNLNLNMNSTHESDPSSKFNVPIIDLQNIHTNPCLHAEVLDKIRSACREWGCFQVINHGIPDSVLDEMISGIRRFHEQEAEERKPFYTRDTSKKVRYFSNGSLFKNHAANWRDTISFYVSPDPPNPEEIPQVCRDIVIEYSKKVRDLGFTFFEIFSEALGLDPSYLKKLASPNGHFIACHYYPPCPEPELTMGASNHTDGDFMTILLQDRIGGLQVLHQNQWIDVPPVHGSLIVNIGDLLQLVTNDMFTSVYHRVLSKNIGPRISIASFFVNSLSKGTSKVVGPINELLSEENPPIYRDTTVNDFLAHYYEKSLDGSSSLQPFRKEIEKGSNYLIPFAHGI >RHN70969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55484013:55487615:-1 gene:gene19607 transcript:rna19607 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMPDQATSICPHCDRAIPAANIDLHSVHCARNLEKCKLCGDMVPKIHAQDHYLNTHAPVACSLCSETMERNILYIHEGESCPQRIVTCEFCEFPLPAIDLPEHQEVCGNRTEMCDLCNKYVRLRERYNHEFNCNGIQDNAAGSSRNERPAERDANESSKRRLFFTIAITGIAVILGSIFIQRKAEPSNVH >RHN48144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45377164:45380114:-1 gene:gene42830 transcript:rna42830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MAIALKSHCLLQLKKPETGFRVGVSSKNATIISIKRYTSVSASATRSIEAISISETFNNLKKQGKVSVLIYYIFIFWFHAMHVTWHYPILLIDELKLLFSNNHICVKVALIPYITAGDPDLSTTAEALKVLDSCGSDIIELGVPYSDPLADGPVIQAASTRSLARGTDFDSIIYMLKEVVPELSTPVALFTYYNPILKRGTERFMSIIRDTGVHGLVVPDVPLEESEFLRTEAKKNGIELVLLTTPTTPTNRMKDIVDGAEGFVYLVSSLGVTGTRASVSDKVQALLREIKEATTKPVAVGFGISTAEQVKQVAGWGADGVIVGSAMVRLLGEAKSPQEGLKELENFTRSLRSALD >RHN53949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5675330:5679734:-1 gene:gene28924 transcript:rna28924 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPGASGFGWNDAEKMIIVEKEIYRQWCKSHPTAVGLYKKPFPHYDSLDTVFGKDKADGSVTEDIIDMTIEMEKENVQSTQEGGSRINLNYDDENFESQVPETPAANTTAPGSNLTNQPPRDSTNHRTGKRGGKRVKYNDDASDSMSNSLNKLGEIYANGVENMKQVFTSCFVHEKHTADRRNQIVSILKEIEGLSDAEVVMAGMLITKDNNLCDYFFTMDTPGLRKRFVDIVLSNNGSREFSDVLVSVDLKCQSFDDFAVCRCLGSHYLIDAMLFLVFFPSGQWQLLAASADRIR >RHN80873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41471906:41475027:-1 gene:gene4849 transcript:rna4849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Agenet-like domain-containing protein MATRSTTRASSSASVASANTTNPLQQQVDNYNDEIKKKVLVKANETVTLEKDGFHFVVGALVEVCSDEKGLKGAWFLGTIVELKRHFRFVVEYEALIDDDSKPLREELNIRHIRPRPPKTDDVAEFKFFDEVDAFHNDGWWVGIVTKVVGDSKYVVFFRSFKEEMEFHHSQLRLHQDWMDRRWVMASKALKF >RHN61376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35249126:35250983:1 gene:gene23836 transcript:rna23836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEILKFVYIIIIFLFITEIKGDKFVFDKNGADRCRSILDCPQDKCFPLLTLVYIGSDIY >RHN60956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31825333:31830757:-1 gene:gene23361 transcript:rna23361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MEGEKVNVVIDLVNEIANISDFRPMVKKQYCNLARRLKLLIPLFEEIRDMKDSIPNDTSKALVLFKDALDSAKELLRFGCEGSKIYMVLERDRIMNNFYEVTARLEQSLDGISYDKLDISDEVKEQVELVLTQFRRAKGRVDEPDAKLYEDMSFLYNNSSDAAIDPAVLSQLADKLQLMGIADLTQESLALHEMVAVSGGDPGARIEKMSMLLKKIKDHVQIENLVKDDTKTAKGVSAGFYELGENDANHQAPAIPSDFKCPISLELMMDPVIVSTGQTYERSCIEKWLQDGHGTCPKTQQSLTSTVLTPNYVLRSLIEQWCEANGIEPPKRPSTSEPSKSASACTPAERSKIESLIQKLTSGGPEDQRSAAGEIRLLAKRNADNRVAIAEAGAIPLLVGLLSVPDSRTQEHAVTALLNLSIYESNKGSIVSSGAVPGIVHVLKRGSMEARENAAATLFSLSVIDENKVTIGSSGAISPLVTLLSEGTQRGKKDAATALFNLCIYQGNKGKAVRAGVIPTLMRLLTEPSGGMVDEALAILAILASHPEGKAAIGAAEAVPVLVKFIGNGSPRNKENAAAVLVHLCSGDRQYIAQAQELGVMAPLLELAQNGTDRGKRKATQLIERMSRIREQEQHEVPTQTELQAQNDDIHPPLIINLDIDT >RHN44120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1837049:1838174:-1 gene:gene38180 transcript:rna38180 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLDRVWFSECSTIPRCLSFTSGVEGQIHRHCRQFFYVSGLFCFRGYTNVWLGVIMFLFFAFDQRFWQFSYLRPFYVAPLLNLKGYV >RHN44027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1098875:1099565:1 gene:gene38073 transcript:rna38073 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQYEPNKHRNKTQNQTTPQKTTNNTTPHSNDEITKKKNTRKNLLYVKITYLNLKKWKEKKMHMDDSKSKIDLNPPFFDE >RHN66680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16230292:16238638:-1 gene:gene14723 transcript:rna14723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S10, serine carboxypeptidase, alpha/Beta hydrolase MMGWRCSCIVLVTLMLLPLMVYSGSIVKNLPGFDGELPFKLETGYIGVGEGAKVQMFYYFVESQRNPFVDPILLWFVGGPGCSALSAFFFENGPLTMEDDYSGILPKLKLNPYGWTHMLNMMYIDIPVGTGFSYSETQEGYYSSDTLWVDHTYSFLQKWILDHPKFSSNPFYIGGGSYSGFTTGPLVQKVYDGNIARHKPHINIKGYVLASPAVDLYQHVNDQVLYAYHMNLIPKELYESLEENCKGDYVNIDPNNTKCVSDYEAYSELVRYINEQQILEPLCITTPALNQIIRQPVQDDQEFSCRSYYHLLVDIWANDENVRKALRVREGTKGEFLRCNKTLAYTQTLTDVVEYYRNLTNANILALIYGSDLDMSIPQLGTQVWIKSFNMSTHDKWRAWFVEGQVAGFTEIYKMKEDHYLTYVALKGAGHVAQTFKPKEVYNMIKRWFSFSLI >RHN66522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13324470:13325288:-1 gene:gene14525 transcript:rna14525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone-7-O-beta-glucoside 6''-O-malonyltransferase MAHKLIEQTLVVPATTKTTTSLPLTFLDLHFAGPVYVKRLFFYPFAYSTNHFCKTTLPSLKKSLSLTLQHFFPLAGNLISPPPPQKPFILYTEEDSVAFTIIESSADFNHLSTKQPLKNLKETNHLVPTLTNKNKFDDNNIENDTFILPLLALQVTVFPDHGLCIGITYCHVMDDRSCDHFMKSWSFIHQRGDVVELKSLPCFDREVLRDPRGLEDVFLRDYFVMRKSWKHRLIAESQSKEEHQDFVKAIIVFGKEEIERMKKICAESMEEK >RHN66769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18499404:18504691:-1 gene:gene14848 transcript:rna14848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribosylanthranilate isomerase MCGITSAKDAAMAAEAGANFIGMIVWPNSKRSVSLSVAKEISKVARDYGAEPVGVFVDDDAETILRASDASNLEFVQLHGSGSRAAFPSLIQENRVIYVLHANADGSLLNTIPDEECPLVDWVLVDSAKGGSGEAFDWAQFKLPKIRSKYGWLLAGGVNPENVGEALSSLKPGGVDVSSGICASDGIQKDQSRIASFMDAVHSVQY >RHN63337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50483773:50484354:1 gene:gene26024 transcript:rna26024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MIVCNEKLLVMQDNVNLQILDLPSFKIMASVSRTEFMNPSRFIFLCKKQLFLTLKNQTNFVWNFHGELVTSLEDHLLRHPLWHPDFKNNNYITSDQNLIISYCRDDSEDQSMVTNVIGSINVSNILSGKCVAKINATKALECIDSSKKMSVTEALEGITTLYYDEDRNAIYTGNRHGHVHVWSNSNQLMIKKE >RHN74969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38303568:38304358:-1 gene:gene11112 transcript:rna11112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pollen allergen Ole e 1 family MSRLFTVVALIVSAFCFASVLAAPNDASRKDQIFFVNGQIYCDPCAFQLQSRLSKPLKGVKVTFECTKGEKNVTFVKESTTDKDGFYNIKVHGYHEEEVCMVKPVNTKGTCTTFMENNIIVPTKMEGVVRVVRVVKPLAFGTKTIDEECYKLANELGLDKIDDN >RHN52380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34544724:34545429:1 gene:gene37048 transcript:rna37048 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLPPLSSPADNNFPARWPVVAPPRRSFKLLFFKKKNTVSKILFRSKPKSGLRISKASSYKSRSRNKDSKKNAKNYLYFLSPVRGSLSGSWSGFLRFVAPSSDSV >RHN44864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9214443:9219855:-1 gene:gene39031 transcript:rna39031 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGGGRRRRNLLQPFLVMCATITGVGLLIMALRPLDPRPGAVIEVDYARDSDEFLDFNSTGLNVGGEEPRPPRTSRSCATVEEMGKDFENGFVVKEMLRVRRVIEQHFVLNGASRVRDLPPDKFCRHGFVLGKTAEAGFGNEMYKLLSAAALSIMLNRSLIIGQTRHIVWCKYPFQDYISYANFTFTLKEIKHLWRLNGCESIYGRKLVMRTDDFEKPAQSNVLCSNWKEWEQPIIWFQGTNDAVASQFFLKNIHPQMKVAAFDLFGDPQVLGSQPNVFGELMRVLISPSKDVEAAVNWVIGGDKNPDISLHMRMQTNRSIRALQAALRCIRKAIESQHLMSRPKVVVVSDTPSLAKNISPNISEFAEVVHFDYEKFKGKMYDGLPKFDFRVRDWGPAPRWVAFVDFFLASRARYAVVSGAHRRVGTTYAQLIAALAAANNLGDDTNTTSGPSFSFLSSFQGNLLSDGLKNQIGWGHVWNRYAGPLSCRNQNSQCAFTPLLPPGWWDGLWQSPIPRDIKRLSFYGVQLSGFGNVDTDSLQNYCNSRKTVVKTVTYNL >RHN45666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24270654:24276659:1 gene:gene40048 transcript:rna40048 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLCFMAEYYKSSIGVVMTNECFMSKINYSLLYLSAFYFLVLNIPTIEELSKRRNHGNSSWQGGLDGCGSAPNNLNNNLRITFYMKKSNTLVKGELKTQVMTPKINKNDITVKSFDKVAKKITN >RHN46255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30077167:30080003:1 gene:gene40722 transcript:rna40722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MKNENESDERQDPLSNFSHSSSTKNKKGGWKSVKYILGNETFEKLASMSLIANLVVYMHTQYNMDTTLSVEVFNIWAGLVNFIPLVAAYLADAYVGKFHMLIFGSIASLLGMGFMSLSAGHSSLRPPSCPTLSDCIKPTGVQLSILYLGLGFFAIGSGSLRPCNIAFGADQFDTKTAKGKAQLESFCNWWYFLFTVALLIALTGVVYIQTNVSWFIGFIIPTGCFVVSLTIFLLGQCTYIKLKPKGSVLCDLVKVVVASIRKHHIDIKKDSELSFYDPQLASNESEDSRNVKLSHTNRFRYFDKAAVITNQNEIDSNGNSIDNWRLCSLQQVEELKAILSTLPIWLAGIGCFISMGQANSYGILQGLQIDRSIGTKFIIPPAWMGLVPMIFLSSWIILYEKFYIPFTKTATSKGKRLTIEQRITIGIICSILGMVVSGLVEVRRRDNALKHGTFQSPTRIWWLIPQFGLSGLVEAFAAIPMMELLTSYWPDSMKTLGGAVFFLSLSIASWSSNVLIKIIVALTKGNGGPHWLGGNDLNKNRLDHYYYTIAAFGVLNLLYFVFFARRFLNSEVLQRQIQSEERDLEHENHQ >RHN56662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32661745:32662314:1 gene:gene32099 transcript:rna32099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MDAFQPETINDLEEIAKVMCMARFEKDFSDVYNNCRRECLDKCLMHKLFGLQKLSIEDVHNMSRKDLEDKIERWIRTFNVALNVLFSGERRLCDRIFFGFSSAADFSLMEISRESTIQLLNFFDYVSSGSHSPERLFKILEVFETLRDMIPEFASLFCDQYIMSLRNEATTIWKRRGKQLGTYLRSWSI >RHN76386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49850360:49852002:-1 gene:gene12704 transcript:rna12704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MQTTEEQKTQQKEPVVGSRYSANTIQQVVGSPWSTGLFDCHENQTNAIMTAFLPCVTFGQIAEVLDGGELSCHLGSFIYLLMMPALCTQWIMGSKYRTKLRKKYDLVEAPHTDVISHIFCPCCSLCQEFRELKIRGLDPALGWNGILALQHSKHQSDPTLNNPPSTQFMSK >RHN59692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12656321:12656731:1 gene:gene21779 transcript:rna21779 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpE MTLNLCVLTPNRTVWDSEVKEIILSTNSGQIGVLKNHAPIATALDIGILKIRLNNNNRQWVTMALMGGFARIGNNEITILVNDAEKSIDIDPQEAQQTLKIAEANLNKAEGKRQKIEANLALRRARTRVEAINRIS >RHN71939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3747151:3749789:1 gene:gene7600 transcript:rna7600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MTKHKNLYLLLLSTTIFFLLFNSISAIDFIFNGFNSSNVLLFGNATIDSQILTLTHQQSFSVGRALYPKKIPTKNSSYVYPFSTSFIFSMAPFEDTLPGHGLVFIFTPVKGIEGTSSAQHLGLFNLSNNGNSNNHVFGVEFDVFMNQEFNDINANHVGIDINSLNSVVSHDVGFWVDDEKSEKDQIFEKLVLNNGENYQVWIDYKDSLINVTIAKLGMKRPIRPLLNVSLNLSDVFEDEMFVGFTSSTGQLVESHKILAWSFSNANFSLSDELVTSGLPSFVLPNDSIFKSKGFVAGFSVGVFFIICVLVLLVLFLIQKKRKIDKKRSEMEDWELEYWPHRMTYEEIEASTKGFSEKNVIGVGGNGKVYKGVLRGGVVIAVKHISHENNGMREFLAEVSTLGRLKQRNLVGLRGWCKKNAGNFLLVYEYMENGSLDKRVFDCDESKMLNFEDRIRIIKDVAHAVLYLHEGWEVKVVHRDIKASNVLLDKDMNGKLGDFGLARMQDHGQVASTTKLVGTVGYMAPEVIKTGRASTHTDVYMFGILILEVMCGRRPLEEGKPSLVEFVWKLMVQGELVNALDQRLRDKGEFSEQELERLLHLGLLCAYPEPKSRPTMRQVVNILEGKNEGVEESEIENMDSYLLQQLKSRDILAEYSQYFSYASHPTFQDIGHFSSTSFTWSGSLVQGR >RHN50686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9443019:9447548:-1 gene:gene35007 transcript:rna35007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >RHN68379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34988014:34988757:-1 gene:gene16722 transcript:rna16722 gene_biotype:protein_coding transcript_biotype:protein_coding MRITNNICDIFNVEKTVQAKLVDLKNTAEYQSLHTVFTLVPDYPVMVKTNEKLQEHPDHLVMVKTNKKLQENSDAPSNSPLPLIKISRVLKGIPQSPHYFQLRNYSELAREKLIAAWDQAFEDTAEKVHDLMAKDFWINARKLWKTMEDLQGMGYNVIPIRRRLVEKTEVMILFKNSKLEILELKNKAENHRLERSRLESIVMSFQMRAEREGMNMVRLLGEVDQKEKTLPNYDILMESLAIKQFDV >RHN68968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39975744:39977029:-1 gene:gene17393 transcript:rna17393 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKLLKQESGSGLEQKSDGDLVESKPEQKAVEEDFVKYFSKFSKYDDSDFPYHVKSGNFEYKNEAYRLLEAQIQIYKEKSRNLSVYDAIARPPRSHLILMGGGVTPHVISYDDPILQGYSKLALDYYREKNNNQGPTFVFHGLVKCTHALINGRIFYITFTAKAEDDLSDTPALTTFQAEVCCARDKQPEIRECAIKI >RHN57708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41193696:41195089:1 gene:gene33289 transcript:rna33289 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENMEEERNRIIPTFINKNMNTTTTQGVQKSLHVLRRSRRRCTEGGGGSKEKMLIKEVAMNDCAVEEKEKEEKEDEEEGSDDREEVERKIHALQRIVPNGESFGVDKLFDETAGYIVALQYQVKALKALTGFFEKLEKDKTKLGG >RHN67106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23956916:23957889:-1 gene:gene15251 transcript:rna15251 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKEQVHPKVNVVVPWQDWKAKKLVVRNPEATAPKLNNVKDSTAKNTPQRSKEDLALEEEINAAIGAHSAAVMQKTLEK >RHN42687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40251528:40255218:-1 gene:gene49165 transcript:rna49165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MDEAGSSSSLPPFLAKTYEMVDDRSSDPIVSWSASNKSFVVWNPPEFARVLLPRFFKHNNFSSFIRQLNTYGFRKVDPEQWEFANDDFLRGQPHLMKNIHRRKPVHSHSLHNLQAQAPLTESERQSMVDEIEKLKQDREQLLMETNRYQHDWETYEIQMHCSKDQLEKLEHKQQKMLSSVSEALQKPMIAVNLLPLAEAMERKRRLPARSGCFNNEASVEDAMETSVALPRENAEDNSTLTLNTERLDQLEASVAFWETLAHEVGGNFVHTHSNMDLDESTCCADSLSISSQQLDGEVRPKSPEIDMNVEPASAALEAVALKEQPARITTAATGVNDVFWEQFLTEDPGASEAQEVQSERKDNSSRKNEGKPSDHGRFWWNMRKSNNHPEQMGHVSQVEKI >RHN49938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2474363:2478930:-1 gene:gene34175 transcript:rna34175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MKFKRCMGSPSADSSENGGGSVGGGVVNGGGGASTPRKLTTIDALSYLKEVKNTFPDQKEKYDMFLQVMKDFKAQKTDTHGVIAAVKELFKGHNNLIYGFNAYLPKGHEIRLDEDEAPQKKKVEFEDAISFVGKIKNRFQNEEHVYKSFLDILNMYREEHKTITEVYSEVATLFKDHNDLLEEFTRFLPDNSLEPSTQHAPFGHRDLSVEHPDVDDEEPMNMHKEQRKREIRDIRKHDLNSLRSPNKKKSVKKAEANGLSSDLASHDDKDALKIMYSQALSLCAKVKERLSSAEDYQTFLKCLHNFSNGIIKKNELQNMVTDLLGKHSDLMSEFNDYLERCENTDGFLAGVASEKPLDTDGHLSESTKLEDDEDKEHKHEMEVSEERETYREKYMGKSIQELDLSVGKRCSLGYQLLPTDDRLDICIHIVRGDKSDVRGCSGRSQQSHWRQRAWIGLLIIINLFLFYFNFLNKY >RHN72702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9945584:9947994:1 gene:gene8451 transcript:rna8451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA oxidative demethylase MASSSSSSSSSSMSTLKLKAVSEPNPNETAKKSETIDLGNGSDVVFIQRLIPSEQSWKWFNYLDKHIPWTRPTIRVFGKSFLQPRDTCYVATSGLTELSYSGYQPHAYSWDDYPPLKDILDAVHKALPGSSFNSLLLNRYKGGDDYVGWHADDEKLYGPTPEIASLSLGCDRDFVLKKKPSKKPHGMVPSVTRDGSDEPASKRLKKSSNSDQHTFRLKHGSLLVMRGYTQRDWIHSVPKRAKAEATRINLTFRRVF >RHN70783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53983191:53984320:-1 gene:gene19400 transcript:rna19400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Mago nashi protein MGSEKENGVFYLRYHIGHKGKHGHEFLEFEFTDNGKLRYANNSNYKNDTIIRKEVYVSPAVIHEWRRMVSDSEIMKEDDHNWPEPNRVGRQELEIVMGNEHISFITTKIGAVAEVENSEGLQNFHWLVQVIEHIDCFDEFISRIFCLMFYLLILFIVSNILFFNLSEHDYKY >RHN40169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13820876:13825086:-1 gene:gene46283 transcript:rna46283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sm-like protein Lsm7 MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLFLDEAVEFLRDPDDPLKTTDQTRSLGLIGCRGTAVMLVSPTDGTDEIANPFLEADGA >RHN51528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19411636:19411995:1 gene:gene35983 transcript:rna35983 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNVGSRSSGSKRSHEDSVGSSARPMGREAAKKKGKMKSKGETLEKVEKEWVQFKELKEQEIEQLKELNLVKQQKNKLLQEKTQAKKIKMYLKLRDEEHLDDRKKELLEKLERELFEN >RHN73766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19786489:19788743:1 gene:gene9628 transcript:rna9628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,4-dihydroxy-2-naphthoyl-CoA hydrolase MEHKSSSSGKPKAAELDAPLHTIGFEFEDLSAQKVSGNLHLTQKCCQPFKVLHGGVSAMISESLASIGAHIACGYKRVAGIQLSINHLKAAVIGDFIHAEATPLIVGKSIQVWDVRLWKIDPSNSQNRTLIATSRVTLKSNMPVPDNAKDAGDKLKKHAKL >RHN49871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1838834:1843106:1 gene:gene34096 transcript:rna34096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MTRRWSLYMDVLPPAVIICYECIDMALLTLFKAATLQGMNNHVFIAYAYAVGTSVLLPVTLFTRRSRAVPPPISFSIICKSVLLGAIGCSSQILGYISINSSSPTLASAIANLVPAFTFMLAVTFRMEKLAAKSRSSNAKVIGSIISIAGAFVLTFYKGQSIMNSSSLHQPIDFLKSVDSSWAIAGILLTIDYFLVSLWYILQVHILKEFPDELTLVLIYSITATIISTVVALLSVPNSSAWIIGLNLSLISIVSSGIFGKLIGNIVSAWAIHLKGAVYVTSFKPLQIVISVWLGVIFLGDTLHLGSIIGAIIISIGLYAVLWGKATEEIEEDVGGSLQSPSTENAPLLQSNRAETFEKKIDGNV >RHN49773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1027964:1032709:-1 gene:gene33982 transcript:rna33982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative universal stress protein A MGEEATRVMIGVNESSLKGYPHPSISSKGAFDWTVSKIIRNNVSAFHLLFLHVQVPDEDGYDDVDSIYASGEDFKNMKQQEKARGTHLLEYFVNRCNEIGVTCEAWIKQGDPKEVILNEVKRVRPDLLVVGSRGLGPFQKVFVGTVSEFCWKHAECPVMTIKRNADETPRDPVDD >RHN48508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48035214:48039940:-1 gene:gene43229 transcript:rna43229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle-fusing ATPase MANQPESSDAKGTTKRDFSTAILERKKAPNRLVVDEAVNDDNSVVALHPETMEKLQLFRGDTILIKGKKRKDTICIALADETCEEPKIRMNKVVRNNLRVRLGDVVSVHQCADVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDFFLVRGGMRSVEFKVIETDPHEYCVVAPDTEIFCEGEPIKREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLEKISKETHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVTNEHFATALGSSNPSALRETVVEVPNCSWDDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKACLRKSPISKDVDIRALAKYTQGFSGADITEICQRACKYAIRENIEKDIEKERKRSENPEAMEEDIEDEVAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGTEFRFADSGTSGAAAAGASDPFSSAAGADDDDLYN >RHN73107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13520145:13521777:-1 gene:gene8887 transcript:rna8887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MEGVEVEQPLKVYFIPFLASGHMIPLFDIATMFASRGQQVTVITTPANAKSLTKSLSSDAPSFLRLHTVDFPSQQVGLPEGIESMSSTTDPTTTWKIHTGAMLLKEPIGDFIENDPPDCIISDSTYPWVNDLADKFQIPNITFNGLCLFAVSLVETLKTNNLLKSQTDSDSDSSSFVVPNFPHHITLCGKPPKVIGIFMGMMLETVLKSKALIINNFSELDGEECIQHYEKATGHKVWHLGPTSLIRKTAQEKSERGNEGAVNVHESLSWLDSERVNSVLYICFGSINYFSDKQLYEMACAIEASGHPFIWVVPEKKGKEDESEEEKEKWLPKGFEERNIGKKGLIIRGWAPQVKILSHPAVGGFMTHCGGNSTVEAVSAGVPMITWPVHGDQFYNEKLITQFRGIGVEVGATEWCTSGVAERKKLVSRDSIEKAVRRLMDGGDEAENIRLRAREFGEKAIQAIQEGGSSYNNLLALIDELKRSRDLKRLRDLKLDD >RHN56931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34955784:34956161:-1 gene:gene32400 transcript:rna32400 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKLRKLEKVVVCKNKNWRIDDDEVKSKKKKNNNKNNINRILISINIVGSSGPLTMVVNEDDAVCDVIEKSLKSYARQGRLPILGSDVTNFDIYCSNDVSDGKFVLYDLLFFFFFFLQFSIFTL >RHN54140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7244370:7245795:1 gene:gene29130 transcript:rna29130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MVQQQLVQLVLFKTFILTYNSYLIKKQMKNDILSRFIELGENHATDKSLRDVVLNFVVAGRDTTATTLSWAIYMVMTHSHVAQKLYLELKTFEENQAKEENVTLPQYDDKDDPKLFNQRVVQFSKLLNKDSLEKLHYLHAVITETLRLYPAVPQDPKGVIEDDVLPDGTKIKAGGMVTYVPYSMGRMEYNWGPDAASFKPERWFKDGVLKNESPFKFTAFQAGPRICLGKDSAYLQMRMVLAILCRFYKFNLVPDHQVKYRMMTILSMAHGLRVTVEKRS >RHN42931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42025798:42028791:-1 gene:gene49439 transcript:rna49439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MKAETLTLVLVNLASIMQRADESLLPGVYKEVGAELNADPTALGSLTLFRSLVQSFCYPLAAYLATRHNRAHVIALGAFLWAAATFLVAISSTFLQVAISRGLNGIGLAIVIPAIQSLVADSTIDSNRGVAFGWLQLTGNLGSIIGGLFSVLLASTSIFGISGWRIAFHLVALISVIVGILVRIYANDPHFPKNDNRYQTSNQSFYSEIKDLIKEAKSVIKIPSFQIIVAQGVFGSFPWSGLSFATLWLELIGFSHGTTAILWTFFIVSASFGSLFGGWFGDYLSLRLPNTGRIMLSQISAGSAVPLAAILLLMLPDDPSTAFMHGLVLVIMGFATSWNAPATNNPIFAEIVPEKSRTAIYALDQSFESILQSFAPPVVGLLAQNVFGYRPVPKGSSDSVEIETDRENAASLAKAICIVFVIPLTLCVGIYSFLYCTYPRDRDRARMVALEESEMKQLEVEDCTREKEEYCEIHVLESKELKGKESSKVDDDLDYPREENIEFDDNDEKVLLSR >RHN70324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50664088:50666736:-1 gene:gene18894 transcript:rna18894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MHLTLLSLLLFLKLSSYSAFDTMNQGSSLSVEEQKDIIVSQNGMFSAGFYAVGENAYSFAIWFSEPNHQTTNLTIVWIANRGQPVNGKGSKLFLLKHGNLVLRDADESHVWSTNTASLSSVDLQLLNTGNLVLHGDGFTLWQSFDFPTDTLLPNQVFNRHSKLVCSISETNKSSGFYTLYFDNDNILRLLYDGPEVSSIYWPDPWLTDWDAKRAGYNNSKVAVLNTIDLILMETFALIVENMEDKNGYKMKNHQSWAYGCEPEFSLSCNRTETRFLVVSNVELFGYDYSIVINYTLEQCKELCLQLCNCKGIQYTYVKAGSGIPGTYTCYPKMQLRNAYRIPYFNADLHIKLPANSSYTYRESMDDKYKLACLANPKTTTLETSYNKVHEGRKFSYSELKKATKSFSQEIGKGAGGIVYKGVLLDQRVVAVKRLKEANQGEEEFLAEVSSIGKLNHMNLIEMWGYCAEGKHRMLVYEFMDNGSLAEHIKSNKLDWGKRFDIALGTAKGLAYIHEECLEWILHCDVKPQNILLDSNYQPKISDFGLSKLRNRKDSKFSSFSKIRGTRGYMAPEWILNHSITSKVDVYSYGMVVLEMITGRSATKDVDMGNDKLGLVIWLREKRYKRISWINEIMDPTLDGGCDESEVEALAEVAMQCVEEEKDKRPTMSHVVEVLQKICRENDDQESARS >RHN76661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:242442:250043:-1 gene:gene24 transcript:rna24 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP-dependent protease La (LON), substrate-binding domain, PUA-like protein MEEEDNNRRRMMERERYERYQIEQILQLDLEELQVEEVDVFHDSSDDDNNNNNHANPIPGYGTAGVVPGEFTYNTCIASLHTYLGDVEDTHHRSTFLDGGTVLTLPIFCLQGVVLFPGATLPLRVIESNFVAAVEKSLSRVDVPYTIGVIRVFSDTANRRMKTASIGTTAEIRQYGRLEDGSLNVVTRGQQRFRLRRCWIDVEGVPYGEIQIIEEDIPSRTPRDAFGKLTPLSNLPCNRASVLPSKYSVDGQGSLNEESDTEESFENELSSTERRIHQSLIRSSFEYDESASSGDDKFTYESDQEIRSNLNTPDTLTPLLPDHEKDAENLDSRIGSCSTSGKQSSIREGLNWCSKNRDLYSSRRTSRAFLPGWVYRMFDSYLLAQKAADMWKQIVGAPSMDALVKKPDVLSFSIASKIPVSESTRQELLDIDGISYRLRREIELLESIDLIRCKICQIIIAKRSDMLVMSSEGPVGAYVNATGYVHEITTLYKANGLALTGPALTKYSWFPGYAWTIANCATCETHMGWLFTATNRKLKPKSFWGIRNCQVADETH >RHN52540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36485243:36485524:-1 gene:gene37229 transcript:rna37229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAGVGKTTLMKRIHNELGKREHSFDLVLWAVVSKDCDINRLNTIMTDISRRLGIDGTLWKESSRDQRVAKIYVLMLDDLWGKLELQAIGTSCF >RHN48357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46919145:46925589:1 gene:gene43066 transcript:rna43066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MRANETSSDGAWQGDNPMNHALPLLIIQTILVMFVSRTLAFFLKPLRQPRVVAEIIGGILLGPSGIGRNKTFMHTVFPSWSTPILESVASIGLLFYLFLVGLELDLRTINRSGKRAFNIAVAGISLPFLFAIGVTFLLQKVIHFNSETHKVSYFQLFIFLGVSLSITAFPVLARILAELKLLTTQVGETAMAAAAFNDVAAWVLLALAIALAGGGEHRNGVLTSILVLLSGVAFVVFMLFVIRPLMERISLRCSRENEVLDEMFICLTLAGVMLSGFMTDLIGIHSIFGAFVFGLTIPRGGEFASRVTKRIEDFVSNLMLPLYFASSGLKTDVGKLQGVVEWGILLLVIGMACVGKILGTFVVAVICTMPVRESLTLGVLMNTKGLVELIVLNIGKEKKVLNDEMFTILVLMAIFTTFITTPAVVAIYKPSRQRRSGNPPPLTDTQEKLRILACIHGTGNIPSLINFIESVRATNKSSKIKLYVMQLTELTDSSSSILMVRSSRKSGFPFINRFQKGTMQEAFRACGQVGQVTVHHLTSISSLSTIHEDICHIAEEKGVAMIILPFHKRWRGEDEETIEDIGQRWREVNQRVLQSAPCSVAVLVNRGVGRRYEQRVETSATPGKKVCIIFVGGPDDRKVLELGSRMAEHPAIRLSVVRFNLHNEGTFRDQEHSYNTSTSASDNNMENEKELDEVALNEFKTKWLGAVEYIENDTVNIANEVLAIGRVKEYELVIVGKGHQLLNSTGMIDIKDSQLEHAELGPIGDLLTSSAQGITSSVLVIQGQHLINSSETSLRTSRAMSIVINTIPESSV >RHN71909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3514714:3516465:-1 gene:gene7560 transcript:rna7560 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEPALNSCIQAYVDSVLDLALSFFTRLRCYAKFCRTLASQAATAGNVSNQNMVASPAQNSTTPETSQGGENGTTISGGSTQMNAWVQEAIAKISSTSDGVSNLTPTSPIGGPSSLMPISINTETFPGTPAVRLIGDCHFLQRLCQLLFFCFFFKRSQLVLYMNGLRRTAETSLVRSDDGQTGRAGQIVHGSKGGEEPSLGHIRLGTGNSGQGYSFKEVKVIFQVLMDLCRRTSGLQHPLPVSQVGSNNIQVRLHYIEGNYTVLPEVLEASLATQVHVTF >RHN43100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43179243:43188678:1 gene:gene49625 transcript:rna49625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MSGGSRKLSSNRDSRDEPEFPPESVTKSNSSRYLERNDTLKPRMRFSRKEPFSGVRGSNKDDEDYQVLDATFAKDTDGSYNMKMSPGFEEWKNREHSQYAKNDYGRSRRSRSRSPPHGFSHSSVDDRNRTRDGRSAQPCRDFAVGNCRRGSHCHFLHHDKQSYEDSRESRHRPDGRRYSNPRENGDYSLTNRRSNEACIYFAKGRCRMGESCKYVHHDNSDGFDKILADESSSEREIDRRHIEQSFKQGDQHYPNHSSNTPCKFFALGNCRNGKDCRFSHDRQAFTSPGLRDDRSRSNQGEDQVLNRPKLSNSVAPNGRLRDDRCGSDGRMADVDKVWDGPMQTDLVAVSGTVNLVEDNKNGIIGAPEPGLMAWPMNDGSGHSLDRNRMHDESPFSIDKKEANCRTAENAFDNILNSQSVGGGMWPGDEQMSPDWNYGPRSSNHIKDEHMQNKQQVAPGQGLNQNAQNITASHLVGQSQATVAIVPPRARIIEGIQNQKLSTEKNYIVESNIMNASQSQISSGYTPTQNGVSKEQLAQLSCLSASLAHILGTGQQLPQVHSTLKPCDAKATLFGSKIEGSANPVSMTFIKPDPAIGLKQYDPLFDSMEPMNISANGAPPTFSPSIKIPKNAVEIPPLLSNIGQNCDDSLKKETNKMVAEEKPISQSENNITEENSPMGDMDQNDGPDEAKKTKDAKGSRAFKSSLVELIKEILKPTWKDGKITKEDYKTIVKKVTDKVTGTVQRVHIPQTREKIERYLSVSKPKVNKLIQAYVEKVQKA >RHN57785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41725020:41725793:1 gene:gene33372 transcript:rna33372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium/calmodulin-dependent protein kinase CAMK-CDPK family MGVCLSRKELEPKHRHVGVSGKHNQNKNPNHNHCYDHNNKNHEPFVKQSKPPFQQPYQLPDKHAPSPKPTVKRSDTNTIPGKQFEDVKQIYTLGKELGRGQFGVTYLCTENSTGLKYACKSISKRNLVSEPDKEEIKREIQIMQHMSGQPNIVEFKGAYEDENSVHVVMELCAGGELYDTIIAKGKYSERDAASIFRQIVNVVHICHFMGVMHRDLKPENFLLSSKDDKATIKAADFGLSVFIEEGKIFIHSSVFLY >RHN59888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14462318:14468028:1 gene:gene22055 transcript:rna22055 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP1 MGFLHSLLLALCLVLNLVFVCNGGRTSTFVRKVEKTIDMPLDSDVFDVPSGYNAPQQVHITQGDHVGKAVIVSWVTEDEPGSNAVRYWSKNSKQKRLAKGKIVTYRFFNYTSGFIHHTTIRNLEYNTKYYYEVGLGNTTRQFWFTTPPEIGPDVPYTFGLIGDLGQSYDSNKTLSHYELNPTKGQTVLFVGDLSYADNYPNHDNVRWDTWGRFAERSVAYQPWIWTVGNHELDFAPEIGETKPFKPYSHRYRTPYKASQSTSPFWYSIKRASAHIIVLASYSAYGKYTPQYKWLEQELPKVNRTETPWLIVLMHSPWYNSYNYHYMEGESMRVMYEPWFVKYKVDVVYAGHVHAYERSERVSNVAYNVVNGICTPIKDQSAPVYITIGDGGNLEGLATNMTEPQPEYSAYREASFGHAIFDIKNRTHAHYSWHRNQDGYSVEADSHWFFNRFWHPVDDSTTHVSH >RHN39241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5227925:5230812:1 gene:gene45246 transcript:rna45246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MSNNIVVVFDFDKTIIDCDSDNWVIDELGFTDLFNQLLPTMPWNSLMDRMMMEIHSNGKTIEEIEKVLQRIPIHHRIIPAIKSAHALGCDLRIVSDANTFFIETILKNLGISEYFTEINTNPGYVNQQGRLRILPYHDFNKDSHGCILCPPNMCKGLIIDRIQNTFSEGENKRFIYLGDGIGDYCPSLRLREKDFMMPRKNFPVWDLICKDPSLVKAEIHGWCDGEELEQILIQLINKIIIEENAQFISSDCKLQTLSIPVHETLPKALSVRP >RHN65967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7134460:7139096:-1 gene:gene13875 transcript:rna13875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAMVVFPGAFLSSAFQVIRERLASTDFKKRQITRFENTLDLLYEVLDDAEMKQYRVPRIKSWLVSLKHYVYELDQLLDVIATDAQQMGKIQRILSGFINQCQYRMEVLLMEMHQLTLKKELLGLKDITSGRYRVRVSQKLLRKFRTKSLIDESVMNGREHEKEELIKFLLSDIHSDNLAPIISIVGLMGMGKTTLAQLVYNDDMITEHFELKAWVNVPESFNLVSPTGLNLSSFHISTDNSEDFEILQHQFLQLLTGKKYLLVLDGVCKIDENTWEELQILLKCGSSGSKMIVTTHDKEVASIMRSTRLIHLKQLEESDSWSLFVRYAFQGRNVFEYPNLELIGKKIVEKCGGLPLALKTLGNLLLKKFSESEWIKVLETDLWRLPEGEIYINLLLRLSYLILPSNLKRCFAYCSIFPKGYELEKGELIKLWMAEGLLKCHKRDKSEQELGTHIKKMPTKIERLNNLEMLTDFVVGEQRGFDIKMLGKLNQLHGKLQISGLENVNDPAHAVAANLEDKEHLEDLSMSYNEWREMDGSVTEAQASVLEALQPNINLTSLTIKDYRGGSFPNWLGDRHLPNLVSLELLGCKIHSQLPPLGQFPSLKKCSISSCDGIEIIGTEFLGYNSSDVPFRSLETLRFENMAEWKEWLCLEGFPLLQKLCIKHCPKLKSALPQHLPSLQKLEIIDCQELAASIPKAANITELELKRCDDILINELPSKLKRIILCGTQVIQSTLEQILLNCAFLEELEVEDFFGPNLEWSSLDMCSCNSLRTLTITSWHSSSLPFPLHLFTNLNSLMLYDYPWLESFSGRQLPSNLCSLQIKKCPKLMASREEWGLFQLNSLKQFSVGDDLEILESFPEESLLPSTMKSLELTNCSNLRIINYKGLLHMTSLESLCIEDCPCLDSLPEEGLPSSLSTLSIHDCPLIKQKYQKEEGERWHTISHIPDVTIS >RHN59912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14675982:14676656:1 gene:gene22081 transcript:rna22081 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKCSLILVVLFICLLSFSSKALARNIPNASKLYLFSDEIGLNKKRLLASVKPKPDNARGHNYADLNKKRLLSEKCGSRTHQPHADRPRCHNYL >RHN56684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32797377:32799854:-1 gene:gene32121 transcript:rna32121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MKHIIIQIGRCLMHTKVWTFIGFTSAVVGLLCYALSSSFIHLFGNWNWLKIFLYGVFCLIICFLILLAMIWNHSRCFRFQVQFAFLVLTTTSVYSFFSDKMINGKPDAYSLISSASFAIMSLSLSRQTQCGFEVDLLYFFLGCLIVQLMKIKLQLFIVGAGFSYSLIIIRSYFPSTPIDVGPENECPEIQDENSVVLHVISLDLASNDIASSLMEQLRTSMKALQLENLHIVDMLLEQEKEYFDNAPSMLSECSLEGTLMLEALSLETVNNLQETVKLMLNSGFNKECLIVYSSCRRECLEECLVKQFLNSDNLTIKDVNMEDLGLRIKRWIKAFKVAFKILFPTERQLCDIVFFEFSAISDISFTDVCREFTIRLLNFPNVIANDQSNTTLLFRMLDMYETLHDLIPNFESLFCDQYSVSLRNELNTVLKKLGETIVGTLREFENTIRSKGPGNAPFFGGQLHPLVRFVMNFLTWICDYREILEQVFEDHGHVLLEYTKHDDTVPSSSSSSSSSSSSSSLQMERIMEVLESKLEAMFNIFNDPTLGYVYLMNSSRYIIIKTMENELGTLLGDGMLQRHSAKLRYNFEEYIRSSWGKVLEFLRLDNNLLVHPNMVGKSMKKQLKSFNKLFNEICKAQSLWFIMDETLKEEIIVYLGENLLPAYTNFIRKLHIVLKLEVKKPPDGYIEYETKDIKAILNNMFKIYRPSSCGRKRG >RHN59665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12603111:12603510:-1 gene:gene21737 transcript:rna21737 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L16 MKGKAYRGNTISFGKYALQALEPAWITSRQIEAGRRAMSRNVRRGGKIWVRIFPDKPVTVRPTETRMGSGKGSPEYWVAVVKPGKILYEMGGVPENIARKAISIAASKMPIRTQFILSE >RHN48605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48770709:48772772:1 gene:gene43341 transcript:rna43341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Trihelix family MESNGLGGGIFPNINNGFLGVENPSKQQQNHQNPHTLHHHQMVSYDQPHQSIKQGYPYPSKTNNNKPQQININLSDEDEPCFGADETSVGDPKRKGSPWHRMKWTDTMVRLLIMAVYYIGDEAGTSELSADKKKANGLLQKKGKWKSVSKGMMEKGYYVSPQQCEDKFNDLNKRYKRVNDILGKGTACRVVENQTLLDTMDLSAKLKEEARKLLNSKHLFFREMCAYHNSCGHGNNNLQQQSSTEAQPQQHHHPQQQQQQQQQQQQQCLHSSNGVGSLGMLKLKGRNGGGGEEEEDEDEDDEWEDDSEEEEEESGEGGGYGSKGHEEDENIDHLRKKSRKVSSLPSQVMQQLSSEVMSVLQDGVKSCWEKKQWMKKKVVQLGEEQVNYHVEAFEIEKQRLKWVKFSSNKEREMERQKLENERKSLEIDRMVLLLRQKELELQNTQQLQQQQHSST >RHN65926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6574084:6578195:-1 gene:gene13822 transcript:rna13822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MGSISEDIHVLPKCSPFEVVEDDDACLSAMLLCCGPMIYTSVLKSAIELNLFDIISKANPPGVSASYVASKLQTTQHPQLPRRLDRMLCLLASHSLLICSTRTNEEGVIERVYELSLVGKYFVNDEKNGSVALFSTFMSHQKLVDAFNNFKEVLSDCDNGLYMKVHGMPVYQGIQSDPAWNNVFNKAMANICTIEMKKILEKYKGFEGISILVDVGGGIGQSLNMIISKYPSIKGINFDLPQVIQHAPIYPGIEQIEGDMFKSVPTGDAIILKAILHNWSDENCLKVLTKCYKALPQHGKVIVVDFIMPQEIQHTKADKMITSFDNLMFLDSGVERTEKEFEKLCKCSGFSSFEVVCLAFSALGVMEFSK >RHN82144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51518403:51521105:1 gene:gene6270 transcript:rna6270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase, Xyloglucan-specific endo-beta-1,4-glucanase MNNFNTKLIFFFFGLVSSSLFHISIASIVSTGDFNKDFFVIWSPNHVNTSDDGKTRSLKLDQESGAGFASNQMFLFGQIDMQIKLVPGDSAGTVLAFYLTSDQPNRDEIDLEFLGNVSGQPYILQTNIYADGFDNREERIFLWFDPTKDFHTYSVLWNLHQIVFMVDTIPIRVYRNHADKGVAFPRWQPMSLKATLWNGDSWATRGGQDKIDWKNGPFIASFRNYKIDACVWKGNPRFCRAASSTNWWNQFNFSSLTSIQRRWFKWVRKYHMIYDYCQDNERFQNNLPKECSLPKY >RHN42769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40837456:40844060:-1 gene:gene49256 transcript:rna49256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase TKL-Pl-5 family MRGIEFLRCILIPMPRFIEIPPSLDLDLSTMENIAAQLKRGISRQFSSGSLRKTLSRQFTRQASLDPRRNNLRFSFGRQSSLDPIRRSPGDDEDQAELTVPENLDSTMQLLFMACRGDVKGVEDLLNEGIDVNSIDLDGRTALHIAACEGHVDVAKLLLSRKANLDARDRWGSTAAADAKYYGNTEVYYILKARGAKVPKTRKTPMTVANPREVPEYELNPLELQVRKSDGISTGTYQVAKWNGTKVAVKILDKDSYSDPDTINIFKHELTLLEKVRHPNVVQFVGAVTQNIPMMIVREYHAKGDLTGYIQKKGRLSPSKVLRFSLDIARGMNYLHECKPDPIIHCDLKPKNILLDNGGQLKVAGFGTVRFSLITPDKALLEQPEANIDPSSLYVAPEIYRGDVFDRSVDAYSFGLIVYEMIEGIPPFHPKPAEEALKLMCLEGKRPQFKIKTKSYPPDLKELIEECWDPEPEVRPTFSQVIARLDKIVANCSKQGWWKDTFKLPWK >RHN51420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17505928:17507474:-1 gene:gene35851 transcript:rna35851 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLSIESATQEQMNGHDVVSYDKFSNFVFFLFMAMCLHNLFFPTVIH >RHN47064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36878099:36880956:1 gene:gene41619 transcript:rna41619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK family MNTLDLKLHLKIEVQLIKLMKFLYLYILLCMLPYSINCQQILLNTTVTDCSGTPSAPKGYLCNSPQNSCNSFLTFRSKPSYDNPTSIAYLLGSEASTIASINNISRNEKLPTNKTIIVPILCSCSGNIYQHNTPYTVQKGDTYFHLVNETYQSLTTCQALKGQNYYASENIAIGAEVTVPVLCACPTTTQMAKGITSLLVYIVNYGETVKSIGEAYGVDEQSILEANELQPSENRSVILFALTPILLPLRGKSCKEDPDSFYCTCSQGRLADGSCNESHGQKFPAKLVAALGVGIGAGFLVLFLLSYRLYQYIKKKRASIRKEKLFRQNGGYLLQEKLSSYGNGEMAKLFTAEELQRATDDYNQSRFLGQGGYGTVYKGMLPDGTIVAVKKSKHLDRNQIETFVNEVVILSQINHRNIVKLLGCCLETETPLLVYEYIHSGTLSQHIHGKDRDSSLSWESRLRIACEVAGAVTYMHFSASIPIFHRDIKPSNILLDNNYSAKVSDFGTSRSIPLDKTHLTTAVGGTFGYMDPEYFQSSQFTDKSDVYSFGVVLVELITGRKPITFNDEDEGQNMTAHFISVMKENQLPQILDNALVNEARKDDILAIANLAMRCLRLNGKKRPTMKEVSMELEALRKVQSSLHIKDDQESPSDEQSLRHTTNDTFHESTVESFSLSSQMESTYF >RHN46034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27953406:27954641:1 gene:gene40471 transcript:rna40471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MQNSPIIISTPPPLPPPFPLSPPPQSTGQIVVLPAPPPPPFRPFAGAPSSVFQITVLPAPPPPPQFSGGSSSFDLSPLEFLLALIAIVTIPALIYTFIFAYGCSSSSSSSSRRRRSSTDELSGEISLPSEHSRHDVETVPTGEVYKKETHAAKIGGECPVCLSVFADGEEVRQLSVCKHSFHTSCIDLWLNDHNNCPICRKTVTPAAADATVKSSGSSRDSDLQQGLPDASSLV >RHN77101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4083314:4091833:-1 gene:gene521 transcript:rna521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide-binding protein alpha-1 subunit MGLLCSKSRRYNDANTEENAQTAEIERRIELETKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELKSYLPVIHANVYQTIKVRNTWKGCLLHDGSKEFAQNDVDFSKYVISGENKDIGEKLSEIGGRLDYPRLTKELAQEIECLWKDPAIQETYSRGNELQVPDCTHYFMENLQRLSDANYVPTKEDVLLARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVSAVIFCVAISEYDQTLFEDENKNRMMETKELFEWVLKQQCFEKTSFMLFLNKFDIFEKKILDVPLNVCEWFKDYQPVSTGKQEIEHAYEFVKKKFEESYFQNTAPDSVDRVFKIYRTTALDQKVVKKTFKLVDETLRRRNLFEAGLL >RHN52279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33423457:33429160:1 gene:gene36934 transcript:rna36934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAMQSPSRVFLSFRGSDTRNNFTGNLYKALVDKGICTFMDDNDLERGDEITPSLVKAIEESRIFIPIFSANYASSSFCLDELVQIIHCYKTKSCLVLPVFYDVEPTQLRHQSGSYGEHFTKHEERFQNNEKNMERLRQWKIALIQAANLSGYHYSPHGYEYKFIEKIVEDISNNINHVFLYVAKYPVGLQSPIEQVKLLLDLGSEDEVRMVGLYGTGGMGKSTLAKAVFNFVADQFEVVCFLHNVRENSSHNNLKHLQKKLLSKIVKFDGKLEDVSEGISIIKERLSKKKILLILDDVDKLEQLEALAGGLDWFGPGSRVIITTRDTHLLACHGITSTHVVKGLNETEALELLRRMAFKNDKVPSSYEEILNRVVTYASGLPLAIVTIGGNLFGRKVEDWKRTLDEYENIPDKDIQRILQVSYDALKEKDQSVFLDIACCFKGCKWTKVKKILHAHYGHCIEHHVGVLAEKCLIGHWEYDTYVTLHDLIEDMGKEVVRQESPNKPGERSRLWFRDDIVNVLRDNTGTGNIEMIYLKYDSTARETEWDRMACKKMTNLKTLIIDDYKFSGGPGYLPSSLRYWKWRFCPLKSPSCISSKEFNYMKVLILDLCEYLTHIPDVSGLRNLEKCSFRECHSLITIHSSIGHLNKLEILNAYGCLELEHFPPLQLPSLKKFEISYCESLKNFPELLCKMTNIKDIKIYDTSIEELPYSFQNFSELQRLTISGYDLGGKLRFPKYNDKMNSIVFSNVEHVDLRNNNLSDECLPILLKWFVNVTFLDLSENDNFTILPECLSECHHLKQLYLKNCNFLEEIRGIPPNLERLFADECYSLSSSSIRMLMSQKLHESGCTHFRFPNTTERIPDWFEHQSRGETISFWSELQYSIHLECGKDGIISEGVLSEWYRAGSAVGSNSHLT >RHN67869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31069798:31070172:-1 gene:gene16114 transcript:rna16114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha,alpha-trehalose-phosphate synthase (UDP-forming) MIQVLEGIHRKTIIVANYLPLNSKKYDITGRWCFSYDEDSIFRQLKDGLSFDIDVQDNVSQLLEEFNSFPTFIPSEIQKKFYDGFCKNYFWPLFHSMFPMYQSYCSGFDG >RHN65222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:326294:329948:-1 gene:gene13041 transcript:rna13041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative U2 snRNP auxilliary factor, large subunit, splicing factor MFPNMFPLPTNQVQPFSALPVLPVQAMTQQATRHARRVYVGGLSPTANEQSVATFFSQVMATIGGNTAGPGDAVVNVYINHDKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLNLGLVGLSPGSAGGLDGPDRIFVGGVPYYFTETQIRELLETFGPLRGFDLVKDRETGNSKGYAFCVYQDLAVTDIACAALNGIKMGDKTLTVRRANQNTNPMQPKPEQESILMHAQQQIALQKLMLQPALVATKVLCLTHAVSPDELKDDEDYEEILDDMRQECSKFGNLVNVVIPRPRPDGELCPGVGKVFLEYADVDGSTKARSGLNGRKFGGNQVIAVFYPENKFAQGDYEG >RHN64394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58717774:58724536:1 gene:gene27210 transcript:rna27210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactokinase MATHDELPIPIYDNLEHVYGAGSSLEEAQLRFDILKSKFVENFGHSPQLFARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIIAIRKNESQKVLRITNVNDQKYSICTYPADPLQELDLKNHKWGHYFICGYKGFYDYAKLKGVDVGEPVGLDVLVDGTVPTGSGLSSSAAFVCSSTIAIMAAFDVNFPKKELAQVTCDCERHIGTQSGGMDQAISVMAKNGFAELIDFNPIRVTDVQLPAGGTFVIANSLAESQKAVTAATNYNNRVVECRLAAIVLAIKLGMAPTEAISKVKTLSDVEGLCVSFAGTRNSSDPVLAVKEYLKEEPYTAEEIEEVTREKLTTFLNINASYLEVIKAAKQYKLHQRAAHVYSEAKRVYAFKDVVSSNLSDEETLKKLGDLMNESHYSCSNLYECSCPELEELTKISRDNGAFGARLTGAGWGGCAVALVKESIVPQFILNLKEHYYQSRIDKGVIKKNDLGLYVFASKPSSGSAIFKF >RHN68674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37719405:37725943:-1 gene:gene17056 transcript:rna17056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-(apurinic or apyrimidinic site) lyase MKRFFKVIEKDSEKCSKKPKENENEEEKKQDENEEEATKKEPLKFMTWNANSLLLRVKNNWSEFSNFITTFDPDVIAIQEVRMPAASSSSSNSKSAPKNQAEVKDDTNAAREEKRILMRALSNPPFGNYHVWWSLAESKYAGTALFVKKCFKPKSVVFNLDKIASKHEPDGRVILVEFETLRLLNTYVPNNGWKEEPNSFQRRRKWDKRILEFVNQNSDKPLIWCGDLNVSHEEIDVSQPEFFSTAKLNGYVPPNKEDYGQPGFTLAERARFGTILREGKLVDAYRFLHKDKDMEQGFSWSGNPIGRYRGKRMRIDYFIVSEKIKEKIAACEMHGKGIELQGFYGSDHCPVTLELSPSSNPQNEDPI >RHN56872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34396971:34399396:1 gene:gene32336 transcript:rna32336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl-P-Glc:Glc(1)Man(9)GlcNAc(2)-PP-dolichol alpha-1,3-glucosyltransferase MGKEKLSFKELDDSSGPKTTLWWFFLLAACIKVLLFPSYRSTDFEVHRNWLALTHSLPLSQWYFDETSPWTLDYPPFFAYFEHFLSIFAHLVDPKIVHLQEGLNYSSNTVVYFQRFTVILSDLCLLYGVYRITRKLDSRKQKLIWSLVIWSPMLLIVDHVHFQYNGFLIGILLISLSYLEEGRDLLGGFVFAVLLCFKHLFAVAAPVYFIYLLRHYRKRL >RHN40205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14206039:14212406:-1 gene:gene46327 transcript:rna46327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carotenoid oxygenase MSNISSNMLNTLRSYRGSQVFKFSTTTIHSHKPICVKIKSFSLRRGIVKVEPKPRKCFSSKAVDLLEKLVVKFFYDSSLCHHWLAGNFAPVQDETPPIKDLPVKGHLPDCLNGEFVRVGPNPKFSPVAGYHWFDGDGMIHGLRIKDGKATYVSHFVRTSRLKQEEYFGGCKFMKIGDVKGLLGLLMVTIQTLREKLKILDVSYGTGTANTGLVYHNGKLLALSERDKPYAIKVFEDGDLHTLGMLDYEKRLDHYFTAHPKVDPFTGEMFTFGYSQTPPYITYRVISKDGYMYDPVPITISDPIMVHDFAITENYAIFMDLPVYFRPKEMVKNNKLIFSFDSTKKARFGVLPRYAKDDKLIRWFELPNCFIFHNANAWEEEDQVVLITCRLNNLDLDMVSEDVKDKLESFSTQLYEMRFNMKTGKASQKKLSAFSVDFPRVNERYTGRKQKYVYGTILDSIPTGIVKFDLSAKPDFGKTKLEIGGNVHGIYDLGQGTFCSDPIYVPRVPGTDSDEDDGYLIFFVHDENTRKSFVHVIDAKTMSADPVAVVELPQRVPYGFHSFFMTEDQLQEQAKL >RHN44049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1250837:1251499:-1 gene:gene38098 transcript:rna38098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MYDFCNHVVESLDLIIGNGHLPIIVGGSNSYLKKLVEDPAISFLSKYECCFIWVDVSLPTLYQYVGKRVDEMVQTGMIDEIREYFVPGADNTKGIRRAIGVPELDSFFAIEKKSGIDDAIKENILKEAIEKTKQNTCILAKNQLSKIQNMAHMLGSMVYKIDSTEVFETLLRGEDYKHLHQEIVIKPSKEIVKRFLEETTDGFRYEKYSNENGKHAPNGV >RHN40684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19433541:19435383:-1 gene:gene46873 transcript:rna46873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRRKNMTQIVKFVYIIIIFLSLFHAARNDDYHLKCTTDYDCREGFCPEGLAPKCFVSFALARFLSEGRCLCI >RHN63183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49164907:49173029:1 gene:gene25857 transcript:rna25857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase Clp MEVATANASFTFLSKKPMLISPSSSRTLSPIRPIKSSFFRNSISTDFVAPSSAITSSTSHFSGHKLRPPCLNPATFQSSGSKRGVVTMVIPFSRGTAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELMVAEFLYLQYDDETKPIYMYINSTGTTKGGEKLGYETEALAVYDLMRYIKPPIFTLCVGNAWGEAALLLAAGAKGNRSALPSSTIMIRQPIARFQGQATDVNLARKEITRVKTELVNLLAKHTEKTPEQIEADIRRPKYFSPTEAVEYGIIDKVLYNERGSMDRGVVSNLKKAQLI >RHN76744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:919953:925639:-1 gene:gene117 transcript:rna117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-pyruvate monooxygenase MDCYLRELEGKQAHDPLFIEKMINNNKNSTSSSDRCLWIPGPLIVGAGPSGLAVAAYLKQKGVPSLILERSNCIASLWKLKTYDRLRLHLPKQVCELPLMEFPSGFPTYPTKQQFIEYLESYSKNFDIRPWFNETVMHAEFDATLGFWRVRSEGKAGMVTEFVCRWLIVATGENAEAVVPEIEGVDEFVGSIRHTSLYKSGEEFRGKKVLVVGCGNSGMEVCLDLCNHDAAPSIVVRDSVHILPRDMLGKSTFGLSMWLLKWLPVQLVDHILLTVSWLMLGNTERFGLVRPRLGPLELKKLSGKTPVLDVGALAKIKRGDIKVRPGIKRLKRYTVEFADGSTENFDAIILATGYKSNVPYWLKDKGMFSKEDGYPRKPFPNGWKGENGLYAVGFTKRGLLGASMDAKNIAEDIERCWKAEAKHIFPQSNS >RHN81210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44127020:44128624:-1 gene:gene5222 transcript:rna5222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 Ubiquitin ligase, GIDE-type MVVSISGEVAFETPIKCKISGLSGVIVDESVEEHYLKKVERKEKCECAIEHKKTCSYADSFFDKNDVFSWTQHSRLISSIPKEVPWYLILGLKRIERVLLVGTSLNVVGEASKDDDGTVRIQRPLKGPFYVSGKTIDENIANFVDYARYCKDNSVALTMIGACLLAASTVIILEGGVALKCEKGTIYWVILLMSIVVTNIILLNDFQPAKHVSWKTGLFSWTLPNN >RHN53383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1337475:1350665:-1 gene:gene28285 transcript:rna28285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stromal processing peptidase MPMAASTSTTSSLTNLSLPHHHHHRHHRHSPSSISTRFRSNRFFLSSSSLSFSSPQRERRVVYGGLGLRRNKPDVWKHYSSLLSPPAAAPFQQSCASCCLASTKKRRSSLARFVPGAFFDNSSIGLSKDKLRHGYVKRVQVPHATVGPDEPHAASTAWPDGVAEKQDSSLFDSELERLEEFLGSELPSHPKLHRGQLKNGLRYLILPNKVPPTRFEAHMEVHAGSIDEGDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHAPTTTKDSDDLLPSVLDALNEIAFHPKFLSSRIEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDAEKIRKFHERWYFPANATLYIVGDIDNIPKTVGQIEAVFGQTGVDNEKGSGATPSAFGAMASFLVPKLSVGLGGNSIERSTNTLDQSKVFNKERQVVRPPVTHNWSLPGSSANLNPPQIFQHELLQNFSINMFCKIPVNKVQTYRDLRIVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTITAEPKNWQNAIRVAVHEVRRLKEFGVTQGELTRYLDALLKDSEHLAAMIDNVSSVDNLDFIMESDALSHKVMDQRQGHESLLAVAGTVTLDEVNSVGAEVLEFIADFGKPTAPLPAAIVACVPKKVHIEGVGETEFKISSTEITDAIKAGLDDPIEPEPELEVPKELVPSSNLQELKEQRKPTFIPVSPETDATKLHDEETGITRRRLANGIPVNYKISKSETQSGVMRLIVGGGRAAESSDSKGSVIVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFISMEFRFTLRDNGMRAAFQLLHMVLEHSVWLDDAFDRARQLYLSYYRSIPKSLERSTAHKLMVAMLDGDERFTEPTPSSLENLTLQSVKDAVMNQFVGDNMEVSIVGDFTEEDIESCILDYLGTAQATRNFKSEQEFIPPSFRSSSSGLQFQEVFLNDTDERACAYIAGPAPNRWGFTVDGKDLLETIDNASSVNDNGTKSDAVPTEGGLQKSLRNHPLFFGITMGLLSEIINSRLFTTVRDSLGLTYDVSFELNLFDRLKLGWYVISVTSTPSKVHKAVDACKNVLRGLHSNRITDRELDRAKRTLLMRHEAEIKSNAYWLGLLAHLQASSVPRKDISCIKDLTSLYEAATVEDTYLAYEQLKVDEDSLYSCIGVAGAQTAQNIEVPIEEEEAGEGYPGVLPMGRGLSTMTRPTT >RHN77023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3304203:3315543:1 gene:gene430 transcript:rna430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, formin, FH2 domain, protein-tyrosine phosphatase MALFRKFFYKKPPDGLLEITERVYVFDYCFTTDVIDEDEYKVYIGGIIRQIREHFPDASFMVFNMREGENQSHISNILCDYDMTVMDYPRQYEGCPLLTMEMIHHFLRSGENWLQLGNQNIVLMHCERGGWPVLAFMLAALLIYRKMYTGEQKTLDMIYKQAPRELLQLMSPLNPLPSQLRYLQYISRRNVGSEWPPLDRALTLDCVIIRQIPNMDGEGGCRPIFRIYGQDPFIPANRSPKVLFSTPKRSKLVRHYKQADCELVKIDIHCHVQGDVVLECISLDSDVEREQMMFRVMFNTAFIRSNILMLNRDEIDILWNTKDHFPKNFRVEVLFSDMEASSSSVISVDLPRIEEKEGLPVEAFAKVKEIFSNVEWLDSKTDVANVLQQITASNMLLERLDSGASASTSTLLNESLSGRLKFDSKSQSQTNSPKSSVHEERSVSPLQLSEDVPMDKMIKPVKIEELSAEKIKTPASLGPASEPIPSFVLSKDSDSTKKESESSEPKKSLENDPEFPASTAQVEQSIPLIEPSIDAGSTKKKTGSLESEKKNIESLASKAVLENDTMFPASESNINAISMKNIRLLRSKEKEIESLFSEALLEKDHIVKFEPKTLLEVDTNSPRSAGQEKFSVSSFESIGDAAMEKKKEALGLTASPENNIKTPAPTDSSPTEMKIESLESKAPVENDTKFPMCPAQTKQSIPLIEPSTKANSLEKMEKLESKEKDIELLESKSLLENDTKGEQSVPLIQTSKDASSMKTVTEKSESTVLSETDIRSPTSKVDNKQISPLIEPSIDAKSIKKKIDPQQLQVSLQLPTQSIIISPRIHQAIRPASASYSSTSLLGSPVAISRYQGSPSALGITTVLQDHTPMDIKEEITHAVSISPPSDSKAPKSVEPCSTSIPTTSSLELLPSSPLKSSDSKELNSVEPCSTSIPTTSSSPELLPSSPLKSSDSQGPKYVELCSTSIPTTSSSPELLPSSPLKSSDSKVSKSVELCSTSIPTTSSSSEFLPSSPLKSSDSKVPKSVEPCSTSIPTTSSPPEFLPSSPLKSSDSKALKSAELCSTSIPATSSSPELLPSSPLKFSDSKALKSVEPCSTSIPTTSSPRELLPSSPLKSLDSKALKSAEPCSTSIPTTLSPELLPSSPLKSSSVDPLAAIIPIPPPPPPQQSENSMHDTNQISSAIPPPPPPPFLPETSFSTVEDSLKHPPPHPPPPPPPPPSSTGQTSSSSIPPPPLPPLRSMNNSVAMSGPPPPPPPPGTTPGSTSTPLAPPPPPPPGFAIQNSLSNGPTNIPPVPPPPSPSANGLSNSAASPQSRAIPGPPGTAPPIPGPPGNVPPIPGPPSGAFGAKGRGLLRTNAKTQTKRSNLKPYHWLKLTRAMHGSLWAETQKLDEASRAPEFDMSELETLFSANNPSSSHEKGGKSNRRGSGQKPDKVQLIELRRAYNCEIMLTKVKIPLPDLMGHVLALDDSVLYVDQVENLIKFCPTKEEMDQLKAYTGDKENLGKCEQFFLELMKVPRVESKLRVFCFKMQFCSQVSELKRDLNIVNSASEEIRNSVKLKRIMQTILSLGNALNHGTARGSAVGFRLDSLLKLTDTRARNNKMTLMHYLCKVLAEKLPELLDFSKDLVNLEGATKIQLKYLAEEMQAISKGLEKVIQELSASENDGPVSEVFCQILKEFLSDAEAEVRSLAQLYTNVGRNADALASYFGEDPQRCPFEQVVATLMNFVRMFIRAHDENVKQIEYEKKKADKEAAENEKSKLARNESGHMMRTSIKSGNIK >RHN74397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32637570:32640195:-1 gene:gene10454 transcript:rna10454 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVHLLVLILVSLSLRCESWGWFSSNKESYSNERSYGNQGSFRGSSAEFSIEAFNDPKGVKLIENAKNKMVGSNTCWQNAYQHLFAGCSEILAADEKRSRLAWHLSDCFQRDSGRVSFPRCDAETPIATCLRNLDDLAHKVYLEFYLETNSICYQLQTHAFKHETERLVTELKSSAQYVEDKLDSIEEKSDNLLQGSKYIFDSLESVNSHTELVAQTVKNVETHIDVVLRHSKSVYEQTKKITASQSQLEEGQEDMKRKLEDGVALLKESYSYLGKEIEKLRDETIEIENEVIKVGDAMSSKMNTLQTKAEDIGNMAGVSLEKQQELLDGQSTALKGLNSLNEVQLKALEESRKSLQYFSEYGHKQQEELLRRQEQMQGLHDRLMENSKTILSAQETFEAKQATMFVALDKIFALQNAMLLESRMIKAFFIYVISIFVVFMLTSTKQTYNVRPLLYIELCLALFVEVFIIRLTNDVIEQQTWIINKVRLFFMVAASAQLMYAIVTYRDYERLNHNMLLTLVNKINNMQKLKDSNWDLDTTDYVDWSQLIDIDIPDDVNCLDDPDFVIPEEVAENSITTSTTKSYNLRSRNRLH >RHN60006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16372189:16374879:1 gene:gene22188 transcript:rna22188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVEVTKLVNVMLIFLTLFVVALSNDTEYTDCLQHSDCQAYACELPFKPDCLMVEYAPQFFRLACGCV >RHN82469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54014956:54019678:1 gene:gene6637 transcript:rna6637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AAA domain-containing protein, R3H MRAINSHVVLIDLHCTSWQRNNNLNNKQLFSSFHRSSSSSRRLNKAIQSSKSSSSASPQIRRPSDRYPIRNGSSPSNLQPETTAELDMFLELLPLEMRMELYRHQEIGGLIEVVMDLGRKPLARFPSGDWVISQRPINHDDLRHAISKVGEFSDDNRSGIDSSLHRISAIRNRQMQIIGLTCRVGRAVSGSAKIIHDLVEGGGSILVIGPPGVGKTTLIRETARMLADEFLKRVVIVDTSNEIGGDGDVPHAGIGRARRMQVPNVNMQHSIMIEAVENHMPETIIIDEIGTELEALAASTIAQRGVQLVGTAHGTTIESIMKNPYLQILVGGIESVTLGDEEARKRKVQKTILERKGPPTFTCAVEMISKTECRVHHRLDATVDALLAGKPPVFEVRHWDDFSNDLVKHAPIPEISHEETSDLNLTNTNITSSDREYDEDDTYYYPTRSMKWSDNSGSVIKRSSPMQVYTCKILEADLVQVAKVMGFEDLIEVTDDIGTADAILASGSELRQNSWIRGVAKFHQLPVFVLKSNTMAKMVKAVKMILGLEPFGSTMKKPSNDSPDIEIDDDEPKHKPSLEEIDALEEVRLAIEYIVIPGGEAVELLPRRSEIIDRQIELVQSYQLAAEKSGTDQNTRLQILPLRLSTKRSSKSSSVSRKKRSSTSEKSAGSDGGNGTTVTRLPILPE >RHN57417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38983044:38988493:1 gene:gene32960 transcript:rna32960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TLK family MFIFLFQIGGEFLIQANTHKRQKIQEDDNADGIESAEVVPEGRQASLEIGEIKNNVDANRNKSGRGRGSSGSGSRRGSKSNDQTRTQISSATVSASNGQNENDGRLKDQFHNDNSASLEEENASLRTKIVALEEDLRKAKQETSELQNLCPRLEKELKDREEQMKPKRTKIISDLLISVSKAERRESRLKVRQDSLRLGNVGVIRAGTVLSETWEDGQALKDLNAQLKQLLETREAIDRQRKLLKKKQSDKGRDVIDAEAGLQEDILIHEEIYKSRLASIKREEEIALRERDRYELEKGSLIREMKRIRDEDGSRFNNFQILNNRYALLNLLGKGGFGEVYKAFDLLDHGYVACKLHGLNAQWAEEKKLSYMRHAIRECHIHKTLVHRHIVRLWDIFQIDPDTFCTVLEYCSGKDLDAVLKATPILLEREARVILVQIFQGLIYMNKGALKIIHYDLKPGNVLFDELGIAKVTDFGLSKIVENDVGSQGMELTSQGAGTYWYLPPECFELSKTPLISSKVDVWSAGILFYQMLFGRRPFGHDQSQERILGEKTIIKAHKVEFPSRPTVSNEAKDFIRRCLTYNQAERPDVLTIAQDSYLAYSKK >RHN39383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6662658:6663179:1 gene:gene45401 transcript:rna45401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MRGNLGLIATVIATMTFQMILNPPGGVMSIKDGENPPSTDASPPSTNANPPEADNYDKICTFVYKERLCPGEAVLAVRDSSGYLQFLISNTICFIASLSVCLLLVSGIPMHHRFLMWLLSLGMWVTLTSLAYSYLTAAIMTTPDRVYFEATEVVNKFFLRGLDCRRLLVYAIH >RHN51818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25926973:25929787:1 gene:gene36354 transcript:rna36354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MVDKALSLFYEMRCRRIAPDTVTYNSLIDGLCKSGRISYAWELVDEMRDSGQPADIITYNSLIDALCKNHHVDKAIALVKKIKDQGIQLDMYTYNILIDGLCKQGRLKDAQVIFQDLLIKGYNLTVWTYTIMINGLCLEGLLNEAETLLSKMEDNGCVPDAVTCETIIRALFENDKNERAEKLLREMIARGLL >RHN72926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11895616:11895996:1 gene:gene8692 transcript:rna8692 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHLVLRDRITPLLLAKDMDNKLNEGRDHLLWLKRPNRRRRYGNGLDIVVLPQNPLRKVMTHPGFTGHNPSRVPSYVMKRSQVSSSLVHPPDDMSMLHRINCGYVMSNIRKVSERFPSTHKRVST >RHN78149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12314676:12314894:-1 gene:gene1672 transcript:rna1672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isopenicillin N synthase MLGKRSVTFSIPFFFYPGHHVTVKPAEELVNEKNPARYKPYNVGKFYANRNRSDFNKREVENIQIHHFKIVD >RHN48010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44268693:44269967:-1 gene:gene42678 transcript:rna42678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKISTFSIILLCFFQSTRAILDPIDFLALQSIRRSLHDVPGSKFFSSWDFTDDPCNFAGVYCATDKVIALSLGESRAGSPGLTGKIDTAIGKLSSLVDLTVSPGRIYGHLPQSISQLKNLRFLGISRNFISGEIPAGLGQLRNVRTIDLSYNQLAGTIPPSIGKLPELKNLILRHNRLAGSVPSFASARNLNRLDLKHNTLTGSLAPDSLPSSLQYLTLSWNQLTGPVDRVLYRLNRLNYLDLSLNRFTGSIPAQLFSFPLTNLQLERNQLCGPVEPFNEVTIQTVDLSFNKFSGGISPLLATVQNLYLNNNAFTGEVPGSFVERLLAAHIQILYLQHNYLTGIVINPTAEIPLSSSLCLQYNCMVPPVQMTCPSKAGYQKIRPAKECNKYH >RHN56994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35560039:35565720:1 gene:gene32472 transcript:rna32472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MGDAIDLSGDGGVIKTIVRKSKSDAVAPTEDFPVVDVHYEGTLADTGEVFDTTHEDNTIFSFEVGKGSVIKAWDIAVKTMKVGEIAKITCKPEYAYGSAGSPPDIPPDSTLVFEVELVACRPRKGSTTGSVSEERARLDELKKQREIAAAAKEEDKKKREEQKAAAAARVQAKLDAKKGHGKGKGKAK >RHN40245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14558652:14560017:1 gene:gene46370 transcript:rna46370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MATMGKRSFLTLLFFSICFIVSFSHAQKNGFSVELIHRDSLKSPLYKPTQNKYQYFVDAARRSINRANHFYKYSLANIPQSTVIPDIGEYLMTYSVGTPPFKLYGIVDTGSDIVWLQCEPCQECYNQTTPMFNPSKSSSYKNIPCPSKLCQSMEDTSCNDKNYCEYSTYYGDNSHSGGDLSVDTLTLESTNGLTVSFPNIVIGCGTNNILSYEGASSGIVGFGSGPASFITQLGSSTGGKFSYCLTPLFSVTNIQSNATSKLNFGDAATVSGDGVVTTPILKKDPETFYYLTLEAFSVGNRRVEIGGVPNGDNEGNIIIDSGTTLTSLTKDDYSFLESAVVDLVKLERVDDPTQTLNLCYSVKAEGYDFPIITMHFKGADVDLHPISTFVSVADGVFCLAFESSQDHAIFGNLAQQNLMVGYDLQQKIVSFKPSDCTKV >RHN53448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1720314:1722522:-1 gene:gene28355 transcript:rna28355 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSCSSSTMILFDSRPCFKFKKPFLINPSPSFGIQIKPSFKFNKDHYRQSYCKAVFVDDAPFAAAIGACMLTSLILPVPVATEEEEESSLTSTDTRLAVMGIMSFIPYFNWLSWVFAWLDTGNRRYAVYSLVYLAPYLRSNLSISPEDSWLPIASILFCIVHIQLEASIRNGDVQGFQLFRNVMDQQSSSSKKKGRLNRHQEMSKGSKNEKKNLLSAEEPSRDIGGWEDSQRPVEYQQRLHDTIDGDSEDKSKH >RHN57538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39929116:39929936:1 gene:gene33097 transcript:rna33097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MLLVSGSCIEKERHALLELKSGLVLDDTYLLPSWDTKSDDCCAWEGIGCRNQTGHVEILDLNSDQFGPFEGDRVAAFKVFEPQLESVHLMGEEFQRILLVFRTCNILIFQTMALRVQSLINLEISLICSTLILVQMILWEPFFVHLEVFQICRNFILDTIKDSVYFGGEWLSNLTLLTHLDLSYLPNLNSSHVWLQMIGKLPKIQELKLSGCDLSDLYILSLSRSLLNFSTSLATLDLSQNAFSSSKIYE >RHN40035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12461821:12466359:-1 gene:gene46127 transcript:rna46127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain-containing protein MDADDETLNPMIDEIYTNGGEDTDNNRTNRRAIMSGDQLDIEAYSSLYSGRTKIMRLLFIANKSKNATVQLDALRLAYHEIKKGENTQLFREVVAKIDGRLGEGYEMDLGWCDGVERKMEQKKERLENELNAYRTNLIKESIRMGYNDFGDFYYSHGLLGDAFKSYVRTRDYCTTSKHIIHMCLSTILVSIEMGQFSHVSSYVNKAEQATDALDPIITSKLRCAAGLANLEAKKYKLAARKFLEAGPELGSTYNEVIAAQDVATYGGLCALATFDRAELKSKVIDNSNFRNFLELVPEVRELINDFYSSHYASCLEYLGNLKSNLSLDIHLHDHVETLYDQIRHKALIQYTLPFVSVDLNMMANAFKTTVVGIEKELEALITDNQIQARIDSHNKILYARHADQRNATFQRVLETGREFDRDVRSMLLRSNLIKHDFNIRASRKL >RHN62103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40753305:40756660:-1 gene:gene24637 transcript:rna24637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MEGASYARMPRVKIRELKDDYMKFELRDTDASVANALRRVMISEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSERAMAMRFSRDCDACDGDGQCEYCSVEFHLRVKCITDQTLDVSSKDLISSDHTVTPVDVPGGDASIESDGRGIIIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPDIHINEDLMESLTLEEKKEWVDSSPTRVFDIDPVTQQVMVVDPEAYSYDDEVIKKAEAMGKPGLIEITAKQDSFIFTVESTGAVKASQLLLNSIEILKQKLDAVRLSEDTVEADDQFGELGAHMRGG >RHN82429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53722005:53722820:1 gene:gene6596 transcript:rna6596 gene_biotype:protein_coding transcript_biotype:protein_coding MWFGSGRKLLYFSMVMFLATTLLQMWVCCQSCQVGAIRIFPGNDVANVEFNQDVMHNKDLLNKYFKGRTFFGSSNKNETQMGFDDSKRKVPSCPDPLHN >RHN41607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31455091:31456952:1 gene:gene47949 transcript:rna47949 gene_biotype:protein_coding transcript_biotype:protein_coding MGFASIKLFVILGLLATSCLAQAPAPAPTQLSPNSAPAPSPSTKSPTPSPAVSPSTSSSPGSSPSPNTFSPPAPGSNVPASGPGGATPGQPGADAPSAAFSITNTFVAVTAFAGIFVSMVLA >RHN66064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8181323:8212146:-1 gene:gene13976 transcript:rna13976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MLIQIPRLTNSLRDPFDIDEAYLHRKTVLQNRNTRNVASSLDESELARKIVYGWEEASSEVRQAYKQFIGAVVGLVDGEMRSEDFHEVALTVYRLFSRPIDEEDSINRIIYDKKLELQNLVGHAIADAKLREVAAIAQKLLNLQPNNTNSAVSLERDHDVKEGMEFGDDLVFQAPARFLIDVSLDDGDIMDFKNTVSLGFQKEEYSHTDPTDHFVVEVEKFNLTWLRDACDKIVRNCDSQLSRDELAMAICRVLYSEKPGEEIAGDLLDLVGDSAFETVQNLLLHRKEIVDSIQYGLSVFKSDKNASNAQSRMPSFGTQVTVHTESEKQIDKLRRKEEKRNRRGIEHAGDGDLSTMDFSSLLQASERKNLVDVMIGSGDRSIAVNALPEGTIRKYREGYEEVIIPPKPTAPMKPGEKLIEIRELDDFAQAAFRGYKSLNRIQSRIYQTVYGTNENILVCAPTGAGKTNIAMISILHEIGQHFKDGYLHKDKFKIVYVAPMKALAAEVTSTFSQRLSPLNMSVRELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESSQSMIRIVGLSATLPNYLEVAQFLRVNPDTGLFFFDSSYRPVPLAQQYIGISEPNFAARNELLNVICYRKVADSIRQGHQAMVFVHSRKDTAKTAQKLTELARANDDLELFNNDTHPHYFFMKKEVVKSRNKDLVQLFELGMGIHHAGMLRSDRGLTERLFSEGLLKVLVCTATLAWGVNLPAHTVVIKGTQIYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFISSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMRMNPLAYGIGWDEVMADPALSSKQRSLVIDAARSLDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMNDSEVINMVAHSSEFENIAVREEEQNELETLARTSCPLEIKGGPSNKHGKISILIQLYISRGSIDSFSLISDASYISASLARIMRALFEICLRRGWCEMSLFMLEYCKAVDRQVWPHQHPLRQFDRDLSGEILRKLEERGADLDHLMEMEEKDIGALIRYAPGGRLVKQYLGYFPSLQLSATVSPITRTVLKIDLVITPAFIWKDRFHGTAQRWWILVEDSENDHIYHSELLTLTKRMAKGEPYKLSFTVPIFEPHPPQYYIHAISDSWLHAEAFYTITFHNLLLPEVRTSHTELLDLKPLPVSSLGNIDHEGLYKFSHFNPIQTQTFHVLYHTDNNVLLGAPTGSGKTISAELAMLRLFNTQPDMKVIYIAPLKAIVRERMSDWRKRLVSQLGKKMVEMTGDYTPDLMALLSANIIISTPEKWDGISRNWHSRSYVTKVGLIILDEIHLLGADRGPILEVIVSRMRYISSQTERPVRFVGLSTALANAGDLADWLGVEEIGLFNFKPSVRPVPLEVHIQGYPGKYYCPRMNSMNKPAYAAICTHSPAKPVLIFVSSRRQTRLTALDLIQFAASDEHSRQFLNMPEEALEMFLSQVSDQNLRHTLQFGIGLHHAGLNDKDRSLVEELFANNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYVDFPITDILQMMGRAGRPQFDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLRERLHDHINAEIVSGTICNKQDAVHYLTWTYLFRRLMVNPAYYGLENVEPEFLSSFLSSLVQSTFEDLEDSGCIKMNEDVVEPVMLGSVASQYYLSYMTVSMFGSNIGPDTSLEVFLHVLSAASEFDELPVRHNEEKYNEALSEKVRYPVDKNHLEDPHTKANLLFQSHFSQLELPISDYVTDLKSVLDQSIRIIQAMIDVCANSGWLSSSLTCMHLLQMVMQGLWLDKDSSLWMLPCMNNDLITSLSKRGIYSLQELLDIPRAALQTVIGNFPASRLYQDLQNFPHVKMKLKLQERDTGGERCYILHIRLEKLNSRRHSSRAFVPRFPKIKEEQWWLVLGNTSTSELYALKRVSFSDHLVTSMKLPITPANLQDVKVTLVSDCYIGFEQEHSIK >RHN66288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10375031:10375249:-1 gene:gene14238 transcript:rna14238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MKTFNLMIKSYGKAGMYDKIKTVTDFMERRFFAPTIVTYEIDTSGNRIETSGGENSKIPNQPLDQPQMGLNS >RHN76012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46991194:46995678:-1 gene:gene12282 transcript:rna12282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trans-2-enoyl-CoA reductase (NADPH) MLRSLSHKPSPSSLFNFTSLRSRILNTHTHAFSSAVSPPSKAIIYESHGQPDAVTKLVDIPATEVKENDLCVKMLAAPINPSDINRIQGVYPVRPEPPAVGGYEGVGEVHSVGSAVTCFSPGDWVIPSPPSFGTWQTYIVKDQNVWHKVNKGVPMEYAATITVNPLTALLMLEDCVTLNSGTASLAAILVFYRDAIVQNGATSMVGQCVIQLAKSRGIHNINIIRDRPGVGEVKERLKDLGADEVFTESELEVKNVKSLLGGIPEPALGFNCVGGNSASLVLKFLRRGGTMVTYGGMSKKPVTVSTSSFIFKELSLRGFWLQNWLSTDKAEEGRRMIDRLLGLVQDGKLKYKMELTPFNDFNTALDKALGKLGSQPKQVIKF >RHN60119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21026605:21027718:-1 gene:gene22349 transcript:rna22349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein Ist1 MESSLQTKVKEYKGSQLIVRDSQIIHTKGSKIHSPSKFDQEIETDVTPLSFTTPSDAFSPPESSLCNSSTSVSPIQQERVEELEDIDECEYFSSKDGCSEDQRLVLFNSSESDMDRDESSSDSSIRTYTSRKLASKKRLRRRSPLLERQGIVEIGYTLHYQTPSPQSSYPKKRLKQHSYSDSCERNSLDFNMSDCSCNLDSPCYCFVYNEIEIFEYLGRSSSSTNAFNPNTCDCLTTTPYSRALTTTPSKLMGNYNDNLLRILSCPSPRPKHVHPKLPDYDDFVATLMALQREFTHRAD >RHN57812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41846855:41847463:-1 gene:gene33401 transcript:rna33401 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIYFLAALAGLGFIYFVAAFIVGENAHYPNFQVSSASIYSLTINNATKLTAELNITLSVSNPNHYLRISYHHHEFHVKVFYTDKEHVILDNTSTLQQSNFNGSSLIHMILKVDTYSGSYVADGIDLSRRKHGMVEFGLTMLTSIMFKNKLFFLYSWETVKVVCNPIRFAISPNVYNTTPGILLQPLTCTCGPPQISISIN >RHN76205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48519905:48521143:-1 gene:gene12496 transcript:rna12496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MAKKTPTKFSFFSPLYSLLTITMTLSQIHSLTHENDIHSLQEIVHGIDPNSISPSSYINSWDFTVDPCHSTGSQFLGILCDLPLDNSSSRVTAIDLDGIGYEGFLTPVIGNLTELTILNLNNNKFRGPIPETIGNLRKLTRLTFSDNFFTGGIPQEIIELKRLEYLDLSANRLSGTIPSNMTGLRSLTYLSLSNNNFSGRVQNLTGLWNLNTLDISYNQFFGNLPNLPVSLRNIYLSHNIFSGDITPLKDLIHVRWLDISDNRLSGVIRRDILSLRFVSHLNVSYNRFTSINVVNGQGQGQRLRLLEAQANNLKGHLPVNLVTFTNLTIINLSNNQLHGPIPKEYGLKLRTTWRRLYLDHNFLTGNLPLELTHKSTNFKGSFANNCLNCPTNVGVCRGGQRPATECTGQHNL >RHN41448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30088787:30090085:1 gene:gene47771 transcript:rna47771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative steroid sulfotransferase MTKSSDENPTLPKYLKEDDLGQECKDLIQTLPLVEGWIDPTFHEYQGFWFAPKILQGALSFQKHFQAIDTDIILVTNPKSGTTWLKALTFALINRNKYPNIHKNHPLLTSNPHVLVPFMEINLYYETDIVPELNSLSPPRIFSTHNPYVLLPKSLKESNCKVVYLCRDPKDTFVSLWHFSNKLREQSRGTLPLEEAFESFCRGTTSYGPFWDHVLGYWKESLERPEKVMFLKYEEMKMKPNFVLKEIAKFLGCPFSEEEESNGVVDDILHLCSFEKLSNLEINKNGKISSEIENKNFFRLGKVGDWKNLLTTKMVEQLNIVVEEKFGKHGLSF >RHN45003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10638224:10641018:-1 gene:gene39196 transcript:rna39196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase, beta-propeller MTNKKALPVPNFGSDFYFSYHKSPSQKMRILELLPHSDGNGSSTEEEPLPQDADYNLSLSDELETSILARFPRSQHWKLSFLNKRFLTLMKSGEIYKIRKELGLKEPSVFMLASGESNWWGMEWPFKSSRKLPRIQSDYSFEFGDKESFSAGSELFVSGKEFDGPVLWRYDSDTNEWFKGPFMINPRCLFASASSGNFAFVAGGLETNTYSEILDTAEKYDSKTKTWKPLPKMNSKRKFCSGCYMDKRFYVIGGQDENRKDLISCEFFDEETNTWNLIPDMLKDIPLLDSQSPPLIAVVNNDLYTLDASTNELKVYLKGINNWKKLGVAPVRADAQGGWGVAFKSLGDELLVIGAPSVSHKERALAIYTCCPDPEGEKLKWKQIDCGSVQLNHFIRNCAVMMGST >RHN42937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42043681:42044706:-1 gene:gene49445 transcript:rna49445 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVNSSGNGSMQEYDDSLTQSSISPNFLNNQQPPSTHVGPFSSAMFHPLSNYFHPSQTTTPLPNTDLDTIWSKPVRSEPNKTDLNNLLPISSSSSLLQNQIMNQYNNNASFQLATNFHTMNDQTRNNINMQVGNQNPKKRSRASRRAPTTVLTTDTTNFRAMVQKFTGIPELPPFITSSHHFPKTRLDLFTSASTITFPPYNLLRPVAHNLLPPSSSIHNQFLSSSVDHFKQPLNNIYNMHSQNQAFTFRTIHDQTPQKPLQKYPLGYSSALVSKTQVPSLEIPPSTDSHLKMGNVLEELGILRHDDRVNLMSSNNGSKEWARRTHHDTINNNDSCDHMD >RHN55056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14750810:14755201:1 gene:gene30182 transcript:rna30182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MPDSKPALRKPVFCKVDQLLPGTRGHTIIVKVVSVKLVDQNDRPDGLKLRHMRMAECLVGDETGTIIFTARHEQVDLMTEGSTIIVRNAKIDMFKGTMRLGVDVWGRIELTEPAAFTVKEDNNLSLIEYELVNAV >RHN79607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30517873:30518274:1 gene:gene3420 transcript:rna3420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MSLLIQKLLLICVLTLLSMDNVLGVPRRHVNILNSLEDNLDLTVHCKSADDDLGSHLLHHGDSYGFKFLNNFFGDTQFFCSFQWKGEFKWYDIYIASRDSTKCYICNWYIQKSGPCRVLYHGGSECFPWNINH >RHN38682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1281510:1282476:-1 gene:gene44642 transcript:rna44642 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHQVIIPLMGEFNCSDPITSDCEKDMKEVIVIEESPSRYINYMAVLSTILCLVLVIFPTLKTTSYGANFMAKYQNILMQSIVYLVTFIQIKLFSITTMHPFQTSIFGIIFIIMLSSLTPLVEGSLFLWILWAIIIAALVNALRKWISRLKILYSQNKSRSS >RHN51446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17908948:17909286:-1 gene:gene35881 transcript:rna35881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSLNSVSTTFIEFTIDELEGLVAASKTPLYKFVSWKNFVENLPEVVDFTKDDVCSICMEDFEESHNSEVGGNSLFRVSNRRVPCGHVYHSNCIAEWLDRCNSCPLCRHHISR >RHN39429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7051936:7058168:-1 gene:gene45451 transcript:rna45451 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEEPKKDNFCFLIYHQPPLFFFASTRQFNEIIGSVFVL >RHN52670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37573248:37574525:1 gene:gene37365 transcript:rna37365 gene_biotype:protein_coding transcript_biotype:protein_coding MCEDAIEIRPHVKIFRHVKIDVIDVVMYICLPHIFVIMENEMKL >RHN81211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44129082:44129778:-1 gene:gene5223 transcript:rna5223 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTNLTKEIGRELYRYVTLVDPACNQFEYYAYTYLRSSLLVALMEPFLVFGGITCFAAAAAYVSARTSDKDAEILKSVTRVNQLKDLGMYVSFLRDSNFLYYY >RHN77636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8309230:8312785:1 gene:gene1109 transcript:rna1109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MFPSYSFSLLPYLFSSSIFTTFLLRLTIFLVVFFKTNAVLKLPPNTNIPAVFVFGDSIMDTGNNNNMTTPSRCNYPPYGKDFKGGIPTGRFSNGKVPSDFVVEELGIKEYLPAYLDPNLQPSELATGVNFASGGAGYDPLTAKLEVAISMSGQLDLFKDYIVRLKGLFGEDRANFILANSLFLVVLGSNDISNTYYLSHLRQAQYDFPTYSDLLVNSALNFYQEMYQLGARRIGVFNAPPMGCVPFQRTMAGGIIRTCVQEYNDAAVFFNNKLSIGIDTFKQNFPSSRIVYMDVYSPLLDIIVNNQKYGYEVGDRGCCGTGTLEVTYLCNHLQPTCPNDLDYVFWDSFHPTESVYRKLVAPILQKYMHQFQ >RHN47301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38618006:38618838:-1 gene:gene41880 transcript:rna41880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MMSCKQLGGYATLLQCWIYEYFPTLGNRVENRVACDEPGMGVARAMRWKYPRGNLKVDQIRRMIDDLTPNDVIWCPFDSHRQVIPFDDICLSSGYIRWCSNVVPYLPERCLRQFGYIQYIPRPPPNFNTFNVDVEWNDCHSSANQIIGDAHLTSYPFEVTDTYMEWYYKVSHPHLIRPTEVQHVLVDVPIHRVLSDERPSNSTLAVIVRELEGCARDWGAVPEDPVFKRFFRALDYARDGL >RHN75040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38900684:38903149:-1 gene:gene11188 transcript:rna11188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MLTNQPFRFSYNSNQPNNFLNKSSLFLLLFFFIIKKMRRFFIFNPWIIVAFIVFLPVLVSLRNPRGEPEPENTITQTTSSSSFSHTSFRSVILAANTFPFDFNQRIRDGSNLQYNFYRDSCPQAEDIVRSAVTDIYFDHRDLAPSLLRLFFHDCFIQGCDASLLLEDNGDRNGSYEKQAIPNQTLKGFDKVDLIKEEVEQACPGVVSCADILALAVRDSVLLGGGPFYPVLTGRRDSLQSFFQEATDQIPRPDDSIMRTLQFFNLRGFNARETVSLLGGHNIGKIGCDFIQQRLYDFQGTGQPDPSIPLDFLSQMRLNCPDNSKNNFSSNGTFSTFTASKPMNVQLSNDKGMSYMQALSSAVPSGASFDTHYYQSLLRGRGLLFADQQLMAQEKTARLVFAYASDDGSTFRMDFARVMLKLSNLDVLTGNQGQVRLNCSRLVSS >RHN72318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6727798:6728559:-1 gene:gene8016 transcript:rna8016 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEICCSKDGWLLLVGVNKSFQFFFNPFTKEDLPLPFEHKRITNIRCFGMSHSPTSFDCVTVQLDNGSSTITTVAYVHFLSEGVGDRISFKDLTFPHYSTSPAFHNGLFYFLILTGKLAVINPTRGEISWKVLEEPQAPCSSCFNNFLVECDGNLLAVFEISLGKEGVRVFKLDESTMTWMKIESLKNHMLFVGKTSFSAVANIPGLKSDESIKVINAHFTSSCLIIIALNLLSFLTTNNRRLFLFAISCFK >RHN61925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39403729:39405602:1 gene:gene24439 transcript:rna24439 gene_biotype:protein_coding transcript_biotype:protein_coding MRATTTRKLCTLSYHFRSHLHNNPTKNFSSLSYQQIQQHHLDSIFNPSPQEDLSDILLGKTHPVKKLGYDEVDLEEKERRIPEVLDSHNFASLGNGKALERKQMFEDTRRVRADRIFKMVARNLGTTATDYVLDCLSPKQGLKEYNESIQSCIKKFRETDDENVSAEEMSMTYHLLKSMSENGFQLEEQTCRPILEYIIDMGLVQEFQLFYDFIKACNPSSISRLCYYEMLLWIRVNNEEKIRDICQYITVEHSKDTYALQESYLLALCQSGRILDVLKNIDMEKLTSAKPISNIFQLLGRLLLESDAENLLLDLTVSGMFVILFSYLSYSVDCYRGGKLLCF >RHN45661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24213276:24226036:1 gene:gene40042 transcript:rna40042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphodiesterase I MSFSHSQIGYLIPLNPNSEEKEKASTPKLTISDGTNIIGRNNVPVNDKRLSRKHLTITASADGTANLHVEGTNPVVVNSGNKRRKLNSKQTAAIFDGDVIELIPGHYLFKYQVSQRSPKVADNKHHERGKNSATQRHDKIAVTQKHGSSRSCEEPIRDFRVADDQIPCTFRLLRVQGLPPWANTSCVSISDVIQGDILVAVLSNYMVDVDWLVPACPALSKVPHVLVLHGESDERVACIKRSKPKNWILHKPPLPISFGTHHSKAMFLVYPRGVRVIIHTANLIYVDWNNKSQGLWMQDFPWKDQNSPSKGSRFENDLVEYLSALKWPEFSVNLPSLGNFSICPSFFKKFDYSDAMVRLIASVPGYHSGNGLKKWGHMKLRSVLQECTFDKEFKKSPLVYQFSSLGSLDEKWMVELASSMSAGLSEDKVPLGMGEPQIIWPTVEEVRCSIEGYAAGSAIPSPMKNVEKAFLKKYWAKWKANHTGRTRAMPHIKTFARYNNQNLAWFCLTSSNLSKAAWGALQKNNSQLMIRSYELGVLFLPSLLKPGCGFSCTSNVKQSKDKSPAQETSKMKKTKLVTLTAPTRDTTHSSSEVIIQLPVPYELPPLPYSSEDVPWSWDRRYFKKDDYGQVWPRM >RHN77022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3298210:3298492:-1 gene:gene429 transcript:rna429 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKCNPSVFESVGFCGGGCSWGCLRGWVGSCLLGLLLWGSFGCAFGSVCGA >RHN79744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31804864:31805406:-1 gene:gene3576 transcript:rna3576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L18 MATRRFVLKVYVSAKHMTANVVDWQHRRVVASASTVEKSISDAFELGRSCNVKAAASIGEVLAMRLKTEEAGIGMGGGVHMDVEKEIEKKSVDTGPEVWAVVDALRKRGVKVFVENEYRVTKS >RHN56185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28580499:28584527:-1 gene:gene31546 transcript:rna31546 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPEIDDFIKQSIDHSLGLPISSQTLDIKLRASKESEQNLRDQNTSLLQKLKERDELIEQSKYEACVNALAIKKFVEENQKLAAECENLLGHCRKLEKECALYDNDREALIDFQNEAEERAREACLRAEELERDLVLYQLELKKCRHENESVDSSASTHGDESLLDSLLATVTTKDESSTYEFLVANSENEHCKKLLSMWSGLKQSTRRVLSLVAELMSLEKDKEHLRVNLDRAEEEGKLLSVENGILEKENRRLVMKYKERSHTESGGKLTNSSSAKSNKRKSSSKTSSSMAKKVDFDDLDSVSPRQALSPLQSNSVHVVTTIV >RHN63227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49514267:49517690:-1 gene:gene25903 transcript:rna25903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol 2-dehydrogenase MATATVVVKYGIIGVGMMGREHLINLHHLCNENVAVVAIADPHLPSQQLAINLAHSFSWPLKVFSGHKELLDSGLCDVLVVSTPNMTHYSILMDIINHSKPHHVLVEKPLCTTVSHCKEVVRAARKRPDILVQVGLEYRYMPPVAKLIEIVNGGSLGHVRMVSIREHRFPFLVKVNNWNRFNVNSGGTLVEKCCHFFDLMRLFVGANPVRVMASGAIDVNHKDEIYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSKNEQEISVVGDIGKGEAFVPESVVRLGTREAGRDGVQSVKAEDPRIKYDGLHHGSSYLEHLNFLGAIRGKGEKVPAVDLQDGLISVAIGVAAQLSIENGRFVTIQEVMDGLQV >RHN55603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19938371:19942502:-1 gene:gene30805 transcript:rna30805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentacotripeptide-repeat region of PROPR MAKKLMKLNVVPNRTRSFSSETPSLYSFLQPSLFKFNLHNDNDNDNLPTSPPQSLSLTHQQLTTLQTTLHKSLITSQTDEAWKSFKTLTTHHSFPPKPLTNSLLTHLSSLPDNILNLKRAFASTLFLIQKHPNLLEFNTIHAILLSIKSANTAPPAFSLVRSMFKYRFFIPFHLWGGVLVDIARNNDNLAAFLPLFEENCRVAFDEKLEFMIPDVASCNAALEACCFCLQSVTDANRVVEIMSKLGVKPDVFSFGFLAYLYALKGLQDKIDELKVLMVGFGYSKNNKCFYSNLISGYVKSANLVSVESTILSSLNDRDDEGEVWSFDRDTFCLVVKEYLHKGNIKGLANLIIEAQKLESSNIKVDESIGFGIVNACVSIGLSDKAHSILDEMNALGGSVGLGVYVPILKAYCKENRTAEASLLVTEISSSGLKLDVETYDALIETSMSGQDFQSVFSLFRDMRGARVLDLKGSYLTIMTGLMENHRPELMAAFLDEVVEDPRIEVGTHDWNSIIHAFCKAGRLEDARRTFRRMTFLQFEPNDQTYLSLINGYVSAEKYFDVMMLWNEVKRKLAGDGPKGIKFDQNLVDAFLYAMVKGGFFDAVMQVVEKSKEMKIFVDKWRYKQAFMETHKKLKVARLRKKNVRKMEALIAFKNWAGLNA >RHN42447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38441408:38445663:1 gene:gene48889 transcript:rna48889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small ribonucleoprotein associated, SmB/SmN MSMSKSSKMLQYINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPVKGKKTTDGDREERRTLGLLLLRGEEVVSMTVEGPPPPEESRSKAVNAAAMAGPGIGRAAGRGIPPPATVIQAQPGLAGPVRGVGGPAPGMMQPQISRPPVSYPGGPPVMRPPPGQMPYPGMPGGPPRPGGPPPQFAPPRPGGPPPPQFSMPPPQFGQRPMGPPPPGQMMRGPPPHPGMQGPPRPGMPPPPGSGVPVFGPPRPGMPPPPNQQQQQQ >RHN63535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52009310:52011558:-1 gene:gene26242 transcript:rna26242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hypoxia induced protein MGSPEPGFEDFLEEKKRVRNPFVPIGALITAGVLTAGLISFRQGNSQLGQKLMRARVVVQGATVALMVGTAYYYGDNPWQKK >RHN82507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54343913:54344943:-1 gene:gene6678 transcript:rna6678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MCVNISFKTLISDPYFVQMHLKKSKRNSHITAISQPYSNRVVTFTNISRLLEDNSSTTIHYDPFCRLVENDGRWWVAGSCNGLLCLIDWKASQLCLWNPATRTKSEFFYVPMSCHHLKQFSFGFDESNESYKVVKYHIEVEHGNARSVAKVFSLGDKCWRNIRCFPVLYNITIEQPMILSLDLSTETYTQLLLPRGFHKLPRYQPTLKVLRDNLCIFHDFEGTHFVMWQMKDFGVQESWIQLFKISYNNLSIRKGFNWLGLLPLYLSKNGDTLVLAKGNKACFYNCIDNKVVEIGITNEIYWSNAKNYVESLVPTH >RHN80711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40331029:40338708:1 gene:gene4668 transcript:rna4668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CRK7-CDK9 family MGCVISREVESGIVSEVKEEKNSRAESSKRVEEVSTSRAEGSVVEVQNGEKAKKVKEKEEKSDGDGVQRPKGERRRSKPNPRLSNPPKNLQGEQVAAGWPSWLTAVCGEALNGWIPRKADTFEKIDKIGQGTYSNVYKAKDLITGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSWSLYLVFEYMVHDLAGLAASPDIKFTEPQVKCYMHQLLSGLEHCHNRHVLHRDIKGSNLLIDNEGILKIADFGLASFFDPTRRHPMTNRVVTLWYRPPELLLGATDYGVGVDLWSAGCILGELLYGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRCIRDVFKDFPPSALPLVDTLLAIDPIERRSASDALRSEFFNTEPYACDPSSLPKYPPTKEMDVKRRDDEARRSRAAAKARADGGKKHRTRDRAVKAAPAPEANAELQYNIDRRRLITHANAKSKSEKFPPPHEDGQLGFPLGASNHIDPDIVPHDVSLDSMSYTFSKEPFQAYSGPIGNTTSNGFTKRKKNTANDALDLSKPYKGTHKDKGKGKKIIA >RHN71655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1708671:1708982:1 gene:gene7279 transcript:rna7279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative demeter, domain-containing protein MKQIPCRTAMKACFPLNGTYFQVNEVFADYASMIQPINVPRQWIWSLEKRITYFGTGTSTITRGK >RHN77918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10500767:10501072:-1 gene:gene1423 transcript:rna1423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MRTYMSIFFLCFASQILVYYFLSSSATTVALALSSRTDKLALKETLTNGVPDSLPSWNESLYFCEWQGITCGSRHMRVISLHFENQTLGGTRTIIEKSNIS >RHN82803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56502727:56506289:-1 gene:gene6991 transcript:rna6991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-structural maintenance of chromosome element 4, Nse4/EID family MARRGEEEQQVFRRVKRERLNAAAATEEKDEEEEEEENQDSSIRRIIRSEFFKLKSLINEEKDDLMNGDSEKFDSILHEFDKLHEQVKKPREQVADAEALLDLTRTLVGSVKSLVNEGVTPSQFVSSLLKHYAHPPNNAASIDWHKLGISVSPIFLTVHGSSTMLGPMENQLKQRKTIVSRKRNPRSTTTARPQQLQDTEGEEKTDTDKNMSTMFNILRENKKVQLEHLILNRFSFAQTVENLFALSFLVKDGRAEISMDDKRSHYVSPKNAPAANSIMSKEVSYTHFVFRYDYKDWKIMKDIVPDGKELMPHRIQYSTAADPSQEEMGGDSSTQALAVTPIRKISRNRGRVLQEESVVEESPECDDENASRAAAIRRCKRKLH >RHN68430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35488126:35489858:1 gene:gene16783 transcript:rna16783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative omega-hydroxypalmitate O-feruloyl transferase MGSSVRVKEAVVVTPSEPTPNCVLSLSALDSQLFLRFTVEYLLVYRPGPGLDKVATTSRLKAALARALVPYYPLAGRVRPSSDNLGLEVVCKAQGAVFIEAVSDRYTVNDFEKAPKTVAQWRRLLSFSVPDVLNGSPPLILQLTWLSDGGAALGVGISHCLTDGIGGSEFLNYVAEMASGKHSGPKPKLVWDRHVFNVKPMTRDDYLNQSHPEFNRVPDLSGGFMNRVTNHLRPTCIVFDKRKLTYLKTMAYRTCQISDSSSFTSFEVLAAHVWRSWARAIGFPPNQTLKLLLSVNIRNRVKPGLPDGYYGNAFVLACAQTSAKELTEKGIGYGSGLVKKAKERVDSEYVRKVTEIVSESRACPDSVGVLILTQWSRMGLERVEFGMENPVFMGPICSDRYCVFLPVKGERESVKVMVAVPAAAFDNYHRFLRE >RHN55350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17560911:17563009:1 gene:gene30520 transcript:rna30520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MASKTPIRSTTTPLSNSIFKSKHIFLHEWWLVKPPNQCKGLALAGIASMERERMFFSSVIVERHEPNVVETQDGITVMFHGLINASRTSQNGFSSEVCRRFSVGFPHNWKNYSAHSSENECENVDKVTGFDDSNTSSHKKTADETSQEAEGNNNIANLRLSQPHVGVIYNGENGFSNASPHKKAADGTLQEDIEPEGNDNIAGLKLSQQEDMEPEGNNNIASLDLSQPQVDMISNGENGVSSVAAAENSQYFMSVFEFDPTHEPDSLRSPLYAKKLDFDILSSDRMDKKRTKKKIVKETGKLCSSRVLTRSIAKKSHMMLKKDGKTAVKCFTSPVRRSTRLLNYQK >RHN53042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41459972:41463159:1 gene:gene37789 transcript:rna37789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate carboxypeptidase MIKTSIIITLLAIATSYLLLTPTKKSSYYHSLFISNSLSDNVSVSNNLKVLTHRPHIAGSEANNEAAAYVVSILTSSNIPTHVTSYDVALAYPFSRSLVLTNSSTESSFSFNLRQESYEGDPYADVIDEVVPTFHAYAKSGTAIGYVVYANYGRVEDYLKLKEMGINVSNTVVLAKYGKVYRGDIVKNAYNEGAIGVVIYSDKKDNGGSDEAKWFPNEKWLPPSGVQIGGVYSRVGDPTTPGWASCGECERLSMDEVEKGGDVPLIPSLPVSGEDGEKIIRSIGGPVAEDDWQGSKDAPTYRVGPGPGILNLSYTGQQVIATIQNVIGVIEGAEEPDRFVILGNHRDAWTFGAVDPNSGTAALLELKD >RHN39522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7861787:7863381:-1 gene:gene45551 transcript:rna45551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dormancy/auxin associated protein MGFLEKLWDETLAGPTPETGLGKLRKYNSFSAASSGVRLPPVKDHDVPVVTRSIMIIRNTVSEPVSPSSSAATTPRTPNTPDTPGGYFKKFTRRKASGDPSESSDYRR >RHN44375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3995042:3997947:1 gene:gene38491 transcript:rna38491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MSSSSSLPFLFPQTHSTVLPNPSNFFSQNLLSTPLPTNSFFQNFVLHNGDTPEYIHPYLIKSSNFSLSISYPLLLFSATMLYQVFSPDLTISSSQKSHTNTTKNHVISSYSDLGVTLDIPSSNLRFFLVRGSPFITASVTKPTSLSITTLHNIVSLSSFDDKNTKHTLQLNNTQKWIIYTSSPIKFNHDGSEIVSNPFSGIIRIIVIPNTKFEKILDKFSSCYPVSGDANIKNKFHLEYKWQKKCSGDLLMLAHPLHVKLLSQSNNVNVTVLHDLKYTSVDGDLVGVIGDSWILETDPVNVTWYSSKGVTKESHDEIVSALVKDVKELNSSAITTNGSYFYGKIVSRAARFALIAEEVSYPKVIPIIKNFLKETIEPWLNGTFKGNGFLYEKKWGGLVTKQGVNNSVVDFGFGIYNDHHYHLGYFLYGIAVLAKIDPFWGQKYKPQAYSLLQDFMNLGQRDNKNYPTLRCFDFFKLHSWAAGVTEYENGRNQESSSEAVNAYYSAALIGLAYGDKDLVAIGSTLLALEINATQTWWHVKVENNLYGEEFAKENRIVGILWANKRDSKLWWAPSECRGCRVSIQVMPLLPITESLFNDGVYAKELVEWTLPSLKNDTNDDRWKGFIYSLQGIYDKENALKKIRMLEGFANGNSFSNLLWWIHSR >RHN62564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44368701:44370329:-1 gene:gene25156 transcript:rna25156 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPYSNIFWKPREKNSNYGAIQFKRPINNFAILTAKLSQLQRASTSSLFNIQKNPIIDTFTTKI >RHN73743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19533290:19533654:1 gene:gene9603 transcript:rna9603 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSRICHKPTHNANRISQVRPTTNHSIHDASNPTCIGSTFHQLLLILGLRTLLYGQLCVVTQGSAYGFRV >RHN75065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39144798:39148828:1 gene:gene11215 transcript:rna11215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAPDCYGDDGSYVVDSSQSLVEETTSALPLPTLPFDLVAEILCRLPVKLLIQLRCLCKSINSLISDPKFAKKHLRMSNTRHHLMLSSNNDLDELVLFDSPMPSDFPTFTVNQTQLSYPDCLKIEYDSPLHARSCDGILCFTMYNGPGVGPPVLWNPSIRTFKILPPLDNKPFSAYSFGYDHCIDKYKIVAISLVEDREEVSVHTLGTDTWRRIPDFPYSGPFCGYGIFVGGTVNWLSLDEVSSLCVIVSLDLEKESYQTLSLPDIENDPLTNLGDLGVLRDCLCIFASSDMFFDVWIMKEYGNKKSWTRLYRVPYMEDRGLCRYTKALYISEDEQLLMDFKELESQKSACLPLPDLSD >RHN47463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39950131:39950790:-1 gene:gene42071 transcript:rna42071 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRFILIFFSAVLAAYFAWTTVSSTTPEIDFAAHNHDDKSSSNKGHFSFIQMIKNGFWVLVDMASGRYLWKNLRSTNNHVQRQNS >RHN78399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14764607:14768518:1 gene:gene1950 transcript:rna1950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative N-acyl-aliphatic-L-amino acid amidohydrolase MVFNHQNILLISFLLTITTTLTYVKASEESNIISRFQQYLQTRTDHPTPNYTQSTNFLTTQANSLSLQSQTIEFVSGKPLILLKWTGTNSNLPSIMLYSHTDVVPAEHDKWDHHPFEAHVDDEGRIYARGSQDMKCVGMQYLEAVRNLKGWDFQPKRTVYLVFAPDEEVGGHDGAEKFSLSKVFQELNVGVVLDEGLASPDEHYRAFYGERSPWWLVIKAVGAPGHGSKLYDNSAMENLWKSIENIQRYRASQFDLIKAGLKADGDVVSINMAFLKAGTPSPTGFVMNLQPSEAEAGFDIRVPPTADTKSLERRIAEEWAPTSRNMSFTFKEKISVHDASGKPVFTKTDSSNPWWALLKNAVEEAGGKIRKPEVFPASTDGRFFRNLGLPTIGFSPMANTPILLHDHNEYLHKSEYLKGIKIYESIIKAYASFDEHGRDARSKDEL >RHN75571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43394119:43394350:-1 gene:gene11798 transcript:rna11798 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCKISCRVSLLAHLQVLKFRFFHNHHLHKWQLLASIYKNQQCLQLHTSENSRCAWFGQNTEIAEKIG >RHN54200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7757341:7760963:1 gene:gene29200 transcript:rna29200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MVNTVNVIWTLVSWVVKMAGLKLHTVEIEPGTVMRFWVPSNTISKSKLKPKPISKPTKPVVVLLHGFCGDGLATWQYQINPLAKKYAVYVPDLIFFGGSTTDKSDRSLAFQAECLAVGLKKLGVEKCVVVGFSYGGMVAFKMAEMYSELVEAVVVSGAVLAVKESMISKAVEDAGFSSCSEMLMPSSVEGVKTLLSVGFYKNIPFPNRLIKDFLKVMFSNRKERSELLDALVISYKDINIPKFSQRIHLLWAEKDKLFTPEVAQNMKEKLGNKSTLQEIKKAGHLAHIERPCVYNRCLKQFLASVMLDEKKRSNSYYA >RHN74181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29967789:29971253:-1 gene:gene10191 transcript:rna10191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rossmann-like alpha/beta/alpha sandwich protein MDVKKIVVVVEDVDAARTALKWALINIIRYGDIITLLHVYNSSNTRSRRRSRSRSKARLLRLDGFRLALSFQDICNDYPNTKVEIIVTEGENEGTKIAATVREIGASMLVVGLHDHSFLYKLAMAHSQNSISSNFNCRVLAIKQPTHHGDQLSPMIDSSTNLDFSHIDISTLQVPHSPSQKIPYRICPNPSAIIWRSRRTRRS >RHN65569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3296652:3299026:1 gene:gene13422 transcript:rna13422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MNTLTHEYELFRMKSGESIQDMQKRFIHIINHLRALGKTFNNEDLINKVLRCLSRSWLPKVTAICESKDLSFMSLATLFGKLQEHELELNRLVESEEGEKKNKALVLKANIKESKSEYSNLDENEEGMRKMFRKFKKFMRHKCKEKEISNSHSKRKAYIAWNDKNSNSSNESENEVAYSCFMANYEEDEVNSIESEYDLTYDELFTICKELNDESTKLRKIVSSSKKTISTLESKIDILNKEIEILKEKTVFVSEVSSCTSCENKKANIFKCDDCNILKIKIEDLQNTLAKFTKGRENLNIILGNQKGTYNKAGLGYRRKNHEKLFQKFFRPNKTSSSPFVKCFYCGREGHTSSICNLRKNNDMNDKWKWTPKGTLPNSNSQGPKMIWVPKAKT >RHN60147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21729776:21732211:1 gene:gene22385 transcript:rna22385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cell division cycle protein MQNIKEEEVNRCQIQEWYPIFKSVSIKTLIHQLPESFIQYLLDDSGPFLLPVSVLNEDALPNRIHNPTDEEDFQVSEESEDEAEESSPPPSFPELELQVKESVKLLGGAVFPKLNWSSPKDSAWISTSGSLRCTTFSEIALLFRSSDSLVHDLCHAYDSCNDKSSSRPHNFFLALRKWYPSLKPEMEFRCFVRNQKLVGISQREVTTFYPILLEKKNGLLLQIQGFFNNHVRTKFESENYVFDVYITNDERVKIVDFNTWGGFTLSLLFTWEELEHIHSEGDDSVEFRIVEDYCGVRPGLKTAVPHDYLDTSQGSGWDQFLRNAADEELRQQSTEAGA >RHN47812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42717206:42720077:1 gene:gene42456 transcript:rna42456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytokinin dehydrogenase MVLKLVSFSKHVIFSFTKTIIILILSFILYKADSGCNNSITTSLVQISPYDVIISLQSQSFDGHLSLKDNEDAAKDFGNIHHFPPLAVLHPKTVSDISRTVKHIFEKGSDSELKVAARGHGHSLQGQAQAHQGLVIKMESLQSPEMKIQTGEFPFVDVSGGELWINILHETLKHGLAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQINNIFQLEIVTGKGEVVTCSENRNADLFHGVLGGLGQFGIITRARISLEPAPKMVKWIRVLYSDFSKFTRDQEYLISLKDKIDYIEGFVIINRTGILNGWRLSFDPKDPLQASQFNSDGKTFYCLEMAKYFNPDEADVMNQDVDHLLSQLSYIPPTLFLSEVSYVEFLDRVHVSEKKLRAQGLWEVHHPWLNLLIPRSEIHDFAKEVFGNILKDTSNGPILIYPVNQTRWNSKTSFVTPEEDVFYLVAFLTSAVPFSTGENSLEYILNQNKRILDFCTHAQLNVKQYLAHYDTQEEWQVHFGSQWGAFVERKRTYDPLALLAPGHRIFQKAVSSSI >RHN61920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39363697:39367892:-1 gene:gene24433 transcript:rna24433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiazole biosynthetic enzyme Thi4 family, FAD/NAD(P)-binding domain-containing protein MASASTTITSSFLSTPSSLTEKPSSFNQTLSLGFKPRHSISVSASAAPSPPPSYDLNAFKFAPIKESIVAREMTRRYMTDMVTHADTDVVIVGAGSAGLSCAYELSKNPNVKIAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHHFLDELEIEYDEQDDYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKNERVGGVVTNWALVSMNHDTQSCMDPNVMESKVVVSSCGHDGPFGATGVKRLKSIGLIDTVPGMKALDMNTAEDAIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALRALGLPNAVDHAGNIHPELVLAAADSADIAEA >RHN60348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25687880:25688458:-1 gene:gene22652 transcript:rna22652 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRGGYSGSRGSQSYSTSRFRASYTQGCRCSHRHISENDVDSLPVILPACGCTLPMKAYIANTDANQGWMFWRCRNWNSKTMCTCNLYIWDDDIIPGVTTMIEVTPAIDSSMDGRKNQVCSKCENIDEVMKAFESNEIAKWKTKYGDENKKVKWMSLIMIIN >RHN65985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7276935:7281570:-1 gene:gene13894 transcript:rna13894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase III, subunit Rpc31 MAGRGGWGRGRGRGVYVRPVPFVVFPQDIDLPDVKHGKMDTSMRQLLKWDYDFDRYFEVAPYFLEEKAELKGRKRMHVERFSDKKKTIFTRDSLLQVLVFDECVKELVPGKTKQTLSRKKRQWNPEYGEKKLALLEKELLEEHKEGKHKKEEEDEESEDEEENEESSESGNDDYDKNDDVDDDDLNDKDSGDDEAIL >RHN70247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49988170:49988310:1 gene:gene18808 transcript:rna18808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MAGSSRHTSTPIPIDFVADHPFLFLIREDLSGTIHFVGQVHNPLAG >RHN51718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23387408:23390379:1 gene:gene36223 transcript:rna36223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 9S-lipoxygenase MLSSNRNQTIEGLIRDNLKKLVPNKYLKKVTRSIKENHWHVSIPRIIHEKEWAWNDDMEFGRQMLAGMHPVRIQCLMALEQGRIFMLDHLDYLMPYLNRINANGVSAYASRTLLFLRSDGMLKPLAIELSLPGSSLALEIQRVFLPSKQGTQAALWQLAKAHVLANDNFYHILVSHWLYTHAVVEPFILATKRRLSEMHPIHRILNPHFKDTMHINALTRLILINSGGILERMLFPGETCMQITCDLYKEWKFTEQGLPADLIKRSMALEDQDENNSTGIQLLMLDYPYAIDGLEIWVAIKSWVRDFISFFYKDNEAIIADTELQVWWSDIRTIGHGDNHNETWWYQMTTLSDLVETLTTLIWISAARHAVIKHQKHAQNGNYPPNYPTLCRKFIPLEGTVEFGEFLKDPDKFFMKMFPDRFEMSLGLTLVDFLSKNSYDEVYLLRCQPSHGWIDNEIVLNRFAEFKEELKEIQIRVFAKKQRSKA >RHN48466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47664317:47666873:-1 gene:gene43185 transcript:rna43185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MASNSGQGGIQQLLAAEQEAQHIVNAAKNEKYARLKQAKEEAEKEITKHRAHLENQFQNKVTASSGDSGANVKRLEQETDTKIHQLKTEASRISGDVVSTLLKYVTTVKN >RHN52388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34721356:34724012:1 gene:gene37058 transcript:rna37058 gene_biotype:protein_coding transcript_biotype:protein_coding MRAILLLFEALSGLKVNFSKSLLVGVNVSSSWLSEATMVLNCKVGYIPFVYLGLPIGGNARRLSFWEPIIKRIISRLTGWNSKHLSIGGRLVLLKSVLSYLPVYALSFFKAPSGIVSSIEFSF >RHN49715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:542912:551244:1 gene:gene33922 transcript:rna33922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MELKASSPKPESVVPSDCASDPEETEVSDDDDDDRNHKHRKKEDRSQSLERDVSDPVINRPFKKCHKNFGNRHPFRENESMAFETLRTYNDATTDKDFYSKFDRRRPGMTSGPRMPFDMNQRIRPNQLFAGDPGAGRGRGRESGFWNQRESRFSSIDVASQMVQQGPIHPALYTGRGLPNISNAQNASWNTFGLLPAVPNGGLDMLHPMGLQGTLRPPINSSLNVNIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSLTSAHLTGAPTGSGSLHSVNNSTASMNSKCKPGIISKSIVSDVGSSMDGAYPGPGCTSGADLYDPDQPLWNDRGLEIDDAEPMSSDAPDSVCPVEATRTSVSLQGASSSVWGRIGGSKNRFDTKEKSNPTMSSFHFPDNQPKEDNDELVGCHSASSQGKQIIADDAIPRAFEASLKAQIDMRNIRKPSQKALRTLFVNGIPHKSNRRDALLAHFKKFGEVIDIYIPLNSERAFVQFSKREEAEAALRAPDAVMGNRFIKLWWANRDCIPSENTSSSSGNGAIVTPRGQPTFVPSHPVATDRRKDIHQPDASRTTFEESSPSDPSKLVIADAPKVPPPLQRKLENLEHLKEQLRKKQEMLDQKRNEFKRQLNKLEKQATGPKGEAVTEQPAKRPKTSMASDVAKLASPQSSDADIGMSSSQAETAVDKNKQLANSVSQSPKPSTPRKPHEPAGLKQSIQSLVPVNRYKLDNRPTAFRIIPPLPVGLANVAALEEHFLPYGELSAVELEDVQVNDSSEQEARLNFTTRGAAEQAFTKGKCWKDHNLKFMWLTPTNSGNATVSRERSLSAPPSEPLDTTNSNSEEKSRNSANHEAIVSDGEHKDSETKNDLENMKTEQDEDLQCTTSQVSSAKQSPENNVC >RHN80050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34616734:34625321:-1 gene:gene3926 transcript:rna3926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase Clp MRGNNAVLNFSVQRVKESLQEIQYDCRKGSSPALALKERHYEQRKMLSKATKNNKAGKQDESEGSSVLVLEDLGVEYLEQLLTISDNQSSSSNSKFKSSGQEVNTEISNQLVGLMVYLSLEDKTRDLYLFINSPGGEVISGMAIFDIMQVVEAEVHTVCVGLAASMGSLLLVGGEFTKRLSGLQGGTI >RHN54662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11115763:11120623:1 gene:gene29734 transcript:rna29734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase Clp MDFLTTSPSLSRRIPTLFSKPTISSFNSKPHFPLQFSQFRTTPINCVLTTPSPSKNPNFNPQLQKPSITFQLSSPQTPERAIRGAESDTMGLLLRERIVFLGSEIDDFVADAIMSQLLLLDAQDPTKDIKLFINSPGGSLSATMAIYDAVQLVRADVSTIAMGISASTASIILGGGTKGKRLAMPNTRIMIAQPPGGASGQAIDVEIQAKEIMHNKNNVSRIISSFTGRSLEQVLKDIDRDRYLSPIEAVEYGIIDGVIDREMIIPLLPVPEKVEEATLKIDDADFLNPHIPDDEIY >RHN40800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21356352:21370110:-1 gene:gene47014 transcript:rna47014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MRQCIFGSSLGIILCVFICLHNFAQAQTANATTDPSEARVVHSIFSKWGKSADQTQWNISGEICSGRAIDSSTTIDDTTYNPFIKCDCSFNNKTTCRITALKVYALDVISEIPPELWTLTYLTNLNLGQNYLTGSLPPAIGNLTRMQYMSIGINALSGELPKELGDLTQLIVLGFGSNNFSGSLPSELGKLVKLEQLYMDSSGISGPIPPTFASLTNMVTVWASDTELTGRIPDFIGNWSKLQSLRFQGNSFEGPIPSSLSNLTSLTELRISGLSNRSSSLEFVRNMKSMTILELRNNNISGSFPSTIGELQNLTLLDLSFNNISGQIPGSIFNLSSLSSLFLGNNTLSGSLPQQKRSSLNNIDLSYNDLSGSFPSWINEQNLQLNLVANNLTIENSNSSGLPTGLNCLQKNFPCNRGAGRYSDFAIKCGGPQIRTTDGIVYETDNETLGPATYFVTDTNRWAVSNVGTFTGISNPLFKSFVSNQFTGTVNSELFQFARLSASSLRYYGLGLENGFYNITLQFAETAILDSTTWKSLGRRVFDIYIQGTRVLKDFDIQREAGGVSYRAVQKQFRFEVKENYVEVHLFWAGKGTCCIPGQGTYGPLIQAISATPDFIPSVSNKPPSSKKNRAGLIIGIVVGVGAVCFLAVFAIFYINRRRKLYDDDEDLLGIDTMPNTFSYSELKNATSDFNRDNKLGEGGFGPVYKGILNDGRDVAVKQLSIGSHQGKSQFVAEIATISAVQHRNLVKLYGCCIEGSKRLLVYEYLENKSLDQALFGNVLFLNWSTRYDICMGVARGLTYLHEESRLRIVHRDVKASNILLDSELVPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFSFGVVALELVSGRPNSDSTLEGEKMYLLEWAWQLHERNTINELIDPRLSEFNKEEVQRLVGIALLCTQTSPTLRPSMSRVVAMLSGDIEVGTVTSRPGYLTDWKFDDVSSIMTDVSAKGLDTSNYNSTASTSIVGGADIHSPNDPSKAILHDTLREGR >RHN58356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1066406:1069419:1 gene:gene20261 transcript:rna20261 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRRFFSFIAGNVVGIYVAQNYQVPNIKKEADNFLDWAKEIEKKYHKPNKKDDD >RHN53745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3777113:3781402:-1 gene:gene28688 transcript:rna28688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate adenylyltransferase (ADP) MAGMIAATGSCVFCNIATKSNSNTILHSDDKVVAFRDINPSAFRHYLVVPVEHIPTVKDLQRRTEDYSLVSHMLDVGKMLLVRDAPHSKQYRFGFHQPPLNSVNHLHLHCMALPYTPRWRCIKYLSFGPLGFIEAEKFLEKIKPLPKVHSKV >RHN58867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5564331:5565229:1 gene:gene20822 transcript:rna20822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin MKILYLLVSILALASSIAFAYDPSPLQDFCVAIKDPKDGVFVNGKFCKDPALVKAKDFFKHVEAGNASNALGSQVTPVTVDQLFGLNTLGISLARVDFAPKGLNPPHIHPRGTEILIVLEGTLYVGFVTSNQDNNRLFTKVLNKGDVFVFPIGLIHFQLNVGYGNAVAIAGLSSQNPGVITVANALFKSNPLISDEVLTKAFQVDKSIIDYLQKQSWYDNN >RHN38612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:702801:710948:-1 gene:gene44569 transcript:rna44569 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEPKSNTNTPVINPSPSIRMISFEQSSNNDTLMASPPPRNMPVGPKSNNDKPTTNPPPPTRSKVLISKSNNDTPLINPPPPTQNKAFKPNSNKATTPHVNPSPMSSLSPPMPTTSQFYFNTQISPLNLNFAEQKNFGWTNNYPSFHHNYLGMNPMPQCGSSSNRPEYVTMPLLHLGSYQSLPETDQTHNHSIFQFGSGKKQTENTSSSLLQSGSGSNLDLSKTNSILQPGSSSIPVDKNVSLELSLGLGKSHSSSSNRPFDSNRAQKIDFIDFQFKSLALNPVRPVTNADKILNQLVGGLALNPVKPVIESEKTANQLDQIRKGKSIFTFQSPAKAKGSGENNDAGKRDGGEIYGGDSAERDDGEVDLGGVPVVGEVDVGGGGERDDDEVDGGQGGEPDGGKINGGGEREDGEVDGDDDKDEEQEKEVEVETERSDEESNNESKKLWNLRPRKPTKKEEKKSGGGGSSRKPTTRSQRKAEMPQRMELKLTLTNEEIEHDFLLMTGQKPPKKPIKRSTKVQKSVEVLFPGSNLTTVSPKRYAVKDDPPLKN >RHN49150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52812940:52817643:-1 gene:gene43948 transcript:rna43948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative meiosis arrest female protein MGGGGATTNAEAQYTTAKISVWWDIENCHVPKGSDPHAIAQNISSALVRMNYCGPVSISAYGDTTRIPASVQHALSSTGISLNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPQKASAPLVAAAKSVWLWTTLLAGGPPLTSGDTQQLSNSSFLPSSETLQVPVSNAAQTQQQGGPYSEIHAGNSNVQNGGRGFDSRYQGRPTWRNPSQPNGPKAMNPPPVGLQDNRNNVNNYRPGNYNPNVSQSGSTANFERANSDPLWSNNGNQQGNHQNQQGNHQNQQGNHQNPYSQPLRPNGFPLQPPFAPSNSYSPNSHTFATTPVPPRTVGNNFSTGSPRTVPDIGNLNISGYPNNGHNPRTVPQRSGDLKPNPKSSAPLPVRSTNEQNGHMVHSSTTQGYPHGPEYQPTHSTAMGNNKLPSNGKWGSSGCPKPSEYVQGLIGVVLLALNSLKNAKMMPTEKNITDSIRYGDPKHRNTDVKKALESAMEQQMVVKQNLGALTLYVGKNDKLWKCVNPLGGNPKQHSKEIWDEIQNFLTTPAGRSAIMDTQCKYEAGIVIKSMCFKDLALGDVLQILNMVITHKKWIVHQQSGWQPLILTLPESNPDSGVTSDA >RHN41676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32003153:32007212:-1 gene:gene48022 transcript:rna48022 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVSLVWLLCKSGKKVSFWWDPCSETFSPLQRRKLGIEYLFGGTHVLELSPHYSKES >RHN65373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1575666:1577108:-1 gene:gene13203 transcript:rna13203 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIVPPSGEKFSLDVNPNATTVHQLKVAIQQFNGMPVSNQRLFFSGSLGQNDSDLISNLGIGPFSTLTLHTPFYGGAADDDTIGANSSTTKEELVKETEVESDSESDKEAEAEAKAKEEAYEYFFKYADLESKMESNSDDDRIFESRRKRLAAENEKQRTRVIIDRDLKKIFTELDHELDQEYKEGLEEDKKVMEELQKAEEAWKRTKAMEREKAKRKANKKGKKELDMKIKEAEAKEG >RHN54463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9814023:9817095:-1 gene:gene29513 transcript:rna29513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MAPGNCCSSEVAATLSVCSIMEDQNDDTLGSLNQNVVGKPPRDHSATMRHCSSSSWLVDSESNLNTIVGLKTNTEDKSEFPLILRSGSSSEKGPKQYMEDEFICIDILRESIAQQVDLPYPSAFYGVFDGHGGVDAASFIKKNMLKFITEDSQFPSSIKKAVKSAFVKADHAFRDASSLDSSSGTTALIALVLGRSMLIANAGDSRAVLGKRGRAVELSKDHKPNCTSEKLRIEKLGGVIYDGYLNGQLSVARALGDWHIKGTKGSKSPLSCEPELEEIVLTEEDEFLILGCDGLWDVMSSQCAVTMVRRELVQHNDPNICAKVLVTEALQRNTCDNLTVVVVCFSKDPPPKIEIPRSHRRRSISAEGLDLLKGVLNGR >RHN66147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9046815:9048880:-1 gene:gene14071 transcript:rna14071 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLTDLAKSYVVQLINGVISESSYICCFPCIANDSEEERARLEIESTTVKQRVDGATRRGEDVQEFMNEQQSLEAIDTTIKSSQGNKLEGSTSDKLVGAKNEPPVQQVSPKQKASLGVEISVEEGTTSANANTKTSSPHSKEDGDVTTQDVDVKLWQETSNTNINQAFLNDDVAMKVSSNIQNEFPKDEEILVSKSRLSCIPSQSPSKPSEGDPSQLDEDLISSLVVTRELENLVSKNHLAIDNMSLLTNFLVNHPSILLTDASLSNRYKGYAYNCLAELLKFLQTHIVLDVLGSSQSEFVELLQDVRKCGFDKDWLDRVEKRALFPDLQFSQNALQKILDSQQNKGS >RHN70622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52803560:52808128:-1 gene:gene19226 transcript:rna19226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenine phosphoribosyltransferase MFAEENGLKGDPRLQHISQSIRVIPHFPKNGIMFQDITTLLLDHKAFKDTVDIFVDRYRDMHISVVAGIEARGFVFGSAVALGIGAKFVPLRKPRKLPGEVFFEKYSLEYGTDCLEMHVGAVQPGERAIVIDDLVATGGTLSAGIKLLERAKAEVVECACVIGVPEVKGRCKLLGKPLYVLVEPRQVDECF >RHN68329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34617897:34618948:-1 gene:gene16665 transcript:rna16665 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSITSVHITALDAITNVNSLFTLGVFIGLSFNPNDPSNTLNTNPSCIPTTAIAENLVAFHVYSFSSFLFSSLIALALKQTIRLSRTSSHVAHVNGSVLRVGMLVSGIGSVLGCAFLMLALVNVVQIKLGTVACGSQHALAAIVPLFVFVPISLCVYVTVVVYAFTR >RHN49955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2651910:2661482:-1 gene:gene34193 transcript:rna34193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate isomerase MASSTLISDTQPWKDLKDHVEDIKKTHLRDLLSNEERSKSMTVEFDGILLDYSRQQATLETTEKLFKLAEAASLKQKINQMYNGEHINSTENRAVLHVALRAPRDAVIESDGKNVVPDVWNVLDKIKEFSERVRSGSWVGATGKELKDVVAIGIGGSFLGPLFVHTALQTEPEAIESARGRTLRFLANVDPIDVAKTITGLNPETTLVVVVSKTFTTAETMLNARTLREWIISALGPSAVAKHMVAVSTNLALVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVFPLSLQYGFSVVEKFLKGASSIDQHMHSEPFESNIPVLLGLLSVWNVSFLGYPARAILPYTQALEKFAPHIQQVSMESNGKGVSIDGVPLPFEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEVVSNHDELMSNFFAQPDALAYGKTPEQLQNENVAPHLVSHKTFTGNRPSVSLLLPSLNAYNVGQLLAIYEHRIAVEGFIWGINSFDQWGVELGKSLATQVRKQLNASRTKGEPIQGFNFSTTTMITRYLQASADVPADPPTTLPQI >RHN78899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19730484:19730792:-1 gene:gene2567 transcript:rna2567 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKYQAFCACFGVGCRLLLRCWLQFLAYVGWCSWFAAVGLVVLFFVIGF >RHN69588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44682196:44687830:1 gene:gene18073 transcript:rna18073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MVDSSDRIQNPWMASEQQTPIQIIQNHNNPKSSTIDKLGIAERAFSAAGAAFLSAVIVNPLDVAKTRLQAQAAGVAYSHPLSNLISRMSCFGPNMMFADLRCSPSCTRAGLHGTVSLCPPDCFRYKGTLDVICKIIQQEGFTRLWRGTNAGLALAVPTVGIYLPCYDIFRNWFEEFTAKSAPTATPYVPLVAGSLARSLACATCYPIELARTRMQAFKETQVGKKPPGVFQTLVGVVSNGKGTNIPSNSLQSYRVLWTGMGAQLARDVPFSAICWSTLEPTRRKLLSLVGGDDAGILSVLGANFSAGFVAGTLAAGATCPLDVAKTRRQIEMDHVRALKMTTRQTLMEIWRDGGLKGLFTGFGPRVGRAGPSVGIVVSFYEVVKFVLNHRQTTS >RHN68699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37903953:37904324:1 gene:gene17084 transcript:rna17084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MKNQTTQESMAFLQPPVLKQMLYEKISTQYLTIIFCFTSLVFVLKLIRRNKQNLPPSPPKLPIIGNLHQLGTLPHQSFHALQPLLFHQYKWPKKYSKTMMLFSPTNRRTRLQISFSMDAQTSL >RHN68281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34232690:34236700:1 gene:gene16615 transcript:rna16615 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDRMESCHDHLKITRLPYIPEKIGDLVSFSIRVGIIICLIASISLAIHSTFAKPDRWFPLPEHLHVIQNVSVITIDTIPTNISHIVFGIAGSSKMWHSRSNYSKLWWNPTACRGFVWFDKKPKNLKPDNMLIPYKISQGWTKFRYLHSASAVRISRIVYESFRLGLPNVRWFVMGDDDTVFFPENLVTVLGKYDHNQLYYIGGNSESVEQDVMHSYDMAFGGGGIAISYALAAKLANIMDSCLHRYYYFYGSDQRIWACVNELGVSLTRERGFHQLDIRGNPYGLLAAHPLAPLVSLHHLDQLNPVFPDQSQISSLKKLISAYEIDPARIVQQSVCYDHRRRWSISISWGYTIQIYTSLLITADLQMPFQTFKTWRSSSDGPFTFNTRWMNPDVCQQPSIFFLDQVSEARTGSVTTYKRYADKDGKKCKRADGEVQRIKVSALKLDPEYWKNVPRRQCCNFMDGGSIKDGSVHIRIRKCRPQETITI >RHN76418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50082680:50089522:1 gene:gene12741 transcript:rna12741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MSVVGFDFGNESCIVAVARQRGIDVVLNDESKRETPAIVCFGDKQRFIGTAGAASTMMNPKNSISQIKRLIGKKFADPELQRDLKSLPFNVTEGPDGYPLIHARYLGESREFTATQVFGMMLSNLKEIAQKNLNAAVVDCCIGIPVYFTDLQRRSVLDAATIAGLHPLHLIHETTATALAYGIYKTDLPENEWLNVAFVDVGHASMQVCIAGFKKGQLHVLSHSYDRSLGGRDFDEALFHHFAAKFKEEYKIDVYQNARACLRLRAACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKRDDFEQLSLPILERVKGPLEKALAEAGLTVENIHMVEVVGSGSRVPAINKILTEFFKKEPRRTMNASECVARGAALQCAILSPTFKVREFQVNESFPFSVSLSWKYSGSDAPDSESDNKQSTIVFPKGNPIPSSKVLTFFRTGTFSVDVQCHDLSETPTKISTYTIGPFQTKNGDKGKVKAKVRLNLHGIVSVESATLFEEEEIEVPVTKEFAEENAKMETDEAPADAAAPPPSSNDNDVNMQDAKATADTPGAENGLPDAGDKPVQMDTDTKVEAPKKKVKKTNIPVAEVVYGAMATVDVQKAVEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLNDKYQEFVVASERDGFITKLQEVEDWLYEDGEDETKGVYIAKLEELKKQGDPIEERYKEYSDRGEVIDQLVYCINSYREDAMSNDPKFDHIDITEKQKVLNECVEAENWLREKKQQQDSLPKFANPVLLSADIRKKAEAVDRSCKPIMTKPKPKPAKPATPETPTTPPPQDGEQQQQPEQQPREDANAGSNDNAGDNGNQVPPVSGEPMETDKSENTGSA >RHN62669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45100737:45101602:-1 gene:gene25266 transcript:rna25266 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFKSFITTLLLVVTISNMSLEARHLLQTTTQPNLPTIPTLPKPTTLPPLPSIPNMPQGNLPPLPTIPSMPKLTMPPLPSLPTNIPTIPSLNIPPLPAVTSLPNLPSIPTTFPSIPFFSPPPSTSSP >RHN59243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8727493:8728839:1 gene:gene21246 transcript:rna21246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller MFTQVSVWAYVCLMSNSYKEENQLNFEADHLMDLPSNNHQLDVEEKQCMSKELEKQVVDPKEEEKSKNEEEDMQNDVIFPVHSIDNGNGKRYDIMVSDSNLLSEHLGRDISIHCLLRLSRSDYGSIAAINKSFRSLIRSGELYKLRRKAGIVEHWVYFSSEALKWEAFDPNRNRWIHLPKMTCDACFSLADRESLAVGTELLVFGKELMDPIIHKYSLLTNMWSVGNMMNTPRCLFGSASLGEIAILAGGCDPCGNILSSAELYNADTGNWKTLPNMNKARKMCSSVFMDGKFYVLGGIAADKKTQLTCGEEFDMKTKKWREIPNMFPVRTGVFETPPSFGSPPLIAVVKNVLYAADYGQQKVKKYDKDNNSWVIIGSFPEQATSMNGWGLAFRACGDHLLFLGGPVIHGAIMMEINAWIPNEGEPQWNRLAGNQSGGFVHNCTVMGC >RHN59859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14103149:14108309:1 gene:gene22024 transcript:rna22024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trehalose-phosphatase MRSWDLVGCRVNDVLQGFPRLRLAHGCKVLEVRPVINWDKAEAVTFFLLESLGLNDCNDVLPILSAMIGWIKMHLRL >RHN43489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46251265:46253511:1 gene:gene50074 transcript:rna50074 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAFFTVPTPQHYHHVQLYKPKKSWSTTKILVPPTLCSPTSLISSAPDDELAVSDQSVFSTKQSGCKACGNEEIEKGCNGEGRMQGGIATVPGFGWWPIKAYRPCPGFVASGGKYRRQGQSMDEVVSGSGKIKTSTATDSKSSSKKDGSKKLKS >RHN70957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55400331:55403251:-1 gene:gene19593 transcript:rna19593 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEETPYIGFPLGLALLVFLLFFICCFFCCCLYWDKLQFLFPSSVVNVIINPQPRIQPEFASSHHKPGFPVLMMKENHDQSLPVLMPGDMVPKFIALACPCKAGSNG >RHN57811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41838830:41844258:-1 gene:gene33400 transcript:rna33400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA mismatch repair protein MutS, core MACIQNGHRIGVSYYDSSLRQLNVLEAWDDGDNGFSVIDLDLLVDCQSNHSNKKRNKNGVLKFQQSFASSSFTSSPCCFYRLIYLRVAGMDDGLDVKERIYFLSSMMDMGSEVQVRASGGLLAILENERIVDTLEQKESGNTSITIDSLAEISLNNFIKLDAASHEALQIFQIDKHPSHMGIGRAKEGQWFLRPILDLEVLNYRLNSISFFHSSEELVASLRETLKSVKDIPHLLKKFDSPSSICTSTDWAALLKSISALLHVNKIFEVGISEGLQEELKYLNLDIVEMASSCITTELAYVYELIIGVIDVNRTKEKGYPTVVKEGFCDELDELRQIYEELPEFLEEVSSLELAQLPDLCKNKLVPCIVYIQQIGYLMCIFEEKLEEATLEKLIEWEYTVCWYGETKRYFYRMPKTRELDNLLGDIYHKILGVKSFFIFP >RHN51994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29780729:29784859:-1 gene:gene36593 transcript:rna36593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEQIPYAVAASLINRLASAAFHEFGRIHGVMHELERLKNTVESVRAVLLDAEEKQQQSHGVQNWIRRLKDDVLHPADDLLDEFSIEDMRHKRDEARKNKVTQVLHRLSPNRIAFSRKMAHEIEKIQTKFNDVVKDMSGLNLNSNVVVVEQSDIVRRETSSFVSESDIIGREDDRNKIISLLRQSHENQNVSLVAIVGIGGLGKTALAQLVYNDGEVTKSFEKRMWVCVSNNFDVKTILKNMLKSLNIKNEEIDNLSFDNLQKMLRDNLTGKRYLLLLDDVWNESLEKWDQLKTYLMCGAQGSKVVVTTRSKIVAQTMGVSVPYTLNGLTPEKSWCLLKNITYRDETKGVLNQNLEAIGKKIAEKCSGVPLAIRTLGGLLQGKSEETEWVGVLQDDFWKLCEDEETIMPVLKLSYQNLSPQLRQCFSYCSLYPKDWEIKKDELIQLWMAQGYLECSTQKQGPEDIGNQFVKIFLMKSFFQDAKIDDCGDICSFKMHDLMHDLAMQIAGNDCCYIGSETKRLAGSPIHVMLKLDFIGWLESLDASRLRTLILLHSDLPYRGCEKLSVILKFNRLRVLMVKPLCKIKLRDFIVKLKHLRYLNFSQRKSHGSDKKAFTNAVYLQTLILTLCDERFSQKNVSNLINLRHLKIEVLPPPPPWPKEAKSSRFAELCVGKVLPIFRELRVGKVHKCAIFSKGYSLLSNIVEISLTDYNGLPYLPPMERLPFLKSLKIRYLPKLEYILYEEPLLCGSFFPSLEKLIIEDCLELRGWRRMGDDINDDDNTSQSHHLSFPPFSSRLSLIEITYCRILTCMPTFPNLDKKLELVSSCVEP >RHN47710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41825995:41826923:1 gene:gene42339 transcript:rna42339 gene_biotype:protein_coding transcript_biotype:protein_coding MISVKRKNQKLCFVILLLLLSNIAPCEAAALTGNNKAIFDRFKGGSFKSSSSRFHEGNNANKNGDQVFGDEKRKVYTGPNPLHNR >RHN50088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3942627:3949546:1 gene:gene34341 transcript:rna34341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-dependent channel, 7TM region phosphate MILSALLTSVAINLGLCLLFFTLYSILRKQPGNINVYVPRFVAEGKVKEGGQFNLERLLPTAGWVRKAWEPTEDEFLSTSGLDAFVFMRMFVFSLKVFTFGAIIGIVLIPINYMGSQLTDDSDFQHKSLDSFSISNVNNGSNRLWIHFSAAYVFTGVVCYLLYYEYRYISSKRIACFYSSEPQPHHFTVLVRGIPIPPGSTCTDAVQRFFSEYHPSTYLSHSVVRRSSKLHNLITDADKLYKKLTNLKQKNDAPKRQTREGCCGLFGPKVDTVDHYERRLGNIEDNVRMEQSSLASKEVPAAFVSFKTRFGAAIALHIQEGVNPTEWITEEAPEPHDVYWPFFTVSFLKRWISKLVVYVAYTTLTVLFLIPVAIVQGLTHLEQLETFFPFLKGVLRLSVVSQVITGYLPSLILQLFLSYVPPTMIMLSSLQGYISWSQIQKSACTKVLLFTIWNIFFANVLSGSALYRVNIFLEPKNIPRVLAEAVPSQASFFIAYVVTSGWTTIASELFRLSTLISNFLSRTFCKNGDDDFEPPSIPYHSEIPRIRLFGLLGVTYFFLAPLILPFLLIYFCLGYIIFRNQFLKVYVPKFETGGEFWPTVHNSTIFSLILMHVIAIGIFGLKKLPLASALTLPLPILTLLFNEYCQKRFRPIFKNFPAECLIKKDRADEIEHNMSEFYDKMENAYNDPALMPVQYSERFDSQRSPLLHSSQF >RHN70707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53349883:53353090:-1 gene:gene19318 transcript:rna19318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein phosphatase 2A, regulatory B subunit, B56 MLKQFLSKLPRKASKHDSDESCRVDSHDSHRVTGKNNRSQGGNDGGKANAAKRNSSAAVFPTSTVSLIEPLVPFKDVPSSEKMNLFVSKLSLCCVAFDFTDSGKNVVEKDVKRRTLVELVDFVSSFGSAKFSEPAILAMCRMCAINLFRVFPPHYRAHNRIGGGENDDDEPAFDPAWPHLQLVYELLLKFITSSCLDAKVAKKYFDHSFISTLLELFNSEDPRERDCLKTIMHRVYGKFMVHRPYIRKSINYMFYRFVFETEKHNGIAELLEIFGSIISGFALPLKEEHKIFLWRVLIPLHKPRSMGVYFQQLSYCVTQFIEKEPKLASIVIRGLLKYWPITHSQKEVMFLGELEEILETINMVEFQRVMVPLFWRIGCCMSSLHFQVSERALYLWNNDHIVNLIAHNRQVILPIIFPALEKNFHSHWNPAVLNLTHNVRKMFKEMDENLFLTCRSQFKEEEAQLNSESEKRNEAWKQLEHTASLKPAVGNTAVLVSPI >RHN76959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2711814:2717011:-1 gene:gene355 transcript:rna355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain, tetratricopeptide-like helical domain-containing protein MGKPAGKKKEHESPKSNNKNKGYERSTSFDDDTAMFINMSQELREEGNKLFFQKKDNEGAMLKYEKALKLLPKNHIDVAHLHTNMAMCYMQLGLGEYPRAINECNLALQVSPRYSKALLRRAKCYEALNRLDLAMRDVRVVLNAEPNNSTALEILDSLRITMEEKGIAIDETEIALAAIQQIEPPAARFRKVVREKINKKKKGHKGEGEEERKSKSVVEKKAANVGNVNVNVKVKGQDSKDKVMDKDKDSKEVVKEDKGVTRTVKLIYGEDIRWAQLPVNCSMKLVRDVIRDRFPGLKGVLVKYKDREGDLVTITTTAELRLAEGCHVLGSIRLYITQVDLDMEPCYDEKTSSGEVREENGVGEGDKGVGGNRMITVEEWLVQFARLFKNHVGFDSDSYLDIHEVGMKIYSEAMEDSVTNDGAQELFDIAADKFQEMAALALFNWGNVHLSKARRRVPFQEDGTREASLEHLKAGYEWATKEYKEAEMRYEESVKIKPDFYEGLLALGYQQFEQAKLCWCYLIANNKNIEVGPFEEVLQLYNKAEDSMEKGMLMWEEIEEQRLNGLSKLDKYNAELEKMGLHGLFRDISSEEAEEQASNMRIQIYLLWGTLLYERSVVEFKLGLPTWEECLEVAVEKFELAGASTTDIGVMIKNHCSNETAMEGFKIDDIVQAWNEMYDGWQFDVPTFRLEPLFRRRVPRLHYILEQF >RHN77936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10640220:10641780:1 gene:gene1446 transcript:rna1446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MASASLVPLILLILVQLAYAWMNITSKLSILGGMNPLILVAYRQIFGAVSIAPFAYWIERDKVPRMTKRIMVQILLSSLTGVTGSQILYFIGLKYSTPIIACALTNLDTAFTFVLAILFRQENLGIKKKSGVAKVVGTVLCIGGAVVLSFYHAKVIDVPESRVHWSYAEKLEHGHLNFSAAQSTSLLGPVLLILNALVWSLWFIIQADMSKNFPVPYTSTAYMCILGSIQCVFIALCFDHSVSAWSLHDAMRLASSLHSGVICTGISFPIISWTIGRKGPLYVSVFTPLQLILTAFISWAFIQEKLYVGTAIGSLLIVGGLYAFLWGKSKEVDNNKVDHDEAIVMTLPPPIKKDEVNKNDMELQSYMHEFPKP >RHN82128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51422772:51439721:-1 gene:gene6254 transcript:rna6254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MTIVSSKLLFSLYSFLLFIIIIVSQAQGQPNFLRNYCINNNGNYTANSTYQNNLNTLLSNLTSNTQINYGFYNFSYGQNTDKVNAIGLCRGDVKPDLCRSCLNDSRVLLTKLCPTQKEAISWYDNCMLRYSNRSIFGIMDTSFSYYKWNNRNVTDVAQFNQVLGNLMRKLNEIAASGDSRRKFATANATLNFETIYGLVQCTPDLSGQDCNDCLERAISETPSFFYNKIGGRVLKLSCNIRYEIYSFYGPTTVIDQDETSPPEEEKSKSSHTIATVVPTVVVVAAAGLLIFICICLRKRKAKINLQDIKEDENNDIEITASLLFNFETLRVATSNFSEDNKLGHGGFGVVYQGILAGGQVIAVKRLSTNSGQGDIEFKNEVLLVAKLQHRNLVRLLGFCLEGRERLLVYEYVPNKSLDYFIFDPINKERLDWERRYKIIGGIARGLLYLHQDSQLRIIHRDLKASNILLDEKLNPKISDFGIARLLLVDQTQVNTNKIVGTYGYMAPEYAMFGEFSVKSDVFSFGVLVLEIISGQKACCVLHGQSQEDLLSFAWRNWREGTITNIIDPSLSNGSRNEIMRCIHIALLCVQENLVERPIMATVVLMLSSYSIFLSVPLEPASIVGGRTRSLRVRDMLQYQYIRMTIVSSKLLFSFYSFLLFIIIIVSQAQGQPDFMRYSCINNNGNYTANSTYQNNLNTLLSNLTSNTQINYGFYNFSYGQNTDKVNAIGLCRGDVKPDLCRSCLNDSRVLLTKLCPTQKEAISWYDNCMLRYSNRSIFGIMDASFSYYKRNNRNVTDVDQFNQVLGNLMRKLNEIAASGDSRRKFATANATLNFETIYGLVQCTPDLSGQDCNDCLERAISEIPSFFNNKIGGRVLKLSCNIRYEIYSFYGPTTVIDQDETSPPEEEKSKSSHTIATVVPTVVVVAAAGLLIFICICLRKRKAKINLQDIKEDENNDIEIAESLLFNFETLRVATSNFSEDNKLGHGGFGVVYQGILAGGQVIAVKRLSTNSGQGDIEFKNEVLLVAKLQHRNLVRLLGFCLEGRERLLVYEYVPNKSLDYFIFDPINKERLDWERRYEIIGGIARGLLYLHQDSQLRIIHRDLKASNILLDEKLNPKISDFGIARLLLVDQTQVNTNKIVGTYGYMAPEYAMFGEFSVKSDVFSFGVLVLEIISGQKACCVLHGQSQEDLLSFAWRNWREGTITNIIDPSLSNGSRNEIMRCIHIALLCVQENLVERPIMATVVVMLSSYSIFLSVPLEPASIVGGRTRSLRVRDMQSEGESINHASISDPYPR >RHN61093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32902697:32903545:-1 gene:gene23518 transcript:rna23518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MTGHISPSICNLTQLSSITISDWKGISGNIPGCITTLDFLQIIDLSGNVISGKIPYDIGRLTQLTVLNLADNHISGRIPTTLVNLFNLMQLDLHNNAIGGPIPGNIGRLKKLNRALLSHNRINGRIPRSISNIYGLADLDLSLNQLSGPIPFSLGQMSVMDSLNLKYNKLTGRIPSTLLASRMSHLDLSRNALRGPIPDVFSETSYFINLDLSRNNLNGLIPNSMAIALYIGHVDFSHNHLFGKIPIASSLSHLQPASFAYNRHLCGKPLQPCTQGHIHKHE >RHN50763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10259542:10262218:1 gene:gene35089 transcript:rna35089 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIINKIGEALHVGGGHKKEDEHKGEKSHDDKHKGEKSHDDKHKGEHKEGIVDKIKDKIHGGDGEGHEHKGEKKDKKKKDKKKKEHGHDHDSSSSSDSD >RHN61635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37042966:37051656:-1 gene:gene24119 transcript:rna24119 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETKAIPEVPVTKVVEEVVQKDESIKETNGDLLPREISEAKKDEEDNASDGEFIKVEKEENVLDDASHKTERSSDPPSREFLEAQEKVRELEVELKTVAESLKTSEHENSQLKGEISDTKEKLEETGKKYEDLELSHKKLQDQIIEAEKKYNLQLSTLEEALQSQEVKQKELLQVQEAFGDMNVELESSRKKMQELQHELQLSTDEARKFEELHKQSGSHAESEGNKAVEFERLLEEAKSSAKSMEDEMASLKEELKGVHDKIAENQKVEEALKTTAAELSAIQEELTLSKTQLLEVEQRLSSRDSLVDELTEELNLRKTSETQIKEDMSALQNLFVSTKEELQEKVSELESAKVKLQEEEKLRESVEVTFKSQEAQFVSVQEELTKLNAEKKGLEETVEDLTVNAKHFKELCSDLEEKLKLSDESFSKTDSLLSQALSNNSELEQKVKSLEDLHNESGAVAATASQRSLELEGHIEATNAAAEEAKSQLRELETRFIAAEQKNVELEQQLNLVQLKANDAERDVTEFSEKISHLDAKLKEAEEEKNLLNSLLQEHMDKLSQLESDLNQSTQKNSQLEEELKIVKEKCSEHEDRATMNNERSRELEDLIQSSHSKSESAEKRASELELLLETEKYRIQELEQQISALEKRCSDSEENSNKYLDNVSDLTSELESFKVRTSSLENTLQTANESEIELKESLNAVTDEKKKLEDALNSLSEKLAESENLLEIVRDDLNLTQVKLQSTENDLKAAELRESEIREKHNAIEENLAVRGRDIELTSARNLELESLHESLTRDSEQKLQEAIEKFNSKDSEVQSLLEKIKILEENIAGAGEQSISLKSEFEESLSKLASLQSENEDLKRQIVEAEKKTSQSFSENELLVGTNIQLKTKIDELQESLNSVVSEKEVTAQELVSHKNLLAELNDVQSKSSEIHSANEVRILEVESKLQEALQKHTEKESETKELNEKLNTLEGQIKIYEEQAHEAVAAAENRKAELEESLIKLKHLEAAVEEQQNKSLERETETAGINEEKLKLVQEIAVYESKLSDLQSKLSAALVEKDETVKEILASKNAAEDLVTQHNEEVQTLKSQISSVIDDRNLLNETNQNLKKELESIILDLEEKLKEHQKNEDSLKSEVETLKIEIAEKSALQSRLHEIEAQLAKAESRLHEEVGSVQAAASQREVDLSSKFEDYEQKISEINVLNGKVAELEKELHLAQDTIANQKGEESQKLELEAALKNSVEELETKKNEISLLQKQVIEFEQKLQQADEKISVKGEEAVDKKDALEVKSRDFSISSPSKRKSKKKSEATTPQTSTSSSETHIQPGHDSPIMNFKFILGVALVSIIFGVILGKRY >RHN76129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47896713:47897027:-1 gene:gene12410 transcript:rna12410 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETAETYMYADLLSCISHAEVIEGENARLKWLPDPQQCFSVQSAVAIVSYVVCRLQYLLIADLFQQSIGYGKHLFRRKLACLGGDCFMEGFQQELNYGKEEF >RHN58565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2833939:2837650:1 gene:gene20487 transcript:rna20487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEKNEIELSSPPHTKMDSQQKDTVISQPQLHNKGGFITMPFIIANESLARVATLGLLPNMILYLMGSYNLHLSKANHILLLSVATTNFMPLIGAFVADSHLGRFLAVGLGSIITFIGMILLWLTAMIPQARPPPCIPATEKCISATTAQMAMLISSLALMSIGNGGLQCSIAFGADQVNRKDNPDNQRALEIFFSWYYASSAISVIIAFTGIVYIQDHLGWKLGFGVPAALMFFSTFFFFLASPLYVKNKTNSTLFTGFVRVTVAAYKNRKIRLPPKNSAGMYHHMKDSELTVPTDKIRFLNKACFIRSPEKDLTSDGSASDPWSLCTIDQVEELKAIIKVIPLWSTSILMSLNIGGSFGLLQAKSLNRHITRNFEVPAGSLSVIMIFTIFIWIALYDRVVIPLASKLRGKPVRISAKTRMGLGLFFSFLHLVTASIVETIRRRRAINEGYGNDPHSVLNMSAMWLFPQLCLGGIAEAFNVIGQNEFYYTEFPKTMSSIASSLFGLGMAVGYVISSFLFSIVERVTSSGGKDGWISDNINKGRFDKYYWLIATVSGVNILYYVVCSWAYGPTADVESKVSADEEELPLIDFRNEGSYDKDN >RHN70878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54705653:54705918:-1 gene:gene19509 transcript:rna19509 gene_biotype:protein_coding transcript_biotype:protein_coding MKETEFKLKENQLKMKKKFELRMKNLKEEELKIKEKELDLKILFKDTTGMTDTQLQECEISCNLIREKHRIM >RHN49075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52260740:52267483:1 gene:gene43864 transcript:rna43864 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MPVMATLMSRQHLLTYIYLLVYISLSSGVILYNKWVLSTLYFNFPFPITLTMIHMAFSGGVAFFLIRVLKVVAPVKMTIHIYVTCVVPISAFFAASLWFGNTAYLYISVAFIQMLKALMPVATFLVAVTLGTERLRCDVFWNMVLVSVGVVISSYGEIHFNVIGTVYQVSGIAAEALRLVLTQVLLQNKGLTLNPITSLYYIAPCSFVFLFIPWYILEKPEMEAPHMQFNFWIFFSNALCALALNFSTFLVIGRTGAVTIRVAGVLKDWLLISLSTVLFPESKITGLNVIGYAIALSGVVCYNYLKIRDVRTSQLQITPDESEKELLMEKKADDDVSSNKETLWNDSFSDSHSHSHSHFDEEAPLMYSSRISHLGRKPA >RHN78272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13465561:13467048:1 gene:gene1806 transcript:rna1806 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIQEEVEDLDDVPLISKRTRSTQETAEQPASEQTGSEQAASDQAASEKPPSPKKKREAALQTIKRKRSSRNLKTAEGRRAEMLEELEENWDEDSSPKKAKRTITSETIVMPSFEMSEELKQYAREYSESKIAERKRMKELYEKERDERLKAAGYVPTPVVAALASELEQETIQHGVTLLSQALKNKQASGATSSDPSTNAPEAPQSEPLSSGNPSKAPTYTQFPTLPSSPSSSSTESDDQPLSQHIDKLLKTKPTKLTEFGTLDYERTQIEFSKNRIKLCEKFNFPTTHPLYPDIPEPVSIQQPEPNQKPTQTNSPNNQTPQKASEVVLEATTSETPQQQENSTLHNLEKHLGGEMQSTPTKASKTVPGKTVLENQQTETIPEQTVPEQTVPEQTVPEQDASEQVAYEQVAPDQQTTSDQHIASDQTTEPEQQPEPPIIDLTSDQPSTSNTTQSEPSTIPDSILETEYIEEQLIRLSDKLFCINKLFCINKLF >RHN70101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48767357:48772919:1 gene:gene18650 transcript:rna18650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MALFYSEYWAFVFGVIGNVISCMTFLAPLPTFYRIYKKKSTEGFQSVPYVTALLSAMLWIYYAHVKNKATLLLLTINIYGFGIEAIYIIIFLLYASNKARLSTIKLLFLTVCGYGTMVILTTYLTKGSKRLSIIGWICMVFNICVFASPLFILKQVIKTKSVAFMPLNLSFFLTLNAIVWFFYGLLIDDFYIAIPNTLGFVFGIVQMVIYLIYKDAIPLESTKLQKPNDHVLNICEDVPNGALQPDPNQVVKSGAPAVAVIGDEDPNNGK >RHN55765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23327698:23329667:-1 gene:gene31013 transcript:rna31013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyl-CoA carboxylase MEKWWFNSMMFNRGLEYRCGLSKSIDSFGPIENNSVNEDPSILTDMDNIILIDMDNTIHVDVRVVKTIVRILVIDMNIPNLLSDKTFLVSDSNSYFIYDWNNIINSCIEGYMLSEIGIDSSIIHNIIENNNENNPQEEDKNEKLHKSSYRFDYRFDYRFDVRFEVRFETDSDKEKDSGNDDDKLQKASDILEPVNYSAENYSDSDNDSDDNDPDDDYDTLQKGTDTLEPENDNDTDDYDKIQRAHYILEPENDSDTEPDDEPDYEPDDEPDDEPDDEPDDEPDYEPDDEPDDEPDDDDKLQKASDILGSENPSDSEKVQNSSEIESTGIMENPSDSEKVQNSSEIESTGIMENPSDSEKVQNSSEIESTGIIRKDFSHLWVACDSCYGNNYKRFFKSKMNICEYCGCHLKMSSSDRIELLIDPGTWNPMDEDMFPVDPIEFNSEDEPSENGLEDEDEPSENSLEDEDEPSENDDYQNRLDSYQDRTGLLDAVQTGTGQVNGIPVAIGIMDFEFMGGSMGSVVGEKITRLIEYATNQRLPLIIVCASGGARMQEGSLSLMQMAKISASLYNYQINQKLFYVPILTSPTTGGVTASFGMLGDIILAEPDAYIAFAGKRVIEETLKIEVPEGIQSAEFLFEKGAFDSLVPRNYLKEF >RHN79439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28888639:28894848:-1 gene:gene3229 transcript:rna3229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor E2F-DP family MSANAGPSDRGSPMPTGAQIRPPLKRHLAFVSTKPPFAPTDEYHTFAAVDSRKVADHVNEAVIVRSPVIKRKNGMNESEGDSQKSSNSPGYNAMNSPFKTPLSAKGGRANKSRASKEGKSCPPTPISNAGSPSPLTPAGSCRYDSSLGLLTKKFIHLLKRAEDGILDLNKAAETLQVQKRRIYDITNVLEGIGLIEKNIKNRIYWKGIESSTPGNVDGDISLLKSEVDNLSLEEQRLDDQIREMQERLRGLSEDENNQKFLFVTEEDIKGLPCFQNETLIAIKAPHGTTLEVPDPEEAVDELQRRYRIILRSTMGPIDVYLISQFEEKFEEINGAEPPASFPLASSSESNEQQATEMVPAECSGKELEPQALLSSQTYSDLNVSQEFAGGMMKIVPSDADNDADYWLLSDAEVSITDMWRTDSNVDWSGVDMLHPDFEMLSRPQTPSPGLAEAPSTVANPNQR >RHN74337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31926056:31932981:-1 gene:gene10378 transcript:rna10378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein MQLTVASVELQNTGTSFANTIIDLGELHVMQASTFSKVWGTYEGGPDNQGASVYEPTGLRYGYSMLGSYSQPNSKPLFGYVLVAKDISGKTNGTLKPPVDYSLVLNTASITVTQDSSLYIWLPIAPNGYHAVGHVVTKTQDKPSTNKVMCVRSDLTEQCESSTLIWGSNGLNFYDVRPINRGIKALGVRVGTFVAQNGGNINPPSISCLKNIDSITQIMPSKKQIDALLQVNAPFLYLHSDEEYLPSSVNWFFSNGALLYKKGRESNPVPIAQNGNNLPQDPNTDGSCWLDLPVDNANKERVKKGNLQSAESYVHVKPMYGGTFTDIAMWVFYPFNGAGRAKVEFIDIKLGKAGEHVGDWEHVTLRVSNFDGQLWHVYFSQHNAGKWIDSSQLEFQSDASSFDFPTKRPIVYASLHGHASYPHAGLNLLGKNGVGARDDTNKGRNVMDMGKYVLVCAEYLGSEVKEPAWLNYFREWGPHIDFDLDIELKNVAKALPGKLRDGFENIIRSLPKEALGEEGPTGPKEKGNWNEMGMNVGILCVCVVLFIAMEAMGVPTAAPTDQISENKNVLKKKRSVSRSRSRPIDHTFKLPIAQTSSSEGIVYVILIFCPLVVHDSKCRLTVASVELQNTGTSFANTVIDLGELHVMQASTFSKVWGAYESGPDDQGASVYEPTGLRYGYSMLGSYSQPNNKPLFGYVLAAKDISGSTTNGTLKPPVDYTLVSNTASVMVDQDSPLYIWLPIAPDGYHAVGHVVTTTQDKPSPDKVMCVRADLTEQCESSTWIWGSNDLNFYDVRPINRGSKAPGVRVGTFVAQNGGNTNPPSISCLKNLNSISQIMPNQTQIETLLHSYSPFLYLHPDEEYLPSSVNWFFSNGALLYKKGEESNPVPIEQNGTNLPQDPNNDGAYWLDLPVDDANKERVKQGNLQSAESYVHVKPMYGGTFTDIAMWVFYPFNGPAKAKIKFINVKLGKVGEHVGDWEHVTLRVSNLDGQLWHVYFSQHNGGSWVDASQLEFQMDNSSFDFPTQRPVVYASRHGHASYPHGGLHLLGKNGVGARDDTDKGSNVMDMGKYVLVSAEYLESEVKEPAWLNFFREWGPHVDYSLDDELKNVEKLLPGKLKDVFEKIIMGLPKELLGEEGPTGPKEKGNWNGDEV >RHN67478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27579471:27580169:1 gene:gene15671 transcript:rna15671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MARRNATFPIHFFKIILQSNLQRLKIPHKFTKKHGVGLSNPVLIKPPDGTKWKVYWKKIDGEIWFEKGWKYFTENYSLGHGSLVVFEYKGTSKFDVVILGRNAVEIDYDTSCDTDDENDNVGHSDDESVEILDEWPNQKSTGKRSPLFSPRPYKKVRGMYE >RHN60020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16669184:16673765:-1 gene:gene22208 transcript:rna22208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerol-3-phosphate dehydrogenase (NAD(+)) MNHHGYSNGSLQSVNGNFEEKLDELRNLMGKVDDDPLRIVCVGGGAWGSVFTAMLQDAYGSFRDKVLIRIWRRPGRAVDRATATHLFEVINSREDVLRRLIRRCAYLKYVEGRLGDRVLHADEILKDGFCLNMVDTPVCPLKVVTNLQEAVWDADIVINGLPSTETREVFEEISKYWKERITVPVIISLAKGVEAELVPEPRIITPTLMISRATGVPIENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQAHFIVWDNVDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELSLEMGDSIKGKGMIQGVSAVKAFYELLSQSSLNVLDPEEKKHVAPVELCPILKMLYKILILREYPVQAILQALRDETMNDPRDRIKIAQSHVFYRPSLLGQQP >RHN65830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5685360:5686445:1 gene:gene13722 transcript:rna13722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MIFPLEPNTERITTNPNRNIENNEDRLSDLPDSILHHILSLLDTKQAFQTSILSTRWKNLPKHLSTLRLSSTVFYSFDSFMKSVSRILSHRDDLTSLHTLDLYNRHTHYFDFVDPDIFKSIVNCAEPFSALSKLNTLIIRQFRVLDAQTLCISNTTLVNFTMETYYDYKDIRKLELFTPSLTNFSFTGTPNLILSVSHPCSITHLYVNAEDIVGFVKEDSAVLLSWLLELANIKSLTVSSNTLQVLRLLYLYMCGSLVACISLFISKFFLKCRTVHISFNRFSIVPDFFKVKLTSLCNLESLQVEMKPLTPGLYKILSFRKFKKTRAGIRLKKDKFIPYGVVEFLLQNSPLAKINIIDNDD >RHN54638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10946167:10948980:-1 gene:gene29707 transcript:rna29707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB family MYGGGGGDDALAARLGIVPQSLLIPTSSLMSPAAMREERVPAQPQWSQQETREFIAIRAELERDFTASKRNKTLWEVVSSKMRERGYRRSPEQCKCKWKNLVNRYKGKETSDPEHGKQCPFFEELHAVFTERAHSMQRLLLESETRSAQTKKGVKKIRSGDRSSDEFSEDDEEVEDDSEEERPVRSNTRKRKAGKIATEKSSRANNANNSTGNIQEMLKEFFQHQLKVEMQWREVMERRAHEKQLFEQEWRQSMEKLERERLMIEQEWREREEHRRLREENRAERRDALLTTLLNKLIHESN >RHN79905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33403581:33404501:1 gene:gene3760 transcript:rna3760 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKEIEDRIPDVSSFLSFYLVYHLLYNCEDPATLVIRNKKKGMFVVLR >RHN45135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12089715:12095521:-1 gene:gene39347 transcript:rna39347 gene_biotype:protein_coding transcript_biotype:protein_coding MITIINTFKEKLTKTFVPSIFYSLLPLALVCLYFYFSSFAPFYTPITHQPSLSISPHLTKVSIINEELNGGDEHHTSSGGNSTEVDPISIINEKLNGGDGHHTFSGGNFTEEDPSTWASDLL >RHN54024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6300960:6305983:1 gene:gene28999 transcript:rna28999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MEQRKLVVLGIPWDVDTEGLKEYMSKYGELEDCIVMKERSTGRSRGFGYVTFASVDDAKEVLSGEHVLGDRMLEVKVATPKEEMRAPAKKVTRIFVARIPPSVTEETFRSHFEKYGDITDLYMPKDQGSKMHRGIGFITFGNAESVENLMSETHELGGSAVVVDRATPKEDDVKPTGRMSQGGGYGAYNAYISTATRYAALGAPTLYDHPGPIYGRGEPRRRISKKIFVGRLPPEANSEDLRQYFGRFGRIEDVYIPRDPKRTGHRGFGFVTFADEGVADRVSLRPHEICGHEVAIDSATPVDDARPSGNFMMNNSMESFGGYGGPVRSYGRMYGGLDYDDWGYGVPSVRPSRAEYQEQLGRPSRADYQEQIGRPSRADYQEHIGRPSRADWRYRPY >RHN71080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56330831:56333359:1 gene:gene19723 transcript:rna19723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase MTHSLFPIHLLIPLIIIISTTFTIPSPAIAAGGQWQLLQNNIGIVAMHMQLLHNDRVIIFDRTDFGFSNLSLPNGRCRVNPQEQAVKNDCTAHSLEYDVFSNTFRPLFIQTNIWCSSGSVNPNGTLIQTGGFNDGDRSVRTFNPCPNCDWQEFNASLSARRWYATNHKLPDGRQIIIGGRRQFNYEFYPKKDATAKNTYSLPFLVQTNDASAENNLYPFVFLNVDGNLFIFANNRAILFDYNSNKVVKTYPTIPGGDPRSYPSSGSAALLPLRNLQNPLVEAEVMICGGAPKGSYEKTLQGSFIGALNTCGRLKITDPNPSWVMETMPGGRVMSDMILLPNGDVLLINGAAVGSAGWESGRNPVLNPFLYKPNSLVGSRFQLQKPSDIPRMYHSTAVLLRDGRVLVAGSNPHVNYNFTRLFPTELRLEAFSPSYLELGFNDVRPIMVFPAPQSQTKLKYAQKLKVRFQVKATLMKNMVSVTMYAPSFNTHSFSMNQRLLVLDELSSSKNVVGESTSTYQVEVAIPNSPILAPPGYYLLFVVHQEVPSQGVWVQLL >RHN77251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5442263:5446031:1 gene:gene689 transcript:rna689 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFDRWEKDPFFSAAEEVQESADRMESTYRTWIHATKDTSSMWNPNELRRDLLTTLGTAKWQLEEFEREVRLSYSRNLGDDARTRHRDFITAIEEKIKKVEHALDETNPPGRKASQTWVILDEGEKDELALFLSGMPATESNNPRLYNKNSVASGVGEEKEEVSRGHRRAASASADIGFWKISVSDDPQQSSSSSGSSGPNPMHKVPSLSGFLSSVESISKLKWPRNGYRKLKTVDHHKETDSALIPMAELNRGNNACYERSKSSLDSSDECYDKHLYGWCGAIQRQLQRSQYQMQYSRPVRIIVSIVFLLCLIVLITLHTR >RHN44301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3331501:3332653:1 gene:gene38404 transcript:rna38404 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYQLRSPYGNKHHFGDRVASGNFAFTTAEAGDYTACFSVPDQKPTITVTIDFEWRTGVAAKDWYKIAKKDQIDVMESELQRLYDTVLFIHDEMFYLRGREEEMQDLNRETDRRMFTFIFCSIIICLSVAGMQLWHLKIFFERKKLL >RHN61987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39825367:39827185:1 gene:gene24507 transcript:rna24507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casparian strip membrane protein MLCFLMYINEYHSDTCSLSSEEKRIQVGTKMKVSTIESGEISKGASSPRKGMKRGLSIMDFILRIFAAMSTLGSALSMGTAKQTMPFATRFVRFKVSFHDLPTFLFFVTANSIVCGYLALSLVLSFFHIVRTISVKSRILLVFLDTVMFGLLTSGASAAAAIVYVAHYGNPSANWFPFCQQYNSFCGRISGSLVGSFIAVVIFMILILMSGISISKSKH >RHN75828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45407645:45409273:1 gene:gene12082 transcript:rna12082 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKEMKIARSSKFSSCRGVSFEINPNKSSPFAIESTTKTEKAGTWLWIPPWTRNNSQAISSTRTQSRASSHFCDINIDADDDEYEFLAQVQDMENNQEKVEVLPKSDIPTKNSRLSIILLDQGCTVYKGLFLVCITLNMLALALSVLGHFPYGKSRATLFSIGNILALTLCRSEVVLRLLYWFVVKTIGKPCVSLRIKTAITSFLQCIGGIHSGCGVSSIAWLVYSLVLTITNNDENTSPEILGVAFAILSLLTLTSLSAFPFIRHLHHNVFERIHRFAGWLALILLWFFILLTVSCEPSSKTYHITISKMIKKQECWFTLAITILIMIPWLSIKKVQVSVTAPSNHASIIKFEGGVKAGLLGRISPSPLSEWHAFGIISDGKKDHMMLAGAVGDFTKSLVSSPPKHLWIRSVHFAGLPYLVNLYQKVLLVATGSGICVFLSYLLQKSKADVYLIWVAKDIELNFGNEIKEMVEKYPKDKIIVHDTVVSGRPNVAEMSVNAANNWNVEVVIVTSNPEGSRDVVRACNKAKIPAFGPIWDS >RHN40777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21034417:21035569:-1 gene:gene46984 transcript:rna46984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MINAWFNCLNWSQPNERMTRLVTPFINYVDLQRPDALNITNPVACKRFIERLKKFKYMDWKAIDPTCLEDIMTPALIGDPGFHYVCFVVNLKSQKFQFLNSLKGETLNMKNGEATVYKKMFDVWLKEVEAFVTELYKKRKITMPFQFSTFKWETPKMPNQCDKDSCEVFCMKFLAEWDGGNTEMESFKD >RHN56075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27421896:27422636:1 gene:gene31401 transcript:rna31401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKGGDKNWSDDQYRMKKKRKELGGESSSSNNIARTCTECGKIFWSWKALFGHMRCHPERQWRGINPPPNFRRQQQQLVTPEEQEGAASLLLLANSNPKNKNKNKNKKTTTTVVDDEDQFVCSCCNKVFGSHQALGGHRASHKNVKGCFAANTTHDDNHHPMTRGNVEGEEVNSNNNNNDCIIGHKCSICLRVFSTGQALGGHKRCHWDKGEPTTPTLPFDLNFPFPPLPPPAPDHSSSPLTLHLRL >RHN43026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42670366:42676386:-1 gene:gene49546 transcript:rna49546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-fructofuranosidase MSFGASKAVFQVLSSVVPQSGGYNEPFVNTSQLLTKYMKKKSSRHRFLIESSGMLQSQLRPHRFPLTSVSFCDYKTYSHPWLQTCKCQKAENVSGITSGDGNGSRFASDVEKSSLVSNVMSAKSSLEFEDVQLLEQEKEVLSSNVTNGTVTKNLGTISLNSIEEEAWDLLRESVVNYCGNPIGTIAAKDPNSTNVLNYDQVFIRDFIPSGVAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLEGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERVDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCAREMLTPEDGSADLIRALNNRLVALSFHIREYYWIDMKRLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNKGGYLIGNLQPAHMDFRFFSLGNLWSVVSSMATEEQSHAILDLIEAKWSDLVADMPLKICYPALEGQEWQIITGSDPKNTPWSYHNGGSWPSLLWQLTAACIKMNRPHIAAKAVEIAERRISRDKWPEYYDTKRSRFIGKQSQLFQTWSIAGYLVSKLLLADPSKANILITEEDSDLVNALINANPKGKRGRKNLKQTYIV >RHN60799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30513961:30515651:1 gene:gene23179 transcript:rna23179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MFVYDLILFISLILVVTGINAEADTSCHSFDDCPWVAHHYRECIEGLCAYRILY >RHN65955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6988822:7004917:-1 gene:gene13861 transcript:rna13861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAELVAGAFLQSSFQVIIEKLASVDIRDYFSSNNVDALAKELNNALDSINQVLDEAEIKQYQNKYVKKWLDELKHVLYEADQLLDEISTDAMLNKLDKLELLAKQKKDLRLGEGPSASNEGLVSWKPSKRLSSTALLDESSIYGRDDDKEKLIKFLLTGNDSGNQVPIISIVGLGGMGKTTLAKLVYNDNKIKKHFELKAWVYVSESFDVFGLTKAILKSFNPSADGEDLNQLQHQLQHMLMGKKYLLVLDDIWNGSVEYWEQLLLPFNHGSSGSKIIVTTREKEVACHVLKSTELFDLQQLEKSNCWRLFVTHAFQGKSVCEYPNLESIGKKIVEKCGGLPLAIKSLGQLLRKKLSEHEWIKILETDMWRLSDGDHNINSVLRLSYHNLPSDLKRCFAYCSIFPKGYRFKKEVLIKLWMAEGLLKCCGSDKSEEEFGNEIFGDLESISFFQQSFDPYEHYVMHDLVNDLTKSVSGEFCLQIEGARVEGINERTRHIQFSFPSHCDDDFLLKNPNGVDNLLEPICELKGLRSLMILQGMRASMDITNNVQHGLFSRLKCLRMLTFRGCYLSELVDEISNLKLLRYLDLSYTKIRSLPDTICMLYNLQTLLLKGCRQLTELPSNFSKLVNLCHLELPCDNFGDPRIKKMPKHMGKLNNLQSLSYFIVEAHNESDLKDLAKLNQLHGTIHIKGLGNVSDPADAATSNLKDKKYLEELQMEFNGGREEMDERSVLVLEALKPNSNLKKLNITHYKGSRFPNWLRGSHLRNLVSLELNGCRCSCLPILGQLPSLKKLSIYDCEGIKIIDEEFYGNNSTIVPFKSLEYLRFEDMVNWEEWICVRFPLLIELSITNCPKLKGTLPQHLPSLQKLNISGCKELEEWLCLEGFLSLKELYISHCSKFKRVLPQLLPHLPSLQKLRINDCNMLEEWLCLGEFPLLKDISIFKCSELKRALPQHLPSLQKLEIRDCNKLEASIPKLHRVLCGAKLSQLYHS >RHN67856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30917439:30919399:-1 gene:gene16099 transcript:rna16099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MEGGWRNIIPEIVPMDHGMNDLVELNLISISQLHCLIDTQHTDSQFPNVFSKLVVLDLWNLENLEELFNGPVSFDSLNNLEKLSIKNCEHLQSLFKCKLNLCNLKSVTLEGCPLLTSLFQPSTARCLVLLEVLEILECECLVNIIKDERKEEELSSVIVDDSIDRKSHGSMLPKLKVLTIENCPELEFIFSFPSAHDLPAVQSIRIRSCDKLKYIFGKNVPLGSLETMKLDGIPNLIDIFPECNHTMSTSIKGPSYTSGDASKPQAESGPMKCNIFSQTDIYCCGKKFGNKLRSTTSTNIPFVSQDQRQDNLMESNSYCLNIWERAQCLSRQSHILYNIKEIRLGYISKMKSVFILSTAPRMLLETLCISNCDELKHIIVDTGENDSGGNNLGNVFPKLKYLYIGDCEKLEYILGHYTHDHQNLLKIDLHLCSLESLSLCNLPSLVAICPKQCHITFPPLKNFELKNCSQVSTVKSISDFITHHSVTRFVDNTIIKVSLFLYILLFVNIFSDCN >RHN45238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13534159:13534551:1 gene:gene39473 transcript:rna39473 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYVPFLLALVFVLFNSSTDANHLENQTTNVVAISFKSLMPTTTPMLKFNCTTASFFLRPQETHFLDVSIDQGVSCHAEWNDHLEADIIAFDPKTDLLLGRGVYWMIRTDGLLKSLNNFNFEKKAAWGP >RHN64740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61452598:61454415:1 gene:gene27591 transcript:rna27591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MMNSFDPQRWQQDHRRQKNIIGASSSTSSSSLANFYASNNKKTKYNKLQTLSHGLELDDDLVSSSVVPAVTVVLEGRSICQRISLHNHGSYQSLAKALRQMFVDCTDDCDAGDHHLDLSNAIPGHVIAYEDMENDLLLAGDLTWKDFVRVAKRIRILPAKGNSRKGTRSTGEA >RHN77760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9245904:9247653:-1 gene:gene1247 transcript:rna1247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK family MTCFSVLLIYDFVFDDTIISVTYTADIDECKTSNNTCIDESHCRNTDGLYECFCPDGQSGNGTLEGGCHRRDIVIKVAIGASAGIIILFVAISVLYLTYQKRKLIKLKQKFFQKNGGSILLQQLSTREDTSQSAQIFTEEELKKATKNYDDSLIIGRGGFGTVFKGVLPDNKIVAVKKSKIIDANQIEQFINEVVVLSQINHRNVVKLLGCCLETEVPSLVYEFVSNGTLFDFIHNTKDKANNPTWKTRLKIAAETAGALSYLHSSASIPIIHRDVKSTNILLDDNYNAKVSDFGASRLVPLDQTEIATMVQGTLGYLDPEYMQTHQLTEKSDVYSFGVVLAELLTGDKPLSFNRPEENISLAMHFLSCLKQDRIFEAIQVGILNDENKEEIKEVAILAARCLRLRGDERPSMKEVAMELDGIRLMENHPWNDSELNFEERQHLLKEASSSIYNEIGESINLGYSGYDSLKDQPLIALDDGR >RHN80901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41725364:41725657:1 gene:gene4883 transcript:rna4883 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQNKSSSGFFSIFSCFTSKNKSRAGYYDDCGHKIWHSDEDRGNWGVAEPNINRRAEDFIRKYKNRVSESERYQVDPAAEN >RHN74141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29034185:29034478:1 gene:gene10138 transcript:rna10138 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVTPHLYNYETQYFCTTIMEKCCSAPPLSDVCKYEFIYSSCPPSSRSSYMYRRCWFLRKQTDTACTAIVAFCADRPATSVVPYVKPGSDTIVGS >RHN50499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7683359:7689595:-1 gene:gene34789 transcript:rna34789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MSYDYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMLTIDSRPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANPNMTITLIGNKCDLSHRRAVSKEEGEQFAKENGLLFMEASAKTAQNVEEAFIKTAAKILQNIQDGVFDVSNETCGIKVGYGRPQGQAGGRDGTVAAGGACCS >RHN80893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41622435:41626105:1 gene:gene4871 transcript:rna4871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFRSMAIKFPSLPHHTRFLKWVFLSSTSTQQIPQTIQIVNPNKYSSEFDKNINFLRNKLGPDSLIQVLNRTSDLNSAVKIFIWASIQKSFHHTSNTYFEIILKLGLAGNVLEMENFVHNMVKNRFQGVEKALVSLIHTFVEHCRIKEGLVVLVNMNSGGYKPPIQVFNALLGAIVKEESGDFQNALLVYKEMVKAGVLPTVDTLNCLLEILFTIDRVDLALDQFRRMNNKGCSPNSKTFEILVKGLIENGQVDEAVAVLEEMLKLECQPDLSFYTCTIPLFCRENKVEEGVRLFRMMKDSDLVPEALIYEALIQCLCKNLKLDSAVNLINEMIESGILPNENVFVHMINCYCELGKIDEAIMFLEDKQVSETAPFNVLLEGCCNAGKILVANVLLETMTDRNIADCQSWNIVIRRLCENEETEKAYTLLGRMIKFSVVLDGSTYSALVLGNCRVREYDEAMELFRRICARCWSLDITSYTELVDGLCDDINRCQHAIEVFYYMSKKQFSLHSFSFYKLIKCVCDSGQANKAINLWQLAYYCGISCCNVTQTTIMHELSKSDKAENLLAFLSQILIVGGSLDTEAYCILINGMIKQSLVKECVLFFNMMVNEGLIPDPDKLFDQLSFIANNSLLSMISSAIETISDSEKLSSKSYSLLIAGLWKEGKEHEARRLLDVMLKKGWLPDTATHKLLIGSDDREGRSQVMLLFDDSDSVNDILAEGLGN >RHN79405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28535826:28536182:-1 gene:gene3193 transcript:rna3193 gene_biotype:protein_coding transcript_biotype:protein_coding MPDKLTFGMMRDWLCIFSHSRSLRSFIDVWLMKEYRIKESWVKLIRFRLPAFGDSSYFLPKIVYVSEDDNHVLLLFREDHTLKWVFYDSMNDTTKSLKIKEDLKRVESEVYVESLISP >RHN64348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58378481:58381593:-1 gene:gene27156 transcript:rna27156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acid--thiol ligase MGTKQDIDDLPKNAANYTSLTPLWFLERAAQVHPTRKSIIHGSRHYTWRQTYQRCRRFASALSNRSIALGNTVAVIAPNIPALYEAHFGIPMAGAVINPVNIRLNASTIAFLLGHCTASVVIVDQEFFSLAEEALKIWSDKTKTFKSPILIVIGDENCDPKSLRYALSKGAVEYEDFLRSGDPEYNWKPPEDEWQSIALGYTSGTTASPKGVVLHHRGAYLMSLSGALIWGMNEGAVYLWTLPMFHCNGWCYTWTLAALFGTNICLRQVSAKAVYEAIVKYKVTHFCAAPVVLNSIINAPAEETILPLPHVVHVNTAGAAPPPSVLSGMSERGFRVTHTYGLSETYGPSVYCAWKPEWDSLPPESRARLHARQGVKYIALEGLDVVNTKTMQPVPADGKTVGEIVMRGNAVMKGYLKNPKANEESFANGWYHSGDLAVKHPDGYIEIKDRSKDIIISGAENISSVEVENALYSHPAILETSVVARPDEKWGESPCAFVTLKPGVDRSNEQRLVEDILKFCRAKMPTYWVPKSVVFGPLPKTATGKVQKHLLRAKAKEMGPLKTSKL >RHN39088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4044393:4053433:-1 gene:gene45081 transcript:rna45081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MRKKNEIEIIDSSESSSMVGNRSCRPMGPTIWSAMVWFVFLKKHHTTICYTFMAFLSHNSNYFFIITFLIFCTIYSCYSAINDTITSSKSLKDNETITSNNTNFKLGFFSPLNSTNRYLGIWYINKTNNIWIANRDQPLKDSNGIVTIHKDGNFIILNKPNGVIIWSTNISSSTNSTAQLADSGNLILRDISSGATIWDSFTHPADAAVPTMRIAANQVTGKKISFVSRKSDNDPSSGHYSASLERLDAPEVFIWKDKNIHWRTDTDGTTYITYNFADKTMFGILSLTPHGTLKLIEYMNKKELFRLEVDQNECDFYGKCGPFGNCDNSTVPICSCFDGFEPKNSVEWSLGNWTNGCVRKEGMNLKCEMVKNGSSIVKQDGFKVYHNMKPPDFNVRTNNADQDKCGADCLANCSCLAYAYDPSIFCMYWTGELIDLQKFPNGGVDLFVRVPAELVAVKKEKGHNKSFLIIVIAGVIGALILVICAYLLWRKCSARHKGRLPQNMITREHQQMKLDELPLYDFEKLETATNCFHFNNMLGKGGFGPVYKGVMEDGQEIAVKRLSKASGQGIEEFMNEVVVISKLQHRNLVRLLGCCVERGEQILVYEFMPNKSLDAFLFDPLQKKNLDWRKRSNIIEGIARGIMYLHRDSRLRIIHRDLKASNILLDSDMIPKISDFGLARIVKFGEDDEANTKRVVGTYGYMPPEYAMEGLFSEKSDVYSFGVLLLEIVSGRRNSSFSHHEDTLSLVGFAWKLWLEENIISLIDPEVWDACFESSMLRCIHIGLLCVQELPRDRPNISTVVLMLVSEITHLPPPGRVAFVHKQSSKSTTESSQKSHQSNSNNNVTLSEVQGR >RHN71240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57541640:57542081:1 gene:gene19896 transcript:rna19896 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLEIYANPSLRRKRKQETAHFNQDPCLKLILDETENGGPAVMMRYWNDEKVLKMFGLVMGIPLVASENSRLCETENMGNEDESIVHHTAIIGDIKVWHDGYSLYR >RHN42469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38589386:38590338:-1 gene:gene48913 transcript:rna48913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MVIFSNGNVYIGEFQNNRRHGKGKYTWSNGTIYEDEWVDEKRSGNRLIIWPSGTMHEGEFFGSCDHGHDIRTKSNGDIYIGRFKNKLFLDNKKSTWSNRTIYEGDWVDGKMTGKGLMIWWPSRTKYEGEFFDSCIQGRNTLTKSTSCVYTGGWRMDVRHRIGQILYFSSGKIYGRGIMMWANGDIFDGGWSNGVRHGFEVYIFATGKVYVGTWSEGRKDEKETFYYPYSSEEPSLLKKSCTFLNCKVSKSRVNLSQKNHNYLTGHLMKVNLRHLV >RHN52910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40275644:40275993:1 gene:gene37646 transcript:rna37646 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSSVSIQSKLSLRSKFSLALIIMVSTKPPFVSLRCFSVVSLCINASMAFILQLECNLNPYSKLPSAKLFILM >RHN53428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1612688:1614030:1 gene:gene28332 transcript:rna28332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MIRTNDYYRVSRTLYLLECEPEKFEIGSNDRVKLAPMFKLPLRSFRDKSDEINNESKRPFRAARLVSGKKDENSDTGRQSLYIACNSDFDKFNIVNSCNGLLCLSDPYFGNPLVICNPITGEFIRLPESTANQTRVGRQGRAAFGFQPKTNEYKVINMRVRYVKRANALEFKIVILEINTLGTPSWRNVEVDPQISFWMLKNPTCVNGALHWIRYEGEQMSILVFCFESERLQSFPSPPHEFGNHNNGTCGNSHVSMGELKGFLYICDYLFI >RHN82449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53890415:53891652:1 gene:gene6616 transcript:rna6616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MAFEDCYYDKRNLQKSAGIKSFPLSGTSLASLESLSLPLVQEVVLSADMQCETCQKRVADIITKMNETESIVVNVLEKKVILTFRISTTTTVGKVISKKVTPLNRTPSPKVAIIKRIFRSSRG >RHN45693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24548684:24550334:1 gene:gene40076 transcript:rna40076 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYFPFNKSTCFIHVENENPYSNSKNYYSSKQLNLQNATSTPTKTTNSKN >RHN56683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32790886:32791128:-1 gene:gene32120 transcript:rna32120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MYLLTFYYTGFKETNKGCCGTGTFEVTPLCNELTPVCDDASKYVFWDSVHPSEATNKYIAKYLELEVLPKFQFHRNCKFD >RHN66475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12807784:12808635:1 gene:gene14470 transcript:rna14470 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSPTRLQRFPVRWSPVKVLRSQNKYMGFVADMMGKNKIILVF >RHN68791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38633795:38636866:-1 gene:gene17190 transcript:rna17190 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNSAMKKSREKSRGSDSPAMKKSWSRGSDSTQFESPARFCSPLRWDPTDSPEYRSPENSPGKMMENSNPMAVVAVDKVRQLTPGKLTEQQKPPENALAVVNRSEREEPERQVMKVGTTEEGGERERRVRSDSVGKTAEEVTRKAALGFRLCEVVVCLISFSVMAANKTQGWSGDSYDRYKEYRYCLSVNVIGFAYAALQACDLAFQLVTEKHMISHHLRYHFQFFMDQVVAYLLISASSSAATRVDDWQSNWGKDEFTEMATVSVGMSFLSFGAFAMSSLISGYILCTRRNSM >RHN79464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29220955:29221257:1 gene:gene3258 transcript:rna3258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family MILYLMGPYNLHLGQANQILLLFAAASKFMPVVGAFIADSYLGRFLSVGLGSAVSFLLRSLRF >RHN47127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37324284:37329945:-1 gene:gene41685 transcript:rna41685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calreticulin/calnexin, concanavalin A-like lectin/glucanase domain-containing protein MAENASTELKMFVLFCLLLIQVSLSEVIFEERFEDGWRSRWVRSDWKSSEGKAGSFKHTAGKWAGDPDDKGIQTSNDAKHFAISAKIPEFSNKNRTLVFQYSIKFEQEIECGGGYMKLLSGFVNQKKFGGDTPYSVMFGPDLCGTDTKKLHVIVSYQGQNYPVKKDLQCETDKLTHFYTFILRPDATYSVLVDNRERDSGSLYTDWDILPPRKIKDLKAKKPADWEDREYIEDPNAVKPEGYDSIPAEIPDPKAKEPDSWDEDEDGIWKRPKIPNPAYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPIKYVGIEVWQVKGGSVFDNILICDDPEYAKQVVDEVFANREIEKEAFEEAEKVRKAQEEEEAQRAREDGERRRKERGYDRHRDRHRDRYRKHRRDYMDDYHDEL >RHN48686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49471181:49472864:1 gene:gene43428 transcript:rna43428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MGCVSSKHIKKEINQEKNPYINHVVSLTSSTYGALKLDNNSNNNDSSNSIVSETETQTESKTEPKSNPSPPHKDPETVFNINAWELMEGLEEGVPISNFPKKSPKSAPFLRGFMASDTRSPLKFLSQYGSPKSTLKKPLGKENKVQVTNMVRGGVRRLDYSPKGILKSTTNSSPKNLKGSPFSARRNSFGNESVRKSPGSVPSPLFDPEIIASYEKELSEEEEQIKRIVFATPKTRRARKSLDSIALLNLFENKCPPGGENSVVIYTTTLRGIRKTFEDCNKVRSIIESYCVCLRERDVSMDSGFKEELRKLMGMKQVQVPVVFVKGRFVGGVDEIMKLEDEEKLGVLLEGIPRALGVCEGCGSLRFVMCKECNGSCKVLDEKQKKTVKCGYCNENGIIRCSLCC >RHN52801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39014640:39017946:-1 gene:gene37514 transcript:rna37514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MEENLPPGFRFHPTDEELITHYLTMKISDASFTSKAVAVVDLNKSEPWDLPGKASMGEKEWYFFSVRDRKYPTGLRTNRATESGYWKTTGKDKEIFRGGVLVGMKKTLVFYKGRAPRGEKSNWVMHEYRLENKHHFRTSKDEWVVCRVFQKSIATKKPQQTSFSQPESPCDTTSIVNEFGDVELPNLNGITNSSSSCGFTNIIQGQTFNTHDDLNTNMNLTMNWPSSSHVPSLPWPSNLLNPNNISVNSLLLKALQLRNYQQQREVAATNIHFAPYMPQQGVISHHQLGTDPSNNSNDLSASSSKVLECMPQHQQEQPFNLDSIW >RHN58921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5930153:5930536:1 gene:gene20877 transcript:rna20877 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQYEHLLVNDDILENGGPADSHIVDQVLQRQYGIVVVEDLTLRDYINELENNIKVFKKASVTKVNNDSDKALTMHELALQDFLANSIGRSNLASMTYGVSGNNGEIIDFSELRRCKLFVYRLEVC >RHN73246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14733282:14740993:1 gene:gene9042 transcript:rna9042 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEIETLTEDERRALRGSKFAPLPILPNSHKSKPRLAHPGGPVATNKAAALAKFLERKLKEPNGLASINPDLLEVAVKNAKQTVSTSGTSNSKRNVRHVESFGDSDASEEEQNDLPAVKECKKKKKKKKEKKKNKKRKDVILLG >RHN78769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18176172:18176540:1 gene:gene2418 transcript:rna2418 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFPLQWLSCASNTRDATASEKSSREPVRRMEISSQMKEKMDRLCLMLELKRVMSLVAFPFYFINQLAIDLFKSCSFLLMFKVKNLCMNLHSEGIVKSATIEVCINLMYFLLWKSLNHSKK >RHN47692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41653786:41656100:-1 gene:gene42318 transcript:rna42318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MREDDSNWFSRWEEQLPPPEELMPLSQTLITPDLAIAFDIRNNPNPPTIPPPQQQQQQPPPSSLPSSTDFADSTELTSGTAGEEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGISSGGGGGASGGGSASVNDAATDHLFASSPVPPHFLHPVARPNSDHFMPFVPVPAIHHQQQMAAAQYHRPVGHFGSPPNGHFDNPFLSRQQHHQQQNQHQHQQQLHRIGAQVHHNTLGGGGGGYVEDMESANASGGRKVLTLFPTGDD >RHN44812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8720061:8720693:1 gene:gene38977 transcript:rna38977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stigma-specific protein Stig1 MTTQATQFLTIVTLLFVFVIKIKGDSISSIPSDEINKNSTNTSVVVEADRVDQVSPNCGATPWICSTGEFPPRALCCRNRCVDVTNDLNNCGFCGVICPLIGNWKCCNGVCTNINFNPFSCGDCGRTCPFGFPCIFGRCPFEPVAPPPLRPIEPITPPPLRPIEPVTPPPLRPIEPVAPPPLLSPGLPEQNSPNIPNYQHKRPHPIMPTE >RHN46626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33438445:33439372:-1 gene:gene41140 transcript:rna41140 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVFVTTEVFSMIDFEIEGVSCVGLVKVFFCFVRLLYVFLLRVFRYTCCVIGFVLRCFVVLWVCMDWFWWRLFSLLMGFSNTRMLDRVVIFWVFTCAQVRKRIKVRLHGFLVGLMVILEGFFNGLALRFVSAIARPFRFWFGTNILVLVFIGFKGALGGRCVWFVCRSLYFIYKCLFKCSVYI >RHN57913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42537699:42538325:-1 gene:gene33504 transcript:rna33504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MTEARWLKNNYKPTLKEYIRISTESSGYALLTTTCYIGMGDTVTEDIFKWVSNESKIINAAIVLCRIMDDIVSNEFEQKREHVSSFLECYMRQYNISREVAIQEGRKRIVDAWKDINKECLMSTEVSMPFLMRILNLSRFMDVVYKDKDNFTHPEGEMKSFIKSLLVDPVPI >RHN42743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40602336:40606855:1 gene:gene49227 transcript:rna49227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MNSWPNDLHVDSLRLEAGRVPSANNIHASKTSWLGTLSLAFQSLGIIYGDIGTSPLYVYDSTFPDGISNKQDLLGCLSLIIYTISLIVFVKYILVVLWANDNGNGGTCALYSLICRHSKVSLIPNHQPEDIEISHYKLETRSRQKIKHKLENSKFAKLFLFIVTIMATAMVIGDGILTPSISVLSAVSGIRTRSSSLGQGAVLGISIGILIILFGAQRFGTDKVAYAFAPILLVWFLLIGGIGLYNLIKHDIGVLRAFNPKYIVDYMKRNGKEGWISLGGIFMCITGAEAMFADLGHFNVRAVQISFTFITFPTLVCAYSGQAAYLRKFPEQIGSTFYNSTPDLMFWPTFAVSVCAAIIASQAMISGAYAVIQQSQNLGCFPSVKVIHTSAKYEGQVYIPKVNYFLMISCILVCAAFRTTDNIGHAYGIAVCFVMLITTGMVALIMLVIWKTNIFWIALFVVIFGVIEILYLSSMLTKFIQGGFLPLALALFLMAIMGIWHYTHRKRYLFELKNKVSVEYVRELVSKRVVSRIPGVSLIYSGLVEEVPPIFAHVIANIPHIHSVVVFVSMKSIPISKVALDERFLFRQIQPKEYRIFRCVVRYGYNDVIGEPNKFEQQLVEQLKQFIRDQNVTYLGGVGGADAEQTNNNLLVSSQQQSNNDCFVKDGQGSFSKPASTSSYQGVDMSRASSDSIHSLEMDSRNSSQNLQGVEEEISFVQRAMEKNVVYMLGEAEVVAEPNSTILKKIVINHIYNFLRRNFRQGENLMAIPRSRLLRIGMTYEI >RHN44613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6818440:6819189:1 gene:gene38752 transcript:rna38752 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRLVGTLKSKIKSLKLKKPYDKMEKSESMRVEIKSRKARKLIEETLKIADSPKTKSFNF >RHN68262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34066273:34070397:-1 gene:gene16593 transcript:rna16593 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSAFTSWIFVVKVFIFSPVYLTNLFLHFLFILVEKNSLYLSFQFESMASLLLL >RHN63682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53167853:53171186:-1 gene:gene26414 transcript:rna26414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGVTIVMRSRSGKRLLRYHQNGTVSIQSASETTVNKPLPPFLPEELIVEILLRLSVKSLLQYKCVCKTWKTLLSDPQFAKSHLLSSNVHPQLVSVFMGYCKLPFRILSFKTTVG >RHN53685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3331696:3340370:1 gene:gene28618 transcript:rna28618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MSTFSQGGASSNRNGNTNSNTRTSFKPPSSNVKSKPTQRRNTTTGAAKDRHASVPGRVRVAVRLRPRNAEESVADADFADCVELQPELKRLKLRKNNWDGETYEFDEVLTEFASQKRVYEVVARPVVESVLDGYNGTIMAYGQTGTGKTYTLGRLGEEDTAARGIMVRAMEDIFADVSLETDSVSVSYLQLYMETIQDLLDPANDNIAIVEDPKTGDVSLPGATLVEIRDQQSFVELLRLGEAHRFAANTKLNTESSRSHAILMVHVKRSIKGRDASHSSENGNHPHMVKSLKAPIVRKAKLVVVDLAGSERIDKSGSEGHMLEEAKSINLSLSALGKCINSLAENSAHVPFRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETASTIMFGQRAMKVENMIKLKEEFDYKSLSRRLDIELDKLIMEHERQQKAFEDEIERLATEAQHQISEAERNYVDSLEKERSKYQKEYMESVKKLEEKFLMNQRKNEEPNMKSSMEIPKVSAEEMADLKKMLQKETLLRKAAEGETNNLKIHVAELKQSETSAKSEISKLHKMLEDEAHQKEKLEGEIAILQSQLLHLSLEADETSRQLDEGRFEKEVGGRDSLTSQVKHQLQASGNGEKPSIGKLFEQVGLQKILSLLEAEDADVRIHAVKVVANLAAEETNQGRIVEAGGLTSLLTLLKTTQDETILRVAAGAIANLAMNETNQELIMAQGGISLLSMTAANAEDPQTLRMVAGAIANLCGNDKLQTELRGEGGIKALLGMVRCRHPDVHAQVARGIANYAKCESRASSQGLKSGRSFLIEDGALPWIVQNANNEASSIRRHIELALCHLAQHEANARDMIKGGALWELVRISRDCSREDIKTLAHRTLASIPAFQAEMRRMRVSR >RHN48103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45036236:45037120:1 gene:gene42778 transcript:rna42778 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFLSSIVIFLSAVSAIESAAAADVFPTGEPVPVHFYPLSVPLPPKLGHVLPTGEPVPVHFYPLSVPLAPKSHVPTSVPHPPTQEHVILTGENGPVVPVNPFPANEPLPPTPEHVMPESTVPPSTRQALPTTNHVMPTGEPVPVHAIPERTAPPSTTQAFPTTNHVMLTGEPVPVHAIPERTAPPSTKQAFPTTNHVIPTGESVPVHAIPERTAPPSTKQAFPTTNHVIPTGEPVPVHAIPERTAPPSTKQAFPTTNHVIPTGEPVPVHAGPESTVPPSTGQAFPSSRKIKY >RHN47028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36580727:36585802:-1 gene:gene41576 transcript:rna41576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MATFTICEASSSSPCSSSSTTRLCSYHVFLSFRGEDTRKGFTDHLRAALERKGITTFRDDKDLERGKNISEKLINAIKDSMFAITIISPDYASSTWCLDELQMIMECSSNNNLHVLPVFYGVDPSDVRHQRGSFEEAFRKHLEKFGQNSDRVERWRNAMNKVAGYSGWDSKGQHEALLVESIAQHIHRKLVPKLSSCTENLVGIESKVEEVNKLIGMGLNDVRFIGIWGMGGIGKSTIARAVYEAIRCEFQLTCFLENVREISETNGLVHLQRQLLSHMSISRNDFHNLYDGKKTIQNSFRRKKVLLVLDDVNELNQLENMAGKQDWFGPGSRVIITTRDKHLLMTHGVHKTYEVWMLFQNEALNLFCLKAFKGDKPQEGYLDLSKEVVDYTGGLPLALEVFGSYLYGRNVDLWHSAIKKIRSVPLRKIQDKLEISYESLDPMEKDVFLDIACFFKGMKIDKVIDILENCGYFPKIIIQVLIDRSLITLDRVNNKLGMHDLLQEMGRNIVFQESPNDPGRCSRLWSKEDIDSVLTKNKGTEKISSVVLNLLQPYEARWSTEAFSKTSQLKLLNLNEVQLPLGLSCLPCSLKVLRWRGCPLKTLAQTNQLDEVVDIKLSHSKIEKLWHGVYFMEKLKYLNLKFSKNLKRLPDFSGVPNLEKLILKGCSILTEVHLSLVHHKKVVVVSLKNCKSLKSLPGKLEMSSLKKLILSGCSEFKFLPEFGEKMENLSILALKGTDIRKLPLSLGSLVGLTNLNLKDCKSLVCLPDTIHGLNSLIILNISGCSRLCRLPDGLKEIQCLKELHANDTAIDELPSFIFYLDNLKVLSFAGCQGPPAMSTNWFPFNWMFGGQSASTGFRLPTSFLSLHSLKYLNLSYCNLSEESIPNYFHHLSSLKSLDLTGNNFVIIPSSISKLSRLRFLCLNWCEQLQLLPELPSRIMQLDASNCDSLETRKFDPIESFMKGRCLPATRFDMLIPFPGDEIPSWCVSQGSVSWAKVHIPNNLPQDEWVGFALCFQLVSYTFPPELCNHEIDCYLFSPNGKQLILISTRRLPPMDPCYPHLYILYLSIEQFRDKILQDDYWDGVEFSLKCYCCHSLRIFSSGCRLVCKQVVEVFQDQTVVSP >RHN78299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13817614:13820424:-1 gene:gene1836 transcript:rna1836 gene_biotype:protein_coding transcript_biotype:protein_coding MKREKQSWKERRRRNIAHLDCFPFFIVYEFLAWHCFWIKRLNFENSETVPVKTISL >RHN82324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52825896:52829882:-1 gene:gene6480 transcript:rna6480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfhydrogenase MSLLSLTPPQIHSHAHFSPTPFPMSILRRFNLNPTNHRLRHRLATLSAAVRQDTTVWTPAPLSEIEPAAESLFHVSIDVSDSPDLATSHTRAGQYLQLKVGDSPKPSFLAIASPPKLAIKLGVFEFLVKSVVGSTAEALCGLKKGDVVELSPVMGNGFDISRIDPPEKFGTVLVFATGSGISPIRSLIESGFDAGKRSDVRLFYGARNLKRMAYQERFEDWESSGVKIVPVLSQADDSWTGESGFVQAAYTRAKELSNPSSTGAVLCGQKQMTEEITSILVADGVSAEKILKNF >RHN73647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18534833:18537051:1 gene:gene9485 transcript:rna9485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubby-like domain-containing protein MSNVAPIVSKLYCSSSQTVLGVRKRPHVVNGGGFVVTDCRTQKVVFKVDGCGIHGKKEELILRDGDGEPLLLMRRKGGMVEALSIYKKWKGYSINYEGLQKLVFSLKEPGNSCLVKNKGIRIAIEPGSVSNKSWDFEIRGYFPDKHCSIVDTRGNIVAQVGINKEVEKLMENKDLYHVVVKPGMDQAFVFGVIATLDYIYGESTYC >RHN58286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:535794:536466:1 gene:gene20183 transcript:rna20183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MGILGIGLYGSNEPTLNFETLTNQSYPVALEIILYTAFLIAFAVKSPIIPLHTWLPDTHGEAHYSTCMLLAGILLKMGAYGLVRINMELFSHAHSIFCPWLMILGSIQIIYAASTSFGQRTSYDRLRLLYLDEMGGMAIPMPKIFTIFTILSMASLALPGMSGFVAELIVFLE >RHN47594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40858243:40858476:-1 gene:gene42213 transcript:rna42213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MEGVVVQNGTQVLPGSSWANNQFTRYEKDQGNRMEQMRGSLMVVATVMASLTFQIAINPPGGVWQSKAEHAALVLQF >RHN67442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27282191:27286040:1 gene:gene15631 transcript:rna15631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MVSFSSNYAIGENSMASSVQTMPQPTTLSQDQPSFLTRMAMRISRSRCFNFLRRVFHYQNGPRSDLGSNPFNSSTWMMCELIALLIQITSSTFTLAISKSEKPVWPMRVWIVGYDIGCVLNLLLLYGRYHQINGTNGDALGLSDLEQQTNNEENSVYRSTHLMSKCRSSLELFFAIWFVMGNVWSFDSRFGTLQQAPKLQVLCIILLVWNAICYSFPFLLFLLLCCVVPLISTLLGYNMNIGSSSKGASDDQISQLPSWRFKVAHTKVDVNNDSQCSERLIADEPECCICLAKYKDKEEVRQLPCSHMFHLKCVDQWLRIISCCPLCKQGLQR >RHN39692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9651996:9653157:-1 gene:gene45741 transcript:rna45741 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNSNNNACGLISCDKLDRVANWVGTNVASAFFASLERCSCINLTTTDIEDDNNNDDLPLMVTTPVSHLPFEGPTTSLPSKSNLRS >RHN51931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28613332:28613820:-1 gene:gene36504 transcript:rna36504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MAASSSVALKFSILLIILLAFEATETIAVRVTVTIINQLPAPFFILRCQSRDNDLKVHNVQYGGSYTFSFKPSVIIFKTTLFFCSFTWPSDHRRHYLNVYDEDRDGRNNCDWEINIGGGCLSKKKKKRCFPWRSIKPNAYNTSKIARSNGVAEWKPAHPLAF >RHN75569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43377824:43383300:1 gene:gene11796 transcript:rna11796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative periplasmic binding protein-like I MKLFMVLYLMIWIWVILFCGITVHSERPESVNIGAVFTFDSVIGRVAKVAMEMAVSDINSDPTILSETNLNLIMKDGMCNAFLGSTGAFQVLEQGVAAIIGPQSSAIAHSISQIADAVHVPLISYAATDPTLSSLQFPLFFRTIQSDSEQMAAMANLIDFNGWKEVIVIFLDDDYGRNGISALSDELEKRRLKLAHKLPLSIHYDLDEITKLLNQSRVYSPRVFVVHVNPDPRLRIFSIARKLQMMTSDYVWLATDWLSATSHSFSSANQNSLSIVEGVVALRQHVPDSRKKRDFISRWKKMQKGVANTSLNSYGFFAYDTVWTVAHSIDKYLKVYNNITFSLHENNMVPHTEGIGIQFEKLKVFAGGSDLVNILLQSNFRGLSGQIRFSSDRNIISSGYDVININQMKINKVGYWSNHSGFSVLPPEVLAKKKHRRVSVDQKLGNITWPGGKTERPRGWVIADNAKPLRIGVPKRASFVEFVTEVQEIHQMQGYCIDIFMKALEFIPYEIPFVFKPVGNGKANPNYDALVKKLDENVYDAVVGDIAIVTNRTKIADFSQPFASSSLVVVAPINSSKSNAWVFLKPFSPDMWCIIVASFMMIGVVIWILEHRVNDDFRGPPKRQLVTMFMFSLSTLFKTNNNTISSLSKMVLIVWLFLLMVITASYTASLTSILTVEQLSSPITGIDSLIASNWPIGYQVGSFAYSYLTDNLYVSSSRLVSLGSPEEYAVALRNGPSGGGVAAIVDELPYVELFLSKETDFGIIGQPFTRSSWGFAFQRESPLALDMSTAILKLAESGELQNIHEKWFCKMGCPGERKRNSKPDQLHLSSFWGLYLSCGIISVVALVLFLLRMISQYVGFKQSQNEVVASSSKPPESHCSRVVVNFFNFIDKKEDAIKKMFTQCDNPHNPNSEL >RHN77452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6948805:6949808:-1 gene:gene912 transcript:rna912 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNLINWAGLGFVTSITFIFLVGIFMSSWLGASVLTLGELFIKKMPLVSYIYAASKQISAAISPDQSSNAFKEVALVRHPRIGEYALGFITSTVILRKSRDEEELYCVYIPTNHLYLGDIFLVSPNDILRPNLSVREGIGMYKVPCHIILGKQNFCIKIVISG >RHN43056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42863121:42863375:-1 gene:gene49578 transcript:rna49578 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAGKFVKVESAENMRSEETFTGFKDEGFEGFGISIEALGISIWVFESMCPTVFSSSLFRRVLMLIDSPLEQRECSVVVELV >RHN54278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8220879:8223328:1 gene:gene29285 transcript:rna29285 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TM6 MGRGKIEIKLIENPTNRQVTYSKRRNGIFKKAHELSVLCDAKVSLIMFSKNNKMHEYITPGLSTKKIIDQYQKTLGDIDLWRSHYEKMLENLKKLKDINHKLRRQIRHRIGEGGMELDDLSFQQLRSLEEDMNSSIAKIRERKFHVIKTRTDTCRKKVRSLEQMNGNLLLELEKCVIHPQFLFHDEGDEESAVALANGASTLYAFCQHHSHLNLPHHHGEEGYKNDDLRLG >RHN82227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52144290:52145909:1 gene:gene6372 transcript:rna6372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIP41-like protein MELEVDENDLNAAGAEVFANGGRKGIRIHGWLIESRTNSILNSSTVQEWEKKLETSHLPEMVFGENTLILKHLNSGTKIHFKAFDALCGWKQEALPPVEVPAAAKWKFRSKPFEEVILDYDYTFTTPYCGSGAIEIEKELNGTKISEETGNLHWEDCKEEIDLVALASKEPILFYDEVGSEYLK >RHN49674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:221452:222204:1 gene:gene33878 transcript:rna33878 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCGVESLFRVNHNFFSTNKCLLSEVKRAETMPLWLPQKSKPQAVYLLSWC >RHN82436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53798415:53802641:-1 gene:gene6603 transcript:rna6603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MASLNPLSTLCLSYLLLLTLFLSLAEPAITSSKSTKIGQGYRLISIQDDPNGAITGLLQVKEKNDIYGSDIPLLRFYVKHETESRLRVHITDAKSKRWEVPYDLLPREQPSPLKQNIKRLKKNSLSVSEHSSSELVFSYTSDPFSFAVKRKSNGDTLFDSNSNESDPFGPLVFKDQYLEISTKLPKDASLYGLGENSQPHGIKLYPNDPYTLYTTDVAAINLNTDLYGSHPVYMDLRNHEGGKPNAHGVLLLNSNGMDVFYKGTSLTYKIIGGVLDFYFFAGPTPLSVVDQYTSFIGRPAPMPYWAFGFHQCRWGYHNLSVVEDVVENYNKAKIPLDVIWNDDDHMDGHKDFTLNPVNYPRPKLLNFLERIHNIGMKYIVIIDPGIAVNSSYGVYQRGMANDVFIKHEGEPFLAQVWPGAVYFPDFLNPKTVSWWGDEIRRFHELVPVDGLWIDMNEASNFCSGKCTIPTGKVCPSGTGPGWVCCLDCKNITSTRWDDPPYKINASGLQAPIGFKTIATSAVHYNGILEYDAHSIYGFSQTIATHKALQGLQGKRPFILTRSTYVGSGKYAAHWTGDNQGTWENLRYSISTMLNFGIFGVPMVGSDICGFYPQPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWETVAESARNALGMRYKLLPYLYTLNYEAHISGAPIARPLFFSFPTYIECYSLSTQFLLGSSLMISPVLEQGKTEVDALFPPGTWYSLFDLTQVIVSKDGTNVTLNAPLHVVNVHLYQNSILPMQQGGMISKDARMTPFSLIVTFPAGANEGEAKGNLFLDDDELPEMKLGNGYSTYIDFHASVKEGTVKVWSQVQEGKFALDKGWVIDTINVLGLNGNGAIGTIEINGKPTNVKIDTTKQNYIHGRGDGEKNIVMVGMKGLNIPVGKSFAMTWKMGS >RHN58974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6331074:6331460:1 gene:gene20946 transcript:rna20946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MVNTKFLQFIAKCIAIICLLMQGHVLCNGGLNSQFIASEVEALLKFKEGLKDPSNLLSSWKHGKDCCQWKGIGCNTTTGHVISLNLHCSNSRNAPYFLKFSDYKIRTGEKLFFCHPITKEKLSSNSKL >RHN50757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10176019:10177176:-1 gene:gene35082 transcript:rna35082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MAESQGGNGSSSGNRRNKSANAAAKRAIARATQDEPVITPCGACKFLRRKCSSGCIFAPYFGTDQGAAKFAAVHKVFGASNVSKHLSNIPASHRHEAAATISYEAQARLADPVYGCVSTLLALQQQVAAMQAEVSMMQTQLMNRRLAYANASTLQAPYQPPFQLVQQPNFNVPVQPAYSNNSSASTTNLMNMNNFNPGFGLTMETAPSSQSLEPFQSSRMSRFEKDD >RHN55368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17709035:17709349:1 gene:gene30538 transcript:rna30538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MVGIYGMGGSGKTTLACAVYNCIADQFDSFCFLGDIRENSLKCGLVQLQKMLLFELTGKNDIKFCSLNKAIPIIESRLRGKKVLLILDDVDSLEQLKALAGELD >RHN53463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1794049:1794240:-1 gene:gene28372 transcript:rna28372 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSDQDIVALSGTTPLELHTRSVLDLRAHGLLILSFLTTHISRSCWVVRRKAFFSCQVIRHF >RHN40557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17556704:17557980:1 gene:gene46719 transcript:rna46719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MNDGFWEEKGLIVKLYEPFWEMYSLKRDSWRKLDGFDDMPVSYPGIMSMVNLNGFCHWLTQGPDVVSFDFSKETFVATTLPSSDVRHRSYSFALVELNESLSVIYNYDRTPDFHIWVLGEVGVKESWTKLFVVGPYNCLIVCPISVGNKNRIFFREEDSELGWLDLSTQRVERFEVQGKSFCTYMVIYQENLLPFPRNE >RHN55757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22690637:22692632:1 gene:gene30999 transcript:rna30999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MNCYTSLIAQCTNKKSLTTLKSLHTHILKSGSLFSFFGHKLIDGYIKCSVITEARKLFDEMPNRHIVTWNSMISSHVSRGKTKEAIELYSNMLFEGVLPDAYTFSAIFKAFSEMGVSREGQKAHGLAVVLGFEVSDGFVATGIVDMYAKFGKMKDARFVFDRVLDKDVVLFTALIVGYNQHGLDGEALEVFEDMVGSRIKPNEYTLASVLVSCGNLGDLVNGKLIHGLVVKYGLESVVASQTSLLTMYSKCNMVEDSIKVFNSLAYASHVTWTSFIVGLVQNGREEVALSMFREMMRCSISPNHFTLSSILHACSSLAMLEAGEQIHAVTVKLGVDGNKFVDAALIHLYGKCGNVEKARSVFDSLTELDIVSINTMIYAYAQNGFGHEALELFERLKKLGLEPNVVTFISILLACNNAGLVEEGCQIFSLIRNNHSIELTRDHYTCMIDLLGRAKRFEEATMLIEEGKNPDVIQWRTLLNACKIHGEVEMAEKFMKKMLDQAPRDGGTHILLTNIYASAGKWDNVIEMKSAGRDLRLKKTPAMSWVDIDREVHTFMAGDLSHPRAHEISEMLHELIEKVITLGYNPDTKFVLQDLEEEKKISALYYHSEKLAIAFALWKTCGKNTAIRIFKNLRVCGDCHSWIKFVSLLTGEI >RHN74778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36634985:36635446:1 gene:gene10892 transcript:rna10892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MNFFMMFLLCFASQLMAYFMPMATIALSLNSKTDKLTNGVPDYLPSWNESLHFCEWEGITCGRRHMRVSALHLENQTFGGTLGSSLGNLTFLRMLNLSNVNLHGEIPTQVGLLKRLRVLDLVNNNLQGEIPIELTNCTNIKVIRLSVLTHGCL >RHN67329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26089494:26093085:1 gene:gene15509 transcript:rna15509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDIQTLYFTSIFSLLFFVFIVNKIVTKKSNSSTPNLPPGPLMLPIIGNIHNLIGSLPHHRLRDLSTKYGPLMHLKLGEVSTIVVSSAEYAKEVLKTHDLVFASRPPIQASKIMSYNSIGLSFSPYGDYWRQLRKICALELLSSKRVQSFQPIRSEEMTNLIKWIASKEGSEINLTKEVNSRIFLITSRVAFGKECKDNKKFISLVWEATRVAGGFNLGDLYPSYKWLQNISGLKPKLEKLHKQTDVILQNIIDEHRLVDKSRAIEDHSEEVAEDLVDVLLKQDCLSDNSVKAVILDMYGGGSETSASTILWAMAEMIKNPRIMKKLQAEVREVFEKERKPNESDMEKLKYLKCVVKETLRLHPPGAFLLPRECGQACEINGYGIPFKSKVIVNAWAIGRDPNNWDDPERFYPERFIDNCVDYYKGNNFEFIPFGSGRRMCPGVTFGLVNIEFSLALLMYHFDWKLPNAMKKEDLDMSESFGVAVTRKNDLHLIPFTYHP >RHN72656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9468073:9468513:1 gene:gene8400 transcript:rna8400 gene_biotype:protein_coding transcript_biotype:protein_coding MEDARSLFGLIAHATHRFVSVIPLCLNAMSSFPVVWSYVQWRYEVVVTNIKIEMRNINLKFLTMLMFLMIMLCYFVFVLGWIESEEEVVRLEREEKGVNIESWWVWVVEWLMSNDD >RHN77184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4746608:4748922:1 gene:gene610 transcript:rna610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRAPCCDKANVKKGPWSPEEDSKLKSYIEQHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNLKHGGFSEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKHRKDQQQQARNRGNNGAIVKQESNNNRVMSSNEFSLSNLVQEQPYLPHVMQPLLSTPQPPPPSMLSYTNQQGFNDQDSIRKLLMKLGGRFSGDYHPNTTFDALNLQFSNATSSTHEQVYDQEHHVGSSTCVNSSNDNNHNQVQFAINGQYFDSVQEEQGKFIPEIDHMVSTNYPPRFDGLEFFYGEEDLNIDHNKIQGSSTNWSEATTSTYHHPLDSKYQG >RHN80908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41806347:41810824:1 gene:gene4890 transcript:rna4890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MPLYELYRLAREKLDNETNGTSVADQPSSPENDFFELVWESGQISSQGQSSRGRKSPSCRSLPSHCLPSHSPRGRDKDKDVGGGFSNNNPKIGKFGDLDSGLNEIPMSVPSREVDEMMPWLDYSMDGSLQHEYGSDFFHELTENDLPASNSFTLLDKRNNGNQIFRDSHKNSAEAMNVSKGSSAEQVVETARHKASASQLYPPSSNQCQTTSVTVRSRASDITENNNVSSGNQDVPYGDITRIPSSSSDFSSLKAQKQDPVMPGNGSNVMNFSHFARPAAIVRANLQNIGLKSGLNSASARSDIMGVKNKGAASTSSNPPDSALVNPSGECSKEPEMRCHKVVEPSKADLKPLQPKSLEPNAVATKQSKPACKENGIKNDQPSIQVLGDNGAKGHAAADKGMEVAVASSSVCSGNGADRGSDDPNRDLKRKSRDTEDSECHSEDVEDESVGVKKGAAGRGVAGSKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQMMSMGAGLYMPQMMLPAGMQHMHAPHMAAFSQTGIGMHMGLGMGYGMGMPDMNGVPSRFPMIQVPQMQGNHVPVAHMPGPSAMHGMARSNTQGFVLPGQGTSMPMPHTPVFPFSGGPLMNSSAPGPSVYGSTGHVEAVNPASACGSKDRRPDVDSKIKQNTAGRDSTSQIPNQSTLVHNGGHTSVADDSEAANPGNITL >RHN58034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43247351:43253121:1 gene:gene33639 transcript:rna33639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MAGVVQDTLPAHDHDESKLAIADDDTEVDDSPIEQVRLTVSTTDDPTQPALTFRTWIIGLACCIVLAFVNQFFGYRTNPLTITAVSAQIVSLPIGKLMAATLPTTIYKVPFTKWSFTLNPGPFNLKEHALITIFASAGAGGVYAINIITIVKAFYHRNINPIAAFLLAITTQMLGYGWAGMFRRFLVDSPYMWWPSNLVQVSLFRAFHEKEKRLKGGTTRLQFFFLIFVASFGYYIIPGYFFQRISTISVVCLIWKNSVTAQQIGSGMKGLGIGAFSLDWNTVVSFTGSPLAVPGFAIINVLVGFVLFLYVIIPISYWNNFYEAKKFPFISSHTFDSTGATYNVSRILNEATFEIDMDAYNKYSKLYLSIIFAFDYGLSFATLTATVSHVCLFHGKTIIQMWRKTTNALKENAGDVHTRLMKRNYEQVPEWWFMIILVLMVILALACCEGFGKQLQLPWWGVLLSLLIALVFTLPIGVIQATTNQQAGLNVITELIIGFLYPGKPLANVAFKTYGYISMAQALSFLEDFKLGHYMKIPPKAMFIVQLVGTLVASSVYFSTAWWLLTTIPNICDASMLPEGSPWTCPGDDVFYNASIIWGVVGPQRMFTKDGIYPGMNWFFLIGLLAPVPVWLLARKYPNHKWIELINMPLIIGGASGIPPARSINYISWGVVGIFFNFYVYKNFKAWWARHTYILSAALDAGIAFMAVLLYFSLQSYDVFGPTWWGLEADDHCPLAKCPTAPGIVTKGCPVF >RHN62784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45802750:45804822:1 gene:gene25393 transcript:rna25393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSTIYFTLRNITKILQLAPHIRSFTSQTKTNDTIPQSLYQLNKKISHLIRTGRLTAARTLFDSTNHRNTVTWNSMITGYVQRREIAKARQLFDEMPLRDIVSWNLIISGYFSCRGSRFVEEGRKLFDIMPQRDCVSWNTVISGYAKNGRMDQAIEIFESMPERNVVSCNAVVNGFLLNGDVDSAVGFFRKMGERDSASLSGLVSGLVRNGKLDMAAEILVEYGNEGDEKDDLVYAYNTLIAGYGQRGMVEEARHVFDGVMSDQGEGNEGKRRLKRNVVSWNSMMMCYVKAGDVVSARELFDRMVERDACSWNTVIGGYVQIGDMEEASKLFLEMPIPDVLSWNSIISGFSQIGDLKRVKEFFENMPHKNLISWNSVIAGYEKNEDYKGAIELFSQMQLKGERPDRHTLSSILSVSTGLVDLYLGKQIHQFVTKTVVPDLPINNSLITMYSRCGEIGDARHVFNEMKLYKDVITWNAMIGGYAFHGFAAQALELFERMKGLKIQPTYITFISVLNACAHAGLVEEGKRQFNSMINDYGIEPRVEHFASLVDILGRQGQLQEAMDLIVNMPVKPDKAVWGALLGACRVHSNVDLAQVAAKALIRLEPESSAPYALLFNLYADLGQWDDAERVRALMEENNVKKQAGYSWIDSTNRQ >RHN54373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9081160:9087966:-1 gene:gene29393 transcript:rna29393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MAILSISYQTHNSLYVSLLLTLILFLVSQSQRCYGSSSFGFDIHHRFSDPVKGILGIDNIPDKGSREYYVAMAHRDRVFRGRRLADGGDVDQKLLTFSPDNTTYQISLFGYLHFANVSVGTPASSYLVALDTGSDLFWLPCNCTKCVHGIQLSTGQKIAFNIYDNKESSTSKNVACNSSLCEQKTQCSSSSGGTCPYQVEYLSENTSTTGFLVEDVLHLITDNDDQTQHANPLITFGCGQVQTGAFLDGAAPNGLFGLGMSDVSVPSILAKQGLTSNSFSMCFAADGLGRITFGDNNSSLDQGKTPFNIRPSHSTYNITVTQIIVGGNSADLEFNAIFDTGTSFTYLNNPAYKQITQSFDSKIKLQRHSFSNSDDLPFEYCYDLRTNQTIEVPNINLTMKGGDNYFVMDPIITSGGGNNGVLCLAVLKSNNVNIIGQNFMTGYRIVFDRENMTLGWKESNCYDDELSSLPVNRSHAPAVSPAMAVNPEIQSNPSNGPQRLPSSHSFKKEPALAFTVAIILLLAIFLA >RHN61783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38326404:38327426:1 gene:gene24278 transcript:rna24278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthoxin dehydrogenase MEPRMIQNVESQNLAPKRLLGKVAVITGGARGIGAATAKLFAENGAHVIIADVLDEEGTKVAESIDGLYIHCDVSKESDIESAINLSISWKGQLDIMFNNAGIAGYEGRSITTLDMEKLTHLLSINLFGTIHGIKHAAKAMIKGKKGGSIICTSSAAATIGGFASHGYTMSKSAMDGLMRSAACELGVHLIRVNCVSPHGVPSEMLLNAFRCYGEVDMTSEQLSEFIGMNASLLKGRGATTDDVAQAALFLASDESGFVTAHNLSVDGGITSANSVMSFIYQDPK >RHN41790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33019964:33027191:1 gene:gene48150 transcript:rna48150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MAWRNGCEEVTQSKPLFLTIYTVVIIGIVVSSFYVFSAIYSSNTPASQSSAWLSSSISTEDPHHIDHTLNISQSEKSPTVSIPSPVKENVWPSSVWEIPPSNKKMPPLKNFRLTKELVQQRVKDNVVIVTFGNYAFMDFILTWVKKLTDLEVSNFLVGAMDTKLLEALYWKGVPVFDMGSHMSTVDVGWGTPTFHKMGREKVILLDSILPFGFEVLMCDTDMVWLKNPLPYLARHPGADILTSSDQVVPTVVDDSLEIWQEVSGAYNIGIFHWRPTESAKILAKQWKEMLLADDKIWDQNGFNDILHTQLGPSVDDDSGLVYTFDGKLKLGILPASIFCSGHTYFVQAMYQQLRLEPYAVHTTFQYGGTEGKRHRLREAMQFLDPPEYYNPPGGLLSFKPSIPKSMLLSGEHNIESHFTLINHQTKQIRTALAIASLLNRTLVVPPLWCRLDRMWYPHPGILEGSMTRQPFLCPLDHVFEVNVMLKKLPEEEFGPEIGIREYSMLDNPSLPPEVKKSWLDVQLCKEGTQGCDGSYNSTVGGVLKFPKHSNEEMFMKVFSSFKDVKVIKLSSVEDAFTGFTNKEREDRFRNRVKRYVGIWCCMPDTPIGHIYYDMYWDEKPGWKAIPPQSPEEDHPPL >RHN72237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6003564:6006982:1 gene:gene7927 transcript:rna7927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MASMKLGSKPEAFRREGQTWVCTTGLPSDVTIEVGEISFLLHKFPLLSRSGLLKKLIAESTSEDGSSSILQLHDVPGGAKTFELITKFCYGVKLEITALNVVSLRCAAEHLQMTENYGADNLIGYTESFLNEVFSNWPDSIKALETCEEVQNFAEDLHIFSRCIDSLAMKACSDPDLFNWQVTGRNDAKNQEEHELLNGMSCESKPQSVGDNWWFYDVSLLSLPLYKRLILAIESKGMKSETIAASLIYYLRRFLPLMNRQSSFKDTNHATIPTTSEADQRGLLEEIVELIPNKRGVTSSKHLLRLLRTAMILRASPSCKENLEKRVGAQLDQASLVDLLIPNMGYSVETLYDIDCIQRILDHFMSIYQPASLSASPCITEIGTLIAGVDTLTPMTMVANLVDGYLAEVASDANLNLSKFQALAVAIPDYARPLDDGIYHAIDVYLKAHTWLTDSEREQLCRLMNCQKLSLEGSSHAAQNERLPLRVIVQVLFFEQLRLRTSISGWFFVSENLENSQNPRGNIGPSGSCQVDSAETTENVRERLLELEKECSSIRKELQKLTKTKKSWSIFPKRFGFRRKSGSSNPNESNNVNTSSSVNKNPNNESS >RHN51325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16198405:16198692:1 gene:gene35749 transcript:rna35749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MFVLKQVIPTEFVKTHMHMMEEGNAILFVDQDRTWNVDLDLTLNKQFALTSGWSEFCAHNNLKFGDVCAFLLHKCKTTVLIQVAIFSLEEDMKTH >RHN45901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26645772:26648656:-1 gene:gene40326 transcript:rna40326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MKLSINKRTSKSVNLEAWKSPSDPSVGNFYSSIFERPPNIIEVFIWNGSRPYWRSGPWNGEVFTGIQSMIDSYFFGFRGGDDGEGNIYIYYAVQNDDEFFIYNLNSKGELEETGWDDEKKEVQVLWTSRESKCDVYDLCGAFASCNSLSSPTCSCLRGFEPRNIEQWNIHNWTGGCVRRTPLQCERVNNKTTSTKEDGFLKLQMIKVPDFAEGLTVTSDICRSLCLENCSCLAYSHDDGIGCMSWTGNLRDIQQFQRGGLDLYVRVANGELDRGRNKTIIIIAKLRHFIKSARKKSNKASNKGGSPEDYASDNVIGEMSQIKLQELLKFDFEKLATATNNFHFSNKLGQGGFGPVYKGKLQDGREIAVKRLSRASGQGLQEFMNEVVVICKLQHRNLVRLVGCCIEGDEKMLMYEYMLNRSLDAFIFDPSKNKLLDWRTRYNIIEGIARGLLYLHRDSRLRIIHRDLKASNVLLDEELNPKISEFGMARIFGGGEDQANTSRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLILEIVTGRRNSSFYENEHALSLLGYVWIQWREDNILSLIDQGIYDPSHHNYISRCIHIGLLCAQELAKDRPAMAAVISMLNSETASLPPPSKPAFILRESMLNSKFPEECQNGCSINNVSITDVCGR >RHN51723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23496663:23496864:1 gene:gene36230 transcript:rna36230 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPNRFGSLKPTQDLNRTGPSLSSKPNSEFVLRPNSLLLYFLLAVCVPLFILLHPTL >RHN82248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52312779:52314131:-1 gene:gene6393 transcript:rna6393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxycinnamate 4-beta-glucosyltransferase MGSEVPLHILLVSFPAQGHINPLLRLGKCLAAKGASVIFTTTEKAGKDMRITNKLATPIGDGCLTFEFFDHCLLDDVFFSKMIKNHADSSKPISCIIYNPFLPWVCDVAFEHDIPSALSWNNSSAVFATYYNYVHKLVPFPSETEPYIDVHLPFIVLKYNEIPDLTHPFNPYPILGTLITSHMNNLSKIFCVLADTYEELEHDFIDYLSKKSVLIRPIGPLFKNPTIKAASNIRGDFVKSDDDCSIIEWLHTKPTGKVVKWSPQEEVLDHPSVACFVTHCGWNSTMEAIASGVPVLAFPAFGDHLTNAKFLIDVFGVGIRLGYSLMENKLVTRDEVKKCLLEATTGEKAEELKKNAMKWKKEAEEAVAVGGSSDWNLDAFMEDIKKRGVVNIHKV >RHN58022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43160398:43160595:-1 gene:gene33627 transcript:rna33627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MVEIVECAPRCEDRCSKTHHKKPCLFYCKYCCAKCLCVPPGTYGNKEVCPCYNDWKTKEGGPKCP >RHN68307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34470263:34472840:-1 gene:gene16643 transcript:rna16643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydrofolate synthase MLRNHSLIPQTPLFLSSNPSFSIPFPRYNNSLILSTNTQSLSFTLQSKLYMAQEGDNGSPKSSPYEEALEALSSLITKRTRVGDVNMEERFLVLFEYLKMLELEEAISNLKIIHVAGTKGKGSTCTFTESILRNCGFRTGLFTSPHLIDIRERFRLDGC >RHN41544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30944885:30945959:-1 gene:gene47878 transcript:rna47878 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSGSIIDLNCIGPSRRPAKLKNCETCDPKPPMEPSSTVISISCSLASSLMRSVSKGLQNLASATVT >RHN82604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55118022:55126214:-1 gene:gene6779 transcript:rna6779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase TKL-Pl-4 family MVTVAMEDNESCGSGIHHRTSSSIPAQSRQQRQKVEVYNEILRRLKDSGNEEAMQPGFDDQLWNHFNRLPSRYALDVNVERAEDVLMHKRLLHLAHDPANRPSIEVHLVQLHPSSDGNSADSFQSCAPGVDSGQSSSKYSSKQGILPPPAFGSSPNLEALALEENDSEDLEEEQSVHASVQYSRPMHEITVSTDDKPKLLSQLTALLAEVGLNIQEAHAFSTTDGFSLDVFVVEGWPYEETEKLKETLEKEVLKIERGERSSQQSVSSVDECDQSRMKNELDRFTIPNDGTDVWEIDPKHLKYGTQIASASYGELYKGIYCSQEVAIKVLKAEHVSSEMQKEFAQEVYIMRKVRHKNVVQFMGACTQPPRLCIVTEFMSGGSVYDYLHKQKGFFKFPTVLKVAIDVSKGMNYLHQHNIIHRDLKAANLLMDENGVVKVADFGVARVRAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGVVLWELLTGKLPYEFLTPLQAAIGVVQKGLRPTIPKSTHPKFVQLLEKSWQQDPTLRPDFSEIIESLQQLAKEVGDEGEERRRDKSGGFLSVLRRGHH >RHN64094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56536130:56536765:-1 gene:gene26875 transcript:rna26875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain, type 1 protein MILAEDEPGSSFPPAHKRSIHGLDAPSRVPASQVGSLIWKQGGTIKSIQASNLLSSCSRSY >RHN72853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11238734:11241239:1 gene:gene8615 transcript:rna8615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MNSLATSMWCVVLLVVLGGLPFSSDAQLSPTFYSKTCPTVSSIVSNVLTNVSKTDQRMLASLVRLHFHDCFVLGCDASVLLNNTATIVSEQQAFPNNNSLRGLDVVNQIKTAIESACPNTVSCADILALAAQASSVLAQGPSWTVPLGRRDGLTANRTLANQNLPAPFNTLVQLKAAFTAQGLNTTDLVALSGAHTFGRAHCAQFVGRLYNFSSTGSPDPTLNTTYLQQLRTICPNGGPGTNLTNFDPTTPDKFDKNYYSNLQVKKGLLQSDQELFSTSGADTISIVNKFSTDQNAFFESFKAAMIKMGNIGVLTGTKGEIRKQCNFVNSNSAELDLATIASIVESLEDGIASVI >RHN57704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41149059:41151084:-1 gene:gene33285 transcript:rna33285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLTLSCSALSQSPPPQQPPSISKFISNHPCLTMLQNHCTTINHFHQIYPHIIKTGLTLNPIASTRALTFCASPSGNINYAYKLFVRMPNPNLYSWNTIIRAFSRSSTPQFAISLFVDMLYSQIQPQYLTYPSVFKAYAQLGHAHYGAQLHGRVVKLGLQNDQFICNTIIYMYANGGLMSEARRVFDGKKLELYDHDVVAINSMIMGYAKCGEIDESRNLFDDMITRTSVSWNSMISGYVRNGKLMEALELFNKMQVEGFEVSEFTMVSLLNACAHLGALQHGKWVHDYIKRNHFELNVIVVTAIIDMYCKCGSVENAVEVFETCPRRGLSCWNSIIIGLAMNGHEREAFEFFSKLESSKLLKPDSVSFIGVLTACKHLGAINKARDYFELMMNKYEIEPSIKHYTCIVDVLGQAGLLEEAEELIKGMPLKPDAIIWGSLLSSCRKHRNVQIARRAAQRVYELNPSDASGYVLMSNVHAASNKFEEAIEQRLLMKENLTEKEPGCSSIELYGEVHEFIAGGRLHPKTQEIYHLLNDSSFAFQD >RHN62763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45694577:45695059:1 gene:gene25370 transcript:rna25370 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEYPSHHSSTALMSTMGAIQAIVFAICVDRDLTQWKLGYNIRLLMVAYSGIVASGITTIVIAWCIKMRGPLFASVFYPLQLLLVFVAANLLIDEKLYLGRYILNLTKLYQIFLTYLIITKFILKFFAYY >RHN68100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32850696:32851998:-1 gene:gene16397 transcript:rna16397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAHFLMFVYALITCLSLFLVEMGHLSIHCVSVDDCPKVEKPITMKCINNYCKYFVDHKL >RHN81327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44883911:44884635:1 gene:gene5345 transcript:rna5345 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGSVRKKTNPSELETFGQGVISKEKEVTKLTTWPVLLSQMGTGLEYKTRPTFGVAWVVSVSDKAPFSKISALP >RHN81803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48985028:48990075:-1 gene:gene5893 transcript:rna5893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Nin-like family MSEPEEENQDFPPKTKTSLEEHGCSMDFDLDLETSWPLDHMSFITNPMSPFLFSNISDQPSSPLWTFTDGEDGKLAASALSDCHKIFSCDSNSITEKLVEKDDNKTLLPPLLPPIENLDGYCVIKEKMTQALRYFKEWTELNVLAQVWAPVRNGNRYVLTTSGQPFVLDPHSNGLNQYRTVSLMYMFSVDGENDGTLGLPGRVFQQKLPEWSPNVLYYSNKEYPRRDHAQHYNVRGTLALPVFEPSLQSCIGVIELIMTSLKINYAPEVEKICKALEAVNLRSSEFLDHPFTQICNEGRQNALSEILEILTVVCETHNLPLAQTWVPCRHRSVLAHGGGFKKSCSSFDGSCMGQVCMSTTEAAAYIVDAHLWGFREACVEHHLQQGQGVAGRAFLSQTMSFCTNITQFCKTDYPLVHYALMFGLTSCFAICLRSFHTGNDDYVLEFFLPPGITEFHEQKTLLGSIFSTMKQHFQSLNIAAGVELEENGSIEIIEATDEGIRLRTESIPIAQSIKSPPRPDASPNMEDEEEGVPQDPSEVRGENVGGSVDPMSTLGNKNIKKPSERKRGKTEKSISLEVLQRYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKRVIESVQGAEGAFDLNSLGNNQLPIVSSFPEPSTLNKSSQQGSLSNRPSEPQMKENEFDASKVSETNLQIVMENQLLGGRKHSLEKEVINGKGVTIQEIGKDRKRNRTRSGSSEDSTNPTSHGSCHGSPPIEIPTIKDLFIPSNNEQHVVLRRSPEPGMQPTNALNSPTAHRMVDNVIAELQEPFGGLLIEDAGSSKDLRNLCPSVAEAILEDMAPEACGNNFPGSSHLAPPKQCIDTINNSATPFAARKEMKTVTIKATYREDIIRFRVSLNCGIVELKEEVSKRLKLEVGTFDIKYMDDDNEWVLIACDADLQECMYLSRSSGGSNIIRVLVHDITSNLGSSCESSGE >RHN46025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27861029:27861343:-1 gene:gene40462 transcript:rna40462 gene_biotype:protein_coding transcript_biotype:protein_coding MILICIGRLAISELIAIQIPCMRSRNPLSMFHIFGNLISLIKKSFSQDWIVDLCYTLRESNSAADCLVKLGAKSSEKLVFLDTTPSYLSSMLLVDALDISFVRY >RHN57972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42837980:42840123:1 gene:gene33569 transcript:rna33569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MENNFLWLYILLSLIVLKLLILNVGKLFKSKSSDKNTTSNLPPGPWKLPIIGSIHHLIGSLPHHRMRELSQKYGPLMHLQLGETSVIVVSSKEIAQEVLKTNEVIFPQRPRSLGLEIVSYGCTDIAFSPYGEYWRQVRKICTLELLSVKRVRSFQSIREEEVSKLIRYLSINKGSTINFTDEILAMTYNIASRASFGDNCKDKEAYILFMKESMSVAESFTIHNLFPSQHWLHVITGMMHKLKRLHRSGDMFLQNIINNKVTKKTSEDGSLLSYLLNLKHDGSSNPDGFHLTINNIKAVIQTMLIGGSEPASLTIQWAFSEMLKNPRVMKKAQEEVRQAFGSRGYVDEKDLQKLKFLKAVIKETLRLHPSNPIFPRECIETCEINGYTIPAGTQVFVNSWAIGRDEKYWIEGEKFYPERFLDSPINFRGSNFEFIPFGAGKRMCPGISFAASSIELPLAQLLYSFDWKLPSGTTHENFDMTESFGATVKRKSDLFVIPIPYNP >RHN48471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47702290:47702728:-1 gene:gene43190 transcript:rna43190 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIVLFSHQPVCLLLPTDFNYYVFHFSHDIVFQHSIFNPSIKFSSHSLRIMLCFLHLFNIPCS >RHN77429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6818599:6821933:-1 gene:gene881 transcript:rna881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPPDE putative peptidase domain-containing protein MKLKSKKGWKSIVPLHLKGKSPVTRFSLFRKVNSASHGPGKTPVYLNVYDLTPMNGYVYWAGLGIYHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFIGTTSLNPVQVREFMECECANYNGDTYHLIAKNCNHFCKDICYKLTGKSIPTWVNRLAKLGSICNCILPEALRISSVGHDPNHEARDSEKRRLRSAFNSLSSISMRQKQLSKSSLFLQSPLRGCLSSKWPSWELSKSINRSFKER >RHN62934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46833844:46836808:-1 gene:gene25572 transcript:rna25572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MLVITPLLYYSLLSLSFIVTLKLLLQRRKFKNLPPGPSISLPIIGNLHNINLPLHRSLHNLSQKYGKIFTLWFGSSRVVVISSQTLLQQCLTKHDVDLSNRPRFLTGKYLFYNYTSLDSAPYGDHWRNLRRIITLDILSTQRLNSFVGSRRSETLKLIIKLAKDTSERFTRVELRTRLTDMTFEIMTKMVAGDAEEGKKFKEMINEMMPLFGASNMGDFVPLLRLFDFDGLVKRMKDIGKRGDSFLQGIVEEIRSGKHGDNNMLQHLLTLQKSQPDYYSDEIIKGLVQGMFLAGTDTTAVTLEWAIATLLNHPNILKKAKDELNTQIGYDRLVEESDIPNLSYIQNIIYETLRLYSPAPLLLPRFSSNECNIEGFTIPRDTIVLINAWAIQRDPETWSDASCFKPERFEKEGEANKLIAFGFGRRGCPGIGLAHRTMALSLGLLIQCFEWKRLNDEEVDMTENKIGVVTQKLNPLEAMCKARPIINKVIQESSV >RHN54243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8018417:8023291:1 gene:gene29246 transcript:rna29246 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYTAIAFDRFLETGASKPIDKPAPTSMPVPKVQKLERTTSAPPVKNKVPRPRLKPALYTTPEVKQLPVVDSPSSFPPSPYIINHKRRGPRLHKSSSEASVLSKKNVSSDEKVDDKSFDTCAASSAGDLQFSFTNPEPVEKELLNGVCGGEFDRSNGSELMNGHKEPENSSFANGLIRENGPALNTARDTDIEDFFDPQDSMSFTSNTDVEENAGTDLSMKFSSPGGEFYDAWEELSSDAATQNSASDVEAELREMRLSLLMEIEKRKQAEESLNNMRNQWQSIRQGLYLAGIILPADLTAVAEGEQLNSDPVEDLCQQLYVARFISNTIGRATVRTEVEMEMEAQLESKNFEIARLMERLHCYETMNHEMSQRNQEAVEMARRERQRKSKKQKWIWGSLTTVIALGTAAVAWSYLPAGGESYSAEDHPVPKHDDAAK >RHN79904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33394357:33395481:1 gene:gene3759 transcript:rna3759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MADLPPEILTGILSLLPVQSLLRFRSTSKSLQSLIDSHNFIKLHLQNSLNRSLILRHNSVFYQINDFSNLTTRIKLNLPFKLPNNNISLFSSCNGLLFITTNVGIAFWNPNIRKHQNIPNLPISIPPPRSGNVHFLSCVHGFGFDPLNVDYKLLRISCIVDPQYSTSDSHVRLFSSKMNSWKDLPSMPYALSYPRTEGVFVENSLHWIMTRKLGQLQSRVIVAFNLTHEIFNEVPFPEIGEEVNSESFEIGVAVLEGCLCMIVNYQTVKIDVWVMKEYGCRDSWCELFTLAESCFILPLKTLWPLAYSSDGSMVLLEVDCEKLFWYDLKSEQVSCVEGIPNFDQAMICVGSLVPPSFPRKENYTSKRRYSLFII >RHN38793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2021850:2022805:-1 gene:gene44760 transcript:rna44760 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFFFFLFLLISHNSNMYMYLMNIYTHHYSDAQVIDVEQPTNSSNPNNQTRYDHTDDEQRLWRVFVTSVILCLLLIIFPALKMSSADGELNETVVTALIISFVISIQLCIVYLASFRPSNSSIFGILFILLLSSAISFIEVILVSTTATIVISITWFHVFCWVFIVHWEVIYSEEIRFSHGIQKLITAICILLLGYAVRSIVLDFKS >RHN42355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37657101:37659659:1 gene:gene48787 transcript:rna48787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ascorbate ferrireductase (transmembrane) MRSYSTSILAHLFGIIALILMLVWLLHYREGIEYDSGNPLRVFNTHPFLMYFGFIFLVGQAIMSYHTVPGTHETQKIVHMTLHFIAIVLGIVGICAVFKFHDMVNLVDVYSLHSWIGIGTFCLFGLQWLFGLTFMFQGTRQSRAAMAPWHIAGGRALFYMAICAALTGLMERSAMLKLMPHQRESHLINFTGLAILLFGVFVDMTVGLAHIVS >RHN39725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10008883:10014675:-1 gene:gene45784 transcript:rna45784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle transport protein, Use1 MGISKTEVNLKRLLAAAPHQQNQAKLVHYVATLREQLEQLAEEKTPEGLPRISKAMLNDYSEKIEGIASKLVHVSDIEVSEDTERNVKENPSEIEDIMPMSPSSGLRRRPVAASSTEDRAYEPAETDHLSSVKLDAAGHAHIEKHRKLQDDLTDEMVVLAKQLKESSLMMSQSVKNTEKILDSTEEAIEHSLASTGRVNVRANTIYSESSKTSCLTWLVMFVMMCVFVMVILLIRVT >RHN69908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47275660:47278461:-1 gene:gene18441 transcript:rna18441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MGLYLIPSTKVCFINVKRQHKCGAAPTKTNIDFSSLIISMLLHMLVLVSLVYPINSLETSNHQLINQTFRSDEEFRNFKKMIAADLQRINKPAVKTIHSPDGDIIDCVLTHKQPAFDHPLLKGQKPLDPPERLRWHNQIDNLSDIFQLWSLSGESCPEGTIPIRRTTEQDILRAGSLNRFERKFTDASNGHEVSPQLYGDNRPRIFIYWTADAYKHGCYNLKCPGFVQISKKFALGAGISPVSKYNGQQFDIILSIRKDPKDGNWWLNYGPGNGIALGYWPSSLFTHLKDNADKIQFGGEIINTKSSGSHTSTQMGSGHYAEEGNGKAAYINDIQVLDSNNKVIVSPDLKYFVESPNCYTIHKDGKYLYYGGPGRNQKCT >RHN66739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17935019:17942810:1 gene:gene14810 transcript:rna14810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MISQTHSCVVIATIIFFVTVGVNSISEVDKISSLPQQPKVGFQQYAGYITVDEVQKRALFYYFVEAEVEPASKPVVLWLNGGPGCSSVGAGAFVEHGPFKPTKNGLIKNDYSWNKEANMLYLESPAGVGFSYSTNESFYDSVNDYLTARDNLIFLQHWFTKFSEYKNNEFFITGESYAGHYVPQLAQLIVQTKSKFNLKGIAIGNPLLEFNTDFNSRAEYLWSHGLISDSTYDSFTKICNFSQIRRQYANGALTTVCARVNRLVSMEVSRYIDSYDVTLDVCLSTVEQQTYVLTQLQEGEKIDVCVEDETFTYLNRKEVQEALHAKLVGITTWTTCSGVLKYNMQNLEIPTINILGTLVKSGVRVLVYSGDQDSVLPLIGTRSLVNGLAKDIGLNTTESYRTWFNGRQVAGWTQVYGDMLSFATIRGASHEAPFSQPGRSLVLLKAFLEGKSLPTIL >RHN78983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20574231:20575656:1 gene:gene2658 transcript:rna2658 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEQQVGHLIKPFSLQSMHLFSNDASLYLLNFPFSSSNTLLLPLHFEQGTNLASPHLSHTNPESLIGKPFNRFSNSPDSCSNLISSAFPTCFPLIKTCGNVTILSPSAFCNSFL >RHN75276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40851466:40852524:-1 gene:gene11452 transcript:rna11452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MVSSSVDAIGRCKHKLSKRQKSNKGQDLISNLPDHIIGCVLSFLPTKDAVSTSVLSKRWIYLWTFITKLDFDDIGYCSSNEIRKACFVDFVDRVLLRLNSEHIRSFSLKMSEKYDSSYINKWISIVINLRVRKLRVYLQNDLAVSSDPLLKCQSLEELVLSRCAITLSTFVCLSSLAVLNLYCIIISCSSSNDSKTLTLNFPVLRKFVTFGCILSGVKSVILQVPLLEVVSISYHPFYRTSHAEIKFYASRIATFCYYGHMSDTILFEGHSVASADITLYNKNKKSPQEIGIFVCKLLSINPECLKLSVHGCISQVCFFYFSCFTMFVHFASIFSMHILTRVLPPIFAVRIV >RHN51645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21567848:21575447:-1 gene:gene36127 transcript:rna36127 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFITLEADFIFEDHVSNADIEVSKSETVTRGDENKVVYEPIQFETPEQLDTLLENVCFTEKERMEMLSKTPEVENCMEMLMPESLRQYPYEDLESVSRIEDVISEYLKGENACASEGNIPVQHLAHSEKKMFLILEVDEESLGIPTSLSMVDIVESYFGNIKPQSFDERYQSITEGNELLDSEMHEMVKLFSEDCVSKKSLVLSDIFPERDFINMLESEHVDRNVALDGTLQSNNALLVNLITFQEFVFFDEDMINTFEAFYDTKASNDLEETSYWMFKKEFNFKSFDELIVSNEMALGDDKFKSLPVPVISDHKKMIYVHDIIEELFSNMKVQTLSASDGIYLNWDLLEEDKCSYNISNWYHTIWAKIDMNNHDFGEESLDNRKSILELVFFGDTSGACDLQNSEELQKLLSECISQLDNRLVEFAANTLLEHGSSNKGCREQLPEKKAQRASSLFKSTSEIRNLSHTTGKCDLQQSEELQKLLSDSISQPDNQRVEFAAGKAVENGSSNEGRRKQLQEIKAERASLLFKSMSEISNFDYFLNPQKATSKESCCFGADSANANVSIPKVTSTALKAGLQSQGWHTVLHKVKLSDNIVALARYFEKSYVAILQSDTELAKTHKLDVHYFELLSLQKHKLIEFHVNGNNMAFIVLSAIKQAVWYLCFYGLNPACLYVDKLCQNIDYLKSRLGVLQSLIKDENRKMESNISMAHSSLITVREILQANIKHDSLKALIVAEEVFWGSLKSLLLFLGLSFSELNTSYRCQPYANNLPGDTNTKMKGLLSSDCLLVSYRNVSPLFPFNKFGIILEYGGPNDSSRISELPSNSVGLPTLHFLTVELDDHAALKAFCQGVELPPYSEMLLETEAPLLFNHKESMVNRKLERLLNFYPVEQRYDINSSKTALEADNFVPLIPAVKTDHSHQSLESFSGSVIIVNTQNVDKEMIVSRRSSYQVILAMEKGGIQVVERDLDLPVDIILSSAVCLAWYDSRNLGKKATPATEASSSLSLCVENIATDILPLLSFYFRGCFLVFEGEFNFLSTVMEFSDGFYAAATSLGIDLQIFFSYSPELTNEVMINCIKSTAILTRGLYPKMPDSVTLAESFLTQFPGINPLTAHSILSLGVTLHEFLAWSHEQRIRVLEKYHVPEESLSLLSVFCRYGEREDSKSIMTDCSSSVSSGPDSDRCRFYQADNKRKRTNPVINGQNDELLQFKTLNHDEAIPDPSTLQNPFNLGMSRDAGRSRDLGKSSLYTNDAFYQKQRNIATTTRNHSRVSPSSWNCKASQISEHLGHPSFSLKNKELSQNEIMDTGLNWHNLGNFEKLHEDIRGEVVDLTNSPLLDESFSISDSMYFPNLMTETEKDHMRKNKIVRKLSFDNSIQPETNSIWGSMNDTGEIDHHEEPDFGKDAFPLDFNPHGSIDSTPVRNLGGITFQEGMSYLSETPLSRVRRSGTPLKHSPWTTEFINKVKEKSKLRQKSVLSGNISSYFGYQGNISKASKKRSPSILESFRYQPSKTPGNIPEQKRQKQSAKSSNSAKKGRYAVPISARTPNDKRSTQVRFTSIASIYVSSIYVTICIHDNYEVSLMNMHRKCKTNLYLRLMI >RHN56880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34420601:34422112:1 gene:gene32344 transcript:rna32344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MLGMAAVPAVVQLALMFSLPESPRWLAIPKTILKKIYPPEEVEAEIKVLSESTEKEIKEAEFSNNITIVQMMKTKAIRRGLYAGMGLAIFQQFVGINTVIKKLALISLTGVVGSLILLTVVFHQTAITSPLISPTETANFNSTCPGYSKAIDPAKWDCMTCLKDESNCGFCDSTDKLKPGAYLIQDDASKERCASQHRDWYTQGCPSNIGWLAIVGLAVYIIFFSPGMGTVPWVINSEIHPLRYRGICGGIASTTVWVSNLIVSQSFLSVIELLGTAWTFLAFGVISCMAIVFVIIFVPETKGVPMEEMEKLLEERKLGFDLGNKPSS >RHN54661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11114411:11114884:1 gene:gene29733 transcript:rna29733 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEFESYQKISPPNLEGVDVRNLSALGVLRDCLCVTTTSDDVWVMKEYGNKESWTKLFTIPYMRDPSKRDVFPKAVYIFEDDQVLLKFMNDFDLNLILYNPRSRTLKANNFKDIPEVCVESLVSPCSLY >RHN50142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4455968:4457695:1 gene:gene34397 transcript:rna34397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MSNSASRKPHAVLIPYPLQGHINPMFRLAKLLHLRGFHITFVNTEYNHKRLLKSRGPNAFDGFTDFRFETIPDGLTPMDGDGGDATQDLISLRESIRKNCIEPFRELLAKLNDSAKAGLIPFVTCLVSDCIMPFTTQVAEELALPIVIFFPSSACSFLSILHFRALIEKGLIPLKDESYLTNGYLDTKVDWIPGLRNFRLKDLPDFIRTTDANDLMLEFIFEMVDRLHRASAIFLNTSNDLESDVMNALYSMLPSLYTIGPFASFLNQSPQNHLESLGSNLWKEDTKCLEWLESKESGSVVYVNFGSITIMSPEKLLEFAWGLANSKKTFLWIIRPDLVIGGSVVLSSEFVNEIADRGLIASWCPQEKVLNHPSIGGFLTHCGWNSTTESICAGVPMLCWQFFGDQPTNCRFICNEWEIGIEIDMNVKREEVEKLVNELMVGEKGNKMRKKVMELKKKADEDTRLGGSSYLNLDKVIKEVLLKQN >RHN72542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8493547:8496551:1 gene:gene8268 transcript:rna8268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative B-cell receptor-associated protein 29/31 MIHLLFTLIFSEATMIALLLFKTPLRKLVIMALDRLKRGRGPVMVKTVAGTVLVVLSSSVYSMMKIQQRGIEDGASVNPTDQVLMAKHLLEATLMGAILFLALMIDRLHHYIRELRIRRKSMEAVKKQMRGAEDAKAGTSDETKTMEQEAAKLRAEVGRLESELQSKDKEVGAAEASVSALRKQSEGFLLEYDRLLEENQNLRNQLQSVDRRFSHSVSKKNM >RHN68180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33450553:33455621:1 gene:gene16494 transcript:rna16494 gene_biotype:protein_coding transcript_biotype:protein_coding MITLKGFFVVVIVSVSLDSLEKERAIYAVVLEKPRLKASCSILINPKSLLGALSSLHESDSDFWVFSLPFIFGI >RHN52063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31192759:31193650:-1 gene:gene36680 transcript:rna36680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor EIL family MLMMMEVCDARGFIYGVIPHEGKPISGSSENLRGWWKEIVKFDKNGPAAIAKFEAENGITTTNYEKLNGEPITLHSLNELSDTILGSLLSSLVPHCHPPQRSFPLEKGIPPPWWPTGKESWRNEMRFCEEPGLPPYRKPHNLKKVWKVYVLAAVIKHMSPNVHNIRNIVRQSRSLQDKLTMKETSIWGAIIDHEETIARKIHPEFFSSFDSRVEGSNYLHVEANDVDVVEGGEHNLAKRKLSPSSSPSSSSSSSYEGTNKRKRKLGKKIGM >RHN68943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39773450:39774122:-1 gene:gene17366 transcript:rna17366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MRVCPQWWNICKDPLIGSHLHSMRLVDCYTISDKQFSEVARRFPQLEKVDISLCCITSVSLEVLGRSCPLLKSLEFGKSKSLVKSRFVYCESDDRVALVIAETMSCLCHLGLSGHELTNVGLHAILVKCPLLKSLDIRR >RHN50152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4528074:4529636:-1 gene:gene34407 transcript:rna34407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MSDSKPHAVLIPSPVQGHINPLLKLAKLLHLRGFHITFVNTEYNHKRLLKSRAPNAFDDLTDFSFETIPDGLTPTDGDGDVSQDIYALCKSIRKNFLQPFRELLARLNDSATSGLIPPVTCIVSDITMSFTIQAAEELSLPLVFFNPASACMFLTCIHFSTLLDKGLIPLKDKSYLTNGYLDTKVDCIPGLENFRLKDLPDFIRITDPNDSIIEFIIEGAGTAHKDSAFIFNTSDELEKDVINVLSTKFPSIYAIGPLSSFLNQSPQNHLASLSTNLWKEDTKCLDWLESKEPRSVVYVNFGSTTVMTTEKLLEFAWGLANSKQHFLWIIRPDLVIGGSLVLSSEFKNEISDRGLIAGWCPQEQVLNHPSIGGFLTHCGWNSTTESICAGVPMLCWPFIADQPTNCRIICNEWEIGMEVDTNVKREEVEKLVNELMVGENGKKMRQKAIELKKKAEEDTRPGGCSYINLEKVIKEVLLK >RHN49754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:885948:886208:-1 gene:gene33962 transcript:rna33962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phenol beta-glucosyltransferase MNAALLSDGLKVAIRPKVNENGIVEREEIAKVVKNLMVGEEGKEIHQRMEKLKGNAIDALKENGSSTMTLTHLALKWKSLGSHQGT >RHN73990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23261244:23266340:1 gene:gene9909 transcript:rna9909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin-containing monooxygenase MEKRVAIVGAGISGLLACKYVTQIGFNPIVFEADDGVGGLWRHTIESTKLQNPKQAYQFSDFPWDSSVKEDNPNNHQVQEYLNSYAQHFSIIPYIRFNSKVIDIDYVGESNEEMKSWELWSGNGSPFGSKGTWHITVEDTKDFSTKVHKAEFVILCIGKYSGFPNIPEFLPGKGPEVFRGKVMHSMDYSALDKETAAELIKNKRVTVVGSGKSALDIAAECANANGTTHPCTIIHRTSHWFLLDFNILGISLGYLYFNRFAELLVHKPGENFLLGLLATLLSPLRWGISKLAETYLKWKLPLKKYGLVPNHSFLQEISACQIGILPESFFEKVEEGSIMIKKSQSFSFCKEGLIINEEAKPLETDVVILATGYKGDQKLKSIFKSPIFQNYIDNSKISLYRHIIHPRIPQLAMIGYAEAISNIVSNEMRCQWIAHFLDGNIELPNIKEMEKDVKLWEDNMKKYSRKFYWRSCIVTCGIWYNDQLCRDMKCNPRRKKSLFAELFEPYGPSDYNGLVRK >RHN62491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43830885:43832052:-1 gene:gene25072 transcript:rna25072 gene_biotype:protein_coding transcript_biotype:protein_coding MKQISILILFTILLTVTTSVSSRRSTSTTKPSPSADNNNNNKDGVGGGNDYGGSFGFGPGGGFSIPGFDNNIIGGGGNYAGGYGGGYGGPNGGHSTNGVIRPTVVCKDRGPCYQKKVTCPARCFTSFSRSGKGYGGGGGGGGCTIDCKKKCTASC >RHN51786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25081441:25081722:-1 gene:gene36307 transcript:rna36307 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQSFYFLNKHRINVELTTTAIDDVDRKERVRITDQLCDAHTLCHGETVIYGCQLSLQNI >RHN46446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31794441:31801968:1 gene:gene40932 transcript:rna40932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol dehydrogenase MATSEVANGNHLSGTKGKTITCKAAVAHGPGEPFVVEQILVQPPQKMEVRIKILYTSICHTDLSGWKGECEPQRAYPRIFGHEASGIVESVGEGVNDMKENDKVVLIFNGECGECKCCMCKKTNMCEKSGVDPMKKLMCDGTSRFSSIDGKPIFHFLNTSTFTEYTVVDSACAVKLNTEDNLSLKKLTLLSCGVSTGIGAAWNNANVHAGSSVAIFGLGAVGLAVAEGARARGASKIIGVDINPDKFNNTAETMGITEFINPKDEEKPVYEIIREMTDGGVDYSFECTGNLNVLRDSFLSVHEGWGLTVLLGIHGSPKLLPIHPMELFDGRRIEGSVFGGFKGKSQLPNLATECMKGAIKLDNFITHELPFDEINQAFNLLIAGKSLRCVLTL >RHN40086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13098935:13104426:1 gene:gene46185 transcript:rna46185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxisome membrane protein, Pex16 MEAYKRWVRQNKDYVHSLESLANGLTWLLPERFSESEIGPEAVTTILGIVTAINEHIIDSAPNQNITGSVEPHSFPYPLCLSALKDLETLVEVVAQHYYGDDKKWNFLAVTEATKVLVRLSLFRKSGYKMLLHGGETSNEVMHSNGSTSQHTTAINPKGRYNPGTNPWNLEGRALSALSQFGEKARMVSEPVWLRRVHHQQAIMEPTAPRVVKPTLSTILSKKGLRGAFFLIGEVLFITRPLIYVLFIRKYGTRSWTPWFLSLAIDCISNSILSLVTTTAAGENQKMIHLSAPEKDEVKRRKMLFVLYLMRDPFFSKYTRRKLESTEKVLEPIPVIGFLTAKIVELTIGAQTRYTYMSGS >RHN56633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32450501:32454752:1 gene:gene32065 transcript:rna32065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MAPKSDLHRNVADYKPSVWGDYFLRYASESMEVDQSIAAQIETLKNNVRDMLVSNTKNPLEKVHLIDSICRLGVSYHFENEIEEVLQHIHKNYVQNGEIIIFEDNLCSLAVLFRLLRQQGLHVSPNVFNKFKDEQGKFSKRIIVDVEGMLSLYEATHLMVQGEDILEDALAFTTTHLDFVANESSHPRVTQVKHCLRQALHKNLPRLEARSYIFIYEEDPSHDENLLILAKLDFNMLQSIHQKEFGNLYKWWKELDAANKLPFARDRMVEGSFWAMGLFFEPQYSIGRKFMSKLIIIITAIDDAYDAYGTIDELELFTKAIERWDISCLDDLPDYMKFLYKITLDLYEEIEQEMQKSGRAYTINYYKKAFIQFIQAYMTEARWLNNNYKPTLEEYIHLSTETCGYTLLICTSFIGMGDITTEEIIKWVSNETKIVNAAIVIGRIMDDIASNEFEQKREHMSSFLECYMKKYNVSREASVQEGQKRIANAWKDMNKQCLRPTEIPMPFLTRILNLSRVIDVFYKDEDNYTNPEGEMKTFIKALLVDPVVV >RHN46889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35586350:35589471:1 gene:gene41424 transcript:rna41424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MQRFNFHKYAVVTGSNKGIGFETVKMLASNGVKVVLTARDEKKGNEAIQKLKQFGLSDQVMFHQLDVTDSASITSLKFLVLHILRVSDLINVNNAGVSGVNPYETVGSTVDWEKLTQTSDMAENCLRTNYYGVKETTDAFLPLLKLSNSSKIVNVSSQAALLKNIPNQWAKRVFDDIENLTEEKIDEVLKEFIKDFKEGSLENKGWPTIMSAYIISKAAMNSYTRILAKKYPNMCINCVCPGFVKTDINKNTGMLPVDQGAASVVRLALLPDDSPSGLFFIREEISNF >RHN80235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36356598:36357928:-1 gene:gene4135 transcript:rna4135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MYSGMMEGNTGWSVIEEDRWRKGPWTSEEDKLLIEYVKLHGEGRWNSVSRLAGLRRNGKSCRLRWVNYLRPDLKKGQITQQEESIILELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKNKNPTDAAEKAKNRYFKRQQQQQLKKQQQQVQMQQQQLQYNMDMKGIIDLLLEENDYCTSVPSTSQETQEMVSMYADTQEQQGCFYSMLNDNNGNVYAHESSNEEILWDGLWNLDDALGNSMQLMLQAKPANVC >RHN63457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51437450:51438717:-1 gene:gene26156 transcript:rna26156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain-containing protein MVSSLVIKVKYGNTFRRIGVCMDDNNHMDLNMVGLRDKICSVFNFTANANFILSYVDEDGEQVNLVDDNDLNDMMKQQLKSLRIDVHGSGLFPLKLSQWRNTINKSSPGMILSKDAFWYGSLFVSWIGLCSIIYCKPKEQIVQPVQHVSKGLFFVFGNSESGPKVHTSGGSTSSK >RHN66180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9523336:9523898:1 gene:gene14113 transcript:rna14113 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMKFFSFFLVIIFAATVASAQDLSPSLAPAPGSDAGVAGSVTSSVAMIGVSVVLSMIAIFKH >RHN39982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12052391:12056509:-1 gene:gene46069 transcript:rna46069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MGEPTKRYAVVTGSNKGIGFEIVRQLASDGIKVVLTARDEKRGLHALETLKASGLSDFVLFHQLDVADASSVASLADFVKSHFGKLDILVNNAGISGVEVKDRDLFTSAIMTSGALPDEELRRAVTQTYESAKECIQINYYGAKRAFEYLLPLLQLSDSPRVVNVSSFLGKIELVSNEWAKGVFSDVENLTEERIDEVLEEFIKDFEEGSLESKGWPRFAAAYTVAKASMNAYTIILAKKYPNFCINCVCPGYVKTDMTTNTGILTVEEGATNPVRLALLPKGSPSGLFYSQNGIASF >RHN41387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29685803:29686765:-1 gene:gene47705 transcript:rna47705 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTGSNNIEILRVQALSILCTPHPFLEFSGFAPIPDKVDSIRSRKQQIKNDTLFDFDGSSPVQDKVDPFCSTKQQIDNDAFLDFDGFSPAEDKVDIYNRSKEQRQDNDEENIEEGEFSFACTKVRGLHIFADEIFENGKIRQIPHTFDQSLFIYPTSNNNVSHLRPPLKKIFIKNSVNRHSMLGGISKESQNESLQNMTMVEIKASNECYEKSNSTGSSNLWKFRQNMNLRSNSDHKDSLVLLNASDPKNSRKPKVENIVNKKRKDEKHKNGLSAYEKLYVSNKTRKDSNKRRSFLPYKRQLLGLFTNMNGLSRNLHPF >RHN62975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47219737:47226489:1 gene:gene25618 transcript:rna25618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,4-beta-D-xylan synthase MKLSTLLQNRRSNSIKEQPLFESSGDVTGKSPLSSFWLFLHAAFCLISLALGFRLSRIFFLLLFSTFSTVPFNSGAGEGEISVPIRVRSSLTVNPIENIENPVEKVAPVSRVVVGRHGIRIRPWPHPNPNEVMKAHKIIERVQTEQKALFGVKNPRTVIAVTPTYVRTFQKLHLIGVMHSLMLVPYDLVWIVVEAGGVTNETASIVANSGLRRIIHIGFNQRIPISWEGRHKLEARMRLHALRIVRKEKLDGIVMFADDSNMHSMELFDEIQSVKWIGAVSVGILVQSDTDESSTSLKEEVPPMPVQGPACNATNHLVGLHTYDSLKYARRGAVYIGDRAPVLPRKLEWSGFVLNSRLVWKDLDDKPDWIKDINAFDGVDEDIDSPLSLLKDISVLEPLGSCGRQVLLWWLRVEARTDSKFPPRWTIDTPLDITVPSKHTPWPDAPPVIPINEKVVIGSQEQTTKHSTKTKTSRPRRSRSKRKHDTKVIGVQASTLSEEH >RHN39257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5357626:5363904:1 gene:gene45264 transcript:rna45264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-PERK-2 family MSREQQKRGKQEKGCDGAEKVIVAVKASTKEIPKTALVWSLTHVVQPGDCITLLVVVPSQSSGRKWGFPRFAGDCASGIKKYPPGTILEQKSDITDSCSQMILQLHDVYDPNKINVRIKIVSGSPCGSVAAEAKKGQASWVVLDKHLKPEEKKCMEELQCNIVVMKRAQPKVLRLNLIGPKKKEVEEACTSPSVQDDMLEKQTKNKIDSLVDSIKGPNVTPTSSPELGTPFTTTTEAGTSSASSSDPGTSPFFISMNSESKKEETIKESQELCDTNSDTESESLSTSSASFRYQPWITELLLHQQSSQHNEERSEPYHGMPQATTTKALLEKFSRLDRGAGIEMSAAYRKDSDFSGNLREAIALSGNAPLGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGYGSVHRGVLPEGQVIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYGRQRDPLEWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILITHDFEPLVGDFGLARWQPDGDMGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLTRPKGQQCLAEWARPLLEEYAIDELIDPRLGGHYLEHEVYCMLHAASLCIRRDPHSRPRMSQVLRILEGDMVMDANYISTPGYDVGNRSGRLWSEPLQRQHHCSGPLLEDSLESSFSGNLSLDKYKPASYWDRSRDKPRRASCEGDT >RHN70689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53223047:53230879:1 gene:gene19300 transcript:rna19300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monosaccharide-transporting ATPase MEGGELRVASGRVGSSSIWRSGAVDVFSGSSRRDDDEQELQWAAIEKLPTYLRMTRGILNESQSEQPIEIDINKLGPLQRKNLVERLVKIAEEDNEKFLLKLRQRIDRVGLDFPTIEVRFEHLNVEAEAHVGSRALPTILNFSINLLEGFLNNLHLIPSRKKPLTVLHDVSGIIKPKRMTLLLGPPSSGKTTLLLALAGRLSRDLKFSGRVAYNDHGMEEFVPQRTSAYISQTDLHIGELTVRETLAFSARCQGIGTRYDMLAELSRREKAENIKPDPDLDIYMKAEALEGQETNIVTDYIIKILGLDVCADTMVGDDMIRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTFQMINSLRQSIHILNGTALISLLQPTPETYDLFDDIILLSDGQIVYQGPRENVLEFFEHVGFKCPERKGVADFLQEVTSRKDQEQYWSNKDKPYTFITVREFAEEFQLFHVGQKLGDELGTPFDASKGHPAVLTKNKYGVSRKELLKACVSRELLLMKRNSFVYIFKMWQLIFTGIVTMTMFLRTEMHRNTETDGGIYMGALFFILIVIMFNGYSELSMFIMKLPVFYKQRDLLLFPAWAYSLPTWILKIPITFVEVGIWVVLTYYVIGFDPCFERFIKQYFLLVCINQMASALFRFIGAVGRNVIVANTVGSFALLAVLVMGGFILSRVDVKKWWLWGYWVSPMMYGQNAIAVNEFLGKSWSHIPPDSTEPLGVQILKSRGIFPEAYWYWIGVGASIGYIIQYIICAFGKPQALISEEALAERNAATAGSKQIIELSPKLECSSGNASRRSFSSTTLSTKVGSINAADHTRKRGMVLPFTPLSITFDEIGYAVDMPQEMKAKGIPEDRLELLTGVNGAFRPGVLTALMGISGAGKTTLMDVLSGRKTTGYVQGQITISGYPKKQETFSRISGYCEQTDIHSPHVTVYESLVYSAWLRLPPEVDTSTRKMFIEEVMELIELTSIREALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKRGGEEIYVGPLGRHCSHLINYFEGINGVPKIKNGYNPATWMLEVTSEAQEEALGINFAELYKNSDLYRTNKALIRELSTPPEGSKDLYFTTQHSQSFLTQCMACLWKQNLSYWRNPPYSAVRLLFTTVIAFLFGTIFWNIGSKRERRQDLFNAMGSMYAAVLFIGVQNATSVQPVVAIERTVFYREKAAGMYSALPYAFGQVAVEIPYILIQSLVYGVIVYTMVGFERTPTKFFWYLFFMFFTFLYFTFFGMMLVGATPDHNVAAIVSFGFYLLWNLFSGFVIPRTRMPVWWRWFFWICPISWTLYGLITTQFGDVNERMDTGETVEEFVRSYFGYRDDFKDVAAAVVVSFSLIFGSAFAFSIKAFNFQKR >RHN63441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51345637:51347647:1 gene:gene26139 transcript:rna26139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MGSESCLENAHDDHKQPLFSFGLISDVQYSDIPDGRSFLGVPRYYRHSIDVLQRAVQNWNNHQKHKFVINLGDIVDGFCPKDQSFNTVKKIVDEFDKFDGQVYHLIGNHCLYNLPREKLLPLLKIHSLEGYAYYEFSPVPEYRFVVLDGYDISAIGWPKDHHKTSEAMKFLKEKNPNEDKNSPTGLVGLERRFLMFNGGIGKKQIEWLNNILQEATKLKQKVVVCCHLSLYPGASSKEALLWNYDEVINLIHRYDCVKVCFSGHDHKGGYAIDSHGIHHRVLEAALECPPGRDAFGYVDVYDDRMLLYGTDRMLSTDMYFNNPKGNLL >RHN74841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37096188:37105082:-1 gene:gene10966 transcript:rna10966 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSTLYTFTFPPSLPLLFQHHKHKPYSLSPKLTFTPTTLNPNILRNNNMMQSSRVSSVQDVVGGAMAIVQSSPATWQSSLFSNLLIFVLGSPILVTGLSFEGIVAAFLLGTLTWRAFGGDGFLLVATYFIIGTAATKVKMAQKMEQGVAEKRKGRRGPGSVIGSSAAGCVCAFLTIFGVGGAAFSQLWRLGFVASFCTKLSDTVSSEIGKAYGKTTYLVTSFKVVPRGTEGAVSVEGTLAGILASVALAFVSFLLGQIGSHEVIICILAAQFANLGESIIGASFQEREGFRWLNNDIVNIINISMGSIIAVLMQQALQNLHP >RHN59555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11921761:11921959:1 gene:gene21599 transcript:rna21599 gene_biotype:protein_coding transcript_biotype:protein_coding MRYESLDFWLSACCSLVFLNMMLIFVAVLLIQVPSPPCFSVLFNFWVV >RHN77598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7998171:8001933:-1 gene:gene1068 transcript:rna1068 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFLTWALVSVFGYAYPAYECYKVVEKNKPEIEQLRFWCQYWILVAVMTVCERFGDTFVSWVPMYCEAKLAFFIFLWYPKTKGTTYVYDSFFRPYVAKHEPEIDRSLSELRTRAGDYVVVYSQRAVSYGQTRIYDILKFVSAQSTPAPHPAQQRPGPGVRVRKPAPAKGQPATATEPQVEEPPSPASSTTSSQFQKEVKEELLDSPQAPKVAPPVAGLNKQKSSVAALNNQKPPVASLNNQKPPVASLNNQKPPVAGLTTQKSSAAVLITQKSNPSSETIIISSSSTESNPKNIESTATSSSSATENGKSLVKEETRMEESIRVTRGRLRKTRSVGTQ >RHN49427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54738632:54740670:-1 gene:gene44255 transcript:rna44255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAKWKKKKKKRAKTSNDNNIDIISSVYDVLNCDDIMHEILLRVPPSTVFKSILVSKRWLHFICTSSFHRRYLTQWGQNYRQLGFFVCNFLYLGRPRDGYRRPSWEPALPFLSTCKEGDDLVRSGILKKLGYFMDSCNGVILSGVHPKTYYVYDKMSKQRFQLPEPQQFYKTLCMALIVEEYLEGDICYKVIRAKCESKLKERNTASVETYSSKTGKWKQSTLMCSSPFSLRPRTVGMVVAGVVHWLAIWGKLAIYDPRLGDRNVALVKLPAGVLSQEHEESVLGESSDGLLQYGQSNNLGLEIWVLEKEPAVNPSTYCNCTHLKYNWIPRWRLNFKAIWKQIPTFSLYFKETQILSFLPKNSASVFIRLGWNIFLCDLETKTAEVVNYQGRGASISWESSKVVPYFLPAWPRSSSVS >RHN56196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28637115:28637875:-1 gene:gene31559 transcript:rna31559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MSQILKFFFATVLIFALFLSATNGQKFNECYEDTDCPIQMCGYPFNVDCVGNKCTCVYNP >RHN49481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55101566:55105648:1 gene:gene44318 transcript:rna44318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MDQNNQRVVFVSCMLICLLVNFNTVVPQVEARAFFVFGDSLVDNGNNNYLATTARADSYPYGIDYPTHRATGRFSNGLNMPDLISERIGSQPTLPYLSPELNGEALLVGANFASAGIGILNDTGIQFFNIIRITRQLQYFEQYQQRVSALIGEEETVRLVNEALYLMTLGGNDFVNNYFLVPFSARSRQFRLPDYVVYLISEYRKILARLYELGARRVLVTGTGPLGCVPAELAQHSRNGECYAELQEAANLFNPQLVDLLGQLNSEIGSDVFISANAFAMNMDFIGNPEAYGFATSKVACCGQGPYNGIGLCTPASNICPNRDAYVFWDAFHPSDRANRLIVERFMIGSSEYMHPMNLSTIMLLDSRT >RHN64164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57034885:57036814:1 gene:gene26951 transcript:rna26951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MMNTEEGEEKALTIYFIPYLAPGHMIPLCDIATLFALRRQNVTIITTPSNAQILGKSIPLNQHLRIHTVPFPSQAVGLPDGVEPLFTTTDLDNLTKIYRAATLLRPTIQHFVEQHPPDCIVADFMYPWVHELANKLQIPRLAFNGFSLFAICAMESVKAHSLYESASFVIPHLPHSIAMNAAPPKQLSKLLEALLETVFKSNGILVNNFAELDGEEYIEHYEKTTCHKAWHLGPASLIRRTIQEKAERGEESVVSVHECLSWLNSKQDNSVVYICFGSQCHFSDKQLYEIACGIEASSHEFIWVVPEKKRTENDNEEEKEKWLPKGFEERIIGKKKAMIIKGWAPQVMILSHTAVGAFMTHCGWNSTVEAVSAGVPMITWPMHGEQFYNEKLITQVHGIGVEVGATEWSTTGIGEREKVVWRDNIEKVVKRLMDSGDEAEKIRQHAREFGEKAKHAIKEGGSSHSNLTAVVNYLKRLRDNKPLI >RHN50334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6282456:6283911:-1 gene:gene34607 transcript:rna34607 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNNASYNAGQIKGQTQEKASNLMDKASNAAQSAKESTQEAGQQMQAKAQGVVDSAKDATNRN >RHN56774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33627608:33640643:-1 gene:gene32222 transcript:rna32222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cadmium-transporting ATPase MLAAASRHARYTPGRNNRVRSLLNASGITTGYSIPTSNGISYSYSYSNLNTRTSPSLLFSIKAFLSDPSSSHNRSLKVDGRALFSTSAKTDEGSQRNKKTDSNVAKSPPGGADLRILKSLAGYLWMKDNPEFRFRVVAALCLLVGAKVVNVQVPFLFKLAVDWLNTATGNAGLVASSPTVMTLFATPAAVLIGYGIARSGASAFNELRTALFSKVALRTIRLVSRKVFSHLHDLDLQYHLSRETGALSRIIDRGSRAINFILSAMVFNVLPTIIEISMVSGILAYKFGAPFAWITSLSVAAYVAFTLSITQWRTKFRKAMNKADNDATTRAIDSLINYETVKYFNNEGHETDHYDKYLERYEDAALKTQHSLALLNFGQNAIFSAALSTAMVLCSHGIMNGTMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLIDMKSMFQLLEEKADIKDKENAQPLRFNGGKIQFQNVHFSYLTERKILDGISLLVPAGKSVAIVGTSGSGKSTILRMLFRFFDPHSGSIKIDDQDIRDVTLESLRKSIGVVPQDTVLFNDTIFHNIHYGRLSAAEEEVYEAARKAAIHDTIMSFPEKYATVVGERGLKLSGGEKQRVALARAFLKAPAILLCDEATSALDSTTEAEILSALKSLSNNRTSIFIAHRLTTAMQCDKIIVLENGKVVEHGPHEVLLANAGRYSQLWGQQNNTIDAIDPATKLGA >RHN67437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27241429:27248975:-1 gene:gene15626 transcript:rna15626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 16S rRNA (cytosine(1407)-C(5))-methyltransferase MAPATKKKPSKPVKPQKAPKKVQIVPIKDDSTSESEEEEAPPLQHLELDGSDSDLSSDGDDQLADDFLQGSDDEDNDNDNDDEGSDLESGSGSDEDEDSDSESGEEDIARKSKLIDRKRERDSQAAADELQTNIQTNIQDESDEFTLPTKQELEEEALRPPDLSNLQRRIKEIVRVLSNFKALRQDGATRKDYVDQLKTDIRSYYGYNEFLIGALVEMFPVVELMELIEAFEKPRPICLRTNTLKTRRRDLADVLINRGVNLDPLSKWSKVGLVVYDSQVPIGATPEYMAGFYMLQSASSFLPVMALAPQEKERIVDMAAAPGGKTTYIAALMKNSGIIFANEMKVPRLKSLTANLHRMGVSNTVVSNYDGKELPKVLGFNSVDRVLLDAPCSGTGVISKDESVKTSKNLEDIKKCAHLQKELLLAAIDMVDSYSKSGGYVVYSTCSIMVAENEAVIDYVLKRRDVKLVPCGLDFGRPGFTKFREQRFHPSLDKTRRFYPHVHNMDGFFVAKLKKMSHPKPARTSETFEKDEKETELVIDDDKPSNGVEENGKHSFELESKKRKKDKFPSKPSNDVKANVKEASEPESKKRKKDKFPSKPSNDVKANVTETSEPKPKRVKRKPPSREEISKAREEKRLALIEKKRKSGKQQRGKNDE >RHN67031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23065451:23066201:1 gene:gene15162 transcript:rna15162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MTFPMNKRRRISEKNYSNAFICPPPSNKETGSIFFKTRICHKFKFGNCPKGEHCTYAHGVGEIRQPPANWKDLAGPRNEEWMQFLDDDEKIIHKMGLCKKYYNGEECPYGDTCIFLHRLREDSWKSREACALSIGSIGDGSNNLEGNRSVNKPARGTYWKIKLCPIGDDCHYAYGEAVFDCVWFLLLHVIFSCLVCDVNLLALLR >RHN49523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55367674:55372465:-1 gene:gene44364 transcript:rna44364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine-nucleoside phosphorylase MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKDGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSDYRNLYNHENIFVADHGGGAGNNWASGYHQGKNVEEDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQAKNIMVSSYARTKDASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYDKLRRKQAFLDNYRKFPMFADNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPNNMMTGEGSAAGSLDPKSVV >RHN81420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45763914:45769809:-1 gene:gene5459 transcript:rna5459 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRLGFLIAASVAAYTFQQNVKGSKSEHDQPRIRRKNQDEVTKQKQSTCSTNGLNQNEREEEELEEEVKLMGSIMNLANDFDDEILSEFESLLSEQTDFPLLSEKTDDSKKHGGNETEMAKNGSDSEIEWLRNVVEELEEREMKLQSELLEYYSLKEQVPVIEEFQRQLRIKSVEIDMLHMTIKSLQEENNKLQEELIHEASAKRELEVARNKIKELQRQIKIIANQTKGQLLLLKQKVSGLQAKEEEVVKKDAEIENNLKTVNDFEIEKKLKTVNDLEIEAVGLKRRNKELQHEKRELTVKLNAAESRITELSSVTENEMIADAKSETGRLRHANEDLQKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYKAPSGKSLARDLNNSFNPKSQEKAKQLMLEYAGSERGHGDTDIESNFSHDHSSPGSEDLDNAYINSPTYKYSNLSKKTSLIQKLKKWNKNNDSSAFSSPARSLSIGSPCRVSTSYRPRNTLESLMLRNAHDAVAITTFEQKEHKPTHSPETPFLPSLRKVSSSDDILDSVSASFQLMSKSVDRSLDEKYPAYKDLHKLALAREKQLKEKAEKARGEKFGDNSNLNTTKAEEERSTSLDSELTQVNEKACVSDGLNKQSEDVKDFDNQTITEMKLFKIEKRPPRLPRPPPKPSDGAPVSNSLNEIPYAPSVPSPPPPPGSLPRGAVGDDKVKRAPELVEFYQSLMKREAKKDASLLTSSTSNAADTRSNVIAEIENRSSFLLAVKADVETQGDFVMSLATEVRAASFSKIEDVVAFVNWLDEELSFLSDERAVLKHFDWPEGKSDALREASFEYQDLMKLEKQVSNFTDDPKLPCEDALQKMYSLLEKLEQSVYALLRTRDFAISRYKEFGVPVNWLLDSGVVGKIKLSSVQLANKYMKRIASEIDTLSGPENEPTREFLILQGVRFSFRVHQFAGGFDTESMKAFEELRNNIHVQAGEYNNKPEMATKDDQ >RHN72221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5890419:5891628:-1 gene:gene7907 transcript:rna7907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDLMRAVIIGADGTPYHDGLFFFDLYLPGGFPNVPPEVYFHNQHASSKLNPISGAYGSLCLSLLNTWDGKKNERWRPHVSTILQVLVSIQGLVLTEEPFFNLPSGYVHGLEPGTSMSRCYNEQAFIDSLWTMIHVIRRPLKNFEDFIVGHFLVRAHDILGACKAYMEGAQVGYWVKREVHEVDRHFKSYMEQFKISLRDPMEKLASEFARIGAMN >RHN70030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48175686:48179264:1 gene:gene18570 transcript:rna18570 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWGVHRQQTFIGWRASSFPSVTRKEEDSDNDREEVNVKDEPIYFPDSKKRKRLSLSQLREVKVESCEKLREVKEEPYGQLIEVKEEYAGNQSSSKNKRKKHDSKDRWSSERYKLAEQSMWEVLKAEGATFEHPITRPVLRSAARKFIGDTGLLDHLLKHIDRKVAPGGTDRFRRWFNPDGIMEYWLERADLVDVREEAGVDDPYWIPPSTVRAIHAPCQDADSSAELKLLKIEMAQMKKDMQELIAQKEKKTESNMMEKTCKELMKWKAKTDYRLTEIMDSLKNLQGKYGEFVIWKTEVEQNLMDITNKLNALQAPRDCTTFSPSEKWKDWLENTNPDNIQDDGLATWIGSSELFNVPQECFLEDPYSGMPVQPLNEELTNKKSHVEELIPTMQEDQPNVTPDSSTTVNSKADVDNSLIMFQEMFMDLFKWKEKMEQQLLDVSNTVYGMLAMK >RHN75363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41632225:41633245:-1 gene:gene11550 transcript:rna11550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MCCFVKFTFISLFNIELMYCSYQHIYTSNGVSLHELSLSQSKDRRFSFFFWYPDRRFSANDNNDLYTTNLSQGEDVVDALYRLSEAFHHHRLVSIDSVSGYVSDVLFHDQDGSHAHTGVFEITSLSLQCLADEDGHQCQNKATCSVLLTDDKGNTFEITGVNSLIANGPIEIIAELEKEKSAGESSSSAAAAAAAGDGNLNPTTTTTGAANDQDK >RHN64951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63239544:63241483:1 gene:gene27833 transcript:rna27833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MTSLTFFFIKKKIKKIEFVILRFYKHLNSDYFHFFIFVSFICLIHVSFCLISIPHVFFVLHGLFFVDKVKVDLNVSEKREDDSKHYQHSLGMKNLNLKDEVSANGKVAKTFTLDELAAATGNFRAGYFVGEGGFGKVYKGYIEKINQVVAIKQLDPTGLQGTREFVVEVLTLGLAEHPNLVKLLGFCAEGEQRLLVYEYMPLGSLENHLHDLSPGEKPLDWNTRMKIAAGAAKGLEYLHDKMKPPVIYRDLKCSNILLGDDYHPKLSDFGLAKVGPTGDKTHVSTRVMGTFGYCAPDYAMTGQLTFKSDIYSFGVALLELITGRKAFDHRRPVKEQKVVEWAIRSFKKQKRFSKMVDPLLEGQYPERGLYQAFEIASRCVQEQPNMRPVIADVVTALDYIVSQNYDPQVHPIQSSR >RHN43710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47877003:47879247:-1 gene:gene50327 transcript:rna50327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAKKFQGRDVAVGIDLGTTYSCVAVWQDEQNRVEIIHNDQGNKTTSFVAFTDHERLIGDAAKNQTATNPQNTIFGTFLILCWIVFMISFGLTLISFVRYLVGLFINLKFPLYFPRFGLCFTNFFNDSDVQNDMKLWPFKVISGLNDKPVISVKYKGQEKHLCAEEISSMILTKMREIAETFLQLPVKNAVPLDAGAIAGLDVMKIINEPTAAAIAYGLEKRTNSVRGRNIFIFDLGGGTFDVSLLTIKDKVSDLFKKKKEKVFQVKATSGNTHLGGEDFDNRMVNYFAEEFKKKNKVDITGNPRALRRLRTACERAKRTLSFAVVTTIEVDSLFEGIDFFTTITRTKFEEINMDLFNECLKIVESCLTDAKMDKSAIHDVVLVGGSSRIPKVQQLLQEFFAGKDLCKNINPDEAVAIGAAVQAALLSEGFKKVPNVVLQDVAPFSLGIDSLGDIMTVVISRNTSIPVKKTIKRATIRDNQATANIKVYEGERARASDNNLLGSFALSGLPSAPRSHLFEVCYAIDENGILTVSAYDRSSGSMNEITITNNKERLSSQEIEEMIREAEGYRFKDEKFLRKANVMNALDEWVYKLRNAVKNKDVKLKLSSQVTDKIISAITKTTNLLDDNQQSEIDVLENHLKELESVFQHIIRKFV >RHN72495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8072983:8073318:-1 gene:gene8214 transcript:rna8214 gene_biotype:protein_coding transcript_biotype:protein_coding MESNFDELHQPILTHDHPPPPELNSSLEEMLSNTQLPLLKRLLSATWIELNLLFPLATPAILVYLINNSMSTVTRAFAGHLGNLQLAAANLGNSGIQLFASGLMVMLATVT >RHN40773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20695988:20704515:-1 gene:gene46974 transcript:rna46974 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKCYPYIRNLAGPGDQPVLLYFYRYSLIAFSEFIFYPFPCFSQSSRLALLAGESPDMLSQTPFVMRPVRPRPPFAETLSRVTETPPYERFMSPTMEPIQIAVLAAFRKSHKPLAAEPLALKELEAAILAAIQKGRDEALALQKKEKLEADMAEASIQKGRDEALALQKKEESEADTALPTDMVRGADERRKKKNEMRKRKRKKWMMYHERRGKKNVMNGVKRKERSPGADERRGKKNAMNGRKRKKCSPEADERRGRKNVMAERKRKKLSD >RHN58672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3843673:3848258:1 gene:gene20604 transcript:rna20604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MDEREILRETLLSQEQDFPSSLITYLYFGHFLARWGSRMWEFSVGLYMISIWPDSLLYAAIYGAVESASIALFGPIIGTWVDKLTYQKVLKLWLVTQNLSFIIAGATVVTLLVYSSLKFTNFPVFLLLVVIINICGGIGVLSTLAGTILIEREWLLVISEGQPSELLTKMNSVTRRIDLTCKLLAPVLTGFIISFVSLKASAITLALWNGVSVWVEYWLFTSVYSGIPALRRSGQRRMERLSSQSDLERNNLTAESDSLLHDNGDISELVDGKSRKTISEWISKISYVDAWRVYLQQEVVLPGLALALLFFTVLSFGTLMTATLEWEGIPAYVIGIARGISAAIGIAATVLYPVLEYHMSAIRTGLWSIWSQWTCLLPCLAAIWIYNGILSSYILMGSVAISRLGLWMFDLSVLQQMQNLVPESDRLIVGGVQSSLQSFMDLLAYVMGIIISDPQEFWKLSLLSFLAVTLAAFLYCIHVFRVRKHIFHFDKLWCRNSST >RHN78605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16627043:16631223:1 gene:gene2230 transcript:rna2230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MtPT2 MSGELGVLNALDVAKTQLYHFTTIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTEPNPTRPGTLPPSAQSAVTGVALVGTLAGQLFFGWLGDKLGRKKVYGLTLILMVVCSVASGLSFGSSPKSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILGGGIVALTVASIFDHKYKVPTFEENPAASLLVPQFDYVWRLILMFGALPAALTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVELEVEEEKVEKMTSDKRNSYGLFSKQFAARHGLALFGTCSTWFLLDIAFYSQNLFQKDIFSAIGWIPPAKEMNAIHEVYKIARAQTLIALCSTVPGYWFTVAFIDHMGRFAIQMMGFFFMTVFMFALAIPYDHWSKEENRIGFVVIYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTKTDKGYPTGIGIKNSLIMLGVINFVGMLCTLLVPESKGKSLEELSGENEGEGAEATEQEGSRV >RHN64830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62289647:62292675:1 gene:gene27695 transcript:rna27695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronate 4-epimerase MPSSSSLEDQLYPSTPGKFKIERNHGLMNRQVHRCFGSSSTMFLWALLLIASTVSYVTFQGVVDSGSRYLSASWGGIQWEKQVRASAQIHRQGGMSVLVTGAAGFIGSHVSLALKRRGDGVVGLDNFNDYYDPSLKKARKALLQSRGVFIVHGDLNDAKLLAKLFDVVAFTHVMHLAAQAGVRYAMENPMSYVNSNIAGLVTLLEACKTANPQPSIVWASSSSVYGLNEKVPFSESDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAIFTFTRNMLQGKPITVYRGKNRVDLSRDFTYIDDIVKGCVGSLDTSGKSTGSGGKKRGAAPYRIFNLGNTSPVTVPTLVSILERLLKVKAKKNIVDMPGNGDVPFTHANITSARREFGYKPTTDIQTGLKKFVKWYLSYYGYGKTTLN >RHN43807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48649302:48649894:-1 gene:gene50436 transcript:rna50436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MKNSYYFFKSEIGRPVKELVEFPEYFTYSLESRIKTKGLRSKGMKCSLNWMLSCSDQRFEERLHGNYIKMESTCPSFRIGGKLELPGNDIVSDEEEESDDEMLYRRTVSLSTAMYLHFCFYICLMEKQEYNYHVIK >RHN58464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1902355:1907907:-1 gene:gene20381 transcript:rna20381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S15 MALLLRLKTTKPKPYSSPLHHLFSTSNSGDNDGGDGDKRPSFSESLRQVRSSFKQQPTSNTTPSPLSPSKQSESSVDIMSKIQSFRYKTTVPDSDDLLTQKKTISFQDMYSRMHNRSKDSAANIGSDSSKTTIGGGGIGLDVIRGSLNQLKNAPNQTPVTPRWRPNMSPGNSGTSLLPDSMFGKEIRDRLAKVNDSGSFGTVRLYMHDELGEKLKNLRPQVKGKDWFSIAELSERLKKVREMDEIDAKSSKSSADTNQVFNILKDSVQHIKAKEAEKPKASLQRIDMLSLIGGTPSYLSKPPKEHLVEKYFHPDNMSSAEKLKIELTKVRDEFKMSESDCGSARVQVATLTTKIKHLSSVLHKKDVHSRKGLIAMVQKRKKLLKYLRRTDWDSYCFVLSKLGLRDNPEYTYKARTGKSGDVAN >RHN73904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21402924:21415922:1 gene:gene9796 transcript:rna9796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative up-frameshift suppressor 2 MEDKGMDQNEDECRNEGETNNKQDDEEAVAHLEEIKKSIEAKMALRQTNLNPERPDTGFFRTLDSSIKRNTAVIKKLKQINEEQRESLMDDLRSVNLSKFVSEAVAAICEAKLRSSDIQAAVQICSLLHQRYKDFVPTLIQGLLKVFSPGKSGDETDSDKNLKAMKKRSSLKLLLELFFVGVIEDGGIFISIIKDLTSVEQLKDREATQTSLTLLSSFARQGRIFLGLSVTGPEIHEEFMKGLNITADQKKVIRKACYSFYDTAAELLQSEHSSLRLMEHENSKILNAKGELSEENLSSYEKLRKSYDHLYRNVSSLAEALDMQPPVMPEDGHTTRVTSGEEAVSSAAGKDSSVVEPIWDDEDTRAFYECLPDLRAFVPAVLLGETEPKVNEQSVKGQDQSTEILPESDKSQLVTLDSGEVSTESSVLPEGESSEIVNDKEEKEKSKELDRDKEKEKEKEGEKKGEHEKEKLRSLEGTNLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKKLVRALFSVPRTSLELLAYYSRMVATLSTCMKDVSSLLLQMLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFKIAPAGLVFSCLKACLDDFSHHNIDVACNLLETCGRFLYRSPETSIRMGNMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVAKVRPPLHQYIRKLLFSDLDKTTIEHVLRQLRKLPWSDCELYLLKCFMKVHKGKYGQIHLVASLAAGLSRYHDEFAVAIVDEVLEEIRIGLELNDYGMQQRRVANMRFLGELYNYKHADSSVIFETLYLIIVFGHGTPEQDVLDPPEDFFRIRLIITLLETCGHYFDHGSSKKKLDRFLMHFQRYILSKGALPLDVEFDLQDLFADLRPSMVRYTSVDEVNAALVELEEHDRIVSTDKASSEKHSHTDKPLSRSTSTTMVSNGQNNDNGIEENGVQDNVNEGEHDSGSDVIDAEGHDDEELDEENHDDGGETEDDDEDEDGPASDDEDEVHVRQKVTEVDPLEEADFDQELKAVVQESMEQRRLELRGRPTLNMMIPMNVFEGSAKDHHGRGTGGESGDEALDEDTGVSKEVQVKVLVKRGNKQQTKQMYIPSDSSLVQSTKQKEAAELQEKEDIKRLILEYNDREEEELNGLGAQPSNWMQSGGNRVGGRGNSFEGTSGRGGGSRHRHHHYYTGGGIYYSRRR >RHN74623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35243345:35244458:-1 gene:gene10724 transcript:rna10724 gene_biotype:protein_coding transcript_biotype:protein_coding MRICSIKAPQLLNLFWNVTRNEEIPHAFDIIASLHQLQNLSINLTHSQITILPKSLGPFQYLRKLELFISDEMPNHDFFRILDIVMASPRLQELFVTIKDLHLVSDHEHMKEPERFSHNELKYVEFRGCECKAFQNLLDKHLWGNMKSSPKKIAFKSCGKFYVGAGIWIKGYSKDHWLGSGVNYMCDILRDSLINKLYLSFCSIN >RHN56857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34237756:34245262:-1 gene:gene32317 transcript:rna32317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-mRNA-splicing factor 38 MEIQTSGRPIESLLEKVLCMNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYYADPKTLWSWFEPYAKDDEEFSPGSNGRMTTMGVYIRDLLLGQSSTTRIQVHHKNANADMFLIITCFGVTYYFDTLFPRIPVPVMRQVVANLEKLKLPTTHCGTTGETTRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPIRRTVPAPHEKNGTDDIRRSPSNHRSQSREYPERDRDRERSRSRDRDRERDRERDRDRYYDRDRNKDRDAERYRDWDRDRDYERDRSRRDRDRERSYDYDRRSKYAERESSRDYDNSIGNGSRRHRSRSRSRSRSRSRSQSQVGTARHDSRSSPQRDGSKKTSASSNLAKLKDLYGDLGESKGDANMERIPRRDNSGEEVIRLGGSSWKY >RHN54556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10465164:10467439:-1 gene:gene29620 transcript:rna29620 gene_biotype:protein_coding transcript_biotype:protein_coding METPPKCKDALILSIIISIFLGLVSFTLCIASEITKNKMDDLRWNGKLCYLPSSQAYGLGIAALVCLFLAQIIGNSMLFKNSCSRRKKNAQYKLPFFARLLILISWLSFGVTVILLIVATSMSKRQPYGAGWLNGECYLVKGGTYAGSAILILVTIGSAIGSSFSTIKINQAEQDRKIHAQMG >RHN81223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44178374:44180073:-1 gene:gene5236 transcript:rna5236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MTVKLHGFWYSPFTLRVVWTLNLKGIPYENIEEYRFNKSPLLLQYNPIYKKTPVLIHDGKPICESMIIVEYIDEIWPHNSLLPADPYDRAQARFWVKYVDELISAIEPFLNSISGEEREKARENLWERLKVIEDWCLDDKKKLYGGDTINIVDIALGSFVKFIEIQEDMFEVKVLQSERFPRLHLWFNNFKDVPVIKGNTPGQEKLVAFGKCLIEKILVSF >RHN44116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1828478:1828922:-1 gene:gene38175 transcript:rna38175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L32e MAVPLLTKKIVKKRVKRFIRPQSDRKICVKQSWRRPKGIDSQVRRKFKGVTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVQDLEPLMMHNRTYCAEIAHNVSTRKRKDIVERAAQLDVVVTNKLARLRSQEDE >RHN66031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7775144:7775584:1 gene:gene13941 transcript:rna13941 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDKSYFLEFKDSVNGHFERTDLGTPQKMGHVVGTCYGILLLISRITKQIYVVNPILKCWLRIPSFPNLQARRVFDHQYTIARVPRTRKFKLFFVNVLKISDAFWYVYYVLRIGVDNSWKEIARKEAPRKHFICKILYNGGNDLY >RHN66357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11340776:11345752:1 gene:gene14323 transcript:rna14323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative choline transporter MGATEHVVDERGNESEGARKMDDGKKEEGLRDLEKGEVGKNDVEDDDDDDDEDVENVAHGHGGHGHHDEHEGFNVSRFHRLNPTNPLRIVMNSNTRVAKPPPPKKSQRSHTPTRSIPIPTPAPIQTPQPPPPPPPQQQQQPVTLNSRRYTNRISLFIFALHQLLAIALVCFLVFKGIQGLVQESGSVKRKEKRVLMYFLPQVEAATFMSIILAFIWQGAIRKWPTFMVHFILWFTFVMSLAAGILLICFQKPPTDGVGVCFIAFAIGNGLYGCWISHRIKFCCKVLSLSLQPMSKFSDLNRPTYYMLAAGFLWISLWTLAVVGALNFYFPPLVIIALVLSLAWTTEVMRNVVNITVSRVIALYYLRGMQSSTQFCFLRALTRNLGSACLGSLFVPTIEALRIVARGLNLLEGEDEFMFCCARCCLGVMQSIFRNGNSWAYVQIAAYGRGFVMASQDTWSLFEKEDMVPIVDADITSSICFLTGVCSGSMCVIVVAAWTQSVHKSFTATLSLLTFFIGYLLTRIAMAVPHACVSCYYVCYAENPENRLFDKTIKDRQALLKTGREVVVPTPRGLRRYTTRS >RHN39836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10938756:10942425:1 gene:gene45910 transcript:rna45910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MEIHSKDALWLKNNTWKYRMDCIKELSLRYLRGVELLYWFLDRMPNLENLNLFSGNLHEGLVPSGNIGPQERLGTVLQLKTLTLWLSTIKDLGFDRDPLLQRLEHLLLLDCHSLVTLAPSSLSLTHLTYLEVNSCRGLMNLMAISTAKSMVQLAKMKVIECKMQEIVTNEGNEEDRMIEVVFSKLVYLELVGLHYLTSFCSYKNCEFKFPSLEILVVRECVRMETFTVGQTTAPKLQNIHVIEGEEEEKQYWEGDLNTTIQKKFKDKISFKYMERLNLINYHDLLEQVWHCSDLVQEYMFRNLTSLVVSYRNNLVHAIPSHLLPCFENLDELEVSDCSAVKVIFNLNDTMVTKALGKFRLKKLLLYNLPILEHVWDKDPEGIFFLQVLQEMSVTECDNLKYLFPASVAKDLTRLKVLSATNCEELVEIFSKDEIPAEGEIKEFPQLTTMHLINLPRLKYFYPRLHKLEWPALKELHAHPCNLTILKCREDHPEDQALIPIEKIPSMDKLIVVIGDTLVRWNRWSSKLQFDKLQHFQEESDSVLHVFLGMLPAIGKLEFDNCLVEEIFSPERPNADYKSVLLHLTEIELNNMFNLNSIGLEHSWLHSIPENLKKLVVTNCGRLINLVPDMVSFSSLKYLDVSICSGMLYLFTSSTAKSLCRLKVMKIESCESMQEIVSTEGDESGEDKKLIFEDLRTLFLKDLSKLRCFYSGKFSLCFPSLEKVSLILCISMNTFSPVNEIDPTKLYYGGVRFHTGEPQWEVDLNSTIRKWVEEEMPFMHGS >RHN60717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29845165:29848112:1 gene:gene23072 transcript:rna23072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, EAG/ELK/ERG MLSITDTYAPEHKYTPWQFHFKDTSGLVALIHGYSKIHVRLVCIGTVRVSYCLIIERDWHCWKIDMASEDSRFVRFEGDHLELAKLTSPNGDNGIKFNEKHVAPRVLSRVFSEDYKRVKRRRRIFDPRGQTIHQWNKIFLVACLISLFVDPLFFYLPIVQDEVCIDIGIAVEVFLIIIRSIADVFYVIHIFMRFHTAYVAPSSRVFGRGELVIDSSKIASRYLHKGFFLDFIAALPLPQVLIWIVIPNLGGSTIANTKNVLRFIIIIQYLPRLFLIFPLSSQIVKATGVVTETAWAGAAYNLMLYMLASHVLGACWYLLSIERQEACWKSVCKLEESSCQFDFFDCNMVKDSLRVSWFVTSNVTNLCSPNSLFYQFGIYGDAVTSKVTTSAFFNKYFFCLWWGLRNLSSLGQGLLTSTFVGEIMFAIVIATLGLVLFALLIGNMQTYLQSTTVRLEEWRVKRTDTEQWMHHRQLPQELRQSVRKYDQYKWIATRGVDEESLLRGLPLDLRRDIKRHLCLELVRRVPLFDAMDERMLDAICERLKPALCTENTYLVREGDPVNEMLFIIRGNLDSYTTDGGRTGFFNSCRIGPGDFCGEELLTWALDPRPTMVIPSSTRTVKAISEVEAFALIAEDLKFVASQFRRLHSKQLRNKLRFHSHQWRTWAACFIQVAWRRTIQEKKGSC >RHN66011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7557208:7559929:-1 gene:gene13920 transcript:rna13920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAELIAGAFLSSFFQVTLDRIASRDFKDLFNKKLINKLEITLNSINQLLDDAETKKYHNQNVKNWLDRLKHEVYEVDQLLDEFDTHVQRKSKVQHFISAFTNRFESRIKDLLDNLNTLAEQKDVLRLSERSYESAVSLQSSKRSPTASLVDESCIYGREGDKEEIINYLLTNKDNSKQVSTISIVGLGGMGKTTLAQLVYNDRRIQETFELKAWVYVSEYFDVIGLTKAILRKFGSAENSEDLDLLQRQLQEKLTGKNYLLVVDDVWKLNEESWETLLLPFNYGSSGSKIILTTRDKKVALIVKSTELVDLEQLKNKDCWSLFKRLAFHGRNVSEYPKLESMGKNIVDKCRGLPLAVKTMGNLLRKKFTQHEWEKILEADMWRLTDDESNINSALRLSYHNLPSNLKRCFAYCSIFPKGFKFDRDELIKLWMAEGLLKCCGRDKSEEELGIEFLDDLESISFFQQSLNYLGDKRLFMHDLVNDLAKSESHEVCLQIEVDSVQNISERTRHVCCYLDLKDGAGILNHISKIKGLRSLLVLPRGYGKECKITNNLQRDLFSKLKYLRMLSFRDCGELRELSGEIGNLKLLRYLNLAKTLIERLPDSICNLYKLETLILERCYNLTKLPSKFYKLVSLRHLNLEGCNIKKMPKKIGRLNHLQTLSDFVVGEQSGSDIKELGNLNHLQGELCISGMEHVIDPVDAAGANLKEKKHVEELNMEWSYKFNTNGRESDVFEALQPNSSLKRLIISHYKGNRFANWMRGCDLPNLVSIKLKHCALCSELPPLGQLPSLKEISISGCDKIRIIGKEFYGNNSTNVPFRSLEILHFDSMSEWEEWSRLEGFPLLKEISITSCPELKRALPQHLPSLQKLLINDCNKLEASIPKF >RHN49473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55011978:55012919:1 gene:gene44310 transcript:rna44310 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQPHLENKDFSALMSAKLSQELHSPEKQYKVDINSAIYHGGPPVPTRAPKMLNFVDTEEMVRGPEAGPSLRIRWLGSGNEKMPVIKSKRVFFLKKQCSIYIFLKEKYKVPYICGTPKGENDHRIHEEPPPNTKSKRT >RHN56717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33103048:33106693:-1 gene:gene32156 transcript:rna32156 gene_biotype:protein_coding transcript_biotype:protein_coding MEERNVNTHTDSKGLEGSLQNLIHIHHHKSLILTNQTEKAKKDAIRKAERVSDLLVEAVNGGVQDSFIYQKRIELEIRALAVTIARFVKQSDQWLNATHSLNTALKEIGDFENWMKIMEYDFKSINAAIQNIHQE >RHN73539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17483016:17483216:1 gene:gene9363 transcript:rna9363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MCLLQVINCSMLFCRKSTVVVSASLVKQLREETGAGIMDCKKALAETEGDLEKAQAYLRKKGSLIR >RHN57974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42879955:42880677:1 gene:gene33571 transcript:rna33571 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQPKTEPGLSSSDHRNLEDMSIHELVSVLRTSFLTEDFDNVEEVLVTRDKKLQTKILHLQEKFEMEKLTRFQVEEDVRKREELCERGKRAQQNYEALLKEVKQKTSLIERDNIGKPRKKDIELEFEVCELRKLNEKWVDDSNDLGVGGLLENEKNACEVKNSELEKSEKKNGEALAEITDFQDKDKQNVEIGKDIEDNAPLQTKEQGCSRCIIWYEISACISYYSYQYTVFPMLFYKL >RHN56833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34075219:34080806:1 gene:gene32292 transcript:rna32292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose synthase MAPTHALKRTNSIADNMPDALRKSRYHMKKCFAKYLEKGRRIMKLHELMEEVERTIDDINERNYILEGNLGFILSSTQEAVVDPPYVAFAIRPNPGVWEYVRVNSEDLSVEPITPTDYLKFKERVYDQKWANDENAFEADFGAFDIGIPKLTLSSSIGNGLHFVSKFLTSRTTGKLAKAQTIVDYLLKLNHHGESLMINDTLSSAAKLQMALIVADVFLSAIPKDTSYQKFELRLKEWGFEKGWGDNAGRVKETMRTLSEVLQAPDPVNLEIFFSRIPTIFKVVIFSVHGYFGQADVLGLPDTGGQVVYILDQVKALEEELILRIKQQGLNYKPQILVVTRLIPDARGTKCHQEFEPINDTKHSHILRVPFHTEKGILPQWVSRFDIYPYLERFTQDATTKILDLMEGKPDLVIGNYTDGNLVASLMARKLGITQATIAHALEKTKYEDSDVKWKELDPKYHFSCQFMADTVAMNSSDFIITSTYQEIAGSKDRPGQYESHAAFTLPGLCRVVSGINVFDPKFNIAAPGADQSIYFPYTEKDQRHSQFHPAIEDLLFNKVDNNEHIGYLADKRKPIIFSMARLDVVKNLSGLVEWYGKNKRLRNLVNLVIVGGFFDPSKSKDREEMAEIKKMHDLIEKYQLKGQFRWIAAQTDRYRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHIDPLNGDESSNKISDFFEKCKVDPSYWNVISMAGLQRINECYTWKIYANKLVNMGNTYTFWRQVNKEQKEAKQRYIHMFYNFLFKNLAKNVPIPSDEPPKPVGKQPSLKQQGSSTRRSQSTLKRLLLGA >RHN39361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6404967:6410245:-1 gene:gene45376 transcript:rna45376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 9S-lipoxygenase MTSFVSVSARNKVTKLKGIVILKQNKDAADPSIPGRSVALRLISDSTVDGNGKGKVGERTYLGSLIASDETQFHFNITFEWDTEKLGAPSPGAFFIENFNQSELFLVSLTLNDVPNHGTINFNCNSWIYNAKNYHSERIFFTNKTYLLSETPAPLVYYRQEELKTLRGDGTGERKEWDRIYDYDVYNDLGEPDKEAGLGRPVLGGSSTLEGSDGFPYPRRVRSGREPSKKDPKTESRTGRIYIPRDELLHTDESLIFSQEFNTFQDVLSLFNIQINHGTDILSKFNKTSRSNELSPINAKSVAKSSTYPTPQVIAEDYHAWMADEEFARQMIAGVNPNAIEKLLELPRKSKLDSKLYGDNTSTITKEHLEPNMNGVTVKEAIEDNRLYILDHHDAIYPYLRKVNETEAKTYAARTILFVKDDGTLNPLAIELSLPHPNGDSFGSVSNVYVPPNKDAKDDEPLIWLLAKAYAVVNDSCCHQLVSHWLKTHAVVEPFVIATNRHLSVLHPIHKLLVPHYRGTMTINARSRNILINAGGIVESTFLFEKYCMEMSAVVYKDWVFAEEGLPTDLKNRGMAVDDDSSPHGLRLLIEDYPYASDGLEIWAAIKSWVDEYVNFYYESDKDVKDDEELKAFWKELVEVGHGDLKTDKWVKLETRTELIETCTTLIWIASALHAAVNFGQYQYGGYILNRPTKTIRFMPVKGSHEFKELAKDYMKTYLTTITPKVETLEVMNTMLVLSMHDPNEEYLGQRTEGDLWTSDPKPVEAYKKFAKKLIEIEEEFIQRNNNESLKNRYGPVKFPYTLLYPSSGPGLTGRGIPNSVSI >RHN45255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13804399:13806994:1 gene:gene39493 transcript:rna39493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MVESDEQKRSPVIRKKTATNSQNMASLISPRFKSAAAMAGWDEEALLLATLIVEDTPDRDRNSKQKRRFVLNSKSPLSNSSRKRRARNPPQPIVLDLDEGETPKKDSGKKKKEKKNCVNEGSKVGEKELTQKGSDVNSTDSSSAALPCMDKLREELSCAICLEICFEPSTTPCGHSFCRKCLRSAADKCGKKCPKCRQLISNGRSCTVNTVLWNTIQLLFPQEIEARKVAASTLNIRAAAAQNPIPETAFYNNRRNRSIQPYPSSRGMNSRRNEQIILDDDEDAELARRLQRELDGQNNNHRTSTTTRIHGGNSRNQTSSSSISVRGRTRRGVSGQDEDAAFALRLQREEFMQAFTNRSQEQSSSSNLLARANLRAMATRAMDHRIRDRRM >RHN48163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45510257:45511774:1 gene:gene42850 transcript:rna42850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MFEMLLPYLLPILLLTLPLLFFLTKPNKKSSTNTNNITIPKSYPLIGSYLSFRNVGNRRIQWLSDIIQIAPSATFNLNRPLGTRQIITGNPSTVQHILKNQFSNYQKSTLFTKTLYDLLDTGIFNTNGENWKFQRQVASHEFNTKSLRKFVEDVVDTELSDRLIPILSLSIQTKQILDFQDILQRFTFDNICKISFGFDPEYLTPSTNKTSFAKAFEDATEISGKRFRLPLPVIWKMKKCFNIGSEKRLHEAITQVREFAKKIIREKKLELKQKLSLQTEDMLSRLLSSSHFEEDFVTNIVISFILAGKDSTSAALTWFFWLLWKNPRVEEEILKEINEKSTSLDYDEVKTMVYTHAALSESMRLYPPVPMDSKEAINDDVLPDGKVVKKGTMITYHVYAMGRMESLWGEDWAEFRPERWLENDGYGKRAFVPRDPFTYPVFQAGPRICLGKEMAFMQMKRIVAGIVGKFKVVPEPHLAEHPNFISFVTSQMEGGFPVTVHKRIP >RHN46647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33691195:33696255:-1 gene:gene41165 transcript:rna41165 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCVIQKCSRRVATMLPWLVIPLIGLWALSQLLPPAFRFEITSPRLACVFVLLVTLFWYEILMPQLSAWRVRRNARLRERKRFEAIEMQKLRKTATRRCRNCLNPYRDQNPGGSRFMCSYCGHVSKRPVLDLPGSQELQISNSGIVKDLVGKNGWMCSQDWLENGNWAGGSVRGNAINWRMNGNGSIFGDEHCLTTRSYSGILVFVCRLLASFFLTIRWLWRKIFRISSREEYLSDAERRALLAKRGENGENLNESRGEKARRKAEEKRQARLERELLEEEERKQREEVAKLVEERRRLRDETIEAEKDNSKLSHTSKEKDRRKEAEKKRQERRKEKDKGSSKSNSDVEELDKRATKESERKRDFDKKTETDHRVHQKSGLESGKGQSTDTAHSKNLVANSYNQGSTGTRYLDRMRGTILSSSKALGFGKGANIPATVVKESKSNKSVDHAHAAASKRDILLPERPAAKSNLNGDDKNISHSVLPEPKPWTAPKKSWQQLFTRSSSVPKSSNSNVICRPNSKIQVEAKSPQLSGQSPVTQSFNNPIQFGLPSPFNISTNLNGSTSCSLGFSPAIEPVFPPVVNTSHDFRHEEQELFEDPCYVPHPSSLLGPVYESLDNFPLDLGSGFIKDAEVIKPRSLRNTSGTDVNKPSPIESPLTREKNNGSNRFTSNPLAQGIHPFPLDDAAAIEKGTWQMWSSSPLGPEGLGFVGGPGSWLSSSQRNMPTNDDFIFPAFQKTTTNVFNKDDNIVPSSYSAQNVFHPNGNSDGTFSPVAVSRGYDPWLQNGLFPPLSGGLKPHEISQNERMYGNPSGSASSNVLESSPANGWPKNEWNLHGSVEGIGNSSAARPHNGSPQYPTSDVHSLWSSD >RHN67915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31398795:31400196:1 gene:gene16170 transcript:rna16170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MGGTGKTMLAKEVGKELKQSKQFTQIIDTTVSFSPDIKKIQDDIARPLRLNFKDCSESDRPKKLRKTLTNGEKILLILDDVWGVINFDEIGIPDSDNHKGCRILVTTRNPLVCNKLGCSKTIQLELLSVGEAWTMFQWHADLNKISTKSLLDKGRRIANECKGLPIAISVIASSLKSKHPEVWDEALKSLQKPMHDVVEAGLVKIYRCFKFSYDNMKNEKAKELLLLCSEFREDEEISIERLTRLGIGGGLFGGDCGSYEEARSEVDLSKKELLNSCLLLEAGRSRVKMHDMVRDAAQWVPNKKIQTVKLHDKNQKEMAERETNIKYLFYECKLKDVFSFKIGGSELEILIITVHMDEDCHNVKIEVPISFFKNNSGLRVFHLSSNIFHGALSLPESIQLLKNIRSLLFTRVDLGMILLM >RHN81875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49504831:49508321:-1 gene:gene5971 transcript:rna5971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pheromone shutdown, TraB MYSPIIKPTFPIFTTNPFFISKTSLRPLKVSVKPPPPDFNYKLEISDESRAVIEECYPELLDLADNGSLVLVQKKRFGPVPSWRTEFVEPEYIWLIGTTHVSKESAMDVERVVKAVKPDNVVVELCRSRAGIMYADDDQLDKQLRSTMFSLSGTGFFGAIGRSINLGGQTALALRLLLAAFSSKISSNIDRPFGDEFRAARKVSEEVGAQLVLGDRPIEITLQRAWKALNWTQKLSLLTIVVRGITSSSDISTDKLEKASSNDGTLQLYEQLSFSYPSLLPPLIHERDTYLAWSLKRSKAVNNCKRVVGVIGKGHMNGVIYALLADTGNLRFRDLTGKNSNDGGSNGWIDDLVKSLVRDTVIGIVLWALYEYINGGTFSF >RHN58243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:215125:215900:-1 gene:gene20132 transcript:rna20132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MDSKKSNKITDIVRLQQILKKWKKVAANASNNSSSSSSSGNKGIKFLKRTLSFTDVSISISNSNSNVDIVPKGFLAVCVGKELKRFIIPMDYLKHQAFEVLLQEAEEEFGFQQEGVLKIPCQVSMFEKILKVVEDKKEALNLHEFGFGGENCDVTPTHHAQVCR >RHN78895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19710558:19711125:1 gene:gene2563 transcript:rna2563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MMHIYLSALLLCFSRIFPAILNAPIDLNLFDIIAKLQSSSEYSTFSASEIASELPNQHPELAERLERMLTVLASYSLLTCSIRSNEDGNKERVYALSSIGQYFALDKDGGSLGPLSALIHRGYHHV >RHN69258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42158916:42159968:-1 gene:gene17701 transcript:rna17701 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSCLLNKFCNERMYEVRYLDTKSDIINMCKYNSNVCGVGTNEREKTI >RHN45896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26575933:26576356:1 gene:gene40320 transcript:rna40320 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYSIVLLLFGMMMVTTVVGAGRSNMIGHPDYSLEEIEEEWYHLFSDPRAIIMGGKRMRFARPPPTFVLEEDVWENLWE >RHN41774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32824818:32825195:1 gene:gene48132 transcript:rna48132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGSMLSIEGDMYSFGILVLEMLTGRRPTDKMFEDSHSLRNFVKISISNDLLQIVDPIIVHNELEVATDDSVNLRAMHSNVEKCLLSLFCIALACSTESPKERMSMVEVIRELNIIKSFFPTVGSS >RHN47982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44033976:44036607:1 gene:gene42646 transcript:rna42646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-disulfide reductase MSIIGTYSHFLYKEVYHRDEQCIPQCFASGRDSLLCRKSCFGNIIRIEKQKKIRRKNLRVEAMWPDLSRPSSVEMEAIHDSEQLDQILVHAQQNSEPILIDWMAAWCRKCIYLKPKLEKLAAEFDTKAKFYYVDVNKVPQTLVKRGKISKMPTIQLWKDGEMKEEVIGGHKGWLVIEEVREMIQNYI >RHN51203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15040526:15043866:1 gene:gene35594 transcript:rna35594 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MELDQNIVTQIDTLKSHVRNMLVAKSEKPFEKVKLIDSICRLGLSYHFEKEIDEVLQHIHKSYVENGEIILEDNLFSLAVLFRVLRQHGFYVSPNVFTKFKDEQGNFNETLIMDVEGMLSLYEASHLIVHGEDILEEALAFTSTHLEFIATESSHSLAAQVKYALRQALHKSLPRLEARRYISIYEQDPSHDEILLTFSKLDFNLLQSLHQKEFGNISKWWKELDFSSKLPYARDRIVECCFWTLTVYFEPQYSRARKMLPKINVMLSLIDDTYDSYGTIDELERFTEAIERWDVIVSDDLPDYMKLLYKSFWNVYEEIEQAMIEEGREYILNYYKKEFKKAVQAYMTEARWLNENYIPTTEEYMRVSRTSCCYSLLILASYIGMGDKVTENIFKWVTNEPKIVNGAANICRLMDEIVSTEFEQKRGHVCSLLDCYKKHHGMSREAGIQECQKGVAIAWKDINRDCLRPTEVPMDFLTRALNFSRFMDVFYTDKDNYTHAEGLMKTYIKDVMVDPIPI >RHN53350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1145035:1145573:-1 gene:gene28250 transcript:rna28250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MENTFFFFLMLSLSISAVTVAAAPPPPSSREGCTDQLLLFSPCLSYVSSPPNNLTETASTKCCDAFSSTFLPNSLCFCYLLRDNHILGFPLNSTRIQSLSSLCVSPPPTTSSLNVLCAESRTLPPLGSADILGVPVTPSGTGA >RHN67813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30431422:30437171:1 gene:gene16049 transcript:rna16049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MVDGYGISPEMEHYGCMVDLFARAGLIDEAVRLIETMTVEPDPVMWATLLDACEIHGFVEMGEKIGNKLIKMDPTHDGHYVQLAGIYAKSRKWDDVVRVRRLMVERVPIKVAGWSLIEVEDRVHRFVAGDRDHDHSSDIYKMLEIIGLMVSEAGCSPKHLTCVA >RHN69178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41548896:41550703:1 gene:gene17612 transcript:rna17612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exostosin MEKTPMISRSCNNHHQLWFAILISFLLCSLLVLCFDYSHTFQTPNNILNFSLNKKPNTFVSDSCTGRYVFIQNLPSRFNQYLLQNCQFLTRGTDKPNMCPYMDNMGLGPEVKNQNFKDILVPNNTWYATNQFLLEVIFHNRMKSYECLTNDSSLASAVFVPSYIGLDISRFLWVNNLTVRDSSGFELVNWLVEKPEWKKMWGRDHFLISGRISWDFRRQFDDLAYWGSKFRFLPQSMNMSMLAVEGSSWNNDYAIPYPTSFHPSMDNDVLQWQSKIRHQKREFLFTFTGAPRPENEDSIRGKIIEQCRGSRFCKFIDCSYGGEKCDDPVNVMKVFGNSVFSLQPSGDSYTRRSIFDSILAGCIPVFFHPGTAYSQYKWHLPRNRTKYSVYIPVKDVKEWNVDLEKVLLEIPEKEVIAMREEVIKLIPKIVYADPRSKLDNFEDAFDLALKGMLERIENVRETMRKGKDPSVGFADEDHYKYTFN >RHN50480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7479353:7482753:1 gene:gene34763 transcript:rna34763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative delta(7)-sterol 5(6)-desaturase MKIMEDLKTDYRRFFIEDTNMYNHIVLGTLLPHYLWVPLPRFFQRWLRNYVGAVLLYFISGFLWSFYIYYWKRNVYLPKDSIPSRRAMFLQISVTMKGMPWYSLLPTISECLVELGWTKSFPRINNLGWIAYIGYLAIYMVIVEFGIYWMHRKLHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPLDGILQALPHSLTLFIVPVHFNVHLVLLFIEIIWSSNIHDCIHGKLWPFMGAGYHTIHHTTYCHNYGHYTIWMDWIFNTLRDPEEVEGNVM >RHN77708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8860444:8862242:-1 gene:gene1191 transcript:rna1191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I reaction center subunit psaK MATSMMITLPQFTGLRSQLKPSPIQGLVAAQPMTKRKGKGALGVRCDFIGSSTNVIMVASTTLMLFAGRFGLAPSANRKATAGLKLETRDSGLQTGDPAGFTLADTLACGTVGHIIGVGVVLGLKNIGAL >RHN72240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6037207:6038276:1 gene:gene7932 transcript:rna7932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MKNTAELPICTLKVDFGCTNGCHSDVKKTLQELKGVKTISVDPKQGKVIVVGNVNPMMLIKLLRKIGRKAQLCSLQEPKEKGAGSHAKKKHHSRRCHESSDTEEEYEAKQVYGHNHKTHHNQRSNKMHDQNNMFDFRNQHAQPRPAAGHQHAQPPPTAGNHRAPPPPFAGYQPGPQPPFAGYRPPPPPMSGYRPFSPPMSGYQPFSGYRPPPMPWYQPQHAGYAIPPQMYPGSGPLHGYNGYSHPMGPHGYNGYGHLMGPDYGHYGSRMPMSRPNPMIHYNSYADNYRYTM >RHN51907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28136624:28137961:-1 gene:gene36473 transcript:rna36473 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLRIPRLARAFGAESILGTSCVDGKSLKGIDEFEDLKKVSC >RHN42999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42479841:42482314:1 gene:gene49517 transcript:rna49517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAT dimerization domain, ribonuclease H-like domain, hAT-like transposase, RNase-H MFHEQDIEEISQENVESIGEIDQLWLVKRCASMILSNDFPFDFFEREGMSNFMKVLNPNAVMPPINVIKAYVSDLYTKAKLKLKQDLATIPNRISLTVDLWESCTTETYICLTAHFADANWKLKSKVLTFCTVDPTGAEMCERMVEFLSDWGIEKKIFSLTLDDSSENDILQELLKTQLGLQNGLLCDGEFFHGHCYARVLKLIVEEGLKLVSDAVSKIRQSILFFRDSKSRRQKFKECVEKVGGVDSSVRLHLDMSMTVNSTYLMLESALKYRCVFESLHLYDDNYELCPSVEEWKRVEKICVFLLPLRETANMINGTAHPTSNMYFLQVWKVQCVLADSLRDEDEAIKRMAERMMSKFEKYWDEYSVVLALGAVLDPRMKFSTLAHCYSKLDVSACERKLQEVKSKLYMLFDKYSSKSISSGVQRTIQDESSSMPLQKKLKSSSHGLFDELKTHHEQLVTGKSQLDIYLAESNLDFRCYEDMDVLQWWKSNNNRFPDLSILARDLLSVPVNTIASDLDFCIGLHVFNKYKDRMLPMNLDARFCTRNWLYNFVRDVGEDDDDFEEIMNETDSDD >RHN76299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49235304:49243799:1 gene:gene12600 transcript:rna12600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MNGGDDVVAAAPAGPPVPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDTKDHGESRRDSERMDYSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQIANGALFLLSTNDKTIKFWKVQEKKVKKVAEMNVDPSKTMGNGSIASSSNSSNPRPNLENGGYPDSDRSYNYLSPDFSFPPGGIPSLRLPVVSSHETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISSQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDSHAKLFEEQEAPGSRSFFTEIIASISDIKFGKDGRYILSRDYMTLKLWDINMDSGPVATYQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEATTLEASKNPMRRQVPTPSRPSRSLGNSITRVVRRGAENPGVDANGNSFDFTTKLLHLAWHPSENSIACAAANSLYMYYA >RHN41628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31626340:31626665:1 gene:gene47970 transcript:rna47970 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLFGDLRKKALKKSNAVPLTLNDVFVAKKEHVFCEPCLIVHINSKIVMQVKRLKLKFRGNNAILFYGLEVEVCWDVYN >RHN60159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22143463:22151768:1 gene:gene22399 transcript:rna22399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-V family MDWKRSSLKIYGDVLFGFLLLCIIQISSSLTDPTDVAALNSLHTSLGSPLLPGWVSSGGDPCGEGWQGIQCNGSFIQKIVLNGANLGGELGDNLATFVSISVIDLSNNNIGGSIPSNLPATMRNFFLSANLLTGSIPTSLSALTGLSDMSLNNNHLTGEIPDAFQSLTQLINLDLSSNNLSGELPPSVENLSSLTTLRLQDNQLSGTLDVLQDLPLKDLNVENNQFAGPIPPKLLSIPNFRQAGNPFNDNSTATRAPPSRSPVTAPPGAPPAAPFFPVPSSGSGRVPTKQADGPTVAIGSKSGKSNKHTKRVVLIVIGSVLAFIIFVLALVLFIPRCGRRERVDRRSRRHQIGAYGGERQQTPSSLGAIVLPPSQTEKVPARDVSRPNDVRQEEPRKVWAVPNAQDKQEKDVQRMTAIPRDVLRPNDNRQEEPRRVWAVPIPNAHDKQEKDVQRMATIAKPVDHEIDISTPEVYSVPPPPPPPPPPPPPPSIPTKKGIVEPTTSHSLPTKRVIVEPTTSHRGTTVDPSLRSSSPPTFAKCFTIASLQQYTNSFSQENLIGGGMLGTVYRAELPDGKLLAVKKLDKRASVHQKDDEFLELVNSLDRIRHTNIVELIGYCSEHGQRLLIYEYCSNGSLYDALHSDDEFKASLSWNARIRMALGAARALEYLHEQCQPPVVHRNLKSANVLLDDDLSVRVSDCGLAPLIASGSVTQLSGNLQSAYGYGAPEFESGIYTYQSDVYSVGVVMLELLTGRQSHDRTRPRGEQFLARWAIPKLHDIDALSKMVDPSLNGVYPAKSLSNFADIISRCLQTEPEFRPAMSEVVLYLLNMMKRESQKNDSNEK >RHN44063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1380749:1381536:1 gene:gene38118 transcript:rna38118 gene_biotype:protein_coding transcript_biotype:protein_coding MWKNFNKWGIQSLGKGYYELTFSCLERCKESLINSILEFKSMYFETLHLDQRF >RHN70942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55281103:55283748:1 gene:gene19578 transcript:rna19578 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MCKPKPSPFLCPTQTNLITSDPKLLINDPPHDEVQDQNELQRWPTPNEVIEELKAIGKISGPTAITGLLLYSRAMISMLFLGYLGETELAGGSLSIGFANITGYSVLSGLAMGMEPICGQAYGAKQWKILGLTLQRTVLLLLSTSIPIAYLWLNMKKILMSCGQDEEISSTAQTFILFSLPDLFFLSFLHPLRIYLRTQNITLPLTYCSAISVTLHVPLNFLLVIHFKMGVAGVAIAMIWFNLNLLIFLSSFVFFSRVYKDSWVFPSIDCLKGWSSLLALSIPSCVSVCLEWWWYELMIILCGLLVNPKSTISSMGILIQTTSLVYVFPSSLSFGVSTRVGNLLGANSPSKARFSMIVSMFCGFGLGILAMVFTTLMRNQWGRLFTSDDEIINLTAMALPIVGLCEIGNCPQTTGCGVLRGSARPTVGANINLGSFYLVGMPVAIVLGFVVKMGFVGLWFGLLAAQGSCAILMLYVLCTTDWNDQIERAKNLTKATTTIGFSDSTTFITKTVLRHDNNNNKCGCLEEIIVITHDDATKTCTHSLESDPLLPNM >RHN42025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34841668:34846949:-1 gene:gene48412 transcript:rna48412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator FHA-SMAD family MGSSLPSPPPPPPWNSDDDFVLKSAVEGGASLESLAKGVVSFSKRYSTAELTERWHSLLYDYDISDEASVAMNNLEVAKPNSDGIKEAVSVDLGIKEAASVDVTARKRKTQTLRKKYYAMRKRLRTEVFFNTFDMALRDEMCIENNTTEKEIVGSGNINDCLNKDVNNNLLVNSIVNYGNQLGLVGARAGSSHSMSEDPLWKTMEDVSAPNMPIHASLENGGSESKETIPHVSDALFNLPNEDELMFVNIDEKDETAVNKQSDANVDSILLRSPCDIQGEDMSVVGESQKLVAETRLAMANGPSAELEVVADSPASSHGDSGFVADCRNEVQSSAAAHGSHPKPANEFRVCSLNTEDSVPSPSDGIEDVNESTVVPNSVNVSAVVVPNSATPKPISIVKEVGYPDSSISNQKRNEPGRSLKSRKDHVAAVTKRKDIPSNFSSALQSVQPGLVPNISKENPVAAVPKTENPAKNLISAVSRQSNNFIVNTNQSQSRLVHATMKHASYGQPTQEVIIALPSPVNTHPKEEEHKTLPDSEAKLSFINQEIGDDDDESDDDDESDGEEQEIPYFSDVEGMILEMDLGPTDQDTKASTEVSRFQNEETKRTIIRLEQSSQAMTRRAMDSRGALAVLYGRTMKEYIIQPEVILGRSTDDTHVDIDLAKEGQYAHNISRRQALIKMAADGSFRIKNLGKRSIFLNDKEVPTGQMRGLRSGTLIQIRALAFIFDVNKKCVEKFIENEKKPREIEG >RHN68025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32224301:32225428:-1 gene:gene16309 transcript:rna16309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVKIVKFVYVIIIFISLFFIAKNVEGRVKCIKDYGCQNKSCQFPLKPYIRTGRVTCKNDSGCELKLCKLPLFPKCVKPYFLFFSTKEGFCACN >RHN50336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6288981:6289527:-1 gene:gene34610 transcript:rna34610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MDELDSWTWGGMRLAFLYEQLSLTSDSFVVSCGGYMTLLVGCTLANFSNIIPRIDDDAYNTTVSPLVSQWKPPRDFSNPGHYRAAIDSLDHSHVTWRPYETRRHITPFEDICCGFLGSTGMSRLFPGLL >RHN48429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47413695:47417709:1 gene:gene43143 transcript:rna43143 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYSDASPIKNDVKDRRLSIIDFLSADDSLLDPVSSNYHQNSENEAWYTPNSKKFEDAATKIEQWENEPQTSETKKKNPKFNLRKSLAWDTAFFTNAGVLDAEELTSIIEGVEKETLPRIEEDVYKSCESISTLGSDSLTFETESVDLEGDLFEDVRASIQKSSNKSKIASAATRMSSSSGIPGLPTRDSGKVGVVPRNKMKASPASRNLPAVARVIGKTTNKNNPTFTQIPQPVAARRESSISKPSKVPTKPSANSTISSKRASLSVPHIISEKDKAKHTNGYRVSLVSRASVIGGSRGTEPKSTILSKLTSGQSISTKTKSATSKSSGSNLSGKSPFNSARRNVIAGTSKPPSSRLPARTPLGFASRNKTESGNSSLSSLISANKLSSSISPASSVSDWSSEASVSTSMPKHMCDSSRSSIDSNSSRKVLSDTNADQGINSQISRSDFNLEGQEAQQNGIISQSVRTASVAAVNPPAPAKPSGLRLPSPKIGFFDGAKSSVRTPRGGAQPHTSVSHGLLKHGAGSSSEGQIKAKLQAVRSITPIANKKVDNQQNPHLNHIDESLDVAIKTSSAEQNVKSPSEMLKGAVKNVEYTSLSHEMERTNYDLCPLTRVDHQDSVYHYNQVDCLIEQVGLVNINSKTQEKINGDSLSFCKTDISFQDKSNGMELSNHKELFDYPKNQELSKGLSTPYQCVTPTSIDMATSARIPFAAKDSFCNMDCTVLTEPATSEIKSTNLPVLESITKENN >RHN48816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50483473:50488422:1 gene:gene43570 transcript:rna43570 gene_biotype:protein_coding transcript_biotype:protein_coding MESENEVALEEEKRVIEVITEENINKEYANICDAEIQNENAASEVEISHIFTSDTVEVDASKNTKLAKGTKNRENVASKNNKFVKDKSNLKGTTSISRKQRSILSQSFSFPAKSARKDSMHKSIDGCLVNTKVKHVRASTLHHSSKSTNSEGKSNEAKTNAEGCNKRSALISMPGLKHSVFGRSTSAVGVTKSCTFEAPLHVDQISNSSKTAKPSKEDDDSHSTTSSATLRQRNICSGFSSRLEERAEKRKEFFSKVEEKVLAKEAEKTNQQAKSKENQEKEIKQLRKSLTFKAAPMPSFYKEPPPKVELKKIPTTRPRSPKLGRHRESAMKNNSEENSTKEKIIKGHKDVNSKKPIKKIQTKVKSQENVTKGSNEECLHPHVNNSDMEQQSETDHPANDHVPPFSSTTVEFASCEVTVGV >RHN67750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29962408:29964039:1 gene:gene15975 transcript:rna15975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MGSGSSPCASCKLLRRRCTQECIFAPYFPSDDPRKFSIVHKVFGASNISKMLQELPIHQRSEAVNSLVFEANARVRDPVYGCVGAISYLQSQVSQLQMQLAMAQSEILCIQMQQESTTVTNSLPTHVDDQDDKLMILSESSNCYGVLPQYINFPSSSSSSSNVFQDYEPLKRETFWN >RHN81282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44541938:44542411:1 gene:gene5298 transcript:rna5298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MARRKVKLALIPDDSARKASYNKRKKGLLKKVSELTILCDVPACAIISSPFDSRTEVWPHPEGANKIIERFQNLSVKDETRNVSHERFIMQTIAKARDKLRKLKYENHEQEWDLFMLMKLQANNNILDGLTTEEVKDLGKRAEKKLKEVDEKIDTLD >RHN75297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41068938:41070431:-1 gene:gene11477 transcript:rna11477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MARVISLFYALIIFLFLFLVATNGDLSPCLRSGDCSKDECPSHLVPKCIGLTCYCI >RHN60807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30540230:30541321:1 gene:gene23189 transcript:rna23189 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLKVKGFSLPYQFFRHQGRPCHPGKYSWMNDAELQSATIHVMINCSEVGPYIEIFQGLNISNIYTSFQGWFKHQLLNSHPTTQIYHLRGLAEGPGRKFKQWDTYFVNGFKFHTQSRSVGKKTINSGVYVKGISDGGEDDFYGVIKHIFEVTYLYVDEPNKVVLFYCDWFDPANGMKIDPKHKTVDVRMNRMYNAFDPFILASKVSQVYYVPYPSHHRRKHGWCAAIKCKPRG >RHN76355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49653747:49659960:1 gene:gene12666 transcript:rna12666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M41, ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MSSHCFVHFPPSSSSSKLKKFPKPSKFRSISSQIQTPESENDEKNQKNLNFNNLNFLKFTVTLTVISASLPQAATAVAAAGKKRAPRKASTKKVEALSIEEVKTWIEGLPIVSERIPYTEIAELKNLGMLKHIVKPSAVELRERAVAVLVVLEDSRVLRTVLPNVESDRKFWGLWDELKIENLCVNAYSPPVKVPEIPLSVLARIWLSLPFHKPLVEFVNRFQPKKKSKKELALREARMQLQRQKKEEVVKTMKEREMIERNERNKKREAENEKRMRRRKEYKEKMVEVKANEFFNTTIWTRMAKDKMAINGIGVLFFVIFYRTVVVSYKKQKKDYEDRIKIQKADAEERRKMREMEAEMGWSEAGGDEDESELVKEGEENPYLKMTKEFMKSGARVRRAQNRRLPQYLERGVDVKFTDVAGLGKIRLELEEIVKFFTHGEMYRRRGVKIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRSLYQEAKENAPSVVFIDELDAVGRKRGLIKGSGGQERDATLNQLLVCLDGFEGRGEVITIASTNRPDILDPALVRPGRFDRKIFIPKPGFIGRIEILKVHARKKPIAEDVDYEIVASMTDGMVGAELANIVEVAAINMMRDSRTEVSTDDLLQAAQMEERGMLDRKERSKEKWEQVAINEAAMAVAAMNLPNFDNIEYITIAPRAGRELGYVRTMLESINFNDGMLTRQSLFDHITVQLAPRAADEMWFGKDQLSTIWAETADNARVAARMYMIGGLSDKYRGVSNFWVTDRINEIDLEAMKILNLCYERAKEILQQNKTLMDTLVNELVVKKTLTKEDIVRLVQLHGHAKPIPISVLDIRDAKHKELQEIASNGKEINES >RHN55226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16280228:16281851:-1 gene:gene30371 transcript:rna30371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MATNDLKLLGGWFSPFAVRAQIALSIKGLDYENIVENLNSKSDLFLQSNPVYKKIPVLIHGDKAICESAIIVEYIDEVWKNNGTPSILPSNAYDRASARFWGAYIDDKLYNSLRNGLFAQDIESKKKHFEELAEVLLKLEDVLNKSSGGKDFFGGEKIGFVDIAFGCYLSWLRVKEKFTGEKAFDEAKTPSLVKWAEAFATDPAVKGILPETDKLVEYALAMAAAIPK >RHN40868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23516884:23520902:1 gene:gene47107 transcript:rna47107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SecY/SEC61-alpha family protein MGGGFRVLHLVRPFLSFLPEVQTADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLHRKYSGNFIVNLLGKWKESEYGGGHSIPVGGIAYYITAPSSLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGF >RHN69629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45061980:45063027:-1 gene:gene18121 transcript:rna18121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MIFAVAFRLYDLRQTGYIEREELKEMVLALLHESDLVLSDDMIEAIVDKTFKDADTKDDGRIDQDEWKAFVSQHPSLIKNMTLPYLKDITLAFPSFIARTEVEDSERGLTGEEQIVTTV >RHN44265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3027674:3030341:1 gene:gene38363 transcript:rna38363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gliding motility-associated protein GldE MTMMNLINALAVSRILTRDPSVLAHESIPFGSATWIAYAVFCCFIVLFSGLMSGLTIGFLSQKIINLEILKLSGSSSEKKQAEIIIPLVEKSHQLLVTLLLFNALTMEALPIFLYKITNPFLAIIVSVTCVLFIGEIIPQAICSRNGLAVGAYFAWLVRILMIICYPISCPVGKALDYLLGHDKALFGRAQIKTFVSIHGKEAGIGGELTLDETTIINGALDLTQKTVEKAMTPIESTFSLDVNSKLDWEAMGQIIDRGHSRIPVYNENPKNLIGLLLVKDLLRVRSEMETPVSDVCSPSIPRCV >RHN63855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54523727:54527891:1 gene:gene26610 transcript:rna26610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein phosphatase 2A, regulatory B subunit, B56 MIKQILSKLPKKLPKSDSSDSAKSDSGNSTTSFGNVFQCTNVGSTISSKLNVVKRVSSVVFPASMNAGVEAVDPSLSFKDVSNTQKQSLFISKLNLCCKVYDMSDPDKNCTEQDVKRQTLLDLVDFVSSGSVKFTEPAIAALCKMCATNLFRVFPPKFRSSSGGETEDEEPMFDPAWSHLQVVYDLLLQFINYNSLDVKLAKSHVDHAFVLRLLDLFDSEDPRERDCLKTVLHRIYGKFMVHRPFIRKSVSNIIYRFVFETERHNGIAELLEIFGSVISGFALPLKEEHKIFLWKALIPLHKPKSIGIYHQQLTYCIVQFIDKDQRLVSSVIKGLLKYWPVTNSQKELMFMSELEEVLEMTSMAEFQKVMVPLFRRLACCLTSSHYQVAERAHLLWNNEHILNLITQNRQVILPLVFSALVHNSQNHWNQAVLNLTQNIRKMLSQMDEELVLACQRKIEEEDSKSSDVAERRRITWERLEEAATSVQSVGGSSDILVQVKSATATCSVAC >RHN48806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50411667:50412922:1 gene:gene43559 transcript:rna43559 gene_biotype:protein_coding transcript_biotype:protein_coding MFIMSQCWNGWERNKKIRRGLWLIWHATIWILWKAWNNRIFNNQAVEAEDIIEEVKVLSWRWTFNRINIPVCMYYEWCWNPKYCLKR >RHN65064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63966187:63966402:1 gene:gene27957 transcript:rna27957 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHVWYKRPTLDEGRVSFTWVELTNDENVTTMFWEHSMFQWIDMRVKLLRSTEDIIKSLIPPQDRH >RHN48212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45809516:45813511:1 gene:gene42902 transcript:rna42902 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKAMCITPHKVTMSHYCRWLQFNIISITKQNYKRGVFRAS >RHN71816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2790327:2790948:1 gene:gene7453 transcript:rna7453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator Znf-B family MKKKCELCKSPAKLFCESDQASLCWECDAKVHTANFIVTKHHRFLLCHICQSLTPWHGTGPKFVPTISLCNHCVAVTNNNDEDNDQDDDDDDTEDDDEENQVVPWKSTTPPPVSSCSSNSAN >RHN55218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16225821:16231414:1 gene:gene30363 transcript:rna30363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactosylxylosylprotein 3-beta-galactosyltransferase MKKVKLEVLTLPNRLTLIQIFMMMMLLYMLFMSFEIPLAFKAGLGSETVANIGVFTTDAMPLLEEQNHKQKRPFGLLLRKVSTLSFNNSFEGVSELDKVAKHAYSSGKKLWEEVESGKVKSFSGFKKAKNGSDSDTCLSSVSASGFEFREKLKGVMVLNCGLTLWSHVTVVGTPRFAHGESDPKIGGVRNGDEKVMVSQFMLELQGLKAVDNEEPPKILHFNPRLKGDWSGKPVIEQNTCYRMQWGTGLRCEGWKSRADEETVDGQVKCEKWIHDDDNRSEEWKATWWLNRLMGRKKVVPVEWPYPFAEGKLFVLTISAGLEGYHITVDGRHVTSFPYRTGFALEDATGLSINGDVDVHSIYAASLPTSHPSFAPQMHLELLPRWKAPPILDVNVELFIGILSAGNHFAERMAVRKSWMQHKLIKSSHVVARFFVALHGRKDINVEIKKEAEYFGDIIIVPYMDHYDLVVLKTVAICEYGIRSVAAKYIMKCDDDTFVRVDSVISEAREVQTGKSLYMGNMNYHHKPLRDGKWAVTYEEWVEEEYPPYANGPGYIVSSDIARFIVSEFEEQRLKLFKMEDVSMGMWVEQFNSSREVEYVHSFKFCQFGCIEDYYTAHYQSPRQMTCMWDKLQHQGKPLCCNMR >RHN81044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42859405:42859759:-1 gene:gene5038 transcript:rna5038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MYYCEVMYVRTTIFFFLFKNPNPHFSDQNHFFSLFFIPQRSMSLYQAPGFRFHPTDEEIVCFYLKRKLTGKLPPCFDHLAFIDIYKFEPWDLPRLFNDDFNV >RHN80079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34882749:34883431:1 gene:gene3957 transcript:rna3957 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLANVSIKDLQPQQYSERITCKLRSLNKLEKLNYGNLII >RHN68843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39025483:39026597:1 gene:gene17254 transcript:rna17254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate oxidase MRLLRAIFVWCNLFGVFELSFGAKERNYEFDVEYIYKKPDCLEHVVMGINGQFPGPTIRAEVGDTLVINVTNKLSTEGTVIHWHGIRQRGTPWADGTAAISQCAINPGETFQYKFEVDKSGTYFYHGHYGMQRAAGLYGSLIVDLPKSQREPFHYDGEFNLLLSDLWHTSSHEQEVGLSSAPMRWIGEPQVYTFNFLYIQKDTSCSFNLLLFY >RHN47591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40841884:40843529:-1 gene:gene42209 transcript:rna42209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MVLTILALTLLLTILVSKIIRDRHIGKSPSLDKKNNLPPGPPRWPIVGNLLQLGQLPHRDLASLCDKYGPLVYLKLGNIDAITTNDPDIIREILLSQDDVFASRPRTLAAIHLAYGCGDVALAPLGPHWKRMRRICMEHLLTTKRLESFSKHRQEEAQHLVKDVFARAKSENPINLREILGAFSMNNVTRMLLGKQYFGSKSAGPQEAMEFMHITHELFWLLGVIYLGDYLPMWRWIDPHGCEKKMREVEKRVDDFHSKILEEHRKARKDKKGIGKSDEELDFVDILLSLPGEDGKEHMDDVEIKALIQDMIAAATDTSAVTNEWAMTEVIKHPHVLHKIQEELDVVVGPNRMVIESDLPNLNYLRCVVRETFRMHPAGPFLIPHESLRPTTINGYYIPSKTRVFINTHGLGRNTKIWENVDEFRPERHFSTSGSRVEISHGADFKILPFSAGKRKCPGAPLGVTLVLMALARLFHCFDWNPPKGLNHQDIDTQEVYGMTMPKVHPLIAVAKPRLASHMYD >RHN51363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16708723:16709781:1 gene:gene35789 transcript:rna35789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Hemopexin-like domain-containing protein MPNAIDAAFCSSKANECYIFVKQKYMVVNYAPGGQKKDIISAPTNIAGGFPMFANTIFKYKIDCSFDTGDNVAFFFSGDQCAKTSYTPNSLAKARLLQGPMPIKTMFPALKGTTFESGIDAILRSHKENHVHLFKGDRRCHFNFKSNTVTGNSSISKYFPPLLGTVFEKGIDAAFNTHFENEVFIFKDQYYLHYNIKDDKFLNGYIKLISDDWPALRRIL >RHN48298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46441227:46441991:-1 gene:gene43000 transcript:rna43000 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRDIHRAWGRTLSLAPPYQQPETPPTMPPPPPTLDQSPPEWTIWGRTLSLSLPYQHPETPPPPPLEEESQPQTSRKRKHSSNPKKQNWAETINPPFPWATCKRAKVYTIHHLLSKGFNTISGKVECGKCDFQSVLEFDLNEKFNEVVEFIENNKNDMNDRAPEAWMNPMLPKCEKCGQENAMNPIITKKRTINWLFLLLGQMIGCCKLQHLKYFCKHSNIHRTGAKNRLIYLTYVDLCRQLQPDLVLLKQL >RHN76020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47033011:47034934:1 gene:gene12291 transcript:rna12291 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLLKWMLDVFRMATLLGGCVFKNNSGDISMIVCKKESIATDPCMEEALGVR >RHN63493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51745352:51751712:1 gene:gene26195 transcript:rna26195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain-containing protein MKITALLVLKSTGDGSESVFLANASDVSHFGYFQRSSVKEFIVFVGRTVANRTPQGQRQSVQHEEYKVHAYNRNGLCAVGFMDDHYPVRSAFSLLNQVLDEYIKSFGESWRSIQADSTEPWPYLNEALAKFQDPAEADKLLKIQRELDETKIILHKTIDSVLARGEKLDSLVEKSSDLSAASQMFYKQAKKTNQCCTIL >RHN63517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51898166:51900476:-1 gene:gene26221 transcript:rna26221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 43kDa postsynaptic protein MPSVVPIEGNKMNELENPSPSKNIYNKINSPRIPSSPQTPRSVERFHEIVHDARRSRRTRSSQSFGSDSDWSLTDSEFSHISGEQMRKLEIVKEEMVKKVVRDSSSETPSISRAKGKNPPAKPPVERKNGNNPLRKQSTTVTNRAVKPKIASIGRSRSQSRVDDSKESTFDNPELGPFLLKKARDLISLGENPRKALELSLQATKLFEKSANGKPSLDLVMCLHVTAAIYCSLGHYNEAIPILERSIEIPAVEEGKQHAIAKFASHMQLGDTYAMLGQLENSIMCYNNGLEVQRKVLGVTDPRVGETCRYIAEANFQALQFDEAERLCQIALDIHRENGSPSSLEEVADRRLIGLICETNGNHEAALEHLVLASMAMVSNGQEVEVASVDCSIGDTFLSLARYDEAILAYKKALAVFKTHKGGNHPSVGSVLVRLAELYNRTWKVRESKSYCENALKIYENPMPGVPSEEIASGFVNVAAIYESMNELEQALELLHKALEIHNDAPGQQNTIAGIEAQMGVIYYVLGNQTESYNAFKNAVSKLRATGEKKSAFFGFVLNQMGLCCVQLNALSEAVKLFEEAKIVLEQEYGPCHPETLGVYSNLAGTYDAIGRVDDAIDIIEYIVVVREEKLGTAHFDVVDEKRRLDELLKETGRVRKRKTKSLEILLDVNED >RHN75598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43623242:43625889:-1 gene:gene11828 transcript:rna11828 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAIKTKSLTKLIPFSSSFCAISLFIFISILFFTTSKIVTINIGSSLLQPTESDPTNVNHLVFGIASSGKSWPNRKKYAKLWWNKNMKGCVFVDNLPPEENDNNLNSDDSVPQICVSEDTSKFNYTYRPGGLRSAIRVARVVKETAELNHSDVRWYVFGDDDTIFFPENLVKTLSKYDHRLWYYVGAYSENYEGSQTFGFGMAFGGGGFALSASLANVLAKVFDSCIERYSHLYGSDARVFSCIAELGVGLTYEPGFHQVDLRGNVFGLLAAHPLSPLLSLHHPDITDAIFPNMTNSKSLQHLFEAAYVDSQRMLQQTVCYDRRFSRTISVSWGYAVQVFQSNVLLPDVLRVQETFKPWKEKHVMAGIYTFSKRELHHDPCKRPKIFYLDNVSSGKDGIISNYTKSFYNCSNDKTSSKNLEVIKVVTNNLDLDSKQLQTPRRQCCDVLDSNSGQLMEIAIRECKYEELIYMH >RHN49641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:56201886:56208753:1 gene:gene44491 transcript:rna44491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MEKQKSFRGFMEKQKSFRVVMERQLSFMGSERKKSKESPGKRGDLPIHLAARAGNLTKVKEILLQNHEAAKDLLAKQNLEGETPLYVASENGHDLVVSEILKYLDLQTASIVARNGYDPFHVAAKQGHLEVLRELLHSFPNLAMTTDLSNSTALHTAATQGHIDVVNLLLESDSNLAKIARNNGKTVLHSAARMGHLEVVKALLSKDPSTGFRTDKKGQTALHMAVKGQNEEILLELVKPDPAVLNLEDNKGNTALHIAAKKGRNQNVRRLLSTEGININATNKAGETPLDVAEKFGSPDLVSIMRDAGAVNSTDQGKPPNAAKQLKQTVSDIKHDVQSQLQQTRQTGMRVQKIAKKLKKLHISGLNNAITSATVVAVLIATVAFAAIFTVPGQYVEEKVNGFSLGQATIANNPAFLIFFVFDSLALFISLAVVVVQTSVVVIEQKAKKQLVFVINKLMWLACLFISIAFISLTYVVVGSHSRWLAIYATVIGSLIMLSTIGSMCYCVILHRIDETKLRAESRSFSMSHTSDQEILNGEYKRMYAL >RHN58604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3157172:3160415:-1 gene:gene20528 transcript:rna20528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLKLVGAIFVMLNVASVSGSCIEKERQALLELKSGLVLDNTYLLPSWDSKSDDCCAWEGIGCSNETGHVEILDLNGDQFGPFVGDINETLIELRHLKYLNLSWNLFSNSYFPELFGSLRNLRFLDLQGSFDGGRIPKDLARLSHLQYLDLSDNGLEGTIPHQLGNLSHLQYLDLSSNDLAGTVLRPLGSLSKLQELHLGYNQGLKVYFGGEWLSNLTLLTHLDLSRLPNLNSSHVWLQMIGKLPKIQELKLSGCVLSDLYLLSLSRSLLNFSTSLAILDLSQNAFSSSKIFEWVFNATTNLIELDLSYNIFKGTIPYDFGNRRNNLERLDLSGNVLHGGSSMESFSDICSLNSLKLDSNNLNEDISTILLKLAGCARYSLQDLSLHHNQITGTLPNLTIFPSLITIDISNNILSGKVPDGIPKSLESLIIKSNSLEGGIPKSFGSLCSLRSLDLSSNKLSEDLSVMLHNLSVGCAKNSLKELYLASNQIVGTVPDMSGFSSLENLFLYENLLNGTILKNSTFPYRLVNLYLDSNDLHGVITDSHFGNMSMLKYLSLSSNSLALKFSENWVPPFQLSTIYLRSCTLGPSFPKWLRSQKYLQRVEISNAGISDVVPVWFWTQATNIRFTNISYNNLTGTIPNMLIRFSTGCQVIMDSNQFEGSIPLFFRSATLLQLSNNKFSETHLFLCANTAVDRLLILDLSKNQLSRKLPDCWNHLKALEFLDLSDNTLSGVVPSSMGSLLKIKVLILRNNSLTGKLPFSLKNCTELIMLDLGDNRFSGPIPYWLGQQLQMLSLRKNHFNGSLPQSLCDITNIQLLDLSENNLSGRIFKCLKNFSAMSQNVSPNKTIVSVFVYYKGTLVYEGYDLIALLMWKGAERQFKNNKLILRSIDLSSNQLIGNIPEEIGNLMELVSLNLSNNNLNGKITSKIGRLTSLEFLDLSRNHFSGLIPPSLAQIDRLSLLNLSDNYLSGRIPIGTQLQSFNASNYEGNVDLCGKPLDKICPGDDEVVPEKPESSPEDKKPIYLSVALGFITGFWGLWGSFLLWKTWRHAYVFFEDGLEACW >RHN81926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49867495:49870055:1 gene:gene6024 transcript:rna6024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-XI family MSTSSPPPLQLNNNHTTTVIPGCSFILALLHCLRNKKRTTPSSDSDSNPPHPFSYSLLLRATNSFSTILGHGGFGPVYSGTLPSSGKPIAVKLMNSTSLGVVGSQGEREYHNELFFASRLQSEHLVPAIGFSSDPKRRRFVLVYDLMKNGNLHDALFRRKSLELTIWKTRFSIIVDIAKGIQYLHSCDPAIIHGDIKPSNILLDHSFSAKIADFGLARLKTFQFEISDYRRKEEFESDGAETESVNTSFEEYEREMVGGGLKKSGSVKDYVMDWIGKEVKEERTKNDDLVGGSGKGEKSKMKKKLEWWESMDEGKRKGDLKKEKRRPVREWWKEEYSQELENKNKKKNNKKKSEKNGDNWWKWDRDHVHDHDAGSDVKKMSYKNRSRKERGSGDSWLSGELRRVSWNSYDSCNSGEIHKSGEISSTPSMRGTVFYVAPENGYSDVTEKSDVYSFGVLLLVIVSGRRPLQVNAGGSGDGDGFKHISEFKRANLVSWARHCARNGKLLELVDPLVELLLLDDKEQALLCIKITLLCLLKSPNRRPSMKEIVGMLSGELEPPQLPPEYSQSRFQFKNRKECS >RHN66644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15594742:15595076:-1 gene:gene14682 transcript:rna14682 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHSTVEGSCPGMLIIQLLRAYALECLVIQIVEGSCPGMLIIQPLRAYALECLVIQIVGGLCHGMLSHSTRWNWCYLDVVVVVVVDGEFVVMELL >RHN74064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:26123180:26127446:-1 gene:gene10020 transcript:rna10020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase subunit SECY MLRYYNLQYNCWEEVKWLWIMMLITVKQASPSPRWCVRLNNRPLIPKPSSSSSSSSICRATLSVRRISTTQPHLSTSCDVANFDPLGINSSSSSSSTWKNIVSLFESSEKPRGGGVAAAIEDSSIDFGDFFKGPLPGKFLKLLGFLALSRLGVYIPLGGVNREAFLGNLDQNSLLSTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQVYPKLQDLQKREGEAGRKKILQYTRYASVGFAIVQAIGQVLFLRPYVNDFTTEWALTSVILLTLGSVITTYIGEQITDLKLGNGTSLLIFTNIISYLPASFGRTFAQAFNDANYVGLVTIIVSFFLLVVGIVYVQEAERKIPINYASRFTSKSGGLEKSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLSALKNAAVALNPGGSFYLPFNILLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGRSTATFIKTVLSRISVLGSTFLAILAAGPAIVEQTAHLTAFRGFAGTSILILVGCATDTARKVRAEIISQKYKNIELYDFDKY >RHN38616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:747926:750986:1 gene:gene44573 transcript:rna44573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HD-ZIP family MGEKDDEFSLGLSLSLGFGEYANNNNQTPLKVSHMHKPPQTVTNQRVSFNNLFHFHDLSTETRSFLRGIDGNTPLPSTAATAAAFDDENGVSSPNSTVSSISGKRSEREGNGDENDAVERGSCSRGGGSDDDDGGGCGGDGDSSRKKLRLSKEQSMLLEETFKEHNTLNPKQKQALAKQLNLKPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTDENRRLQKEVQELRALKLSPQLYMQMNPPTTLTMCPSCERVAVSSASSSSAAAAPPSASANPSGPSVQRPTPVNPWASMSIQNRSRP >RHN49386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54459542:54462688:-1 gene:gene44209 transcript:rna44209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding, P-loop containing nucleoside triphosphate hydrolase MGKASKWIRNLLLGKKEENFKQIDTFCPDNKTANTVNSSSSSNSNKMVVKRRWSFRKLTSGRSTGKVVAHKISKSFDSDDSPKLQIQGLFYTQSPRFRPTAAEFVKKAATKIQASFRSYLARRALHALKGLVKLQALVRGHLVRKQTTATLRGMHALMSIQVRARIKRIKMAEEVNPLEIQPPKHTEIPSFKGQMIQQNQYSKNMSMEEMLEVMRSRSGPLDVKSRKYDSMAYYSRSRSISKRENQLKKKENHMNNNPRTILTAPNSPEKYYSDMIEYMDPTTLSTSQRHIIVPPRKSWSSPSYMNKTESSRAKTRSISEPRQRPKQGTEQRNKSIEFASLKQNMLSNSARYDHWVVNSMKESKHDSFGSYAVTTSDDSYYYS >RHN48011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44279344:44281382:-1 gene:gene42679 transcript:rna42679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain-containing protein MNSCLLTASDRHENEPSKRMQISSAGQDIISNLPVFIIAHILSFLRIEDAVRTSVLSRRWIYMWTFVTKLYFGDKPIYKLKKTRFMNFVCRVLFHLNSASIDSFSFYLSEQYDPYIISQWISVVSNRKVKKIHLRSLEECNISVYPIFKCQTLEELVLALGHSTVRFPSFVCLSSLTVLHLIAIRTGMTITCYSSNESKELRLNFPILREYVTNACTWSGVKCVTLEAPLLEVVTMTRGNRYSRSDLSHAEIKIHASNIQKFRYDGYVSAETILLDAHVAKADISLITSKVKSVQQMGIFVRKLFSIINVECLRLYLYLNPAPYAFLDPAQMKYCLADIPAFGMLRHLQLLFVNCEVLLGLLLKSPCLETLVLKDLKVIDSDEEPVNFASVPDCFLSTLKEVKFEDFAGGRHELSFAKFVMEKGQVLESISFSCYHGLRGAEFEKLKEEIFLVKRSFTIEFSRNLVPEDV >RHN56212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28816821:28817440:1 gene:gene31577 transcript:rna31577 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKVDDFYADVPCANFVADVEVCKPVLKECKCDGKVNESNHLLSEFCSAYSEDFEKRVPVKRPVSIGVLKDGFKDANESKKERMCSDRGCLSETQGESRRSYGIRNKVSFSNSELGDFISSNVDGEIARYGDEDEIKNSFCDENDVNDISGESIDPFRVYMEFDLENRIQKLEKRFSKLKEARFGQKVETV >RHN40018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12305096:12308785:1 gene:gene46108 transcript:rna46108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RAP domain-containing protein MEGLLNSLINQSCLKPFGFTPIVGYNFPVTTRTWRLNRKLDSYNTLRIDCTHLDRDSNASARGNRVAALDSGDNGEESDMDWESEFVGELDPFGYRAPKKREKEQKSKLLEDTDGMDWCVRAREVALKSIKAKGRAYALEDLVTVKKKKKKKKVEEKVVSKKKTEKKIDEIEDLLDYGSEEEFGLPQVKENDLKRQVSLIADGMFAENTEKRMETFYNRLSQFSGISDHRKEINLNKAIIESQTADDVLDVTYETIVAVAKGLSPSPLSPLNIATALHRIAKNMEKVSMMKTRRLAFARQKEMSMLVGVAMTALPECSAQGISNIAWALSKVGGELLYFSEMDRIAEIALTKVGELNSQNIANIAGAFASMQHSAPDLFAELSKRASEIIHTFKGQELAQLLWAFASLYEPADIVFDSLDRLFEDHRQSKGFIGEQIGVESVDRNGDSTLLTLNRDQLGTIAWSYAVFGQMDRSFFSYVWKTLGYFEEQRVSELYREDIMFASQVHLVNQCLKLEFPHLQLSLCGELEDKVSSAGKTKRFNQKITSSFQKEVGHLLVSTGLEWVKEYVVDGYTLDAVTVDKKLALEIDGPTHFSRNTGVPLGHTILKRRYITAAGWKLVSLSYQEWEELQGGSEQVEYLREILKDHLDKKYDSSTLTEVK >RHN39036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3666664:3666927:-1 gene:gene45027 transcript:rna45027 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFSKGLMRLYFVERRCDFGTTVLWGEFFLKRERVLINVYSYRCYCLATPPKKIK >RHN60769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30260422:30263235:1 gene:gene23129 transcript:rna23129 gene_biotype:protein_coding transcript_biotype:protein_coding MFEIFRFHGFFPYAKAKLPHNLVVVGAYGSWFTLDSGSIRFRYGFVFWRRSPFKTPCSAVCGFSKPSLICSDTFVVGSDR >RHN45194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12851977:12853661:-1 gene:gene39422 transcript:rna39422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVNMVEIVKFVCIINIFIFLFLVATNVEASFTRCFRDSNCPKSLCHPPTKPKCMYKSICKCIREFSKRDYVHT >RHN71443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:193413:195404:1 gene:gene7047 transcript:rna7047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MSSNEYDYLFKLLIIGDSSVGKSCLLLRFADDSYDDTYISTIGVDFKIRTVELEGKTAKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDIESFNNVKQWLHEIDRYANHSVSKLLVGNKCDLTDNKLVHTHTAKAFADELGIPFLETSAKDSINVEQAFLTMAAEIKNKMGSQPTGSKSAAESVQMKGQPIQQNTNCCG >RHN80092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35056690:35061970:1 gene:gene3972 transcript:rna3972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructokinase MPLLSVPSTTKTLNSPSPFYHHHATFFQSKSKSKFKFKPKFQFHPILNLSIACKSLDVAVSPDSKLRSVGSKHVDVATLGNLCVDIVLNVPQLPPSSVQQRKAFMEHLASSPPPKKHWEAGGNCNMAIAAARLGLNCVSIGHVGKEIYGNFLSDVLRDEGIGMVGMSTNDDTVSCSGASYETLLCWVLVDPLQRHGFCSRADFSKDPAFNWLNKMSREAKLAIKNSKVLFCNGYGFDDFSPGLLLSVVDCAVEVGTSIFFDPGPRGKSLSSGTQEQQRALNQFLRMSDVLLLTSDEAESLTGIGDPILAGQELLKRGIRTKWVIVKMGLKGSILITSSSIACAPAFKVNIVDSVGCGDSFVAAIAYGFIHKLPMVNTLAFANAVGAATAMGCGAGRNVASLEKVVDILRSSSFHEDREFWTDILEKKVIDQEVTCLSNIVMNGNRNHVNFIPFDKVASELLPKLEFPQTVENVPT >RHN54407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9329816:9330226:1 gene:gene29439 transcript:rna29439 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKYHVRSNSFPSQSHPSSTRIEQELTKMKTWEATSTSTSDSITTGLSFLEDLYISLEDLLNMSSTQKAISHHHGEKFVEELLDGSVKVLDICGITRDTVIPCFWT >RHN40675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19232554:19233641:1 gene:gene46862 transcript:rna46862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MPSTIETTLLNKPSISRSIEQFKIHFNNIDIQTIKFPCVEGGLPYGCENVNAIPTVSLVRSYGVIINSFYELDGVYADYYREFIGKKEWHIGLFSVYNRDMDTSYRGKEPSINKHKCLKWLDTKDINLVVYVCFGSTNHFLNSQLKEISMGLKASWKDLVLVVKKKREDREKGLPEFEKIMKGKGLIIRGWPLQLLIFQHKAIGAFVTHCGWNLTLESVAAGVPMITWPIAFEEFYNEKLVTEVLKIGVPVGDKKVSGYHSVAILLTRM >RHN41186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27973482:27974761:-1 gene:gene47478 transcript:rna47478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGLNLIPEEIGDLHQLKFLTLRHNSFEGSIPSKLLNISSLTYLDLVQNYLSGIIPSKTGYSLPKLQYLDLEGNNFVGNIPNIIFNASHLILVDLNHNAFTGTVPNFFENLRFFKYFFTGYNYLTIDDSHQFFNSLTSCRYLKYLDLSGIHIRSHLQSIIPNSIGNISSELLWLGLDSCRIEGNIPIEIGNMSNMLIFSINDNNIKGPIPGTIKKLKNLQMLDLGNNGLQGSFIEEFCEMQSLGELYLENNKLSGGLPTCLGNMSSIIRLYIGSNSLNSKMPSSLWSVIDILELDLSSNAFIGNLPPEIGNLRAIILLDLSRNQISSNIPTTINSLQTLQMLSLADNKLYGSIPTSLGEMVSLVSLDLSQNMLTSVIPKSLESLLYLQNINFSYNRL >RHN41761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32714899:32719728:-1 gene:gene48117 transcript:rna48117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MKNNEGKVVDKSRIVPVAIIFVVLCGLSFYMGVIFGSENDRIVSIISQRSLDSPKKSSSISSLQIKSISFPECSVDYQDYTPCTDPRRWKKYGSYRFIMLERHCPPIFERKECLVPPPDGYQLPIRWPKSRDECWYRNVPYDWINKQKSNQHWLKKEGEKFLFPGGGTMFPNGVGKYVDLMEDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHQAQVQFALERGIPAILGVLATHRLPFPSNSFDMAHCSRCLIPWTEFGGVNILEIHRILRPGGFWVLSGPPINYERRSRGWNTTIKEQRSTYEKLQNLLTSLCFKLYNKKGDIAVWQKSPDNDCYNKLTRDTYPPKCDDSLRPDSAWYTPIRTCFVVPDPKFKKIGLASISKWPERLHVTPERISMVYRGSDSTFKRDGSKWKKHVAHYKKLIPELGTDKIRNVMDMNTEYGGFAAALIDDPVWVMNVVSSYAVNTLPLVYDRGLIGTFHDWCEAFSTYPRTYDLLHLAGLFTAESHRCELKYVLLEMDRILRPGGYALIRESSYFLDAITTIAKGMRWECHTEDTEQGGDMEKILICKKKLWYSSNKDSR >RHN41002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25637072:25638754:1 gene:gene47269 transcript:rna47269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-locus glycoprotein MTDTIKVLFRLFILIFYMRISTSLDSLAVNQSIRDGQTLVSANGTFEIGFFSPGNSKGRYLGMWYKNLTPLTAVWVANRETPVHNNSGVLKLNENGVLVILSGANSTVWSSKISETVFVNNSINAQLLDTGNLVLKNGKDYILWQSFDYPCDTLLPGMKLGWNLVTGLNKIQSSWKSTDDPAKGEYSSGVDLRGYPQVVIMKGSAIKLRVGSWNGRSFTGYPTQPLKKKQIFEFVITDKEVYHRYEVVDRSMICVYRLSLTGNLQALCWTSHSSSRIVIYTDAEDSCDNYAMCGANSICNMDGNVPKCECLKSYVPKFPEQWNISYWSGGCVPKIELVCGNNNTSAFLRYSEMKLPDTSSSLYNKTMNLVECQKVCMKNCSCTSYANMDIRKGGSGCLLWFGDLVDMRVISQWGQDLYIKVPSSELDYFSVEVPGSTKKRLMRITVGLITIGFFTCA >RHN64646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60712272:60715429:1 gene:gene27480 transcript:rna27480 gene_biotype:protein_coding transcript_biotype:protein_coding MNETPPPQPVPPTGLPMKRFKFVWRFLLLSNLALGAFLFASAKRRDSMEIQKKRTAHRLHKSKAAVEVPPEPSTSSVDLNYEDFLVPATMPMEVRAPIPDEQQREVFKWILEEKRKVKPKDAMEKKQIDEDKDILKQFLRANSIPKF >RHN67961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31834707:31837529:1 gene:gene16226 transcript:rna16226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQKARNMAKLVKLVYVIIVFYTLFLVATEIVSGIPCNDDVDCPQTLCEQLIADFKYMIDFKSECVSRMCACTGSPV >RHN64729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61338148:61344159:-1 gene:gene27579 transcript:rna27579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oberon, PHD finger domain-containing protein MATDSSSQVALDPSKFSKLSMEEKRELVYEISKSSHDGASEILQSWSRQEILQILCAEMGKERKYTGLTKVKIIENLLKIVSEKKSSGHDIATDPEPHSFPENGQKPAKRQRKIENPSRLAVPENNVFVNNSGDVNHNTSYCKNSACKATLNQGDAFCKRCSCCICHQYDDNKDPSLWLICSSEAPFPGVSCGLSCHLECALKHDGSGIGKDGKRPKHDGGFYCVSCGKVNDLLGCWRKQLMVAKDARRVDILCYRVSLSQKLLQGTEMYRELYEIVDEAVKKLEPEVGPLTGSPLKIGRGIVNRLSSGPEVQKLCGVALESLDSMLSKRISPLSPNPTIQDASLLAPNMVRFEDVTATSLTVILCSEDASGENSASYAVWHRKADDVNYPLDPTCTILLPNRRLGIEGLLPDTEYRFKFVSNDPRMLCACEVQVLTAHGEDEVPNCSATERSQSPVTNGSSLSNPSSVEDETNHSDQTDNRSDNYPSYHKDSDQLAPGNLSNDANNCSGLGGVGIPNNADSLSDKQADVGTTAIIASSDVPKLENKHSQEEQVAEDMSTEDGSVPTGRECVPLVGSSKGGLPNTPCKLEIIKDGRGRKGRSKFSGKDLENGSGKRNVLRDGSTSKKRSSERQDEGCKANSFSDQDFEYYVKVIRRLECEGHIEKNFRQKFLTWYSLRATSQEIRIVKIYVDTFLEDSASLAEQLVDTFSECVSNKRSSVPAGFCMKLWH >RHN63803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54118469:54119942:1 gene:gene26555 transcript:rna26555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein MIZU-KUSSEI 1-like, plant MKTLIMEDTNTTPQDPSSKRHFHWTNKVGNEDSEEPSPTMSKIIQEQNTKKHEEKPVSGGHAATRKKLQTVAISRIRSVLTVFSKNRSNLPSGLGSRVVGTLFGYRRGHVHFAFQKDPTSQPAFLIELATPISGLVREMASGLVRIALECDKVKEKEGEKKSLRLLQEPLWRTYCNGKKCGFGNRRECGEKDWEILKAVEPISMGAGVLPGGEAGCSDGEVMYMRARFERIVGSRDSEAFYMMNPDSNGAPELSVYLLRV >RHN53859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4781104:4785323:1 gene:gene28819 transcript:rna28819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MGGASLPPGFRFHPTDEELIGYYLKRKVEGLEIELEVIPVIDFYKFDPWELPEKSFLPKRDMEWFFFCPRDRKYPNGSRTNRATKAGYWKATGKDRKVVCEPSPFISTETVTGYRKTLVFYCGRAPLGDRTNWVMHEYRLNDDPSQGSEGAFALCRVIKKNEKANDSEGQGGKRVRANDGSNSISTNGNEVSCEASQLLSGSESHYSSPINFQCNVPPMAAFDQASYDTNPTTFWLSPDMILDSSKDYSQGQLQDVVGCFQQQRELSSTMTPWQSFQHTEISPCSSYSNFNAEIEFPDTLSQIGCMSPYSGQGSFMDLPYECYDQIYSFSNPNQF >RHN71086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56393961:56399610:1 gene:gene19729 transcript:rna19729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MDKRWPWKKKSSDKVVIEKTAALLDSSDASSNQDNNKKPNYVKISVESYSHLSGLEDQVKTYEEKVKSYDEKVKTYEEKVQTYEEKVQTLEDDIKEMNEKLSAANSEINTKESMVKQHAKVAEEAVSGWEKAEAEALALKDHLESVTLSKLTAEDRASHLDGALKECMRQIRNLKEEHEQKIQEVALSKTKQLDKIKGELEAKITSFEQDLRRSAAENGALSRSLQERSNMLIKLSKEKACAEAEVEHLKSTIESCEREINSLKYELHVASKELEIRNEEKNMSMRSAEAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVENLGRDYGESRLRKSPVKPATPNSSPLPEFSLENVQNFQKENEFLTERLLAMEEETKMLKEALAKRNSELQASRSTCAKTLSKLQSLEAQLQTSNQQNGTPRSNMHVTHESIYSQNASIAPSLVSMSEDGNDDAASCAESWSTTMASGLSQVSKRCTEESNKSEAIQKLELMDDFLEVEKLARLSIDSNTVSLSSNNKATDVVTNDVSQVGTGTVGLSETNGDSNPLPNQVSSSALINAPDPQSDVGGLLAKLRSRILLVFESIAKDADIGKIIEDIKHVLEDSCDSRDIAVHNSVGFISQDVQPSDATCDRQNNPEDAGLNLEKETISSLQPKEYVQITSDLDAAISHIHDFVLLLGKEANSFHDISSDGNEMSQKIEEFSVTFSKVLCSNASLLQFVLDLSYVLAKASELRFNVLGYKGTETETNSLDCIDKIALPENKLVQDNSSGERYQNGCSRVLNPCSDPEVPDDGNLVLGYGANAASQKMSSEEFEELKLEKEKVTTDLSICTENLEVAKSQLLETEQLLAEVKSQLASSERSNSLAETQLKCMAESYKSLETRAQEFETELNHLQSKIETLENELQDEKRAHEAALVKSKELEEQLLRIESSTAENDAKYTQERDLAAAADKLAECQETIFLLGKQLNALHPQSQPIESPYNNTSPNTTSSPNLQEVGQLEMDSATSSFVQRLSSDSPLHFSNGLFVPSDNDTNVPARSPAPLPKSKPKHRPTKSASSSTSSATTPEKHGRGFSRFFSSKGKPAY >RHN59826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13685470:13692044:-1 gene:gene21985 transcript:rna21985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trehalose-phosphatase MDMNSNHTPVLTDSAPITNSRLHSNLLPYSHTGATFSHGMLLNIPRKKTGILEDVFSCGWLDAMKSSSPPPKYITKDVNQGFASSESDPYFNWLLKYPSALASFDQITNFAKGKRIALFLDYDGTLSPIVDNPDCAFMSDNMRAAVKKVAEYFPTAIISGRSRDKVHEFVGLTELYYAGSHGMDIIGPVRQSQSVSHNHPNCIVKSTDKQGKKVNLFQPAAEFLPMINEVLNSLIECTRDIKGAKIENNKFCVSVHYRNVDEKSWDLVGRRVNDVLKGFPRLRLTHGRKVLEVRPVINWDKGKAVTFLLESLGLNNCDDVLPIYIGDDRTDEDAFKVLREGNKGYGILVSSAPKESNASYSLRDPSEVMEFLKSLVVWKQSPLKSHI >RHN81744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48635372:48637140:-1 gene:gene5829 transcript:rna5829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MHSTILLASSSSFCLFKFCTILQKSAPPFLLDLFFSLSLRFFCSLLVCCFNRQKKNHTPQKIVVIFIISHSFAFITMAEDWDLFAIVRSCQSATNTNTTENSFTTTNTIPSSISTSNFKVELEYDAFSFPNVVQPITNELQGLNQLLTSFTPTITTTTSGHGINPNSPPHIARYIGQQQNHFVPTTSSSIWPPHFVQETSTSSFNKFHNQQLQQQHNQLQAIQKQEFQVPQSIQSQTPRSRKRKSQQGKMVCHVTADNLSTDLWAWRKYGQKPIKGSPYPRNYYRCSSSKGCTARKQVERSNTEADMFTVTYTGDHNHARPTHRNSLAGSTRTKSPVTHPTTSISGQPNSSISSCSSLAPNSFAEEEEDIDMEIETDDDGEDSCDIPS >RHN45612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23685671:23685889:1 gene:gene39990 transcript:rna39990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MARKLGVKQCGVRLKCDSQCAIYLANIEVYDAQTKHIDVRYHTLEIYLHLDRYYMKKFIL >RHN58187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44447252:44447984:1 gene:gene33804 transcript:rna33804 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVDQSGGSVSHKVGDARSVETEIVFRAKEKDHVNEEVGQAKDVMVVTYMVRRMEFGLGGASK >RHN55055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14745181:14745476:1 gene:gene30181 transcript:rna30181 gene_biotype:protein_coding transcript_biotype:protein_coding MANDPTEIRIDVEPQPIAATTTTTTHFTVECFQKFYRSLRRQVTIEVIKILLSLGVGAGLVYMFNKLA >RHN81182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43877254:43878029:1 gene:gene5188 transcript:rna5188 gene_biotype:protein_coding transcript_biotype:protein_coding MVARFADYNLRFKGDGGGGEILVGGRRPTMMGNEEMKAERDDEMGEKWVLLVMVASDGGR >RHN43554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46814903:46817122:1 gene:gene50155 transcript:rna50155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide diphosphatase MGSDPLSLNSTKPTQIPTQDQQEHDSPSSSSSLALLSFNTENSSSSSSTPSSSSSFNKTLFISLIIITSISLSAAFSFAFLFLSSSQTTTQNNNSSPLNSFARPLTKLKRPVVLLISSDGFRFGYQFKTFTPSITRLISNGTEAETGLIPVFPSLTFPNHYSIATGLYPAYHGIINNHFLDPISGDQFFMGSHDPKWWLGEPIWETVVKNGLKASTYFWPGSEVNKGIWDCPLKYCLHYNDSVPFEKRVDFVLKYFDLPSDDIPDFMTLYFEDPDHQGHKVGSDDPEITKAVSRIDNMIGRLISGLEQRGVFEDVTIIMVGDHGMVGTCDKKLIFLDDLAPWIEIPESWVITHTPVLTIRPSSGNVPSDVVAKMNEGLSSGKVVNGENLRMYLKEDLPSRLHYASSDRIPPIIGLIGEGFKVEKKKTKHQECGGSHGYDNSFFSMRSIFIGHGPQFARGRKIPSFENVEIYNLITSILKIKGAANNGSTSFAESVLLPAA >RHN50870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11299346:11299876:-1 gene:gene35212 transcript:rna35212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WIYLD domain-containing protein MAPRRRPLKKGESRMNAALDAMTPLGFDKKLVHQTVNKLLKVYDSSEGWGFIEDGAYHLLIDQLLEAQQQTQQQDHHFHIDQLLEAQQQDQVTHFLLLFLILQATHTTYVV >RHN54088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6840688:6841882:1 gene:gene29071 transcript:rna29071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyneuridine-aldehyde esterase MLQAKLNMLYKQQRHTNMSKANMDQKQMHFVLVHGSGMGAWNWYKLKPRLESSGHKVTALDLAASGINTEEVEDVDTFVEYSKPLLDFMASLGPNEKVVFVGHSFGGMSIALAMENFPTKILVGIFLAAFTPDTEHKPSYVLQLYIERYRSMGWLDSEVSFDGNKTLISFGPKLLSTMFFQLSPREDYELVLALGRRTSLFIEDLSEAENFSKEGYESVPRAYIVANDDLAIPVEYQYWMIQNAGIDMVKVVDRADHMAMLSNPQDLYLSLLDIVNKYTL >RHN52809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39072284:39076053:-1 gene:gene37523 transcript:rna37523 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVECGSKDLRCKVHWFLNGYPCLKTNKEVYVYVGMRCLVQNY >RHN75058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39079465:39080017:-1 gene:gene11208 transcript:rna11208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MNSDTLNEFHELAEFDESKRSCRRRLARHNERRRKSTAGTCTEGSSTGQKNNDGDWRQIHMNITSSSGHDFLNFR >RHN50756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10172908:10173195:-1 gene:gene35081 transcript:rna35081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MADISIPCGACKHLKRKCTNRCIFAPYFGKKQNEASFAAVHKVFGAGNVSKHLSTIPTNHHKKAMETITYEAQARISDPINGCVSTICALKKQVK >RHN47995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44144808:44145459:1 gene:gene42660 transcript:rna42660 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCQDTSADGEGNDIFALNEFVIARNDHFTPASIFSSSRILLLFSIRNMKLGGKCSRV >RHN38854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2452343:2457724:-1 gene:gene44823 transcript:rna44823 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKDAAKDKIRWDGTISVNDFSLSASTFSDKWKMFNPSFPPWLWTAIPKHHLGPPKVEGYLSLENVCLVKSSEEEESDISLTCKAESIISRTEEPFDDATLVCPEHEVNYYDFHIVYSPSYRVPVLYFRSYHSDGQPLPISEIEKDLPGHSAELLLESKWTFITQDEHPYLNRPWYKLHPCGTSEWMKLLFHGDTSLNKNFIEQYLVSWFSVVGQVVGLKTPLAILDNVVSNDS >RHN39809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10713536:10714039:-1 gene:gene45877 transcript:rna45877 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVMPRSTFNQGHEVTINVASVVNVYNIMGVETNPLHGLMTFLFFVLIGFLQISYPNNPTAFQAHPKTMLLSVASFLLYCFAFWIKLKFAIRLDTLMEAFGSLSIISLVLMFIPNKWGLFGYITIYTLWFICHVLIMIRLCYIGLRPQMRRKLRPLLPNTSMDMN >RHN69074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40810932:40812156:-1 gene:gene17502 transcript:rna17502 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGDLKTEEFNMTFIGFAKSKQIKPTSHSNDNIFMHSLPMLHSFCYSSFPQHSVAAQHSLVAALPFSVLESLHALLVVSSLVLS >RHN49083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52317447:52319449:-1 gene:gene43872 transcript:rna43872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MNGKVIISAVSLILVVGVAIGVVCAVHKNGEDPEVKTQQRSLQVMCQNADDQKLCHETLSSVRGADAADPKAYIAAAVKAATDNVIKAFNMSDRLTTEYGKEDGTKMALNDCKDLMQFALDSLDLSTKCVHDSNIQAVHDQTADMRNWLSAVISYRQACMEGFDDENDGEKKIKEQLDVQSLDSVQKVTAVALDIVTGLSDILQQFNLKFDIKPASRRLLNSEVTVDDQGYPSWISASDRKLLAKMKGNGWRANVGANAVVAKDGSGQFKTIQAAIAAYPKGNKGRYTIYVKAGVYDEYITIPKDAVNILMYGDGPGKTIVTGRKNGAAGVKTMQTATFANTALGFIGKAMTFENTAGPAGHQAVAFRNQGDMSALVGCHILGYQDTLYVQTNRQFYRNCVISGTVDFIFGTSATLIQDSTIIVRMPSPNQFNTITADGSYVNKLNTGIVIQGCNIVPEAALFPQRFTIKSYLGRPWKVLAKTVVMESTIGDFIHPDGWTPWQGEHFENTCYYAEYANTGPGANIARRIKWKGYHGAISRAEATKFTAGLWLQAGPKSAAEWLTGLNVPNYLGFKA >RHN56319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29819563:29821423:1 gene:gene31702 transcript:rna31702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase SIN MEPLMISNPNLFDCCKCFQPLTIPVFQCDNGHIVCSTCCTKLRNKCHECSLRISSKRCKAIENILLSIEMPCPNANHGCKEKISYTGNRKHEDECIYFPCYCPLSGCDFAASSEVLSNHFSHKHGDSRIKFSYGHSFNVSLKSNDETIVLQEETEGKLFILNNRTTLLGNGVNICCIGPNSSESEYSYDILARSQICKLTLQSFVKNVQEVALATPSSELLVIPFGSSEPLKLDICITPMMQIYVEDLIGKTIPLRVESSDTIVDVKKKILDKEGIPIHEQRLINDGKQLEDNLTLASYNIQENSTILLVLRLHGS >RHN52754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38403655:38404557:1 gene:gene37458 transcript:rna37458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MSKPLQTLFTLSIILITSCYADISNTSTLCPPSFCGNISIQYPFWIKSHTINPSDQLCGYPDFGLECSQDKAIITLPSDTYYVTNIDYDTYSITLVDIDILDQKCPRARKNVTLSNLPLSFSSLDLNLSFYFNCSSYPLSLDPIGCFELSNDEKLKSYVILASDEGEIGYDNWKCEEHVNVIVKSDELNDVVGGLINGFGSAMKKGFVLNWRKAEDCAQCEISGGYCGYNQSTKKSTCICGNGSVVAKSCKKGTLLLNCLISFFSSILESLSSHYLITCIYISHNLITCIYCCICIVKIV >RHN47376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39271465:39275191:-1 gene:gene41969 transcript:rna41969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IXa family MSKSLAAIIGGAAGAVALVGISIILIWFYLFRQTSVSRTSETGSSDPSQVGRHGGIELQMRDTRRFAMEELSHATKNFNDKNLIGEGKFGEVYKGLLQDGMLVAIKKRRGVTSQEFVDEVRYLSSIQHRNLVTLIGYCQENNLQFLIYEYVPNGSVSSHLYGASQQPREKLEFKHRLSIAQGAAKGLAHLHSLSPRLVHKNFKTSNVLVDENFISKVADAGLRNFLARVEIVGTSSQVATDEIFLAPEVREFRQFSEKSDAYSFGVFLLELLSGKEATESPHPNTNQNLVESVLSNQNNSTMNTIIDQRMECRFTAEGMESYILLLIRCLDPSSERRPAMSYVEMELDRIIEKEMNLTTMMGERTPTVTLGSQLFKSNK >RHN59721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12709851:12710102:-1 gene:gene21825 transcript:rna21825 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbE MSGSTGERSFADIITSIRYWIIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITGRFDSLEQLDEFSRSF >RHN49862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1776250:1780318:-1 gene:gene34087 transcript:rna34087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSETMYPKLLFEHTHLRMSLINHHQIYQKDGYFINFPPPSSQSSSSSSTTSTASGTTAPSSSSSTKISPAVLFIIVVLAVLFFISGLLHLLVRFLIKHPSSSSSSNRQHHNEISTSDALQRQLQQLFHLHDSGLDQAFIDALPVFQFKEIVGLNEPFDCAVCLCEFTEKDKLRLLPMCSHAFHISCIDTWLLSNSTCPLCRGTLQTNGFSIENPIFDFDDLREDDGCPCNGENRFGTRQKEIVVDEVVDKGVLPVRLGKFKKTNVEVGRENEEVGETSSSNLDARRCYSMGSYQYVVGNSELRVALNHESITHHDTRIIKGIENGVESDLEGKKISNVSKGESFSISKIWLWPRKGKFSSSSLEAHNGMTLPSYLNKDLQNHGV >RHN40723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19975150:19975443:1 gene:gene46917 transcript:rna46917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MYKLFEVIQNIWWRSVYMSPKYAMKGICSTKADVYSFGVLLLEIVCGRKNNSFYDVDRPLNLVGYVCEISLIEFFPFSILIVVSNSIKLLTGMGAME >RHN48669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49372332:49378515:1 gene:gene43411 transcript:rna43411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubulin GTPase MSLDTVRMASCFAPTNSRNSAGVLAVNGGRKLLENHHINKSCFVKIHENKFAFSSVNNRKCGLFQVKSTGLPNSHSVSPYHHSKDPFLDLHPEISMLRGEGSSGLNNSTRPRKDTSGGDVMEGLEDNLTPSNYNEAKIKVIGVGGGGSNAVNRMIESSMNGVEFWIVNTDVQAMRMSPVNSENRLPIGQELTRGLGAGGNPEIGMNAAKESKDSIQEAVYGADMVFVTAGMGGGTGTGAAPVIAGITKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRDNVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPSLSGQVSITLIATGFKRQEESEARPVQANQLTQGDTVVSRRSSTFTDGSLVEIPEFLKKKGRLRYPRA >RHN73844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20591430:20592651:1 gene:gene9726 transcript:rna9726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MPYLSYTNFSSIKSLNLADNGLNGPNLNAFRNMTSIETIDLSNNRISSVPFWLGNCAKLGYLSLGSNALNGSLPLALRNLTSLTLLDLSQNNIESVPLWLGGLKSILYLNISWNHVNHIEGSIPTMLGNMCQLLSLDLSGNRLEGDALVVGNIPDCWNSTQRLNEINLSSNKLSGVIPSSFGHLSTLAWLHLNNNSLHGEFPSVLRNLKQLLILDIGDNKLSGTIPSWIGDIFSSMQILRLRQNKFQGNIPSHLCKLSALQILDLSNNILMGSIPHCVGNLTAMIQGWKPFVSFAPGELRYIEWYEQDVSQVIKGREDHYTRNLKFVANVDLSNNSLTGSIPKEITLLTALRELNLSHNHLSGEIPTAIGDMESLESLDRSTFRPNSIHHV >RHN55059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14784066:14788514:1 gene:gene30185 transcript:rna30185 gene_biotype:protein_coding transcript_biotype:protein_coding MASNCARKSLGIASSSAKTLFSRRSPSSSSANANKFNASAFQASPQKRSYSWLPVQLAGTQVSLTPLHSVTASALFTSLLSLHNNTWGVLSEGFATPL >RHN43746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48160924:48164269:1 gene:gene50368 transcript:rna50368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CALMODULIN-BINDING PROTEIN60 MAAKRFFDDSNQDKDKPNDKRIRTTTIPSFASVIGEVVMVKNMQNLFSGLEPLLRRVVNEEVERAMRQCYPPVRSITKSPSLRLEGMEEPLSLQFMFKNKLSLPIFTGSKILDMDGNSISVILVDRSNDQVVPTSLSHPIKIEIVVLDGDFPPSKKESSWTSEEFNNSIVKERTGKRPLLTGELNLTMRDGIAPIGDIEFTDNSSWIRSRKFRVAVRIAPGTNQSVRILEGMTEAFVVKDHRGELYKKHHPPSLKDEVWRLEKIGKDGAFHKKLTLEGITTVQEFLKLSVVDPLRLRKILGIGMSEKMWDVTIKHAKTCVMGNKLYVYRGPQFTIHLNAICQMVRANINGQTIPNRDICNMDKSYIQNYVREAYARWNELEEIDEVLNDNVALLTQGETMEQFPNNNHQEPVITYDQNNYFGDKSLENGNYVANNNNAQIGCTDWSSFPTSPFMNGMPYSFPDSQSDGGDIRPH >RHN71473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:404011:404325:1 gene:gene7079 transcript:rna7079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKI-interacting protein, SKIP MGKKCKITRDKDRDISEKVALGMSYTKQGTQVMHDEKLLNQDNEAYGGADEQLEKTDKGFTGASERAPLTPRDRPVEFESDEADPFGLDQFLTEVKKGKKAMES >RHN41207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28144264:28144941:1 gene:gene47502 transcript:rna47502 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVQKWRDALGEAANHSGWDCSINRTEAELVEEIAMDVLQKLNSVYVGDLDHQIIKLEKLAQLQLQYYKSIDTYENQVSHEATVQRITELKMKRSVRMLRLTREMLSYMEDSEAYEKLF >RHN64010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55897983:55902671:-1 gene:gene26783 transcript:rna26783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloride channel, voltage gated MSNNHLSNGESEPLLRRPLLSSQRSIINSTSQVAIVGANVCPIESLDYEIFENEFFKQDWRSRGVVQILQYICMKWLLCFMIGLIVGFIGFCNNLAVENLAGIKFVTTSNMMLERRFMFAFFIFFASNLSLTLFASIITAFIAPTAAGSGISEVKAYLNGVDAPGIFTVRTLCVKIIGSITAVSGSLVIGKAGPMVHTGACVAALLGQGGSKRYGITWRWLRFFKNDRDRRDLIICGSAAGIAAAFRAPVGGVLFALEEMASWWRTALLWRAFFTTATVAIFLRAMIDVCLSDKCGLFGKGGLIMFDAYSASISYHLVDVPPVFILAVVGGLLGSLFNFMTNKVLRIYNVINEKGTICRLFLACLISIFTSCLLFGLPWLAPCRPCPPDAVEPCPTIGRSGIYKKFQCPPNHYNGLASLIFNTNDDAIRNLFSMHTDNEFELSSMLVFFIICLFLSIFSCGIVAPAGLFVPIIVTGASYGRLVGILVGERTNLSNGLYAVLGAASLLGGSMRTTVSLCVIMLELTNNLLLLPLIMMVLVVSKSVANVFNANVYDLIMKAKGLPYLETHAEPYMRQLTVGDVVTGPLQMFNGIEKVRNIVFILRTTAHNGFPVIDEPPGSEAPILFGIILRHHLTTLLKKKAFLPSPVANSYDVVRKFSSDDFAKKYSVERVKIEDIQLTEEEMGMFVDLHPFTNASPYTVVETMSLAKALILFREVGLRHLLVIPKIPGRSPVVGILTRHDFTPEHILGMHPFLVKSRWKRLRFWQTFLEKILSGI >RHN75941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46324176:46324730:1 gene:gene12205 transcript:rna12205 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLGNVSLFIYKIWTHFKVQNNQKSARPSSSHSSSSNMVSSLGVLEGFQGNSRSEGATSIHGFIVAGLSSLAIAAACLYEYTIRR >RHN73910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21512855:21514626:-1 gene:gene9804 transcript:rna9804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MYLSTLSFFLLHLIIAPLNIKGVNLDNVPFEKNYAPLWGKENIRILDQSQEVQITLNQNSGSGFQSLRKFGSGWFKLRTKLPQKDSSALKADAGDEIDFEFLGGNNKERPHILHTNIFTNGKGGREQRIRLWFDPAADFHNYTLLWNEKQLVFFVDDTPIRVFKNTTNKGGMYPTQAMKIIASIWNDTWASNGVPVNWNDAPFEAHYRGFGIDACQAQGTNTQECRSPKYWWNGEKFWGLNPRKIQAYKNIRSKYLIYDYCAKQPQIPECQNLPIY >RHN63716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53465339:53473728:-1 gene:gene26452 transcript:rna26452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diacylglycerol kinase (ATP) chromatin regulator PHD family MDDDIDFELFLHTWNTKNPTDRIFIISCFVAALVGILTIAYTAFQWRRNINLSWMKAIARSKKNPKAKHKVPVAPHTWGLESVSRAKNSNCCVCFKSMSPSQTLGPIVASDSFIHRCCICGAVSHLSCSSSAHKDCKCVSMIGFEHVVHQWAVRWTDVADQPDETTFCSYCEEPCGGTFLSGSPIWYCLWCQRLVHVDCHGPMSNETGDICDLGPFKRLILSPLHVKELNRNLSGGFLSSITHGANEIASSVRASIRSQSKKYKHGNEIPAESGNSESTGDISTESTADSHQIANGHNVMEEKNSVGSNKELQRQDSEVERYAPSLTRNSSSLSQKEESQVLGVRQNYELTGMPPDARPVLVFINKKSGAQRGDSLRLRMNILLNPVQVFELSSSQGPEMGLYLFRKVPHFRVLVCGGDGTVGWVLNAIEKQNFDSPPPVAILPAGTGNDLARVLSWGGGLGPVERQGGLTTILHHIEHAAVTILDRWKVTISNPQGKQQLQPPKFMNNYLGIGCDAKVALDIHNLREENPEKFYNQFMNKVLYAREGAKSIMDRTFEDFPWQIRVEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDENYDNFDPQSMHDKILEVVSISGTWHLGKLQVGLSRARRLAQGQSIKIQLFAKFPVQIDGEPWFQEPCTVSIAHHGQAFMLKRAAEEPLGHAAAMITDVLENAETNNLINASQKRALLHEMALRLS >RHN70207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49653390:49654744:1 gene:gene18763 transcript:rna18763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MKGEYVEQHSSNPNKGNETPPPSLLFSKPFHPFQLSHHECQPIGEDDNNNTSGGVATTQKPNTSGDGATIEVSRRPRGRPPGSKNKPKPPIIITRDPETVMSPFILDISGGNDVVEAISEFSRRKNIGLCVLTGSGTVANVTLRQPSTTPGTTVTFHGRFDILSITATFVPQQHGVSPAIPSNFSISLAGPQGQIVGGIVAGNLIAAGTVFVIASSFNNPSYHRLPLEEDEGGNSVSGGGEGNSQNVSGAVDSGQGQGGGGESCGMSMYNCHLPSSDVIWAPSARPPPPY >RHN53075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41775718:41777034:1 gene:gene37826 transcript:rna37826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MNTVSFLTLSFFFLCFSISFSQAVSNGFSIELIHRDSSKSPFYKPTQNKYQHVVDAVHRSINRVNHSNKNSLASTPESTVISYEGDYIMSYSVGTPPIKSYGIVDTGSDIVWLQCEPCEQCYNQTTPKFNPSKSSSYKNISCSSKLCQSVRDTSCNDKKNCEYSINYGNQSHSQGDLSLETLTLESTTGRPVSFPKTVIGCGTNNIGSFKRVSSGVVGLGGGPASLITQLGPSIGGKFSYCLVRMSITLKNMSMGSSKLNFGDVAIVSGHNVLSTPIVKKDHSFFYYLTIEAFSVGDKRVEFAGSSKGVEEGNIIIDSSTIVTFVPSDVYTKLNSAIVDLVTLERVDDPNQQFSLCYNVSSDEEYDFPYMTAHFKGADILLYATNTFVEVARDVLCFAFAPSNGGAIFGSFSQQDFMVGYDLQQKTVSFKSVDCTEGQ >RHN81181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43874813:43875590:1 gene:gene5187 transcript:rna5187 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNVVGSTHSDLFYSLPLLPLNTSSARVHRPHAPLVEARRPNVVHRTSACVHSPMLFQTLFYRLTLLRILRRSFLVKTQLLLNDFSTLRDEVVSTVGNQRR >RHN41356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29398525:29402980:1 gene:gene47671 transcript:rna47671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MASSTKISRLISRSFSSASSSSTSLFSRGGNGYLGGLLSKYSTAAAIDEQPIKPSVQVDHTQLLIDGKFVDAASGKTFPTLDPRTGEVIAHVAEGHSEDVDRAVAAARKAFDQGPWPKFPAYERQKIMLRAADLIEKHNDQIAALETWDNGKPYEQSAQIEIPMLVRLFRYYAGWADKIHGLTVPADGPYHVQTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTVVLKTAEQTPLSALYVAKLFHEAGLPAGVLNIISGFGPTAGAALASHMDVDKLAFTGSTDTGKVVLQLAAKSNLKPVTLELGGKSPFIVCEDADIDEAVELAHFALFFNQGQCCCAGSRTFVHERVHEEFVEKAKARALKRAVGDPFKSGTEQGPQIDSKQFEKILKYIRSGVENGATLETGGERLGSKGYYIQPTVFSNVQDGMQIAKEEIFGPVQTILKFKDLGEVIQRANNSKYGLAAGVFTKNIDTANTLTRALKVGTVWVNCFDTFDAAIPFGGYKMSGQGREKGEYSLKNYLQVKAVVTPLKNPAWL >RHN54203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7771124:7772024:1 gene:gene29203 transcript:rna29203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MFSNRKERSELLEDLVISYKDINIPKFSQRIHLLWGDKDKIFKSEVAENIKETLGSNATFEVIKKAGHLAHLERPCIYNRCLKKFLSSITLHENNLSNSHL >RHN39300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5699801:5706899:1 gene:gene45310 transcript:rna45310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperonin Cpn60/TCP-1 family, groEL-like apical domain, groEL-like equatorial MYRFASKLASSLASSTSKNKNLVCNGALLRRNYVTKDIKFGVGARAAMLQGVSEVAEAVKVTMGPKGRNVIIEKSNRNPRVTKDGVTVAKSIKFKDKAKNLGADLIKQVAKATNSTAGDGTTCATVLTQAILIEGCKSVAAGVNVMDLRNGINKAVDAVITDLKSRAVMISTSEEITQVGTISANGERDIGELIARAMEKVGKEGVITVADGNTVDNELEVVEGMKLSRGYISPYFITDQKTQKCELENPFILIHDKKISDMGSLLKVLELSVTNKRPLLVVAEDVDSDALAMLILNKHHAGLKVCAIKAPGFGDNRRASLDDLAILTGGEVITEERGIPLDKVRPEMLGTAKKITVSIDDTIVLHGGGDKKFIEERCVQLRDAMHNSSATFDKEKAQERLSKLSGGVAVFKVGGASEAEVGERKDRVTDALNATRAAVEEGIVPGGGVALLYASKVLENLQTKNEDERRGVQIIQNALKAPTYTIASNAGFDGTLVHSKLLEQDDFNLGFDAAKGTYVDMVKAGIIDPVKVVRTALVDAASVSLLLTTTEASIVENMSDKNKPPQRVPDMDDLDY >RHN43692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47747397:47755324:1 gene:gene50307 transcript:rna50307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde dehydrogenase (NAD(P)(+)) MTGPVNGEPTIKFTKLFIDGDFVDSVTGKTFETIDPRTGEVIARISEGTKEDIDVAVKAARYAFDFGPWPRLPGAERAKLMMKFADLIDENIEELAALDAIDAGKLYHMCKALDIPSAANTLRYYAGAADKIHGEVLKVAREFHAYTLMEPIGVVGHIIPWNFPTSLFFVKVSPCLAAGCTMVVKPAEQTPLSALFYAHLAKLAGIPDGVINVVPGFGATAGAAVSSHMDIDAVSFTGSTQTGREIMQAAAKSNLKHVSLELGGKSPLIIFDDADIDKATELALLGILFNKGEVCVASSRVFVQEGIYDEFEKKLVEKAKTWVIGDPFDPKVQQGPQVDKKQFEKVLSYIEHGKKEGATLLTGGKTVGNKGYYIEPTIFSNIKDDMVIAQDEIFGPVMALKKFKTIEEAIKSANNTRYGLAAGIVTKNLDIANTVSRSIRAGTIWINCYFAFGDDIPFGGYKMSGFGRDYGLEALHKYLQVKSVVTPIYNSPWL >RHN53284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:671194:672317:-1 gene:gene28175 transcript:rna28175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAALPRLGQFGPNWVNKFFCVLSSFLLLFSTFGLCLLLFRTLCFGCLIIPCSTHQICYWCDFHRIRNSIDLQTSISRDQFERINSVHFADCMKTIEKCLTYAKTNIKEVDDIVLVGGSTRIPKIESLLTKLFKGKQILKTIYPDVAVAHGAVYYAAVLNGDQNVPTAYLNEWKVNERHDGCCGMAVVVPRCSPLPISKEAKNITTVQDNQNEMCLLIYEGDEQSVNRNTKLGELELLGIPPAKCGVPTITLGFDISSDGALTISATESSSGTSNKITIENFKGRFSEEEIEKMINQAAKYKSDDEKHQKNKEAANELIEYATAMKQTINPELSVNAKEEIESEINLAVECMPRELPL >RHN64879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62644828:62649029:1 gene:gene27748 transcript:rna27748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoid-associated protein YbaB/EbfC family MAFTVSLTGHIHASTQCTNLNSLSSSLCNLSSSANVVDMRLVSPRGLQKVGSNHRNFRVHALFGGKKDNESGDNKGGMLGQLGNMQNLFETVKKAQAVVQVESSRIQKELAAAEFDGYCEGELIKVTLSGNQQPVRTQITEAAMELGSEKLSLLVTEAYKDAHQKSVQAMKERMSDLAQSLGMPPGLGGGL >RHN65249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:556265:556655:-1 gene:gene13069 transcript:rna13069 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQSLLLRGRKLLSSFKFLGRFSSGSRVEECLSDLIRQFAGYYSVYAGFWRFSWVLVLALGLEVFYLYMTPFDMQFLCCQSLCILYRDLVINNFHC >RHN58836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5317027:5318717:-1 gene:gene20790 transcript:rna20790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MAAEEAEKIAATEENPKKRKMGTETEATRSNLPEDLWENIFKFLNDEENNTFKLLRPNGNLGFADHPRRIKSLYHVSEQDMPITNSSFRSLSFVSKQFLSITNRLRFLVKISEATIPFLSRLFERFPNITSLNITLTSNAWSREAHLGEFLAKISASPLNLKSLTLYHAVRVPENELRALSEKMKSLTSLACYQMRFINKNDLFLIADCFPLLEELILTDTGCPHNCAIDSDDQFLALPKLRRIALTSNIVGGHSIKNLCKSCDLLQEVKVVGGRVARYAFRGPRPPLQYQ >RHN74544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34565793:34567232:1 gene:gene10632 transcript:rna10632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MLFPVAGANSAVFTLINKCNYAVWPAALTTSTNATLPTTGLILKSGKTSTVTAPDTWSGRFWGRTDCNIDSVTGKFSCLTGNCGSEKLACNGKGPEPPTTLAQFSLSSEGGLDFYNVSVVDGFNVPILVVPVGGSGENCSSTGCPVDLNNECPTNLRVYNKSKVVGCQGACSALKLKQFCCVGKYSSPKTCELSSYSLAFKRACPDAYSYPYDYGTKTFSCSSESYNIIFCPFSKKKTLFDTLFRPFVILISVSVVIGIVVRCCWCPAQKQYVDTSVIVRPARPMLVYY >RHN71514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:698094:699074:-1 gene:gene7123 transcript:rna7123 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTFVFILFFFFFFFFFFLYVLFGSPNSFLKWVKWSINVLCSIVIPRTSLITTRHLFSASIDSLAYKVGQLLTARNDQIFNGVNYGVEDIVETVKVLSWRWTLPRFASSMNGVGILSIVLGGQQGGRCGRAWWVRPISFVMAVTATC >RHN59755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12826425:12827707:-1 gene:gene21867 transcript:rna21867 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIGMSCIGISIGQLLSHTENLAQEITSFQFEEKLRALIIVSAYFNDEKNFKVCPYLLYINSKF >RHN76035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47163441:47165085:1 gene:gene12307 transcript:rna12307 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSSLNGSTSNLPDGAGRTFTSFSSQSGAASPIYQHTGVNTLLESIILSSP >RHN73967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22457312:22461119:1 gene:gene9875 transcript:rna9875 gene_biotype:protein_coding transcript_biotype:protein_coding MIQEKMRASQSRQKSYHDKRRKDIEFQVGDQVFLRENLVTGVGRALKCRKLTPRFVAPFDVIEKVGVVAYRIALPPSLSNLHHMFHVSQLRKYVHDASHVIQVDELEVRNNLTVETWPIRIEDREMKRLCGKEIVLVKVIWVGPTGESVTWEPESRMKVSYPELFPSDVVQE >RHN63418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51098403:51100160:-1 gene:gene26115 transcript:rna26115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, Agenet-like domain, Agenet domain, plant type MVPKPKFNRGSAVEVSIEPGSWFPGTVVRWASQDKLLVEFGDLDVKKPIVVHLHQLRPVPTPESDDWHLRTGDKVEAFWKQRWWEGYVSKDLGNGRFRVYFTESKEMVFSKRKLRVRRQWINDKWVPPIIYQQLKNYKELFRELKMPRWETRLENRRNWISELPDCILLHIMSFLEARDVVRTCILSKRWKDLCKRVTTLKYILPSAQTLDSFKSWIFSCRDHSCSLFNLTISTRIQQGEEALYPLIEYALFHNVQHLKININPSFRPKSDLLPLISVSHSLTFLKLSYGRNFGVAVCPKSLRLPALRTLYLKYVNFVATHHHYADPFSNCHELNNLVLRDCSLIQDAKVLCISNNALSSLTISSVAAQQFSLSTPNLKSFTFLGSFVFHRLLSSTCNLSFLQQVNIDGLSYIHKEASTFLRWLQVLANVKILKIGYSLIQTIQNVSYFNILSPLYFDYTIIWVFEYVIC >RHN66903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21252900:21254647:-1 gene:gene15021 transcript:rna15021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MRKEQHVIEEAGDEDIEENEMEDDMENDINYESDEIEGADENEVEGLIRKRKRGKTLCKNIHARDFKNRQEITLNEEGQPIGPDEKRVAELSSFLGTVARSADLCPLTFNNWKALVKTWNDEEIDPVWEYVNEKYIIPEKGKKDVFAIVSDAWRRYKYLIKKNHFTKYKTMRERLKNRPEEVPEEDFKKLLVYWRDKNSQEVSLQNAQNIAQLKWRHRTGNKAFAVIREKMRVSNEDKEPPSQAEMFIATRQSKKGKELDQETNTAIVNKASRLN >RHN55749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22547801:22550238:1 gene:gene30991 transcript:rna30991 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFHVYPRLTDYDTLLQNLQDLKEGKTVQSYTNQRDKNAAKVNGYFSNNRGFGERNSDSSTTQVNQGAISQLSEQISALNDRMDEFTNRIEELNCKLTFKNNSPSQQNMSAQAEACNGSAPTSYFINSLGNGSLTGSKIPHSSSSSQLNTDSPLMDEISGIARGQRQIMHQMDNLNNLLRGSLGEKSQQTRTNKKNITTNSNSIAATVTVVVTVGCLGIFLMKGSLTRK >RHN68974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40017585:40018057:-1 gene:gene17400 transcript:rna17400 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGYDYLDYVGVDLQTDRSAPFSEIIGAIFSPLCHPSLSSTRPPVLFHQFSAMYHSEDTSKEDLQVLKMDGKKKKKKAVHTGTDQ >RHN49574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55753934:55754952:-1 gene:gene44420 transcript:rna44420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, OSCP/delta subunit, F1F0 ATP synthase OSCP/delta subunit domain-containing protein MDTFSSSVSTLKIPLTTNREFYHFTRTPHSTANPSRPPQPKSHFSSNLVTQKTKTFSHNNHSPSLPLKHKPSSSQVFHHKPATGYAAAIIDVAQKTNTLHSVQRDVQRLLKFLQKLKFQSDDVGVDPSLVRKVVEQRKFEGHVVALVKMLMKKNKLGIVEEVLEEFMRIYDELCGTQVVLVSSKREIGEDEMFGIAKSVQKLSGAVRVNVKNLVQEESFPSSFAV >RHN73304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15245475:15245960:1 gene:gene9103 transcript:rna9103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAEIHTQTPTISPMISKPCNPPQGYKLNSMVLVAAIVCALLCALGLNTMLQCVFQCANRVLTEPLQWIATRRRNSGLKKKEMVALPTSIYNTHSPPSLDSNCAICLAEFCDGDNMKLLPKCNHRFHVVCIDEWLLAHSFCPTCRKMIKSNDSVHCLSIVIS >RHN73809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20100408:20101037:1 gene:gene9677 transcript:rna9677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MKIIHTFFLFTFFSFTISQASVNDFCVANLKAPKTNSGYPCKPLASVTSDDFVFHGLVAGKTNNTFKLGTTLASVTNFPALNGLGISAMRADIGEGGSAPMHTHPDATELIILVQGEFTVGFITPTSVYSKVLKPGDLFVVPQGMLHFVLNTGKGVATAYVSFSSENPTVHLLDFLLFGNKLPSNLVSQTTLIGLDQVKKLKAHFGGSG >RHN77600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8027844:8031675:1 gene:gene1070 transcript:rna1070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MALLNSLPEQSHPKTKTTLPKHKQKNQQQQKQKPPSSWDQIKNLLTCKQIEVSRVHDPSKPNNNNGYSKLGSSCSSICSFRDVVHGNTRVVHRSDNSSPESSILGQETSLLKRKPVNASSTRSASCSGKSSNGGGNYTSSSSSRGMQFRKLSGCYECHMIVDPSRLPIPRSTLCRCDQCGEVFPKIESLELHQAVRHAVSELGPEDSGRNIVEIIFKSSWLKRETPMCKIERILKVHNTQRTIQRFEECRDAVKSRASNTTKKNPRCAADGNELLRFHSTSLSCALGASGSSTLCAVPGCGVCNIIRHGFQKKGGVKTNASSGRAHDNSVGEDSRRAMLVCRVIAGKVKRVAEEGARVVSEEEMVCFDSTAGNAGSYSNLEDLTVFNPRAILPCFVVIYEVLP >RHN69167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41485921:41486199:1 gene:gene17600 transcript:rna17600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPGIRRSSFSASQSSSKQVEVPKGHLAVYVGEKMRRFMIPISFLNEPLFQELLSQAEEEFGYCHPMGGLTIPCKEDMFLHTASVLNRI >RHN78941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20148062:20150017:-1 gene:gene2610 transcript:rna2610 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFSTVDGFVEISECLAEMIKYVANEPSAGLFFIQQHTQNAVPNVIKLKNNVVKKSHETTLHTEDLQDSVTMVKSMKEYGFPIVDEMIGDIKKTLAAITSKQPKGGILRRSVSNFQTERTSFWSNSAVDLQEGSEKRGSYFSSVFKFSKQKEDSLKLSQLDSTGSIDPKTEKPELYPDVPLPVTNASNCTSSKADELPLPSQIEDESQSEQSDTIDIENKLLSVTEKYDDFKASKQAKLEEWLGKS >RHN58751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4486402:4487948:-1 gene:gene20692 transcript:rna20692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase MTTSANKNVIAIKGTLGYNAPEILTTKKPTTTTHIYSFCYPFGDFNW >RHN72666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9566717:9569741:-1 gene:gene8411 transcript:rna8411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MNTDITASTKPDYPVIDRNPPFTTVVGNFNTLDYLRFTTIAGISVTVGYLSGIKPGIRGPSMVTGGLIGVMGGFMYAYQNSAGRIMGFFPNDAEVARHNKK >RHN78404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14825123:14828635:1 gene:gene1956 transcript:rna1956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MLHRMKQLQPKQCLLVFFYVFVMATSSHTATKIKSSETDALLKWKASFDNQSKTLLSSWIGNNPCSSWEGITCDDESKSIYKVNLTNIGLKGTLQTLNFSSLPKIQELVLRNNSFYGVIPYFGVKSNLDTIELSYNELSGHIPSTIGFLSKLSFLSLGVNNLNGIIPNTIANLSKLSYLDLSYNHLSGIVPSEITQLVGINKLYIGDNGFSGPFPQEVGRLRNLTELDFSTCNFTGTIPKSIVMLTNISTLNFYNNRISGHIPRGIGKLVNLKKLYIGNNSLSGSIPEEIGFLKQIGELDISQNSLTGTIPSTIGNMSSLFWFYLYRNYLIGRIPSEIGMLVNLKKLYIRNNNLSGSIPREIGFLKQLAEVDISQNSLTGTIPSTIGNMSSLFWLYLNSNYLIGRIPSEIGKLSSLSDFVLNHNNLLGQIPSTIGNLTKLNSLYLYSNALTGNIPIEMNNLGNLKSLQLSDNNFTGHLPHNICAGGKLTWFSASNNQFTGPIPKSLKNCSSLYRVRLQQNQLTDNITDAFGVHPKLDYMELSDNNLYGHLSPNWGKCMNLTCLKIFNNNLTGSIPPELGRATNLHELNLSSNHLTGKIPKELESLSLLIQLSVSNNHLSGEVPAQVASLQKLDTLELSTNNLSGSIPKQLGSLSMLLHLNLSKNMFEGNIPVEFGQLNVLEDLDLSENFLNGTIPAMFGQLNHLETLNLSHNNLSGTILFSSVDMLSLTTVDISYNQLEGPIPSIPAFQQAPIEALRNNKDLCGNASSLKPCPTSNRNPNTHKTNKKLVVILPITLGIFLLALFGYGISYYLFRTSNRKESKVAEESHTENLFSIWSFDGKIVYENIVEATEEFDNKHLIGVGGHGSVYKAELPTGQVVAVKKLHSLQNGEMSNLKAFASEIQALTEIRHRNIVKLCGYCSHPLHSFLVYEFLEKGSVDKILKEDEQATMFDWNRRVNVIKDVANALYYMHHDRSPSIVHRDISSKNIVLDLEYVAHVSDFGTAKFLNPNASNWTSNFVGTFGYTAPELAYTMEVNEKCDVYSFGVLTLEMLLGKHPGDIVSTMLQSSSVGQTIDAVLLTDMLDQRLLYPTNDIKKEVVSIIRIAFHCLTESPHSRPTMEQVCKEIAISKSSSRSCT >RHN71685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1901320:1903021:-1 gene:gene7310 transcript:rna7310 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRAASQKGFRALKHCNGIEGEPTIIVRVIACFQPLQDCQAEYFRQLLKPIT >RHN70891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54793977:54794718:-1 gene:gene19523 transcript:rna19523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyketide cyclase/dehydrase, START-like domain-containing protein MGEESIPKWEGKVTVEVRNTVEEQAWAVLEDFCNLHKWIPIDTCYQVDGVQGQPGLIRYCASNIKGVVEDDVVAEPETTIKWAKEKLLKIDPIKRCLSYEIVDNNMGFKSYVATLKVLPNEGDAKSAGCGIEWGFVCDPIEGWTLQDFNSYIEYCLQFMAKKIEVECSVTSQS >RHN65071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63994425:63995402:-1 gene:gene27964 transcript:rna27964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WEB family protein MDEFSLKNEYDDPELIQSLDAKLAETSAEIEVLQEQMKKIHASKMDSVKLLTSELKEATKTLQDVAAEEISLKKLVFSLRTELKQVKKEQDELKDKKQAAEVLAFNLTGELQKSAEEAGPQPGTVDANVFYMKSCKIQKLQSETEDAKREAEEMSRKAQELKQEAEASRAAAEEAEKKLELVLEEAKAAKAAEQKAIKEMKIISDVQSRLSISKFSGKIRMSKEEFESLNGKVKEYQELAEKKEAVIMAELQGMYTRKNELDIKVEANLKSVEETKAAMETALWYAEMENSAKVAIERELRRCRQPDSTSSPISNCSDNSSMLSI >RHN81443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45953322:45953989:-1 gene:gene5485 transcript:rna5485 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRRRRMVNWVGNMWGIGVNWWWWRVVDWLRVRDVGVNWWWWGVVDWFRVSVNWGWWRVVDWFRVRVGVNWGWRRVVDWFRVGVMNWGWRRVVDWFRVGVNWWWWGRWVFVGLLWWWWWGRVVHWVRMGVLVNWGWWRMVDWVWMRVLVNWGWWRVVYWFRVRVLVNWWWWRVVNWFRVGVNWWWWRVVDWFRVSVNRWRWRR >RHN45397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19587063:19587485:1 gene:gene39714 transcript:rna39714 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVCMKGSVKRFGFSVGSRSPAPPHSPLVGRVVNLEGASSSDVSSTTWRLFGLRFLLEAGVLGRWGFLFLNQFGCVSPVGLTFMSRLAGQRWMEGKIDLSSSGTGPSIDHGAYSTLTEFILLKRNVKLLMLHFFGLEVP >RHN53564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2581778:2585650:1 gene:gene28483 transcript:rna28483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase (ATP-hydrolyzing) MEDLRNSSLKFFTDQELCYADIVPPSQVRARIEVSVLSFLKILNASNPAISDLPLIHGKLSSSRVNHGLLTRLSYVFLSIETKNWINNLIQRKSSNSRVNHGLLTELSYVFLSNSVSTRSLMRPNAAKAFVRVWKVMEMCYQILLQEKRVTQRELFYKLLCHSQHLFPSQKDVNRTIQDLVALLRCSRYSLGIMASSRGIIAGRLILQEPGKEAVDCSVCGSSGHAISGDLNLLDKLILNADARYIIIVEKHAIFQRLAEDRFFNQIPSILITAKGYPDIATRFLLHRISRAFPDLPILALVDWNPAGLAILCTFKFGSVGMGLESYRYACNVKWLGLRGHDLPMLPDQSFVPLKTKDLQIAQSLMSSRILPENYKEEVTLMVESGRRAEIEALYFHGYDYLGKYIAKKIVQSDYI >RHN46700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34174654:34178278:1 gene:gene41220 transcript:rna41220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase Group-Pl-4 family MASLLSTTTTPTLQHTHNKICFSPLKLTTPLSNSFLTTYLFNKNHSTRCNAFFDIIPKDLLLNNTFYLDKFQSVSEDLSDMQRLEILVFVGLAWFYLTARPGVLIGAIDAYLLAPMQFVLDSLSGRRNLKRSDFLIGGKIGEGSFGVVYSAVLVTKDVKEMMLKSGGGKLDAKSKDKVILKKVKVGIEGAKEFGDYEEWFNYRLSRAAPETCAKFLGSFVADKTNSQFTKGGKWLVWKFEGDRTLADYMTDKNFPSNLESVMFRNALQGIDSSKRNALIIKQIMRQIITSLKKIHDTGIVHRDVKPSNLVVTKKGQIKLIDFGAATDLRIGKNYVPNYTPLDPDYCPPELYVLPQETQSLPPEPIAALISPILWQLNSPDLFDMYSAGIVLLQIAIPTLRSQAALKNFNLEMRTYGYDLNKWRDSTRMKSNFQILDSDSGRGWDLASKLISKRSSERRRRLSAASALRHPYFLLGGDQAAAVLSKFSFSTK >RHN74581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34882513:34886089:1 gene:gene10675 transcript:rna10675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MVKFTVDELRRIMDLKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMSDGDLKNFKGEREGNKYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIKPVLTVNKMDRCFLELHLDAEEAYSTIQRVIESVNVVMATYEDALLGDVQVYPEKGTVSFSAGLHGWSFTLTNFAKMYASKFGVDEEKMMNRLWGENFFDSSTKKWTNKHTSTPTCKRGFVQFCYEPIKQIIELCMNDQKDKLWPMLQKLGVNLKSEEKELSGKALMKRVMQSWLPASSALLEMMIFHLPSPTKAQKYRVENLYEGPLDDPYASAIRNCDPEGPLMLYVSKMIPASDKGRFYAFGRVFSGKVSTGMKVRIMGPNYIPGEKKDLYVKSVQRTVIWMGKKQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVSVAVTCKVASDLPKLVEGLKRLAKSDPMVVCTISETGEHIIAAAGELHLEICLKDLQDDFMNGAEITKSDPIVSFRETVLEKSSHTVMSKSPNKHNRLYMEARPMEEGLAEAIDDGRIGPRDEPKNHLKILSDEFGWDKDLAKKVWCFGPETTGPNMLVDTCKGVQYLNEIKDSVVAGFQIASKEGPMADENLRGVCFEVCDVVLHTDAIHRGGGQIIPTARRVFYAAMLTAKPRLLEPVYLVEIQAPEHALGGIYSVLNQKRGHVFDEIQRPNTPLYNVKAYLPVIESFQFNESLRAQTGGQAFPQLVFDHWDMVPSDPLEPGTPAAARVVEIRKKKGLKEQLIPLSEFEDRL >RHN75057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39072531:39076577:1 gene:gene11207 transcript:rna11207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MSFQAGKDNLRTINNYCPPSSSSFIIHHSQVKSTTFLNFLLKPNQTTHSLMAARDILHKMKEKVGFGLSDPDSGKGKSKMSKHITHGFHLVKGRSYHEMEDYLVARFKQIDNHELGLFAIFDGHAGHNVPNYLQSHLFDNILKEPDFWTEPVNAVKEAYSITDSSILEKSGELGRGGSTAVTAILIDGQKLVVANIGDSRAVLSKKGVAKQLSVDHEPTTEHEDIKNRGGFVSRFPGDVPRVDGRLAVSRAFGDKSLKKHLSSEPYVTVEKISEDAEFVILASDGLWKVMSNQEAVDCIKDIKDARSSAKRLTEEAVNRKSSDDISVIVVKLQ >RHN67872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31088948:31089631:1 gene:gene16117 transcript:rna16117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MARGKMKLAYITCDSKRRMTFRKRKNGIMKKINEISTLCGIEAGAIIYEQNNPEVQVWPSDSGVRSVISRFRSLPGLERSKKMVDQEVFLRQSIGKVYEQLKTQMKETRKKEMTNIIHHFIHTTEFNGYLMSKPDLNDLSYVIDENLREVNQKMKGMRIED >RHN54375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9102683:9103263:-1 gene:gene29395 transcript:rna29395 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNTRWSSSLSTNGTIWVWFARPFPNRGFVLCWIVSFCSNHEFLASILNERVQRKTYICPPTSCNFGMKVVAMLLSPSS >RHN48247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46072470:46075334:-1 gene:gene42942 transcript:rna42942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEGVEEKGHASGKEDYTQDGTVDLKGRPVLRSKTGRWKACSFIVGYEVFERMAYYGIASNLVIYLTNKLHEGTVESANNVSNWAGSVWMMPLVGAYIADAYFGRYWTFVIASCIYLLGMCLLTLAVSVTSLRPPECAQGVADQNCPRASPLQRGIFFLALYIIAVGTGGTKPNISTMGADQFDEFEPKERTYKLSFFNWWYFSIFLGTLFSNTFVIYIQDRVGWAVGYGLPTAGLAVSVLVFLVGTPLYRHKLPSGSPITRMLQVFVAAMRKWMTRVPEDPKELHELSIEKYACNVRNRIDRSSSLSFLDKAATKTGQTSPWMLCTVTQVEETKQMTKMIPILITTLVPFFCLAWCLV >RHN81655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47836084:47837616:1 gene:gene5727 transcript:rna5727 gene_biotype:protein_coding transcript_biotype:protein_coding MIYETAVLYVQNYNLANLPRRISHIRLSWGYKRRFSYSKQ >RHN82550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54690644:54692375:-1 gene:gene6721 transcript:rna6721 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MGISIASFLLPLALVLNLVSVCNGGTTSAFVRNVQKGVNMSLDSDVFAVPSGYNAPQQVHITQGDLVGKEVIVSWVTEDEPGSIAVRYWSTDNSKQKKLAKGKIVTYRFFNYSSGFIHHTTIRNLEYNTKYYYEVGLGNTTRQFWFITPPEIGPDVPYTFGLIGDLGQSFDSNRTLSHYELNPRKGQTVLFVGDLSYSDNFPNHDNVRWDTWGRFVERSTAYQPWIWTVGNHEIDFAPEIGETKPFKPYSHRYHTPYKASQSTSPFWYSIRRASAHIIVLASYSGYGKYTPQYQWLEQELPKVNRTETPWLIVLMHSPWYNSNNQHYMEGETMRVMYEPWFVKYKVDVVYAGHVHAYERTERVSNIAYNVVNGICTPIKDQSAPVYITIGDGGNLGGMETNMTEPQPEYSAFREASFGHAIFDIKNRTHAHYSWHRNQDGYSVEADSHWFFNRFWHPVDDSTAHVFH >RHN79764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31944304:31951394:-1 gene:gene3599 transcript:rna3599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase sigma-70 like domain, RNA polymerase sigma-B/F/G type MESARTMFCSSPLCPPRTHHWNTLPSSSYTSVLMLREQVAPTVTAWCTSYSSQNFPTSVLLQEQCDEYRPLLHISKKDKTCQATLNTRQMDMVSVQEKNDTSNTDQVARDFRQHLHLLPRLENLLTSSQTGEMDGSSTLQHADSLQCNAVSLSKQAASVAEDLISIKADEDADNSIPFGLASSSSAEPLLGGNKTVRSTRLRERRAKERKVPKLKVKDKETYLDGKDAAEEKLQVQKKISKGMNQSDPLNKFLQSPESKQLLTSEQESQLVVQIQELLRLEDLKTSLQSQFGREPTMAEWAEGAGLNCRKMKAQLRCGNRSREKLIQANLRLVHYIAKSYQGRGLSIEDLLQEGSTGLIKSIKKFKTQAGCRFASYAYWWIKQAIRKAIYNHSRTIRLPEKVYNKLSKVLEAKKLYKEEGNLNPTKEELARRVGISPDKVDRLLFVARIPISMQNTVGSETDTTFQEITADCAIESPNMSVAKQLMRRHVLNVLNILRPKERRIIRLRFGFEDGEEKSLSEIGEIFGLSRERVRQLESRALYKLKKYLVGQGLDAYADLLI >RHN73880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21000306:21001273:-1 gene:gene9765 transcript:rna9765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-II family MRPMRNWKHIAPEYLLTRRSSEKIDVFAYGVMLLELITGPRASDLARLANDDDDVMLLDLFETLVDAELKGNYDDDDEVEQLIQVSPMESPKMCEVVRMLEGVVSLQILLKIIFIIYLF >RHN40947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24848259:24853327:-1 gene:gene47197 transcript:rna47197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclease associated modular domain 3 MLYFNSIVRGMSVPHTPLTHIPNNYYSRQQLLSNRLDCACTFSPPTIPKSTLSFSVDAEHHNVEQDSFDYLNNVDSLKSNDKERMRRMRIGLANKGRVPWNKGRQHTAETRERIRMRTLEALKDPKVKKKMAEHPRCHSDQIKEKISYSLRRVWEERLKSKRAGEQFFLLWEQNIANAAKKGGSGQDELDWDSYDKIKEQLEFHRILQAEEKEKEKLMAIVGANKFFHLWTEIIAKAAKKGGSGEEELDWDSYEKIKEEMILLNQLQRITEKAKAKEMAKIKAEKEAQIKAIKKVMLTQKRKDLRERTKARENIKNQPYKNAEEDKCAEDVTQEFKLGSKLTKNHVNNIIRSEVAREGDVIYSNFPTHGKLDLELIRREKMQKKVSLADQIQAAKVIKGKLH >RHN52379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34456042:34462739:1 gene:gene37044 transcript:rna37044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, leucine-rich repeat domain, L MGLKKIYEAYGLNKEQALELLRTKAFKSKKNDSSYDYILNRAVKYASGLPLALEVVGSNLFGKSIAECESLLDKYDRIPHEDIQKILKVSYDALAEEQQSVFLDIACVFKGRGKEYVQEVLHDHYGYCIKSHIGVLVDKSLIKINGKYIGRVTLHDLIEDMGMEIVRQESIKEPGKRSRLWCRDDIVHVLQEKKGTSKIEMIYLNSPSMKPVDMNEKAFKKMTNLKTLIIEKGNFSKGPKYLPSSLVFCKWIGCPSKTLSFLSNKNFEDMKHLILDRSQSLIHIPNVSSLQNLIKFSFENCRNLIKIDNSIWKLNKLEHLSAKGCLKLESFPPLHLPSLKELELSKCDSLKSFPELLCQMTNIKEINLCDTSIGEFPFSFQYLSELVFLQVNRVRMLRFQKYNDRMNPIMFSKMYSVILGETNLSDECLPILLKLFVNVTSLKLMKNNFKILPECLSECHRLGELVLDDCKFLEEIRGIPPNLGRLSALRCESLSLESRRRLLSQDLHEAGCTKISFPNGSEGIPDWFEHQRKGDTFSFWYRKKIPTITCIFLVPGSALLPEFNLFVNDKEIEVTECLVYYNDDELLASEHAFLFDMNLDCHIEQNFTHEPELYEALKNDEWNHVELNWEICMTDTEEEDFSDTEEDDWSEMKEKEIIILSSGTQMGIHVSWNAYDCGDMEVEKSNKEGEVRFTNPYSRKRKLVEVGVSEIEENEDFSDTEGDETEILSSAQMEEEDDVLFTNPYSRKIKLVELGVSETEEDENFK >RHN46972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36152233:36153117:-1 gene:gene41516 transcript:rna41516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MVMKCMDSVYGTQPPGQLRILAIFAMMLAALNARLSCLVTFFKFNFGFDNATDTYKVVASRYNPKDELRSNVKILSLSDNVWRDIESFPVVPLEFDYPEGYERDVNCGVYLSRTLNWLAIHNHLNYYSKDITIEQFVILSLDLGTETYNKYLLPRDFDEVPFMAPMVHVLGGCLCFSYSKDTYFVIWRMMKFGAKDSWTQFLKISYQDLQIDYDFFGYKPTLLLVPLLLYEDGHTLIFKNRYNESDYYGNNAILYNCRDNKLRRTKITTGTYTCKDYICWYSTMDYAESLVSVL >RHN40059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12896684:12897250:-1 gene:gene46154 transcript:rna46154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MSHANYYRCTHKFDQRCLTTKHVHRIQQKPPLYKTTYYGHHTCGNLPNPDIILDPNDTSSLLLSFNNTFPTPTKQECPFLSSPSSFSSTHSEEYNDEVPSSTLVNTNLPCSDLTLHNSTLEYGHNDMMHGLLYDTVQFNDDFFQPFDGFVVE >RHN60431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26673543:26674796:-1 gene:gene22743 transcript:rna22743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation protein, beta-barrel MNRFFSRSSFPLLLYSTVRSSSASSIYPSSSVLPSPLHLRHFSNKSVEKLRHVWMSGYDYVKKRTDREIVLLESHRYPNYEDPRFVYEDEIDNIHTKTYVTSYKNKTLFDISCFDTFLEKICSDHVDFKVDVQLASRIFDSAILVLSCVDGVKTKSITMDQQMTRFQLPRLIFIDDLYQEGADLWNIVDQVKSKLNHRCAAIQVPIHFNDYYIGFVDLVKLEAYFFPLKFFARLFDKLKAYFFRSETPDYNYDVEVGEIPEDMQAFVLKKRRELIEIVSEVDDKLSKAFHGGSKIPESVLDDAIRRATISMKFVPIIIGDESYETLKLLMEGVIRYLPSPIDVSNYALDLNKNGEKVELSGSIDAPFVAKAFANWHERYFKLTYLRIYQGVIKKGDFITNVNTGKKIQIPRLGKRRS >RHN40475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16658671:16660067:1 gene:gene46628 transcript:rna46628 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSRSGQLIRSSMCLIFYLTDMTCQPCVIFIKLKDYEGREEDHDVIIKKRFTNMKSNQ >RHN64374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58583828:58585451:1 gene:gene27188 transcript:rna27188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MGINLNRVTIVDIELRIFFIVLVFFKISTSDNLPANFVFGDSLVDVGNNNYIISLSKANFLPNGIDFGRPTGRFTNGRTIVDIIGQELGFGLTPPYLAPTTIGPVILKGVNYASGGGGILNHTGQVFGGRLNMDAQIDYFANTRHDIISYIGVPAALNLLQNALFSVTIGSNDFINNYLTPDVALSEDKLDSPELFVTTMISRLRTQLARLYNLGARKIVVANVGPIGCIPSQRDAHPAEGDNCITFANQMALSFNTQLKGLIAELNSNLGGSIFVYADIYHILADMLVNYAAFGFENPSSACCNMAGRFGGLIPCGPTSKVCWDRSKYIFWDPYHPSDAANVVVAKRLLDGGAPDISPMNIRQLFQS >RHN67113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24001528:24002626:1 gene:gene15258 transcript:rna15258 gene_biotype:protein_coding transcript_biotype:protein_coding MLREALNFTPLKPIEGFPSYTVPLVGQSEECFPSSFRPFTGCSVLGSTPLPFLSPFNFIIKLVSFWRLLPSINIGVGIGSYSPTHPRPNLQSAGSAC >RHN78784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18316319:18316928:-1 gene:gene2433 transcript:rna2433 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGPIHFFHLSLSLNFSLLSDLSPLSSLLRWLLAGEDEGSTGNFIFSGEKLGFRRAKHPEFKFFYIFLFVFKP >RHN45024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10884891:10885835:1 gene:gene39219 transcript:rna39219 gene_biotype:protein_coding transcript_biotype:protein_coding MINSTSALMRSWVAKEQTRRNLVLSNEELYQENSVIRDTRALQIGVSGRMSLPASQQYCINGGTYFLHCHVIDL >RHN79560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30119737:30121113:1 gene:gene3371 transcript:rna3371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PROCN domain, pre-mRNA-processing-splicing factor 8 MRPGRMRRARSIGNPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLEATKFFQTTELYCFEAGLQVCRQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTIKEHKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYAFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFCAPRWRVWLFCFRRIVPLLERWLGNLLARQFEGCHSKGVG >RHN62703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45265437:45269647:-1 gene:gene25304 transcript:rna25304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MALEIESTREEVGASKKMNGGEEKGVCLTWKDLWVTVSASTGKTNESKSILQGLTGYAKPAQLLAIMGPSGCGKSTLLDALAGRLGSNTRQSGDILINGNKQALAYGTSAYVTQDDTLLTTLTVKEAVYYSAQLQLPDTMSNEEKKERADFTIREMGLQDAINTRIGGWGVKGISGGQKRRVSISIEILTRPRLLFLDEPTSGLDSAASYYVMKRIASLDKKDGIQRTIVASIHQPSTEVFQLFHNLCLLSSGKTVYFGPASAASEFFASNGFPCPPLQNPSDHLLKTINKDFDQDIEMDLSETGTISIEQAIDILVSSYSSSERNQEIKNEVSVLSIVLSLKDNNSTYKKKHAGFLNQCLVLTRRSFVNMFRDLGYYWLRLGIYIALAISLATVFNDLDKSNGSIQDRGSLLMFVFSFLTFMTIGGFPSYVEDMKVFERERLNGHYGVTAYVIGNTLSAIPYLLMISLIPGAIAYYPPGLQKGFEHFIYFICALFSCLMLVESLMMIVASIVPDFLMGIITGAGIQGIMMLAGGFFRLPNDLPNPFWRYPMFYISFHRYAFQGSYKNEFEGLKFERDEIGGSLNYISGEEILRNKFHVDMSYSKWVDLGVLLGMIVLYRVVFLIIIKTTEKLKPIVMSFMSVSPRQTRQILENPTATPLHVEVV >RHN39879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11336906:11337285:1 gene:gene45961 transcript:rna45961 gene_biotype:protein_coding transcript_biotype:protein_coding MRMFNATPHEQRRQWVASLLGKQEIKSFIVLKGHMDFIQQVHAITLQHQPPSKSKCCR >RHN43102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43213615:43217160:1 gene:gene49627 transcript:rna49627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase, protein trichome berefringence-like 7 MSGSHLNKSISFNRRSHSQPLGSPKPIINRFGCVSLRFQVLVIIASVFSFFIAIGGGYIYVLPSITHAFFNGQGFFSDHNNNNDSLLRKCDVFDGTWVQVSGYPLYNATECPFVEQGFDCLGNGRSDLDFLSWRWKPKSCEIPTFDVGAVLEMLRSKRVVFVGDSMSRTQWESLICMLMTGVEDKSSVYEVNQNQITKRIRFLGVRFSDFNFTIEFFRSVFLVQQRPAHEHAPRRVKSTLLLDKLDDISHQWIDSDILIFNTGHWWVPSKLFHTGCYFQVGRSLKLGMSIPAAYKIALKTWTSWIERKIDKNRTRVFFRTFEPSHWSDDTRRTCNVTQFPTFETNATEQNSFSDTVLEVVKNVNFPINVLHVTSMSAPRSDAHVGNWNDNPLSQDCSHWCLPGVPDMWNEIILSQLFTEYEIPFSENVTTRLDD >RHN53104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41998419:41998841:1 gene:gene37867 transcript:rna37867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKVTKFVYITIHFLSLFFIAMNIVAIPECHADSHRVAKIDYVLPLKPQCRNYTCVC >RHN59536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11774894:11783044:-1 gene:gene21578 transcript:rna21578 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MYLSLCGFILFQLKDSSEVCLPSLKGLQLLVGDGSDANSVNILLSGCPILEDLELSFCHFSFAKLRVQTSLLKRLAITFAYEAGGCVEIDAPGLKYLSLSNGTAFGNLHNVKEAYLAEFSTPESESVFRLLNLLQALSGIKHLMLDHYITERLLDAPFLDFPEFCYLLHLDIGLPSFNMVIVFNMLQKCPMLQTLIILQCKEPEPVIDDSFSYGWVAKLKTVAKCLKSPLYGWLVKPNSVPTCLASHLTFIHYKAFQGNRHELEFIRYVLQNGLLLKMMVINGIYLLDRPEEWVEFISNMPRGSAMCQFQFH >RHN53653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3126106:3131672:-1 gene:gene28582 transcript:rna28582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase D MAHLVYGETPSFGNSHHGQGQQLVPFPTTSTSLRILLLHGNLEICVQEAKNLPNMDTFHKKVGEMFSVLPKKLGGKIEGKMSRNITSDPYVTVSVAGAVIARTFVIRNNENPVWNQHFNVPVAHLASEIHFVVKDNDIVGSQVIGAVGIPVEKLCDGTKVEGFFPILNTNGKPFKTEAILSLSIQYTPVDKVALYSNGVGGDFQGVPATYFPLRKGGKVTLYQDAHVPQGCLPSLRVDGGDHDLSYESGNCWHDIFDAISQARRLVYIVGWSVYYNVSLIRDTRDGKDCTLGDLLKAKSQEGVRVLLLVWDDPTSNSMLGYKTVGLMNTYDEETRSFFKHSSVRVLLCPRSGGKGHSLLKQQEAGTIYTHHQKTVIVDADAGQHKRKIVAFIGGLDLCLGRYDTPAHSLFRTLQTTHKDDFHNPNFVGSVTGCPRQPWHDLHSKVDGPAAYDILTNFEERWLRALKMNTLQKMKTSHDDSLLKIDRISDIIGIHEVPCLDGHNKETWHVQIFRSIDSNSVKGFPKQPKDAIQRNLVCGKNVMIDMSIHSAYVKAIRAAQKFIYIENQYFLGSSYNWDSFKDLGANNLIPIEIALKIANKIKQKERFSVYIVIPMWPEGVPSSTATQRILFWQFKTMQMMYGTIYKALEEAGLANEYEPQDYLNFFCLGNRELAEDENISNVVKPTGQNNPQVLTQKNRRFMIYVHSKGMIVDDEYVLMGSANINQRSMEGTRDTEIAMGAYQPNHTWATKKSNPHGQVHGYRMSLWSEHIGGVEECFKQPESIECVRRIRSLSEYNWRQYVADEVTEMNGHLLKYPLEVDSKGIVKPLVGCETFPDVGGNIKGTFTVLQENLTI >RHN78921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20012789:20023142:-1 gene:gene2589 transcript:rna2589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sodium bile acid cotransporter MAGTTAHLLILTPHSSSFPRPNPLFLPSSRPRHLPSAVKSLTLRHRPTPFRPCAQSNQLDGSGSGSKESKGLSWVEPILSFARGNVLPLALISAVTLGLTCPSLGCAVDKYHVSKIGPFGIFVISGLVLRSEEIGAAVEAWPVGLFGLVSILFLTPYFSRAILQIQLQPQEFITGLAIFCCMPTTLSSGVALTQLAGANTALALAMTVISNLLGILIIPFSITKFVASGVGVTLPTKQLFKSLVLTILIPLILGKVLRESFKDVADFVDRNRKLFSGISAFFLSLVPWIQVSKSRSLLLIVKPKVFLVAIGLGALLHLTLLVFNSIAVRSLSIISGGGKSTFSREENANALILVASQKTLPVMVAVIEPLCGAFGESGLLVLPCIATHLIQIIVDSFLVNFMRRKDNPGNVKVA >RHN50998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12760453:12761442:1 gene:gene35358 transcript:rna35358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MHPVHYLKSSLLCNMELKSPFFLISSFIFLLIFLAKIYKHKIKVRSHKLPPGPWKLPLIGNLHQIAFAGKLLHHTIRDLSHKYGPLMHLQLGEISAVVVSSPNLTKEIMRTHDLSFVERPRFLAPNIITYESKDILMSSYGDYWRQMRKICTSELLSAKRVQSFSSIREDGVEKMIQFIHSTSCQDPLDLSKMISSLVSSFISRATFGKKSKYEDELLCLLKQSMEMVNYFDVSDVFPSFKPIHFISGMKPRLKNFQKKLDMIFEKIINAHQSNHDLQGENIVDVLLRIQQSGSLNIPITHDNVKAVIWVSYYTKLIPLSYHIISYHLI >RHN70237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49930908:49933566:1 gene:gene18798 transcript:rna18798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MDLRESIANQTNVSLSVAKHLFSKESDNNIVFSPLSLQVVLSIIASGSEGPTQQQLFNFLQSKSTDHLNYFASQLVSVILSDASPAGGPLLSFVDGVWVDQTLSLQPSFQQIVSTHFKAALSSVDFQNKAVEVTNEVNSWAEKETNGLIKELLPLGSVNNATRLIFANALYFKGAWNDKFDASKTEDYEFHLLNGSPVKVPFMTSKKKQFIRAFDGFKVLGLPYKQGEDKRQFTMYFFLPNAKDGLAALVEKVASESELLQHKLPFGKVEVGDFRIPKFNISFGLETSDMLKELGVVLPFSGGGLTKMVNSSVSQNLCVSNIFHKSFIEVNEEGTEAAAATAATILLRSAMSIPPRLDFVADHPFLFMIREDLTGTIIFVGQVLNPLAG >RHN64499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59565237:59566119:-1 gene:gene27319 transcript:rna27319 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSFPNTLLIIDTNCSECAEVLLSLNEISQNAFSNMENKHSKRCLLKYVNPARLRSNVTSKY >RHN65577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3394703:3398677:-1 gene:gene13431 transcript:rna13431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MTDAIVSFVVGELCNFVKEEGTSLTLIERYFKDIKDELEIIQFSLKDADTRAADEGGGGANEGIKTWVKQLREASFRIEDVIDEYEYSKYVAQRVDHSGFIASLQAIPASMKTLNTQYKIASEMKDIKLSLAGIKERSTMFEFQSGSGSESYRGTKAPRIGDPRMAPYFIEETQVVGFESPTNELVRLLVGGENERTLVSVLGMGGLGKTTLAKHVFDNELVKSHFDCRSFITVSQSYTMTELLIDIIKTSCTNNNETIPKGLRKMDDRTLITQVRQYLESKRYLVLFDDVWEENFSDEIEHALISNNKGSRIIVTTRNMKVAEYFKKSFPVHIHELQPLSSEKAWELFCNKAFRSEPGKQCPTALADMSNEIVQKCGGLPLAIVAIGGLLSTKAKTLFEWRKVSQNLRMELERNVHLTSIIRILSLSYDDLPYHLKSCMLYFGMYPEDYIIKRKRLTRQWMAEGFVKNEHTRPFEEVAEEYLIELVQRSLVQVSKLGFDGKVKRCQVHDLLREVVIKKMKDLSFCHSVHGGDEQVTVGITRRFSIVAISNNVLRSTGNSGIRAVLVFDKGELPKHFMDELSVKFKLLRVLDFESSVLNYIPDNLGSLFHLRYLNLSRTKVTVIPSSIGNLINLETLDLRHTKVTELPREINMLTKLRLLPVYYRKHEGHYSILNFTTGVKMQEGIGCLKSLQKLYFLEANRGGIDLIQELKMLTQLRKLGIRCMRREYGNALCAAIQEMKHLESLNITAIVKEEILDLDFISAPPDLIVLNLKGRLTKLPDWIPNLKYLVKLRLGLSNIATDPLNSLKNLPSLLRLNLWDDAFSGESLHFQVEGFPKLRELDLTRLSKLSSITIDNGALLCLDHIRFNNNPELKVVPQDLQHLKNLQFLGFADMPQELVESIDPEKDGQCHWIIKHIPLVLIRQKVGLGFYDYDLHRIPTTSNV >RHN54858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12956223:12964974:-1 gene:gene29952 transcript:rna29952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative starch synthase MVALLNSTMPILGIPSSFLQKPISLPALSCFRNNGDVSGLHEQRLEVNKNEDISKSLSDDGQNVKHDNIWQLFREAQQNILYLNNQRLGAIEELNKIKKEKQSILDKIKKLEAVKQESADKLSICSELLLRIDSMVLGNVISLAEASDFRSLVLHHKVSVADVFNVISHKRDSELVGELRHFSDQSKKNGFHIIHICTEMTPLVSRGSVASYVTGIARALQRKGHLAEVILPKYACLDLDEVQGLREVNVEVYSYFNGQLHGNRIWTGVVYGVGVTLIEPLDHSSFFSREMIYGYPDDFERFSYFCRASLDYIVKCGKQPDILHLHNWETAIVAPLFWDVFVNKGLGGTRILLTIHNFNSQGTEQPDKLALCGLDPSSLHCPDRLQDNTNTQLVNILKGGVVYSNRVVIMSSIHPKHAIIRNLSNELEPTLNVHGDKLSVAPYGFEKSTWDPQTDYFLPENFNAENMNGKAVCKVALLQRLGLSEHSSTILVGCSFSEGADIDEKKMKDIVLNAKKHDVQFIFMETSERPDLNQALESLHMEFKDDDNIKFVRSYDEALSHLLFAGSDSILCRSFHDPTDETPLKALRYGAVPIAVDSDSHTNRNFINHDQEATKYSKLINNTFGNMSLGLAIDELRSNPSKWKRRIMEAMAHDLSWDGECYEVHVAAYSAIKNM >RHN65757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5061029:5061622:-1 gene:gene13644 transcript:rna13644 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFFLSLLVLFLAAFMLAPQQHFADAVSFGPAVEALIPKIKNLIPKDKPVHIPHIPDFCKKHYPLLKKVENFRNKHCPPA >RHN71111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56535937:56537140:-1 gene:gene19755 transcript:rna19755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MALFKLLVTSLLVTFVTLHLVHALDHPEPQVHAETQVSLQQQIDCNGACAARCRLSSRPHLCHRACGTCCSRCNCVPPGTSGNQEMCPCYANQTTHGGRRKCP >RHN67932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31600146:31601149:-1 gene:gene16192 transcript:rna16192 gene_biotype:protein_coding transcript_biotype:protein_coding MHFERIVNQETKRAFQHHPTTPYQTEIYAHLVITQQIQSCLNLTHPTHYEFEGISIALLGCCRHNPCICYSMKCPLEELKDA >RHN44206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2411898:2412167:1 gene:gene38296 transcript:rna38296 gene_biotype:protein_coding transcript_biotype:protein_coding MASASTTLTLNQCQWLSSSTSSMRLPSSRVTCKASESHPQLSVSTTVDKTLCQINNSGVIACLRANRSHLPLSSLLSFSFLFLIFMNLF >RHN71836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2928850:2929130:1 gene:gene7476 transcript:rna7476 gene_biotype:protein_coding transcript_biotype:protein_coding MCKNVSLYRYYYWSKGLKEFIILSTPSRTSHRVVQLDISKTT >RHN52029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30621965:30628452:-1 gene:gene36643 transcript:rna36643 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPTFSPHSLLPKPNTTTLFPHSTSPSHTLSLTSLFRTKLTLQRPISSTGSISITNFRATSETVPFNLPTDSNPQSGETKKTPTPSKWSARAIKAYAMSELETRKLKYPKLGTEALLMGILIEGTSKAAKFLRANGITYLKVREQTLEILGKSYWSYSSPVVLSLTEPLHKALDWALNETSKSGEGETNVTHLLLGIWSQEESAGRKVLSALGFNDQKAKEIAETAASGDIDWNFKKQA >RHN68162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33283456:33284639:1 gene:gene16474 transcript:rna16474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKLSCTKKAKGESTTKPNWLELPIDLMKNILQRLDTVEIVTSARNVCSLWRNICKDPLMWRTIHMICRFNFSSSCMEKICA >RHN44685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7384711:7385393:1 gene:gene38833 transcript:rna38833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MNSIEFPLLDQKTKNSVISTTLNDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSCPPKPEAVIDAITKLRKKISREIYEDLICYQRENRCFTTNHKFHLGRSTHTGNYNQGLFYQPSSISEITSDTFFKYKKVKYPPTNEKVN >RHN74110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28085963:28088059:1 gene:gene10093 transcript:rna10093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MYSFIMGLDEELWDILEDGVDDLDLDEEGDAIDRRIHTPAQKKLYKKHHKIRGIIVASIPRTEYMKMSDKSTAKAMFASLCANFEGSKKVKEAKALMLVYQYELFRMKDDESIEEMYSRFQTLVSGLQILKKSYVASDHVSKILRSLPSRWRPKVTAIEEAKDLNTLSVEDLVSSLKVHEMSLNEHETSKKSKSIALPSKGKTSKSSKAYKASESEEESPDGDSDEDQSKKFLSKRGSYKNSKKEDQKGCFNCKKPGHFITDCPDLQKEKFKGKSKKSSFSSSKFRKQIKKSLMATWEDLDSESGSDKEEADDDAKAAMGLVATVSSEAVSEAESDSEDENEVYSKIPRQELVDSLKELLSLFEHRTNELTDLKEKYVDLMKQQKTTLLELKASEEELKGFNLISTTYEYRLKILCQKLQEKCDKGSGNKHEIALDDFIMAGIYRSKVASMIYNTYKNNGKGIGYSEEKSKEYSLKSYCDCIKDGLKSTFVPEGTNAVTAVQSEPEASGSQAKITSKPENLKSKVMTKSDPKSQRIKILKRSEPVPQSLIKPESKILEQNDQKNKAVTASEKTILKGVKPKVLNDQKPLSIHPKVQGRKSKASRTNPKGPMKIWVPKSELVKNAGVPKGKRETKVMVPRQRMFKAHDWRESFVPYPYNERWRRSEVWWQPDWKNHWYRYYW >RHN59321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9539774:9544142:1 gene:gene21331 transcript:rna21331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MLSILILLALCLILPLLIFFQNHRTIKHYPLGPKGLPIIGNLHQLDISNLPIQLSQFSKIYGPLFSIQLGLRKAIVVSSAEIAKEVLKTNDHLFSDRPRLYAQQKLTYNGSEIIFSQYTDFWREIRKICVVHILSSKRVSHYSSIRKFEVKKMIKKISGHASSSSVTNLSELLISLSSTIICRIAFGRSYEDEGTERSRFHGMLHEFQALLAEIFVSDYIPFMSWIDKLRGLHGRLDRNFKEFDEFYQEIIDVHLDPNREQITDEEDIVDVLLHLKKHHLSSIDLTFNHIKAVLVDTIVAATDTTSAASVWAMTALMKNPRVLDKVQEEIRNLGGAKDYLDEGDLQNLPYLNAVIKETLRLHLPAPLLLSRESRENCTINGYNIPARTILYVNAWAIQRDHNVWENAEEFYPERFLESSINFTGQDFELIPFGAGRRICPGLPMAVASLKLILANLLYSFDWKLPDGLVKEDIDTSMLPGITQHKKNPLCLVAKIPM >RHN55522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19180840:19184249:-1 gene:gene30717 transcript:rna30717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target SNARE coiled-coil domain-containing protein MFGFRKAPPPTTEPEKTTAITPARRTSSEPVLPVPKSKGNYFDEDDDDDWGRKPASSAASKGGLENQSVQELENYAVNKAEETTNSVNNCLRIAEDIRSDATRTLDMLHQQGDQITRTHNMVVDTEKDLSRGEKLLNNLGGMFSKPWKPKKGKAITGPVITSDHTSKKDVMSKEDRAKLGLAPLPKGRSAPTTPPNESDNAYQKIDYEKAKQDDGLSELSDILGDLKGMAISMGTELDSQNKALDHLGDDVDELNNRMKGANQRARKLVAK >RHN81748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48653487:48654931:-1 gene:gene5833 transcript:rna5833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MNKIVLQVELHDDKIKKKALKAVSNISGVESVSLDMKDQKLTLTGDIDVVHVVGKLRKLCHPKILSVGPAKEPKKEEKKKPAEEKKDQNKNSAAPGFVKVCETYHYPIMMGQPHYYYTSVEENPSACVIC >RHN77342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6145396:6149876:-1 gene:gene788 transcript:rna788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A(2) MEGVTNLSVEQIQPPTYGNLVTILSIDGGGIRGLIPAAIIEFLESHLQELDGEDARLADYFDVISGTSTGGLVTAMLAAPDKNNRPLFAAKDIKPFYLEHCPKIFPQHRGLGATLLAKVMRSLGGPKYDGKYLHQVVREKLGDIRLHETLTNIVVPTFDIKTLQPIIFSSYQLKRSPCLDAKLSDICISTSAAPTYLPAHNFTNKDDEAGKEEEFNLIDGGVCANNPALVAMNEVTKQIINQSPDFFPIKPLEYGRFLIISIGTGTAKNEEKFTAQMAAKWGLLDWLTQSGSNPLIDVFTQSSGDMVDFHLSAVTQALHSADNYLRIQDDTLTGIDSSVDIATEENLKKLCQIGENLLKKPVSRVNLENGHFEPLTNGETNEEALKRLAKILSQERRLREMRSPHTSRGKKARHLVS >RHN68115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33015074:33018132:1 gene:gene16415 transcript:rna16415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MLSTQQNSHSFLKLSVSLFFTIMVALVSQENVVTLVSRTGRELQRYRKGRRQVVGCIPYRYKIGEKNSLDVSDELEVLVISSQKGKGMLFPKGGWELDESQKEAALRETMEEAGVRGIVEGKLGKWSFKSKTYDTLYDGYMFPLLVQEELEFWPEQNLRQRRWMSISEARDVCQHWWMKEALDRLVNRLLGQKLGSEKQVLRSLNCIGDAKSDLLKV >RHN82829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56644228:56649777:-1 gene:gene7019 transcript:rna7019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MAAAAAFNVTTTPPLFSLSNVVALNPNPRRSISVGVVRSRGGGVKLAVAVRRQVKVRAVESGSSSEEKVIVQSKVTHKVFFDVSIGNPVGKLVGRIVIGLFGDDVPQTVENFRALSTGEKGFGYKSSTFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFNLSHTGPGAVSMANAGPNTNGSQFFICTVKTPWLDQRHVVFGQVLEGMDIVRLIESQETDRGDRPLKKVTISDCGELPIA >RHN67100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23878337:23890958:-1 gene:gene15245 transcript:rna15245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSTLEIEARDVIKTVLQFCKENSLHQTFQTLQNECQVSLNTVDSIETFVADINGGRWDAILPQVSQLKLPRNTLEDLYEQIVLEMIELRELDTARAILRQTQVMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQESTKEKRRAQIAQAIAAEVTVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDVDDMYPTNLSHTIKFGAKSHAECARFSPDGQYLVSCSIDGFIEVWDYISGKLKKDLQYQAEETFMMHDEPVLCVDFSRDSEMIASGSTDGKIKVWRIRTAQCLRRLEHAHSQGVTSVSFSRDGSQLLSTSFDSTARIHGLKSGKMLKEFRGHTSYVNDATFTNDGTRVITASSDCTVKVWDLKTTDCLQTFKPPPPLRGGDASVNSVFIFPKNTEHIVVCNKTSSIYIMTLQGQVVKSFSSGKREGGDFVAACISPKGEWIYCVGEDRNMYCFSYQSGKLEHLMTVHDKEIIGVTHHPHRNLVATFSDDHTMKLWKP >RHN68745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38216986:38217356:1 gene:gene17133 transcript:rna17133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative threonine--tRNA ligase MSYGEYLHQLEEAKKYDHRILGLKQELVSLHEWSPGSWFFLPHGALIYNKLMDFIRNQYRHRGYQEVKF >RHN52900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40117706:40119088:1 gene:gene37633 transcript:rna37633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative site-1 protease MFNMLRDAGYYVETLGSPLTCFDARQYGTLLLVDLEEEYFPEIEKLRDDVINTGLGLAVFAEWYNVDTMVKMRFVDDNTRSWWTPVTGGANNPALNDLLAPFGIAFGDKILSGDFSLFGEENRYASGTDIVRVSKGWLCSFPFSDSSESGATQNVLLTSSTTKADSPILGLTVMGEGRIAMYGDSNCLDSSHMVTNCFTLLRKMLDFTSKNVRDPVLFSNSNKQDALLYEDDNQLPSRRTDVNFSSYSAVTGKELICKTDSRFEIWGTKGYSLQVRGRNRRLPGYPVIDLGNGFNLKFDASNIRRRKVTLRNKDDSLGNRYLGLFYGDEPDAPMLVGSHWLIPVAVAATG >RHN43309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44836075:44838583:1 gene:gene49860 transcript:rna49860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription initiation factor TFIIE, beta subunit MKRVIDLLLETRQAFTPEQINEACYVDMRANKDVFESLRKNPKVEYDGQRFSYKAKYGLKEKSELLQLIRRYPEGLAVFDLKDAYPNVMEDLQALKAAGQIWLLSNFDSQDDIAYPNDPKANIKVDDDLKQLFRSIELPRDMIDIEKDLQKNGMKPATNTAKRRSAAQIDGVSSKPKSNSRKKNEITKRTKLTNAHLPELFQNLK >RHN68158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33242460:33242843:1 gene:gene16468 transcript:rna16468 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVLSVNCDRQTSTPASIDIPRKETEHHVQQMVIPIRKAPLFGPPLPKEGTSNVYSTKVLLNNIIKNLCQQTQVINDQNRRIREMEESRSREMRGRSPTSMTTIRSPTPHSNIRRMSPTLDRSISP >RHN63697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53311806:53316870:1 gene:gene26432 transcript:rna26432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CRK7-CDK9 family MGCVFVKQGVSVTPAVDHSVDLQRNNSVKKKNKKKNTESFGVSWSEVGDSGRTSLNGEGGSLSFRLGNLSKYVEGEQAAAGWPVWLSAVASEAIHGWVPLRSDAFEKLDKIGQGTYSSVFRAKEIETGKIVALKKVRFDNFEPESVRFMAREIMILRRLDHPNIIKLEGLITSRLSCSIYLVFEYMEHDVTGLLSKPEISFTESQIKCYMKQLLSGLEHCHSRGVMHRDIKGSNLLVNNEGILKVADFGLANFTNSGKKQPLTSRVVTLWYRPPELLLGSTDYGPSVDLWSVGCVFAELLVGKPILKGRTEVEQLHKIFKLCGSPPDEYWKKTRLPHATLFKPQQPYDSCLRETFKDFSATSVNLLQNLLSIEPNKRGTASSALSLEYFKTKPYACDPSSLPVYPPSKEIDAKHEEETKRKKIAGRVCGPEKRRTSRKPVGLSKLPLAEDLTSRVQTSLNMDDRSVNVLKEENTNIGEMAPKPTAGKPEDASHKKDASQVDIPFHGPLQVSKSSGFAWAKRRKDDAASIRSHTRSISKGHIFSSLETSTLNSNNISDNINNENKEVFGGRNSSRGHDLFEISKLVMQNQWSKFERPDSFDTSEEYHSQELSMMLYHREDSMSKRSNRSYQDQGEKVEFSGPIISQMHTVDELLERHERHIRHTVRRSWFQRGKKHGN >RHN69220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41867949:41868415:-1 gene:gene17660 transcript:rna17660 gene_biotype:protein_coding transcript_biotype:protein_coding MFNWGSIVVTVMLFVLLTPGLLFQVPGRSRCIEFGNFQTSAAAIVVHSLLYFGLVCLFLIAIRVHFYIG >RHN40847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:22991665:22992893:-1 gene:gene47080 transcript:rna47080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MQDMDELDSWSWGGMGLAFLYEHLSLTSDSSVASYGGSMTLLVGWTLAHFSNIIPRIDDDAYDPAVSPLVSEWKPSRGFSNPGHYRAVIDSLDHSHVTWRPYERRRHHALSGHMLVLRMDHGRQRQDGP >RHN71391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58685958:58688420:-1 gene:gene20069 transcript:rna20069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RPA-interacting protein MNYSLILPFLLTTSLVLPRFQLTLDFLRDRLAEVHMEHLDRGCRLKPRFCIKTKFNLTALYIVCEGCDTFEVVI >RHN62952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47010980:47011378:-1 gene:gene25593 transcript:rna25593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MVCVRMKVGEVLECEIGKVALEINKMILLHSDEKIRNHYESWLRTRRLFQSGSSLGGNKLAISSSPRFDVFGNDFGWGKPVAVRSGSGNKNNGKISVFAGAEEGSIDVEICLSYEILEALANDVEFLVPISK >RHN51810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25671762:25673246:-1 gene:gene36344 transcript:rna36344 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFRYFLSLSLRLLPTVLLFTTNGPTLFIFWLTSINSARFLWKTIPSSIKESNPEVNAVWKIGQQLWLRNYGGVHEAVRGFEWSPELQGFISAFSGKHLRIFDFFNFVSRALKVL >RHN49300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53917720:53918610:1 gene:gene44115 transcript:rna44115 gene_biotype:protein_coding transcript_biotype:protein_coding MYNILAHDGESSRSNLPGNRRSRRRGGFGFLRGLFGKKKSNRSNNEDDTDIHNYPSTDNGGNNENENSDSETLELHSNTNTSDDHGISHDHGNDNTGHGSGMGETIPTDNYYPMNNQEDLYYGQGMQMQPPPPFEQWPTMNHYGQGMQMQPPPPFEQWPTMNHYGQGMQMQPPPPFEQWPTMNHYGQGMQMQPPYWPAMNPEHQQQEEAYNNNQEHSYLNIFDGFPNHDQYMKMQQQQLGNQDHQQQDAYNNMYPPTMTYGEPSSSMNSTMQHDSNLVDPKPVYNDGYVYNWWSTN >RHN45845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26231791:26232489:-1 gene:gene40266 transcript:rna40266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protoporphyrin ferrochelatase MPQLVMSVSSLHWFPNIFPERLSSHFKECTIANYRGQHHELQFVQYIMLNSTSLQMITICSPPSLTPQEMLEMQKELSFFPVNSATYETLEEIDMNYKDLALESGINNWAYVHTLGLILSFISDFEDVIIEALIGSSDVYPGYHL >RHN73299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15206774:15208138:-1 gene:gene9098 transcript:rna9098 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLLENIFILFFCSNSSMARAHTIKYGEVGCLRFEYRSLHKLYNILIN >RHN65752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4982305:4982916:-1 gene:gene13637 transcript:rna13637 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFFLSLLVLFLAAFMLAPQQHFADAVSFGPAVEALIPKIKNLIPKDKPVHIPHIPDFCKKHYPLLKKVENFRNKHCPPA >RHN68356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34842146:34842367:-1 gene:gene16697 transcript:rna16697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MRSDENVSNHKMENEKIKFPVGFRFYPTDEELINHYLIKKVDDNSFCAIAIAEVDMNKCEPWDLPGEFNAFYK >RHN60226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23878514:23880521:1 gene:gene22490 transcript:rna22490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primary amine oxidase MCLFSWANWKFHIGFDVRAGIIISLASIYDLEKHKSRRVLYKGYISELFVPYQDPTEEFYFKTFFDAGEYGFGRSTVSLIPNRDCPPHSHFIDTYIHSVDGTPSLLKNAICVFEQYGSIMWRHTETSIPNEFIEESRTEVNLIVRTVVTVGNYDNVLDWEFKTSGSIKPSIALSGILEIKATNIKHNDEIKGELYGKLVSANSIGIYHDHFYIYYLDFDIDGAENSFEKTNLKTVRITDGSSKRKSYWTTETQTAKTESDAKITIGSAPAELVVINSNKKTAVGNHIGYRLIPAIPAHSLLTEDDYPQIRGAFTNYNVWVTPYNRTEKWAGGLYVDHSRGDDTLAVWTKQNRDIVNKDIVMWHVVGIHHVPTQEDFPIMPLLSTSFELRPTNFFERNPVLKTLSPGDVVWHGCPKSN >RHN77648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8420088:8423304:-1 gene:gene1122 transcript:rna1122 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFNIFTNRKHYMALKKCLYDFHFNDCLWTSSFSKSRQLSLKIIWVGQVEFKKPSIQNQQFPRTRNFLKVREFCLKVMRSKSAISRNINFSKYSQSKHEAAKHPTPTLSPSLNPSTSLPTLVITPVISCPGTTGYIVMPQSSLA >RHN68172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33359310:33361021:-1 gene:gene16485 transcript:rna16485 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTMSSWQGKPNLFRVWIDVTLEDLKDQLDQINKRLNHRDTRRMEDVGYQRPSIDPVRRLQFS >RHN56865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34305260:34306852:-1 gene:gene32326 transcript:rna32326 gene_biotype:protein_coding transcript_biotype:protein_coding MISKLTLLIQSLLVMAVLISFMGEARHLAETKQNDASSDCFNIPGLPGVPGLPTWPGIPGLPTWPGIPGIPGWPGVTPVTPPLKTSSRAVKVATKQNGDPSTDCFNIPGFPGVPGLPTWPGISGIPGWPGVTPVTPPLKTSSHAVEVATKKNDDASTDCFNIPGFPGVPGLPTWPGIPGIPGWPGVTPVTPPLKTSSRIVEIATKKNGDARTDCFNIPGFPGVPGLPTWPGIPGIPGWPGTTNPPLTPLIPSPPLIPSPVLIPPVPLVPSPPPPVTPAAPLVPSPPPPATPTTPSPPPPAAPTTPSSPPPPTTSTPPPPSPLF >RHN43161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43663773:43665037:1 gene:gene49695 transcript:rna49695 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEFNDRQFSVLIDESRDISVIEQMAVMLRKSYILRLIISLPLAIECVAFASQQFEYFMPSIR >RHN62025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40143685:40143968:1 gene:gene24550 transcript:rna24550 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWTIQICPYFHIPFFLNPRHTSMAHLTVLPQNHLVCEIL >RHN60382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26043996:26044961:-1 gene:gene22689 transcript:rna22689 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKKHNDNLGLNKIRKNIRKSYFQQTISFGNDIISSSRHLYPDSDFYEVRQEEFKSFVQNVTGKQSNQPKSQAKVTRLQKNRPPPLSIVRPPIPVQVSVPAPPPMGAYNSPSGHPVQSITGSPCVYNSENNLVESPISAFMREFQDSMMNYDNSRGNQFQPFPHQPQVVNNLDVQYQPIIPYQEHHYPMNGSNQLVNSFHASQTNDNNQVVNGFPSTHENVPNPSMSLIATNSNFLVNNSNQLVNGFLSSQTNGPRSPTSEFLLSSPNSNMNLLSPSPSSPEYPFYPHLQNGILSPDPPSPLSSSIFPSFTSPKRSGYQ >RHN59166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8125102:8127506:-1 gene:gene21159 transcript:rna21159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MGAVRVLSSDTIKAPKSSDQTIHLTPWDLRFLLVSTNKKGLLYHHPVVATQIQRLRHSLSSALSFFQPLAGRLEITEHRDNIVSCSVICNNAGVLFIHAVAENIRVADILEPVYVPLIVDSFFAFIGDKNYEGTSKPLLAVQITELVDGIFIGCSFNHVVVDGKSVWHFINSWAEISRGCCGDQISKPPTLERWFPNGIQLPIRFPFTTEQQNNHCDELSFSSSNEEKLCLSNRLFHFTKEKIIQLKSKINEEVGTIKITSLQALLTHLWCSVVRSKQFDLQEEVYNLVVIGVGPRFVPPLPEDYFGNSIISCIVKMKVGELLDEGGLYKGACEMNKLIASHTDEKLKNHYESWLRNPSFVRVASMSNNNFISISSSPWFDVYGNDFGWGKPIAVRSGYKINGIVNVFAGIEEGSIDLQVCLPYKVLEAMGSDPHFMDVVTN >RHN50085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3925139:3927737:1 gene:gene34337 transcript:rna34337 gene_biotype:protein_coding transcript_biotype:protein_coding MASISVVPWQPLLVQKKHILNSHFHTKKTSCSVIRNEKTSSFSYRSIANVPFYELPGASFDQYMDDRLRVLKAVFPEKGNSKQLNEEEWRVEMPSIQCFILKVQPKVDVRLRIKSNGEDYPSHVPHHISKILELHFTRWELQGLNSLYNDPYDFNLDVRGIIYPERKGKSSWLKNQMEMKINFTVSPTMTFVPEHILKDALELVFKTMWNQMKQEFHGNLLADYNSFKRYKSKKSPV >RHN66449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12447424:12449238:1 gene:gene14439 transcript:rna14439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKRKRTSIQSSTTTNSYLPDECWERIFKFIFNDDENNDRHYFYPLNSSERIKRKGKGTSVYKSSRLSISSTYSDIPEDIFNDDDPNNRNICRYLNSLSLVSKQLLSITNQIRSSLTILNPTHPFLCRLFKRFTNLNSLNLTRFHGDLDALLRKISRFSSLNITSLNLSNQPTVPANGLRAFSQKNTTLTSLTCSHIANFNSSDLFLIAECFPLLEELDISYCECCYIYPIGYHTKYYKSCFDGVEALSLALFKLRKVNLSSFPINNLSLFHLFHNCKLLEEVIMFSCDPLSGLTFVGITSALRERPTLRSFSFSPPDMKDEMFVVTQHFIDSIMSLKGLTCLDFQFMNISNNLFYCIAREGLPLTRFALRHCFGPHSYAGIFRLLSKCQGIQHLDLELLFLNDQHVLQLSSFLSGLMSINLSCCLKLTKLALYALTRNCPLLSEIKMEGIGKSMSVENSEKLVEVGVYPQLKSLYLGKNQWLSDEGIIMFASNFPNLQLLDLNCCNLLSKGICQVLRICCKIRHLNLAYCKKVKLLGMNFVVPNLEVLNLSNTKVNDKTLYMISKNCCGLLQLLLELCHNVTEEGVKHVVENCTQLREHGYLLY >RHN70199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49585900:49587044:-1 gene:gene18755 transcript:rna18755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MNTSKAEAERLLEIGEELLQKRDLKGSREIANLVQETEPLLEGSDQILAIVDVLEAAEKPLNLNNHHLDWYAVLQIDRNSQDLNRIKKQYRTLALLLHPDKNPFSYAELAFKLVKDAWAVLSDPVQKAQYDKGFEFELLGNGNGNVNFWTACPYCYHMYEYPRVYEGCCLMCQKCDKSFHGVSIPSLPPIVPGQEAYYVSWGMFPMGFVFESVENGGAGTGDSAAKKR >RHN76754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1007340:1010043:1 gene:gene127 transcript:rna127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MLESEKGMKEVALSQIQLHDKQNVTLFFLKKDLHHGTKLNLQFTNNSGAKFLPKEVANSIPFSSNKMENILNKFSIKEGSKEAEIVKRTISECEANGIKGEEKLCITSLESMVDFTISKLGNNVEAVSTEVDKNSNGLQQYVIAKGVNKLGEKNKTIVCHKENYPYAVFYCHKTDSTEVYSVPLEGVDGNMVKTIAVCHTDTSEWNPKHLAFYVLKVQPGTVPICHILPQDHVVWAACTFIFSFHLNFSSSFLPKE >RHN76886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2102079:2106484:1 gene:gene271 transcript:rna271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MHPHIPHRILRSILSSTLKPIHLRPFSSWLSQPGNPLINWPSLPSKPTPIPTLNPNPNSKPESSQPTFSPNDFTLISTLFTNPSISPGSSLLTNLTQTGIKPTPPLLHAVFDHFASSPKLLHSLYLWALNQPGFKPDSSLFDSVINALAKMKEFDDAWSLVLDRIRRDDDDDEKLVSVGTFAIIIRRYARAGMHKAAIRTFEFAKDKKSIVDSVSEMSLFEILIDSLCKEGSAREASEYLLRRKETDLGWVPSIRVYNIMLNGWFRARKLKHAERLWEEMKNENVRPSVVTYGTLVEGYCRMRRVEKALEMVGEMTKEGIKPNAIVYNPIIDALAEAGRFKEALGMMERFHVLQIGPTLSTYNSLVKGFCKAGDIEGASKILKKMISRGFLPIPTTYNYFFRYFSRCGKVDEGMNLYTKMIESGHNPDRLTYHLVLKMLCEEEKLELAVQVSMEMRHKGYDMDLATSTMLTHLLCKMHKLEEAFAEFEDMIRRGIIPQYLTFQKLNVELKKQGMNEMARKLCHLMSSVPYSDKLPNTYGEVRDDAHARRKSIIQKAKAVSELLKDPKELDKFRSSSEDAVSSLNKFE >RHN59993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16217738:16225632:1 gene:gene22172 transcript:rna22172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MRKANSYSLLLSFLILSYFVIEIHGNQQVKALDNLHKEKYKGNSQIDRSEFEVQEIVYDDAIHSQEGLKEKDRIKSLPGQPLVKFSQFGGYVKLDKLGGRAFYYYFVEAQHSKETLPLLLWLNGGPGCSSLAYGAMQELGPFRVNSDGKTLHHNRYSWNYAANVLFLESPVGVGFSYSNKSSDYSTNGDKKTATDNYLFLVNWLERFPEYKNRDFYISGESYAGHYVPQLGHTILYHNKKAKTIINLKGILIGNAVIHDQTDSPAMYDYLASHAIISDEAAYDIKSVCDFSSDKLSKECNAVADEVNEDLAFINLYNIYAPVCKNDNLTAKPKKYSIVNDPCGESYVSAYLNRKDVQEALHANVTKLKYEWSPCSDVIGHWVDSSSTVLPILHEFLNSGLRVWIFSGDTDGRVPVTSTKNSIKKMNLPIKTRWYPWLAYGEVGGYTQVYKGDLTFATVREAGHQVPSYQPGRALALIKHFLDGTPLPAPKLKS >RHN53322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:936617:938301:-1 gene:gene28217 transcript:rna28217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal-protein-alanine N-acetyltransferase MEITSISSKPGAKEERIDLTQITLRPLNLSDLDDLMIWTTDEKVAKFCSWELYTSKDDGINFIENIATKFLWCKAICINDRAIGCVSLSSSSPGDKSRNKCAELGYVLGSKYWGKGVATCVVKQVVKVAFCELSYLERLEALVDVENAGSQRVLEKAGFQKEGVLRKYLVMKGKSRDMIISSVLFTDPQL >RHN53254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:430217:431390:1 gene:gene28145 transcript:rna28145 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLILSCLVLPHLSCSFFHWFLRFLYTLFEIIFELIVVFLFLL >RHN67452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27351849:27352682:-1 gene:gene15641 transcript:rna15641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MNMLGLHDSEKLISKEKKFTDYDDDLYKFYHLEESQIRANSKGFKVDFQMRKNVVDWLIQTHYEQKLMPETLYLCVNVLDRVLSKIKFEVTTVDKLKLIGLSSLLLASKYEQRSVVGVYDVEYMADYIYMPEEICQMEKLILQKLGWILTVPTPYVFLVRNIRACLLSDEDKIMENMVFFFSEVSLTNHSIVCDYKPSLIAACSVYCARFVVERYPFWSNDLKICTGYSEENLWSCANVMMKSCIQICGDGHMEVFKKFSSLYQSRVSCIAQEFLKI >RHN57363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38383316:38386592:1 gene:gene32893 transcript:rna32893 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRSFSYNSLFSSLMRVPKPHWLPLSQRSKIFYDSGRVELQNCCNSASLLNFQAWRTYARGTQKPYGLFGSRRPGDEDFKKTWAKEMDEDNTLWTGSEDESDEEKDSKSRLHKDIRKARQQAKEHADLVDADDSDELRSVWSGSDEEKTLWTGDEMDTDDDVPTEAYPNEKSDKYIDKIFEFDEMPKYRTISELLKAEQEPEELSPGKQARKIAVENALKKLKKGPDGRYTNAWEVMSDLDILIGAFENVVSGPEYEELRQGGPKQLNIQFFKDIQARMRDPNYKFSPELKLKPKSKFVSRKKFQKTESRRRKARKR >RHN40039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12509649:12513356:1 gene:gene46131 transcript:rna46131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MGANFSSCVCDGDEDCSMRPRLGDIPESCVALVLMYLDPPDICKLARLNRAFRDASFADFVWESKLPLNYEFIMGKALEDDTTSSSSGAELGKRDIYARLCKPNLFDNGTKEIWLDKRTGGVCLAISSKALRITGIDDRRYWNHISTEESRFHTVAYLHQIWWLEVEGDIDFQFPVGTYSVYFRLHLGRSSKKLGRRVCKTEHIHGWDIKPAKFQLTTSDGQRAVSHTHLDNPGHWILYHVGNFVSKNSNDLMKVKFSLSQIDCTHTKGGLCVDSVLICNSSNVKKEA >RHN80549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39007663:39011872:1 gene:gene4488 transcript:rna4488 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEAEDEERDTMITSTSQHWFEPNTNNGSKVNYVFKKGLNMGKKILVFGFVATSVPIVLPGFVVASAIGLVVSMPCALFLVSHSCTQNLMSKLLPQPSPTYQGQGPLLLEDVRFKQDSDITDLNEEDEAKRDNEMVDVSEESVILDNDYGQRAVNEEFEPELSHSSDANDVIQYNGSEKDSTDYFSEEEPSPHKLDDEKPMSGGTIMEGFDESEEFKEPFEFGVTNVVLEECGDEVEEGDIEEEAMHKETKGLLEKIRDEGRNDMRGEYAKGVSSGTSESHQDIGSVVENVEAEQEDKHDLWTEGEMRSQEDSKVCEDTVQSRNDDDKGNVCNEVELGESARGNLELEVDGSNDSQQPVTETSELIDGRSFRDEPIGDLMIETPVLNILVAEDLSEVTNDKIDTNENVEAGQEDKHDLWTEGEMRNQEDSKVCEETAQSRNDDDNKGSVFNEVESGEPSRGNLELEVDGSNDSQKPVSETSELIDGRGFQDEPIGDLRIEMQVINILSAEDSPEVTDDKIHTDENVEEELEPIILQKGELDDISDLVNQEMQLHGYNKIMDSLDADATEIADESELHLCDENRIDPGAYSYTIDLQEESSSVTVDMHTDSMEVLVSSIELESRSSECSAEKNIVCPSEEVSFNEENIWKQIHVIRTIIGYEGTIQASCADELKALYIFTGVEPPTFVKENPFDLVEINEKLHFLMSIVGVKTTNGP >RHN42926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41989526:41992605:1 gene:gene49434 transcript:rna49434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative annexin MATLKIPSNVPSPSEDSEQLRGAFQGWGTNEGLIISILAHRNAAQRKVIRETYTQTHGEDLLKDLDKELSSDFEKVVLLWTLDPAERDAFLANQATKMLTSNNSIIVEIASTRSPLELLKAKQAYQARFKKSLEEDVAYHTSADIRKLLVPLVGIHRYEGDEVNMTLAKSEAKLLHEKIADKAYNHDDLIRIVTTRSKPQLNATLNHYNNEFGNVIDKDLDTDSDDEYLKLLRAAIKGLTYPEKYFEELLRLAINKMGTDENALTRVVTTRAEVDLQRIAEEYQRRNSVPLDRAIDKDTSGDYQKILLALMGRDE >RHN52219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32784682:32789478:1 gene:gene36867 transcript:rna36867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative centromere protein C/Mif2/cnp3 MPMSEDDLGFCTENSTQDVGNHDFASQEDGELPRKRRPGLGLNRARPRFSLKPTKKPSVEDLLPILDLKKLTDPEEFFMAHERLENAKREIEKQLGIVSSQPSQDSTKPRERRPGLPGFNRRPVRYRHRVSTEALVNNDDVLSSQEAFESDGLDPVGDNTDKGKFSLASLDNEVTDSPAIEENKMNDILKGLLDCDSEELEGEGAMNLLQERLQVKSIVFEKLSVPDFLDIQPIDLKSLQGTLSKPSKGKAFSDVDNWLKGMNIQTPLRRSVGYAEKQLASPTPPKSPFASLSSLQKHISRSKLSTDPFSTHEIDLVPTRSYSPIHMADQEVDIVGSSKLSDELTAPTTEDVIAAGEKNTIPETSENSKEHNSRNPSDEVNAPIIEDIVDNPDRNCTITPQKSMVDNSTEPGFNANVDSNEPAVDMDVDIGRSGMGKRVMDDTEGRQNVEPNEPFHFDDNTLEENMQGFTSSIPTDDANLNTELPLADQSNPVTYQANSMDKGSRRSDDGPEQCLQEKTIGSAAPVNGQTIVKSCMRKGSKGKRLLRKSLADAGTSWESGVRRSTRFRTKPLEYWKGERMVYGRVHESLSTVIGVKCMSPGSDGKPTMKVKSFVSDKYKELFEIASEY >RHN45460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21122429:21129796:-1 gene:gene39804 transcript:rna39804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MSQCVPSWDVDENPQPPPRSVSLRSNSNSTNPHDVPMLDYDVAELTWENGQISMHGLGLPKVPHKHPSTAGTTPSKETWEKPRGSGTLESIVNQATSFPHRGKSPFLAGGGVYGNMLVPWLDPQRAAAIAAATAASNGMAVDALVPCSNTTKEQRTHAMDPVPRSGIGSCMVGGHTPVGSCSAVVAATQDEGGILAASAAKRGRVAHVAGSGRDQSMSGSATFGRQSQQVTLDTYDREFGMTGFTSTSIASMDNTSSEKQCTRTTTIDDHDSVCHSRPPREEADVDEKKRENRKSSVSTKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPNSSKTDKASMLDEVIEYLKQLQAQVNMVNRFNMSSMMMPMTMQQQLQMSMMNPMGMGMGPMGMPGMGMGMGMGMGMDMNTMNRANIPGMPPVLHPSAFMPMPSWDAGGGDRLQGPPAAGMADPLSTFFGCQSQPMTMDAYSRIAAMYQQMQQQPPAPGSKN >RHN66967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22277664:22278344:-1 gene:gene15094 transcript:rna15094 gene_biotype:protein_coding transcript_biotype:protein_coding MEDINILIEEDPILALEKLLTGVQSFSIETLLQELKTFMESLSDLDHLVSNQESKKKLISLFHGLNLHQGLLPSDVKEYVEKVQNFFKDNIIKHATSQEVIEKHNQLLDSKTDLMNKLLSAKSSQTHIDDKTSTAKAKIQELSLQIDELRKKLADLENQRDDLNSVLNQCDVQMKKLKAECSKWAQQSEELLSALALSEVNAKEIERARTLAKEGFTNLKSLFPTF >RHN67555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28223510:28224414:1 gene:gene15753 transcript:rna15753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKTKSLSKLIKQAITQTNKQIQIKPEKDKSKTKTAIEQGQSSKICCGICFDTVTNSNMFSTGLCNHPFCTKCISKYVKIQIKEKVVKIKCPDPECSVELKPEHLQCILPKKIIADWESANCEASIALKEKFYCPYKNCSLLLVNEEAGRAITSCECPYCHRLFCAQCKVPWHGNMNCQEFQKSEIGQGLKQSDRKFLELAKREKWKRCPKCSMHVQRTTGCEHMRCRCGCHFCYICGRDWDGFHTCNHRARYYTKL >RHN45828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26074894:26075646:-1 gene:gene40248 transcript:rna40248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MSSSSVSDGSQLSPTSVTSVTTDLGLGICTSPTSNKLTKPAVQYTMEPPKEIPNRFTSSFNLDEEIIRMRPSQSSSCLTFDYYRQADDARNPKILFEALSKAVRWQDEAIRAIIKTIIYGSTKSAKDHGLNQRGDKWMNFVGPDRHGKKKIVVSLAELLYGSRENFTFVDLSSKEMNGCNVKFRGKSHLDFLVDECCKKPLSVVFIENVDKADIVAQSSLSQAIKTGKITDSHCNIRVICLKFIREIYFA >RHN40036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12472281:12474190:1 gene:gene46128 transcript:rna46128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-pyruvate monooxygenase MQEFTVVIVGAGPSGLAISACLTQNSISHIILEKEDCCASLWRKNAYDRLNLHLASEFCSLPLMPHPPSGPTYLSKDQFLQYIDKYVEHFNIKPCYCRMVESAEYDEVGNKWRVQTKNTQDDTLEVYVSNYLVIATGENSEGYIPNVPGLGNFEGEVMHSKYYKSGSKYESKNVLVVGCGNSGMEIAYDLHNWGASASIVIRNPLHVFTREMIHQGMRMVKYLPVHIVDTYITLLAKLKYGDLSKYGIYRPKEGPLHLKNITGKSAVIDVGTIGKIKEGAIKVVPSNITRIEKKKIVFENNVEREFDAIVFATGYKSIANGWLKDYKYALNDKGMPKNAFPKHWKGDRGLYCGGLARRGLWGVKVDAESIAQDINQNLNLHD >RHN63372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50763501:50764147:-1 gene:gene26065 transcript:rna26065 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVGPMESPRREDKENGLTFSERCTWWTVRRREGAATVVVLREKKKELMCEKKKKERTFVFYFILFFYIKPWSIYLIIIQW >RHN47511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40241480:40241848:-1 gene:gene42123 transcript:rna42123 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEVEQWTRLWLKDNLPLGYAMNCETLLNYVIYISFSSYYIKKKQIIGQYSGVGVATPCLWWIRPWLLVAHYGLDGHGFIGIVAVERDFRHVGETLQLSLNWSPLMLPTPPSWRGGVLRRS >RHN55930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25661200:25662390:-1 gene:gene31224 transcript:rna31224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MANKAVKRNDTVSSPILTEKTITTKPQQLIGTLISSSLHSSPLPTIPFDLIPEILHRLPVKPLMQFRCVCKWWNSLISDPKFAKKHFLFSTTCLIHILAYSSLSHKYIIKSYPLDSLFTKDVACNKIAQHEIASNHSIYNVGSCNGIICVAEYHIYERFVIYRLWNPSIRKFKELPPLELQHTGYNLQMHGFGHDPISDNYKVVVVFRDHNKTDVKVLHNVGTNIWKDIKETFQYDGFIVEQKSGKYVNGAINWLASKDYSKGQRFIASFDLGNESYKKVLLPDYDYRAIDSRTLHLSVFRNCLCWISSNDVWIMKEYGMKASWTKLFTIPFMPSYYFFANVMHIFEDGLVTWKSTQDSTRNLVFYNSTNGSVKFSYFQFKFILEVCVESLVSPYS >RHN70372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50974111:50974500:-1 gene:gene18942 transcript:rna18942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MRILVSNIVLSILMLLTILVALQFQDGESILRKNKISVSITNKLTDTKLRVHCKDKNIDLGSFKLKYRETHSFSFRTWIIVKAELYFCRFSWLNEFHYFEIYNEVDDYDTCYEKCVWEINKSGPCKRKG >RHN50176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4852901:4856623:1 gene:gene34437 transcript:rna34437 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNFPFIIQADFVLASSRETILLDNKWNQGILECVPSAFMEAFRTLVAGSYEAPVSSLIYLFKFIPIHSSRHGNFNHVREEIKAKLAEEKIIPIETFSNQKHFYKPREVCRLLRKFRNILTKARQEGVYILIQSSNLADGVSEDIYLELLYFVAKNWQRFYKSKIVNIPLIKYVASDGIRSFFSLHECRHHNAKRAVLADSGRTCSWMITWNNVFACATNQWFMPESTQQAIPQLHTNQRLLEWLANDVNVLTLNVYSFADVLCSSIDNSKLVIAYAHFLYHSLSNGFLSTREVDDLCKSMPLVDNYGSITKSRQEVLVPANVSKWAGLIVSSNPWTYENYIELGKAYLNASSYAGQYTDYGKLIGFLKTHVGASDIPYISPPNAGFSAADTPLTKENALLLLDWIQKLKYRGVNLPEMFLKGLKEGRWLKVTCGYRPPSKSFLIGSWLEKLLQSGSVLVDIPLIDESFYGDRINKYKEELKTVGVMFDYEEACEFIGKELMCRAASLSLRKSHVLLMLNSIQYLRKSLLPFDKFVDNIKEGSWLKTSCGVRSPVGSVLNGSEWQVASQISDIPFIDHDYFGEEIYNYKVELKLLGVIVDFNGNYQVVIEHLKLPSNLASLTAEAILLIMECIKHSNVPIEVLNLLRGTSFLKTNIGFKTPSECFLYDPVWGCILEVFSITNLLLNPTDGPFIDENWYGPKIASFQKELNAIGVIGEVEKGCSLLASHLDSLSDHDNIVKIYMYLFEQNWKPKEKSAKKIWVLDGINGGKWVDSEECIIHDPAKLFAGSKFYFLEDIYDSNILEFFNAILKVKNKPSLDDYVDLWNDWGSSVKQLSHDECCRFWKSISKLLSSKHETKLAESFIKLPATSRNDNIFLLDKEDAFIPDNLHMMKLFEREILFLLCKEATSLQHDVKLKNVDPNNIFNLQGLAKLILGFLACSSLEMEPKKRHEAVQNFLNLSFHETKEPINVSYSLSLSSGKIITKKANKRVRWESQSCKFIIQKMDGAPDEILKYATYFSEAISEGVLRENHDHVPALTDLITTGFFLKFKNEEIDFLMESKNLQIEHEDEEFLSSAFPFACFTFIC >RHN54690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11326714:11330753:-1 gene:gene29764 transcript:rna29764 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFSPIITPSSLPSSTVLKLETFSLKNGGFIRIGGITAPSMIPTSRKLARCSVSASGDGNASVQTDIPFPFDYSELLEQAKVAVELAMKDNKQLMEIEFPTAGLESVPGDGEGGIEMTGSMQLIREFCDLSISAEKITRTRIFFPEANEVDFARQSAFSGASFKLDYLTKPSFFQDFGFVEKVKMSDRVKAEDELFVVAYPYFNVNEMLVVEELYKEAVVNTERKLIIFNGELDRIRSGYYPPFFYPKLAGLTKSFLPSMETVYYIHNFKGRDRGILFRCYPGPWKVLRRVGSSKFVCLHQQDTMPSLKEVALDILPKN >RHN56497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31295181:31300720:-1 gene:gene31905 transcript:rna31905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative costunolide synthase MSFAVTTTIFAFLLFTFTYFLFKLFLHSKQKTIIHKKPPCPPTLPIIGNLHILGKLPHRTLQSLSKKYGPIMSLQLGQVPTIVISSSKAAESFLKTHDIVFANRPKLIGAEIISYGCKGLAFSKYDPYWRSVKKLCTLKLLSASKVEKSGPIRTEELGILVNTLKKASLVGEVVNVSEIVENVIEDIVYKMILGRGKYEQFDLKKLVQEGLALIGAFNLADYVPWLGIFDLQGLTKSCKKVSKAIDEQLEVILTEHEQAANVNKTHHKDFVDILLSIMHQTIDVEGEQNLVIDRTNIKAILLDMIVAAIDTSATSIEWALSELLRHPRVMKKLQDEIQNEVGNKRKIEEKDMKKLNYLDMVVDETLRLYPVAPLLVPRESRESTIIDGYFIKEKTRLIVNAWAIGRDPNVWSENAEEFYPERFIEKN >RHN58014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43114552:43114840:1 gene:gene33619 transcript:rna33619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative demeter, domain-containing protein MKQIPCRTAMRARFPLNGTYFQVNEVFADYASMKKPIHVPRKWIWSLKKQIAYFGTGASSMTRGK >RHN50357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6457180:6459542:1 gene:gene34634 transcript:rna34634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MSSPFPMIDPSSTVLPDPSKFFSSNLLSKPLPTNSFFQNFVLDNGDQPEYFHPYLIRSSNSSLSVSYPSLSISPSAISQVFTADLTITSSTKTSNEKHVVSSFSDLSVTLDIPSANLTFFLVRGSPFLTFSVTESTPLSITTIHSIHSFSSNDTLTKYTFKFDNDQTWILYSSLPIKLSHGLSEITSEAFSGVIRIALLTNSNSQNEEVLDMVSTCYPVSGDASFNEAFTMEYKWETKGSGSDLLMLAHPLHIQLLQSNSTDHNVMVFDDFKYQSIDGDLVGVVGDSWLLETDPVDVTWLSTNGVKKESRDEIVSSLLKDVEGLDSSKITTKDSYSYGKLIGRAARFALIAEEVSYLDVIPKVKKFLKETIEPWLDGTLNGNGFLQDDKWGGIVTEQGSMDSNADSGFGIYSDHPDHLGYFLYGIAVLTKIDTAWGEKYKSKAYSLMKDFMNLDSGPDSDDPRQRDYDYVQGHHYAPGLIQHKDGRNHKSTSQAANAYYSAALMGLAYNDADLFINGSTLLAFGIKAAQMWWHIKEGDKLYAEEFTKENRIMGFLWSNKRESGLWFAPPEYRECRLGIQLLPLVPISEVLFSDVEYVKQLVEWTLPALKRDGVEEGWKGFVYALQGIYDKETALKNIRNLKGFDDGNSLTNLLWWIYSRE >RHN48521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48166080:48166511:1 gene:gene43246 transcript:rna43246 gene_biotype:protein_coding transcript_biotype:protein_coding MERILEGEGNGKLKKKNIKMHITTVNNGLPCEEHLGTKQISNLIKAKRLQKERVQTFSHRGRREQIQLLETRLKD >RHN65030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63749295:63752441:1 gene:gene27923 transcript:rna27923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-Pl-4 family MNFARVSMAGSCFTKLRLRRSKSNKPLPEPSPSSSNKTRLNPDADNMERKRFDSLESWSMILDSENVETWEASKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPTQNEERRTLLEQQFKSEVALLSRLFHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLSIETVLRLALDISRGMEYLHSQGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETRCRETKGNMGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVSEKNERPPLPASCQPALAHLIKRCWSANPSKRPDFSYIVSTLERYDECVKEGLPLTHHSGLVSKNFIIERLKGCVSMNSSIPVNA >RHN58306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:627695:633721:1 gene:gene20205 transcript:rna20205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MHNNGEIIWAKDNEIQIVDIKNAEAEAEIVDGEMLPLAVKELGACILNPQSLKHNPDGRFVAVCGDGEYIIHTALPWTNMSCGSAVEIVWSLDGEYAVRKSNSKIKIFSKTFQEKSVHPTFSAEKIFGGPVLALCSNDSICFYDWAECRLIRRIEVKLKYLENLYWNDSGDLFALCGHTSFFTVKYNCDVVSSYLDNGIPVDEDGTENPCKLLHEISERVRRGIWVEDCFIYTNSSWRLNYCVGDEVTTIFHLDRPMYLLGYLASQDRVYLIDSDFNLIKYKSLVIRGDFERAKEILPSIPKEHHNSVLRFLESRGMIEDALNLATDPEYRFGLAIQHGRLEVAKDVALELKSISKWKQLGELAMSNGKLEMAEECLEHAMDFHGLLLLHSSTVDIRGMSKLATLAKEHGKNDFAFLCLFMLGKREDCRQLLEESNQNPEAILMGRSYLPSKVSELLEKWRKDLSKVNPKHAYSLANPEEYTDLCEYWQVASAPALVPLNLIEEIFFYLPVRSVLNVVSRLNRYFNYIIRTEHFVQKHLEKSGFINPYLIMISEPDEYHYYDFPLVSVSVNSLLEDPAAPTLRESVLFEHSFTTSWGLICSCNGLLCFRDIFQSSQLCFWNPATGFKLECISEMEKDNSVYSFGFDPLGKTLKLVVFCMKRVGSALENTVKIFNLNNKSWKDVQQLPVVPLYWFERSRSNSGFHLNGSINWLALRNYSFSDYNPDHVRYITTDRYVIVSLNLSTEIYTELLLPEGFEKVPKRQPRIAVLMDQICFCHDAEGTNFIIWQMKCFGNRDSWVKLFKFGYENFPSANQLQCLDFSPVYLSQDGDKLILVRGDGVAEEAFVYYHRDNRVSRIGIANNLLWTEAMSYVGSMVYRKFLFHILIELNVNQTSAYYVSSFKIMLCDIVFLSC >RHN45874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26417123:26418569:1 gene:gene40296 transcript:rna40296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSRSISTEDRISALPDPIIWHILSFVPTKTAAITSILSKRWNPLWLSVLILHFEDETFQNMESFSHFMSSVFLLRDITLPIRSFHLNRSKRYGIETQNINRFVHAIAQRGIENLNLELSGSITLPRSVFSCRTLVVLHLQWITVKDLSQLVLLSGCPILEELHAESLIVRNKEWLVSLNFVRQKFPSLPKLITANITKSSHSLALFLALLCRAKSQLLRAELDFENEEEFEEVVDNWVYPTIIPDCLSTQLKTCLLKGYECTDRELQFAKYIMQNSEVLKTMSIKSASSIDTNTKHQIWMKLASCTRASSTCKLLFD >RHN71486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:482298:486672:1 gene:gene7092 transcript:rna7092 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TRM1 METHYNHTLFERRPFLTSKAPAVKWVKQWVPQDVVATGGKCMLLRWVTEDTINALKEKEKEPLAPEPEPEPTTEVLFLCSYEGCGKTFIDAGALRKHSHIHGERQFVCHYEGCGKKFLDSSKLKRHFLIHTGERDFVCPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYPDCGKRYAHEYKLKNHIASHHEKSTPIDVPIKYATPPSEKQTKTARPSGATYGSASSDRPYACPYEGCEKAYIHEYKLKLHLKREHPGHINDENAMHALANNAENEMDEASDHDAYGGKRSNGKSQRQSRPKPSLKMPPSKVAQRKGSTPTPATFNMAKKPWPVKEETYDEDSEETEEEDRDNVEDGWRYAGNNEDDDEETEYED >RHN79342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27761854:27762516:1 gene:gene3119 transcript:rna3119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVSLLTNLFLFWLSMHCFVAYLAHANAKNISTDQSALLAFKSLITSDPNDMLANNWSTSSSVCSWVGVTCDERHHRVHSLTLRNMSLSGTISPKLGNLSFLVILDISRNNFSGQFPKEICRLRRLKSLDLNYNWFVGGIPEALGDLSRLQYLYLGVNNFSGFIPQSIGYLRQLIYFEIGHNKMYGPIPQTISNMSSLKYIGLSSNYFSGTPNSNIIMFHI >RHN74382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32428145:32430194:1 gene:gene10438 transcript:rna10438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Caspase-like domain-containing protein MREMAKKAVLIGCNYPGTKAELKGCINDVWRMHKCLIHKYGFSDKDITVLIDTDHSYTQPTGKNIRSAMSRLVRSAQPGDVFFVHYSGHGTRLPAETGEDDDTGYDECIVPTDMNLITDEDFRDFVEKVPKSCRITIVSDSCHSGGLIESAKEQIGDSTKEGGQNSSPGFKNFLPRAVDTEIPSELCHQYKSGIGEKDVELHHVNHRYVKNRSLSLSTLIDILKQKTGKDDIEIGKLRPTLFDIFGEDASPKVKNFIKFVLNKLQGGESGGHSGILGLVSNLAQGLLEYKLHDSDEEYENPVKSAPETYAISTKSDIVDGGILLSGCQTDQTSADASPNGNSEEAYGAFSNAIQAIIAEKDGVVTNREVILKARKKLHRQGYSQKPGLYCSDNHVDASFIC >RHN39817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10798037:10803003:-1 gene:gene45887 transcript:rna45887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABI family protein MELERPTTTFHNSSAMTFDEVSMERSKSFVNALQELKNLRPQLYSAAEYCEKSYLHSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLEQHTLDVSTMGLKVSTINQKLHTCQIYTDKEGLRQQQLLAFIPRHHKHYILPNSVNKKVHFSPHIQIDAKQNSFQTRTRFQSSGTPPAKTLSWHLASETKSTLKGTPQASPNIENPKFAAKASGYFHLLDNEESTWMKSSPAHTHLPNGVPTSTIPVQTLGGTRRDALDGSKPIAGFRSFDNAYRRESSQTPTRSRSVLSAFFVKQKTPKLKTGSFS >RHN50365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6508548:6509217:1 gene:gene34643 transcript:rna34643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MTFSFGNEFPANVKVLVIDHDIDLLNAIDKTLSQFNYQVTTCSTVSSASNLIAQKVHFDLVLLETQMPDMDSFDFLQQLTQQVNIPVIMTMCSEGSTNYGIIKAIENGACDCFVKPFAENQVKYMWHHAVRKMMKGNKKHKINEQLGVEGSQIRARDDSNLPLKDATSEKG >RHN40045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12697376:12698266:-1 gene:gene46137 transcript:rna46137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSHPIIDNNAVDRVIFREYIGVKPYPKPFKFPDIDNYKIAEFHIILAFAHETYNEDGKGTGSFSADWDLDICGIQSVKDIKQKCPNVKLVFSIGGRGTKYPFSPIEKNYWCDNAVDSLKTIIKQYNDIFAGIDINYEHINTNDENDFSNYVGDVINRLKNEVGIDVVSIAPSHANDNYYKLLYSAHADDINWVDYQFYMQPIPTENEFLSLFLSLAREYALEKLLVGASTDPRDGGNVPLDVFVQTCTNLIKHKSLSGIFIWNANDYEKIALDILTNN >RHN66674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16124820:16127868:-1 gene:gene14715 transcript:rna14715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MTLSYQQLLTSLFKMTILTSQMTLFLFMLLSITTFHKTMCSNHTVVQCNEKDREILLNFKQGIHDTFGRISIWSEKDCCAWEGVHCDNTTERVTKLDLHLKDLKGEMSLCILELEFLSYLDLSMNHFDVISIPVTQHNITHSSSLFYLDLSFNEGPNLHMDNLDWLSPHSSLKYLILSGIDLHKESNWLQVVSTLPSLLELQLTDCKLNNFMFNSSFEYLNLSSIVILNLSLNNFTSHLPNGFFNLTKNLTYLYLHESNIHGEIPSSLLNLQILRHLDLSKNNLQGSIPDRIGQLPNIQHLDLSMNMLSGFIPSTLGNLSSLISLSIGSNNFSAEISNLTFSKHSSLVSLDMSNSNVAFQFDLDWVPPFQLSHLSLSNTNQGPNFPSWIYTQKSLQDLDLSSSGISFVDRNKFSSLVERIPNELILTNNSIAEDISNLTLNCLFLRLDHNNFTGGLPNISPMTTHVDVSFNSFSGEIPHSWKNLTDLQYIILCRNRLSGEVLVHLANLKDLRYMFLGENEFYGTIPTMMSQYLQVVILRSNQFEGNIPPQLFNLTSLFHLDLAHNKFSGSLPNSVYNLTQMNTNHVYVWRPVTFNLFTKGQEYVYQVRPERRTIDLSANSLSGEVPLELFRLVQVQTLNLSHNNLIGTIPKDIGRMKNMESLDLSSNKFYGEIPQSMSLLTFLGYLNLSYNNFDGKIPTGTQLQSFNESSYIGNPKLCGAPVTNCTTEEENPNTEKPFTQIEDEDSIRESMYLGMGIGFAVGFWGISGSLFLIRKWRHAYFRFIDGVGDKLYVTLIPKLNNFGKN >RHN61510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36062727:36069437:1 gene:gene23983 transcript:rna23983 gene_biotype:protein_coding transcript_biotype:protein_coding MEETILVGDDLMMGSPSPEIASHVLQGVDLCDGILRNLFLSLQINDIEPFCQDEIALYKQCAERRDKEIRKRLQDSEFKLGSSMPLGAAKKRSTQLEAEVTSLERRLILASGVQGIEGFRTRWSLHCMAALLIPKKDWSP >RHN51324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16196219:16197445:1 gene:gene35748 transcript:rna35748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MSSQELEHQNNGVHFFKIILASILQEGKLRVPINFARRHWHGITNPVTLRLPTMAQMNVTWEKTSDYDVWFCNGWKEFANYLSLRDSQLLVFRYRKKSLFDVIVFGKCGLEIKYPLRETCDGSLKVTEDPSSSPGKRRKSPSPCVKVCKKMKINPEEQKESKHEKKIFQSQAKFHNFKDMNNGNSRDDIKEKSKVLYEKVNEKFSHDKDFFACMIKKTHIERDLLVTNYFI >RHN75126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39571315:39572935:-1 gene:gene11285 transcript:rna11285 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRPHHLIHIHNKLCPTQSTRQHQGPTFSGLQQQPTVIKKLQQI >RHN49258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53592390:53599429:-1 gene:gene44070 transcript:rna44070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H oxidase (H(2)O(2)-forming) MEISQERENHHQEIWSETESNGSRSTRVGFSGSLSGPLSGPLVSNNKKKSSKKSARFSDEVDQDYVEITLDVRDDTVSVQNIRGGDSETALLASRLEKRPSTLSVKLKQVSQELKRMTSSKKFDRVDRAKSGAARALKGLKFMTKNVGTDRGWSQVEKRFDELEVDGKLPKTRFSQCIGMNESKDFAGELFDALARRRGITSASITKDELRQFWEQITDQSFDSRLQTFFDMVDKNADGRISEDEVKEIITLSASANKLSKLQERAEEYAALIMEELDPDNLGFIELHNLEMLLLQAPAQSTHMHTDSRVLSQMLSQKLVPTKEYNPIKRSLRSLNYFIEDNWKRIWVIALWLSICAALFTWKFIQYKNRSAFRVMGYCVTIAKGAAETLKFNMALILMPVCRNTITWLRSKTKLGVVVPFDDNINFHKVIAFGIAIGVGLHAISHLTCDFPRLLHATDAEYVPMKKFFGDHRPNNYWWFVKGTEGWTGIVMVVLMAIAFTLAQPWFRRNKLKLPPLLKKLTGFNAFWYSHHLFVIVYALLIVHGYFLYLSKKWYKKTTWMYLAIPMIIYACERLLRAFRSGYKSVKILKVAVYPGNVLALHVSKPQGFKYHSGQYIFVNCADVSPFQWHPFSITSAPGDDYVSVHIRTAGDWTSQLKAVFAKACQPASGDQSGLLRADMLQGNNIPRMPKLLIDGPYGAPAQDYKDYEVILLVGLGIGATPLISILKDVLNNMKQQKDIEQGVVESGVKNNKRKPFATNRAYFYWVTREQGSFEWFKGVMDEIADYDKDGLIELHNYCTSVYEEGDARSALITMLQSLHHAKSGVDIVSGTRVKTHFARPNWRTVFKHTALKHPGKRVGVFYCGAAGLVGQLKSLSLDFSRKTNTKFEFHKENF >RHN59797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13305415:13308522:1 gene:gene21950 transcript:rna21950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S10 MAYAAMKPTKPGLEESQEQIHKIRITLSSKHVQNLEKVCSDLVRGAKDKHLRVKGPVRMPTKVLHITTRKTPCGEGTNTWDRFELRVHKRVIDLYSSPDVVKQITSITIEPGVEVEVTIADA >RHN48299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46442723:46445502:-1 gene:gene43001 transcript:rna43001 gene_biotype:protein_coding transcript_biotype:protein_coding MWDMEFSRCPQPKLPFPLLSATSKGEPKVSLVNDKMMNIKEIADERKVVSATQHVS >RHN65085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64097871:64099831:1 gene:gene27982 transcript:rna27982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannose-1-phosphate guanylyltransferase (GDP) MINLLASCLLKIEALKATGVTEVVLAINYQPELGIKISCSQETEPLGTAGPLALARDKLIDDSGEPFFVLNSDVICEYPLKQMIEFHKSHGGEASIMVTKVDEPSKYGVAVLEETAGQVEKFVEKPKTLLIAAEKKLYAMLLPGFWMDIGQPRDYITGLRLYLDSLRNRSSSKLASGSNIVGNVIVDETAKISEGCLIGPDVAIGPDCIVEPGVRLSRCTVMRGVRIKKHACISHSIIGWHSSVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSNILKPEIVM >RHN65905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6361043:6361763:1 gene:gene13799 transcript:rna13799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTEILKFVCIMIIFLSSFIVSQNIDGNTGGNRKCFRDSDCPKFMCPSYLAVKCIGRLCRCGRPELQVELNPK >RHN39980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12042882:12048753:-1 gene:gene46067 transcript:rna46067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MGEPRERYAVVTGANKGIGLEIVKQLASAVIKVVLTSRDEKRGLHALETLKASGLSDFVVFHQLDVADASSVASLADFVKSQFGKLDILVNNAGIGGVEIKDSDLFTSAIITNGALPDEELRRAVTQTYESAKECIQINYYGAKRTFEYLLPLLQLSDSPRVVNVSSGAGKIESVSNEWAKGVFSDVENLTDERIDEVIKEFIKDFEQGSLERKGWPRFIAPYTIAKASMNAYTRITAKKYPNFCINCVCPGYVKTDITANTGFFTVEEGAAHPVRLALLPSGSPSGHFYVRNEASSF >RHN68145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33189990:33191322:-1 gene:gene16451 transcript:rna16451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MRFIHYKGIKSYFICYMQMEKNMTKTLKFIYVMILFLSLFLVAESFFVDIMCKVHEDCPQKSTHKYYCVDDKCFLYYWEGKP >RHN67141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24288777:24290405:1 gene:gene15290 transcript:rna15290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MFSRIFELSDNKMATVAEMEHFRWGEIGEAWKWCRPLRAWEEDQLRECSTCLNSIILQDDVTNRWRWNVHTTESYTVNNAYNFLQQTSSQYINEDDFHVFWNKDVPPKVNLFVWRLLLNRLPTNYVTPRFSNIIFFSINQSKTP >RHN50008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3118049:3122610:1 gene:gene34254 transcript:rna34254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MRQYDEHSDKSNVADRRHAYRSFSCTGRDDFLSGDVNMVDQLMDNPFLSASRGIGSGGVRRGWDPVKFFASSFGYAWTWIPWTEEEHKLFSVGLQKVDKGDWRGISRNYVKTRTPSQVASHAQKYFLCRSLYDATKSRNGSPISVNKYEQYLSAVAFAVTTFGTVALMSGFFT >RHN50188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4934236:4936238:-1 gene:gene34450 transcript:rna34450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone-7-O-beta-glucoside 6''-O-malonyltransferase MNTQIYQPLLFLIPFFQISNTLFLLVGNITWPQDSSHPIINYVPSDSIPLIVAESNENFNLLCSNFCEVEKKQHLIPSLEISNEKASIISLQVTFFPNHGFCIGITTHHAAVDGKSSTLFMKAWSYFCSNLEKNTPSLSLPQHLTPFFDRSIIRDPVGINEIYSKGWLNFGGETNNRSLKVWEIISATKGETIKGSPRFEVYSFDFGWGKPKKVDVTSIDKTGAFSLSENRNNDGGV >RHN54631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10899318:10906559:-1 gene:gene29700 transcript:rna29700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxymethylglutaryl-CoA reductase (NADPH) MGVQQKISKNEKKNQKKQEDSQSILYLTNVVFFGLFFSVAYFLLNRWREKIRTSTPLHVLTISEILALVSLIASFVYLITFFGIAFILHYDEEEEDIAAKTTQGVLPKKLPTLPPPKISDQKVMSMEDEEVVSAVVSGSIPSYSLESKLGDCRRAANIRNQAVERVTGRSLEGLPMEGFDYDSILGQCCEMPIGFVQIPVGVAGPLLLDGNEYTVPMATTEGCLVASTNRGCKAIYVSGGASAVVLRDGMTRAPVVRFNSAKRASQLKFFLEDPQNFDSLSHTFNKSSRFARLQSIKATMAGKNLYTRFTCSTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVIGISGNFCSDKKAAAVNWIEGRGKSVVCEAVIKEDVVKKVLKTSVESLVELNMLKNLTGSAMAGALGGFNAHASNIVSAIYLATGQDPAQNVESSHCMTMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKETPGANSRQLATIVAGSVLAGELSLMSAIAAGQLIKSHMKYNRSNKDVTKVAS >RHN42050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35078111:35082408:-1 gene:gene48440 transcript:rna48440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin-conjugating enzyme E2-binding protein MGSEGEVENKKWRYTWEAQSHTPNLRLLLFPFPISKTLIPSHNLTVHLHSPPTFLNLTSTTTTTDLFFRVPIPNVLLDADFPPTVRSFTDHIEVKLLLLLPVDHPVLSALHQTSPLPQPFSMDYDVDKLSSAGEVEFVCRSCHYGLTKKPIRNFVEMPSANWREIADNWFGTCCCSFGGISEKLVTRYVNSYTCAQGMCLLSSTSVTFCKDDLVESEFPERCGQVHECSYVADAVSEDTGNFGLNEERTSTCSDAGEANGAFDENATVAHHVNGQLSVNSNEVANNELDCSDFARIRLDLNDNKDVTNIHSCCAHTTSTLVEEDGEHHLSTNARKIETVEMVGNQKTFLNGFLEDVFMARSSNLSKDIYWHEFTCPQCTTVLGAYPCCEGCAPVDGGVRLFKCYISTCVPVLESGDIFSKYTVEKMFANRLTECANDESTFRFVIRDLTTKYPVLQIILLNPDTWSCSGDCTRAEDKDPALKLQLRPVIKVLFSDSKAATESQLRMIEEWAAKNSAEDIFMLTYQIQDLVDSLMSAKDSYPPSCASLHGLILSSLQR >RHN60279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24574231:24574744:1 gene:gene22564 transcript:rna22564 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVNLFDFDVCWNLFDFGVCWNLFDFWCWLEFCLKFLSVLPKTHTIFFVIFGLDLKKGWKSRKLGFVWFEGLI >RHN64428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59017146:59022026:-1 gene:gene27244 transcript:rna27244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative YTH domain-containing protein MFNEGAPEFVVDQSMYYPAPTNYGFYCTGFESPGEWEDHHRIFGVDGPDIQYTGAQDESFPYVYYTPNYGFAQSPYNPYNPYIPGAMIGVDGSFGGEQQYYSLPNYQNPISSPTYIPLVQPDNFHHSSVDSLYDNSASVNRLDGRGSKHKFNSASAAFTRNSSKPSSNQTSSFLRVPEGPRANALAKKDSTNGSVPNSGFLNFASSPSHLARSADVSFQSVDTFSNGNVLPHRNQFKVAAPLSNGFSDYGSNANGQSAIAKLRPKVHVAPIDVNRSGVLLGEQNRGPRISRPKHHLPIKAYTAKSGDANTQENIIYTDQYNREDFPIDNEIAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLQSAYEDARRKATGKSGGCPIFLFFSVNASGQFCGVAEMVGPVDFNKDMDFWQQDKWSGSFPVKWHIIKDVPNGNFRHIILENNEFKPVTNSRDTQEIMYRKGLEMLKIFKNYTLKTSLLDDFIYYENRQKVMQEEKTKFLMKGFVSIPVLAPPRKLDNVFEIHPASDEKNSKTDELGSVKHTSVSTAAHNVNSSDVTSTTSVDEKVENDAVDKQDISSVLKIGSVTITPRQVETKPSNTEPIDVVTVGSMQVRVNGFSESSGVLKVGSIPLDPRSLQAGKGTTYIKT >RHN82219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52074123:52074530:1 gene:gene6364 transcript:rna6364 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWRALRDQPRYGSQVGGNVDSGSSGSKRSYEDSVGSSARPMGRDAAKKKGKKKSKGETLEKVEKEWVQFKELKEQEIEQLKELTLVKQQKNKLLQEKTQAKKMKMYLKLKDEEHLDDRKNELLGKLERELFEN >RHN53965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5800486:5807995:-1 gene:gene28940 transcript:rna28940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MAMTQFISIFFLILHLPLFTLSINPNNLLFFPNTRNASRPAMILPLHLSPPDSSISSFNPRRQLQRSESKRHPNARMRLYDDLLINGYYTTRLWIGTPPQRFALIVDTGSTVTYVPCSTCEHCGRHQDPKFQPDLSETYQPVKCTPDCNCDGDTNQCMYDRQYAEMSSSSGVLGEDVVSFGNLSELAPQRAVFGCENDETGDLYSQRADGIMGLGRGDLSIMDQLVDKKVISDSFSLCYGGMDVGGGAMILGGISPPEDMVFTHSDPDRRCFRDGNSPYYNINLKEMHVAGKKLQLNPKVFDGKHGTVLDSGTTYAYLPETAFLAFKRAIMKERNSLKQINGPDPNYKDICFTGAGIDVSQLAKSFPVVDMVFENGHKLSLSPENYLFRHSKVRGAYCLGVFSNGRDPTTLLGGIFVRNTLVMYDRENSKIGFWKTNCSELWETLHTSDAPSPLPSNSEVTNLTKAFAPSVAPSASLDNFHQGELQIAQITIAISFNTSYTDMQPYITKLAGFIAHELDVNTSQVRLMNFSSLGNGSLSRWVITPRPYADFFSNTTAMSMISRLSEHHMQLPATFGSYKLLNWNAESSSKRTWWQQYYWVVALAVLLTMLLGGSALGIFLIWKNRQQAEHSYKPVHVAVPEQELQPL >RHN46365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31016556:31018163:1 gene:gene40847 transcript:rna40847 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MSGGEESTTTKYTSVKHDYDTADKKTDSGKAPKFNGDPEEFSWWKINMYSFIMGLDEELWDILEDGVDDLDLDEEGAAIDRTIHTPAQKKLYKKHHKIRGIIVASIPRTEYMKMSDKSTAKAMFASLCANFEGSKKVKEAKALMLVHQYELFKMKDDESIEEMYSRFQTLVSGLQILKKSYVASDHVSKILRSLPSRWRPKVTAIEEAKDLNTLSVEDLVSSLTVHEMSLNEHETSKKSKSIALPSKGKTSKSSKAYKASESEEESPDGDSDEDQSVKMAMLSNKLEYLARKQNKFLSKRGSYKNSKKEDQKGCFNCKNPGHFIADCPDLQKEKYKGKSRKSSFSSSKFRKQIKKSLMATWEDLDNESGSDKEEADDDAKAAMGLVATVSSEAVSEAESDSEDENEVYSKIPRQELVDSLKELLSLFEHRTNELTDLKEKYVDLMKQQKTTLLELKASEEELKGFNLISTTYEDRLKILCQKLQEKCDKGSCNKHEIALDDFIMAGIDRSKVSSMIYSTYKNNGKGIGYSEEKSK >RHN78199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12706897:12711146:-1 gene:gene1732 transcript:rna1732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSSCGREGVVRQYVRSKVPRLRWTPELHRCFVYAIETLGGHQKATPKLVLQLMDVKGLTISHVKSHLQMYRSMRGDSCKQDRTTSVHKKQSFQEHDDDGFGDHESLGMESDSLFSYRNFSPKRARIEKRNSLSGILECRERICEEVPNPYTTFYDYVQDMVQQQQKGIKEICFVGPIWHTPQPQYCTIFPVLPKQETDLLQVTKLNEKKHVNEIGKTKSVRAEDEEDIRGCELSLSISLPHISPQRSNASSANDMRNSGFSNYMGCSTVQKNITNLDLSLAI >RHN49127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52624716:52625438:1 gene:gene43922 transcript:rna43922 gene_biotype:protein_coding transcript_biotype:protein_coding MTISLIQNQKRSNNMNMNTNPLFRRDSFGYYSHEHHNYTLMEKRQLFLRSYQFSRKKSFSERIKGSFVRLRKVVIRSARKLRRLEFSVFKIKCGFYYRRYHNKFRKSQFSSCLY >RHN42312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37335262:37338551:-1 gene:gene48740 transcript:rna48740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLDNTVNSSSDATTAFALSENGGANNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETTQGLKKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCDKCNKGYAVQSDYKAHIKTCGTRGHSCDCGRVFSRVESFIEHQDACTIRGKHQPEFQATTMQPACSSRTASSTSPSSEANFSISAPLQGLPVLPKPPDKPLSAPTLLTNSDIHNKPSTSHHHHNLELQLLPSSINPQVEKSNSNDQSYETHLKLSIGNNESEKQRNDVSTLELARLKEFTSEELKLAMAEKAYAEEARKEAKRQIEIAEVEFENAKRIRKQAQDELGKAEALRKQAIKKISNTVMEVTCQACKQQFQSSTSGVPSEETSIVMGYMSSATTEGEAE >RHN52016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30386203:30387155:1 gene:gene36627 transcript:rna36627 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKTPNVGYGMRKFFFEKTIHLNSFLLKPMIIYRHPAKLDFIIGYNMKI >RHN40095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13208478:13219201:1 gene:gene46196 transcript:rna46196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGRLYEFTSSSILETIERYRSHTRINNTPTTSESVENTQQLKEEAENMMKKIDLLETSKRKLLGEGLGSCSIDELQKIEQQLERSISKIRAKKTQVFREQIEQLKEKEKTLVAENAMLAEKYGNYSSQEATKDQRENIVEAETYADQSSPSSDVETELFIGLPETRTRRISPKV >RHN75199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40124209:40124601:-1 gene:gene11369 transcript:rna11369 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKGNKTSTILSHILYANDVMLFCKGTSSNIHILSEFFARYTHITSQVINPIKSTIFCWFHISIKISSHN >RHN53084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41909678:41912071:1 gene:gene37837 transcript:rna37837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MAHLILDPVMTNSTGFILLLVFLFGVTILVHAQQQTGFISIDCGGPENFEYTDEDTKIKYVTDGSYIQTGVNKNISSDYAYPKNPNLPYPLSDLRSFPHGNRNCYRLIAGTKGSLHLIRASFLYGNYDGENKLPEFDLYVGVNFWSSVKFKNASEQVALETISMATSEETNVCLVNKGKGIPFISALELRPIDNSIYKTEFGDSASLLLFKRWDIGSFNGSGRYQDDVYDRIWFPLKSSSWKSISTSSKIDVSGDGYKPPFEVIRNAATPRNENDSLEFSWISDDRNLKFNVYLYFAEVEKLNKTQLREFDVSWNGSPLIKSIIPRYLQATTVFNSKSLVANEHRISIQKTEDSTLPPILNAVEIYVVRQLDALPTSEEDGMTCCNI >RHN39315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5921378:5926084:1 gene:gene45325 transcript:rna45325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-fructofuranosidase MTILSTMWLMPLFTFIYVNGILPIEATHHVYRNLQSLSSDDSFKQPYRTAYHFQPPKNWINDPNGPLSYKGLYHLFYQYNPKGAVWGNIVWAHSVSTDLVNWTPLDPAIFPSQPSDINGCWSGSATILHGNKPAILYTGIDPMNHQVQNIAYPKNLSDPFLREWIKSPKNPLMEPTSENKINASSFRDPTSGWLGKDGNWRIIIGSKRNTRGIAILYKSKDFINWIKSKHPLHSAKGTGMWECPDFFPVLKIGRFGVDTSLNSDDVRHVLKVSLDDKKHDYYLIGTYNDEKDKFVPDKGFEEENIEQILRYDYGKYYASKTFFDDGKNRRILLGWVNESSSVADDIKKGWSGIHTIPRTIWLHKSGKQLIQWPVVEVEKLRVNPVKWPTKILKGGELFPINGVTAAQADVEISFEVNNIREAEVLDKWTDPQILCSQKGTSVKSGLGPFGLLVFASKGLQEFTSVFFRIFIYQQKNLVLFCSDQSRSSLNKDNDMTTYGTFIDVDILHEKLSLRSLIDHSVVESFGGEGKACITSRVYPTLAINDKALIYAFNNGTTNVKITRLSAWSMKKAQLNGNL >RHN68914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39583470:39586241:1 gene:gene17335 transcript:rna17335 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLDFEGLVRKEFAGDDQGEEERMASEKRRERYNENSDFHSEK >RHN40316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15253232:15253462:1 gene:gene46459 transcript:rna46459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal peptidase I MLPTMDSSRSFYLFETISPRFGKIARGDIVCLRSPTNPRESYVKRVIGLEGDSITYVADRGNGYKHEAVLVWSISC >RHN43548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46755248:46756772:-1 gene:gene50149 transcript:rna50149 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGHFKLLKRKLDGTVVQIGDDFSDFSLSSPATKIRRLDPELPPIVEEEGESLPVPNDERALVLFKPMNLHSPSDFSLTLSSDLISHIKNNQLSWSKQCDYSDNQDQNEEDNRRLAIVPWVPQPSTSCSEDKNNSNTIELMEADEMGEEQEDEGAMMDVEQEDNNSSNYNYPAMLNLHHQAEGFQQHCFLPQIPQNTSTPITWTR >RHN73774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19813362:19817064:1 gene:gene9636 transcript:rna9636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Transposase-associated domain-containing protein MDRRWMKAYRLSAEYDNGVTEFLQFAEKNLPNTEGLFPCPCVSCGNRDPKLSKEEIRGHLVSVGICQNYTQWIWHGETMLPSVSQREEGSVDMDDRLEDMIRNVGEESFKKAHVRMIIYVNIRNNLCTQDARSFPGCQLC >RHN55591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19832791:19834834:1 gene:gene30793 transcript:rna30793 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSLSLWRSGGARHLLSYSLRSQSLSELSLLKQHIEAQAKELDHRMHRVEELEEKERVANENIEGLMMDIAAAEEETNR >RHN68986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40086242:40086865:-1 gene:gene17412 transcript:rna17412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MLKKKFSLGRQKIPIEKIPKKSHLQVTFSKRRSGLFKKASELCTLCGVEIAIVVFSPANKAFSFGHPEVESIIDRYLSRNPPQESSSHQLVEAHRNANVRDLNVQLTQLLSHLEIEKKQGEEIDHVRKARQMQFWWESPVDELGLNELLQLKVSIEDLRKNLGKIASKCMMEQSNVSSSNIGANGFLGVGINIASPLPNSYYLGFRI >RHN78135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12181974:12182424:-1 gene:gene1656 transcript:rna1656 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRNEKHVGPKRKKGGSKKVANQLIEGNTSSSVIDEMNYVNQHNSILQRIAEAMSQLEKKRKENRENELNLLVMGCIQNKNMLANLRTAEDLNDFAKVVDKKQNEIDTKIVELNRSDVI >RHN48217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45864749:45867382:1 gene:gene42907 transcript:rna42907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arabinose-5-phosphate isomerase MQCNHILQTKQSIFHSFFFSKISKMGSLPHLPNGVSPKQSLNDLIDETTLTDLFKSQQNHLNFFFDRIDHSQTLSFTRALLNTTGTVFFTGVGKSGFVAHKISQTLVSLGIRSSFLSPVDALHGDIGILSDSDVLVLLSKSGATEELLRLVPCARAKGARLIAVTSVEGNALSAVCDMTVHLPLERELCPFNLAPVTSTAIQMVFGDTVAIALMAARNLTKDEYAANHPAGKIGKSLIFKVRDVMKKDEELPICRESDLIMDQLVELTSKGCGCLLVIDDNRRLIGTFTDGDLRRTLKASGEAIFKLTVGKMCNRNPRTIGPDAMAVDAMKKMEAPPSPVQFLPVIDDDNVVIGIVTLHGLVSAGL >RHN71703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2053274:2054578:1 gene:gene7331 transcript:rna7331 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGRAGGGGGRSGKSGRAARRSRPTPGPYGAHSIPPHRGDSNDRNGAPPPAPPQNSNGGTFFGTMAEGMRVSRSGFWGWTSMVDRAVDAVFCPRTIRNEKVVTGSPAPAPAPAPNAKSLSSDACDIHSKAFLDCINSNENEISKCQFYKDMLVECRRKLSA >RHN82424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53641415:53642463:-1 gene:gene6589 transcript:rna6589 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQTIPMRFPRYFSGSSSTHIPPPPPPPQPSQPYLYSSPTRPVSFPTHLIPQHPMNEYHVGHVMSSSHHQQYHNMNYVAGAGGGESSYTCIGAPVRQGFIPGSSGGKDHHQDMEGTLNWGRSYSGGGGGGQQHRLDTNTPNSAALINRFQDGF >RHN62729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45480324:45484114:-1 gene:gene25331 transcript:rna25331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MMATAVGTTFDIIILGASGFTGKHVLKESLKFLNNNNNLNSIAIAGRNATKLTQTLQWAARPDPPPSIPILIADTTDPLSLRSLCLKTRLILNCVGPFRLHGEPVVAACVQTGCDYLDITGEAEFMDRVEIRYHETSVKNGSLIVSACGFDSVPAEMGLLFHLKQWVGGCLPNKVEGFLSVESEKRVVGNFGTFESAVLAVADLKKMRQRRDAHAIKRPKPVIPGPLPKGEIIERQKKIGLWGITLPSADATVVGKTFSVLTENPEGLPGLNESPKTVEKRKVFWSSVRPVHFGVKIGSKSLLGIFAYITFGIILGLFGSISLGRWLLLKYPSIFSLGGFSKQGPSEEEVKSASFKMWFVGHGFSSESLAAKADSKPDMEVITRITGPEMGYVTTPIILIQCALILLSQRNNLPKGGVYTPGIVFGSTDLQERLQQNGISFDVISKSKLSS >RHN63041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47880327:47884172:-1 gene:gene25693 transcript:rna25693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-transporting ATPase MIGVQPSDYVVPDDVKAAGFQICAEELGSIVEGHDVKKLKFHGGVDGIAEKISTSTTTGLGGDSESRHRRQELFGINKFAETELRSFWIYVYEALQDMTLMILGVCAFVSLIVGVLTEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKISIQVTRNGYRQKMSIYNLLPGDIVHLNIGDQVPADGLFVSGFSVLIDESSLTGESEPIMVTTQNPFLLSGTKVQDGSCTMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLVFAVITFTVLVKGHLSHKIREGNFWRWTGDNAMEMLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNRMTVVKTCICMNSKEVSNSSSSSDIPDSAAKLLLQSIFNNTGGEVVYNKKGKREILGTPTETAILEFGLSLGGDSKAEREACKIVKVEPFNSEKKRMGVVVEQPDGSVRAHCKGASEIILAACDKVIDLNGDVVALDGESTNYLNSIINQFANEALRTLCLAYMELENGFAAEDPIPASGYTCIGIVGIKDPVRPGVKQSVAECRSAGIVVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKTQEELFELIPKIQVMARSSPLDKHTLVKQLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVARWGRSVYINIQKFVQFQLTVNVVALLVNFTSACMTGSAPLTAVQLLWVNMIMDTLGALALATEPPTDDLMKREPVGRKGDFINNVMWRNILGQALYQFVVIWFLQSVGKWVFFLRGPNADIVLNTLIFNTFVFCQVFNEINSREMEEIDVFKGIWDNHVFVAVISATVVFQIIIVEYLGTFANTTPLSLVQWIFCLGVGYMGMPIAVRLKQIPV >RHN81324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44874386:44875077:1 gene:gene5342 transcript:rna5342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain-containing protein MLSTGRILSRPLSLDNLGSFVTSFSFEIAENPGHQLTDGLIFFIAPQDTVIPPNSESQYFGVVDSKNAYNQFVGVEFDLYPNSFDPYIYHIGIDVNSIISTKIVEWDWEWVSESLNQVSIAYDSPSNTLSVVVIHANGKIVTIADIVDLKTVLPNTVRFGLSAASVTGIAHDIHSWSISTSELKTTTSSASNNSLFLNKDPASKQLV >RHN68018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32189767:32190240:1 gene:gene16295 transcript:rna16295 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYYIIQCCVHMETNYSEGVYHVHMYQWLFCLNYLFLALLSIIGLALFLLL >RHN45803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25769729:25770407:-1 gene:gene40209 transcript:rna40209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRGESMAKIVMLVFVMIIFIFPFVVATSSQLFGVCFEDLDCMFWTCAPLTNPKCGFFDGIGWRGEQPGECYCI >RHN61217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33931046:33933477:1 gene:gene23657 transcript:rna23657 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKMGQNNNSIAEVMVDSQYLNVLSFLIYLASIYMSTATFFLSI >RHN39740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10148388:10156888:1 gene:gene45801 transcript:rna45801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MISCALRVRSRRDKMLMYLKKRIILFSKKRLISISYFTTKLSPLFTSPPQPVSTMQSAAAETMNPAAVQSAAAEKHGRSRKKKKMNNVNTSSSPSSGKKRKGDDDDLRMEKPNKKSKGKVQLSNDNEVIAAAGENLTVAGGGDVGSGKVAVTASFLSDSRFDECSVSPLSLKGVKDAGYEKMTIVQEATLPVILEGKDVLAKAKTGTGKTVAFLLPSIEVVVKSPPSDPGQSQTPILVLVVCPTRELARQAAAEATKLLKYHPTIGAQVVIGGTKIVSEQKRIQKNPCQILVATPGRLKDHIAKTAGFASNLMGLKTFVIDEADRLLDMGFRKDIEEIITSVPKQRQTLMFSATIPKAVHQVCNVALKKDYEFINTVQEGTEETHSQVRQMHLIAPLDKHFSLLSVILKEHIADEVDYKVLVFCTTAMVTSLVASLLGKLDLNVREIYSRKKQGFRNRVSDEFRKSKRLILVTSDVSARGVDYPDVSLVVQLGIPADKELYIHRLGRTGRIGKEGKGILLLAPWEEFFLASTKDLPIEKAPVPSVDPDTEKKVEMTLSNVKMRFKKPAYKSLLGYYSTHEKCEKFKKKFGELANELSRCMGLDTPPAVSRLLLSKWGLENVPGLCSK >RHN47348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39044935:39059485:-1 gene:gene41938 transcript:rna41938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclear cap-binding protein subunit 1 MNGWRSLILRIGDKSPEYGTSSDFKDHIETCFGVLRRELDNSQTEILEFLLTCAEQLPHKIPFYGTLIGLINLENEDFVKRLVEQTRSKFQDALDTGNCNGVRILMRLMTVMMCSKALQPNSLVDVFEIFLSSAATTVDEEKGNPLWQPCADFYITCILSCLPWGGAELVEQVPEDIERVMVGIEAYLSIRKHTSDSGLSFFENDDEIERDLNNKDFLEDLWDRIQVLSSNGWKVESVPRTHLSFEAQLVTGKSHAFGTVSCSNLPNSPYVPSGVSFGKEKHEAELKYPQRIRRLNIFPSSKMEDLQPIDRFVVEEYLLDVLLYFNGSRKECASFMVGLPVSFRYEYLMAETIFSQLLMLPQPPFKPAYYTLVIIDLCKALPGAFPAVVAGAVRALFEKIADLDMECRTRLILWFSHHLSNFQFIWPWEEWAYVLDLPRWAPQRVFVQEVLEREVRLSYWDKVKQSIENAPGLEELLPPKGGPNFNLGAEDGKENNEHLLSGQLNDMVKGKVPVREIISWIDESVFSNNSLEVTLRVVVQTLLNIGSKSFTHLITVLERYGQVISKICPDEDKQIMLIAEVSSFWKSNTQMTAIAIDRMMSYRLVSNLAIVRWVFSEENVEQFHTTDRPWEVLRNAVSKTYNRISDLRKEITSLKRNISSAEVAANEAKAEVDAAESKLALVDGEPVIGENPARLNRLKLRAEKAKDELVSIQESVEAKEALLARATDENEALFLLLFKSFSNVLTDRLPKGSGARTLREWKSTQVEEMAVDPEESSTMELDNENQIPQNSQSNGGKKSAAYNVGEKEQWCITTLSYVKAFSRQYATEIWAHIEKLDAEVLTEGAPPLFRSAVCFGLRRPINEA >RHN39317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5941267:5941792:-1 gene:gene45327 transcript:rna45327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGHHSCCNKQKVKRGLWSPEEDEKLIKYITTYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSHQEAALILELHSILGNSNCLENLNSVS >RHN45704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24702156:24702613:-1 gene:gene40087 transcript:rna40087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAD/NAD(P)-binding domain-containing protein MQGTKPIGGFWTVNLLKKYEQERKPANVTMMAILDGFQKAYSIDFGPFNFLRGAAFSGANFVSPLKRSIISYASGERKLPIFF >RHN43662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47582875:47590517:1 gene:gene50272 transcript:rna50272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MNTTRTQILRYTTAFITGVIHQSQLRRHLIETLHRETPITNQVTLKQLNLASEALESAVSNSSSAIRSSSLSLAEKLLLPLPEYPLSSFLLSLIQTLRNHAEESAIILLRIFHSNPSLARSEIAPSLYEHLFCFHLFPVFRWFDEQRTRILPSASETPSRDGDTGDYSVSNDLFMPYAKLLSSVSSDQALKLKELEKEYEEVLDENCRVLAVYFKEVLVNKDEDSTISPPLLILKNAAGSGSNDRGGLHRKEEMKMPQLENGRYNPMWSERDTSVEFLSSSSSSISSLALLYPQRVSPRVLHPLKSSKYWTTPAYLNSAPETQFSLDENLLCSSSDSEAENEEKDKNVALLEPRQSQSQNKEQIQTIFKESRGSPDYPMADYENTPPHGSGKQTPPKDFVCPITSNIFDDPVTLETGQTYERKAIEEWFNRENITCPITRQKLQNTKLPKTNYVLKRLVASWKEHNPSSVPPTCECPYKDSESVVKTEIPSTSPNSVITQATVDGMIGELRCAINNLYMSEILQESEMAALQIEKLWRGGNLGVDIHSMLSKPPIINGFVEILFNSVEPQVLQAAVFLLAEMGSRDNSVIQTLTRVDTDVECIMALFKKGLTEAVVLLYVLNPSTVTLTEMAVVESLIAVFNKKEEDLVNMCLNPKTAAVLLLGQIIGSSDEIIASSIVKTLFSEKALGAIVGSLGAEWAEERIVAVEILLRCMQEDGTCRNTIADKAELSSIMESFIHANDAERFKIVEFFSELIKLNRRTFNERILHIIKEEGPFSTMHTLLIHLQTALQDQCPVMAGLLLQLDLLVEPRNMSIYREEAIDSLISCLRNSDFPTTQLAAADTIMSLQGRFSFSGKPLIREVLLKRAGIDKGPRSDVQVDHMSNFFSEIEITAEEERAADDWERKIASVLVSHEFGILFEALADGMKSRIPELRSACFISATWLIYMLTTLPDTGIQGAARVCLLKPFVNKLNSAKDIEHRILSMLALNSFLHFSDGLRDLTASYAKDILKGLRELKRFSPLASEMLKVLVDENEPKTDIWRHKEIIQVDCRGNGDVLSVICFKDKIISGHTDGSIKVWTLKDNELLLLQEIQEHTKAVTNLTISEPGDRLYSGSLDRTAKIWSIGKAAIHCEQVHDMKDQIHNLVVTNSTTCFIPQGAGVKVQSMNGESKLLNSNKYVKCLAHAHGRLYCGCHDSSVQEIHLATGTISNIQSGSKRLLGKAYPIHALQVHGELIYAAGSSLDGTAIKIWNNSNYSMVGSLQTGSEVRAMAVSSELIYLGCKGGVVEIWDKKHIKVGTLQLGTNCKVNCMALDSNEQILVIGTSDGQIQAWEMN >RHN73725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19362493:19363359:-1 gene:gene9579 transcript:rna9579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TGA like domain-containing protein MLKSVFNRQKQHTSSSSSSTSRPFKEYYTEWFNTLKNNHLPLLRRSISGDSLTLLSTHVELIHQHFQSYYHTLDAAAITDPSQILNQDWHNSLEKPLLWISDLHPFIFTNLARSFLDDEDNETETNDNSSVSMSSDRPWQIAMAWRNPSETLITRMEQIECGLKSIVPTLNDRLTRAEGCFIKNVVGDWFSCKDRGDNKGKVVLGNDVRVYIEEFVSVVLYANRLRRSVLVDIISAASVYQAALFLEALSMFLIGFKDHDLVHAVEHSKSFSVDHAKDHKDFCRSRCH >RHN76508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50849568:50856944:1 gene:gene12847 transcript:rna12847 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mak16 protein MQHDEVIWQVIRHNHCSFMTKITTGNFCRNPYNVTGICNRSSCPLANSRYATIREDKGVFYLYMKTIERAHTPKDLWERVKLPRNYEQALGVIDKHLMYWPKLLVHKIKQRLTKMTQMRIRMRKLALKTREQIITLPRKETKRESRREAKAQTAAAIEKAIENELTKRLEEGVYADKREVRTEAEVETEKQIELEIVGRLQNVGSQPGEIFNYPTEAYYKVLDMEKLQPADEEDEEEAEVEYVEGYELEEEDDMEDFGAFAIHESRGNDADHENAGSSEDEETEARNQRKAKRKMTLASKKLEKDALDTKSKKTKVLIEVEHDDAYERQRLVR >RHN46030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27909917:27910144:1 gene:gene40467 transcript:rna40467 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S18 MDKSKSKRLFLKSKQSFRRSLSPIQSGDRIDYKNMSLLYRFISRQGKILSRRVNRSTLKQQRLITIAIKQARILS >RHN49940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2494226:2500898:-1 gene:gene34177 transcript:rna34177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MGGGDQKNVYIVKKKKKNGSFKSIFMHADVLDWFFMVFGLIGSIGDGISVPLLLFIAGRLMNSIGSASGASSNNFVHDINKNAVLFLYLACASFVACFLEGYCWTRTGERQAARMRVRYLKAILRQDVAYFDLHITSTSEVITSVSNDSLVIQDVISEKVPNFLMNASMFLGSYIAAFALLWRLAIVGFPFLVLLVIPGFMYGRISMGLARKIREEYNKAGTIAQQAISSIRTVYSFAGESKTIAAFSNALEGSVKLGLKQGLAKGIGIGSNGLVFAVWSLMSYYGSRMVMYHGAKGGTVYSVGISITLGGLAFGTSLSNVKYFSEASAAGERIMEVIKRVPKIDSENMEGEIIEKVLGEVEFNHVEFVYPSRPESVILNDFCLKVPSGKTVALVGGSGSGKSTVVSLLQRFYDPIGGEILLDGVAIHKLQLKWLRSQMGLVSQEPALFATSIKENILFGREDATYEEIVDAAKASNAHNFISLLPQGYDTQVGERGVQMSGGQKQRIAIARAIIKMPKILLLDEATSALDSESERVVQEALDKAAVGRTTIIIAHRLSTIQNADIIAVVQNGLVMEMGSHDSLMQNDNSLYTSLVRLQQTRNDQSDDTPSIMNRDHMEITSSRRLVSHSSSFNSMTHGGDDIVNYNNDVEDTVNNDVAVVDHNNNDHKYNKKRENVEVPSFRRLLAMNGPEWKQACLGCFNAVLFGAIQPVYSFAMGSVISVYFIEDHDEIKKQIRIYGFCFLGLAVISMVINMLQHYSFAYMGEYLTKRVREKMFSKILTFEVGWFDEDQNSTGSVCSRLAKDANVVRSLVGDRLALVVQTISAVVIAFTMGLIIAWKLAIVMIAVQPLIIYCFYTRRVLLKNMSSKAIKAQDQCSKIAAEAVSNLRTINAFSSQDRILKMLEKAQQGPSHESVRQSWFAGIGLACSQCLNYSTWALDFWYGGKLVSQGYISAKALFKTFMILVSTGRVIADAGSMTSDLAKGSDAIGSVFAILDRYTKIKPNDLRGYKAEKLIGIIELFDVHFAYPARPNVMIFQGFSIKIDAGKSTALVGESGSGKSTIIGLIERFYDPLKGIVTIDGRDIKTYNLRSLREHIALVSQEPTLFSGTIRENIAYGAYDDKVDESEIIEASKAASAHDFISSLKDGYDTLCGDRGVQLSGGQKQRIAIARAILKNPEVLLLDEATSALDSQSEKLVQDALERVMVGRTSVVVAHRLSTIQNCDLIAVLDKGIVVEKGTHSNLLSKGPSGAYYSLVSLQRRPNNLISYSSHEIN >RHN43624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47305444:47309327:1 gene:gene50231 transcript:rna50231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA A64-2'-O-ribosylphosphate transferase, protein-tyrosine phosphatase, rit1 MEVSEEEWSKKLSIYKAARSIKKRDNSLYNALRSIYQDSVFIGEISQLWPQLPLLANLRCGLWYSSNFHSTCYFKSTDGHTNNCSFSTSRLNLHVAHLAAQKGGCMIVDSTRKGKRFPDSMSKTIPIWTCVLNRAIAQFIRDFHMDDTSAPQLSDSDWDCSLHLPLWVPLTEKASIEEHLEEWTEQLKASGADIASLSASLKKPLRPLWISQKTVIWLNEVPHHDSWDFTPIILVSASSSSNGVSQHNKTNSEFSWNYIPGAGDDEESWSRGLTPPLFWNHVYDLINSGPDVCNQKVADIVEKGRVRRVYRGESAPQIRVKSLSHEEPSLASDVSNIEVDTKSSEDSEISWLGSTNLAVGASQSATDAADVDCILNCDYESITVCLPSSEAYLHLPIVNSKFDRFSLLNNLPKAVSFAKFNLSQGKRLLVCCNDGEDISICVCLAILMSLFDEKGTFDDGKSFNTTHVTKWDMRRRLVFVCKFATNARPCRGNLRQVFNFLIGGKCILQPEEDG >RHN80978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42367690:42369478:-1 gene:gene4965 transcript:rna4965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MDDILKGLCGWSWKENKLFELALALVDESHPERWEMVAAMVGGEKSAGEVQKHYVILLEDLELIESGKFDHKLGEDNTCVIVDLTESLCLSDK >RHN56113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27886742:27888828:1 gene:gene31456 transcript:rna31456 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTFQRVQGLELQPARIKVGLANRKIARPYLMVENVPIKVNNLSFLMEFFILEMKEYCFIPILLGRLFLATTRANIDVDKKELENEVGKNTRFLNFRQ >RHN58247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:247342:250356:-1 gene:gene20136 transcript:rna20136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, BPI/LBP/Plunc family protein MESKNSKEMIDIEKLPDHVMIEIFIRTEVSDWTQISCVKKQWASLFRTECFWQAALSHIYPFTNPSQTWPGPIPPGLARRRFMALHISQQIFASDPDIQVDEIVGHSYLFLKEQLQLSIMPPHGGILHGTMIDQFIACGKSRDVAHELVSRIWLAVLDNLEENQHTFCLLKRLAHEGDVFLPYPYTRSVKVQWRVFEKLFTDFRDCFNHVDYYDMLACAKSRFQPIPSAWLGY >RHN78221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12908100:12908504:-1 gene:gene1755 transcript:rna1755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding pseudobarrel domain-containing protein MSNPISLKFPNGSECKMFWIQIGDAVWFLNWKRFARSLRCGDLLVFQYKGGSNFYVIILDDSKLEIDYSSMQCNNDQDSNKHHKQEEESDDDDCVEILNNIATTFVAPLGTNIDKRKINIATKQKVSGKFNYLI >RHN38483.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000010.1:507917:508824:1 gene:gene50681 transcript:rna50681 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTTKLTLKLLIDTKNERVLFAEASKPVIDFLINMLCLPIGNVVKLLSKNEMVGSLGNLYQSVENLNHNYMQSDHQTKDILLNPSAPTWSIEIFHLFPPLQKALRTSSGVSDIILTAELDDEDQEEDEEYDEDDDDEEEEETEENDEEAMGGETLLYVCPKKCSYDVTCDKTTLCSRCKKPMNRETRCVGKKFDEEYLSINNGFVKEVVTFMVMDDLSIQPMPTLSGITILNKFNIKEMGTLQEMVVEFGVEEASALFLWFKVA >RHN75075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39193800:39194159:1 gene:gene11227 transcript:rna11227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MAESIISDGTAIPNDNGRRNTEPEMEKKWWNKILDIEEAKHQLMFSLPMIFTNLFYYLITLVSVMLVGHLGDLQLAGATLANSWFSVTGIAVMVSNLLFLHYYNILFMYNGINQLQRDM >RHN61709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37695622:37698449:1 gene:gene24198 transcript:rna24198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein MEMLDSVCFCRNSSITFAQSSSPLPQIFSLPQPIPQWPQGQGFASGVVNLGEIEVSKVTRFEFIWSTAILNPKKAVIFYKPVGIPHTFHILGHYCQPSDKPLRGFVLVAKETRIHFSETNVEKLPALRNPLDFELVWSSNAPASLEISSGCGYFWLPEPPEGYKAVGYLVTDKPEKPKLDEMCCVRADLTDKCEPYHVKFAVASKIPELSFQLSSSRPCDRGMLGKGVCVGTFLCSSGLSNGEENPIACLKNLNAALQSMPNLQQIHGLINHYGPTVFFHPEEIYLPSSVDWFFSNGALLCRKGMCTGEGIDATGSNLPSGGSNDGQFWIELPSDDRRDFIKRGDLNSAKLYVHVKPALGGTFTDIAMWIFCPFNGPATLKVGIKNIPLSKIGEHVGDWEHFTLRICNFAGELYSIYFSQHSGGEWMDTCDLDYIDGNKAIVYSSKSGHASYPRPGTYIQGSSKLGLGIRNDAVRSNLYVDSSIHYEVVAAEYLENVVAEPNWLQFMRKWGPKIIYDSKTELDKVINALPRMLQYSMRDLINKLPVELYGEEGPTGPKEKNNWIHDERW >RHN51313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16087667:16094390:1 gene:gene35731 transcript:rna35731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MAGRNDAALAAALQAVAQVVGQQPNVNAGANAEARMLETFMKKNPPTFKGRYDPDGAQTWLKEIERIFRVMQCTEDQKVRFGTHQLAEEADDWWVALLPTLGQEGAVVTWAVFRREFLRRYFPEDVRGKKEIEFLELKQGNMSVTEYAAKFVELSKFYPHYTAENAEFSRCIKFENGLRPDIKRAIGYQQLRVFQDLVNSCRIYEEDTKAHYKVVNERKGKGQQSRPKPYSAPADKGKQKMVDVRRPKRKDAAEIVCFNCGEKGHKSNACSEEIKKCVRCGKKGHVVADCNRTDIVCFNCNGEGHISSQCTQPKRAPTTGRVFALTGTQTENEDRLIRGDRA >RHN79199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25722812:25723872:1 gene:gene2936 transcript:rna2936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDPVLQLLETICVYQCSSMIKLVPSSVTFSYMTDLEVTNCNGLINLISHSTAKSLVKLTTMKIKMCNWLEDIVNGKEDETNEIVFCSLQTLELISLQRLCRFCSCPCPIKFPLLEVVVVKECPRMELFSLGVTNTTNLQNVQTDEGNHWEGDLNRTIKKMFCDKVAFGEFVYLALSDYPELKDVWYGQLHCNVFCNLKHLVVERCDFLSHVLFPSNVMQVLQTLEELEVKDCDSLEAVFDVKAHME >RHN71954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3912533:3914062:1 gene:gene7615 transcript:rna7615 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMFITRNAIFRFSKAFPSVPSLPLPKPSRVFVASASNQSDWRNSAESTRKPSTNWAYDHSSSKTKRDAEIADRARETMSEGVDSEDIKQYSRDANEDIKQFARDTNEKTKDAAGSMWEKAKEGTDRAAEKAENAGEKARDYAYEVKDRTNEAAGSVWDKAREGTNRAAEKAENAGEKARDYAYDAKERTKDAAENAGESIRDYAYDAKDRTKEAAESAGEKARDYAYDAKERTKEGASYMADKTKEGAEKTAEKTGEVAGSATEALKSAGEMAKKTAQGAWETAKDATQKIKETVVGKDDDDDDRGSGGSGVVVDDGVHELGSQRYGQSTGYGQSKGYGQTQSKDY >RHN67090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23720897:23726945:1 gene:gene15233 transcript:rna15233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium/calmodulin-dependent protein kinase CAMK-CDPK family MGCLLSKDKDSEPEHNNGGYRYGEHNHRNNHEQVNTSRTAPTSSYQPQIPTKPSSISAPSPKPLIKQDSNTILGKGLEDVKQFYTLGKELGRGQFGVTFLCTENSTGLLYACKSISKRKLVSKSDREDIKREIQIMQHLSGQPNIVEFKGAYEDRNSVHVVMELCAGGELFDRIIAKGHYSEKAAASICRQIVNVVNICHFMGVMHRDLKPENFLLASKDENALLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRRCGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAILEGHIDFESEPWPKISDSAKDLVRKMLIQEPKKRITAAQVLEHPWIKDGNASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENMSEEEIRGLKAMFTNMDTDNSGTITYEELKAGLQRLGSKLSEAEVKQLMEAADVDGNGTIDCIEFITATMHRHKLERDDHLYKAFQYFDKDSSGFITRDELETAMKEYGMGDDATIKEIISEVDTIISEVDTDHDGRINYEEFCAMMRSGNQQQVKLF >RHN67610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28644869:28645991:-1 gene:gene15816 transcript:rna15816 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRPKNNSSPNRINPILLILIIISNKKHRSLRRRKLESGSFMKNILSTFDS >RHN38737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1660791:1661176:1 gene:gene44702 transcript:rna44702 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLKLFLVNVMVPYLLTQKRFDIYNKTVRNVDDLYEKHLYEKHINLDFNLDVWADVSRLFKKRYKKSDEQAKKIGKIGEKLANAYLTEMYNDEVNGVEIR >RHN66271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10226455:10229899:1 gene:gene14220 transcript:rna14220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEERYSYRITKRIAQFHFHHRWYLRFGTIHFLPRSSLSELSTHRKRELTRSPSPSMADWSRLPNELLQLISEKLNFSEIYIIRFRSVCSSWRSSIPNYPHNHSPLKLPIFPYSKEIYRPSQTILYKHNIFLIKPPITPHHHQQQTHTSCLIRIGPNLNGKTQLWHPLSIHQPPFNFPYHVLDFNQLPVFDLGEELYIHDTDLLQNNRYIHLEKVVVVATCQGRQSLAFRTDNCSAGVPFGVPMVLGCGADGWTRIPTMSTSLLGDMCIFKGRPCVADNNGHTVMIGPDSSVHLLANAVFGGYIKFLVKSEHELLLVDCNGIDAGDDDVSIDVFRLDEKEKKWVKLTNLGDRVLFLGEDCSFSASASELCVANGNCVIYSRDYASHGLYSMESGMLDSMESGMRIFHLDQGRVSPLSGYPDYFKLFWSPSDWIVGLQK >RHN53604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2811535:2813523:1 gene:gene28528 transcript:rna28528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ADF/Cofilin, ADF-H/Gelsolin-like domain-containing protein MANAASGMAVDDECKLKFQELKAKRSYRFIVFKIEQQQVVIDKIGGPTETYDDFQASLPADECRYAVYDFDFTTAENCQKSKIYFIAWSPEVSRVRMKMVYASSKDRFKRELDGIQVELQATDPSEMSLDIVKGRAL >RHN58708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4188752:4189577:-1 gene:gene20641 transcript:rna20641 gene_biotype:protein_coding transcript_biotype:protein_coding MWMRCWWCEVVCRPHFWRCEEVAVDPQWLGSIRTFSSGSTTLLSGGL >RHN57382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38579701:38582756:1 gene:gene32921 transcript:rna32921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MGSFFTFHLFLLLLLTHFTSHTFSLCNQHDTSALLHFKNSFSFNTSSKSDIHFWPRCSTFSFKIESWKNNTDCCGWDGVTCDSMSDHVIGLDLSCSNLNGELHPNSTIFQLRHLQQLNLAFNNFSGSLLHVSIDDLVNLTHLNLSHCSLGGNIPSTISHLSKLVSLDLSSYYDWHMGLKLNPLTWKKLIHNATNLRELSLGCVNMSSIRASSLSMLKNLSSSLVSLGLGETGLQGNLSSDILSLPNLQTLDLSSNKYLSSQLPKSNWSTPLRYLDLSRTPFSGEIPYSIGQLKSLTQLDLEMCNFDGLIPPSLGNLTQLTSLFFQSNNLKGEIPSSLSKLTHLTYFDLQYNNFSGSIPNVFENLIKLEYLGFSGNNLSGLVPSSLFNLTELSHLDLTNNKLVGPIPTEITKHSKLYLLALANNMLNGAIPPWCYSLTSLVELDLNDNQLTGSIGEFSTYSLIYLFLSNNNIKGDFPNSIYKLQNLFDLGLSSTNLSGVVDFHQFSNCKKLFFLDLSHNSLLSINIESRVDSILPNLGILYLSSSNISSFPKFLAQNQNLVELDLSKNKIQGKVPKWFHEKLLHTWRDIQHVDLSFNKLQGDLPIPRYGIYYFLLSNNNFTGNIDFSLCNASSLNVLNLAHNNLTGMIPQCLGTFPSLSVLDMQMNNLYGHIPRTFSKGNAFETIKLNGNRLEGPLPQSLAHCTKLEVLDLGDNNVEDTFPNWLETLQELQVLSLRSNKLHGAITCSSTKHPFPKLRIFDVSNNNFIGPLPTSCIKNFQGMMNVNDNNTGLQYMGKSNYYNDSVVVVVKGLSMELTKILTTFTTIDLSNNMFEGEIPQVFGELISLKGLNLSNNKITGTIPYSLSSLRNLEWLDLSRNQLKGEIPLALTNLNFLSFLNLSQNHLEGIIPTGQQFGTFGNDSFEGNTMLCGFPLSKSCKTDEDWSPYSTSNDEEESGFGWKAVVIGYACGSVVGMLLGFNVFVNGKPRWLSRLIESIFSVRLQRKNNRAGANRRRTN >RHN42467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38579931:38580194:-1 gene:gene48911 transcript:rna48911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylinositol-4-phosphate 5-kinase MNWANGDVFNGCWSNGLRHGSGVYRFANGDVYFGNFKSNLFHGHGKFTWWNGTIYEGDWVDGERTGNKFMIPSLVWRFLKRIKSIII >RHN47683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41547968:41553400:-1 gene:gene42308 transcript:rna42308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEIERSMAMGNEEVVMVKEELLDNKSKPRRRRGGIRTLPFILANEVCDRFAVTGFNGNLISYLTQELNMPLVSAANTLTIFGGTASFTPLIGALISESFAGHFWTITIASIIYELGMISITLSTILPHMRPPPCPTQVNCQKANSSQLSIFFISLVLISLGSGGIRPCVVPFLGEQFDMSKKGVASRKWNIFNWYFFFMGIASLSALTIVVYIQDNTGWGWGFGIPTIVMLLSIVAFVFGSPFYRTEKPKGSPMVRLAQVIVAAVKKRKHTLPNDPKFLYQNREIDAAIALEGRLLHTNDYKWLDKAAIITGEESTEPNAPSNFWKLATVHRVEELKSIIRILPISASGILLIAASAHLPSFVIEQARTMDRHLSHTFQISPANMSVFSVVTMMAGVILYERVFIPIVRKFTNNPVGITCIQRMGIGFIINIIATLISAPVEIKRKEVAAKYNLLDDPKAIIPISVFWLVPQYCFHGLADVFMSVGLFEFLFDQAPESMRSTATAIYCIIIAIGSYVGTFIVTLVHKYSGKENNWLPDRNLNRGKLEYYYYLVSGVQVLNLIYFGICFWFYTYKPLEEIAEINKEEDLEQVDTKNLAANLE >RHN52469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35653715:35655296:-1 gene:gene37153 transcript:rna37153 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRLTFIFRELLSVIDTFGNKNRVKPVRVGSMLDSVLKEPTTREERASQFKSPNTDSLLLSLDSGRRLSPPLENDVIVVVPSTSTLVTGLPGLDSTLPHCALHQPITTYHTSLTSDKLPVLEPVHAANVCSSEAQRSVDILQKFWGIILMESMILALSVWQQPRTKRKKSRKIDKFHRRAQVVSTYKLVLKRFPFFGLLAKLCFSPFLLSETFWSPFLDSLINTVIGCRPTPADFNAFLSLYQKRYSRLIQPIKFQVMTRQM >RHN59489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11195111:11196993:-1 gene:gene21520 transcript:rna21520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MFQDKTKITMLMFLIFIINIIIVTSTDLRPGYYSKTCPQAETTVRDVMRNALKKEPRSVASVMRFQFHDCFVNGCDGSVLLDDTPTMLGEKLALSNINSLRSFEVVDEVKEALEKACPGVVSCADIIIMASRDAVALTGGPDWEVRLGRLDSLTASQDNSSNIMPSPRTNATALITLFQKYNLSVKDLVALSGSHSIGKARCFSIMFRLYNQSGSGKPDPAIDHVFRAELDKLCPRDVDQNKTGNLDATPVIFDNQYFKDLVGGRGFLNSDQTLFTFPQTKGFVSLFSEDQSEFFKAFVEGMLKLGDLQSDKPGEVRKNCRVVNARPAHIMSEDKMKNSDLSV >RHN60555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28112184:28114696:1 gene:gene22878 transcript:rna22878 gene_biotype:protein_coding transcript_biotype:protein_coding MVGITLLVDLWRKNQQSFNKAYTNPSSWLFSTSATAASISAGASFASNDFFGFRTPVAYCDAGVATADEHLPGIRTSPGKYFYHDSLKYGVKTYNFELKPLWSAFELRSFGLISLRSFLMFYLPLLEPHAKMEQDHHDLFLDNHDELRSKLTVPFKKSVLQIVREVTVVTTRRILERITFHYGSRKMAWRLIKDVPTSAARKAGRKMPTYIYFFSVSKATCRGNMLGIAASWIVQVGVRIFQFFATKSRNEDGSINKAERNRIFKQKVFLATLRCNASLIFASIGGGIGATLIRPSIGQWIGCTVGDLTGPVIVAVFANRVLHWDL >RHN80170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35832815:35833062:1 gene:gene4060 transcript:rna4060 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLENIQHATAFLQWDKGNINILQTKPSLDFTQSDPGGSLLFIGGVNNEWKTLIRTRTRG >RHN58295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:557708:558145:-1 gene:gene20193 transcript:rna20193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSVQHSNSLKDFVVRPQLKSLNLGMSQWLRDESIIKSLNLGMSQWLRDESIIMFASIFPNLHMLDLSYCYNISKEGIYQVLKRCKIRDLNLTSSRVNLLGMNFEVPKLEVLNLSYTNIDDEKLYVTSKNCRELSRVVLMLEYFII >RHN53974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5900278:5902611:1 gene:gene28949 transcript:rna28949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MHSWSYLSQNSSPTNTSTLNMEQNFLLYIIFSFILLITIKLLFFSRRFKKLPPCPPSFPIIGNLHLLKQPIHRYFHDLSQKYGPIFTLKFGSQFVAVVSSASIAEECFTKNDVIFANRLTSIKTKYLGFNNTNIITSSYGDHWRNLRRISSIEILSTHRLNSFSEIRKDENMRLIQKLSENSHKDFTKVELRPLFAELTFNIIMRMVCGKRFYGNESHDAKNFRDVMNEVQQFGLGSNLGDFIPLFRWFDFSGYHKKIKMVGEKMDALFQGLLDDNRNNRKENKNTMIDHLLSLQESQPDYYSDQIIKGLIMSLIFAGTETSATTLEWAMSNLLNHPEVVEKEKIELDNHIGQEHLIEEVEATKLKYLQNIISETLRLHPAAPMLLPHLSTKACTVGGYDVPQNTMLMVNAWAIHRDPNLWADPMSFKPERFEDGHQGDKHGFIPFGMGRRACPGSGLALRTLSLTLGSLIQCFEWKRIGKEGVDMTEGRGTLLPMAIPLEAQCKARPIINKLFS >RHN82641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55388858:55389363:1 gene:gene6817 transcript:rna6817 gene_biotype:protein_coding transcript_biotype:protein_coding MFNAGSPATAAVVTSLTNHRRRHCCRLFFFQSCKRVMIGSAVARTDPSSVLVGVLNRSIFSVMI >RHN45980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27492185:27500545:-1 gene:gene40410 transcript:rna40410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKPTLGQAQSNWEADKMLDVYIHDYFLKRRLHNTAKAFMAEGKVSTDPVAIDAPGGFLFEWWSVFWDIFISRTNEKHSEAAASYIETQQTKAREQLQMQQLQLMQQRNAQLQRRDPNHPGLGGSLNPMNSEGMLGQPPASALAMKMYEERMKHPHSMDSDVSPNLIDANRMALLKSATSHQGQLVHGNSGNMSAVLQQMQARSALNNDIKGEVNIGGSPKNFPMDSSVYRQSILQSKSGLGSAGLNQGVTSLPLKGWPLTGIDQLRPGLGVQVPKPNLSTQNQYLLASQQQQVLAQAQAQQNSLGNSNYGDMDPRRLSGLPRGSLNAKDGQSNRNDGSISSQMQSGSPKMKMAQSQQSLSQQQEQLQQHQLQQSNRKRKQASSSGPANSTGTGNTAGPSPNSPSSTHTPGDALNTASSMQHVNNVQKSMMMYGTEATGGLGSSSNLLDDIDRFGDVGALDDNVESFLSNDAGDGGNLYGTIKQSPAEEQKESSKTFTFAEFSCIRTRNIVTSCHFSSDGKLLASAGEDKKVTLWNMDSLRTEHTPEEHKLVITDVRFRPNSSQLATASCDKSVRLWDAANPTYCVQEYSGHSSAIMSLDFHPKKTDFFCFCDSENEIRYYNITSSSCTRVSKGGNAKVRFQPGSGQLLAAASDKVVSIFDVETDRQIYSLQGHPEPVNNICWDTTGDMLASISPNLVKIWNLTSGECVQELNSTGNQFYSGLFHPSYATLLVVGGISSLELWNMAENKSMTIPAHENVISALAECAATGMVASASRDNSVKLWK >RHN58758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4549625:4566191:1 gene:gene20700 transcript:rna20700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mRNA (guanine-N(7)-)-methyltransferase MIVAMDLNASPVPEEDEDIFEEEEPVHVQQFVAPEERIESGADIARREREERKRRLKRERPDDNRPVHHSQSPRYDQQLYHAKNPKSYDTSRLPPGWLDCPPSGQEICCMIPSKVPLGESFNDCIFPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTSRYYPVTDLKKEGIKHLKIQCRGRDSVPENSSVNQFVYEVIQFLSRQKQSKKYILVHCTHGHNRTGYMIIHYLMRAMSMSVTQAIKIFSDARPPGIYKPDYIDALYTFYHEKKPEMVVCPPTPEWKRSSELDLNGEAVPDEDDDGVPGPDLQENHETSSQMTNDDVLGDEIPVDQQNAFRQFCYQTLRLGVGARGHTQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITMDGCYLIDRNFNCRRVQMRFPCRSTNEGLGEKTHHFTLLDGEMVIDTLPDSNKQERRYLIYDLMAINHVSVIERPFYERWKMLEKEVIEPRNHERHQIYQSRNPYYRYDLEPFRVRRKDFWLLSTVTKLLKEFIKKLSHEADGLIFQGWDDPYVPRTHEGLLKWKYANLNSVDFLFEVEGDRELLFVYERGKKKLLDGNKVAFKDGTEPSFYSGKIIECSWDFDNLEWIFLRIRTDKSTPNEFNTYRKVMRSIKDNITEEDLLNEINEIIRLPMYADRIKSDSKANQIAHAAKQRR >RHN40679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19313952:19318656:1 gene:gene46867 transcript:rna46867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myrcene synthase MALPILASPPVAFIKTIFPKDRSLSQATWNFNFPRPIQCKASYKVSNDQNQTISRRPSKYQPSIWTDDYIQSLSSEYKEEIYGDQRKVLRETVRMMLCKIENELDQLEFIDVLQRIGVSYHFNNEIRDILDNIYNKQTSKLKKNLHATALKFRLLRQHGYDISTDCFVCFQNELCNFKIGQFVDVHGMLSMYEASFHSFEDETILDEARDFTTNFLKGYLKQNRGNHISLLISHALDLPLNWTISRLEARWFISIYEKQENKNHALLQFAKLDFNILQSKYLEELKCASRWWKGTALGEKVSFARDRLVENFVWTVGANFKPDFELFRIGITKLNALITTIDDVYDLYGTLEELELFTEAIDRWDLNALDSLPYYMQICFHVVYNFVNEISFESLKKNEKYISTPYLKKAWTDLCKAYLIEAKWYYSGYTPTLEEYMANACITIGAYALFTHGYFLMPHSIKREDLVRLGEDSNIIHLTAIITRLANDHGTYKRENETGDIPKSNKCYMNESGASEIEARDYMKSMMSILWKKQNKEAQSSSFSQNFIDTNMNGFRICMFMYQNGDGHSIHDPEIQNRIMSLIFEPIPF >RHN51809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25669987:25671759:-1 gene:gene36343 transcript:rna36343 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFSSKEELYSTKVNTTNMLFPDLYTKEIFHLLVSAYSTISVEDVALFLGMSEDAATSCK >RHN79643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30853901:30860426:1 gene:gene3460 transcript:rna3460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate kinase MPSSHLLLEEPIRMASILEPSKASFFSAMTKIVGTLGPKSRSVETISGCLKAGMSVARFDFSWCDPEYHQETLENLKTAIKGTKKLCAVMLDTVGAEMQVVLTPNQGQEASSEILPINFDGLAQAVKTGDTIFIGQYLFTGSETTSVWLEVSEVKGNDVVCIIKNSATLTGSLFTLHASQIHIDLPTLTEKDKEVISTWGVKNKIDFLSLSYTRHAKDVREARDFLSKLGDLSQTQIFAKIENVEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVLTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICSEAEKVFNQDLYFKRTVKYVGEPMTHLESIASSAVRAAIKVKASIIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESETTTASNESILKVALDHGKALGVIKSHDRVVVCQKLGDASVVKIIELED >RHN81291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44610550:44611867:1 gene:gene5307 transcript:rna5307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MNNYFPMAFPILFLLLISLPFSFSSAELNIDYYKQTCPDFEKIVRENIFNKQSASPATAPGLLRLFFHDCITDGCDGSVLISSTAYNPHAEKDAEINLSLSGDGYDVVNKIKNALEIACPGVVSCSDIVAQATRDLVKMVGGPFYPVALGRKDSRVSEASRTEKALPTTKMTMDDIISKFTVKNFTIKEMVALTGAHTIGFTHCKEFSDRIFNFSKTSETDPTLHPKLAKGLREVCKNYTTDPNMAAFNDVRSPGKFDNAYYQNVLKGLGLLRTDAMLGSDPRTKPIVELYARDEQAFFQDFARAMEKVSVLGVKTGTQGEVRSRCDQFNKIQG >RHN81350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45114326:45131056:1 gene:gene5372 transcript:rna5372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MDLPKHHRPTPTTSLRDTSDSSTGSWDALEWTKIEPISRFVSPANLDFLLDDEQVVAEGHGVVLVNTDDAGVLIVTNFRVIFLSEGTRKVIALGTIPLATIEKFNKTAVKAQSNTHQLDKTPTQRLLQVIGKDMRIIVFGFRPRTKQRRAIYDALVKCTKPTILWDLYAYSSGPSTFKNTSPMVRLLDEYFRLIGKASHHASMDMIESGSFTLSNDLWRISGVNSSYTMCHSYPFALVVPKSISDDEVLQASKFRARCRLPVISWCHPVTGAVLARSSQPLVGLMMNMRSNMDEKLVAALCGNLDDGSKRKLYIADARPRKNALANGAMGGGSESSSNYFQSEIVFFGIDNIHAMRESFVRLREFIDTHGRTSSDGMSSFLRHGGSIWGGGNLSSMSASVSTLGDSGWLLHVQNVLAGAAWIAARVAMEKASVLVHCSDGWDRTSQLVSLANLLLDPYYRTFRGFQALVEKDWLAFGHPFSDRVGTPSISGSGNVSFELSRQSSTGIPSSPMRQPSGTLPPAPSHAHSSGNYSPIFLQWADCVSQLLRMYPFAFEFSAAFLVDFLDCMLSSRFGNFFFNSEKERLQCNVFESCGCLWAYLADRRASEGGLHVHCNPFYDPLKYSGPLLPPAAALAPTIWPQFHLRWACPEEAHSGEIEAQCRKIILKNSEMQKAKEVAERKARENTNAVQSLHAELRREKLLNISAMNTAKRITKENTDIKRAIQSIGCKIHISSSGDCIVDIENNPAGAHPNLYCSSRQGSSSAVINDKKDLYVSVTEDDGDDGNDVIGRICDSLCPFRTKDGGCRWPNGGCAQLGSQFVGLKANFDAFDQLSIDDSYFKPK >RHN52681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37687023:37690124:-1 gene:gene37379 transcript:rna37379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MGEVKLIATPQSFPCARIEWALRIKGVEYEYIQEDLLNKSPLLLESNPVYKKVPVLLHDGKSIAESLVILEYIDETWKDNPLLPLDPYEKALARFWAKFIDEKCIFGVWGACVAQGEEKAKAVDAAIESLAFLEKQIQGKKFFGGEKIGYLDIVAGWISYWLNVLEELGEMELINAEKFPSLHEWGHNFIQTSPIKDCIPPKEMVVEYFSFGINYVRSLASSE >RHN47074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36929701:36930114:1 gene:gene41629 transcript:rna41629 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIIAFDLKEATMAEIALPNDCSRGIYDLLVFHGLISVWNVERSTVKIWVMQEYAVHSSWTTTLDFSFHPPLDFSPICFTNCGDIVGPIAGGGLAKLNDKGQLQEYHSYGDRYFMRSQMAVYIESLLSLPDGTEQA >RHN67464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27480907:27481164:1 gene:gene15656 transcript:rna15656 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKSKKKRVCKKKLGFIQQQQQQEWWKKEEDEPKWVTHYCSDHQILLVGDGDFSFSLSLAKAFGSASNIVASSLDTYGFSLNPI >RHN68294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34372338:34376978:-1 gene:gene16628 transcript:rna16628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MKSPINVDFLKTTNALKIVVFFFITFTFFYLGKHWSDGYQQLIFFTQNSDPDPNQNPNSAVSISPNFNKNFNISTLIDQEKTLTQPPPPSPPPPSPPPSDSVEKFGIVNENGTMSEEFEIGSFDPAMVDDWVNETQVEKEGSESVTKFAIKKFGLCSRGMSEYIPCLDNVEAIKKLPSTEKGERFERHCPEDGKKLNCLVPAPKGYRAPIPWPKSRDEVWFSNVPHTRLVEDKGGQNWISRDKDKFKFPGGGTQFIHGADEYLDHISKMIPEITFGRHIRVALDVGCGVASFGAYLLQRNVITMSVAPKDVHENQIQFALERGVPAMVAAFATRRLLYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRAGGYFVWAAQPVYKHEEALEEQWEEMLNLTTRLCWKFLKKDGYIAVWQKPFDNSCYLNREAGTKPPLCDPSDDPDNVWYVDLKACISELPKNEYEANITDWPARLQTPPNRLQSIKVDAFISRKELFKAESKYWNEIIEAYVRALHWKKIRLRNVMDMRAGFGGFAAAMITQKLDSWVMNVVPVSGPNTLPVIYDRGLIGVMHDWCEPFDTYPRTYDFLHAAYLLSVERKRCNVSSIMVEMDRILRPGGRVYIRDSLAIMDELQEIAKAIGWHASLRDTAEGPHASYRVLVCDKHLLRA >RHN63139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48794193:48796490:1 gene:gene25806 transcript:rna25806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MALSLLCFVLIATFLFMQTLADTSCTDCFVQSHASFYPNSEENGTDTGACGFGSFGATINGGDVSAASSLYRDGVGCGACYQVRCTNSVYCSGNGVTVVLTDQGSGDNTDFILSQRAFSGMAQSKDAATSLLALGVVNIEYRRVSCSYPNKNITIKIDESSSNPHYLAFVLWFQQGKRDITAVQLCETQNFVCKLLDRDHGAVWTSTSPPSGPLTLRMLFSTEDGEDTWIVPVNNIPENWKAGDTYDSGVQVDQ >RHN63727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53563073:53566885:-1 gene:gene26467 transcript:rna26467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAFFGKLGNILRQGVNRKIVLELRPAPSALQAIRCMCSAPTTKLFIGGVSYSTDENSLREAFSRYGEVLDARIIMDRETGRSRGFGFITFNSVEEASSAIQALDGQDLHGRRVRVNYANERPRVYGGGGGSYGNASYGGGAGYAGAYGGSPYGGASSGGGNVDGGYGGGGYGGGSSGPGANYGGSNYSAPNSFGTSNAGSGIGSAGGYDGSGGMEYGGRAQFDSKDCGNVNEDLGGDGDSIHGNFSDGDDVEDSINGNDDTDDFAKRA >RHN47278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38442466:38450247:-1 gene:gene41855 transcript:rna41855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LisH family MNVDRSSLCNCVVNFLLEENYVLTAFELLHELLDDGHDDQAIRLKQYFSDQSLFPADQISRLNSLRVADPQSLFEEKEVATEKLAISDYELRLAQEDISKLKSELQKKTENSNEQSATQLSGDVSVNDGQQIQQQKNTSFTDLGPLKDTERQDLNCAVKEYLLLAGYRLTAMTFYEEVTDQNLDIWHNTPASVPDALRHYYYQYLSSTSEAAEEKFSLLRENEKLLKLNKKLNQEKETLLKNKDLADAQIGTLTKSLEAMQKDIRDKENQVLVLKQSLEHQRKELNDCRAEITSLKMHIEGSFSGNNLAGKEVNNVQSQSLEKYEEEIKKLLVEIESLKEKNARAHEPGNFVSSEMENLQTDDKVIEIHEDQGSISNPGDAVVGAVPNEDAQSSAAQPLNENANNNEDTLPKLVNPANINSAFENIKNDSETNVGQQEVDTGLHEKSDIGLGTVQILADALPKIVPYVLINHREELLPLIMCAIERHPDSSTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTETELLPQCWEQISHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDSASVVREGAARNLAMLLPLFPNVDKYFKVSLTIFASRQSWRRFLT >RHN59851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14023494:14023652:1 gene:gene22014 transcript:rna22014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoserine transaminase MVLSLRMNQHPVIKMGFLYNDIDESNEFFRCHVEKSVRSLMNVPFTLEKMSY >RHN53626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2924498:2927039:-1 gene:gene28553 transcript:rna28553 gene_biotype:protein_coding transcript_biotype:protein_coding MIPDHQRKNHQQVDKFSSETASESISATPPSPIHLFAPPGFQFVYAEWSPPLVG >RHN78310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13960720:13961788:1 gene:gene1851 transcript:rna1851 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSEGNTSTPILQKVKIAENNSEWLWKGNLNNTIYNMFENKVAFGKLKYLALSDYPELKDVWYGQLHCNVFCSLKHLVVERHWKN >RHN38422.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000022.1:1132:2493:-1 gene:gene50756 transcript:rna50756 gene_biotype:protein_coding transcript_biotype:protein_coding MHFIKEYFETTGTKISMRDVPEEMYGGALPVAKSRKTKRKAISKEAYLEEGSEQASKKAKKDKKNKSSSEQLDDSELPTIQEEAQNLNAEEVLENRIRSSKDAANSQAASEQPVIPKKKRKHAIRKLIMEATASDEEEEVTATELVTREVRKKQARDVAALQIAEELAQQANIPTSSITRENVGADAEQVLKAAKEVQGLIASEAGHLLNTAAGSSKATISDSQQGITTSPHTDNIIHVESDSTPSISSDSSSSSSFLNLDDIPLGELYPTINKSPSTASKIHKKLNVNYSTFEPMIPTLDERIGNLAQRRIDVCERLPLDHPFQPSNIQPLNVIQPETNTESQKASEVASKEVTPEDSQQQQLETIPTSKQTVPEQFVSEQPQPETQPQTQTTPEQVVSEQLVSDQQPSSPTNSQSYPESDPMITSDASDVEEEPNNSSSDVIMESVSDQTT >RHN77231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5230150:5232888:-1 gene:gene662 transcript:rna662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine--tRNA ligase MAVRMNCSLQNTLSILSLRSNSNVSHLKSNKPYFHFPSSPRAALFCKCATPTPSNDDEPYVITTPLYYVNPIPPSPPMPLLAFRYLFMISPKFVQLIRLLGKKVIFITGTDEHGEKIATAATVQGSTPTDHCNLISQAYKTLWNDLDISYDKFIRTTDSKHVAVVKEFYSRVLANGDIYRADYEGIYSVSCEEYKDEKELLENNCCPIHLKPCVSRKEDNYFFALSKYQKSLEEILNKNPNFVQPCFRLHEVQEQPDLLNTVSSSWPATLHLIGKDILRFHAVYWPAMLMSAGLSLPKIVYGHGFLTKVLVLGPIYYLMFLLE >RHN54424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9436086:9438773:-1 gene:gene29462 transcript:rna29462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MAMGVGDSERVFRETNDVDDSENMNPMTIEELDVEGDYVIQHDEVQRVEVRNNNDNTILELKRLVQTMASSVATAVTKIEELSYKQLELSSTMMEFQHHNKEMCESLKLMEVAVCLKENDVFGSHVTPNKIGSDAFDMVVGRMDNHISQPMMLWKSNFRRSSNSEPIGSAVLTGITKKLFQSPSHRSKIPVTNPYLQPRPSPVGRGKTRVNEVRPFIPRVMNLTLPRGIKWRFKPTPEMHLSPLEVQVCAYVFHPDQDADEPLMTTHNMVATRADIECLCPGKPIKDVVLSYVAGKTCWVQKNLSHNAVWVMPPTFHEDVFNGATLYELMDNYTRHWMVSFQTLKYIYIPVKNILINHWYLMVVSLKDETVYQLDSYLRAEDIESRRATIKTLAEVMSQMVNSSYFATVFMGCKLDFQNWDIKEARGIPNCGRSNNSLVWLLEWLEMEHSFNHNVHGTINENAVRMRTCMSILLGLHNELRSHLEVKSMTFWETLPH >RHN45974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27451172:27454505:-1 gene:gene40404 transcript:rna40404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin-dependent kinase inhibitor MGKYMKKSKIAGDVAAVIMESPSHATAVGVRTRAKTLALQKSPPNHPDSSSFLQLRSRRLRKVPPPLPPRKDTAPAGNLRLRECSVEKLGNLCAEEENENGDDFGVEGSFGENFAEIDGRDRSTRESTPCSLIRDSSVIHTPGSTTRQRTNHIIQEHMQRNIPTSYEMDEFFALAEKQQQALFMEKYNFDVVNDVPLPGRYEWVPVLH >RHN54613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10768622:10771754:-1 gene:gene29681 transcript:rna29681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MSFGTNKTVAVAALGNQTDHLALHKFKESISSDPNKALESWNSSIHFCKWHGITCKPMHERVTKLNLEGYHLHGSLSPHVGNLTFLTNLNIGNNDFLGEIPEELGRLLQLQQLDLINNSFAGEIPSNLTYCSNLKGLNVGGNNVIGKIPIEIGSLKKLQLINVWGNNLTGGFPSFIGNLSSLIGIAVTYNNLKGEIPQEICNLKNIRRLHVGENNLSGMFPSCLYNISSLTQLSLTENKFIGSLPSNLFNTLPNLNMFQIGKNQFFGSMPISIVNASSLQLLDLAQNYLVGQVPSLEKLQDLYWLNLEDNYFGNNSTIDLEFLKYLTNCSKLEVVSICNNKFGGSLPNSIGSLSTQLTELCLGGNLISGKIPVEIGNLVELILLAIDFNHFEGIIPTSFGKFQKMQYLALSGNKLSGYIPPFIGNLSQLFKLDLYRNMFQGNIPPSIENCQKLQYLDLSHNKLSGTIPSEIFHIFSLSNLLNLSHNFLSGSLPREVGLLKNIDWLDVSENHLSGDIPTTIGDCTALEYLHLQGNSFNGTIPSSLASLEGLQHLDLSRNRLSGSIPDVMQNISVLEYLNVSFNMLEGEVPKNGVFGNVTKVELIGNNKLCGGILLLHLPPCPIKGRKDTKHHKFMLVAVIVSVVFFLLILSFIITIYWVRKRNNKRSIDSPTIDQLATVSYQDLHHGTNGFSSRNLIGSGSFGSVYKGNLVSENNAVAVKVLNLQKKGAHKSFIVECNVLKNIRHRNLVKILTCCSSIDYKVQEFKALVFYYIKNGSLEQWLHPEFLNEEHPKTLDLGHRLNIIIDVASTLHYLHQECEQLVIHCDLKPSNVLLDDDMVAHVTDFGIAKLVSATSGNTSTIGIKGTVGYAPPEYGMGSEVSTYGDMYSFGILMLEMLTGRRPTDEVFEDGQNLHNFVAISFPDNLINILDPHLLSRDAVEDGNNENLIPTVKECLVSLFRIGLICTIESPKERMNTVDVTRELNIIRKAFLAGEININFICLFES >RHN58437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1715471:1716457:1 gene:gene20352 transcript:rna20352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKRKRTNSFNSSQQSISSIITRSKSKAAKFYLPEHCWEHILRLLGDNPLRDDEEDPYKYVSLVCKQFLSITNRLRCYLSVEDHHTPPLLRRLFERFTITILDLSSYDGNLDTLLCEISLFPLKITSLDLSDQPTIPAIGLRYFSQKITTLTSLTCTSIHAIHYTDLVLIADCFPNLEHLALNDCDDISEEGIGHVLRRCCKMTHLDLDGCSNLKMTIHFEVPNLKVLDLSMTKVNDDALFVISKNCRGILQQLLENCHDITEKGVRHVVENCAQLQEINLRNCSNVNSDVLVSLILSRPSLKNVTTPHRYPFNDKEMELLLRQGCNVY >RHN46429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31651950:31653743:1 gene:gene40913 transcript:rna40913 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGWSNSLQTLLQTVDHDYIQSCSIPVVPKELKNSSNEEAYMPRLVSIGPRFRGSREDLLLMEKVKLRSMLSLLHRAGKSGDFETYLDKCSRAIWDLDKQVRSSYAFDVKVDKIELADIMLVDGCFLLELVITKGYDSELPSHMIPPGPFPDVLPSYLNPPVPTPEVLKDEDDLSDLFSHMMPPGPFPDVLPSRLIPPGPAPEVLKDEDVFSGLFSHMMPPGPFPEVLPSRLFPPGPAPEVLKDEDVLSDLLLLENQIPILVLYKLSQILFPDVFDPKDWKKGATKINNIFISILGYSLSEVPIFTAAPHILDSVHFSVNNKMKSERESDAVGNHVVSIIDTTQYPKLKLKRSASRLQAAGVTFIKLAEETGSGMSCFSFLRNCFGGILVKLGNMFVKINKQVDAPAEEVKGLEFYFEFKKGKLEIAQLHITKTTKAKWLNFIALEHHKNNWKRYNISGEQISKSCLSWTFTSSALIFDGLICSAADAKLLKEKNIIVDHLKMSNEELKEFFLNMTFGLDSVGVDSNHVKMVDNLNNYSQAFFFVRILKIFWILFKYRVEWFFDFFETYYNFVAAMLAILTAVQTVYAVMTYHLPK >RHN71470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:396594:397741:1 gene:gene7075 transcript:rna7075 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEPMSVTPTADYSSTVDTSRPFSSVKEAVAIFGERLLVGEIYSPSPKPFSFSDTSSTAPYYSPSVTIKREPSWRSMPSSPSPISPIKPPFKEEEENNIFDTIKKLEAELEKTKTELKMLKERGSETEVALATMNAELHKNMSKLAQAEAAAAGKAAAKTVRFENISDGSEKMMRNSQTLAHIISLGENDHIFGGGKKRHNNKSTKQKPIIPLLSDFFFKRKNSTNHHNNPLYASPF >RHN49824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1481121:1481489:-1 gene:gene34046 transcript:rna34046 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPDFGLDDPLHDLSLGVSRDCLCILAHANDSLDIWVMKYYGNKDSWNKLFAIPFMELCYNGIGFFSLLYISEEDGQVFFDLNYEVYVYNYKNRTLKIPKIQGLPSNRFTSNVYVESLTSP >RHN69317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42565247:42566832:1 gene:gene17767 transcript:rna17767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative D-cysteine desulfhydrase MRNAIRSSAFQVILKPKLLSEESMEKLLNRTWTLPNPETKIHQVIHQHGLGARNFLLNTNPDFRNGNVEIDKQRKSFYLVRDDLLHPVINGNKARKLDGLLPLLHDYSVTDVVTCGGCQSAHTAAIAVLCAERGIVSHLLLRGEQPEILTGYNLMSTIYGNVTYVPRNVYANREEMLKNYAESVAGNSGSVLWFSDIIQASSTNELSTSNFMQTDASRSEGNHLQKILIVNEGAGDSVALLGNGKLEFEKQQSISLGTFSLY >RHN51482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18659203:18659737:1 gene:gene35923 transcript:rna35923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Hemopexin-like domain-containing protein MFPSLVTTPFANGIDAALRFPGSKDAVLFKGNMCGILDFKYNHVYEVENITYHYPTFVDTVFEEGIDAAFCAHGGNEIFIFKGEHCARVNLFGQFIGGIKRIDADWPTLRGII >RHN53875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4896727:4901192:1 gene:gene28835 transcript:rna28835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEKLNSSSNSSSTSWLSDLLLEEMEIEGCDLFQQCEQNLFGEKEFLSNDIASIFQQEENFQHQPLLSSESYSSSYPLEKNFERPNKKLKKNTSSPSSTTSQILSFDCTLNTKKNKVVPLSQTELPQNRKGSLQKQNIVETIKPQGQGTKRSVAHNQDHIIAERKRREKLSQCLIALAALIPGLKKMDKASVLGDAIKYVKELQERLRVLEEQNKNSHVQSVVTVDEQQLSYDSSNSDDSEVASGNNETLPHVEAKVLDKDVLIRIHCQKQKGLLLKILVEIQKLHLFVVNNSVLPFGDSILDITIVAQMGIGYNLTRNDLVKKLRVAALRAMS >RHN62494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43852469:43854459:1 gene:gene25076 transcript:rna25076 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKMGVVVITRRDLIYQSKLSNKSNISKSRMIPKRGQVKVGIVLGLFHNVSSIFSSRCVHL >RHN65534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3009562:3009915:-1 gene:gene13381 transcript:rna13381 gene_biotype:protein_coding transcript_biotype:protein_coding METALVLHSTDFRTYKKFTKFVSKVLSLCDSSISLQTLDFKRDVGRLNPNILKMIVEYAISHNVQRLGLFVVGGIAQIPPIIFSCKTLTHLKLCIYNKGGHETMFPKSFNLSALTSL >RHN60232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23989146:23990507:1 gene:gene22496 transcript:rna22496 gene_biotype:protein_coding transcript_biotype:protein_coding MHFIKEYFETTGTKISMRDVLEEMYGGALPVAKSRKTKRKAISKEAYLEEGSEQASKKAKKDKKNKSSSEQLDDSELPTIQEEAQNLNVEEVLENRIRSSKDAATSQAASEQPVIPKKKRKHAIRKLIMEATASDEEEEVTATELVTREVRKKQARDVAALQIGVELAQQANIPTSSITRENVGADAEQVLKAAKEVQGLIASEAGHLLNTAAGSSKATISDSQQGITTSPHTDNIIHVELDSTPSISSDSSSSSSFLNLDDIPLGELYPTINKSPSTASKIHKKLNVNYSTFEPMIPTLDERIGNLAQRRIDVCERLPLDHPFQPSNIQPLNVIQPETNTESQKASEVASKEVTPEDSQQQQLETIPTSKQTVPEQFVSEQPQPETQPQTQTTPEQVVSEQLVSDQQPSSPTNSQSYPESDPMITSDASDVKEEPSNSSSDVIMESVSDQTT >RHN50147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4511270:4513593:-1 gene:gene34402 transcript:rna34402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MVGDKGKKMRKNIMEMKKKAEEDTRPGGCSYMNLEKVIKEMSYLTNGYLVTKVDWIPGLRNIRLKDLLDFIRTTDPNDKFYH >RHN63156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48956965:48962332:1 gene:gene25826 transcript:rna25826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endoribonuclease XendoU MDGLIKGLIDVALGGNNNHDQQDNDRDERSRSSWSEVVSGDQEKDSDHHPQKEEVETWQDNSNRHSPRPHKEQYRPQTQRQEYESETFNSPNRHNKEQYRPQQQEYESETFNTSNRPNKALDEGNNDGWQTVGKPSKQTHKVPKDNWNSYKRPADEQQYSNEVEVGSRVEPSEDELADLSRACEKLWDLDLNRLTPGKDYEIDCGEGKKVYQKDDMAQGSLFTWVSDDVFRKPTYARFLSLLDNYNPHQGSKEVVTSEEKQEQASFIEEISRTAPIKYLHKFLASKRITSGSSQDFKRLLTSLWFDLYSRCGTSGSSSAFEHVFVGEIKQTSEVSGFHNWLQFYLEETKGAVDYQGYIFPRRRGEVPDSETQLLTIQFEWNGVLKSVSSTLVGVSPEFEIALYTLCFFAGTEDNHIQIGPYPVNIKCYRFGDRIGSVFPIADS >RHN65435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2245366:2247163:1 gene:gene13273 transcript:rna13273 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIGCNGFHHIPFHSFFTKPNKGIKLYLTPFHSIPPLSINPSIAQIRRDLSLSLSHSIAESSSSDMATTFDSSAGGMLAMLNESHISLKHHALSSDAVFNYLGELNDSLSYALGAGPLFDVSQDSDHVHTLLAKAIDEYAIFKSKADDSIKVDPRMEVIVERLLNKCIVDGKYQQAMGTAIECRRLDKLEEAITRSDNVQGTLSYCIHVSHSFVKLREYRQEVLRLLVKVFQKLPSPDYLSICQCLMFLDELEGVASILEKLLRCENKDDALLALQIAFDLVENEHQAFLLNVHCQSLNL >RHN53818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4364738:4367905:1 gene:gene28768 transcript:rna28768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MKCFFFKQKSKSDPDLNRKKKKNHAKKSISAHSSPRSIKELYKEKEHNFRVFTLQELVDATNGFNKVLKIGEGGFGKVYRGTITPENGIGNPIVVAIKKLNTRGFQGHKEWLAEVQFLGIVNHPNLVKLLGYCSVDGESIQRLLVYEFMPNRSLEDHLFSRSLPTLPWKIRLQIMLGAAQGLQYLHEGLEIQVIYRDFKSSNVLLDKKFHPKLSDFGLAREGPTGDQTHVSTAVVGTRGYAAPEYIETGHLKSKSDIWSFGVVLYEILTGRRTIERTLPKVEQKLIEWVKNYPADSSRFSLIIDPRLRKQYSLDAARKIAKLADSCLKKNAEDRPSMSQIVESLKQALQCS >RHN50000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3048344:3066449:1 gene:gene34246 transcript:rna34246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase with a phosphate group as acceptor MEKITEKIKIGVCVMEKKVFSAPMAQIFDRLQAFGEFEVIHFGDKVILEDPIESWPICDCLIAFYSSGYPLEKAEAYAALRKPFLINELEPQYLLHDRRKVYEQLELFGIPVPRYALVIREVPSEELDYFIEEEDFVEVDGMRFWKPFVEKPIDADNHSIMIYYPSSAGGGMKELFRKVGNRSSEFHSEVRSVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKEMARKVCIAFRQSVCGFDLLRSEGRSYVCDVNGWSFVKNSHKYYDDSACVLRKMLLDAKAPHLSSAIPPTLPWKVNEIVQPSEQLTRQGSGINGYFGQSEELRSVIAVIRHGDRTPKQKVKLKVTEEKLLSLIMKYNGGRPRSETKLKSAVQLQDLLDATRTLVPRTRQDLEGDNEAEDLEHAEKLLQVKAVLEEGGHFSGIYRKVQLKPLKWVKVTKSNGEVEERPDEALMILKYGGVLTHAGRKQAEELGRYFRNKIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLENAHTEMEEAKARLNDIITSSANTVESNGSHEFPWTVDGAGIPPNASELLPELIKLTKKVTEQVKILAKDEEEQLTERSLYDIIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWKKLERDLYNEHKERFNITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQALADGVIPNEYGINPKQKLKIGSKIARRLLGKLLIDVRNTREEAISVAELKNNQENSLSTNNEKEDTEAKSKNSHKNDEIRKCSTMSETSMDQDDDDDKETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEESLVCHNALDRLCQTKELDYMSYIVLRMFENTEVALEDPKRYRIELTCSRGADLSPLEKKDSEATWLHQEHTLPIMGPERLQEVGSYLTLETMEKMIRPFAMPAEDFPPATPAGFSGYFTKSMLERLVNLWPFHKH >RHN76478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50695243:50700155:-1 gene:gene12811 transcript:rna12811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutaredoxin, thioredoxin-like protein MATILFKGIAARSLTTRLTSSSYYGMRYTTKVSNDTDTHDDFKPTNKVENSGTSLSSIIEQDVKDNPVMIYMKGVPDFPQCGFSSLAVKVLKQYDVPLSARNILQDPEVKDAVKAFSHWPTFPQVFIKGEFIGGSDIVLSMHQSGELKEKLKDVVSKQ >RHN49175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53051733:53055075:-1 gene:gene43978 transcript:rna43978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CALMODULIN-BINDING PROTEIN60 MVSDRKSLKNHKSTSHGVTKQGPISGLRYVINTLRTNCHDSILLESFIRGVVRDVVESKFQERLLSSEKANEAGKSGARPLELCFINNNNNKLSGPFFSQSNIIAKDEPPLQVALFDVGSKSIVNVGPFSSTKIEICALDGGFGSEDWTEIEFKANILRERDGKQPLLVGERFITLKNGVASISKTIFTDNSRWLRSKMFRLGVKAMQNGDIIKEGRSQPFRVKDNRGQPNEKHFPPFLNDFVWRLEKIAKDGRFHKRLSSNGIHTVKDLLQLLIINESSLHGIFEKIQRKSWLAIIEHAKSCVLDDHKLYSYGTIGQPILLFNAIYKLVGVTFDVQKFYLPETLTPNLKHSVEIVKQDAYKDVCNLKPVDETFLNSISLGACIQSAGQFGAPVQGQTDIGQGYVQPCMSTSYVNEGMHDYQINPEPVPDIRDIPQNNHVGAEMYIEGDSHGSRFPVTQGGHSIENLTNFPPLDTTWELDFLDVEFLNSAMEILSSGKSKAVWCKIRAVIKWGISVRKVAAARRIY >RHN73777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19843425:19845931:1 gene:gene9639 transcript:rna9639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Coiled-coil domain-containing protein MEIELNDLITDLQSLNQSLPDPSFRDAFLKGQPKENPFIMREQSENKSKSAKPKTGIKEKKKSEFEFCKVCKINHDQGLRHKYFPKHKQSLSTFLSRFKNKLSDVRFFLKTPSPLTPQLASGNRFWCVFCDQDIDEHSSSFACENAIRHLASVEHVNNLKHFFWKYGGTVDQLDVFTVSHNDVAKWDKRCANLKKEASLQSEESPGAVFGPSSDIHNQSNNENIDSFKNNIYSNSVNSNVVLPLHCYTNEYQVSSSGHSGVGNTGLLDIGKSSLPSEACSSANTLALQDFAAERRSHKLPYNSGQWSSDGYSCNKAVLDNGKVVSGESGPQGVHLSITIYFS >RHN41304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29001042:29003520:1 gene:gene47611 transcript:rna47611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIb family MPFGLVSAWNKRRRSKSRDHSDPWIYKPAEVWQLEDQTPQPTKRRHGSNVFTLKEMESATYSFSDDNLIGKGGFGRVYKGTLKSGEVVAIKKMEMPAIEGEREFRVEVDILSRLDHPNLVSLIGYCADGKHRFLVYEYMQNGNLQDHLNGIRERKMDWPERLRVALGAAKGLAYLHSSSCVGIPIVHRDFKSTNVLLDSNFEAKISDFGFAKLMPEGQEIHVTAGVLGTFGYFDPEYTSTGKLTLQSDVYAYGVVLLELLTGRRAVDLNQGPNDQNLVLQVRHLLNDGKMIRKMIDAEMARNSYTIESISMFANLASRCVHPESNERPSMKDCVKEIQMIIYTNTKGLGMVMHSLRML >RHN43081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43022940:43023869:-1 gene:gene49604 transcript:rna49604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MYASTSFTSPLLHELLVESHTRRLLIQNPVDHQSLKINSRIFTNSHNSTDSYFRAPEFDSNVVMILAVVLCAFIGPLALNFIIRRALIFLNVALNNDSSSSSSNNNSPQLVNKGIKKKALKKFPTMSYSTELNLPSLDTDCMICLSEFTKGEKLRILPKCNHGFHVRCIDKWLKEHSSCPKCRQCLLETCRKIGGSQVQPILLPVPETIIRIEPLEHEALERNYREIQANN >RHN56696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32977454:32978752:1 gene:gene32134 transcript:rna32134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative catechol O-methyltransferase MESQHGDNVASNLLKAQSHIWKHIFNFINSMSLKCVVDLGIPDIIHNYGRPMSLSKLISSLPINPTKTCYFHRLIRIMTYSGIFSQQNITENELEIDPMSVVPNVNGILSPVMMEPWHQFSAWLKNDDITAFETTHGMSFRDYLARDSKINNSFNESMAKETRLVSDLLVEKCKGVFNEVESLVDVGGGTGTMAKTLAKSFPQMECIVFDLPHVVDGLLGSENLNYVGGDMFKEIPPTAAILLKWILHDWNDEECVKILKNCKDAISKKGKEGKVVIFDKVFDSEKGNINESVETQLFFDMFMMVVFAGKERNEKEWIKLISSAGFSDYKITPILGSTSMIEIYP >RHN55762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22885747:22888657:-1 gene:gene31009 transcript:rna31009 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFTHFTKIKKTLQHLNQIFPYLSLFQFQIFTFPSPFFNFRFSLTSCLYQFDFSSSLSPPISLHQPISLFAEDSSLTEAKSIIEDTNDLPPFQTLESKEERVLPFVLLNSCTFI >RHN38458.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000011.1:1526:1735:1 gene:gene50688 transcript:rna50688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MIYGSTGATHFDQLAKILTGYEITGARSSGIFMGILSIAVGSLFKITAVPFRAAVERTAAYRW >RHN54147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7309517:7310480:1 gene:gene29138 transcript:rna29138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ELO family protein MDNAFKVIQTLNFYLSEHPSIVGFRWSHTQSWGSTWSFIFMSIAIYIVTSLLLHLLLSIFLCHTKHIPLGPLPALHSLTVSIVSTIIFLGILLSTVSEIKETRWFWRRSKTPLQWLLCFPLGTRPSGRVFFWSYIFYLSRFLHMFITFFAILRRRKLVFLQLFYHSISTLMSFLWLEFSQSFQVLAILFTTLAYCVMHGHRLWTAFGLGGASLPLVLNFQMVLLGCNLVCHVGVLLLHLFRGGCNGIGAWVFNSILNGVILLLFVNFYVRANGKKKKNEIVGDCSLVLGARNNADTNKLKSN >RHN42517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38977097:38977832:1 gene:gene48965 transcript:rna48965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MIFDVPKLEVLDLSYTRVDDKTLYAIAKSCRGLLQLLLQNCCDVTEKGVERVVENCTQLREINLRNCHKVDANVVTSMITSRPLLRKIIVMS >RHN76573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51350043:51350513:-1 gene:gene12921 transcript:rna12921 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQKFISSLIIIISLVLVVTCNCIPMIHPLLYKKRVVPNCQTIVDCPDNMCTHPKEVYCIGYRCYCLK >RHN52141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31968890:31970898:-1 gene:gene36770 transcript:rna36770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Rcd1-like family MAITISNVYHIDLLCFGIFYYNLVDDVSRTFTIEIILYFPSFIFQRNELSQELAHLLWNSFGTIAILLQEITSIYCTLLPPTLTLAQSTRVCNVLALLQYLASHSETRMLFLNASMPLYLYPFLKTKEKSPQFEYLRFASLGVIGALVKVNTKEVLGYLILSEIIPLCLSNMEIGNEISKTTATFIFQKILFDDDGLAYVCATAERFFAVRRVLDMMSESLDKQPTCRLLKYIIPCYARLSNDRWLVEQFHHLKCS >RHN68912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39580351:39582449:-1 gene:gene17333 transcript:rna17333 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHLMDLRVSVVALHALPVIVDPIPKDIREEFGLLSLHDAYFGIHKPKDISEADLARKRLIFDEFFYLQLGQLFQMLEGLGTQVEKVGLLDKYRRPENNTVQRNGPVSPRRFWSSFPILLLPVNCKLFQKLFGI >RHN79076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22145418:22146221:-1 gene:gene2773 transcript:rna2773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MRKKGTPSCSFYNPCSSFHSFDDNEKSQRSRMYDCDLCGKKFNSGNALGGHKTSHRRSQPLHKKQRHDHAINKDDDDDEKQKYSCPVCNKVFSSTKAFYRHMILHSEKGSKSIQLPTTSFEQFQFQVSIAPPAIDLTKYSPLPKSHKTNKRSIRRRNIIDHEQINGAHTLFHISCAAARGGDHGHLHEKLKASSNVDNDKIEKQVSDIDETPATKVKKDNNDNNRKKSLLLRFKVKDNKVVQCIQGEEKKKNNEGGVKQGGNIVLMN >RHN51711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23279283:23279530:-1 gene:gene36216 transcript:rna36216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase, subunit omega/K/RPB6 MKELREKKIPFTIRRYLPDGSYEDWGVDELIVEDSWERQVGGD >RHN61859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38931161:38933947:1 gene:gene24365 transcript:rna24365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MVGNNSATTVSHLPEEILSKVFTGITDTRTRNSLSLVCHSFFKLERKTRLSLTLRGNARDLYRIPTSFTNVTHLDVSLLSPWGHALFCSPAGNDSPLLAQRLRNTFPRVTSLTVYVRDPHTLHLLLFNHWPELRDVRLVRWHQRPQGLQPGSDFDALFSRCRSITSLDLSSFYHWPEDLPPVLAENTTTAASLRRLNLLTTSFTEGFKSNQIESITSSCPNLEHFLVACTFDPRYIGFVGDETLLAVASNCPKLKLLHMADTSSFSNRREEEGVEDARVSRATLVALFTGLPLLEELVLDVCKNVTETSFALEMLSSKCPNLKVVKLGQFQGICLAIGSRLDGIALCHGLQSLSVNTCGDLDDMGLIEIGRGCSRLVRFEIQGCKLVTEKGLRTMACLLRRTLIDVKVASCVNLDAAATLRALEPIRDRIERLHLDCIWKESDNFGQGLFNFDLNTLDELNGSELMDCFGGEECGEDTSMRKRQRCEYGLEADDLFVQSNGNGNGNGYYGYSWDRLEYLSLWIKVGELLTQLPVAGLEDCPNLEEIRIKVEGDCRGQPKPAVREFGLSILACYPQLSKMQLDCGDTKGYVYTAPSGQLDLSWWERFFLNGIGSLSLNELHYWPPQDEDVNQRSLSLPAAGLLQECYTLRKLFIHGTTHEHFMNYFLKIPNLRDVQLREDYYPAPENDMSTEMRVGSCIRFEDALNRRQICD >RHN69267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42205958:42209317:-1 gene:gene17711 transcript:rna17711 gene_biotype:protein_coding transcript_biotype:protein_coding MNETAPTTSSSSSIFHNYPLISAILAFTIAQSIKFFTVWYKEKRWDPKQLVGSGGMPSSHSATVTALATAVGFHEGFGGPLFATALVMAIIVMYDATGVRLQAGRQAEVLNQIVIELPAEHPLSDSRPLRELLGHTPPQVIAGSLLGFITSSIGYIITMFGS >RHN78595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16532353:16534152:-1 gene:gene2220 transcript:rna2220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVRAPCCEKKGLKKGPWTLEEDEILTSYINKHGHSNWRALPKDAGLLRCGKSCRLRWINYLRTDIKRGNFSNEEEQIIIKMHELLGNRWSAIAAKLPGRTDNEIKNMWHTHLKKRLLNTKNNQPHSNTKKRVSKQKIKISDSNANSNSMETTSSNCTFSSDFSSQGKNLDNSIICEDPEDSFVTMPQIDESFWSETITDDETNSMTISHELPIQEYPYNNSLENFQNPFDDDDGMGFWVDLLIRSEESTELPEF >RHN45193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12851396:12851634:-1 gene:gene39421 transcript:rna39421 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVNTGLVVQIKPASSSHLNFIPRVQYGRSSRFNLTRPVRRSCFLVHARPYSKQTTNRRLWITTYWA >RHN67256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25233139:25235224:1 gene:gene15428 transcript:rna15428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKEEKGKTMEELAEGYLIELIHRSLVQVSSLRIDGKAKGCRVHDLIRDMILQKNKDFNFCKHISDDGQTSLGGIIRRLSITTIDDVFRECINGSHVRSLFCFGNKEISTSFSREIPTKYRLLKVLDFEDFLMKNIPNNLGNFIHLKYLSFKSSNSGVKVPKPIGMLQNLETLVVRGEYFMELPKEISKLRKLRHLIGHRLSLIQLKDGIGEMKSLQTLRRVSLDMDGAAEVIKGLGKLKLIRDLGLLEVHKENERIFSFSINEMQHLEKLRVLNFKYNNFVDLNLISPPTMLQKLILNGRLKEFPEWMFALQNLTVLRLVCPYSVKDPLQSLKSMQHLLILLLDLSMYKGLHLHFQDGWFQKLKELRVDHSYKLREIIIDKGSMPSLKTLSLMRLFNLKNIPTGIQHLEKLEELWIAGVDDEFGERSSTEDWNWIMDHGANIYSKDFNKIKKSRT >RHN54522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10230406:10235284:-1 gene:gene29584 transcript:rna29584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP dissociation inhibitor, FAD/NAD(P)-binding domain-containing protein MVDFDQENDEVWKDLLKTKEGIDRLAQCSSSVRSAPKTLLCPIYGEGKLRQAFCRRAAIKGCIYVLRMLVIYLLILNPFFTIPPSPTDAVFVS >RHN68886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39373433:39376276:-1 gene:gene17302 transcript:rna17302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MKKVSLYACLLLNLSLLVIFPYCKASQADKLVEFILSRTSQNPPKTLSWEEEDALKTHSSFSTADVAPQEGLMQADKIDTLPGQPYGVNFDQYSGYVTVDPEAGRELFYYFVESPYNSSTKPLVLWLNGGPGCSSLGYGAFQELGPFRINSDGKTLYRNPYAWNEVANVLFLESPAGVGFSYSNTTSDYDKSGDKSTAKDTYVFLVNWLERFPQYKTRDFYITGESYAGHYVPQLASTILHNNKLYNNTIVNLKGISIGNAWIDDATSLKGFFDYLWTHALNSDQTHELIEKYCDFTTENVSAICINNVTLKAFFEHGKIDLYNIYAPLCHDSSLKNGSTGYVSNDFDPCSDYYGSAYLNRPEVQKALHAKPTNWTHCSGDTDAVVSVTSSRYSINTLKLPINAAWSPWYSGKEIGGYVVGYKGLTFVTVRGAGHLVPSWQPERALTMISSFLYGSLLPSSPSN >RHN66314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10727492:10732120:1 gene:gene14270 transcript:rna14270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAAALVGGAFLSASVQTLMDKLTSPEFRDYFTRTELNESLMYEMETSLLTLEVVLDDAEEKQILKPRIKQWLDRLKDAIYDAEDLLNQISYNALRCKLEKKQAINSEMEKITDQFQNLLSTTNSNGEINSEMEKICKRLQTFVQQSTAIGLQHTVSGRVSHRLPSSSVVNESVMVGRKDDKETIMNMLLSQRDTSHNNIGVVAILGMGGLGKTTLAQLVYNDKEVQQHFDLKAWVCVSEDFDIMRVTKSLLESVTSTTWDSNNLDVLRVALKKISREKRFLFVLDDLWNDNCNDWDELVSPFINGKPGSMVIITTRQQKVAEVARTFPIHELKVLSDEDCWSLLSKHALGSDEIQHNTNTALEETGRKIARKCGGLPIAAKTLGGLLRSKVDITEWTSILNNNIWNLRNDNILPALHLSYQYLPSHLKRCFAYCSIFPKDFPLDKKTLVLLWMAEGFLDCSQGGKELEELGDDCFAELLSRSLIQQLSDDARGEKFVMHDLVNDLSTFVSGKSCCRLECGDISENVRHFSYNQEYYDIFMKFEKLYNFKCLRSFLSINTTNNYNFLSSKVVDDLLPSQKRLRVLSLSWYMNITKLPDSIGNLVQLRYLDISCTKIKSLPDTTCNLYNLQTLNLSRCSSLTELPVHIGNLVSLRHLDISWTNINELPVEFGRLENLQTLTLFLVGKRHLGLSIKELRKFPNLQGKLTIKNLDNVVDAREAHDANLKGKEKIEELELIWGKQSEESQKVKVVLDMLQPPINLKSLNICLYGGTSFPSWLGNSLFSNMVSLRITNCEYCMTLPPIGQLPSLKDIEIRGMEMLETIGPEFYYAQIEKGSNSSFQPFRSLEHIKFDNMVNWNEWIPFEGIKFAFPQLKAIELWNCPELRGHLPTNLPSIEEIVISGCSHLLETPSTLHWLSSIKKMNINGLGESSQLSLLESDSPCMMQHVAIHNCSKLLAVPKLILKSTCLTHLRLYSLSSLTAFPSSGLPTSLQSLHIEKCENLSFLPPETWSNYTSLVSIDLRSSCDALTSFPLDGFPALQTLTIHNCRSLDSIYISERSSPRSSLKSLYIISHDSIELFEVKLKIDMLTALERLNLKCAELSFCEGVCLPPKLQSIEIQSKRTAPPVTEWGLQDLTALSRLSIGKGDDIVNTLMKESLLPISLVYLYIRDFDEMKSFDGNGLRHLFSLQHLFFWNCHQLETLPENCLPSSLKSLDFWDCEKLESLPEDSLPDSLMQLCIQGCPLLEERYKRKEHCSKIAHIPFKNIKGTI >RHN55083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15020602:15029775:1 gene:gene30211 transcript:rna30211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MFSFFNLMESTYTIFGALLLSLFIQIHGSDSFHKNSIANRGCDLFYGKWVFDDSYPLYESLECPFLENAFKCAGRPDKLYLKYRWQPNGCNLPRFNGEDFLTKLRGKSVLFVGDSLSLNQWQSLSCMIHKSMPHIKYISVTNKGLSTFTFPSYDVKLMFSRNAFLVDIVTERIGQVLKLDSIEGGRLWKGNDILIFNSWHWWPHTGKKQIWDFVQEGKHIYKDMNRLVMYKKALKTWAKWVDDNVDPGKTKVFFQGISPDHKNGSKWGQYASTSCKGQKLPFPESKNLGGPYPAELALEKVIRAMSKPVHLLNITTLSKLRKDAHPSVHTGHGGHTNDCSHWCLPGVPDTWNMLLYASLIQN >RHN39914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11665931:11666936:1 gene:gene45998 transcript:rna45998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MKGVKISSNFIQTMVILLILVSTLCSSIEASTRKPNGRRFKPEHRHKAILTLNSFEKGGDGGAPSECDNKFHSDKTLVVALSSALFNHKKRCLKEITIFGNGKRVNAKVVDECDSSKGCKNNIVDGSAAVWKALGVPEKRRGEMSIFWSDA >RHN61370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35219003:35224105:-1 gene:gene23830 transcript:rna23830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase I transcription initiation factor TAF1B/Rrn7 MADVVTFTCQSCTYEGEALESDGFYYCSACGEKNLDVVDTGAEEEDAIGAGIYLASHQRRTAAPTDAVYVQPISQCNPSQSNFLRKLGLEDDSQVKVKAENVDQSQCDPSNPADFGGSTVVSIEQYYKEIRLRYIMGLQMMIELQCEALVKEFKVTPLICGLVGPIWLRFVSKTGVFDDDWADKAIHDSEMQNEGEPEDYNIRGKYKSEPHNMFGQRAAFIWFRSLRNRIPVVCTIVVSYLACHIAREAIMPSDMIKWTCEGKLPYFSAFLELESRMGPPVACPISSSLMFRPQRALSVHKLESCASSISQFIGLELPPVNFYALAYRYLEKLSLPVEKILPYACRIYEWSMSPDLWLSLSKDYFKLPTHVCVVSVLVVAIRILYNINGYGEWEKSLSHNDSAKDSAKDPVEQQKHELDCTGLLQHLHAIYNEIADTHEYSKDLPTYLKYCKDVVFAGLEPSLGSYEETNMMEILWKHYQNEENTKPSESEKQYHSSFSGTGSRDEGCVGKTSKREKKKRKCLSDDNPSGSLPEAIRQLKLDMEENRFCYIPPSVKQEKLGYVHYVRKKDKGALSYVAHADYYILLRAFARAAHVDDIRILHIGVLNLERRLAWLEKRIGQCLHLKPPNISCEFCSVRATENGSDHMMDEDELSNLNIEN >RHN62168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41360168:41362039:-1 gene:gene24713 transcript:rna24713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MNHETDAVKIYFFPFVGGGHQIPMVDTARVFAKHGATSTIITTPSNALQFQNSITRDQKSNHPITIQILTTPENTEVTDTDMSAGPMIDTSILLEPLKQFLVQHRPDGIVVDMFHRWAGDIIDELKIPRIVFNGNGCFPRCVIENTRKHVVLENLSSDSEPFIVPGLPDIIEMTRSQTPIFMRNPSQFSDRIKQLEENSLGTLINSFYDLEPAYADYVRNKLGKKAWLVGPVSLCNRSVEDKKERGKQPTIDEQSCLNWLNSKKPNSVLYISFGSVARVPMKQLKEIAYGLEASDQSFIWVVGKILNSSKNEEDWVLDKFERRMKEMDKGLIFRGWAPQLLILEHEAVGGFMTHCGWNSTLEGVCAGVPMATWPLSAEQFINEKLITDVLRIGVQVGSREWGSWDEERKELVGREKVELAVKKLMAESEDTEEMRRRVKSIFENAKRAVEEGGSSYDDIHALIQCKTSLDC >RHN82679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55641067:55641672:1 gene:gene6858 transcript:rna6858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MEVEVKLRLANAEAHRQVTALLSPFHVITHHQHNHFFDGAASELSSRRATLRFRFYNDDERCVVSLKAKGVLVNGVRSVEEDEEDLDPKIGRDSVDEPGKLGFVDSRIMGRVKEEFGVVGKNGFVGLEDFRNVMNVYEWKGLKLEVDETGFDFETLFEIECESSDPEEAKRILEEFLKENDIDYSYSIASKFSIFRSGKLP >RHN46427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31639327:31641070:1 gene:gene40911 transcript:rna40911 gene_biotype:protein_coding transcript_biotype:protein_coding MGCENNGKGGHENKKRKFDEMENSTIYNEMHKSESSPSTPKSPHGEGGSKISCVTDLGSTAKALNYDSSKRNVALRVNLEVKDGEYNLKLTSVPIENTKTENAHTSAMLMSQGELLRKLGEKLLSQLGLSYESEIYRSLNLPLPN >RHN53663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3198881:3200599:-1 gene:gene28594 transcript:rna28594 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MTSILQGRCFILIAYAMLFTLMGEQTVAVAIFRPSKWSLAHATFYGDETASETMGGACGYGNLFQNGYGTDTVALSSTLFNNGYACGTCFQIKCYQSSACYRNVAFTTVTATNLCPPNWSKPSDNGGWCNPPRVHFDMAKPAFMKIAQWKAGIVPVMYRRVPCQRKGGIRFSFQGNGYWLLVYVMNVGGGGDMSSMMVKGSRTGWIKMSHNWGASYQAFATLGGQALSFRLTSYTTKETIIAWNVAPSNWNVGLTYSSRVNFH >RHN41098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26964786:26967528:1 gene:gene47387 transcript:rna47387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MIFQERQIYCTIPEEIGYLDKLELLYLYNNSLSGSIPSKIFNLSSLTDLEVNQNSLSGTLPSNTGYSLPNLHNLHLYQNNFVGNIPNNIFNSSKLIVFQLDDNEFSGTLPNTAFGDLGLLESFNIDTNNLTIEDSHQFFTSLTNCRYLKYLELSGNHISNLPKSIGNLTSEFFSAESCGIDGNIPQEVGNMTNLLLLSIFGNNISGPIPGTFKGLQKLQYLDLSSNGLQGSFIEEFCEMKSVGELYLDNNKLSGVLPTCLGNMTSIIRLYIGSNSLNSKIPSSLWSVIDILELDLSSNAFIGNLPTEIGNLRAIILLDLSRNQISSNIPTTISSLQTLQNLSLADNKLNGSIPKSLAQMVSLISLDLSQNMLTGVIPKSLESLLYLQNINFSYNRLQGEIPNGGRFKNFTAQSFMHNDALCGDPRLQVPTCGKQVKKWSMEKKLIFKCILPIVVSVILVVACIILLKHNKRKKNETTLERGLSTLGAPRRISYYEIVQATNGFNESNFLGRGGFGSVYHGKLLDGEMIAVKVIDLQSEAKSKSFDVECNAMRNLRHRNLVKIISSCSNLDFKSLVMEFMSNGSVDKWLYSNNYCLSFLQRLNIMIDVASALEYLHLGSSMPVVHCDLKPSNVLLDENMVAHVSDFGIAKLMDEGQSKTHTQTLATVGYLAPEYGSKGIVSVKGDVYSYGIMLMEIFTRRKPTDDMFVAELSLKTWISGSLPNSIMEVMDSNLVQITGDQIDYILTHMSSIFSLALSCCEDSPEARINMADVIATLIKIKTLVVGANTI >RHN56078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27456793:27465112:-1 gene:gene31405 transcript:rna31405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MGDFSITITFISFILLFLTRYHQLVHADPPTLVPPYSCDTSNPRTKLFPFCNLNLTITQRAKDIVSRLTLDEKVSQLVNTAPAIPRLDIPSYQWWNEALHGVSYVGMGIILNGSIPAATSFPQVILTAASFDPKLWYQISKVIGTEARGVYNAGQAQGMNFWAPNINIFRDPRWGRGQETAGEDPLVNSKYGVSYVRGLQGDSFEGGKLIGGRLKASACCKHFTAYDLENWKGVNRYVFDAKVTLQDLADTYQPSFHSCVVQGRSSGIMCAYNRVNGVPNCADYNLLTNTARKKWNFNGYIASDCDAVRFIYEKQGYAKTPEDVVADVLRAGMDLECGNYMTKHAKSAVLQKKIPISQIDRALHNLFTIRIRLGLFDGNPTKLQYGRIGPNQVCSKENLDLALEAARSGIVLLKNTASILPLPRVNTLGVIGPNANKSSIVLLGNYIGPPCKNVSILKGFYTYASQTHYHSGCTDGTKCASAEIDRAVEVAKISDYVILVMGLDQSQETETLDRDHLELPGKQQKLINSVAKASKKPVILVLLCGGPVDITFAKNNDKIGGIIWAGYPGELGGRALAQVVFGDYNPGGRLPMTWYPKDFIKIPMTDMRMRADPSSGYPGRTYRFYTGPKVYEFGYGLSYSNYSYNFISVKNNNLHINQSTTYSILENSETINYKLVSELGEETCKTMSISVTLGITNTGSMAGKHPVLLFVKPKKGRNGNPVKQLVGFESVTVEGGGKGEVGFEVSVCEHLSRANESGVKVIEEGGYLFLVGQEEYSINIMLDELMPCNIE >RHN40508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17046821:17052318:1 gene:gene46666 transcript:rna46666 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSILYTLSLPIHLQILEEKRKRSESTNSSPETQDMSQISTLTEISTLTLAHTPIYSISARKRKRFMIEEQVRRLSEIRSTRFSRISVEKN >RHN70537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52132455:52140798:-1 gene:gene19126 transcript:rna19126 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEAMAEIRSLGNQYQMEWNDTMNLVKSACWVKYLKHMEHGAPEAKGENDAYRDFDQPLEFPAWLNANESSLELCSEDNFQDSTLPCMDIGDIDGMDDDWLA >RHN48193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45688983:45689396:1 gene:gene42882 transcript:rna42882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MTLTTCIAFCSGYVPPGYVKKGIYSPKYDVYSFGVLLLQIINGKRTSQYYGPHENMNLLEYAYELWMEGR >RHN53933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5428949:5434899:-1 gene:gene28900 transcript:rna28900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative condensin-2 complex subunit G2 MDKKLCSSLNSSPQEFISLATTLTLKSSKSSLKTLIHSIKPSSNLISSLPPSLYESITTTIQSFLNLLELNNSENPQTPPTATLRRSSRKNTTATTEPSSQSDEKHKLLEKLQILAHILFLCVSHPRKVFDFSDLLPGVQALHDNLIVLEADSILSSGIETICEEWWKENLPERESLISQTLPFVLSRSLTLKKKVDVHRVYMFREAFALFDFEDESIEDLKLLLNRCVISPLYLKTEDGRKFLAFLFGLSDQLGKELLAMIRSQIPFGRKSMLEAYGDILFRAWKAAPEDSRSGIEDGFLQDLIEGSIHASSGVFALYIRRVLGGFINQRTVDGVEKLLYRLAEPVIFRSLQAANSNVRQNALHLLLDIFPLEDPDASKEDKDKLLDKQFFLLEKLLVDDCPEVRTIAVEGSCRVLHLFWEIIPSPIITKMLTKVISDMSHDVCNEVRLSTLSGIIYLLDNPHSHEVLKVLCPRLGHLMLDNVLTVQVAMAELLLHLNDVQNFQFNKVVVLDVLMSVLASDQPPVAKKLTKLLIPSYFPSIVPIEEACNRCITLVKRSPMAGAIFCKYAVSEGASKTHLMELVKVFLSLVLSQDKLNADQIEGFLIAASYLCDNLVSELCYMDALKELLTAEKVEGLLTGASTEQAQSSLFNIFSTVCPDNVAGLLEECMSVVTNCHGLPEDVDRQSKIRSAHKLLLSLGGFDDMFEALTTLLHKAAYRCHIKFGADMPSHSVTATKRKKSKSSGKFSIKSKIINRKQSFEDDYSVAVGVAWQVRDLLQHEDTRKAIFRSQPLEMLFFSLKMVSEVSIEHCGQYEYIDISPVLAYMALALQMTVDNVGTSSEKNGDSKGKKTKIDSSTLLSETVLDLTIEHVLNCLEKLFGSDDTVQDHNVDSHNLESTTGKNRSSKKRKRTGKNQNSTKRRRLSLTNAGCPSNEGSVHNEPQQVLCKVKMITAVLKFMADATAMCFAPHNNGSFLNNTSKCIQHILSSLNQLYHHKIEFEEEDKKNTIFCLKSSFSYAAKILNVILTDSGGSSIMTSKAFTLANNLLDLIVSTESCLGSAYASRLVAAAKPWLPDVVLALGSESVLQHTESGSEHLFASEQMKLQFPKWPFIVAKTVLSAVNEGEGDGECSQADKFSTFNKLTAMLIILLKKNKSIMDAVGDIFLVCSLIGLEQKDFELAAGLLQFVCSKLFNRDDRDWGDLMLSSLEEIYPKIERQITEASDNDELEKLMHAKELIEPLWTYHLYETGKVNMTDEYN >RHN72079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4814087:4819013:1 gene:gene7751 transcript:rna7751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MAASSPFIYARCSYSPLITSFSQPSSSSSPCCSSSSTPNSICTPLSGANKVERHISLRAEAMSTTTQGKSPARTTGNLKNDPDHLLVLVHGIWSSPGDWSYAEEELKMNLGKSFLIHASSSNAYTKTFTGIDEAGKRLADEVMQVVKKNQSLKRISFLAHSLGGLFARYAIAVLYSPDTYNSGQPDDPVNCEMENSQKTDFSRGMIAGLEPMNFITLATPHLGVRGKNQLPFLFGVPILEKLVAPVAPLFIGRTGSQLFLTDDKPNKPSLLLRMASDCEDGKFISALGAFRSRVVYANVSYDHMVGWRTSSIRREIELGKPPRQSLDGYKHVVDVNYCPPVPSDGPQFPPEAVKAKETAQHTQNSVEFHEIMEEEMIQGLQRLGWIKVDVNFHSAVWPLFAHSNIHVKKKWLHNAGVGVVAHVVDSLRQQETSSILPTS >RHN82806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56516977:56517927:-1 gene:gene6994 transcript:rna6994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MRHVTSGCPFGEGCHFLHYVPGGFKAVYQMINVGSSPAIPPIGRNPNVPQSFPDGSSPPVAKTRLCNKFNTAEGCKFGDKCHFAHGEWELGRPTVPAYEDTRAMGQMQSSSVGGRIEPPPPAHGAAAGFGVSATATVSINATLAGAIIGKNDVNSKQICHITGAKLSIREHDSDPNLRNIELEGSFDQIKQASAMVHDLILNVSSVSGPPGKNITSQTSAPANNFKTKLCENFTKGSCTFGERCHFAHGTDELRKPGM >RHN47638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41181340:41184606:-1 gene:gene42260 transcript:rna42260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative magnesium-protoporphyrin IX monomethyl ester (oxidative) cyclase MAAEMALVKPISKFTPKFSNIPRRTVSSYSIRMSATPTATPTSTKPSKKPNKTAIKETLLTPRFYTTDFDEMETLFNTEINKNLNEDEFEALLQEFKTDYNQTHFVRNKEFKEAADKLDGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKQNPEYQCYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTDFYEGIGLNTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVVINQKILAIGETDDNSVVKNFKRIPLIAALVSELLAAYLMKPIESGSVDFPEFERGLVY >RHN49997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3024346:3026130:1 gene:gene34243 transcript:rna34243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative actin cross-linking MEFFQKAKVVRLRSHHDKYMLAHEDQENVYQDRNGCYDNAKWTVELIENKYSNVIRLKSCYGKYLTASNMPILLKSTGKKVLQTLPKKLSPSVEWEPIRDGVQVKLRTRFGQYLRGNGGLPPWRNTITHDVPHRTATANWVLWDVDIVELMPKQAGHVPKPRPRPTPITPPNRCLDYPITTSDNSSSFSSSPFLDSDHGPLVKIDLRSPPRTPEEQLEYLEDPSPSPVKEGRVIFYDVGNENGEVIDANKGSLFTFKGSSVEELKEKLLEETGLVDILVCCRNPLNAKLYPLLLHLPPSNIDLHVVLVPSSFSGKFS >RHN45479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21312920:21314524:1 gene:gene39824 transcript:rna39824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MKISECIEVSGQIVLPIFYDGSPSEVRKKNGNYEKAFQKHESMKQDSKKI >RHN71710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2087571:2089760:1 gene:gene7338 transcript:rna7338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MILSLNLNPLQCNCISFITRRFLSSVVPTHSPSDHIKTLVSMGLYHQTLQFFTQLHFSAHHFNSIPFVLPSVIKACSFTHFHAFGTQLHCLAFITGSYTDPIVSNSIISMYAKFFDIESARQVFDTMPHRDTITWNSMINAYLQNGLLVEALQMLKDFYFLGFLPKPELLASMVSMCGREMDLGWRIGRQIHGLVVVDGRIRIQHSVFLSTAFVDFYFRCGDSLMARSVFDEMEVKNEVSWTAVISGCANNQDYDVALACYREMQVEGVSPNRVTLIALLAACARPGFVKYGKEIHGYAFRRGFDSCHSFSPALIYLYCECGQSLHLAERIFEGSSLRDVVLWSSIIGSYARRGESDKALKLFNKMRTEETEPNYVTLLAVISACTNLSSFKHGGVIHGYILKFGIGFSIFVCNALINMYAKCGSLDDSRKIFLEMPSRDSVTWNSMISAYGLHGYGEQALQHFYEMKERGVKLDAVTFLAVLSACNHAGLVTEGQQLFEQVNADCEIPITIEHYACLIDLHGRSGKLEDALEILRTMPMKPSARIWSSLVSSCKLHGRLDIAESLSSQLIRSEPNNAASYTLLSMIHAEKGRWLDIEQVRETMKLQRLRKCYGFSRIEAGH >RHN52048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30904814:30906785:-1 gene:gene36663 transcript:rna36663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Transposase-associated domain-containing protein MIKKDWMALPPHSQSYKDGVNYFLDIAFTKGMVEEEEILCPCAVCCNDSWETRDVVYDHHYYRNDI >RHN75449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42393528:42394867:1 gene:gene11660 transcript:rna11660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MALQQLDQEVPLQEQEATFSQPSKQTSILSLTLDEFQCKSGKSFSSLNMDEFLASIWSSNDEATTHTHNTKNVVTTQHTISQQFGNSFSVPPPICKKTVDEVWSEIHKNQQQFKETNNLKRSETLKKQQTLGEMSLEDFLVKAGVVQQSSALPFKNHNGNVSSNMRPLNIASCYGLRPSMGMGFSTQCVSRNGLATYQMLSHNNNLGVKDFAVEKCQSLTESSGCSNRKRIVEGPPEVVVERRQRRMLKNRESAARSRARRQAYTVELEAELNLLKEENEKLKQVLVNITEIEYTVFFSS >RHN79376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28219546:28224259:1 gene:gene3160 transcript:rna3160 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPLLAFIVAALFIPNGLVFAIPSTVPAFLWSSHYKLISDNGLKESVNYQVISPKDLAKSVLSEAGWSNFLCKGKKFQDPLDLALLFVGGELQSSDLSLNKHADSALSDFLKDSFVRSNTSMAFPYVSASEDVNLEDSLVSGFAEACGDDLGIGNVAFLGSCSMGTGNREETKALHSVQAYLTKRKEESHKGKTDLVVFCNGPQASKNVDRTQSEGEVLSELISSVEESGAKYAVLYVSDLSRSIQYPSYRDLQRFLAESTTGNGSTNSTACDGVCQLKSSLLEGLLVGIVLLIILISGLCCMMGIDSPTRFEAPQE >RHN45862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26372687:26374281:1 gene:gene40284 transcript:rna40284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MEQKVAIMRLKVDLQCRKCCKKVKKILCKYPQIRDQIYDEKNGIVTIRVVCCSPEKVRDNICCQGGGTIKSIEIVEPPKPKPAPEKPKEPEKKPVPEAKPIAQPGPPKQNPSPAPAPAPAPAQAPAPLPSAAAPAVMFPQTAPMSILAYPSPVVPYGHVYGPGQGGPPQFYGRPVYDSYGWSGPCYVGHHHDCLREEEASTCTIS >RHN64775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61715657:61721484:1 gene:gene27632 transcript:rna27632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-Pl-6 family MCNKGFQCLSESENTVIDHRQQHFMMMDSPTAIRSPATTGSGPGSNDDGRRVKFLCSFLGSIMPRPQDGKLRYVGGETRIVSVSRDISFEELMGKMRELYEGVAVLKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLGSGDGFTRLRIFLFSQSEQDGSAHFIDGDDPERRYVDALNSLNDASELRRLQQMEFPLIGTVEDIHVGDQYISPVGMENGILSQRSGELAMSQYGLHHMPIQHQQPMGQRYNEIDAPWNSGYYSPRHHGQCHHDSRTSLVEYPSSPSGPRYRMPFPEMPDKGIDRVSDEYARHHINHHPVYDNQPPYPENVVWLPTGPAHGGDKSGFPGNVLHGSHALDGNNICEHCRMSFQRPQPHLEHPNMLPSVAIPCPECPSSRDALIVNADAKLQPPMYPNDTQNHERGCGLQHQNSGRVGDHYVGDVPIISFSPGHGSMIDGHALPSNHVHQPVGPELGVELFPDQTMANIPHLKIPPLEESSVQYGNPPSPYGVDNNYAMPRGQAPGYTLWRNGPTPVHIGPPHEATTLPQPVDGVINAGIIRGEGSPGFFVGPDSQSLWVDSSQKFSGHDGSATPEYPHTNAPKLNPMAIGQENPHPIIVDAIHPPQDVNASIYMEPVQLQKSSFHMVHNNGVLKNDAHLTEGVSLQSISLLGERQEAKKEDAVEKSNVQSISFPEQNQIVEDVSNTAASVAECNDSFLKPASGCEHGEKVADKDCSAPEDSKGLVDQFNILPELIASVKKAALECHDEVKPTGKENANCQMDNSNTKEEKANEVEPVNVHGDLELDSENDRVDTSKIEPTKAEAEAIARGLQTIKNDDLEEIRELGSGTYGAVYHGKWKGSDVAIKRIKASCFAGRPSERARLIADFWKEALMLSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLHKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGDEPYADMHCASIIGGIVNNTLRPQIPTWCDPEWKSLMESSWASDPVERPSFSEISKKLRSMAASVNVK >RHN80988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42426317:42429933:1 gene:gene4976 transcript:rna4976 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPTGAVRFYPKGPSSCLVELTVSYEVPQLLAPVASALQPFLEGLLKRGLERFATFAKSYK >RHN38979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3197145:3198181:-1 gene:gene44960 transcript:rna44960 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDWFTYFNLLSGLTTNDYSGFCLPGGNYPSWLAYTGEGPSVLFQVPKDIDCHMKGIVLCVVYSSTSENVAVECLTNVLIINYTKCTIQIYKGDTVMSFNDEDWKGLTLNLGPGDNVEIFVVFGHGLIVKETSVYLIYGQSTTKEFEQSFIMEVEPSTNMKLEPWAEVSMPPSPEVNLQLSPNVEVEASITVEVDSSTNMEMEPLAEVNAQLSPEVDMQPSSNVKVESSTDVKTNRPSQEVKVWSSPIMVMEPQPKSNRSIFTGFAKRMGTCLCLNQHRDKGLNNV >RHN40190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14036685:14041050:1 gene:gene46310 transcript:rna46310 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLFFTVAFSVVPLTLYIPPIRSLNLFVETMESVMRESTTYSNRIYPRLRIAWSRMLNCLLCNNTSMEISMGNLDKKRMERRKKEECEFLSTGQKTNYM >RHN44369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3951798:3956319:1 gene:gene38485 transcript:rna38485 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIIAGTTTPRACRSSRIQQTPKHTENVNPNTPPPSCSAKKSQKPKNPNPNPNPNSPQTKIRRRKFVVVGKKKQKEDVKEGGDDGDSGVLNCNCKEKNRKCVCVAYRNLRKSQEEFFKNRVDEDEEEEEHEEEIPEIDNVVVEKQKEGDVGLGLIVKRSRERLREEVRESVTQIGSGKVQNLVKAFEKLLFEPKNEDEDGKEEKEVRENDDVSGSSFCASDLILTSQNLGLDPRASVSSSWDGSRGSLTSKGGRSSRRNSLESTTTTLGGRRRLRKKQPKVTRPEPFKLRTDQRGKVKEEVFEQKVQQMKTEEEKQRIPVAQGLPWTTDEPECLAKPPVKEITIPVDLKLHTDVRALDRAGFDEQIAEKLLFIEQYKLEKERQQKLAEEEEIKRLRKELVPKAQPMPYFDRPFIPRRSMKCPTLPKTPKFHKKVKCSSSSSSLYSDMNQYSSCLN >RHN58530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2550253:2550411:1 gene:gene20451 transcript:rna20451 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQPLASPGLYGNCVTTLPTCLRFECWQMDGDGELLMVVEK >RHN44278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3113466:3122349:-1 gene:gene38378 transcript:rna38378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSTSSSNNHQFRYTQTPSKVLHLRNLPWECSEEELVELCSPFGRIVNTKCNVGANRNQAFVEFVDQNQAISMVSYYASSSEPAQVRGKTVYIQYSNRHEIVNNKSPGDIPGNVLLVTIEGVEAGDVSIDVIHLVFSAFGFVHKIATFEKTAGFQALIQFTDAETAASARDALDGRSIPRYLLPEHVGSCNLRISYSAHRDLNIKFQSNRSRDYTNPMLPVNHSAIEGAAQPAIGPDGKRIETESNVLLASIENMQYAVTVDVINTVFSAFGTVQKIAMFEKNGQTQALIQYPDVTTAAAAREALEGHCIYDGGYCKLHLSYSRHTDLNVKAFSDKSRDYTVPLVPAPVWQNPQAAPMYPTNSPAYQTQVPGGSPAYQTQVPGGQVPSWDLTQHAVRPGYVPVPGAYPGQTGAFPTMPSYGSAAMPTASSPLAQSSHPGAPHNVNLQPSGGSTSGPGSSPHMQQNLGAQGMVRPGAPPNVRPGGASPSGQHYYG >RHN45491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21398868:21400073:-1 gene:gene39837 transcript:rna39837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin protein, neddylation MIFVQELLDMKDKYDSILNLAFNHDEEFHGVLDSSFEYIINLNHNLPEFLSSFLDVKLRKGFEGNSEEIILDKVMMFIKLLHDKDLFHKYYKKHLPKRLLFGKTISEDIERSLAVKLKRVCGYKFALLEIMVMDIKTSKEMLQGFYRSHAKLGDDPKLIFQVLTTGSWPLLRTTDSSCNLPVEVSALHEKYKSYYLGINAGKKLSLQPNMGNAEIIATFGNGRKHELHVSTYQMCVLMLFNDIDQLSYKDIETATKINSLDLIKCLYSMVFVNGKNIIKKVPMNGNISEGDVFFINDMFKSKFYKIKLETVATQRESEHEKLQTRKNVEEDRRPKIEAAIVRIMKFKKQLDHKNIIAEVTKELKSLFLLNPTEIKKRIESLIERDYLERDNIHNNLNRYLA >RHN62134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40990524:40995940:1 gene:gene24670 transcript:rna24670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MAVLPTSSSNLELTISIPGFASSPISFLPSSSVKKLDVNRVTIEEEWMALEEEEESSVNGDTPRKKLRLTKEQSHLLEESFRKNHTLNPKQKECLAMQLKLRPRQVEVWFQNRRARSKLKQTEMECEYLKRWFGSLTEQNRRLQREVEELRAMKVGPPTVLSPHSSEPLPASTLSMCPRCERVTTNTTAAVAAEKISH >RHN45320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16546432:16546656:-1 gene:gene39589 transcript:rna39589 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase epsilon chain MALMGGFARIGNNEITILVNDAEKSIDIDPQEAQQTLKIAEANLNKAEGKRQKIEANLALRRARTRVEAINRIS >RHN64728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61334877:61337293:-1 gene:gene27577 transcript:rna27577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribulose-bisphosphate carboxylase MSAGTFAAPVAGPGFVGLKSNSSSLWPSATYSIEWKKKTVSNGSKIHCMKTWNPINNKKFETLSYLPPLSDDSIAKEIDYMLKKRWTPCLEFDEFGCIHRANSQMPGYYDGRYWTLWKLPMFGCNDSSQVLNEINECKKTYPNAYIRCLAFDNKRHMQAMAFVIHKPTTTTTN >RHN49241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53504793:53505038:1 gene:gene44052 transcript:rna44052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative coatomer beta subunit (COPB1), coatomer beta subunit, appendage platform protein MLYNLDFVVYCSVLHWNGKCGFLSANLYAKSVFGEDALANLSIEKQADGKLSGYITVRSKTEGIALSLGDKITLKQNGTTQ >RHN60520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27587652:27588137:-1 gene:gene22841 transcript:rna22841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA synthase MSSAGIALKANITTLGKYVLPLLEQFKFVSTFVVKKYFNNKVKIYTPDFKLCFDHFCVHTGGKAVLDEIQKVLGLSDFQLEPSKMTLYRYGNTSSSFVWYELAYCEAKGRIRKGDRIWQIAFGSRFKCNTAVWCALKNVDPIKEINPWSDEISEFPVDVSI >RHN75587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43561318:43574281:1 gene:gene11817 transcript:rna11817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MEDNDITRGSGRGAGISINKVKGNKGGKHKTTLSHSSRLQAPRPAETQQSLSQTHTNFPPFLSTSSPQMSNVHAPTSQIPTNTQQPHSTMKPTHHLTSKTPSNIQQSLSTKQPTHHPISQTHTNFSPFLSTSSPQMSTVHAPTSQIPTNTQQPHSTRKPTHHLTSKTPSNIQQSLFTKQPTHHPISQTHTNFPPFLSTSSPQMSTIHAPTSQIPTNTQQPHSTRKPTHHLTSNTPSNIQLPQPTRQPKHHPISQTFTNFPPFLSTPSPQMSTIHAPTSQIPTNIQSPHSTPSPPHLSNTHRPYDVVSGGDPHASSSSCDDSYPIAGSTDPTDRRIWIRPGPQLTFEPAVKPPRDITKIMKRLFQGTWATYGELMKNDTALADLWYNEFQRIYKWLPEHDQDIKKTYHHKASDGYQNTMYRVRRGMDKGEWIPALLREKLEQNWEDSKWKDKAAVNKRNRRSSNGPLHTCGSIPTIEHSKRLKTDSNMTPSCWEVYLKTHKMKGDPSKWVSSKSQMVADEYERRIFERNSQQTEGDDVSNDHQSDNFIFLDVVGGVDKKGRIYGLGTEAGKYKPSSSRSSDGISPSEYEHMRTAISKMSAENMELKERLKTNEELIRASQEESRLAREQAQQSQEDSRLLREQFQKLMESFTQGHSHLPPYQPHRSS >RHN52837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39360913:39365624:-1 gene:gene37556 transcript:rna37556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MSPLSLAIPAILFLIFILILSSYLFFHPNQHQHHQKNPPGPKPFPIIGNLHILGKLPHRTLQSLSKIHGPIMSLKLGKIPTIIVSSPESAEKFLKTHDAIFSSRPKLQASEHMFYGGKGLGLAPYGSYWRNMKKLCTLHLLSGSKVEMFASMRSEELGMLIKSVDKAAVLGEVVNLSEIVGEVIANITYKMVLGCNKDSDLDLKGVIRECMNLAGSFNLADFLPWLSIFDIQGLTSRMKKTSKAFDQIVEKIIKDHEQDMKKDPHHKDFIDILLSLMHKSMDPHDEEQKQVIDITNIKAIILDMIGGALDSASTTIEWAMSELLRHPNVMKKLQNELENVVGLNNKVKETDFENLPYLNMVIKETLRLYPAGPLLAPRECLEDATIDGYYIKKNSRVIINAWAIGRDPKIWTNNCDIFYPERFINSNIDLRGHDFQLIPFGSGRRGCPGIQLGLNTVRLVVAQLVHCFDWELPFGMSPNDLDMSEKFGLSMPRFNHLLAVPTTRRQKFDI >RHN41228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28434364:28438470:1 gene:gene47527 transcript:rna47527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MMSGEGKVVCVTGANGFIASWIVKFLLQCGYTVRATVRHPSNSEKVDHLVKLDGAKERLQLFKADLLEEGSFDSVVEGCDGVFHTASPVRFVVNDPQAELIDPALKGTLNVLQSCAKSPSVKRVILTSSISAVVFDTRPKNPGVIVDETWFSNPDLCRESKLWYTLSKTLAEAAAWKFVNENSIDMVAINPTMVAGPLLQPELNGSVEPILNLISGIPFPNKAYGWCNVKDVANAHILAYETASASGRYCLAERVVHYSELAMILRDLYPTLQISDKCEDDGPYMPTYQISKEKAKSLGIEFTSLEVTLKETVESFREKKIVNF >RHN70066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48419858:48421270:1 gene:gene18609 transcript:rna18609 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRAAMASEIEQLSRIFLYVNLFSLSASYSMAEPIPAVWPEQFHALMFMNKSGVLQKVDLWYDWPNGRNFNIIQNQLNDVVVYDLEWTNGTSFIYTLHPSDRHCKVVHFPVGILCPNFLDDATYLGQEHVDNFLCNVWTKVDFIVYYEDVLTRRPVKWFFYSDGGYTAHVMTFEVGAVLEDPHWQAPIYCFNQTEIIIIESTTVHEDFGSLMRGEVDAAAA >RHN42672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40195609:40197554:-1 gene:gene49150 transcript:rna49150 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLQTKTLSIQKMETVKPKSAMNSRSKKFAKTFQKVISLKSATKIASNNGICMLNSHLKVKEDEDPFTDHHQMKINSKNQHKNKVRNKAVMEALIARLFAGVTTIKASYAELQMAQHPYNNDSIQAADQAVVDELRAISELKRRFLKKELDLSPQVTIMLAEIQEQQSIMKTYEITIKKLQGEVDARDSQISTLRKKLDECISFNKSLEKKLNSNASLSLFVNLELSMLNHTHFVYFLHHTLRSIRNFVKLMIEEMESANWDVEAAVKFIHPNAVFTKPSHRCFAFESFVCITMFEGFNYPNFIVSNDPLHNIHQNHYFDKFKRLKSLNPKQYLENNPNSSFAKFLKSKYLQVVHAKMECSLFGNLNQRKLVNSGGYPDSAFFLAFAEMAKRVWTLHYLALSFQEDVSIFQVKKNTRFSEVYMESVTEESVSTSCSGDSTDSNSGEFRVVFTVVPGFNIGKTVIQSQVYLSLVDSSTS >RHN79638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30806957:30807375:1 gene:gene3455 transcript:rna3455 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTVITAGVPFTNHQICHRRRRPQRGCSRSPSHVTLFHHHAVTVIRRRVDEEEEKRNLRNTFWLFISIKNKMLFFKLIFYELKRF >RHN64214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57337492:57339163:-1 gene:gene27004 transcript:rna27004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphopantetheine binding ACP domain-containing protein MPFHPLHCSLGYLVFKLHVFSEEDNGFGVVEIVMGLEEEFGISVEEESAQSITTVQEAAYMIDNLLESKSAPRTKTHMHFRVFIFLCEGFFNFILGISV >RHN41127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27252253:27254210:1 gene:gene47417 transcript:rna47417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative unspecific monooxygenase MVLDIVMVKDILTDTSLNLGKPSYLSEDMGPLLGQGIISSSGPIWAHQRKIITPELYLDKVKAMVGTIVDSTNIMVRSWETKIEGDGVISEITVDEDLRSLSADIIARVCFGSNYVEGKEIFAKLRDLIKLLSKINLGIPGYRYLPNKRNIQIWRLEKEINSKILKLVKQCQEKGSEQFLLQTILEGAKNCEGSDGLFSNSISQERFIIDNCKSIFFAGHDTTAITSTWCLILLAKYQDWQDRARAEVLEVCRDGNLDASNLRKMKTLTMVIQETLRLYSPVLFAARTTLKDYDLKGIKIPKGITIQIPIPIFQEDKDIWGVDAHEFKPERFSNGVLGSCKFPQAYMPFGIGSRVCPGQHLGMIELKVILSLILSKFRFSLSSTYCHSPTLRILIEPGHDVVLKMTKI >RHN45373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:18200047:18204694:1 gene:gene39664 transcript:rna39664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative succinate dehydrogenase (quinone) MASTMLKRAINSISSSPTSRLTLLRAHASEAQAQQVSPKARDTTVLKKFQIYRWNPDTPSKPELKEYEINLKECGPMVLDALIKIKNDIDPSLTFRRSCREGICGSCAMNIDGCNGLACLTKIPDAGTDSTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKSPAEEDGKEIRQSKKDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWISDSRDEYTKERLEAINDEFKLYRCHTILNCARACPKGLNPGKQIAHIKSLQPKA >RHN68219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33803811:33820190:1 gene:gene16538 transcript:rna16538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative htrA2 peptidase MGDLTQRLESPGAAAKANDLCVEIDPPPCQENAVTADDWRKCLKKVVPAVVVLRITGPRFFDTETTDSSCATGFVVDKRRGIILTNRHVVKPGPVVAEAMFSNREEVPVHPIYRDPVHDFGFFRYDPNAIKFLNYEEIPLAPEAACVGLEIRVVGNDSGEKVSILAGTIARLDRDAPIYKMDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVALNAGGKILSASAFFLPLDRVVRALRFLQKGSETYVDKWKPVSIPRGTLQATFLHKGFDETRRLGIRSETEQIVRNASPATETGMLVVESAVPGGPAYEHLEPGDVLVRVNGEVITQFLKLETLLDDSVNSNIELQIERGGTSKSVTLLVQDLHSITPNYFLEVSGAVIQPLSYQQARNFRFHCGLVYAAEPGYMLSKAGVPRHAIIKKFAGEEISCLKELISVLSKLSRGARVPLEYISYADRHRRKSVLVTVDRHEWYAPPRIYTRDDSTGLWIAKPAFQPDCLFLSSGAKDAGNLASHPISLTGERACGGDVGEGNLQELVDGVPSLETNYEDLSERVTHHNGSDGIVNKQRVDGLSTDGSVADISLNESEKSKLEKSGAIQDDGLMDFQGANAATANASGTERVIESTLVNFEVHVPPSCMLDCVHSQSFIGTGVIIYHTKDMGLVAVDKNTVAVSSSDVLLSFAAFPVEIPGEVVFLHPVHNYALISYDPSALGPIGASLVRAAELLPEPALCRGDSVYLLGLSRSLRATSRKSVVTNPCAALSLESAGSPRYRATNMEVIELDTDFGLAISGVLTDEQGRVRALWGSFSTQLKVGSSTSSHQFVRGIPIYSISQILDKIISGANGSPLLINGVKRPMPLMRILEVEFYPTLLSKARSFGLSDVWIQALVKKDPIRRQVLRVKGCLAGSKAENLLEQGDMVLAINKEPVTCFLDIENACQALDKSNINDDKLQMTIFRQGREIELLVRADVRDGNGTTRTVNWCGCLVQEPHSAVRALGFLPNEGHGVFGTRWSYGSPAHRYGLYALQWIVEINGKPTPDLDSFVNVTKELEHGEFVRVRTIHLDGKPQVLTLKQDLHYWPTWELRFNPDTGIWHRNVIKTLNHSSV >RHN69878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47064978:47067139:1 gene:gene18407 transcript:rna18407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MTMSELGPYLAVLTMQLIYAGMTLMSKAVFNEGMKTSVFVFYRQLIGAMIMVPLALIFERKQAVPVKFSFKTIFKIFMLSLFGITLALNVHGIALVYTSASLAAAIVNCLPACTFFFAVLLRLEKVNIRTISGISKIVSVLLCMAGVAILAFYKGPQIRIARHLLSGYHHNYQQHKDSESHEKKWILGSFLLFLATVMWSLWIVFQAQLLKSYPSRLRFMSIQSISSAIQSFIIAIAFERDFEQWKLGWNMRLLAAVYCGVLVTGVSYYLQALVIEKRGPVFSATWNPLSFIIATIGSVFLLGEPLRLGSVLGGIVLVLSLYTILWAKRKEGVTQHNSLPIQGYNKECPDQVKTEDICTKPPQ >RHN74549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34643366:34643581:-1 gene:gene10637 transcript:rna10637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, isopenicillin N synthase MNYYPACPNPDLTVGAGQHTDTGSITVLLQDGVGGLHVKVEDDNDVGQGEWLEIPPIPGALVINVGDALQV >RHN53177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42544020:42545618:-1 gene:gene37952 transcript:rna37952 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRQAQVTPPYIIYTYNLWFAVSHFHNLYMSHLKRKNWPKTTPYHHPWDYPNEKKTMEEFSFESMRKDVKYHARTKSELQSHMEMLDLINSCEAAYKADVRKQKAAEARNQKHKAAELPKQISPFDPFELPKQSTDEFRRQNFFKFLASIEARNQKQKAAADRKKKAAADRKLKAAEARKQKQAEVHEQEVAEVQEQKHETAEVRKQKQKAAEVRKQKQVAAEVRKQEAAELRKLKQEAVELRKQRAEARKQKAEAVEIRKREAEVAEGNIKSKRQKK >RHN74466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33764778:33766850:-1 gene:gene10548 transcript:rna10548 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YC4 MDNSDQTQQQQQSAIGVAPGTSQMVYSSHYQTVPMLASGTPAVAVPTPTQPPAAFSNSAHQFAYQQAQHFHHQQQQHQHQQLQMFWANQMQEIEQTFDFKNHTLPLARIKKIMKADEDVRMISAEAPVVFAKACEMFILELTLRSWIHTEENKRRTLQKNDVAAAIARNDVFDFLVDIIPRDEFKEDGLGMAKATTPIIGAPADMPYYYVPPQHPLGPTGMIMGNPVDHAALYSNQQPRPPVAFMPWSNAHIQQQQPPQQQQTDS >RHN63995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55780187:55781792:1 gene:gene26767 transcript:rna26767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain-containing protein MGILYGMVARGQVVLAEFSVIQSNASVVAKQILNQINQGTNSYNDSNVSFSHDRYVFHVRRTDGLTVLCMADEAFGRRIPFSFLEDIHNRFVKTYAGAILSAPAYTMNDEFSRILSQQMDYYSTDPNADRLNRLKGEMTQVRTVMLDNIEKVLERGGRLEMLVEKTATMNTNSVRFKRQARRYKNNMWWSNVRLTVALIMIFAIVFYIILAFMCHGPFLTSCWR >RHN50163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4589283:4591556:1 gene:gene34418 transcript:rna34418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MSSFANKKPHAVLIPYPVQGHINPLFKLAKLLHLRGFHITFVNTEYNHKRLLKSRGPNALDGFADFCFETIPDGLTPVEDDDGNVSQDILSLCKSIRKNFLHFFRELLARLDESANSGLIPPVTSLVSDCYMSFTIQAAEEYALPILLYSPGSACSFLSVSHFRTLIDKGLIPLKDDSYLTSGYLDNKVDCIPGMKNFRLKDLPDFIRTKDLNDFMVEFFIEAADQFHRASAIVFNTYNELESDVLNALHSMFPSLYSIGPLPSLLSQTPHNHLESLGSNLWKEDTKCLEWLESKEPESVVYVNFGSITVMTPNQLLEFAWGLADSKKPFLWIIRPDLVIGGSFILSSEFENEISDRGLITSWCPQEQVLIHPSIGGFLTHCGWNSTTESICAGVPMLCWPFFGDQPTNCRFICNEWEIGLEIDMDVKRDEVEKLVNELTVGEKGKKMRQKAVELKKKAEENTRPGGRSYMNLDKVIKEVLLKQC >RHN67635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28850293:28851029:1 gene:gene15842 transcript:rna15842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MVMIFIIFVITFTYGFLENVVSISNGNEIFVRDETYANSLPYEAIFNFGDSISDTGNDAAFSNKTMPDNSPYGSTYFKHPSGRLSNGRLIIDFIAEAYGLPFLPAYMNVNNSQVDMKKGVNFAFSGASALEKIYFARRGIIEPQTDHSLSVQFEWFKKLKSFMCKSKKGFIITPYIFCLF >RHN45029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10923167:10924326:-1 gene:gene39224 transcript:rna39224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MTYVKHAPLALAVFLLATLIMFPVKKVEAHHCSIGPCSTPWATCGSEYCICIPMGSSNICQPSSYKDVVKITGKNHNFCQSHVECKEKGRGSFCARYPSSKVDYGRCVASISEEEDFLRMSVIV >RHN71845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3032146:3038730:1 gene:gene7485 transcript:rna7485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MAAISSDLYPSEDDLVYEEELLRNPFSLKLWWRYLIARSDSPFKKRFIIYERALKALPGSYKLWHAYLRERLEIVRSLPITHSQFETLNNTFERALVTMHKMPRVWIMYLQTLTQQKLVTRTRRTFDRALCALPVTQHDRIWEYYLFFVSQKGIPIETSLRVYRRYLQYDPNHIEDFIEFLINSSLWQESAERLASVLNDDKFYSIKGKTKHRLWLELCDLLTRHANEVSGLNVDAIIRGGIRKFSDEVGRLWTSLAEYYIRRGLHEKARDVFEEGMSTVITVRDFSVIFDSYLQFEESMLAYKMEDMDMSDEEDEENEDGMKEKEDEDEDVDVRFKFDVDVDKKEFVKEFKKNVLSGFWLNDKNDIDLRLARFDYLMERRPELANSVLLRQNPHNVEQWHRRVKLFEGNPTKQILTYTEAVRTVDPMKAVGRPHTLWVAFAKLYEEHNDLANARVIFDKAVQVNYKTVDNLASVWCEWAEIELKHENFKGALDLMRRATAEPSVEVKRKVAADGNQPVQMKLHKSLRLWTFFVDLEESLGSLESTREVYERILDLRIATPQIIINYAYFLEEHKYFEDAFKVYERGVKIFKYPHVKDIWVTYLSKFVKRYGRTKLERARELFENAVETAPADQVKPLYLQYAKLEEDYGLAKRAMKVYDQATKAVPNNEKLSMYEIYIARAAEIFGVPKTREIYEQAIESGLPDKDVKTMCLKYAELERSLGEIERARGVYVFASKFADPRSDPDFWNDWHEFEVQHGNEDTFREMLRIKRSVSASYSQTHFILPEYLMQKDQTVNLEEAKDKLKEAGIPEDEMAALERQLAPAVDKAVTKERKVGFVSAGVESQSDGGIKTNANHEEIELPEENDSDDDDIEIAQKDVPSAVFGGLVRKRDEIENNEVDDGAKEKDNESRLGALERIKKLKRN >RHN54036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6405043:6405587:-1 gene:gene29012 transcript:rna29012 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLKSGFGRVVVIVAATSGYDYSHFFPLTAAEWTPFERVPFILISSNEVNGFLVGPWDGLRFLCGKGKSEFW >RHN40160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13747832:13748575:1 gene:gene46274 transcript:rna46274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MTRRKVKLAFIVNDAARKVTYKKRMKGLLKKIDELSTLCGIEACAIVYGPYEPQPEIWPSPWGVQNVLSKFSMMPEILMEQSKKMMNQETFMNQRVMKAKEQVKKQQKDNKQKEIALLMFQCLNAGKIVDNNMSMVDVNNLAWLIDQNLKDIGRRLEAVDNNGQNQIMTTPTQSEVQFQMAPPPLVKKEEMAMMGHDHFGITMSNGDIMQRQLFMNLMMNDNRDENVPFGHPHDASLQNGFWPNLLP >RHN48333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46742946:46760653:1 gene:gene43039 transcript:rna43039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CLASP domain-containing protein MEEALELTRAKDTKERMAGVERLYHLLEASRKSLSSSEVTSLVDSCIDLLKDNNFRVSQGALQSLASAAVLSGEHFKLHFNALLPAVVDRLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGSSAWAHKSWRVREEFTRTVTSAINLFSATELPLQRAILPPVLQLLSDPNLAVREAAILCIEEMYTQAGPQFRDELHRYNLPSSMVKDINARLEGIQPKVRSSDGIPSGYITGEIKTSNVNPKKSSPKAKSSSREASLFGAEGDVTEKPVDPIKIYSDKELVREIEKIASILVPEKDWSVRIAAMQRIEGLVLGGAADYPCFRGLLKQLGGPLSTQLSDRRSSIVKQACHLLCFLSKELLGDFEAYAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADCAKNDRNAVLRARCCEYALLVLEHWPDAPEIHRSAELYEDMIKCCVSDAMSEVRSTARMCYRMFAKTWPERSRRLLSSFDPVIQRLINEEDGGIHRRHASPSIRDRGALTSLSIQASASSNPPGYGTSAIVAMDRSSSLSSGTSVSSGVLLSQAKSLGKGTERSLESVLHASKQKVTAIESMLRGLNMSDKHNGSSLRSSSLDLEVDPPSSRDPPFPAAASASNHLTSSSTTEPTAYGVYKGSNRNGGLGLSDIITQIQATKDSAKSSYHSNVEIEPLPSLSSYSTRRASERLQERSSADDNSDIREARRFINHNTDKQYLDAPYREGNFRESHNSYVPNFQRPLVRKNATGRMSAGRRRSFDDNQLSLGEISSYSDGPASLHEALSEGLRSGSDWSSRVAAFNYLHSLLQQGPKGTLEVVQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIVPACRKPFEGYMERILPHVFSRLIDPKEVVRQPCSTTLEVVSKTYSVDSLLPALLRSLDEQRSPKAKLAVIEFAIKSFNKHAMNAEGAANIGILKLWLAKLTPLVHDKNTKLKEAAITCIISVYNHFDSTAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLVNYLQNKKERQRSKSSYDPSDVVGTSSEDGYVGFSRKAHYLGRYSAGSLDSDGGRKWSSQDSTLLKSSLGPAASVECEDHNHSHNHNLETDSNCDSPGSKPKDLAYSVNPMGQNFGSQSSQLGQVDSSMNFEGLSTPRLDVNGLISLERLNVGEGYAHDKELPSALELNHHSTEAVKINSMADTGPSIPQILHMICNADDGSSVSSKQTALQQLFEASTTNDQSVWTKYFNQILTVVLEVLDDSDSSVRELTLSLIVEMLKNQKDALENSVEIVIEKLLHVTKDIVPKVSNEAEHCLTIVLSQSDPFRCLSVIVPLLVTDDEKTLVTCINCLTKLVGRLSQEELMAQLPSFLPSLFEAFGNQSADVRKTVVFCLVDIYIMLGKAFLPYLQGLNSTQLKLVTIYANRISQARTGKAIDIVHD >RHN56546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31806144:31810074:-1 gene:gene31962 transcript:rna31962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEALLGVVFENLLSLVQNEFATISGITSKAEKLSTTLDLIKAVLEDAEQKQVTDRSIKVWLQQLKDAVYVLDDILDECSIESSRLKASSCFNLKNIVFRRDIGKRLKEITRRFDQIAESKDKFLLREGVVVRERPNEVAEWRQTSSIIAEPKVFGRVDDRERIVEFLLTQAQVSDFLSIYPIVGLGGVGKTTLAQMVYNDHRVSSNFNTKVWICVSETFSVKRILCSIIESITKDKFDALDLDVIQRKARELLQGKRFLLVLDDVWSRNQGLELGLSQDKWNKLKSALSCGSKGSSILVSTRDKDVAEIMGTCLAHHLSGLSENECWLLFRQYAFGCAGEEREELVAIGKAIVKKCGGLPLAAQALGGLMRSRSDENEWLEIKDSNLWTLPYENSILPALRLSYFHLTPTLKRCFAFCAIFPKDMEIVKEDLIHLWMGNGFIFSKANLDVEFFGNMIWKELCQKSFFQDIKIDDYSGDITFKMHDLVHDLAQSVMGSECMILENTNTNLLRSTHHTSFYSDINLFSFNEAFKKVESLRTLYQLEFYSEKEYDYFPTNRSLRVLSTNTFKLSSLGNLIHLRYLELRDLDVETLPDSIYRLQKLEILKLKYFRKLTFLPKHLTCLQNLRHLVIEDCNSLSCVFPYIGKLYFLRTLSVYIVQSERGYGLGELHDLSLGGKLSIQGLGNVGSLFEARHANLMGKKDLQELSLSWRNNGETETPTTTAEQVLEMLQPHSNLKRLKILYYDGLCLPKWIGFLNSLVDLQLQYCNNCVLSSLGKLPSLKKLELWGMNNMQYMDDAEYHDGVEVRAFPSLEKLLLAGLRNLERLLKVQIRDMFLLLSNLTIIDCPKLVLPCLPSLKDLIVFGCNNELLRSISNFCSLTTLHLLNGEDVICFPDGLLRNLTCLRSLKISNFPKLKKLPNEPFNLVLECLSISSCGELESIPEQTWEGLRSLRTIDIGYCGGLRSFPESIQHLTSLEFLKIRGCPTLKERLKKGTGEDWDKIAHIPKLHVD >RHN43486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46240556:46241176:-1 gene:gene50071 transcript:rna50071 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDDATIEVCVQTYTIHHQAAGIPIEIIPDHEVLLRYGRSIQLLHSHIVGADEKLLQLRLQ >RHN56474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31098550:31103987:-1 gene:gene31882 transcript:rna31882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium/proton exchanger MSFFSESTSRPFRTMNEDLENNNVETVQHNISSNSIVRKKSDMVLVTNNVRFQILRNVMTNMKEVMLGTKLVVLFPAVPLAVAADFYSLGRPWIFALSLLGLAPLAERVSFLTEQIAYFTGPTVGGLLNATCGNATEMIIAILALHQNKIHVVKFSLLGSILSNLLLVLGSSLLCGGLANLKREQRYDRKQADVNSLLLLLGLLCHLLPLMFKYALAGGNHSIANSTLQLSRASSVVMLLAYVSYIFFQLKTHRKIFDAQEVDDEDDEKAVIGFWSAFSWLVGMTLVISVLSEYVVGTIEAASDSWGISVSFISIILLPIVGNAAEHAGSIIFAYKNKLDISLGVAMGSATQISMFVVPLSVIVAWIMGIRMDLDFNLLETGCLGFAIIVTAFTLQDGTSHYLKGVILTLCYIVISACFFVLKTPQINHYGIAML >RHN63103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48465791:48473185:-1 gene:gene25762 transcript:rna25762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain, AT hook, DNA-binding protein MDSRSAPPQQPTMMVGPTSYAPTNTTMISPNSSTTTTANIMAPATARFPFASPQSEPFSVTHDGPSSPSTLGKKKRGRPRKYSPDGNIALGLAPVSSPVAATSAASAGDSGNADAPPKKHRGRPPGSGKKQLDALGAGGTGFTPHVILVESGEDITEKVMAFSQTGPRTVCILSAIGAISSVILRQPASGSIARYEGQFEIVSLSGPMPLSENNGEQSRTSSLYVSVAGADGRVLGGAVAGELTAASTVQVIVGSFIVDRKKSSSSMVKSGPSSAPTSQMLNFGAPTTPTSPTSQGPSTESSEENDHNSNFSRGPGLYNNANQPVHNNMQQMYHHPLWAGQTHP >RHN48531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48240013:48243311:1 gene:gene43260 transcript:rna43260 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLFCLLPLFLVPIVNVLPILFYYLMGKVYRLFGWEYRKPEIAPPACPYKPAAQKDSKVEAEAAPAPVEAVKAGGVDVKQD >RHN79520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29839806:29842291:1 gene:gene3321 transcript:rna3321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MVCPWPFMASIDSTVTNTANQKLGNKTVTPPVSGKTFAQILSGELSGDAFLAQLPPKVIMGRTVRVKISKAAYESGLAACQTHLHGRLILHKGDAPITTQALKAKLNVQWPQLLNWNLIPLGKGFFEFRFNSVEDMRRIWALGTVNLKPGLLRFYCWSKDFAPQAQSQTHAQVWVRFLNLPQEYWEKHTLFEIASGLGTPLSIDEATQHRRLGIFARVLVDVNLSETMFESVVVERDDHALSVSIQYEKFPLFCANCKMIGHSLQNCSKLGASEFPFNGPVKYSQGANLKKPVNDSVYQAGKKKHVEFKVSNTALQSQYTGPARKNNYNETLTEPMNATSSQVSKNTEYMHNNAEIETHQTPAFDVGVGESDKHTTNQKSDKIQTSGDTIILHNAFDLLETDTEQDIVNAPIHDKESPFTNMDTQIDKNPSVKENSKGLQHLSQITVLEDHGKLGKRVSKSVHLSSGTSPVSYGKVDEFTFEMLETSVEQHTEQNPTTDKESTLTNMDRYIDKNPGVGVISQGQKHFTHITFLEGSSSLEKRTSNSEILSSGTLPVSYVQDNVTTLDDQTILQPLTSPIITRDEILGKDKKQVKIVNMPGNTAAACLKDGKVLRKFWCEDSDSTIDPETDSEPQNITIPTSSQYLESNTVNKSKRGRPKKQRSPKNKAGISSTAQETENVHTRSQTGSKPHNNNKNIP >RHN76750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:977038:977426:1 gene:gene123 transcript:rna123 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHLFHIITSLMLVLVGTNAAIFPPQYYWKSMLPNSSMPKAITDLLNPGQHHTLKLMSMYIYIQFYFHMFNFNLYQQNWEQ >RHN39335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6186629:6187370:1 gene:gene45345 transcript:rna45345 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGYTLLLECRFLVCYGILCVIGLASLKLISLLHQINSFSLVI >RHN51785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24999304:25000196:-1 gene:gene36305 transcript:rna36305 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNMCLDVLDAKLYVNLFGFVFGKMNVLKMTLVAKMRFWPICLQV >RHN74800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36749759:36752253:1 gene:gene10920 transcript:rna10920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKTLMFIYIVILLTCVLAVIDINAFSFPCKTNSDCPSYLCHYPKNPECVERECICW >RHN55169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15730526:15731720:1 gene:gene30306 transcript:rna30306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative matrilysin MMKLYQFEFLLFLLLIIVNTTLSISFSTLSQFTKPLEKFDKVINSEGSDIAWDKFVMDPLKDKVMESEAKKMVENIKPSPPSQLLKYKGLDQIKQYLQNFGYLEQSGPFNNTLDQETVLALKTYQRYFNIYAGQDSLRKILQHIALPRCGVPDMNFTYDSTNDISYPKGNQWFPKGTKNLTYGFAPKNEIPLNVTNVFRKALTRWSQTTRVLNFTETTSYDDADIKIVFNNMTYDDGIYDVVVAVTLIKLDSANMNTGLISLDITKHWVFPTEDGELDLETAAMHQIGHLLGLEHSSDSKSIMYPTILPSQQKKVQITDSDNLAIQKLYSSSTKANANSDDSSGCFKLSGSSSSLFISLSIVFAFVALLN >RHN53272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:530689:532092:1 gene:gene28163 transcript:rna28163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cellulose-binding family II/chitobiase, carbohydrate-binding domain-containing protein MWACCKSDPKFKSKLLKTKFLPRQKGDLTIAYDVLQSYDSSYLVQVTIDNNNPLGRLDHWNLTWEWTRGEFIQTMKGAFTREITYTGCVYGVAGQYYKDMDFSKVINCQKNPVISDLPPEKYNDTEIGKIPFCCRNGTLLPILMDPSQSKSIFQMQVFKCPPDLDKKSIFPPARWKIMGVLNSAYTCGPPLKVEPTKFPDPRGLDATTYSIVSWQIVCNITKPKHRSTRCCVSFSSYYNDSIVPCNTCACGCDDDDTNRHCNPNARAMLLPSEALLVPFENRTLKTVAWAKLKHFRVPKKLPCGDNCGVSINWHIVSDYKGGWSARITLFNWKPFPFENWFTAMQFKKSVSLGFEKVYSFNGSLLTKFNNTIFMQGLQGTSYLIGEDNGTYLKVPGKQQSVISFTKKFKPNMQIAKGDGFPSKVLFNGEECSIPTQFPMQTANQQNVYLVQEILVLVLAFTMNHILY >RHN79398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28461180:28464291:1 gene:gene3184 transcript:rna3184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ML domain, phosphatidylinositol/phosphatidylglycerol transfer protein MEKKMITSKLFFFFSTLFLLQAFTDATDVHYCDKKASYDIEVKEVQISPDPIARGRPATFTISATTNQTLSGGKVLIDVSYFGWHVYSETKDLCGESSCPISVGDFVLAHSQVLPGFTPPGWYSLKMKMYDENNNELTCITFDFYIGFGSSVADM >RHN75299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41074143:41076777:-1 gene:gene11479 transcript:rna11479 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISKAPSNNNMISFESSEESSWTKYFEDFFNNDQKCSINSFSDFDDGSSSLVSDAANSLVGEKKLADQSAQGGMEYIKKLSFKKRKKIITSLVDHDLEDTASSPINSPKVFKAKEKEEIDHFYQEKGNTPREKDERKEVGLNERDTSRDHTELNKKGLCLVPRSMVLNYVG >RHN52750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38385923:38386812:1 gene:gene37454 transcript:rna37454 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGSDEPDRSAHVIKHRDRFSSSFSPSSFSLKLLLSLLSRKKNAAPLFLSSPASAVFRRGGRWWHHRAGVSKLTLFKKFFTYLEFLFLPLFKSGLEILKTNHINTRSRKIFINQNFSKTFSNEHKPSRSEGERRKNVLPLLRRKTSEPSI >RHN39708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9811498:9812311:-1 gene:gene45761 transcript:rna45761 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIVLHLPGPKIMQIMLAALSWNGEGSFVFTSSSAPYDCNDNGPCDECDTPAVPIGRSPRVDVLLKAENVVLEFGSCVLRLAGLYISFIAFKLLEFEELFLT >RHN73296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15178272:15183956:-1 gene:gene9095 transcript:rna9095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAD hydrolase, subfamily IA MSILPKLRCVTIDVTGTLMAYKGELGDYYCMAAKASGRPCPDYKRMHEGFKYAYKDMAKKYPCFGFAAKMPNIVWWKTCVRDSFVRAGYEYDEETFEKIFRRIYSSFGSSAPYTVFPDSKPFLRWLRGKGLKVGIVSNAEYRYRDVILPALGLNQGSEWDFGVFSGLEGVEKPDPKIYEIALERAGNIAPEEALHIGDSMRKDYEPAKSIGMHALLLDRFKTPEAVEWRKSGAVVLPDLTTTQEWLSSEKSTS >RHN69969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47641064:47643624:-1 gene:gene18504 transcript:rna18504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MKIALGTAKGLAFLHEADKLVIYRDFKTSNILLDSDYTAKLSDLGLAKDGPEGEETHVTTTCIMGTKGYAAPEYIMADSDVLLVKGLKNSTLVWRLIVLAFAMVCGVCICSICLKQISTGSRIGFLDINVIQMPCPGPNIEPWEIPYVHYPNH >RHN73038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12921440:12922099:1 gene:gene8813 transcript:rna8813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MAYIVDHDHFHSHRLYTVNVHGNDITVTVTAVASVVRKWISTTLFLFRRRTYLQSNHLVAGLGVQWTANGRYPPPDTLQLCIGRRCLIYQLTHANYIPRILRRFLENPDHTFVGFWNHSDRRKLEMSKHGFDLYRDPLDLRHYAEALDEDDDEDLARSSVPLIVEKCLGYDVEDELSGEIGRSNWNDEDLSHKQVVYASVDAYCAFLIGKNIKAWRFTE >RHN46017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27770039:27774858:-1 gene:gene40453 transcript:rna40453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAGFKRRLCNDSDMHALHRELDEVSCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKLRDNSKENPNLQSSLINTNNSSGSRQGDAQDPSRHLDQHDEGILETADSENLQDRAVLEEELDVDNSSEDSKSSLHCPLCRGTVLGWEVVEEARNYLNNKKRSCSRDSCSFAGDYLELRRHARRVHPTSRPSDVDPTREQAWQQFERQREYGDIVSAIQSAIPGAVVVGDYVLENGDGIGRLPPGGGREGSNGNGNVPWLTTTTILFQMMDNTIEIVREPRARSSNGWSRHRRSSDRRRYLWGENLLGLQDNEVEEDLRIFNELVEDASHVPRRRRRLNRTRSNEDHS >RHN78840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19070712:19072078:-1 gene:gene2501 transcript:rna2501 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKNEGRPFPIKGYVPTVNEPFPSNEYHTKVNEALKSVPLGGGNRFSSIGFQPIVNDSLKTIQPFGRGTPFSSEDRYARVNEALKSHPNRGKEPFPSNEYHTQVNEALKSVPFGGGNRFSSIGFQPTVNDALKTIQPFGRGTPFSSEDRYARVNEALKSHPNRGKEPFPSNEYHTKVNEALKSVPFGGGNRFSSIGFQPTVNDALKTIQPFGRGTPFSSEDRYARVNEGLKSHPNRGKEPFPSNEFHTQVNEAQKSVPFGGGNRFSSIGFQPTVNGALKTIQPHFPVKFVMQE >RHN56128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28002203:28004122:-1 gene:gene31475 transcript:rna31475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MGWIVFHSMCLFLFVFPSWASSLVPLCNHDDSSALLEFKNSFSLNVSFIRKKCEPAYYPRTKSWKNGTNCCLWDGVSCDTKSGYVLGIDLSQINLIPFSLHNESDFTLPNLLGLSLSSCKLKSFPSFLNELKTLENLDLSYNQINGRVPSWFNNLGNGTLSSLDLSHNLLTSTGNLSHMNISYIDLSFNMLEGEIPLPPFGTSFFSISNNKLTGDLSSRICNARSLEILNLSHNNFTGKLPQCIGTFQNLSVLDLQKNNLVGIIPKIYFEMRVLETMILNGNQLTGPLPHVIAKWKKLEVLDLGENNIEGSFPSWLESLPELQVLVLRANRFNGTISCLKTNQTFPKLRVFDVSNNNFSGSLPTTYIKNFKGMVMTNVNDGLQYMIGSNIYSYYDSVVVTIKGFDLELERILTTFTTLDLSNNKFEGEIPTIIGELKSLIGLNLSCNKINGPIPQSFGGLRSLEWLDLSSNKLTGEIPEALTNLSFLSKLNLSLNQLEGIIPIGKQFNTFENDSYKGNPGLCGFPLSKPCHKDEEQPRDSSSFEHEEEFLFGWKAVAIGYASGMVFGILLGYIVFLIKRPQWLIWFVEDIACLIRRKMKRRSQKFLANKRG >RHN71475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:406618:411034:1 gene:gene7081 transcript:rna7081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MESESSLVLPWHWVIEALAGFKEITLPTLQALIDASLLTHHDFSETTKDLIALKCLEELYPSSSSSTTTLEELDSSLSSQDVLLQILHQVSLSNLRTSGPELFKWDVNRFIAHKRADNVKCQLEKLKESILDGTLPLNDHLKERSGLFQTNRAHTGKCYGYSTYAQDSGEKENSVSLIPEDNNCLSSKRNRVYSANEHEPDLHLKGSNLSQKTVSSDKSQDDTSVYQCENLNLVMKRRKKDSHDKKFNKGSQLLVSEATSVPLLVPESCIGMLTNIYPRHTSGVEPCRNKLIDEANDTVHVEPIPTNDDGNADKVQHMTDESQPKQKEPNVASLKGSQKPAASDKAVVDTVNDCGAELSSDSDVYYNEKIDLTAIKAEFLSSQHASGQDLPAMTESRGQNLCSKCNEAGQLLVCTTCPLMLHKNCLGDSAQLEAKGNFLCPFCKYSHAISEYLEAKKISSSARKELAIFNSKGSIEN >RHN65175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64709855:64710082:-1 gene:gene28083 transcript:rna28083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MDRRMPDIDGFEVAARIRKFKSGNRPIIVALIASAEEDLCVGKVMQIGVNGVIRKPVLMQGIASELRRILMQGNI >RHN45453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20941711:20942888:1 gene:gene39796 transcript:rna39796 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPNGNSKQKRVKKTQEREIGDAVTVQLARRVSLLAVASCDEQLYGNRPVFSQKLNFSSTQTPNLIGNSTYVYSTT >RHN81731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48522545:48526841:-1 gene:gene5816 transcript:rna5816 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MASLFTCYCVVTLLLVCLCSCISSQIGLGSRLLASKDQVWVSDNGTFAMGFTPSKTDNHLFTLGIWFARLPGDRTFVWSPNRNSPISHEAILELDTTGNLILMDKKITIWATNTSNANVESATMSESGNFILHNINNHPIWQSFSQPSNTLLPNQPLTVSSELTSPKSSSHGGYYALKMLQQPTSLSLALTYNLPETYQTLDENESSYANYSYWQGPEISNATGEVIAVLDQAGSFGIVYGDSSDGAVYVYKNDNDDAGLASAIHQSTPLTVLRRLTLEENGNLRLYRWEDVNGSKQWVTQWAAVSNPCDIGGICGNGVCKLDRTKTNASCTCLPGTSKAGRDGQCYENSSLVGKCTNGQNENMTSKFRISMVQQTNYYFSESSIIANFSESDVSSLSKCGDACLSDCDCVASVYGLNEERPFCWVLRSLNFGGFEDTSSTLFVKVRANSSWTPEGQDGSSNSSSDGMGSAKEKAVIIPIVLGMIVLIFLLCMLLYYSVHRKRTLKREMESSLVLSGAPMNFTYRALQIRTSNFSQLLGTGGFGSVYKGSLGDGTLIAVKKLDKILPHGEKEFITEVNTIGSMHHMNLVRLCGFCSEGPHRLLVYEFMKNGSLDKWIFPSYRGRDRLLDWQTRFDIAINTAQGIAYFHEQCRNRIIHCDIKPENILLDENFCPKVSDFGLAKLMAREHSQVVTMVRGTRGYLAPEWVSNRPITVKADVYSYGMLLLEIIGGRRNLDLSFDAEDFFYPGWAYKEMANGSAIKVADRSLNGAVDEEELTRALKIGFWCIQDDVSMRPTMGEVVRLLEGQGSNNINMPPMPQTVLELIEEGLDHVYKAMKREYNHYSSFTITSHLTSHATCSNSTMSPR >RHN82170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51683890:51684279:-1 gene:gene6297 transcript:rna6297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVQENRKLPWDVLDIISKTLDFVDHFQFAGVCKNWRTFHKIYSRNFLASQEPLLLQISCHPKGSFSFISIPNQKVYCSKMGKYFFHSAYVTISSGYFIMARYNDNSFMLMSAYSSYLEMKVSCCFILYI >RHN39567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8245247:8252828:-1 gene:gene45602 transcript:rna45602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alanine transaminase MRKSAADRFRHLFNRSLVFVRNQNQQYHHPSPLRSLSSMASDSPFPVTAQNINPQVLKCQYAVRGEIVTLAQNLQKALQANPDAHSFDEIIYCNIGNPQSLGQQPITFFREVLALCDYPALLDKSETQGLFSADSIERAWQIVDQIPGRATGAYSHSQGIQGLRDTIAAGIEERDGFPCNANDIFLTDGASPAVHMMMQLLIRSEKDGILCPIPQYPLYSASITLHGGHLVPYYLDEATGWGLEISELKKQLEDAKSKGISVRALAVINPGNPTGQVLAEDNQRAIVEFCKQEGLVLLADEVYQENVYVPEKKFHSFKKVSRSMGYGDNDICLVSFQSVSKGYHGECGKRGGYMEVTGFSPDVREQIYKVASVNLCSNITGQILASLIMSPPKVGDESYESFMAERGAILSSLTTRAKALEEALNKLEGVTCNKAEGAMYLFPRIRLPEKAIKAAEAEKSAPDAFYCKRLLNATGIVVVPGSGFGQVPGTWHFRCTILPQEDRIPAIVTRLTEFHQKFMDEFRD >RHN54011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6199095:6212049:-1 gene:gene28986 transcript:rna28986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MADDDGSSRKRRPTRGPDATARSQALELLRSRRSNGPRSTTTPQIRLENPIYDTIPEDEYTALVASRREQSRSFIVDDDGFGYNDEGEEEDWSKAGFSLSSDEEFDGESEKPKRKKEKDASQIKRPSVSSSAAKLSAAAAMMGGSRVSSMFTSANFKKSRDDKVCESIVDDVLKEFAPDENDKISRRKVQSNSSSVVNDARIKAIPKPSAESVLGSFASENFTKIGNRGNGEVESVRVNKDRDNGSRLGLVEKSEVEVNESLGNCEGGMVVEDNECGNEDLVEEKVAYVKDEEMEVKPVVKKEGFTLNAKVSEEAVDPKLCATAGWQAARSGGGGGGEVNVADTNNQQQTEFNLEPEGSLPFYILDAYEEYYGANMGTLYLFGKVKTGTLYQSCCVVVKNMQRCVYAIPSHPLHSTNEMIQLEKDVQESRISPADFRKKLQDAVSDTKNEIAKHLVDLGVSSFSMAPVKRKYAFERTDIPAGENYVVKINYLFKDTALPVDLKGKSFCALLGARNSALELFLIKRKIKGPSWLQVSNFSTCSASQRVSWCKFEVIVDSPKDIRASSPSSSKITLVNPPVVVTAINLKTTINEKQNINEIVSASVVSCNMVKIDTPMLASEWKRPGMLTHFTVIRKLDGNIFPMGFNTEVTDRNIKAGSNVLCVESSERALLNRLMLQLHKMDSDVLVGHNISGFDLDVLLHRSQACRVPSSMWSKLGRLNRSTMPKLDRRGKTFGFGADPAIMSCVAGRLLCDTYLCSRDLLKEVSYSLTHLAKTQLNQSRKEVAPHEVPKMFQTAKSLMELIEYGETDAWLSMELMFYLSVLPLTRQLTNLSGNLWGKTLQGARAQRVEYLLLHEFHKKKYIVPDKFSNYAKETKLTKRRVTHGVDDGNFDDADINDANYHNDASESDHKKNKKAASYAGGLVLEPKKGLYDKYILLLDFNSLYPSIIQEYNICFTTVERSSDDSFPRLPSSKTTGVLPELLKKLVKLRREKKTWMKTASGLKRQQLDIEQQALKLTANSMYGCLGFSNSRFYAKPLAELITLQGREILQSTVDLVQNNLNLEVIYGDTDSIMIYSGLDDIAKATSISKKVIQEVNKKYRCLEIDLDGLYKRMLLLKKKKYAAVKVQFKDGTPYEVIERKGLDIVRRDWSLLAKDLGDFCLTQILSGGSCEDVVESIHNSLMKVQEEMRNGQVALEKYVITKTLTKPPEAYPDAKNQPHVLVAQRLKQQGYTSGCSVGDTIPYVICCEQGGSSGSATGIALRARHPDELKQEQGTWLIDIDYYLSQQIHPVISRLCASIQGTSPERLADCLGLDTSKFQHKSSEASDDPTSSLLFAGDDEERYRGCESLVLSCPSCSSVFDCPPVFKSICMLGNEKPTSSGTDESDYNFWRKLCCPKCFENGAGRISAAMIANQVKRQAEKFVLMYYRGLLMCDDETCKHTTRSVSFRLVGDSERGTVCPNYPRCNGHLNRKYTEADLYKQLSYFCHVFDTVCYIEKMEAKSRIPIEKELIKIRPIVDLAASTIQKIRDRCAFGWVKLQDLVVAI >RHN52747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38363911:38364735:-1 gene:gene37450 transcript:rna37450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK-LRK10L-1 family MSLYLYLGKLKDGREVAIKRFHEETEKTINQFMKEIEILSHLHHQNLVSLYGCSSRHSNKHMLVYEYISNGTLTQHLHGSSFSKLSWLTRLNIAIETANALVFLHDSGIIHRDIKGSNILLDESFAVKVADFGLSRFLPDYVTHVSTLPVGTRAYIDPDYYDTGRVSEKSDVYSFGVILFELISSKPASLMQGTEHVTLAQFAMSKILNKELQMLVDQSLQISFNKNVVEMITTVTELAFQCVQCPKELRPTMKQVLETLQGIRKGKWGFNQIT >RHN69153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41409185:41411509:1 gene:gene17585 transcript:rna17585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAFCNKIGNLLRQGATQSTQAPVSSMLNYLRHMSSSKLFIGGLSYNVDDQSLRDAFTTYGDVVEARVITDRETGRSRGFGFVNFTSEESATSALSMDGQDLNGRNIRVSYANDRQAGGPRPGGGYGGGGGYSGGGGGYSGGGGGGW >RHN44947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10222743:10223395:-1 gene:gene39134 transcript:rna39134 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAPIVIGLILFVLFSPGLLFQLPGKGRVVEFVNFQTSAISIFVHSLLFFGFMVIFLVAINVHINSG >RHN77829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9694250:9696056:-1 gene:gene1322 transcript:rna1322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family METQKIPIDHDTLLLSDIFNETEFGSEDLFSILENFNNFPPIFIDHNSKTTSSSVPQDSETELAETSQKCKRQKIVEEQNNDGQHRMSHITVERNRRKQMNEQLSILKSLMPCFYVKRGDQASIVESVIDYINELHQLLQCLESKKQRKVYNEVPSPRPLPPSPRIIFPISPRTPSQWLQQCGGYLSSEPSPTSSASSINDNINELVGNSTSLVADVEVKFCGSHVLLKTVSSRIPGQALRIMSVLEDLRLEIVHVRVNTADETMLYLFTIKIGIECQLSAEELAQQIQQTFY >RHN72093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4927198:4931535:-1 gene:gene7766 transcript:rna7766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MERVFVKNHLCLWIVVVVVVLFLVKELEGCLEKEKLGLLDLKTFLISNSTSKYNNLTSWDKSDVDCCSWERVKCNHTTGHVMDLLLGGVTIPTNTTYLWIFNFSYFLPFNHLVHLDLSANYFDGWVEIEGLCGMKNLQELDLSRNGMSGYFPQCLRNLTSLRVLDLSSNNFVGNIPSFIISLKSLEYLSLFDTNFDGIFSFSSLNNHSKLEVFLLSPKTNNLYVETEESPSWHPTFQLKVLQLRNCFLNSKRDGTFPTFLLYQHELQLLDLSHNKLSGNFPSWILENNTKLETLYLMNNSFTGTLELPTFKHGLLDLQISNNKIGGQLQEDIGKIFPNLYYVNLSKNSFEGILPSSIGEMQTIRTLDLSNNNFSGELSSHLISNLTSLRLLRLSHNSFHGLVPLLSNLTRLNWLYLNNNSFSGVIEDGVSNNSSLFSLDISNNMLSGRIPRWIGRFTKLSVLSLSKNRLQGEIPNELCNLISLSYLDLSENNLSDFLPYCFKNFKYMKFLYLQKNALQGNIPYAFSQLTKLTSLDLRDNNFFGNIPQWINRLSKLRVLLLAGNKLTGPIPIYVCELEHVRIMDLSHNWINETIPPCIKNISFKMVEFQTTAVGGRAVQNDNDSKDKIQYYGNTATSYIFLVDDIWFTPGNTFDIFYNSSLSLNHPIADEYMISYEIVEIEFRTKSYYLSYKGNNLNLMTGLDLSSNNLSGSIPPEIGELRDIKALNLSHNRFSGSIPGTFPNLINIESLDLSYNNLSGALPQNLTNLYSLAIFNVSYNKFSGRVPTTMQFANFDENNYRGNSDLCGSVINITCNHTSIFPPASTTQHQTAIDMESFYWSCVASYVTVVIGLAVILWVNSHWCRVWFRYVDLCIFYCFSRCFKNVFH >RHN70605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52677072:52681410:1 gene:gene19204 transcript:rna19204 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSYWLLRFCFLLTFFSSSLCHSSTSSSLSQLTSVKENEGNGVVFSRFDVFAAAPYENSPLPLAAERTRRKDPLDGFNKYTSGWNISDHHYWASAAYTAVPVFSIAAVWFLGFGFCLLLLIVCYFCRKTESYGYSSTYYALSLILLILFTFITLIGCAVLYIGQGSFHRSTTTTLQYVVYQADSAVDKLRNVSDYLAQAKLVGIDRVFLPANVQTDIDAAETDINASAGTISDKTKENSDNIQDLLDSVRLALIIIAAVMLVLTFLGFLFSIFGMQVLVYILVIAGWFLVTGTLILCGLFLILHNVTADTCVAMNEWIQYPTANTALDDILPCVDKATAQETLLRSKEVTSELVNLVNQVITNVSNINFAPNFTPLYYNQSGPLMPLLCDPFRPDMTDRQCDSGEVNISNATQVYGNFVCQVSPSEICMTQGRLTPTFYNQISAGINVGNALYNYAPSLVELQDCTFVRETFTDIYNEHCPGLRHYSKLIYVGLIMVSFAVMFSLIFWGVYGRERRHRLYTQESKDSTLVTPTRAHAPTRRAPAPTRRAPALAPAPTRLALTPSSHALELSPYP >RHN68421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35356638:35358812:1 gene:gene16772 transcript:rna16772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MSMENYNDSSEWQHLSTNCEFQSWNLPIEGSVEDRAASASKSHSQAEKRRRDRINTQLANLRKLIPKSDKMDKAALLGSVIDQVKDLKRKAMDVSRVITVPTEIDEVSIDYNHVVEDETNTNKVNKFKDNIIIKASVCCDDRPELFSELIQVLKSLRLTTVKADIASVGGRIKSILVLCSKDSEENVCINTLKQSLKSAVTKIASSSMVSNCPTRSKRQRFFLPSHFV >RHN62453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43549621:43552244:-1 gene:gene25032 transcript:rna25032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGLCRCSACSGKNYILVALHSWNDDRPCFWGFIVPMGLYNSSLVMLNLRCLGIVFDLDETLVVANTMRSFEDRIDAPHRLVEIDGQVYGKGTGLTWNVAKMQAAEKALGSQRTMHGQGIQRWQSSPRPFQGFSYKRLKQEHSRILQGFASSGRYRRNATAIP >RHN57856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42183986:42185799:1 gene:gene33445 transcript:rna33445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribosylformylglycinamidine cyclo-ligase MLAFETGIHDTIGIDLVIKGIVDGCKQSDCALLGGERCLVYTKKVPDIVSKGGEKGIAHITGGGFTDNIPRVFPEGFGASICKDSWEMPAVFKWLQEAGKIEDSEMMRTFNMGIGIVLVVTPEAANRILENGSDTDKAYRIGEVISGKGVTYC >RHN56552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31841074:31841781:1 gene:gene31968 transcript:rna31968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MRFVTEFHRNGKLVKGINTTFIVLIPKVDNPQRLNEFRPISLVGSMYKIIAKLLANRLRLVIGSVISETQSAFIKNRQILDGILIANEVVDEAKKFKKDLMLFKVDFEKAYDSVEWDYLDSVMERMSFPVLWRKWIRECVGTATASVLVNGSPTDEFQLHSGLR >RHN40302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15100882:15102464:-1 gene:gene46445 transcript:rna46445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MCEAAWFGLMDQYQVGKPLEKLHQGCLSHAPFKTRLARPYICTIDDCQASYRRKDHLNRHLLQHDGEKFKCPIENCSRDFSLKGNMTRHVKEFHNKDSSTSIDVKIQKQHVCSEIGCGKAFKFASKLEKHKDSHVKLESIEAVCLYPGCMTSFTNLQCLRAHTKSCHKYVNCETCGKKQLRKNIKRHLRKHETGSSSEVFHCDYKDCSSTFSSKSNLCKHVKAVHLQDKPFICGFPDCGMRFAYKYVRDNHEKSGSHVFTLGDFKETDEQFRSRNRGGRKRKCPTVEMLVRKESCSPNSTRVAYEGC >RHN69961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47595114:47612988:-1 gene:gene18496 transcript:rna18496 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta catalytic subunit MNKSNSRKRPAAPPSQPPPSKHAASQEEEFMDEDVFLDENLISEDEESAILRDIEQRQALASRLAKWNRPPLSQEYLSQSCSVLFQQLEIDYVIGESRKEFTPKISGPAAIIRIFGVTKEGHSVCGKVHGFQPYFYINCPPGMRLEDLSSFQKVLEARMREANRNSNVQGNYVRDVVFVQKKSIMYYQQTDLHPFIKITVALPTMVASCRGILDRGIQIDGLGMKSFMTYESNVLFALRFMIDCNIVGGNWIEIPAGKYKKTTKSLSYCQLEFDCLYSDLISHAPEGEYSRMAPFRILSFDIECAGRKGHFPEPTHDPVIQIANLVTLQGEDQPLIRNVMTLNSCSPIVGVDVMSFDTEGEVLLAWRDFIREVDPDIIIGYNICKFDLPYLIERAATLKIAEFPILGRIRNSRVRVKDTTFSSRQYGIRESKEVTVEGRVQFDLLQVMQRDYKLSSYSLNSVSAHFLSEQKEDVHHSIISDLQNGNAETRRRLAVYCLKDAYLPQRLLDKLMFVYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNAKQAGSEQGTFEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPENARMLNIPLESMNKTPSGETFVKSNLQKGILPEILEELLAARKRAKADLKEAKDPLEKAVLDGRQLALKISANSVYGFTGASIGQLPCLEISSSVTSYGRQMIEHTKKLVEEKFTRLNGYDNNAEVIYGDTDSVMVQFGVSTVKDAMDLGKEAAEHISGTFTKPIKLEFEKVYCPYLLISKKRYAGLFWTKEDSHDKMDTKGIETVRRDNCLLVKNLVNDCLHKILIEKNIPAAVQHVKNAISDLLMNRMDLSLLVITKGLTKTGDDYEVKTAHVELAERMRKRDAATAPNVGDRVPYVIIKAAKGAKAYERSEDPIYVLENNIPIDPQYYLENQISKPILRIFEPILKNASKELLHGSHTRSISISTPSNSGIMKFAKKQYTCIGCKALLSTGISTLCIHCKGREAELYCKTVAQVSDLEVLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMAEARLQLDRWSF >RHN59373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9973699:9976062:-1 gene:gene21399 transcript:rna21399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MDLTNLVLSSQNLQECTNNFNQNNLIGLTQFGRLFRGNFQGQHVLVKILDDEKFKYISSKYNDEYLIIKEEIKFWTNPNLKGCPNLVSLIGYTWERDIKGIVYDINPLDTLDKVIKQDSLNWLQRINVIHELAMVLKFIHDQEKQNMVLNITASHILLDKDCKPKLFDFLLLSEMKLLKEQLTMSTSYIDPYFSLRGGEWDRSSEVFSFGIILLELVTKRSSNIENTEDTSLNMDNLVHIWAKNVYKPNCSLVHKHLQEDWLYCAEDGVAITRLALQCIEFFPANRPSMRDVLQNLEKLSVLQHVFDARSTKREKKLISS >RHN57305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38007142:38007781:-1 gene:gene32828 transcript:rna32828 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAIIIKGGDIWRHSKGYELNQNYVMVLGFFSHGALSPLLWKHSPFHRGFDPPFLQAILSPTLCHGLPSISTPCIQPATAENLYKLQTVQIWYLSDVVSPFLSRGGVSTPETTASILYLHGCTRPPPFP >RHN71580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1162338:1167055:1 gene:gene7194 transcript:rna7194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MEENKNLFDRSIIKKSHYCRNQSFFRSHYLFFQKQTMTTRTNQPPQPNGVNGGEQRPRAPPPSSYSNGFNNHPQYYPRTPARSSSSASLKGCCCCLFLLLSFLALLTLAIVLIILLAVKPKKPQFDLQQVGVQYMGITQTPNNIPTGAGASLSLTIRLLFQAANPNKVGIKYGESSFTVLYRGIPLGKASVPGFYQDAHSVRNVVATIVVEKVNLLQADAADLIRDASLNDRVDLRVSGDVGAKIRVMNFDSPNVEVSVDCAIVISPRKQSLTYKQCGFDGLSV >RHN79790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32235919:32236140:1 gene:gene3627 transcript:rna3627 gene_biotype:protein_coding transcript_biotype:protein_coding MCCLEFNPKELLEVRFHNFVMLFYCYKSLCTRNRFHQNSMYNRKPLIKLGPFNLQSTGFETQYFQ >RHN58697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4128079:4131248:1 gene:gene20630 transcript:rna20630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative development/cell death domain-containing protein MYIDPYAWINDDYSDETQYPAQVKVRVGLQCRPLSEDKFERVIAENYYDNNHFWFELDRSQTTKLMYLLASTPIAPDTYVPRYNTKWRSVRPCPSYETMKKDQYTQQVHTHPIKTKVNEGEKNRIHKKLLKLALGKKNQDLSFLDNINGVSDEKKIKGYIEAPPDLDKNEDNNSSASFKNLYTIIQSVQQDEELKAFQKTHSQKNGHLEQKLMKSSLKIHHVKDNCKKFECTNIEKTAIQSFEYEDAIKYFQPMNSLGLYTSQIQVKVDGITTNEIDCFTNVDIVNLDHKRWISLHSNVDKKFEIATMEPNGSLYTNGACKGFDYLKYTIGGFDEYTMVSYMELFDPCLELRMELMNYLEDFYSAIDIKESMGSRESKFLKTF >RHN67580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28381610:28382635:-1 gene:gene15780 transcript:rna15780 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTLADKGNHDWLIDQLIYNEEGELRIQEPKPLNNGEETKHEEDEKCKPDMDDIKNVKVATDHDKKSMSGQISESNLIAPKVSNVEASVSQKPGSGPTLRFSFSRERLFNLPVSSTDTTPVNESMFDIIPSPIDKRQETMFLTDRRICHTPTYSIASDLQVEVSEVGSPTSTVDDSDRDSALYDGDIDKGVSSGSEDLWGASFHGRAVARNEEDNIGEDVSKVVSPISLRQIDEDVADVSSYSSRDEGPDDTPTCCAVNTDQNVFGNYMEYSEGKYEMPQSSNSSDGTAPQNELIGSSTDQFPNETHQKKQQVSHFDLINGNYLSYIPTSHIIQILNWFK >RHN56980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35427617:35430919:1 gene:gene32453 transcript:rna32453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MEKCLLLSLILLFFHFPSFSSSSSFNSSLCHHDESFALLQFKSSFTIDTPCVKSPMKTATWKNGTDCCSWHGVTCDTVSGHVIGLNLGCEGFQGILHPNSTLFHLAHLQMLNLSNNYFSNDFSGSHFHSKFGGFMSLTHLDLSSCFFQDEIPSQISDLSKLQSLHLSGNDKLVWKETTLKRLVQNATSLRELFLDYTDMSLIRPNSINLLFNRSFSLVTLNLRETILSGKLKKSILCLPSIQELDMSYNDHLEGQLPELSCSTSLITLDLSGCGFQGSIPLSFSNLTRLASLRLSGNHLNGSIPSTILTFSHLTFLYLDDNVLNGQIPDSFHLSNKFQIIDLSGNKIGGELPTSLSNLRHLINLDLSYNSLSGQIPDVFGGMTKLQELRLYSNNLVGQIPLSLFKLTQLVRFDCSYNKLRGPLPNKITGFQQLVRFRLNDNRLNGTIPSSLLSLPRLLNLYLSNNQLTGHISAISSYSLEALNLGGNKLQGNIPESIFNLVNLAVLDLSSNNLSGVVNFQHFGKLQNLYSLSLSQNTQLSLTFESNVSYNFSHLRELDLSSINLTNFPILSEKFLSLDYFDLSNNNLNGRVPNWLFETAESLNLSQNCFTSIDQISRNVDQLGSLDLSSNLLEGDISLSICSMKSLRFLNLAHNKLTGIIPQYLANLSSLQVLDLQMNRFYGALPSNFSKYSDLRSLNLNGNHIEGHLPKSLSHCKTLEFLNLGSNKIEDKFPDWIQTLQDLKVLVLRDNKLHGHIANLKIKNPFPSLVIFDISGNNFSGPLPPKDYFKKYEAMKAVTQVGENTSLLYVQDSAGSYDSVTVANKGINMTLVKIPINFVSIDFSRNKFNGGIPNDIGELHALKGLNLSHNRLTGPIPQSIQNLTNLESLDLSSNMLTGMIPAELTNLNSLEVLDLSNNHLVGEIPQGKQFNTFTNDSYKGNLGLCGLPLSKKCGPEQHSPPSANNFWSEEKFGFGWKPVAIGYGCGFVFGIGLGYYMFLIGKPRWFVMIFGGHPKRRVNRRT >RHN59482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11134866:11148517:1 gene:gene21513 transcript:rna21513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdenum cofactor sulfurtransferase MAKEEFLKEFGEHYGYPNAARTIDQIRATEFNRLQDLVYLDHAGATLYSELQMESVFKDLTTNVYGNPHSQSDSSAATHDIVRDARQQVLDYCNASPEDYKCIFTSGATAALKLVGEAFPWSCNSNFMYTMENHNSVLGIREYALGQGAAAIAVDIEDVHPRIEGEKFPTKISLHQEQRRKVTGLQEEEPMGDVYNLFAFPSECNFSGLRFDLDLAKIIKEDSSKILGASVCKKGRWLVLIDAAKGSATMPPDLSKYPVDFVALSFYKLFGYPTGLGALVVRNDAAKLLKKSYFSGGTVAASIADIDFIKRREGIEELFEDGTVSFLSIASIRHGFKILNSLTVSAISRHTTSLALYTRKTLLALRHGNGSSVCILYGRHDLMEMCHEMGSIVSFNLKRPDGSWYGYREVEKLASLSGIQLRTGCFCNPGACAKYLGLSHMDLISNTEAGHVCWDDQDIISGKPIGAVRVSFGYMSTFEDAKKFIDFVKSSFMSPQNHVDNGNQLKGVNGFHDTCYYLKSITVYPIKSCGGFSASSWPLSNNGSLKHDREWILKSLSGEILTLKRVPEMGLISSFIDLSQGMLFVESPHCKERLQIRLQLDFYDSAIQDIELQGQRYKVYSYDNETNSWFSKAIERPCTLLRYSGSSHDFVLDRTKDIVTCKDTNSAVSFANEGQFLLVSEESVSDLNKRLCSDVQMDMCETEIEINTNRFRPNLVVSGGRPYDEDGWSDIRIGNKYFRSLGGCNRCQVINLTLNAGQVQKSKEPLATLASYRRVKGRILFGILLKYVSVNGEQQQGDSWLHVGQEVHPD >RHN80197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36048438:36059530:-1 gene:gene4095 transcript:rna4095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MAKYNFQHFITTLLLFFFISPTFSKQSFRPKALVLPVTKDVATTNQYKAQINQRTPLVPLNIIVDLGGLFLWVDCENQYISSTYRPARCRSAQCSLAKFDDCGVCFSSPKPGCNNNTCSVAPGNSVTQSAMSGELAEDILSIQSSNGFNPGQNVMVSRFLFSCARTFLLEGLASGASGMAGLGRNKLALPSQLASAFSFAKKFAICLSSSKGVVLFGDGPYGFLPNVVFDSKSLTYTPLLINPFSTAAFAKSEPSAEYFIGVKTIKIDGKVVSLDTSLLSIDSSNGAGGTKISTVDPYTVLEASIYKAVTDAFVKASAARNIKRVDSVAPFEFCYTNVTGTRLGADVPTIELYLQNNVIWRIFGANSMVNINDEVLCLGFVIGGENTWASIVIGGYQLENNLLQFDLAASKLGFSSLLFGRQTTCGYTFDLIEGDVGKLTVKSLADQQILDKAKKIKDNHYKVARSSQSGVIVIIIKKPHIPVSFAQLVGISHFICRGFEPRTLHFSTRNHSGSTHICADDSGVTYPYHHGYDYNCMYNDPVPHTNNNYVAPNTVNVANKSFDNATTKSETIGDHNLFQDIKLMIFYGLIVIIQRNVALLTSQIVFDLKAPTSLIHYEPNQMWQLDQYNINRPASTPSSQTQYHLHLYTLAMANSNFQHFITILLLFFFISPTFSQQSFRPKALVLPITKDGATTNQYKAQINQRTPLVPLNVIVDLGGQFLWVDCENKYISSTYRPARCRSAQCSLANSDGCGDCFSSPKPGCNNNTCGVTPDNSITHTATSGELAEDVLSIQSSNGFNPGQNVVVSRFLFSCAPTFLLKGLATGASGMAGLGRTKIALPSQLASAFSFARKFAICLSSSKGVVLFGDGPYGFLPNVVFDSDSLTYTPLLINPVSTASAFSQGQPSAEYFIGVKTIKIDEKVVSLNTSLLSIDNNGVGGTKISTVDPYTVLEASIYKAVTDAFVKASAARNIKRVGSVAPFEFCYTNLTGTRLGAAVPTIELFLQNENVVWRIFGANSMVSINDEVLCLGFVNGGKNTRTSIVIGGYQLENNLLQFDLAASKLGFSSLLFGRQTTCSNFNFTSTA >RHN66619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15165294:15169247:1 gene:gene14652 transcript:rna14652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5-formyltetrahydrofolate cyclo-ligase MRAAAKGVLVSGQLYAQQPYGMLLRMTSTNCNTGDELDAIFKQKKALRTQVRKTLKAIDPSLRSQQDKAIQDIIVGAPWFKSSRGLCAYISCSALREVDTSKLLSHILQPPPAGDKKLYVPRVEDKNSHMRMLNISRIDDLVANSMNILEPTPVDADGNARQDVLQANDPVDIMLLPGLAFDKSGRRLGRGGGYYDTFLKNYRDLAETRNWTQPLLVALSYSQQILDDGVIPVTSTDIPVDALVSPEGVIPISSAAFDRMDL >RHN41845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33541263:33541943:-1 gene:gene48208 transcript:rna48208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MGHVQFATEIMRLKPSFALKLNQQGFSPIHLALQNNQKTLHIALNQGTYFEKSMVLRFVDMNKELVRIKGREGLTPLHIACQNGEVDLVANFLFVCPNSIQDVTVRGETALHVAIKNKQYNLKTNRQKGAGELEKLTLNCMDEMGNTILPVSSLNNDSKSKWSIWSLTLHLVVTKVLGSALNTK >RHN62146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41135662:41136552:-1 gene:gene24690 transcript:rna24690 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKRHQIKVPMILNLILVFFYITTSIVNGVENESRKLEEAVVSTTNGTVEKCTPCGDSSPPPPPPANPPPSPPPPSPKKPPSQVYCPPPPSPSYIYITGPPGNLYPVDENFSGAIHRHHRSFTVLLLPFAVALLGIISF >RHN42530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39063670:39064271:-1 gene:gene48979 transcript:rna48979 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKNLSMIATMSKNKSNTSNSHEQVEDFEWLNPNTLEKFAPVVLACGCVIATTISVGLMIGFLKVISKG >RHN46716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34290476:34294085:-1 gene:gene41236 transcript:rna41236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MQTHLRHKEEELLFLSLFLTDRKEEKTNMVSENSLSSSKHSSTTTTPKFCNSYTTRIFADVAGDITIVVDGESFLLHKFPLVTLSGKIRKMVAEANKGSSVSNLELPNFPGGHQTFELAMKFCYGMNFEITTFNVARLRCAAEYLEMTEEYRDQNLISRTDIYLSEIVFQNLQRSVEVLSTCEMLPLNMVEEIEIAKGSVEAIAMNACKEQLVSGLSKLHCDGESKEIKDECVAWWIEDLSILRIDFYQRVICAMGRMGVRSDNIIASLMHYAQTSLKGIGKCQLWNQSRTNSSPTTIEKDQRAIVETIVNLMPTDKISSIIPLTFLFGMLKMAIMLGATIPCRLELERRISLRLEMVSLDDLLIPSLQSGDSLFDVDTVHRLLENFLQRIEEEEAEDYGYESDGIGSNGHGSLLKVGQLIDAFLAEIAPDPCLSLQKFIALIEILPDYARVIDDGLYRAIDIYLKAHTALTEQECKKLCKFIDCQKLSQEACNHAAQNDRLPLQMVVQVLYFEQLRLKNAVSGSSGDGLLSQRMSSGVPSAAMSPRDNYASLRRENRELKLEISRLRVRLSELEKEQMFMKQGMIDKAENGKTFFTSLSKGIGRIANFSSQGGGKRQKASRKSRGTEGKNGRSRRYSLS >RHN50960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12305993:12311933:1 gene:gene35316 transcript:rna35316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MFQFFEQSFHSKDMIMLQIFFYHKREGKDKMQDIWNVICGDDDSACSLLQGKPFCFDFEVLMNPSSCINHLLIIFLNLLLLIMLTFVMIQKSLARSIQDQTRVERYSKLQLVSAITNGSLGLLHFFLGIWILEEKLRKNLTVFPHTWWPLQLLHGFTWILVALTISLLPKQLPRTCLRLFTMLIFFVSGILCALSLSFALSSKELSLKIALDVLSFLGVLLLLFCTYKVCKDEDTDKEVDGSLYAPLNSRVLDVDPVRHISLTPFAKAGLLSRMSFWWLNPLMKKGQKKTLEGKDIPKLQESDRAEVCYSLFIEQLNRKKQKDPSSRSSVLWTIVFCHRREILISGFFAFLKVLTLSSCPIILNAFILVAEGNQSFKFEGYFLAISLLFIKILESLSQRQWYFRSRVIGMKVRSLLIASIYKKQLKLSNAARLIHSSGEIMNYVNVDAYRIGEFPFWFHQTWTTVLQLSIALVILFRAIGLATIASLVVIFLTVLLNAPLAKLQHKYLSKLLVAQDERLKASSEALVNMKVLKLYAWEMHFKNSIEILRIVEEKLLSSVLLQKAYSLMLFWFSPTLVSAATFLACYLLKVPLHANNVFTFITTVRLVQDPISTIGDVIGVIIQAKVAFSRVVKFLEAPELQTTSVRKSYNDEKLKGSILIKSADFSWEYNILMATIRNINLTVRAGQKIAICGEVGSGKSTLLAAILGEVPNTKGKIEVYGKFAYVSQTAWIQTGTIQENVLFGSPLDTQRYEESLHRSSLMKDLELFPYGDLTEIGERGVNLSGGQKQRIQLARALYQNADVYLLDDPFSAVDAHTAKNLFNEYILEGLSEKTVVFVTHQVDFLPSFDSILLMSGGKIQQASTYHDLLIFSQEFKDLVNAHKNIGNPNHLLDVTSTPIHSKSSREIKQYSIEKSSNAKYGDQFIEQEEREKGDAGWKPYLQYLNQKSGYIYFFVGSLSYVIFVICQISQNSWMAANVDDPQVSTLQLITVYLLIGVSSTVFIIIRALPAAALGIQSSKVLFRQLMNSLFHAPMSFYDTTPLGRILSRVSLDLSIVDLDISFNLSYYIASNITYYSGLIVLTSVAWQVLFVCIPMAYVIIRLQRHYYACAKELMRMNGTTKSAVANHVAETTAGAMTIRAFEEEDRFFNKNLDLIDVNASAFFHSFSSNEWLIQRVETAYAIVLASAAFSIAMLPLDTLSSGETFLIFMKIICFYQQCIIYLIMQVSYFLTIAPGFIGMALSYGFSLNSALVYTIQYQCILENYIVSVERINQYSHIPSEAQEVSEGNHPPINWPDVGKVEIKDLKIQYRPNAPLVLHGINCIFEGGHKIGIVGRTGSGKSTLIGALFRLVEPVGGKIIVDGIDISSIGLHDLRTSFGIIPQDPTLFFGTVRYNMDPLSQHSDQEIWEVLRKCQLRESVKDKGGLDSSVVEDGSNWSIGQRQLFCLGRALLRRNRILVLDEATASIDNATDLILQNTIRKEFADCTVITVAHRIPTVMDCNMVLSISDGKLAEYDEPMKLMKKEKSLFGQLVKEYWSHFQ >RHN78088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11794410:11795839:-1 gene:gene1603 transcript:rna1603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin 11-oxidase MEIQYWVWMCAILFASYFCVKLRKKQYPLPPGHLGWPLIGNMLTFVKDFSSGHPDSFINNLVSKYGRIGIYKTHLFGSPSIIVCEADMCRQVLTNDETFKIGYPKSTKEVMRCKPVWSFSRKEHMRFRRLISSLTMGHNTLEMYIPRIEDIVINSLEELSSMSHSIEFLKEMKNISFNIIIDIFLGSYNQHIITKIGNSFTDMHAALFSMPINLPGFAFRKGLMAREKLAKLVKPIVEERRSMIKNGEKTKDKDLLDIFLEARDEDGWKPDDDDIIDMLIGIVLAGHEATANTMMWSMIYLTQNPHIMKKAKVINFVFC >RHN72586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8909794:8909916:1 gene:gene8313 transcript:rna8313 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S11 MQRAVVIIKGPGLGRDAALRAIARSGILLRFIRDVTQAIS >RHN44121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1842754:1843467:1 gene:gene38182 transcript:rna38182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MARIFNLIYVMVLFLSLFIILTNGDVKCDTDDDCRDYLCARPTVGKCIYDYCHCIVMITIDEKLSHQSGINKVVRENGHVSIDPTIKEIKLRENIL >RHN80785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40849727:40858456:-1 gene:gene4751 transcript:rna4751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator C3H-WRC/GRF family MIPNNTADARMVIPSLFLPALTDRHSKLLLSYHTQTPIYFLHSITKTTSLLQHNKNSQNVHTVTTFNNRMDQARSNNNNNIDENVGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKKRAANSAMRANLKKSKPDSDVNLESKSDDFDAPLSTAINNNHRSSTSSGKKLFDKVSKNQFRYTPEGVLGSSSGNNVSKPGDGGDVSPDEDAVLFEENWVSNDSQHASGDDSAGKMTGRSMDVDVNTEFSNGTSDSSQETGGQTCHQCRKNVKDVTWCLKCDRRGYCDSCISTWYSDIPLDEIQKICPACRGICNCKICLRSDNSIKVRIREIPVLDKLQYLHVLLSSVLPVVKQIHREQCFEVELEKKLRGAEIDLPRTKLNADEQMCCNLCRIPITDYHRRCPSCSYDLCLICCRDLREATLHQSEEPQTEHAKTTDRNILSKFPHWRSNDNGSIPCPPKEYGGCGYSSLNLSRIFKMNWVAKLVKNVEEMVSGCRTSDADGPPETGLNALRLCQYSQREASNDNYLYCPTSEELKTDGIGMFRTHWKTGEPIIVKQVFDRSSISSWDPLVIWRGILETTDENMKDDNRMVKAIDCLDGSEIDIELNQFMKGYSEGRILENGWPQILKLKDWPTPRASEEFLLYQRPEFISKLPLLQYIHSKWGLLNVAAKLPHYSLQNDVGPKIYISYGISDELGRGDSVTKLHFNMRDMVYLLVHSSEVKLKDWQRTNVEMMQKTSKESEEKESHGDPDICSRASSPDSSFYTKINGLDLESDQKDSTMDQGVEVYSSAEGNLVNSEIPLRENGDVSEITHPGVLWDVFRRQDVPKVTEYLKMHWKEFGNSDDIVTWPLYGGAIFLDRHHKRKLKEEFGVEPWSFEQNLGEAIFVPAGCPFQARNVQSTVQLALDFLSPESLGEAVRLAEEVRRLPNEHEAKLQVLEVGKISLYAASSAIKEVQKLVLDPKLGGEIGYGDPNLTAMVSENYEKMFKQRQITCA >RHN66336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11026672:11027079:1 gene:gene14296 transcript:rna14296 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWRALRDQPRYGSQVGGNVDSGSSGSKRSYEDSVGSSARPMGRDAAKKKGKKKSKGETLEKVEKEWVQFKDLKEQEIEQLKELTLVKQQKNKLLQEKTQAKKMKMYLKLRDEEHLDDQKNELLGKLERELFEN >RHN40199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14131368:14132286:-1 gene:gene46321 transcript:rna46321 gene_biotype:protein_coding transcript_biotype:protein_coding MVATEVPILSRIDRLDNMLRQLEEIRGYNRSPKSSCASTPTSGSDGRISSVDFSPKSLEKHCRPIETVIMETEVKGTLIERLKQVEDRMLKLEEDWMAERKKEEEEKKMGKRPKKGLKQLVKQCMIKSKREDHKK >RHN67440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27263148:27263423:1 gene:gene15629 transcript:rna15629 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLQHIPKFMQWLKYLSFMYYGFRLLLKVQYSGDELYDCESKGGCRPLQSSPQFATVNLKGGLKEVWVLASMAICFRLFAYLWLRRRIDV >RHN72846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11219596:11222424:1 gene:gene8608 transcript:rna8608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MSNHTHNNKMNSFGLTLTALCCVVVVLGGLPFSSDAQLDPSFYRDTCPKVHSIIREVIRNVSKTDPRMLASLVRLHFHDCFVLGCDASVLLNKTDTIVSEQEAFPNINSLRGLDVVNQIKTAVEKACPNTVSCADILALSAQISSILADGPNWKVPLGRRDGLTANQSLANQNLPAPFNSLDQLKSAFAAQGLSTTDLVALSGAHTFGRARCTFITDRLYNFSSTGKPDPTLNTTYLQELRKICPNGGPPNNLANFDPTTPDKFDKNYYSNLQGKKGLLQSDQELFSTSGADTISIVNKFSADKNAFFDSFEAAMIKMGNIGVLTGKKGEIRKHCNFVNSKSVELGLVNVASTDSSGMVSSI >RHN65885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6219883:6223155:-1 gene:gene13778 transcript:rna13778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFWKKTRELIQLKVIIADLRKDRKVKWCEKAFGQMKNLKILIIRNAQFSNGPQILPNSLSVLDWSGYPSSFLPYEFNPKNLAILNLSKSHLKWFQSLKACVIKCSRMHPTREFGSLH >RHN78217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12878875:12879903:-1 gene:gene1751 transcript:rna1751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MSCKIKSLLMVPRNFVEKYWKGVSNPISLKFPNDSECKMNWVLRDNDIWLLNWKKFARSLRCGDLLVFQYKGGSDFHVIILDDSKLEIDYSSMRFNDHQDSYKHCKQEEESDDDCVEILNNMNATQGTNMNATKQKVSGKFNYFI >RHN38444.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000013.1:25819:32382:-1 gene:gene50729 transcript:rna50729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipid-translocating ATPase MKTKLHLSKIYSFACWRPSFNREHSHIGERGYSRVVFCNEPESFEEGIKKYADNSVSSTKYTLATFLPKSLFEQFRRVANFYFLVTGTLSFTKLAPYTAVSAILPLVVVIGATMVKEGIEDWRRKKQDIEVNNRRVKVHQGHGTFEYTEWKNLKVGHIVKIMKDEFFPADLILVSSSYEDAICYVETMNLDGETNLKLKQGLEVTCYLHQDFEFGDFRASIKCEDPNANLYSFVGSMEFKDQQYALSAQQLLLRDSKLRNTDYIFGVVVFTGYETKVVQNSTDPPSKRSKVEKKMDKIIYLLFCMLFFMAAIGSTFFGITTRDDLDDDDGVIKRWYLRPNNSTIFFDPKRPFVAALFHFLTALMLYGFFIPISLYVSIEIVKVLQSIFINQDIHMYYAQTDKPAYARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGVAYGRCVTEVERAMNTGNDSSLINDITDSPIRIEPLPVKGFNFTDERIMNGNWVNEPYADIIQKFFHLLAICHTAIPEVDDDAGNVSYEAESPDEAAFVITAREIGFEFYKRTQTSLSMYELDPVSGEKVERIYTLLNVLEFNSTRKRMSVIVKDEEGKILLLCKGADSVMFERLAKDGREHKEKTLEDVHEYADEGLRTLILAYRELDEVQYQEFDSEFSRAKNSVSADRETMIDEASDMIESNLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQLIIQLESPEIQALEKAGDKRAIAKASRENIRHQISEGAKQLAASSGTSEQAFALITDGKSLAYALEDNMKDKFLDLAIHCASVICCRSSPKQKALVTRLVKSGTGKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYRRITSMICYFFYKNIAFGFTLFLYEACTSFSGQPEYNDWFMSLYNVFFSSLPVVALGVFDQDVSARYCLKFPILYQEGVQNVLFSWRRILSWMLNGFISAIIIFFFCTKAMENQAYDKAGRTAGREILGATMYTCVVWVVNLQMALAINYFTLIQHVFICGTIAVWYLFIIVYGTIPPGVSTIAYKVFMETLASSPSYWIVTLFVVISTLIPYLSYSAIKMQFFPFYHEMIQWIRYEGKTNDPEFCHMVRQRSLRPTTVGPTARLAAKTNSIRESSTNQI >RHN78884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19550515:19551030:1 gene:gene2550 transcript:rna2550 gene_biotype:protein_coding transcript_biotype:protein_coding MENQNYSAPESYLNKFMIPRPSIGQSSRCDYFSDEHSVIPFKWEEEPGIPKEESSSTSLKIDPPIDIPPPPKLNLTHVPKHPSTQPKSCFFVKPWFGNFKAKKGNNDDSDNDEDVEESFDDFNYIFSGEDFPSSQCVSKSSSGSSTTSSKGSVSKSSRVVHFAKGVYKRLF >RHN73602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18062617:18064373:-1 gene:gene9432 transcript:rna9432 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKPFIVLLFLCALVLINVVAIEPFKDENQIGVIEESKTRIEIDWFYGIFCGPHGYIWGWGKEWKKDIEGGKGERAREEYEHNGGEKNEGIERDQKSERDAENENKGWLSYFTFCKGWEGRY >RHN60566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28273788:28280068:-1 gene:gene22893 transcript:rna22893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucomannan 4-beta-mannosyltransferase MVESEPKVYIPESFQVNYDVSSQIKMIWDVMKAPLIVPFLNACVYISLAMALMLFMERVYMGFVIILVKLFWKKPEQRYKYEPLQDDEELGGENFPVVLVQIPMFNEREVYKVSIGAACGLSWPTDRLVIQVLDDSTDPVVKQLVEMECQRWASKGINITYQIRETRGGYKAGALKEGLKRSYVKHCEYVVIFDADFSPPPDFLRRAIPFLVGNPEIALVQGRWRFVNANECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTAGIWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFLYLGDLQANSELPSTLRAFRFQQHRWSCGPANLFRKMAMEIIRNKKVKFWKKVYVIYSFFLVRKIVAHMVTFFFYCLVIPLTILVPEVHVPIWGAVYIPSIITILNSVGTPRSIHLLFYWILFENVMSLHRTKATLIGLLEYGRANEWVVTEKLGDSVNNNNNKKDKSGDAAKKTNVKVQKKTRSKFMERLNFLELGFAVFLFFCGCYDYVHGKHNYFIYLFLQTLTFTIVGFGYVGTIV >RHN68420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35347543:35351016:1 gene:gene16771 transcript:rna16771 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLNPIINFTSTKLETNYHDASRYSAGASFPKAAQITLVSITSGTRMFLKEKRFGHRFSVANSDQLSTDASNKDIGSTASSSANDQLTSTNPAQAESPTPEVSNVSVASPKSQPVTTRSSQKVRERIRAARVLNQSKEPKTAKSEMGSSVLAAFKESDRGKKRRRSGLPEAPGNLFDDSKRGMPKEGWTFDFPGGSDLFLIIFSFVFISTVMFGTTYIVWKVGAIHFND >RHN39586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8461347:8465317:1 gene:gene45625 transcript:rna45625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-O-fucosyltransferase MDSSSDEDDDHHNLIHQPSTKPRTPPSTFNVEDLNSRFRRVNFTFQKKHYILAILLLLLLLFLFFSVPNLRRYFTTSFTSDSITDRMKESELRAIYLLRQQQQRLSTVFNSSDQNQNPNPKLIEDLKSALFKQISINNEIQQILLNPHRTGNVIDPEFNFGNSNFNVGNYDRCRTVDQSLSKRKTIEWNPKKDKFLVAICVSGQMSNHLICLEKHMFFAAILNRVLVIPSSKVDYQYDRVVDIDHINKCLGKKVVMSFDEFSNVKKGHLHIDKFLCYFALPQPCYLDDERLKKLDGLGLGMSKPKAVWEDEDTRNPKKKTVQDVMDKFSYDDDVMAIGDVFYAKVEHEWVMQPGGPIAHQCKTLIEPNRLILLTAQRFIQTFLGRNFIALHFRRHGFLKFCNAKKPSCFFPIPQAADCILRVIERADAPIIYLSTDAAESETGLLQSLIVLNGKSVPLVIRPARNSAEKWDALLYRHHIEGDSQVEAMLDKTICAMSSVFIGAPGSTFTEDILRLRKDWGSASLCDEYLCHGEEPNIVAENE >RHN60733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30004154:30005116:-1 gene:gene23089 transcript:rna23089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative senescence regulator S40 MANNKGYFARRNHLFLSGDRDSSLTDSDTMFEFEESDIYNSNHANSIEFRKSIHGSRLAKKPSSPKQKQMDAGVAPASMPVKIPDWSKILGDEYKNNYTKRNYVEEEDEDDDEWLPPHEFLARTRVASFSVHEGVGRTLKGRDLSRLRNAIWAKTGFQ >RHN64036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56089263:56098567:1 gene:gene26812 transcript:rna26812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MTIITLKPHNSKSSCFFTQPQTQNQITNSHNPFIKTTFQRFHFHFTPSKLIHRTKQRTVVSCSNTQQDIKETHNKLSKTKINALSDLDDDVELEQHLSGNWPSWKNLPLRYKLIGTTSLAFVICNMDKVNLSIAIIPMSHQFGWNSSTAGLVQSSFFWGYALSQLPGGWLAKIFGGRNVLVVGVLIWSVATALVPFLAGYMPGLILTRILVGIGEGVSPSAATDLIARSIPLEERSRAVAFVFGGLSVGSVLGLLFAPPLIQSIGWESVFYIFGLLGIAWFIGFQFLEEGETRLAAESFSPAQGNMTQSWQTSLKEMNSSLKDVPWKAFFQSRAVWAMIYAHFCGSWGHYTCLSWLPTYFSQELDLNLTEAAWVSILPPLASIFVTSIASQLADSLISKGVETTTVRKICQSIAFLSPALCMTLASLDLGLPPWEMVGLLTGGLALSSFALSGLYCTHQDISPEYASILLGITNTVGAVPGIVGVALTGYLLDMTHSWSISLYAPSIFFYLSGTAVWLVFASSKPQSFSEEN >RHN81264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44433941:44437023:1 gene:gene5280 transcript:rna5280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shugoshin MEGASIFHDSDLQPPVAETGPGQQPKRGKGKFFKGDSVSVVGAAQKKILADITNKGQPKHHPAPVLPPPSTDVSFDLILNENEMMRKLLGQRNVVIESYKAELQKCQSNFQKLRKQNAELALANTQMTREISASRQMVRELQLELACKNGILKAMKLTSMENDHNRAKLIHDIVADESKQSDQKFEEENKGEEQNKGEAKRKRMSKSQSSAPAVKQVKSEKVDSQRCSSRRKSAALKAGKSGSTEEVFEIKYDASHPLESLANENESTSLGSKVHDVAGQDTESSGPANTEQVLAKRNVENKRHSLRRQSALFRPEKPEPAEDFFDTEDPKFEVSNLCDDMSESLPTASSETSENNACTLDPQVTRRSSIGRPSRRSAVKVVSYREVPINLKMRRDK >RHN39030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3636512:3641393:1 gene:gene45015 transcript:rna45015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MVSLRRRRLLGLCSGNNSFVTPLPLYCENLARYEISSQNANPKSEQSMVLDITSVRDSVGTQDSQNTAVKDESGSSDVSGSNLSKEQPSQQSIGPPVKRRKRHSRKPRENQEPCVMRGVYFKNMKWQAAIKVDKKQIHLGTVASQEEAARLYDRAAFMCGREPNFELSEEEKLELSKFKWEEFLAVTRQSITCKKHKKSHSPGPVNMVDEPSIHRGDCDSKQGVTDFPVNGEPEQETTVSRNI >RHN56471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31076250:31081723:1 gene:gene31879 transcript:rna31879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MTCMNENQKQTSGEIAFIHFISFNCLSPHCSTSQTKQNPFFPFVFVRACAFYFPASIITVKRVGFGSVRFFNMAPVTRRTSFPKVHIERDSDSEQSSSSDEEEPLEEEGPLEEEENGVVENEKIEKLEVGFDANRKGKTPITLTLRKVCKVCKKPGHEAGFKGATYIDCPMKPCFLCKTPGHTTLNCPHRVTTEHGVVPAPRRKTSKPLEYVFERQLRHAIPSIKPKCVIPDQVNCAVIRYHSRRITSLEFHPTKNNILLSGDKKGQLGVWDFEKVHEKVVYDDKHSCILNNMKFNPTNDCMVYSASSDGTISYTDLETGMSSSLMNLNPDGWHGPNTWKMLYGMDINCEKGLVLVADNFGFLHLVDMRSNHRNGDAVLIHKKGSKVTGIHCNPMQPDILLTCGNDHYARIWDMRRLEAGSSLCSLEHKRVVNSAYFSPITGNKILTTSQDNRLRIWDSIFGNMASPSREIVHSHDFNRHLTPFKAEWDPKDPSESLAVIGRYISENFNGTALHPIDFIDTSTGQLVAEVMDPNITTISPVNKLHPRDDILATGSSRSLFIWKPKEKSEMVEEKDESRIVVCGKAEKKRGKKKGDNSDESDDDGFISKLKKPKSKQTEWKLSRCSTKDNR >RHN77426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6792148:6793518:1 gene:gene878 transcript:rna878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor BES/BZR family MASDRETSTKKIRRKPSWKEKEKNMMRERRRRAITTKIFDGLRTQGSYNLSKHRDNNEVLKALCAEAGWSVEEDGTTYRKGYNPPLNNDAGTSFSHSQNPCLICPSFPTSIPSYQFTPQPFPPLWISNSAPVTPPLYSPTSTNLTPIPAWDSISKESMAFFSYPFVASSTPASPTHQNLHTPMKFHPFAQSPFAVPTSSSFNAVADNSFSEKTSSKMLGVQVKPWVGEKIHDEGLDDLELTLGTGKGRI >RHN53148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42322567:42324354:-1 gene:gene37918 transcript:rna37918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DYW domain-containing protein MELKLQASMASSSSSSTPFCTYAIHHATNLHPRQNGTNNSRFTPRKTQPLRMGNPSIQPKLNHHQAPHQHKNVNFAHFLQEGNVNQVLELMGQGAFADYSDFLSLLKLCEDLKSLELGKRVHEFLRRSKFGGNVELCNRLIGLYVKCGSVKDARKVFDKMPDRNVGSLNLMIGGYNVNGLGIDGLLVFKQMRQQGVVPDEETFALVLAVCALVDGVEEGLMQFESMKEYGIVPGMEHYLGVVNIFGCAGPLDEAQEFIENMPIEAGVDVWETLRNFARIYGDLEREDRAKLLTVRDPSKAAADKMPLPQRKKQSAINMLEEKNRIREAGYVPDTRYVLHDIDEEEKEKALRYHSERLAIAYGLISTPPRTMLRIIKNLRICGDCHNAIKFMSKIVGMKLIVRDNKRFHHFKDGKCAISMYNLNECNVQLTYVNNNLNRIRRRMFLCRLLVVLVKSTQM >RHN49826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1497868:1500799:-1 gene:gene34048 transcript:rna34048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:N24 MSTINIESLDQDTKDEPKEQTQEDNNEVLKVLEEKINMMDPKNTSGDTEVVITNFLKSKKEVKWYVALLVIRVFAFVFCLIAFSVLGASEQRVLVSENLTNWYSSGFTIQTPYEFHWYKWDEFRYSFAANVIGFVYSGLQICHLVMYLITKKHTINPKLQGYFNVAIDQTLAYILMSASSSAATAAHLLKDYWLEHGADTFIEMANASVSMSFLAFGAFALASLVSGIILCRFT >RHN42595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39589791:39598297:-1 gene:gene49052 transcript:rna49052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetate--CoA ligase MGKKSVMELGIDDFVKVGLSAAEANELKEVLLSLPLSSLSSADTWRHLVSRKVLKPSYPHPLHQLLYYTIYNDHHHSSSSPPLYWFPSLEQAIRTNLGRLMETHGSQLLGASSYKDPITSFPLFHKFSVQHPEVYWSLVLKELSISFVEPPNCILDTSSDQSKHGGTWLPGSVLNIADCCLQPSSHPNKQDDSIAIIWRDEGFDDSEVHRITLKQLREQVMLVANAIDANFSKGDAIAIDMQMTVNSVIIYLAIVLAGCVVVSIADSFAPKEIATRLRVSDAKGIFTQDFILRGGKKFPLYSRVVEAAACKVIVLPVIGNDIGVQLREQDLSWNSFLSSGKQNPRSHHYSPIYQSIDSVTNILFSSGTTGDPKAIPWTQLSPIRSAADGWALIDIQPGDVYCWPTNLGWVMGPTLLYSCFLSGATLALYHGSPLGHGFGKFVQDAGVTILGTVPSLVKTWKSTQCMEGLDWTKIKKFCSTGETSNVDDDLWLSSKSYYKPIIECCGGTELASCYIMGSLLQPQAFGAFSTASLTTGLVILDENGVPYPDDVPCVGEVGLFPVSMGATDRLLNADHEKIYFEGMPLYKGKVLRRHGDIIKRTVGGHLVVQGRADDTMNLGGIKTSSVEIERVCDRADECILETAAVSVSPANGGPEQLVIFVVLKKGYDSDAETLKKKFSKAIQTNLNPLFKISLVKIVPMFPRTASNKILRRVLRDQMKHELSVHSRL >RHN42200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36337536:36348239:1 gene:gene48614 transcript:rna48614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative powdery mildew resistance protein, RPW8 MVDAVVGIVIEELLNSVIEMKDRAVKFKPTLERLEETLESLAPLINQIDELNKKLNRSPNETKRIIKQMKDGKELVLKCSNEDKIQWWNCWYKKAQYQEELEALDEKIKRFFDLDLIAQIARTGLETHEVTRETLEVTREVHAEIRSVLVRTERIEFELENICRPPKPPGFIVGSDETFNKLKEILLSKKNELSVSVVTVTGSGGSGKSTLAKKFCWDEQVKGKFKKNMFFITFAKTPKLNVIVERLFKQTSYKVPDFQSEEDTFNQLEHLMKEIVKKGPILLVLDDVWQGSESFLDNFVFDIPNYKILVTSRFKIRRYGEPLDLGPLSEEHAINLFKHSASLTKSSSNVPDDVVKKIVRRCSGSPLALLVSGRSLINKQLIVWLNRARELSAGRSILDSNKDVLSCLEESLDVLDSKSMECFRDLGLFPENQRIPAAALIDMCAELRDEDDNSALETINNLVDQNLADIIVTRKVAGGTIDYNYHYVSQHGLLRDLAIVHNSQEPEDKRHRLIIDTSANNLPSWWNAENEFQIAARILSISTDETFTSKWCNLEPTEVEAFILYFREKKCTLPMFMKKMNKLKVLIITHYDIYGAELENFELLDHLTNLKRIRLEKVSFPFLRKTLVQLKNLQKCSFFMCNVNKAFENCTIEDSEVLPNLMEMNFDYCDMVELPNVISNIVSLKKLSITNCHKLCALHEGIGKLVNLESLRLSSCTGLLELPNSITNLHVLKFLNISGCISLSQLPENIGELEKLEKLNMRGCLNISVLPPSVEELKGLKNVVCHDDETAEKWKPDKTNLGDLKVEVVPEDININFLNN >RHN46227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29817393:29818901:-1 gene:gene40681 transcript:rna40681 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPTERRVRLKLLVKKETNKVLFAEAGKDFVDVLFSFLTLPLGTIARLVQKDSNIGPVTIGCLNSFYQSVVDLDEECMQTETSKEMILHPKNSSEDYCTNLKLNIDDTQPTKYLLCFRFDCFCINNGYFYNSTNKNCMDGKPLSRSIFLKHFGKGFVKEGVTFVISDDLIVKPNSVEFTGLSMLQNYGIKDISSVNQMTLNVTKEKVLDLLKCALLSKSTLTDFFLEKKPLIQSPSVFSCNVANSSNIKIRLKLVYRKSDGKILYAQGEKDFADLLVSYLTFPLGGVVRILGGNCSMGNIDGLYKSVADLHENTYLTREAKKRLVDPHLAPHFKLNMQILPIQEPRVKHYCYYNKFQTFQDSVVHNQFFVSDEDRSREYGSYEKNVGQLNLVCSHPKSPKGNDDGYIKGDGLRIYMVTDDLIIAPSSTFSILHLINHFKIPLDDMKEKLVTIGIKEVRKNIIYS >RHN76912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2311859:2312304:-1 gene:gene301 transcript:rna301 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLFYLSEPCVTFFILAILNTSKVMIITVFFQIDSFKSIEPPYVHFLQFVQVLSLSSFSL >RHN42379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37878484:37882569:-1 gene:gene48814 transcript:rna48814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small subunit of serine palmitoyltransferase MNWIQRKIHLYNVTFGLFMLDWWERCTFNILVIVLMCFVVRYIAQFIKRYVFLW >RHN59929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14895742:14896437:-1 gene:gene22099 transcript:rna22099 gene_biotype:protein_coding transcript_biotype:protein_coding MALTITHSWYRIRTPLVPLSCQGRRPTISNSNHEEKKDMGGRGAKRSPLLKLKVILNDFEKLIGKKPQQEMKNLSPQQKGDWKDLFLMSISFAVYVYISQKLVCAYCAWTSMPNVHVW >RHN50375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6595274:6596208:1 gene:gene34653 transcript:rna34653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MQSYSCVIFSFRYTSAVKIFCDVDNIFRLISSFPLKKLTFLVFTGEPRFPFKKLKSLVLSGESIFPADGLRVFSQNITTLTSLTCSINFLYKNDLLLIADCFPLLKELNIEINNPIFQCQTNFKNGTHSLLSKRQLVNNRTDFINEIHSLLSNCRFIQHLNLECTFFLNDTHVAGFSLFLGDLVSINLNHCSRLTESAVFSLVRNCPSLSEIKMQNTAIGTESVENSDVYPQLKSLYLGRNFWLSNENIIRLASFFPNLQLLDLYSNNNISEGICQVFRRCDKIKHLN >RHN64423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58922091:58922372:1 gene:gene27239 transcript:rna27239 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTQYAQQRSLHCMIGFSAPAACRSKMQHRCEQYSKIKTVRVLVFT >RHN81610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47546544:47549538:1 gene:gene5681 transcript:rna5681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MPHLHHSLNSQRLPVLPEELIVEILLRLPVKSLVQYKCVCKSWKTLISDSQFANNHHLLTSKAYPRLFLNDGVHEIVSYPVNSLLENPSTPVIPLVSFSFRMDLHSILGSCNGLLCLYHIHTRQCKLLNPSIQLKSQVSPTIVLDFEIIIHHGFGYDQVNHKYKLLLVVRDVVYWDLEPMTRIYTFGENSWKSLPNFPCLPHRGLGVYVSGTLNWIVAKDGVNSNQCVIISIDLEKENYGEVLLPQHDDAHNVRYSGLNVLSNCLSVCFDHSKESHWIVWMMKEYGVAKSWTKLFIIPHDKFKFRHLFVDPLFISGNGVVLLRIINFLLSKLVLYNLSDGRMDYLRILGKNDRDIHIYHAQDIHSDNGRDIHIHHVRDIYIHHESLVSQQW >RHN54930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13649836:13657110:1 gene:gene30032 transcript:rna30032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ecd family protein MEFPPSSSTTMRSNDTVFYAIYPDSLTTTTATTLQSLHLQILQTISPLTTDYIWQHQPFTLSLSIPPNPTSSNLPHLHGHLRYGDNLDDEWFTVFLLFHISSHFPSLSIRVWDSDGEFLLIEAAFHLPRWLDPENSDNRIFIRNGHIHIIPRNRLPTPSLMDSLKFLITSESESRASEQVQTAVMNRIKDYPDRAKKNMHTVRVRVPVSVAMVLKHEPCLISLSVEGFYDRDIDSMKFAAKMEKFLGKGREEELVCVCVKMSRAMYAQLVQQTFRAPKVYPELPSRDHREEYAEAELGLKIACGMEMMYQQRKRDGVEGKGSTWEAFRQSLEKSGYFQGLLPGSSEYQRLMQNAQEYFRNTSLHSKASDLMSAPVRRIDEILALPYSVDEFKGQEFPPSDDDSWLYNGEEELNSALMERQKEMELYDLKHKSKGKEKKGQDTGSNADEFDPSDVAKSMRAFVDMVSSFEGAEAPEDRNKEVNFDVDQFFKEMESVMKRPGEADNSNIEEGSSSDLDFDDSDESDGVESAEDNDDEEDAFVQSYSDAMNEQLKATTLQKSFVRASEQIPKKDEGTSHAAEDMDEDFSPVDVDVNLVKSLLDSFSSQQGLPGPASNLLGLMGVQFPQDAKKSK >RHN77601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8034783:8035214:-1 gene:gene1071 transcript:rna1071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MSSTTSRFNRRSNNEVGLKSERGLSGSVGSGVRRRNRKCSCGEFLVLRTITDETNPNYGEKFWGCRNWRNRIDNGCNHFQWVDNEDDVVDERDVKIAKQKKKIGKLKQSVCFLKEELMNSRKSCKIVVAFGIVSFGFKDKGPF >RHN40458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16476316:16477547:1 gene:gene46610 transcript:rna46610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative snRNA-activating protein complex, subunit 3 MLTVRSWFTLDFEMNSNCTEKVEKVVRIKQKQEEDKAKVKHHSFGINESSNRPVRTERMMSLRSTSSSMKVNTGGLQEHIPLHDPEVVLSVEIYHNVQKGVKTLTGLRDKICCSTDQVMQKAGQHDPSGYFLIEDVFYTDLRDTSAIDLTRPIFDWLRNSKEEAQKKWEYIINGELQKKQKAVLGEASVSHLPRFTSVEMHKIRFCDISFQLGAAYLYCHQVLSFFCHGLFV >RHN76729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:797188:797508:-1 gene:gene99 transcript:rna99 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDTQVNERSRELGNFMVKCDIHVFSRDICNDLHVVITLDQN >RHN66546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13654116:13656593:1 gene:gene14552 transcript:rna14552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLLAVTYIYITKTKYKEGIERTTSPQEKDEETHEDIELPIFDLATILEATNNFSFDNKLGEGGFGPVYKGTFLNGQEIAVKRLSRSSGQGPKEF >RHN73089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13352174:13354085:1 gene:gene8869 transcript:rna8869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSPPTFEKVSGTYIPDDIVFSILSKLPIKHLKRFACVRKSWSHLFENPIFMNMFRNNLVSKSQTGYDDDDACLICHWVLDPVKKLSFLTGEKFEKEIKLDLPPQVQIQQNDFLDYISILCSAINGILCIYNWFDPSQIVLWNPTTNEVHVVPSNLPESLPNVFVDQFLYGFGYDHDSDDYKVIRVVRFREDMFKTHDPFYEIYSLRSHSWRKLDVDIPIVFYGPLSSEVYLDGVCHWLRRINDKTDVVSFNLSNEVFFTTPLDIHGDVCLVVLNGSVAIISYYKGSRYFSISILGEIGVKESWTRLFDVGPLSSNLYPIAVGKKGNIFFKKKYDKSKLVCFDLTTGVVDDIDVKGKGGICDIKIYKKNLRRLKE >RHN71255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57625245:57631950:1 gene:gene19912 transcript:rna19912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl-diphosphooligosaccharide--protein glycotransferase MMTMMMRLNLLLSFLLTFTILSSHVLSDLILTKVDRRIDLSSQIVRTTTTLKVENAGSDIVSEILLTFPDNHVKHLAYLSATLNEGKGKAKSFSGVGLPYEVVRPKDIPSSLATYSVTLPKGLGKAESLTMDILTVFTHILQPFPEKITQADIQLLLFQESAQYLSPYPVKVQSLNVKLPEARIESYTKLENTKLQGSELKYGPYENLPPFSYLPIVIHFENNQPFAVAKELVREIEISHWGNVQITEHYNLVHGGAQSKGEFSRLDYQARPYVRGASAFRRLTAKLPPRAHSVYYRDEIGNISTSSLWGDSKKTELEIEPRYPLFGGWKTAFTIGYGLPLQDFLFGVDGKRFLNISFGSPINELVIDTLVVKVVLPEGSKDISPSVPFPVKERHETKFSHLDIAGRPVVVLEKNNAVPEHNEHFQVYYKFNRLSMLREPLMLISGFFFLFLASIVYMHADLSISKTSASYLAKIQWEEVQATIHQVHSIVSRCLTTHDKLEASLRDLSRTGDIQGCKATRKSVDSSLKELTKELKSPVTFLQSSPQAAQILPKVEEVIAKERDLQEKLMAKHSTVVDCYEKKLGGREIENRIASHQQKITALKQEIDDLMDLIDEI >RHN64522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59755137:59762310:-1 gene:gene27345 transcript:rna27345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MKVDDVGPKNLKSPFVSIHVPERARAERKEPPLKVAVTKQFFFVSTNEYSKIFILLPNLTFKEFHSCHSKRHRSAFFLRISPAFMRFRSPSRTHDATEPSPSSLSLKFRVSRMVKTPMAATPSSKIRRKLADTPGGSKIREEKIRVTVRMRPLNRKEQAMYDLIAWDCLDDKTIVFKNPNQERPSTSYTFDRVFPPACSTQKVYDEGAKDVALSALSGINATIFAYGQTSSGKTFTMRGITENAIRDIYECIKNTPDRDFVLKISALEIYNETVIDLLNRESGPLRILDDTEKVTVVENLFEEVARDAQHLRHLIGICEAHRQVGETTLNDKSSRSHQIIRLTVESFHRESPDHVKSYIASLNFVDLAGSERASQTNTCGTRLKEGSHINKSLLQLALVIRQLSSGESGHISYRTSKLTRILQSSLGGNARTAIICTVSPSLSHVEQTRNTLSFATNAKEVINTARVNMVEGELRNPEPEHAGLRSLLAEKELKIQQMEKDMEDLRRQRDLAQCQLDLERRANKVQKGSSDYGPSSQVVRCLSFAEENELAIGKHTPERTETVSRQAMLKNLLASPDPSILVDEIQKLEHRQLQLCEDANRALEVLHKDFATHNLGNQETAETMSKVLSEIKDLVAASSTAEEIVNADKTNLMEKINQLKNQGNTITSLERKLESVQKSIDKLVSAFGTEETPDSKTQLRRKKTLPFKLNNSPNMQHIIRAPCSPLSYRKATEHEIENRVPIISSSGRDTYARLHRDTPRKDDESCDSILSRADSPASQQSKSVNVKKIQKMFKNAAEENIRSFRVYITELKELVAKLHYQKQLLVCQVLELEANKSITEEMDTIDRSPLSWHILFEQQRKQIIMLWHLCHISLVHRTQFYLLLRGDPSDQVYMEVELRRLTWLEQHLAELGNASPALLGDEPADSVSASIKALKQEREYLAKRVNCKLTAEERELLYSRWEVPPVGKQRRLQFVNKLWMNPYDMQHIQDSAEIVAKLVDFCVSNENSKDMFALNFASPHNRKTWAGWNFISNLLKL >RHN72094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4929771:4931303:1 gene:gene7767 transcript:rna7767 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSSIRSPTLCYFPISKRENSLIACYEKGQVVAAHADKVEK >RHN44775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8346905:8363961:-1 gene:gene38936 transcript:rna38936 gene_biotype:protein_coding transcript_biotype:protein_coding MESNPMSFTPPQLIGAVSRLRSSSVKKLPEPLRRAVADCLSSPSSAAANEPSRILRDYLKGPTTTDMAYSAILEHTIAERERSPAVVARCVALLKRYLLRYKPSEETLLQIDRFCSAVIAECVINPNQPWSQSLNRQSGASTTPSPLLVSSVASEAHVKSLSYVRSLVARHIPKRLFQPASFTGPSSSSGKSLPTLSSLLSKSFNSQLSPASVPETPSPASVPETLKKDSTVLSVSKSLKCEKGDENDELRFIAHDVLKWRWLEQTQSSSVGTESDRGQYMTSHSSFLEVGAAALLVGDIESKMKGKPWKFFGTDDMPYLDQLLQSSPVTPITNSVSARCHLRAITASKRKKAGSHQIWEDYPVITFRPRARQLFQYRHYSEQQPLRLNPAEVQEVIAAVCSEASSPSTNVMTVSTRLGNSSGKPSTDVAVSVLIKLVIDMYVLDSQTAAPLILSMLEDILSSSETACRIRAFDLILNLGVHSHLLEPMIVDDASIIEEEYSQESYYDSNTQVMMEDSRKGNSLNKSDTVSAIDSFEPWIINILYEILLLLVQTEEKEESVWASALSCLLYFVCDRGKIRRNRLQGLDIRVLKQLIRTSRENSWAELVHCKLISMLTNMFYEVPDEVTEPVSRKPKFLVDQLDLIGGVQFIFIEYSLANSREERKNLFSVLFEYILHQINEKCMATGVNEYSDDEIQPIASLLAQANAAEAFYISVKLGVECIGEILRRSIASTLSRYPNSERLNALLEIVAEKFDTVISSFTHLDKEFSNMILITKSHKFSENMDGAALQNGIHLQAKHSWVTLHSLLHSERISYRQNGYIWLGDLLIAEISEERDGDIWSSIKYFQHKITQAGTQDSLDTSNIPLSILLMCGLLKSKNNYIRWGFLFVLERLLMRFKFLLDEHEMQLSNSKDLQHGKKGWHLEKANAVIDTMSSALSLAFQINETDRINILKMCDILFSQLCLRVPPATALPFGDDVQHDRNLNLTSVNKKTDIDNHVLKQDSFRWDERKEESNRRPRYPNNYHPDHETASMAALLQGRAIVPMQLIARIPAALLYWPLIQLAGAATDDIALGVSVGSKGRGNLPGATSDIRAILILLLIGKCSADPVAFKEVGQEQFFRELLDDTDSRVAYYSSAFLLKAWILIDL >RHN44308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3386127:3390960:1 gene:gene38411 transcript:rna38411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-dependent channel, 7TM region phosphate MIFSALLTSIAINFGFCSLFFTLYSILRKQPGNILVYAPRLVSEGKLQEGNQDNLEHLLPTSGWVRRAWEPSDDEFISTAGLDAFVFIRIFVFSLKVFAFAGIVGTIFLLPVNYMGTQICDDSESQKTSLDSFSISNVNNGSHRLWIHFSAVYIFTGVVCILLYYVSYFCSNFPSTCFKIFWSLNLYASEYEYIASKRIACFYSSKPEPRQFSILVRGIPVPPGCTCSEAVEQFFMEYHPSAYHSHSVVRRSSKLQILVTDTDRLYKRLTQLKDKENSPQRHRRDGFLGLFGQKVDLLDHYEKKLGDIADNVRIEQSALAGKEVPAAFVSFKSRFGAAIALNSQPGVNPTHWITEPAPEPHDVYWPFFSVTFIRRWISRLAVFVACIALTILFLIPVAVVQGLTHLDQLETMFPPLRSILRLTLVSQVITGYLPIQILQLFLSFVPAIMIFLSSLQGYISWSQIQKSACTKVLWFTIWNIFFANVLSGSALYRLNYFLEPKEFPRVLAEAVPAQASFFMAYIVAFGWTNIASELFQLIPLSYNYVNRYFGGNFSDDFEAPSIPYYSEIPRILFFGLLGVTYFILAPLILPFILVYFCLGYIIYRNQLLYVYVQKFETGGEFWPIVHNCTIFSMVLMHIIVIGIFGLKELPIASGFTLPLPIVTLLFNEYCQKRFIPIFNAYPAECLIKKDRADQNDPNMSEFYDKLTNAYNDPALMPIKYPGRFSSHRSPLLGSSESNTNVLVSALGDMLV >RHN80251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36543017:36543232:1 gene:gene4153 transcript:rna4153 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVESGGKLGSLLCVLWKKVMAEVASGGKLDSDCSVSLFKLGL >RHN52226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32816648:32822577:-1 gene:gene36874 transcript:rna36874 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLLITLSSFRKIHNFTPKSNHLSFEGGSSKLQWRGWSHAAAAAAACVPSETEDIRPRKNGSRVSKHERRAMVESFVNKYKSENAGKFPAIKYTQKEVGGSYYTVRDIIQELKYKSKVKSLNSTDEILVEKVLDESKLKTAESVNVSSENIETAKDRPIQKDSQSVLLDDKEAVNTCCELEEKRGPQTFSQEGRLSYEVETISTTVSIDKRREQPALEDMYGKASHSNSEVTNDVKSDETVSSCPSDYVAPEIHLLKEEIEQVSAPIVEKSGSSCSKDHIHDSKSVDIKNHPTIKIKSFDKAGLERKVQDGAQDLPVVDSPQHIKEQSQESLKLDESKIDGSNMRESSVAVASDKSTLWGNLKSFADGVLNMWRKL >RHN47243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38273368:38274556:-1 gene:gene41817 transcript:rna41817 gene_biotype:protein_coding transcript_biotype:protein_coding MDMERGPRYNAYAKLRETKLQNNYLRLQQYQQQQQQENEIQVETKIPTPPTKQVKFHASVVSSGRKGSSLIAQSVPDFSSMLRKENRKPTNIPQPSTTTLTPPLKNKNKGCVGGGGVLSSSRGNSRSANAAAEKRKGGGILMARKSYANFDELRSFSSATANAINGEGRNSRVVGKNSKTVLRCREL >RHN44181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2282449:2284610:1 gene:gene38271 transcript:rna38271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MAMLIENEVMCLGKSQRSMDGKEVKGARRVKRQRRDAIVPKIGDDANKMAQKQVGENSTTNTSKRSSRFRGVSRHRWTGRFEAHLWDKLSWNTTQKKKGKQVYLGAYDEEESAARAYDLAALKYWGTSTFTNFPISDYDKEIEIMNTMTKEEYLATLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGIHAVTNFELSSYIKWLKPETTTEENHESQILQKESRTLAPPNNSTLLQESKLLALQKSFFIPNDLNSTEKQESSFENKNYHFLSNKSTSPTALSLLLRSSLFRELLEKNSNVSEDEVTKEQQQQQITSDDELGGIFYDGIDNISFDFDPNSCNIELQERDLHSISCLYQYLNFGQ >RHN58214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44686830:44693065:1 gene:gene33834 transcript:rna33834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MKLRNMSICQSWWLCRPLTKSLFSPQTQRFWCHSLPLSTKVKILKHKVEPLGISLDNSCLPGNYHNLFCPKCKGGQLMERSLSFHIITDGEFAIWRCFRPICGWAGQVSADDKELDNKPDEESLGLEPLGPKLVAYFKERLISEKTLSRNSVRQLSDEKNVIAFTYKQNGLIVGCKYRTMEKRFWQGKVIDKVLYGLDDISHATEIIIVEGEIDKLSLEEAGYQNCVSVPDGAPGKVSSKDPPPIEKDTAYQYLWNSKDYLDKVVRIILATDNDPPGQALAEELARRLGRERCWQVRWPKKDELSFFKDANECQVLHTYNHSIVANGPRFSNTWELML >RHN76393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49918492:49919142:-1 gene:gene12712 transcript:rna12712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation protein, beta-barrel MPIKYIHRLVFIDKLIEQRATISLQFIDSKLKQSPLNLLDEVVSYLPSHPQSPIGWIYMTKHDRKVALTGSFNGLFVAFTFELDKEPWHETFTFLRIYEGVLQEGDTIVNVNTDKRNKVDLLFFIRHTQHVLFAPKFHKIKMAYAGQLVVVMDVNFESPFSGSGDTLTDDSIQYVMSRRDLPKPTKEYLEDDNFVFKPCLCKEVKQDKRELVKSIH >RHN38734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1642064:1644056:-1 gene:gene44699 transcript:rna44699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxolaurate decarboxylase MFNRERHVVLILLFFILSFFIGANGKHFVLVHGAGHGAWCWYKVATMLKSAGHNVTTIELAACGISPIQVQEIHSSISKYHEPLISFIESLPPKEKVILVGHSFGGIPLSVAMEKFPKKISLAVFVTAFVISENLNFTSLLQENQRRLNSSQQDPPQLVFSDGPNSPPTGLLFGSKLLASNLYQLSPNEDLTLGSSLVRPHPIFNDEKLILKETRVTKLRNGRVPKVFIISKGDIFIREDLQLWIIERTGPYVEVKVIKDSDHMVMFSKPKKLTSHILRSAHKY >RHN76882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2090422:2091476:1 gene:gene267 transcript:rna267 gene_biotype:protein_coding transcript_biotype:protein_coding MYEIPKRMLIGRNNVQHTFRIEVLPVDTSSAVEERISLNGLNSKTFIGIAGKCTLVKVELCIILSLCLCEVTL >RHN62936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46862183:46863473:1 gene:gene25574 transcript:rna25574 gene_biotype:protein_coding transcript_biotype:protein_coding MWMSKFLSSNIITISLSLILLYLVFDQLYTYGYTVKHRIFHINTLVKKTLVLKILLISFIFVKSSTNIF >RHN49812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1344163:1350182:-1 gene:gene34033 transcript:rna34033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L3 MLAFSRGVVSRLRQFPVASLPRFFSSDVETIRTIEAKPSVMTPNSRRTGLVAVKCGMTAHWDKWGARIPISILWVDDNIVSQVKTPEKEGYCSLQIGCGQKKEKHLTKPEVGHFRAQGVPMKRKLQEFRVTEDALLPVGTSLNVRHFVPGQYVDITGITKGKGFQGVIDRHNFKGGPASHGNSKTERTMGSTGMLGPCRVFKGKKMPGRMGGKQRTVKNVWVYKIDPARNLMWVKGQVPGATGNFVFIKDAVYEKPDTSILPFPTYFVPEDEDTDDMEPLIADLGDVDPFMVVD >RHN61061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32612503:32614914:-1 gene:gene23473 transcript:rna23473 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Extensin domain-containing protein MGTSIEPRNLPRLMYTIAFCMIAISTFATADDKPYYGGQQPYYNYPQPTTPPSYYYKPPSYNNYQSPPLYEYKSPPPYDHKFPPYNYYKSPPPSPPPPFAYKFPPYNYQSPPPYEHKFPPYNYKSPPPYDHKFPPYNYYKPPPPSPPPPYDYKLPPYYYKSPPPPSPSPPPPYVYKSPPPPPYVYKSPPPPPYVYPSPPPPSPPPPYVYKSPPPPPYVYKSPPPPPYVYPSPPPPSPPPPYVYKSPPPPPYVYKSPPPPPYVYKSPPPPPYVYPSPPPPSPPPPYVYKSPPPPPYVYKSPPPPPYVYPSPPPPSPPPPYVYKSPPPPPYVYPSPPPPSPPPPYIYKSPPPPPYVYKSPPPPPYVYPSPPPPSPPPPYIYKSPPPPPYVYTPPPYVYKSPPPPSPSPPPPYVYKSPPPPPYVYKSPPPPPYVYESPPPPQYVYKSPPPPPYVYESPPPPSPSPPPPYYYKPIPPPYVYESPPYIYKSPPPAPYVYKSPPYVYKSPPTPYKPYQYSSPPPPVY >RHN74957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38159576:38160382:-1 gene:gene11098 transcript:rna11098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ZF-HD family MFTSIPLFVIFSSLCLFSTVMENKENEEEVVMANITEPTSYVETTTTSNDHVEETLMNSQSNSFERNFKECRKNHASSIGGYALDGCGEFLPAGIEGTIEFFTCAACNCHRNFHRRENGVVNEENISLPFNNPRFPQPTPFSTVFQTPTGYHHVTGTSRGTTTSLPSSVVHDEAHFPRGYLGEGAVEPIYHGDTYSGGEGSSKSKKRFRSKFTHDQKERMLGFAMKSGWKIHKQDENVVEEFCNEIGVKCKTFRVWMYNNKHTLGNKH >RHN45602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23578360:23579182:-1 gene:gene39979 transcript:rna39979 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVICCISEQGEKKSLERKLEKKIAEIRRNKFGQTKLKSIDSIVMLFPMFKEKLKTLRGMFE >RHN59873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14279734:14280281:-1 gene:gene22038 transcript:rna22038 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRFFLIWGVLFLCVLSFSTKPLARNIPNVSEFYSGANEMGPNKRFLGQENKRPPCKRGIVTYAVRQDDPRCHHYL >RHN42034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34952275:34955589:1 gene:gene48421 transcript:rna48421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor STY-LRP1 family MAGLFSLGGGGRGNQGEESQQQGHIPPQETLFWYNKNDDVSSYRGNLELWNQHQQHQQHQQQDDMHAARPFFPRDLYGPGVGLGVGPSRVSSDDHEHQSSSRSAAFTMAMRSSSSAEGISCQDCGNQAKKDCPHMRCRTCCKSRGFQCQTHVKSTWVPASRRRERQQQLSSSPLQRDISKRPRDGSNALVSTRNFHTGLEEANFPAVVSSPAEFRCVRVSSIDDADDRYAYQTAVNIGGHLFKGILYDFGPESSTNNSNNNSNYNNSNYMIGETSGGGGVGVAQPLNLIADSDTTVVASSGALVDPSSLYSAPINAFMTASGTQFFPRPRS >RHN43079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43014688:43015592:-1 gene:gene49602 transcript:rna49602 gene_biotype:protein_coding transcript_biotype:protein_coding MFALNYSEWVQGNFLQNWREFKDSQKGTSMVVKLEDPDFSFVNINGVDAAT >RHN40853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23122605:23124093:-1 gene:gene47088 transcript:rna47088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MYEIILTSSPEGPMIAFDASTGATVALFTGSRSPCRGLMVTSQGFIAASHVSSNTGTGSIHIYNWHTPTVFQNIPLPEPVAPLAATLDGDFLFAGGVSGSIHSLSLPSGDIIKTCTPYSKPVSSLHLSDDGSLLISGNNDGTIVVIPSFKLVDDSSTCSDPILHKWKAHSDSVTCFNSGICIHTCTFVSCSMDCTCKFWSLSNGITLMRTVTFPCAIFGLVMDSTESGFYAGGSDGFVYKGLMKVGNRKMLEKGKAYELVNLGNKTKSHDGSIMSLVLVNEGRNLVSASEDGSVWMWDVEKGEVIMVLGNDQILGRRSTRSIGDMIVVKGSNVTKGNASSKGGAISGFSSSGLSDEEVIKTLMKITELGNVMDVAVHDKRRAIDMLESAIAMYERLLRLILKEVTKAIEEADHEDEDLDNKGKKDEN >RHN57113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36602652:36603683:1 gene:gene32613 transcript:rna32613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MNFFFMILLCFASQMLAYFMLPTTVALSLSSKTDKLALLALKEKLTNGVPDSLPSWNKSLHFCEWQGITCGRHHTRVSALRLENQTLGGTLGPSLGNLTFLTILKLRKVNLYGGIPKQVGCLKRLQVLYLDQNHLQGEIPIELSNCSNIKVINFALNGLITGRVPTWFGSMMQLTKLYLGANDLVGTIPSSLANFSSLQLLALPENHFEGSIPYSLGRLSSLTYLSLSSNNLSGEIPHSLYNLSNIQIFDLAGNKLFGGLPTNLNLAFPNLEVFYVGGNQISGIFPSSISNLTGLRNFDISENNFNAPIPLTLGRLNKLEWFGIGENNFGSEGAHDLDFLSSL >RHN52862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39658034:39658393:-1 gene:gene37587 transcript:rna37587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MINNVVLGATPANITLHCKSKDEDLGFHTLEFLGNYMFSFKPTLIPWQTTLFFCSFAWSGSPYLHYFNIYDNKRDDCKTCNWKIYENMTCKYLTERRSFNACWPWNSVKLMDAYNTSKI >RHN62164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41340924:41342283:1 gene:gene24709 transcript:rna24709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MLYNKPNFISLPALSLQKMGSEYHTSHIFFFPFLARGHVIPMVDMAKLFAAKGVKATIITTPLNKPCISKSIEKSKLSGHNIHIQTIKFPSSEAGLPDGCENMDSIPSPQFFPKFCMATKLLQEPLEQLLLEQHPDCVVSDTFFAWTTDSAAKFGIPRLVFHEPFVISNLPGEIKMTRLQMPPFEISKEFEGMSRLLQEAKKAELKSFGVVVNSFYELENVYAEYYRKVLGRKAWHIGPLSLCNKDIEEKANRGREDSIHHHECLKWLDMKKPNSVVYICFGSMANFLNSQLKEIATGLEASGQFFIWVVRRSKEDGEDWLPEGFEKRMEGKGLIIRGWSPQTLILEHEAIGAFVTHCGWNSVLEGVVAGVPIVTWPVAAEQFYNEKLVNEVLKIGVPVGVKKWVGLEGDKCSMGCTGKSCEEDYGR >RHN60624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28963735:28963949:1 gene:gene22965 transcript:rna22965 gene_biotype:protein_coding transcript_biotype:protein_coding MFADTNDIFLLCFLLFKKKNSQQVKRSKKTCKAKGNGNTALVQLNSKGNGKLARLKEMGCFLPGFRV >RHN68342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34770017:34770817:-1 gene:gene16681 transcript:rna16681 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWSDRRKTLERTTENFEILLYPSFSVQTGNWMMLLFVSGLAFSYLIRHRFTCLSCLI >RHN70696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53278815:53279890:-1 gene:gene19307 transcript:rna19307 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSGDTPVEHIQIEGGDSTKSDNKMKDNENTPSSKGVGRNKDCEDITPSRKGVENTKDNDKTPSSEVIGKRMDNEKTPKSKGVGKRTTDSVGSDFDKPEVGEGSINKPMPVSKRIKTSKV >RHN43898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49317157:49317405:-1 gene:gene50533 transcript:rna50533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MCLKQATPEREFFFTDYGQATRYKFKKIIGKGSYGVVSSAIDTLTNKKVAIKKINNVFEHTTEMPYGYSTNYAYYASSFSSS >RHN60715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29832050:29837618:1 gene:gene23070 transcript:rna23070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-XVI family MKSQIKIALAIVISLLWIQHSICDEASDTSVLNKWKCRCSSFEGNQSNSLANCSKSCDCHSDPEEGASIWTCICDPNGFPEVAADGNSSNCFHACNCTWGTVSTSLGSKKHISSKVVVVILVICVICTTIALLTSAVCYVYRRDRCSIQSPIFSSDKETSSGSTANLISYRTGISSVTETKLFISSPICHITGCFQKPSFLFGSQKETFYGNIIQFPFTELESATDNFSATNLIGVGGSSYVYRGRLKDGNIVAVKRLKDHGGPEADSACFKEIELLARLHHCHLVPLLGYCLESKGKHVQRLLVFEYMNNGNLRECLDGVSGKYMDWTTRVMIAVGAARGLEYLHEAAAPRILHRDVKSTNILLDENWQAKITDLGMAKNLRSDDLPSGSDSPARMQGTFGYFAPEYAIIGRASLESDVFSFGVVLLELITGRHPIHKTTGKEESLVIWASPRLLDSRRIISELVDPQLEGNFLEEEVHIMAYLAKECLLLDPDTRPTMSEVVQILSSISPGKSRRRRNIPASLFQEAENAEEQRQVPSNEFATHNLSSLDIDHNPCVGNKNKEADAVSAEYMESLILLSSKSNSSHASEEEIVDITEPRFESFCMTDGLSLENTLLE >RHN80668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39919540:39921969:-1 gene:gene4622 transcript:rna4622 gene_biotype:protein_coding transcript_biotype:protein_coding MFWNLKSSDSNSVCTSYLGFGDTGPFFLIYLHIICRSTVSFEITILSSEIYIYIFTFVSYGLDWYDSKLQDLEKVVMHRYIDIGITNCSLFFPHLTHFQYPSMIHIDPCLFDMLVLCCFFVQLVELL >RHN65608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3733643:3735320:1 gene:gene13463 transcript:rna13463 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEPSNSKVFVEISLVLMYANYGYFCWSLTMIQQMVKQNNWRTLLFAYFRLHCFKVLNCFMALPISLGITPDQAAYSEENQVIYGVRLRTQSKVWVIVYIICNLWLFHTWKYSC >RHN74665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35589178:35589842:1 gene:gene10772 transcript:rna10772 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFSSHVHHLFMPTTLEFLKMANKLKQIAKLITTEAAPPRFVSVTRIPMKKMLDTIVEEENNDFGCDKCFSSSTQICGSNSFRSTLSDRSLIC >RHN49653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:77149:80479:1 gene:gene33856 transcript:rna33856 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMACGCWYYNNYCCPPQSRLNSSSSSSSSSVLKRSPSTTCVFVCVSKSSKSKSNGGEIDKSIKGSGTTARGRRLLKIRQDKQQREQERVHNYPAWAKVLEDACKNDTELRAVLGDSIGNPQLMKERVEDRIRKKGKDFRRSKTGSVVASKVTFRDFNPLDSYIWFELYGSPSDRDVNLIGSVIQAWYVMGRLGAYNSSNLQMSNSSMDYDPLYDSDKGFKVMPSSFHDISDVEFQDNWGRVWVDLGTADYFAIDVLLNCLTNLSSEYLGIQQIVFGGRSMGDWEEGMTNPEYGYKYFKI >RHN72078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4811371:4811748:-1 gene:gene7750 transcript:rna7750 gene_biotype:protein_coding transcript_biotype:protein_coding MTCHNVKVWEAMLNEFGLPLGLLPLADVIEVSFVRNTDYMRILQKKKVEHNFKLIGKLVSYDTEINGYMLKKKIKKLKGVKAKELMLWPPVNEINIDETLNGKIHFKSLAGITKTFPVEAFATGQ >RHN54497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10084075:10089190:-1 gene:gene29551 transcript:rna29551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MKFRFCPNNNWITLFNKARNLISTQRASVSSAASAASATAMTDTTTLPTVKTKLCIIGSGPAAHTAAVYAARAELKPILFEGWMANDIAPGGQLTTTTDVENFPGFPDGILGGELMERCRQQSAKFGTEIFTETVSKVDFSTRPFRVFTDSRTVEADSVIVATGAVAKRLPFTGSGDGPNGYWNRGISACAVCDGAAPIFRNKPLAVIGGGDSAMEEATFLTKYGSEVYIIHRRDTFRASKIMQSKALSNEKIKVIWNSMVVEAFGDGENKKLGGLKVENVVTKEVTDLKVSGLFFAIGHEPATKFLDGQLELDSDGYVVTKPGTTKTSVEGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHFLQGVGLQQDKSD >RHN55756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22683601:22685420:-1 gene:gene30998 transcript:rna30998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain, type 1 protein MQITGGYRCIENALRKITSIIRNNPLTNEVLAEARIKPSFPLNKDTVRSKFITRKKSSFPFARVPPQNAGVYQAKKVTENGESHTNLIENVEPGRGNIVATVTNTTVEIIVSEHVFGSVYGEDGGNLDRIRQISGADVTVFDPSSTGTSGGKVVISGTPDQTFAAQSLLQADG >RHN64410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58836116:58837703:-1 gene:gene27226 transcript:rna27226 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHISSIAFLLTSLLIPISYSSNINITDVDLLEFPLNLEYLEAEFFLFGATGHGLDVVAPELAQGGPPPIGAKMALLDTFTRDVIFQFALQEVGHIRAIKSTVKGFPRPLLNISKESFAQVMNNAFEKPLYPTFDPYANSINYLLASYIIPYVGLTGYVGAIPELQESTSKKLVASLLAVESGQDAVIRTLLYERRKLKVLPYPITVEEFTNRISMLRNKLGNKGVKDEGLEVPISQGAEGKVSGNVLAGDKNSLSYSRTPEEILRIVYGRYEIVPGGFFPKGADGRIAKCLVATSIG >RHN49608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55898496:55899804:1 gene:gene44457 transcript:rna44457 gene_biotype:protein_coding transcript_biotype:protein_coding MWINHEEICRMSSMYKLLCQLHGKTRDSQNFNLSHWTFGTDRHDDPYTEFEAFSLDFWGLIVNLLSSFKNNVHSEMFPL >RHN76684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:411435:417888:-1 gene:gene48 transcript:rna48 gene_biotype:protein_coding transcript_biotype:protein_coding MDEECNSSQQSILTVQSASKVMSEKRVNSHLGDKHEPLRKRVKMKDLESVVAAEKAIGKENIVQSSFGDNEMSQITKVPLTVDVNVSKEEQDGRSTSGSPRVLDLNTEVCGTRYPSLYPDKSSGFGEKLSKDKELLSEKQEREQLGGGDVNTRGINVDLNAEDDTRSVNVGPTNFHKEHGHFKSKDLSESGSSAEPPKERDPMRIWTEMKRNGFISTSTVHGGIPVPKKRGRKSKSEILEQKMELAKREQINRFTKIAAPSGLLNDLNPGIINHVRNRKQVQTIIESLVTEKHENRSIGSRQAAHRMSGSTGVNKRDLEHVKDASKHQPTFYHEQARKSHVTKNESSWILEGKGYDRDAYNVEKAGLKDCASNASHVTEDDILSLKLSSSMKASVSSTNMSNEESSNVTTVSSLSLKAATVASQWLELLHQDIKGRLAALRRSRRRVRSVITTELPFLMSKEFGADQNYDPCGMKFPEGLPTSKIAELHQARWTALFDHMDEALIEEEKQLESWLNQIKEKQLLCDQGVQHINWSKGYGLPQLATSENHSRSSQIDISEKELAVNAAAASIYSTCNFLTSELSCI >RHN72882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11526770:11529630:1 gene:gene8646 transcript:rna8646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MYAKKNTEMFAQIGSIIASLMFIWAIFQQYFPYQLRNLIDKYSQRLVTFIYPYIQITFHEFTGERLMRSEAYSSIENYLSSKASTQAKRLKGDIAKNNQSLILSMDDKEEICDEFNGMKLWWASGKKASNSNSISLHQNIDEKRYYKLTFHKHNRDVILGKYLSHVLKEGKAIQVKNRQRKLYTNSGSHWSHVVFEHPSTFETLAMDLEKKEMIIDDLITFSKAGEFYARIGRAWKRGYLLYGPPGTGKSTMIGAMANLLSYDLYDLELTAVKDNTALRKLLIEISSKSIIVIEDIDCSLDLTGQRRKKKEEEEKDPRQTQGENVEEKDGKNSQVTLSGLLNFIDGLWSACGGERLIVFTTNYVEKLDPALVRKGRMDKHIELSYCGFEAFKLLAKNYLNIESHYLFGTICELLKEIKITPADVAEHLMPKTSSKDAQVYLKSLIQALELAKEEAKVKSEEDAKIV >RHN52384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34646050:34649471:-1 gene:gene37052 transcript:rna37052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MLANSLSPSSLPSSEPFSCNENGTSSTNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVRKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCERCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNMGRIHNSEAQPLQTPTACLSRTASSPSPSSETNFNTCPWQTRLQAIPKTTKESTIFMNPVTPISTITPSSQTFSKNNKLLLHPNLELQLSTTNNTNMSLTTDTPSIRSVGTVEAIQKVNRSTQLHLSIGSSEMSHEKNESNNIRNSNSSPKESSNSNEKVQSTTTNNMGLLRVQEQAKEQLRVAMAEKAYAEEARKQAKKQIEMAEQEFNNAKRIRQQAQSELDKAYGLKQHAIKQINSTMLQITCQTCKQQFQHEDNSLVLSYVSSAITTEGGEVENVDGKGKTTN >RHN52841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39394284:39397497:-1 gene:gene37560 transcript:rna37560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRRKNMVQYLMFVYVLIILLSIFLVEKAEITNIPCVSKDDCPKVVKPLVIKCIDHFCEYFWLNMMGHNHVIVTTRSKSNN >RHN71840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2954672:2961297:-1 gene:gene7480 transcript:rna7480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CALMODULIN-BINDING PROTEIN60 MQTRYMERSNSMAREKRTLDSTSTDEDQPDRKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLAPTNLSGRSSPKRIENPDGGNLQLKFRTRLSLPLFTGGKVEGEQGTAIHIVLIDANTGHVVTSGPASCVRLDVIVLEGDFNNEDDDTWSQEEFDSHIVKEREGKRPLLTGDLQVTLKDGVGTLADLTFTDNSSWIRSRKFRLGLKVSSGCCEGMRIREAKTEAFTVKDHRGELYKKHYPPALTDEVWRLEKIGKDGSFHKRLNKAGICSVEDVLQLVVRDPQRLRNILGSGMSNKMWEVLVEHAKTCVLSGKLYVYYPDDARNVGVVFNNIYELSGLITNDQYYSADSLSDSQKVHVDTLVKKAYENWMHVIEYDGKSLLNYNQNRTLGMSQHQVPVSSHDYSISNSLDQQISTPSLPVHVPTGQHSMDPGATVGGYHHGTATRFSMQPQNADVNSALQFGNTAFPLQNLLTSVSHQSQLPRNENELSLGPPQSATPGFQTVGLSDPTYRGFEDFFPEDDIRIRSHEMLENEDMQHLLRIFNMGGQPHTSFNAPEDEYPYSSAYMPATSTNYNVDDERNRSSGKAVVGWLKLKAALRWGIFIRKKAAERRAQLVELDDS >RHN38818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2230120:2231352:1 gene:gene44786 transcript:rna44786 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGRNKHDQESSERGLFSHITGYPAAPPASYSYPPQGGYYPSQYPPHAAGGGYPPSGYPHSGYHHQPSYHAPQYGYPYPSGRGSGIGGLIAGAAAAYGAHHLSHGHGGYHHGYGYGYGHGKYKHGKFGKRWKHGRFGFGKFKHGKFGKRWK >RHN62078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40560930:40564149:1 gene:gene24610 transcript:rna24610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MFSLTPHWTPQWRFDPIKMVSNFTFQFPLTSLHSFLKMAVPFHFLLLLLLSTSNFSSATYNIGVNYGTIANNLPPPTTVATFLKTQTTITHIKIFDTNSSILRAFANTNISVTVTVSNADIPSLTKLPSAQKWITTNILPFHPKTIFNRIAVGNEILATSDKTLIAHILPAMNALHQALTLSNLTHIQIVSPNSLGILSSSSPPSSAAFRRGYDVTIFTPILKFHRETKSPFMINPYPFFGISSNQPDALNYALFKPNGGVFDKATGINYTNMFDAQMDAVYSAMKKVGYGDVDLVVGETGWPSLGDPGQPGVSLENAVSYNGNLIKHVNSGKGTPLMPNRTFETYIFSLFNENLKPTISEQNYGLFKPDLTPVYDVGVLTQKHQQAMGPASGPTAMGPASGPTAMGPSESPESSPSKKWCVPKTNASEKALQANIDYVCSHGIDCGPIKNGGPCYKPDSLRSHAAYAMNAYYQKSGHHDSDCDFGHTGVITHTDPSSETCKFPYAATSSGPNVKKPDTDGGSLKSATSKLRLYHFLFHLQFLIILCFL >RHN65304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1057294:1057917:1 gene:gene13129 transcript:rna13129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MMYGFGYDVVNDNYKVVSVLRACECISGNFVKKDEVKVHTLGANSWKRIPMFPFAVVPIQKSGQCVSGTINWLVSKDTEKSQCFILSLDMRKDSYQKVFLPNDGKVDGCSLHLSVFRDCLTVFCGDDVWVMKEYGNNESWTKLFTISDRPAFMKAIYVFKDEQVLLKPTEDWAGDYIFNNCRDCTSKSIDFENTPEVCVESLISPYS >RHN54005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6169735:6170915:-1 gene:gene28980 transcript:rna28980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase MYVQEFGSECGNPNQRCLCISYLDSVKYFRPERRTKSGEAICTFVYHEILIGYLDFCNKRGFSTCYIRACAPSKKGDDYILYCHPEEQKTPKNDKLRRWRLLVW >RHN41508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30610253:30617125:-1 gene:gene47840 transcript:rna47840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxy-lyase MPASDNVAMENETHDNNVNKQLSDDEGSVQTVKASTIDELHSLQKKKNTTPSGSQADLSTLPDDNPQKQQLQSISASLASFARETGPKVVKGDPASRLETQRVAHVPHQRITPTIAVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRCPRDKRVVKDDLTENELWWGKGSPNIEMDEHSFMVNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIVSARAYHSLFMHNMCIRPTPKELENFGTPDFTIYNAGRFPCNRYTHYMTSSTSVDLNLSRKEMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGSNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSENGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVVENVVFDEHFRVVDYTDKSVTENTRAAYPIEYIPNAKLPCVGPHPKNVILLACDAFGVLPPVSKLSLSQTMYHFISGYTALVAGTEEGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQNHGATGWLVNTGWSGGSYGSGNRIKLGYTRKIIDAIHSGSLLNVQYKKTEIFGLEIPTEVEGVPSEILDPQNTWSDKNAYFETLLKLAGLFKKNFETFTNYTIGGDNKLTEDILAAGPIF >RHN40561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17587618:17590925:1 gene:gene46724 transcript:rna46724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MLILVLGLISATLLVIIVAVYGFCYIKRSSTNDTKDIESLEEKQEEVKEDLIIFEGGEDLTICDILDAPGEVIGKSNYGTLYKALLQRSNKVRLLRFLRPVCTTRGEELDEMILFLGRLKHPNLVPLLGFYTGPRGEKLLVHPFYRHGNLTQFIRDGNGESYKWSNICTISTGIAKGLEHLHTSHEKPIIHGNLKSKNILLDSSYHPHISDSGLHLLLNPTAGQEMLESSSAQGYKPPELIKMKDASEETDIYSLGVILLELLSGKEPINEHPTPDEDFYLPNFMRNAVLGHRISDLYHPAILLRNSIDDEIQVTEECVLKFFQLAMACCSPSPSIRPNIKQVIRKLEEIIH >RHN63391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50893572:50899391:1 gene:gene26085 transcript:rna26085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylate kinase MASSNLEDVPSVDLMSELLRRFKCSSKPDKRLILVGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMEKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEMLQKQGAKIDKVLNFAIDDAILEERITGRWIHPSSGRTYHTKFAPPKVSGVDDVTGEPLIQRKDDTAAVLKSRLESFHRQTEPVIDYYSKKGIVASLHAEKPPKEVTSEVEKVLSQ >RHN65498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2715469:2716148:-1 gene:gene13342 transcript:rna13342 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLISAALYLSRVHPILRLEEATSPMVLLPSSSSSSPSNYFYLS >RHN51779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24789659:24790096:-1 gene:gene36297 transcript:rna36297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MKFREIDTQEEFEEILHKIKQEPFDCSKKDNCRCDDPADIEYDSTRTWVKYKPNIPKTPKGFKRISVLRDDYSKLDSYYITPTGKQLRSRNEIAAYLKDHPQPNGVSALDFDFSSPKVMQNTIPDIIVKQKDSANKKVKIAKDEV >RHN60806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30536781:30540228:1 gene:gene23188 transcript:rna23188 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMVGDALGVNLSYTEGGEEETIPNEKALKFYKMMQEVNKPLFEGSSDSKLSMSVRLLAAASDWSVAEEGSECYTDIMRDATPVKDNLPLSFYEAQKLVEKLGLEVKTIDCCVNGCMLFYDNEFGKNDGALVACKFCNAPRYEVCDDADSQKKKRVSVKSMFYLPIIPRLQRLFASTHTAEKMTWHYYNKTNSGVMRHPCDGVAWKHFDQVHRDFAEDPRNVRIGLCSDGFIPYIQASATPYSCWPILLTPYNLPPEMCMSKPYLFLSCIVPGPTSPLDGIDVYLQPLIHDLNRLWNGASTYDIARKKNFRMRAALMWTINDFPAYGMLSGWSTHGRLACPHCMEHTKAIWLHHGRKHSWFDCHRPFLPKTHEFCKRNLFTKGKT >RHN51033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13223870:13227711:-1 gene:gene35399 transcript:rna35399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MTSPRKKNDHTVFVSFRGTDTRFNFTDHLFGALQRKRIFTFRDDTNLQKGNSIASDLIQAIEGSQVFIVVFSKNYASSTWCLRELAYILNCSVLYGKRVLPVFYDVDLSEVRKQSGGYGESFNYHGKRFQDHSNMVQRRRETLQLVGNISGWDLRDKPHHAELENIIEHINILGCKFPSRTKDLVEINYDVFVSFRGPDTRFNFTDHFCAALQRRGINAFRDDTKLKKGEFIAPGLFRAIEASQVYIVVFSKNYASSTWCLRELEYILHCSKKHGKHVLPVFYDVDPSEVQKQSGGYGDALSKHGLNMV >RHN64464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59292252:59295167:-1 gene:gene27283 transcript:rna27283 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSNEVSVAARHSPQGKKGVENDGEVAFDPIKLATDDHFCEMDRLQTDVAVTSTIFSCVSIDNIHANSRGLDAGSSDDI >RHN59435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10720000:10721825:-1 gene:gene21464 transcript:rna21464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MASLRVAIVAAIMCMVVVSAPMAEAVTCGQVVGFLTPCITYLQGGPGPSAACCGGVKKLNGAANTGPARKTACNCLKRAAGNIARLNNNQAAALPGKCGVNIPYKFSTSTNCNSIRF >RHN60210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23583482:23583781:1 gene:gene22469 transcript:rna22469 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTNSGTSASSQSSQSSSQASAPTIGANVSTFMGTTMATPVSTEMGVTAPATVSTTAATMTQSDMRDFVPPFTTIILVFTSILVSSCLLIPKLLGLD >RHN66146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9030808:9033908:-1 gene:gene14070 transcript:rna14070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MVWFLLFLHLFLFHFPSFSSSFNFSCHHYESSALLHFKSSFTINSEPAYSYFCDESRLLKTATWKNEIDCCSWDGVTCDTISGHVIGLNLGCEGLQGILNPNSTLFHLAYIQKLNLANNDFSGSYFHSKFGGFLSLTHLDLSHSYLKGEIPTQISHLCKLQSLHLSGSYQYNLVWKESTLKRLVQNATNLRELFLDDTDLSSLRPNSIALLFNQSSSLVTLNLAETRLSGKLKRSLLCLPGIQELDMSFNDELQGQLPELSCNTSLRILDLSNCQFHGEIPMSFSNLTHLTSLTLSYNYLNGSIPSSLLTLPRLTYLGLIYNELSGPIPNAFEISNNFQELVLSNNKIEGELPTSLSNLRHLIYLDVSYNSFSGQFPSSLFNLTHLVTLDCSHNKLDGPLPNKTTGLQKLTNLRLNDNLLNGTIPPSLLSLPFLLVLDLSNNQLTGNISAISSYSLEFLSLSNNRLQGNIPESIFNLANLSRLDLSSNNLSGVVNFQNISNLQHLKFLQLSDNSQLSVNFESSVNYSFFDLMELGLSSLSLTEFPNFSEKLPMLVYLDLSNNKISGSVPNWLHEVDFLRRLDLSYNLLTGDISLSICNASGLVFLSLAYNQMTGTIPQCLANLSYLEVLDLQMNKFHGTLPSNFSKESELETLNLYGNQLEGHIPKSLSLCKGLMFLNLGNNIIEDNFPHWLETLHYLKVLLLRDNKLHGIIVNPKIKHPFPDLTIFDISNNNFSGPLPKSYFKKFEAMMNVTELEYMRNRIWNGDGDGRNPYSSYYDSVIVATKGNKMKLVKIPNNFVIIDLSRNKFEGEIPKIIGELHAIIGLNLSHNRLTGHIPKSIGNLTYLESLDLSSNMLTDVIPLELTNLNSLEVLDLSNNRLVGEIPQGKQFNTFTNDSYEGNLDLCGLPLSKMCGPEQHSAPSANNFCSEEKFEFGWKPVAIGYGCGFVIGIGIGYYMFLIGKPRWLVMIFGGQPKRRVKRRTRMTRNQSSITNQNQNQMVQMSLTLLILLV >RHN53558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2515368:2517518:1 gene:gene28477 transcript:rna28477 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSLLKYWRGGGAVVGLSPSSDSTTTTNVNTTTILTTAESSDDNDDEGPFFDLEFTAPEEEEDGFEETNHKNQQQEEEKDEDDDEDSDDDDDDDSGETESEFKITLSPSNNERSDANLSLSPSEDLFFKGKLVQLKSSSFILNNNNNNSSSSEPNNKPQFTASLLKSATKFRVFMSGLKKPKTDSVLEKKQHKPERIKFKVEEVPIVSLFTRDNSSKNSNKSQTNQNQKVEHESSLSPLEEKRFSKEIVMHKYLKMVKPLYIRVSRRYSHGDKSSPSEKVEAETATEGETVAENEENNAKTQSQKQGNNIPAGLRVVYKHLGKSRSASSAVAASPPVLVSSKRRDDSLLQQQDGIQGAILHCKRSFNASRECETSSQLPRSVSDPLREISPELSRKSSDKRLSG >RHN50266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5658103:5658453:-1 gene:gene34537 transcript:rna34537 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKMKYLAGIVLSAFPFFTQLARFIEEQKSSITIFSHQCSYNNTPTEQRSRHFDLFVDILLLPNNLVHYSTSSSSCFSLYCFGTPCGLGVFTEQEVWLFTTQNDKYVAFSVAKCS >RHN49575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55758778:55760061:1 gene:gene44421 transcript:rna44421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MNQEMNGVEAERPLEKIDYVFKVVVIGDSAVGKTQMLSRFAKNEFCFDSKSTIGVEFQTRTVTINGKLIKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDITKRQTFDHVARWIEELRSHADNSIVIMLIGNKGDLVDQRVVHTEHAVEFAEDQGLFFSETSALSGENVNSAFFKLLQEINKVVSKRSLESNNGKNNIATLKGSKIDIISGPELDITEMKEVPSCSC >RHN45425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20588160:20590714:-1 gene:gene39761 transcript:rna39761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MSNLQVIVLKCAVCCCEWPCLTESISEMAGRNDAALAAALQAVAQAVGQQPNANAGVNAETRMLETFMRNHPPTFKGRYDPDGAQTWLKETERIFRVMQCTEVQKVRFGTHQLAEEADDWWVSLLPNLEQDGAAVTWVVFRREFLRRYFPEDVRGKKEIEFLELKQGNMYVTEYAAKFVELAKFYPHYAAETAEFSKCIKFENGLRADIKRAIGYQQIRVFADLVNSCRIYEEDTKAHYKVVNERKTKGQQSRPKPYSALPDKGKQRMVDDRRPKKKDAPPEITCFNCGEKGHKSNVCPVEIKKCVRCGKKGHIVADCKRNDIVCFNCNEEGHIGSQCKQPKRAPTTGRVFALASTQTENEDRLIRGTCYINNTPLVAIIDTGATHCFIAFDCVSALGLDLSDMNGEMVVETPAKGSVTTSLVCLRCSLSMFGRDFEMDLVCLPLSGMDVILGMNWLEYNHVHINCFSKSVYFSSAEEESGAEFLATKQLKQMERDGILMFSLMASLSMENQVVIDKLQVVCDFPEVFPDEIPDVPPEREVEFSIDLVPGTKPVSMAPYRMSASELAELKKQLEDLLEKKFVRLSVSPWGAPVLLVKKKDGSMRLCIDYRQLNKVTIKNRYPLPRIDDLMDQLVGAKVFSKIDLRSRYHQIKVKDEDMQKTAFRTRYGHYEYKVMPFGVTNAPGVFMEYMNRIFHAFLDRFIVVFIDDILIYSKTE >RHN44862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9207747:9208445:-1 gene:gene39029 transcript:rna39029 gene_biotype:protein_coding transcript_biotype:protein_coding MITILAEVSHISARCCRERNGWRLSHRALTSMFASGLSSSVIREIFAYTSISNKRYKQCLHTLAYQTRGTNNVFNHFNQFPTHHVPQVRLVKSKLEADVVAENNGHGQNRFGINSSNLPFFQAKTSFHDGASTSFSSYRGEQGLMVSSSSSFLARDFKFGDIRNQNHRVKNSNFGFFIRTNVMPHKFTSDVRNNNPFGIQLNNGAEMVGIGTMNNGLRALVKITMKGVKMKI >RHN80226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36293388:36293723:-1 gene:gene4126 transcript:rna4126 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTTSFVRHEINMEIHDVMCHVSQNLSDTIELMVRNKVQCAMKFATKRASFGDFFPLIQFKEFNGTKTSRCRYTKPTDICNGGTFRGLVESYITCTLHSFKFSMWCFCHD >RHN60345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25657882:25667740:-1 gene:gene22649 transcript:rna22649 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQERKAIQPHHEEMEIINLGTDEDKKEIKIGALLDATVRKRVIELLREYDDIFAWSYKDMPGLDPDVVEHRLHLYVYCTSVRTSTRVTPFSLVYGTEAVLPVEVEIPSLRVLIEAELSEAEWCQNRYDQLNLFEEKRMTRCVMDIYIKQE >RHN42269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36901291:36902242:-1 gene:gene48689 transcript:rna48689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MAVPNSVSDDNVGTLLLPDKEQENSIIVSTLIHVLSSSNNVAVPVSHTGTDTQQNFEVLNNLPHNNQQYKEKESDKTATSSSASGTLLSYQNQYDPDTCRVCKINGCLGCRLFFEKDKGENKKKYRGVRQRAWGKWVAEIRDPKRATRVWLGTFQTAENAARAYDQAAIKFHGARAKINFDFSDYEVACDDKKKQSVTVQDCVGEVSGVKNQTKTTILSFGPPEVN >RHN61932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39456610:39456876:1 gene:gene24446 transcript:rna24446 gene_biotype:protein_coding transcript_biotype:protein_coding MTITIISLALYVVQYFLWHLIIRRDTCHWNLLKSMARGIALPVFQ >RHN60853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30984838:30986755:-1 gene:gene23240 transcript:rna23240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative N-acetylgalactosaminide beta-1,3-galactosyltransferase MYSFRQRIITWLILIMIILYILYSSNLLLLTTEKRDCSTNVRLDAAADEKLEMISTGNISKTTSSIIEKEVSNEKKMKQDKTTKDKEEQEQDEEELSIDDLSQRQDTEIKHIVFGIAASSNLWNIRKEYIKVWWKHNETRGVVWMDQRVKTRDDEDLPDIQISGDTSRFKYTNRQGQRSALRISRIVTETLKLGLEDVRWFMMGDDDTVFMVDNVVRVLSKYDHTQFYYVGSSSESHVQNIHFSYAMAYGGGGFAISYPLAKELAKMQDRCIQRYPALYGSDDRMQACMAELGVPLTKEAGFHQYDVYGDLLGLLGAHPVAPLVSLHHLDVVQPIFPKMNRVQSLQHLMKSVKQDSGSIMQQSICYDKKRYWSISVSWGYVVQVLRGVLSPRELEMPTRTFLNWYRRADYTAYAFNTRPVTKHPCQKAFLFYMNGTRYDPVKKQIIGTYARYKSKPPDCRWKMDSPEDIDNIVVSKRRDPLRWQMVRILRNSNYYAFEALTRRY >RHN79442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28913837:28914050:1 gene:gene3232 transcript:rna3232 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTCDMGICPGKYICMDQSSNKGIYKDYVKEGIQCSFKTMNIEVLGIFHKGISS >RHN38834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2360916:2365091:-1 gene:gene44803 transcript:rna44803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MASSVVKSILNTVKEKGLGGFFRHLKDEGYLRCLPDGNLLQTKIHNIGATLVGVDKYGNKYYEKTENTQYGRHRWVEYAEKSRYNASQVPAEWHGWLHFITDHTGDELLLLKPKRYGLDHKENLSGHGEEFIYHSKGHALNPGQRNWTRYQPWQSTNEP >RHN49972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2790148:2792281:-1 gene:gene34217 transcript:rna34217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MDDKEEIEDEFNGVKVWWSSNSKAPTRKASSGRPNFDVVRYLTLTFHKRHRDLITSSYIQHVLDQGKAVIFKNRRLKLYTNNSGCWWMSGWSHTNFAHPARFETLAMEPEKKEEIINDLVKFKKGKEYYAKVGKAWKRGYLLYGPPGTGKSTMISAIANFMNYDVYDLELTTVKDNNELKTLLIETSSKSVIVIEDIDCSLELTGQRKKKKEKDRNDKNENKEKTDKKSEEEDEDDDDDDEEEEEKRKSNVTLSGLLNSIDGIWSSCGGERIIIFTTNFVDKLDPALIRRGRMDKHIEMSYCRYQAFKVLAKNYLDVESHGDLFPIIEKLLGETNMSPADVAENLMPKSTTEDVEACLKNLIQYLEIAKEKEEEEAKKKGEKAQLVAGKDKQELAQDCRMNRWRRQVKNMRNFFGSC >RHN40398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15932451:15933893:-1 gene:gene46546 transcript:rna46546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MSLIRVRLVKGMDFCSVLKTLTDGCRQCLEIVSDLVPRCCGTKVAWEVVVPSCGIKFDDQKFLQLNDQPGSSFQATHEDASNNKTLIITIVRVLVAVAILCCCI >RHN57531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39831076:39861686:1 gene:gene33088 transcript:rna33088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component Ecm29 MAESSSSTATPLRAKSDSEIEEMLDRMLTRLALCDDSNLEPLLSKLLPLTISSLSSPSIAVRNKVLEILSHVNKRVKLQSDIGLPLTELWTLYSESGAAPMIRNFCIVYIEMAFQRVNAKVKEDLAPDLLLNISKLPIQHQEIILRIIVKVIGECHSGQIGDEVVAKYKKVNNSQDRELFIEFCLHTMLYQRVSQSGGFPPGLSVAQVNRVTGKQQLQNNELLLRKLGILNVIQAMELNPELVYPLYVAASVDCEEPVVKRGEELLKKKASGANLDDLNLMKRLFMLFNGTVGVEGVDSESRVSPGSHALKAKLMSIFCRSIAAANSFPSTLQCIFGCVYGNGTTSRLKQLGMEFTVWVFKHAKIDQLKLMGPVILSGIMKSLDSYSSSEADVSAREVKTYAFQAIGLLAQRMPHLFREKIDMAARLFHALKVESQSLRFVVQEATISLAAAYKVAPLAVLQDLEVLLLNNSQVEESEVRFCAVRWATSLFDFQHCPSRFICMLGASDAKLDIREMALEGLCLLKIENQIDGLKYPKLGMMLDYILRQQPKLLESTEIRDQHLLFPSSTYVAMIKFLMKCFESELERNKSLEGSTELMSSVKTFCSLLEHSMSFEGSAELHVTSSKALLIIGSNMPEVVASHYALKISWLKQLLSHVDWDTRESIACLLGIVSSALPLPATSDVIFELTSIFSQTHKSRFEIQHGALCAIGYITADYLSRAPMPEIFLRKTLRCLVDVVNSETSALAAVAMQALGHIGLRISLPPLDDSNSDGILIILYDKLSKLLLSDDVKAIQKIVISIGHISVKESSSSHLDMALNLIFSLCRSKAEDILFAAGEALSFLWGGVPVNADTILRTNFTSLSTASNFLMGDLNSCVSKQFPNGQSEHSAEYHASARDAIIKKLFDVLLYSSRKEERCAGTVWLVSLTKYCGNHPIIQKMLPEIQEAFSHLLGEQNELTQELASQGMSIVYDLGDESMKQNLVNALVNTLTGSGKRKRAIKLVEDSEVFQDGALGETASGGKLNTYKELCSLANEMGQPDLIYKFMDLANHQASLNSKRAAAFGFSKIAKQAGDALKPHLRALIPRLVRYQYDPDKNVQDAMVHIWKSLVADSKKTIDENLDLIIDDLLVQCGSRLWRSREASCLALADIIQGRKFFEVGKHLKRLWSGAFRAMDDIKETVRISGEKLCRSVTSLTTRLCDISLTDMSDAHKAMDIVLPFLLAEGILSKVDSVRKASIGVVMKLTKHAGTAIRPHLSDLVCCMLESLSSLEDQGLNYVELHAANVGIKSEKLESLRISIAKGSPMWETLDLCIKVVDAESLDTLIPRLAHLVRSGVGLNTRVGVANFISLLLESVGVDIKPYANMLVRLLFSVVKEEKSTAAKRAFAGACAKVLNYIPVSQAQKLIEDTAALSAGDKTSQIACAFLLKSYFSRATDVVGGYLAVIIPVVFLSRFEDDTNISSQFEELWEEYTSGERITLNLYLGEIVSLICDGMSSSSWARKKKSAQAICKLSEVLGDSLSSHQEVLLQSLIKEIPGRLWEGKDVLLLAVGSLSTSCHKAISADGSASSIAILNLISSACTKKEKKYREAAFASLEQVIKAFGNPEFFNMVFPLLFDLCNSKPLKSPLLNDAAKPEVDGVEETSIPHNKIIDCLTSCIHVAHVNDILEKQKDLMHIYAAFLLPEHKWTVKTTAFLSIKELCSRLHNVIKDSQGTNEHASVTSLVQEMFHSISPKVLHCISTIKIAQVHVSASECLLEIIKLAVAVPLVSAINEEFKEELLHQYEIEKNEGAKSLLRTCVNILQDWK >RHN75875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45780997:45781500:-1 gene:gene12131 transcript:rna12131 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPILMVVDVDDVDGFEYVKIMIDGGIDECGGAGGGEYVCGCGVENKFRIHSSGKLIWDFCGF >RHN56660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32655419:32656534:1 gene:gene32096 transcript:rna32096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70 MSRVLFQIWRCMMQPKVWRFVGFAAVVAGLLCNALSSSFNYLFGGWTMLVIALYTVFSFALCVLVLFPRIWQHSRSHLFIANTTFVVLAMTSLYSYFFDKLMHNTPDAYSLISCASFAVSALSLSRNKTQCGFEIDLLYFLLGCLMMQLMKITLKLFIFGAVFSYFLIIIRSSFSSIDASEKKYCSEFQDGNSVVLNMESLQLASTNIDSRMEKLETRVKALEHGNSKSIQMVLEPVRKLKHSQSVIEDPNFMSDALKKETIKDLEETTNVMARAGFENNFSMCTTI >RHN72280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6414076:6415868:1 gene:gene7974 transcript:rna7974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MNQLAEKLINLSLSSNYHEDMRKFILAFISKYDLNIKTATLAVVIVILGSLYLSTYGSNRRSKVKLKPKSEHFDRTRSWIVREIHSGKPILDRLKEDFNKARVNPATLKHTKKVLKALLNEEYLDLIKIQQAAEKLEMSGSEDSAVEVLERAVEKAENANKPHEVYEIEMFLVEMLIYKGELDRALNRTCLKDDSLKDARRPLYKAIIYQMKGNTEKADECWNEFLTVQDPPCTGFSLYKFKKNVERLQSAIQELSRTKGQ >RHN61752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38046391:38050877:-1 gene:gene24244 transcript:rna24244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cell division protein Cdc14 MYLKKPLWSEGIETTKQDSTGSSSSSDPNASVVELVNSLQQQRVYREVTLALTTGLRDARAEFSFLRLRALRSILNFLNSIAHSDSTIYLFNLTQSIPHLQVLPVLFQHSLKETGNDYNYSRVGDMSHIFGVEPMKLTSPSTDDEIALALRVLEGCCLLHPHSTTLAHQHNAVQVLLNILSTRGVLEQSACLDALISLMVDSSPNQMDFEKCNGIMEVADLIRDKQVDENLRLKCGEFLQLLIGHVNGRDSPPLASIHEDTRRLLGETSASLIWAASQFGSTLDPEQRLTALQIQARRILESLDLY >RHN63724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53547368:53550805:-1 gene:gene26463 transcript:rna26463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MASTAKRCHYEVLGLPRECSPEELRSAYRKLALQRHPDKLVKSGLSQSEATAQFQELQHAYEVLSDPKERAWYDSHRSQILFSDPNSKSNSVVPDLFSFFSNTVYSGFSDSGKGFYKVYSDVFDKIQANEINFAKKLGLGIDSVRQAPLMGNLDSPYAQVTAFYSYWLGFSTVMDFCWADEYDAMAGPNRKSRRLMEEENNKVRRKAKREYNDTVRKLADFVKKRDKRVIDMKVKKNLEMEKKKEEEKERKRKLEMERKERAMAYEEPDWAKVDEEEVEDLYEDDESEKKDEKEFYCVLCGKKFKSEKQWKNHEQSKKHKEKVAEFKDSLDDEEELEAEVDEEGESEREGVDEERLESEEDGVRVDDLEERIRDSLNVADEESANGVELNDDGDDDEFFDAEHAKDGEETGASVDFVVNDDDDDENDVLETMVSGHKGRFDFDENDGNDDDVDDDEIGVLEAMLAGRKSRKPSASTHKPEVSETPTQIESENENDGVGAMEYNNRKPAKKKRRAKKEKGGKNGDETNVPTNGKYEKNVINIGDGDSYAQESSSQYFEENEDNAGKENEQVGRDKKKISNQPVDKKGTSKNTKPRSKLSTRGRKPNRNLGHFCETCEEEFESRNKLHKHLSDSGHAAMKSR >RHN60439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26771385:26773616:1 gene:gene22751 transcript:rna22751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MVLSTRSMVFNVILFIGYCFCLGRAIDCGGNLVAKTITVDQKGRGMFKRIQQAVDSIKHNNDRWIKIQIMPGKYREEISIPYDKPCIILKGSDRRTTTIYDDDIQSKAIFTSSPPNVVLSGITIENTHGSNGKAVAATIFGNNSAIFDCSFLGYQDTLWDALGRHYYKNCYIQGEVDFIFGQAQSYFEDCVINATQGHAQPAGFITAQRRYSSTESTGFIFKGGRVEGIGKVHLGRAWGPYSRVLFWGTHLSAVVLPQGWNAWNYQGQE >RHN59215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8487596:8491220:-1 gene:gene21214 transcript:rna21214 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSTTFCNLKFHSTRPNNNNVSFLPRILHMKQEEEDNNTNQINRRQLILRSSEIATIGAIFNFSGKKPEYLGVQKNSSALALCPATKNCVSTSENVNDLTHYAPPWNYNPEGRKSPVSREEAVEELIEVIELTRPDKFTPKIVERKEDYVRVEYRSSILGFVDDVEFWFPPGKGSIVEYRSASRLGNFDFDVNRKRIKALRQELEKKGWASQDTTI >RHN78195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12650554:12651335:-1 gene:gene1728 transcript:rna1728 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKDINGKLLMGEDYENEQLERSGITEELEQPVSSPQEPYSSDSTQSSKRKRCTLLPNQDHDAIKTRLPLTKHSEPEKSKQGFQFGSCSTSVGIGDSLTQETRRIDRPLTKVETPNQQLHRNSASKVCKPLQNLVPVDALEANKTVDDESRCVESLYKSLLHIQPIAYELFDALDQDWLFSSVKIEAKHVSKKQKTDAFRCSKSLWPRAQFMPEVNILALPYTIPF >RHN43243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44260700:44272816:-1 gene:gene49788 transcript:rna49788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, von Willebrand factor, type A, copine, protein BONZAI MGNCCSDVAGGRTAIGGTAGGLLNPGNTSNDAVDNFFKSRGYQGLFSQIELSFSASGLRDRDVLSKSDPIMVLYAKGKNGALEELGRTEVVLNSLSPTWITKQTLIYHFEVVQVLVFHVYDVDTQFHNADVKILKLEEQQFLGEATCALSEIITKRDRSLTLDLLRQDSTRSGNSQKCGKLKVYAEECVGSKTTVEMILRCTDLEYRDLFSKSDPFLLVSKVVENGDHIPICKTEAIKNDHNPTWKQVFLNVQQVGSKENPLLIECYNFNSNGKHDLLGKLQTSLVELEKLYSGGQGEHLFLSPAVGHDSQTKVLKSRLFVDKFSESIQYTFLDYLAGGFELNFMVAIDFTASNGNSRLPDSLHYIDPSGRPNSYQRAIIEVGEVLQFYDSDKRFPTWGFGARPIDGPVSHCFNLNGSNTYCEVEGIQGIMMAYTSALLNVSLAGPTLFGPVINNAAIIASQSVANGGRKYFVLLIITDGVVTDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDADKGDRLESSSGRVASRDIVQFVPFRDVQSGEISVVQALLAELPTQFLAYMRSRNIQPNL >RHN60459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27016525:27020330:1 gene:gene22771 transcript:rna22771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional nitrilase/nitrile hydratase NIT4B MALVTTTPTINDGPLISEVDMGSDFNALTVRATVVQASTIFYDTPATLDKAERLLAEAASYGSQLVVFPEAFIGGYPRGSGFGVSIGNRTAKGREDFRKYHSAAIDVPGPEVDRLAVMAGKYKVHLVMGVIERDGYTLYCTVLFFDSQGHYLGKHRKIMPTALERIIWGFGDGSTIPVFETQIGKIGAAICWENKMPLLRTAMYAKGVEIYCAPTADSRELWQASMTHIALEGGCFVLSANQFCRRKDYPPAPDYVFEGSEENLTPDSVVCAGGSVIISPSGAVLAGPNYEGEALISADLDLGEIARAKFDFDVVGHYARPEVLSLIVKDHPTNPVTFASASTKTEEKTK >RHN64519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59718315:59722347:-1 gene:gene27342 transcript:rna27342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MASSEGRIAYSSLSKLEKEKTHFGFDAMNHHVQSTWSEPESTIGTTNQDISYDSYQFPYEEDELFDGGYDSNEEARGGIARSNMPPEVNLKNVLSGIFSILTGQNKAPKIDVNEQSPSSNVSFLGAGKNGDDLLNASVYTPSAPPLCLPNGAEYSSYKEVLEAEPPEWLPDSSTTACMQCASPFTALTRGRHHCRFCGGIFCRICTKGRCLLPVRFRERNPQRVCDSCYDRLDPLQGVLINTISNAVQAAKHDVMDWTCARGWLNLPIGISMEHEIYKASNTLRNYCQVAKSNPERSIPLSVLKSAQGLAILTVVKAGALVSYKVGTGLVVARRYDGSWSAPSAICSMGLGWGAQIGGELMDFIVVLHDTKAVKTFCSRMHFSLGAGCSAAAGPVGRVLEADLRAGDRGSGMCYTYSCSKGAFVGVSLEGNIVATRMDANLRFYGDPYLTTSDILLGMVDRPRAAQPLYTSLQDLYSSLRY >RHN71474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:404817:405130:1 gene:gene7080 transcript:rna7080 gene_biotype:protein_coding transcript_biotype:protein_coding MIESRGRNLCSKCNESGQLLVCKTTTYSLMVHINYLGDSAQLAKENFLCPFL >RHN54069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6715833:6721265:1 gene:gene29049 transcript:rna29049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-OST1L family MNPPPAMDMPIMHDSDRYDFVRDIGSGNFGVARLMRDKHTKELVAVKYIERGDKIDENVKREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGEMFDRISRAGRFTEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGDPALHLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKQEYDGKVADVWSCGVTLYVMLVGSYPFEDPDNPKDFRKTIQRVLSVQYSVPDFVQISPECREVISRIFVFDPAERITIPEILKNEWFLKNLPADLVNEKLTDNQFEEPDQPMQSMDTIMQIISEATVPAAGSYLDQFMPDNDTDDDMDDMDSDYELDVDSSGEIVYAI >RHN81335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44963660:44966015:1 gene:gene5356 transcript:rna5356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MDYMTSAMLFLVTCIVTIFIDSLHASRKSNYKLPPGPSFFTIMSNVVELYNKPQQTLAEFAKLYGPIMRIKLCTETTIIISSSHMAKEILFTNDSLFTDRSVPDNTTTHNHNNFSLVFLPFSPLWQHLRKICHNNLFSTKTLDGSQELRRMKLKDLLNDMHKSSITGEAVDVGRAAFKACINFLSYTFVSQDFVENLDDEYKNIVSTLLSAVGTPNIADHFPVLKIFDPQGIRRHTTLYVSKVFYALDIIIDQRMKMRQSEQYISKNDMLDALLDISKEDNQKMDKRQIKHLLLDLLVAGTETSAYGLERAMSEVVRHPEVMSKAKKELEETIGLGKPIEESDIDRLPYLNAVIKESLRLHPPAPMLLPRKARVDVEIAGYTIPKGAQVLINEWAIGRTDIWDDAHLFSPERFLGSEIDVKGRHFKLTPFGSGRRICPGSPLAVRMLHLMLGSLINSFDWKLENNMEPKDMNLDKQLRAIPVALNNMKY >RHN74111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28091552:28092247:1 gene:gene10094 transcript:rna10094 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSALGSSSNMEIDTPTYEIKGRTMSIEEWELIIQGENPVDFASLTHHGCDLVRFYKKQKLMGYFSLLNGPTYEVLVRQFWVRASIFDIDAARQEEAQLILVDPTLKGKTREEMGLLSFTGTEIRSNVMGIPVTINEHIIAQAMGRDTSGKYFGEEIPNPRTSPWKEIVNNTIYGSKDVKPYSTLSIEKKLLLKIQNENIFPKGGGSDQPSLGHKVFLHHTPSLKRPR >RHN44817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8791687:8796654:-1 gene:gene38982 transcript:rna38982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MSYSDHGYKYDVFINFRGEDTRRTIVSHLYTALCNAGINTFLDDKKLAKGEELGPELYTAIKMSHIFIAVFSPNYAQSSWCLNELAHIMELRHRRHSYSPRVVIPLFYHVDPSDVRKLKGDFGKGLKVSADKIFSQSGAEREEVLMSKWRRALAEVTNLVGWDANNFRNEGDLVQKLVEDILTKLDMSVLSITEFPVGLEPRVQSITKILYDESRKACMIGLWGMGGSGKTTLAKAIYNRIHREFQGKTSFIESIREVCDYNRKGIIHLQEQLLSDLLKTKDKIHSIAVGINKIEKRLQGQKVLIVLDDVTKSEQLKALGGNPKLFGSGSVLIITTRDRSHLDSLSARVFTMIEMDKNESLELFSWHAFRQSCPRKDFGKLSRNVVSYCKGLPLALEVLGSYLSKRTEQEWRSALSKLTKIPNNEVLQILRISYDGLEDYTEKDIFLDICCFFIGKNRVDVTEILNGCGLHADIGVSVLIERSLIKVDKNNKFQMHDLLRDMGRAIVSESSAKEPEKHSRLWCHEDVLDVLSKKTGTKTVEGLILKWQRTGRICFGTNAFQEMEKLRLLKLDGVDLIGDYGLISKQLRWVDWQRSTFTFIPNDFDQANLVVFELKYSNVKQVWQDTKLLEKLKVLKLSHSKYLKSSPDFSKLPNLEKLVMKDCQSLSNVHPSIGDLKNLLLINLKDCIILENLPREIYQLKSVKTLILTGCSTIDKLEEDIVQMESLTSLITTGTSIKEVPYSILRLRSIVYISICGYEGLSHEVFPSLIRFWMSPTINSLPRIPPFGGMPLSLVSLDLENNNNNNNNNLSCLVPKLNSFSELRSFRVQCQSMIQLTRELRRFLDDLYDANFTELETSHTSQISVLSLRSLLIGMGSYHTVINTLGKSISQELRTNDSVDYFLPGDNYPSWLTYRCVGPSVYFEVPNGGVCGLNGITLCVVYSSTLENIGTECLTSVLIINHTKFTIHICKRDTVMSFNDEDWQGVVSNLGVGDNVEIFVTFRHGLTVKETAVYLIYSQSSTREIESILEVEAEPTPEVEAQSSTDVKAEPSPEVEEQPSPPDLKMEPLPKPNQNIFIRFAKGVGKCLCLNQN >RHN71711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2092663:2094296:-1 gene:gene7339 transcript:rna7339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zein-binding domain-containing protein MMQMQTLGRFCLLIFLHHSVLGIYHKFLRFSLRFFLFMDFPSTFNFLTQASELGCGIVLLGYFSRIFNLIGLVLIFGICFKILRFSDTPRLRKPQKVVNLDTQLKSNVKYDDGLVGLDMKDMDGGLEDEVFDVMSLRKLVKMERERYVAACAEIEKERVAASSAAEEAMAMILRLQNEKSSVEIEANQFRRMVEERQEYDHEVIESLRWDVVQLESQKTFLEEELGIFKEKLREFMRDDEIELIEGADFAREFCNFSVEYDLDDSLDDTASH >RHN65527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2916178:2917131:1 gene:gene13374 transcript:rna13374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MCFFLLQILHQKNFMALTTSTLTEKKKVLFILGATGTGKTKLSINLGTQFPSEIINSDKIQVYKGLDIVTNKVQESERHSIPHHILGIIDDPEYDFTVDDFCNHVLEALNLITENGHLPIIVGGSNSYLKKLIEDPTIAFHSKYDCCFIWVDVSLPILCPYLDKRVDEMVESGMVDEIRDFFVPGADYTKGIRRAIGVPELHSYFEIEKKECIDDAQKEKILKEAITKTKQNTFILAENQLSKIRNMADKLGSMINNINSTEVFEAILRGEDYHHLHQEIVINPSMKIVKRFLEETSPIFGNSKYSNENGKHTSNGV >RHN59224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8554253:8554543:1 gene:gene21224 transcript:rna21224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70 MNEHNKNNSNIGNMLLQMVKGNYKLVVTNRKLIIDALLPETNNFHETVKLMVDSGFEKECYEIYDSYCKEWLEDLFINKLLGLCDWKMDKNFQGRS >RHN64942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63164585:63172053:1 gene:gene27823 transcript:rna27823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MKFSLTLIELRASISLKLSTFQKAVSMEILLLFFLITTYALGAFSFSEEFDHYPFPSNFLFGTASSSYQYEGAYLSDGKGLSNWDVFTHKPGSTHDGSNGDVTVDQYHRYLEDVDLMEAIKVNSYRFSISWARILPKGRFGEVNLAGIDYYNRLIHALLLRGIQPFVTLFHLDFPQELEDRYGGWLSPQSQEDFVLFADICFKSFGDRVKYWTTFNEPNLQVSLGYRKGKHPPCRCSGKFGNCSEGDSEKDPFVAAHNIILSHAAAVDIYRNRYQAEQGGQIGIVVHVDWFEPYSNSVADKLAAERAQSFSMNWILDPIFFGKYPKEMEVILGSTLPKFSSNDKAKLNRGLDFIGINHYAGYYVKDCISSVCESGPGTSATEGLYQQTAQKDGVPIGELTPFDFLNVYPQGMKKTLTYVKDRYNNTPMFITENGYGNFYDPNNTKEEYLNDIKRINYMSGHLNNLGESIREGADVRGYFAWSLLDNFEWLYGFTVRFGLYHVDFATQKRTPKLSASWYKHFIEKHKTESIIPEHDMDTRNWNKQFKANMLRTVGSEGRMKNLD >RHN81451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46032867:46038775:1 gene:gene5495 transcript:rna5495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerol-3-phosphate dehydrogenase MTRMKKLSLAAGAVIATTYGGTLILQNPSISSSDSGSLQALRQKLHAPDAIVPSRETQQSVLIAASKANPLDVLVIGGGATGAGAALDAVTRGLKVGLVEREDFASGTSSRSTKLLHGGVRYLEKAVFNLDFGQYKLVLHALDERKRVIENAPHLCHALPCMTPCFSWFEVVYYWAGLKMYDLVAGRQLLHLSRYYSTKESVDLFPTLLREGKDRSLRGTVVYYDGQMNDSRLNVGVACTAALAGAAVLNHAEVVSLLKDDAGERIIGARVRDNLTGEEFDTYAKVIVNASGPFCDAVRKMVDKNAKEMIAPSSGVHITLPDYYSPEGMGLIVPKTKDGRVVFMLPWMGRTIAGTTDSSTSITYLPEPHEDEIQFILDAISDYLNVKVRRVDVLSAWSGIRPLAVDPTAKSTESISRDHVVCEDYPGLVTITGGKWTTYRSMAEDAVDAAIKSGKLTPANGCVTNNLCIVGGEGWEPSSFTVLAQQYKRMKSTYSGKVVPGVMDSAAARHLSHAYGTLAERVAAIAQNENLGKRLAHGYPYLEAEVAYCARNEYCESAIDFIARRTRLAFLETDAARRALPRVIEILANEHKWDKPRQKEELQKATDFLKTFKSSKNAQFHDGKHS >RHN65884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6214481:6217020:-1 gene:gene13777 transcript:rna13777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MALPSLSSFTCDWTYDVFLNFRGKDTRNNFTGNLYNSLQNQRGIQTFMDDEEIQKGEEITPTLLQAIEESRIFIAIFSPNYASSTFCLTELVTILECSMSQGRLFSPVFYDVDPSQIRYLTGTYAEAFKKHEERFGDDKHKMQKWRDALHQAANMSGWHFKPGYELEYKFIEKIVKAVSVKINRIPLHVAKNPVGLESQILEVISLLGLDSNEKVNMVGIYGIGGIGKSTTARAVHNLIADQFEGVCFLDDLRKREINHDLARLQEALLSDILGEKDIKVGDVYRGMSIIKRRLQRKKVLLILDNVDKGKQLQAFVGGDDWYGSGSKIIVTTRDKHLLASNGIVKVYEVKQLKNEKALELFSWHAFKNKKNYPGHLDIAKRAVSYCQGLPLALEVIGSQLFGKSLAVWKSSLDKYERVLLKISMKF >RHN47009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36414665:36415083:1 gene:gene41554 transcript:rna41554 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPAQASCFLHSLFSHCIPNFVSILPSTSRSIYVREQHIISRIMWLNLEQFSCSKLPEFHLFMNASSI >RHN71229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57442256:57446295:-1 gene:gene19884 transcript:rna19884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-VIIa-2 family MGNCLANSGDGKKTQKKTTSTYKQRNNTYSADYERSVGAKIAVAEKENGESLRTTVAGGGKIVKPNLKMFTLDELKSATRNFRPDTILGEGGFGRVFKGWIDKNTFRPSKVGVGIPVAVKKSSADSLQGLQEWQSEVDFLGKFSHPNLVKLLGYCWEENQFLLVYEYMQKGSLESHLFRSPEPLSWEIRLKIAIGAARGLGFLHTSEKSVIYRDFKSSNILLDGDFNAKLSDFGLAKLGPVNGRSHVTTRIMGTYGYAAPEYMATGHLYVKSDVYGFGVVLLEILTGLIALDQNRPTNEMNLVDFTRGSLSDKKKLKKIMDPGLEEQYSSTAAFLIAQLILKCLESDPKNRPSMEQVLETLEKAQSIKYKPKVKKSRNSVHQKNKRPRDHSTNNHHNNGHSKSQTHSTQ >RHN61491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35858981:35861717:-1 gene:gene23962 transcript:rna23962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, ARF/SAR type, P-loop containing nucleoside triphosphate hydrolase MGKKPCTNIVLRDESENLVDVTLWDSYSVQLMTFLTKRKDRGSVVLILTHAQCKLADNGKPNLCNNWSDSKLLINLKHPVVEAFRARLKIFVPIALLAFSVMVSVIWTNDTLERSNVVYSSIDKLSISNILFGSNRYDLPEAVSSEELARYLDLKKLDERVYMFEAVSSYDGLGIRESAEWLVEVMERSKRAEMLRL >RHN70089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48676108:48678585:1 gene:gene18636 transcript:rna18636 gene_biotype:protein_coding transcript_biotype:protein_coding MMKESTTEADPITICLNLTNSLIENEIPTVHHLKTKWSIFGVKLTQLQTHLTDFSTEYSKSSTTNPLSLHLLHSVLQTLNDAVSLSHHCQSQILPHGKLQTQSQIDSLIATLHRHVTDCDVLFRSGLLLETPAFSKREAVRSLTRNLIARLQIGSPESRATAIDSLLSLLNQDDKNVTIAVAQGVVPVLVRLLDSSSDMKEKTVAAISRVSTVESGKNNLLAEGLLLLNHLVRVLDSGSGLAIEKACIALQALSLSRDNARAIGSRGGISSLLGICQGGTPGSQGYAAAVLRNLAKFNEIKENFVEENAVIVLLGLASSGTGLARENAIGCVANLISEDESMRVLAVKEGGVECLKNYWDSVTMIQSLEVGVEMLRYLAMTGPIDEVLVGEGFVGRVIGVLDCDVLTVRIAAARAVYAMGLNGGNKTRKEMGECGCVPSLIKMLDGKGVEEKESAAMALSVLLQHPFNRRVFRKDERGIVSAVHLLNPALVNLDKKYPVSVLVSLLHSKTCRKQMVAAGACVYMQKLVELDVPGSKKLLDGLGRGKIWGVFARP >RHN48781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50171484:50176686:1 gene:gene43534 transcript:rna43534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mediator complex, subunit Med27 MQQTQQATAATFSTPTPPPSSTAEAPPKQVALAMDKLGQAERIIADIRIGADRLLEALFIAAGQPHQGNKPLQVFVKENASMQQHFKDLRSLGKELEEAGVLSETARSRKDFWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDGVEANESDSKKRCGSEEVTFEPKEVMSFLRTLPDVLQSLEKEVPNVKISTFERLDWLKCASTLTSSPNESSEEHNYRGSSKRKLGSMGMVAPEKVAVIELLCPSIFRVVISLHPAGSIDPDAVAFFSPDESGSYVHARGVSVHHVYRHITEYATIALQYFLGNQAETSLYSLVHWICSYQTLFSRPCSKCKKLLAMDKQSNLLLAPVHRPYWKFSFSKILSTISSKDQNSDTTMAYHIGCISEEV >RHN64810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62077428:62079569:-1 gene:gene27672 transcript:rna27672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGRSFEPFQGDNTFMIPSPLEQPPYYFQPHPPFPPITVSTLRSNNNSNFSFNNSTLKVSPGILIIITILAIVFFISGLLHLLVRFLWRPQSRDPDELDDVTALQGQLQQLFHLHDAGVDQSFIDTLPVFLYKTIIGLKVNPFDCAVCLCEFEHEDKLRLLPKCSHAFHMECIDTWLLSHSTCPLCRANLLHDFNTNNCGSPLVLVLESGSDTSREIVPEREAAISTGSVVGRTSSVRTSNSHLGCCGDGEIGGDLALVENVPDPKVEVGGDGVEKVVTVKLGKYRNVDGGGEGSSSNNVDNRRCFSMGSFAYIMDETSSLQVPIRTPIKQQLSKKKKNVLPLIPGHRIAMSECDCESRRDFKFASFDSARAEDVVKGSTSEGAGIGRSRKESFSISKIWLRGKKDKKNGDVTDSSRRAVSFRFPLQSNVGSGNDDLKGKNGMFHTRSTVSEMDFGKWENGGGSEFSGYDEENQSCYSMDSQARAPSFARRTLLWLTGKQNKVVHSSTTSTL >RHN50370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6527664:6530996:-1 gene:gene34648 transcript:rna34648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MKFQTNEILNGKYTTRKTTKSVFLVPFTLLLIMFPLILMRNTDEPSPNMSSVQHMNNKTHTKGCDIFSGSWIPHSEGPYYNNETCKWMIDEQNCMKFGRPDDEYLHWRWKPNECELPLFNATQFLEIVRGKKMVFVGDSVGRNQLQSLLCLLSQVSQPEDVSHKYTTNVIYFKRYFFADYNFTLGNLWSPYFVRSKDADKNGHSYNSIMELYVDEVDEAWSSQVENFDIVIISAGHWFFRPLLFYEKGKLVGCNKCGMENVTDLAHYYGYKMAFRTAFKTLTNLENFKGVTFFRTFSPSHFENGDWNKGGNCVRKKPFSKEEMNLNGYILETYLTQVNEFKVAQEEASKKGLKFLMLNTTEIMLLRPDGHPNNYGHAMVKNVTFYNDCVHWCLPGPVDTWNELLLYMLKVQSHTSYGSKLESVL >RHN68328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34611487:34615223:1 gene:gene16664 transcript:rna16664 gene_biotype:protein_coding transcript_biotype:protein_coding MENKNNKKKVGGAGSSSSTPTNFDHLFGPKDPSTTSSSSNSIFSSIFPPPSTGGWRDSTRHDMGSNTYGAPGNYSNKGGNSANKNTGSDTTYYQNETVEPSNYYSSSIYYGGQENYNSPTTCKTTQTPHFTKKNKDDDDDSNGSDSGSASRGNWWKGSLYY >RHN45447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20921162:20935852:1 gene:gene39789 transcript:rna39789 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNTRLDSRKGTLVIPPSYLMFPSSPGVGLISPLTFRKKEEHIYTYFHPLFLFF >RHN45089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11649950:11650240:-1 gene:gene39292 transcript:rna39292 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVFVLALIFVAIVGVAMAAEAPTSSPKASAPVAEAESPKTSEAPTTFSDSPPAQSPVVVDVVPPVSGPGAASGASSLKVSAVVAVAAAGFFAF >RHN80622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39534924:39535251:1 gene:gene4568 transcript:rna4568 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLLDDMHEAAKDKELNYVPLLDITPFYPEEEYITRAARIHAGHVRRMREKDELLKKKDEQIKYLLEQLYKQAQP >RHN60498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27367553:27370196:1 gene:gene22815 transcript:rna22815 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRKNMTKILMIGYALMIFIFLSIAVSITGDISGNLARASRKKPVDVIPCIYDHDCPRKLYFLERCVGRVCKYL >RHN80529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38852850:38854335:1 gene:gene4467 transcript:rna4467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MMILEKCEDLSFCKHFNEDGHSSLSGTIRRLSIATSSGDFRACIENSHILSLFLFTDKSNYEEESIVNRILKKHRTLKVLDFKGALFIDFKPFRSLIHLKYLSFKNLSIRRNQHGFPKWIGILQNLETLDLRASFPLTVIPKEISKLRKLRHLMGNKRCMLQLKDVLGCMESLQTLTGVKIGKDGIELIKELGKFRQLRKLSLFYVRAEHSSALYSSLNEMKHLENLYIVSGMGDNIDFDVIDLDLVSPPPMLRNLKLCGKLEKFPEWIPQLTNHVKLELARSFLTDDPIKYLENMPNLLSLSIISNAYVGESLHFHDGGCQNLKELYIGECPNMNSIVIDKGALHSLKKLELFEIPNLETVPSGIQHLKNLESTVS >RHN75215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40211992:40212229:1 gene:gene11387 transcript:rna11387 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEWVLFFSCQVEHLLHTNKGNCKHGFARFDVISGGCSKIVYFSFQIPSVLYYTL >RHN41264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28720291:28722890:-1 gene:gene47568 transcript:rna47568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamoyl-CoA reductase MSFLEGRDSLENKCGWIVDVRDVVDAILLAYEYHKADGRYIFTSHTIITQDLVERLKSIYPNYKYPTK >RHN42466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38574716:38575386:-1 gene:gene48910 transcript:rna48910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MHVCSFKEKSFLNGDVYIGNFKGELCHGNGKYTWSDGTIYEGDWVDGQMTGKEIMKWVNSCVFDGCWSNGLKHGHGVYRYVNGDVYRGNWENGKIDGKGIMNWVDGDVFDGCWSNRLKDGYGVYRYANGDVHTGHWKKGGNDGKGIMRWANGVVFDGCWSNGLRHGYGVCRFTNGDVHSGHWKKGEKDGKGIKRWAGGDVFDGCW >RHN78491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15573100:15573660:1 gene:gene2063 transcript:rna2063 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRIFLVLDLMFSRRSQAFITIKCFEILDNLSSNDGIDFCIDEEGEQLELGNIIANLIAPQKLPNSAHYFRKPALCAHLSICKFETGLVKKAVLAANVVSLILPLLEDSDSEIKETAIILLFLFSQYEPERFVEYLLWLRRLKALVGFLGMTKIMLYKWLLLVLANLSEIRTKTHYAIIDFGWP >RHN76058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47345563:47348423:1 gene:gene12332 transcript:rna12332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSQRIGTKLFVSRLSFYTTQQQLESLFSPFGVLTEATLITDQNTQRPKGFGFVSYKSEIEAEKARKALNGRIVDGRLIFVEHAKPKDSS >RHN69733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45901092:45904163:-1 gene:gene18245 transcript:rna18245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDNSTQESHLRSDNNSVTYDSPYPLYAMSISPNTNSPQQRIAVGSFIEEYTNRIDILNFNPDTLSLKPQPSLSFDHPYPPTKLMFHPATHSSLQKTSSDLLATSGDYLRLWEVRENSVEALSLFNNSKTSEFCAPLTSFDWNEIEPKRIGTSSIDTTCTIWDIERGVVETQLIAHDKEVYDIAWGESRVFASVSADGSVRIFDLRDKEHSTIIYESPQPDTPLLRLAWNKKDLRYMATILMDSNKVVILDIRSPTTPVAELERHRAGVNAIAWAPRSSKHICSGGDDAQALIWELPAVAGPNGIDPMTTYSAGCEINQLQWSAAQPDWIAIAFANKMQLLRV >RHN41213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28242235:28246639:-1 gene:gene47510 transcript:rna47510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MTYLRVVDFGFNNLNGRLPNDFFNQLPQLRNFNLNNNQFEGSIPQSIGNCTSLIYLDLSSNFLTGTIPKEIGYLDKFEVLYLPNNSLSGSISSKIFNLSSLTDLEVDLNSLSGTIPSNTGYSLPSLQYLYLNDNNFVGNIPNNIFNSSNLIEFQLDDNAFSGTLPNTAFGDLRFLESLFIYDNNLTIEDSHQFFTSLTNCRYLKYLELSGNHISNLPKSIGNITSEFFSAESCGIDGNIPQEVGNMSNLLTFSLFRNNITGPIPGTFKKLQKLQFLSLSNNGLQGSFIEEICEMKSLGELYLKNNKLSGVLPTCLGNMISLIRINVGSNSFNSRIPLSLWSLRDLLEINFSSNSLIGNLPPEIGNLRAIIRLDLSRNQISSNIPTTINSLLTLQSLSLADNKLNGSIPKSLGQMVSLISLDLSQNMLTGVIPKSLESLLYLQNINFSYNRLQGETPDGGHFKNFTAQSFMHNDALCGDPRLLVPLCGKQVKKWSMEKKLILKCILPIVVSAILVVACIIVLKHNKRRKNENTLEKGLSTLGAPRRISYYELVQATNGFSESNFLGRGGFGSVYQGKLLDGEMIAVKVIDLQSEAKSKSFDAECNAMRNLRHRNLVKIISSCSNLDFKSLVMEFMSNGSVDKWLYLNNYCLTFLQRLNIMIDVASALGYLHHGSSIPVVHCDLKPSNVLLDENMVAHVSDFGIAKLMDEGQSQTHTQTLATIGYLAPEYGSKGIVSVKGDVYSYGIMLMEIFTRKKPTDDMFVVELSLKTWISGSLPNSIMEVMDSNLVQITGDQIDDILTHMSYIFSLALNCCEDSPEARINMADVIATLIKIKTLVVGANTL >RHN78701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17657557:17661025:-1 gene:gene2339 transcript:rna2339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MQTGFSVSRSLLGYFEGVITLFYNPRGILAVETISNLGIMYYVFLSGLEMNSDTILRSRKKGTSMAIAGIVTSMLFGVGFLTLQQKLLDKKEKTHIKAYLFWCLTLSVTGFPVLARILAKLKLLYTKLGKDTLTAAMLTDAYGWVLFTLLIPAANNWGEGYYLSVITTFLFIAFCFTVVRPILTPIIENRTNKNMWRKSHMLDVFIGLFIFSYITDFLGTHPIVGAFVFGLILPHGKFADMVMEMSDDFVTGILCPVYFSGFGFKLDLPVLWNTPNSVLMMLIMVSLCIPKVLSSLIVTFFFGMPARDGLSIGLLLNTKGVMAVILQNVAWDKRFLDPCSFMVMTLAIILMTVIVSPLINAIYKPKFRFMQSQLRTVQKLRFDMELRVVACVHNAKHATNMIHVLEATNATRISPVHVSAVHLLELTRHGTAILVSQMADLNNIAGAETTNYGPKAEFEIITTAFKEFVEQYNAVRFDTSSVVSSYTTIHEDIYNVAEEKRASLILLPFHKEYSTVGGSPEISRNEHCEINENVLQHAPCSVGIFVDRGLGSLLKTKMRIITLFIGGPDDREALSIAWRMAGHSGTQLHVVRIHLLGKAAEEKVLKKKISKSPHGMLSTVMDGVMQKELDEEYIFSFRHKAVNNNDSIVYSEKEVHSATGEEIPILLNEIDKPGYDLYIVGQGSGKNTTIFSKLLEWCDHPELGVIGDILASTSFGTHSSVLIVQQYMVGRKRVVYKCHDELKNSPEVL >RHN71410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58798723:58800166:-1 gene:gene20090 transcript:rna20090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GPI inositol-deacylase PGAP1, alpha/Beta hydrolase MLTCGAKWMSASPIPSKCSRPSSSSSSSTNNYRPAVILPGLGNNSGDYQKLEATLNNNYGVSTVVAKVSRPDWLRNAAGLIDPNYWRGTLQPTPILDWYLKRVDDAVQEAMDLAPPETTLSLIGHSAGGWLARLYMQQFGVSNISLLLTLGTPHHPPPKGVPGVIDQTRGLLDYVQQYCSKPVYTPHLKYVCIAGRYIQGAPLFGNSNPNAEPALPTDTSHLLPEEAAIIKAATTSSPNITLRARFVGQGYKQVCGQADVWGDGVVPEVSAHLDGALNISLDGVYHSPVGSDDLIRPWYGSPDVLEQWIEHLFN >RHN69600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44790058:44794486:1 gene:gene18089 transcript:rna18089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polynucleotide adenylyltransferase MVNNLVPNGLLCSEEIFVPKVLERSKWSQVEDRTIELLQFLEPNPKSETLRNNIVSYIKGLIISHVPVKVFEFGSVPLKTYLRDGDIDLTIFGNNELFPEIFIPHIQQILESEMNNEFSKFRVKEVQLVNAEVKIIKCLVEKFVIDISFNQLSGLCSLCFLDEVDYLISRNHIFKRSVILIKAWCYHESRLLGSKSGLFSTYALEILVLYLFNLYNNEFVGPLEVLFRFLEFFSKFDWGNYCISLSGPVPLDSLPNMTADCPRKDRQDLLLTESFLIASKFCYGWRNQKNREKHFVSKHINIIDPLQENNNLGHSISRGNFFRIKSAIAYGAEQMMRILDCTDEYLISEFDHFFENTWNRHGNGSWIRVSIYNLDIRDKKVGKLTCQEFEDELDLASLKSQGIYQKSDNQLEELKDASVVSHTRSSRTDDMLSCDRKHTSSKKKALTDKNKSPLCSSSHAMHHHFASDCCSSPESAVQSKTASSGKRSFKVEKDSVDPTVAIIKDSNVMKPTTSCKYIPASDSTLKCSLSSSALKPTQLDDVHDPSTVSMDIFRHENISHVEDSHYFLNHFYDILYGDFESYWKNLLYGRYCENGRLEELFYHPPPILHSIDFRAPFYWSWRPSGSENVVPPTVPRMPPPFTSDLHSNINQCIPYSLPIFSGGIGTFMPNPFAYHERYHNYTYNISERRGNLNFNSRGRSSRPGQFHRQPNNLNSNSRERFSSRGRNHCRWKNEQPEGKEEASRQVEGSSSSVNEQENSDNSPDQPCS >RHN56273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29421055:29421619:-1 gene:gene31645 transcript:rna31645 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRDPFSAPPILPLFSPEPLLSPSSSLFLGSRRHFAAVHKVVSDPVWFVVLSHGLGRFAPASILSLDGFLLVRGSGLAGCCWCSYEFLSFAAGCGSERWWWIDLCCCWFVNLEPGLRWVLWFCPDMLERVRYVDGPC >RHN44026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1092126:1093619:1 gene:gene38070 transcript:rna38070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MTTISFNHQPNNIHHQKGFIIIEEIEGLIKVHKDGHVERPQIVPNVSCKLQSENGVTSRDITINKETNLWARVYLPTSTLTSHNNLNKLPLLVYFHGGGFCVGSVSWICYHEFLNNLSLKANCVVVSFNYRLAPENRLPSAYDDAFNALMWIKHEALYNKNQSWWLKHCNISSLFLCGDSAGANIAYNIVATRLGSNSNASSCLNLNPLSLKGVILIQPFFGGEERTNSEKLFRQQQNSALSLSVSDTYWRLSLPIGVSVTRNHPYCNPLANGIAKLRDLRVPSIMMCVSELDILRDRNLEFSNCLVKAGKKVETYVYKGVGHAFQVLHNYQLSHARTQEMVSHIKNFLNQ >RHN69371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42968412:42972700:1 gene:gene17828 transcript:rna17828 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAVDSSSFQKEHQIQEFQEGNGNVPVCLKLNKQPNVDDLNAKLPLDIPPILVEETSLPRGLHGSQAQDVYSISMVPKEGENARSASPLAFLSVVEVPNQAKSGVCLDTHINCQNSIDFQMNRKDIYAQCIVDIPNVNGNSVSPESYDDGVESFKTGNSPKSVLWRESSLKAGAKLMQSLVNLGSPRDKPVTEKLHDLPSNKWRRYKRSTSFDSRKVALLFSILSSLGTLVLIYLTLRVRQKADVFVLS >RHN39661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9322987:9323663:-1 gene:gene45706 transcript:rna45706 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLKALDALIAYLRAADADAGRFFGKKVCDAIVDSKCLVRKRKTVKKAQEVLLLWVELGAVDAFLVFAKTCTLYFILASGDRY >RHN44424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4486124:4492155:1 gene:gene38543 transcript:rna38543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone-7-O-beta-glucoside 6''-O-malonyltransferase MFSFFLSPIIMEETLYIFPTFISMKYTIIPFSFTKSSIIFIKAWAYLCNKTFETEESPTLLQELKPIFNREIIKDPNGNNSIKILSKKYPSQKRNERSLKNFHFEPKLKDCVRATFELKHEDLDKIKQRVLSTWETFDTRESKPQNISSFVLACAYSLVCLAKAIHEVQNYKEKFGFVFAVDCRTRLKPPIANNYIGNCIWGHFIDAQPLDFIKEDGVSLVAKSIYEKIKMINEKGFLEEAIDDVYNKHSCLTREGFEIFGVAGSNRFGVYDIDLGWGKPTKVEIVSIDRGLAIGLAESKDGSGGVEVGLVLNKHVKSIDFDCDFMISYIDSTKILDRNQTTLLMFHMASNNNSNIKVHDHFKVVPPSSTKTTSIPLTFFDIFWLRFHPVERVFFYTLPNSQSHPSFFFQTIVPNLKSSLSLTLQHFLPLAGNIVWPSDSSKPIIQFDPNDDGVSLIIAESDSDFNHVVENSPHEASLSRSFIPHLESSDSFASIMSLQITLFPNSGFSIGISTHHAVLDGKSSTMFVKAWAYLCKKAIERDESPTLLSEFEPSFNREVIKDPNGNNVMDLVSTLFPSEKGNDRSLKIFPFEPQLEDSVRATFKLKHEDLDKIKQRVLSTWEIFDTKESKPQTLSSFVITCAYSLVCVAKAIHGAHNDKEKFSFVFSVDCRARLEPTIPNNYLGNCVWAYFIDTQPLDFIKEDGVFLVAKSIYEKIKMINEKGFLEGEINDMFNKIISLSSEGFEFMGVAGSHRFGVYEIDFGWGRPEKVEIVSIDRGVTIGLAESKDSKGGIEVGLALNKPVMDIFSTLFLEGLSNNE >RHN73614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18185519:18186277:-1 gene:gene9446 transcript:rna9446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronate decarboxylase MSSPSSIRLDLDGNPIKPITICIIDTGGFIGSHLCEKLMLQTPHKVLALDVYNNKLKHLLELETLPWNNHFEFHRLNIKNDPILESLIKTTYLVINLVAIYTPIDYNTSPLHTIYNNFIVAIPVVKHCSENNKRLVHFSTCEVHGKTIGSFLPKDSPLRHDPAYYMLKEDESSCIFGDENGLEFTIVRPFNWIGPRMDLVPSVDGPSERVPRVLACFSNNLLRGEPLK >RHN70350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50806264:50809201:1 gene:gene18920 transcript:rna18920 gene_biotype:protein_coding transcript_biotype:protein_coding MVITNFNGAGIGFGFGVGCGFGVGWGFGGMPLNFLGLGAGGGCGVGVGLGWGFGSAYGSRYRLSRITFQGVEFDSKEKSDSMELSKSSPEVRNSR >RHN50253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5517698:5520895:-1 gene:gene34522 transcript:rna34522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-arabinopyranose mutase MATSSPPLKDELDIVIPTIRNLDFLEMWRPYFEPYHLIIVQDGDPSKTIKVPPGFDYELYNRNDINKLLGPRASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVANDPSGKQINALEQHIKNLLCPSTPLFFNTLYDPFREGADFVRGYPFSLREGVPTAISHGLWLNIPDYDAPTQLVKPLERNTRYVDTVLTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCCKVICDHLGLGIKTGLPYIFHSKASNPFVNLRKEYKGIFWQEDIIPFFQSLALPKEATTVQKCYIEMSKQVKEKLGKIDPYFDKLADAMVTWIEAWDQLNPAKKA >RHN58466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1924743:1925036:1 gene:gene20383 transcript:rna20383 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta' MELAKHFIRTNIEPYWMVLCLLSVPPSELRPIIQIFGGKLMSSDINEVYGRVIYMNNTLIDLFTTTRSTLGELVMCQEKLVQVILGTLLDNAILNNQ >RHN43510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46415412:46419723:-1 gene:gene50102 transcript:rna50102 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIDINGTTISLSLYTDVTNSKELLESMQAGTLEPEVAFLNASLIPDIFPVLAAAHKTLVTKSRDSLTTRTLHSELVYNYSGSKHITESLKRCGISESTTYILAARFDATPDEIKAIEKLVIGKEIDLEELKGRANLPQIQKHYKISALELGISSLEDAITCRIAARDAL >RHN76106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47734088:47736236:1 gene:gene12384 transcript:rna12384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MLSPNTFLQDFSVPNSVNQVTNGFELEDSPSSPSSSGTSSNGQFLEPTRYSNNILRYINDILMDEEDDLEQKPCMLQECLRLQAAEKSFYDVLGHNQPSPNDSTDPDGNFNCAASFERNGSSCIADNSYESNWVNHVVEFESFPIQLKSPLIEKNYYDLTEADPVVIETQGANHFHNGTWSWNDIQPVTLEEVLPSTVPEKRSRKMDRDNDTCNEQEGRGSKVSAILSDELEPPEILDEVLLYQKGKTLTQYQQASHNVDSGGKAKETHSRLKKASTTNNAAAVDLWTMLNQCAQAVASYDQRNTDELLKQIRHHSSPFGDGLQRLAHYFANGLEIRLAAETPSYQPLDVATAGDMLKAYKLFVTASPLQRVTNTLLTKTIFKIVKNESSVHVIDFGICYGFQWPCLVRRLSLRPGGPPKLRITGIELPQPGFRPTERVEETGRRLAKYCKKFNVPFEYNFIAQKWETVCLEDLKIDRNEITLVSCLYRLKNLPDETVALNCPREAVLKLIRKINPKVFFHGVANGSYSAPFFPTRFKEALYHFSSLFDMFEANVPREDMQRSMLERGLFGRDAINVIACEGAERVERPETYKQWQVRNKRAGFKQIRLDSDLVNETKTIVKEEYHKDFVVDVDGKWVLQGWKGRILNALSAWVPA >RHN78012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11229439:11236240:-1 gene:gene1526 transcript:rna1526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo repeat-containing domain-containing protein MLTACRIPPILTPSSSSTSHFSPSITTTRVTLSSSSSSSSSKSHSKLPLFARFTRSCSSSSSNNGHSDHSTAPASPEIDEVESESSSDFGDSYVALFVRMLGLDHDPLDREQAIITLWQYSLGGKKYIDNIMQFPGCINLVVNLLRAESSSACEAAAGLLQSLSSIDQYRNSVADSGAIEEINRLLTQSSLASEVKVQSLNMLWNLSVDEKLRVKIAKSDLLLLAMKYLDDEDMKVKEAAGGILANLALSHVNHDMMVEAGVIPKLAKFLPYESEVSRVIRKEARNALLELVKDDYYRILVIEEGLVPVPLIGAAAYKSYNPRSYEAPAFPDGTEIERTYDKPSRFGAAELLIGLNVDNNANVDEAKVNAIIGQTQQQFLVRVGAIEMEETSTRSECSDDQPRLTLLHWIDGVARLVLILELEDKSAIVRAAESIASACINEHMRIAFKEAGAVRHLVRLLSWNDNAVQLAATQALEKLSASNVVCRVIETEGGLAPLVSILKCSDVAGAIAEKSLNVLAQILDPNKEMQLKFNGSKKAFDGADDGSKELSSTEQAVSKTNPRSDILNSVFTARLVEILKSFLPSLQEKAASVLEFVALIDPTLSPIISVDIEIGLNSTFQQNLLKISAETKFDVEDQFSAAYAIELEEAGLAISAASRLLTRLLDSKQFREKINVSHFIDTLRKILKTHIPLRSKDWVAACLVKLSSLSGYDTSTNPINVDVTLYDTIPRLVEQIKTSFSLEAREKAVVELSRIVSEGVVDSTEHIISEGAVYSLVKLIEEGNERGIEASLKILYNLSMDSENHSALLAAGAVPALRRIVLSEKPQWQRALHLLRSLET >RHN79118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23438322:23443370:-1 gene:gene2834 transcript:rna2834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGNCCTNPITKLRRKEKPKKDHGPTTKNKTNKIKNTLVVLKKPTGREILQQYELGRELGRGEFGITYLCKDRETGEELACKSISKDKLRTAIDIEDVRREVEIMRHLPKHPNIVTLKDTYEDDDNVHLVMELCEGGELFDRIVAKGHYTERAAATVVKTIVQVVQMCHEHGVMHRDLKPENFLFANKKETSPLKAIDFGLSITFKPGDKFNEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGIPPFWAETEQGIAQAIIRSVIDFKKEPWPKVSDNAKDLIKKMLDPDPKRRLTAQEVLDHPWLQNAKTAPNVSLGETVRARLMQFSVMNKLKKTALRIIADHLSVEEVAGIKEGFQVMDTENKGKINLDELRVGLLKLGHQIPEGDVQILMEAGDVDKDGFLDYGEFVAISIHLRKISHDEHLQRAFQFFDKNESGFIELEELRNALADEVDTNSEEVINAIMHDVDTDKDGKISYEEFATMMKAGTDWRKASRQYSRERFTSLSIKLMKEGSLELNNEGR >RHN49482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55110825:55112895:1 gene:gene44319 transcript:rna44319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MATSLVIAFCVMISFVGCAYAQPRAFFVFGDSLVDSGNNDFLATTARADNYPYGIDYPSHRPTGRFSNGYNIPDLISLELGLEPTLPYLSPLLVGEKLLIGANFASAGIGILNDTGFQFIHIIRIYKQLRLFELYQKRVSAHIGSEGARNLVNRALVLITLGGNDFVNNYYLVPFSARSRQFSLPDYVRYLISEYRKVLRRLYDLGARRVLVTGTGPMGCAPAELAMRGGPNGQCSVELERAASLYNPQLVDMIRSLNQEIGSDIFVAADAYRMHMDYITNPQAYGFATSKVACCGQGPYNGLGLCTPASNLCPNRELNAFWDAFHPSEKANKIIVNRILRGSAQYMYPMNLSTIMALDSRT >RHN55989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26520562:26522492:-1 gene:gene31294 transcript:rna31294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTKTYKFVYAMILFLYIFQIARNVDANFECKSTNDCPKSVLRVWRCINNYCRPVRMKKIHNTM >RHN79194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25505202:25507388:1 gene:gene2929 transcript:rna2929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3,9-dihydroxypterocarpan 6A-monooxygenase MTEMQYYIQLLFVLVISIIAIQTLLIRKKNRKHLTPPSPLALPIIGHLHLLSKLPAHQNFHKLSMQYGPIMKISLGSIPSIVISSPEMTKEFLKTHETSFSNRLINGVVRYLSYGANDFMFAPYGDYWKFMKKICMSELLGGRTLDQFRPLRQQETLRLLNVLKKNGETGEAVDVGAELLRLTNRIMTRTTITKTCCENGFDVEDIRKLVKDCSELGGQFNLSDFIWFFKNWDLQGFNKRLKELMNMFDTMIESVIREHQEEMKKRKENGEGAHVKDLLDILLEIHEDESSEIKLTRKNVKAFIFDMFLSGTDTSSTTIEWALAELINNPHIMQKARQEIDSLTGKSRLIQESDLPNLPYLRAILKETLRLHPTIPTMVRESSERCNVSGFDIPAKTILYVNFWSMNRNPKLWENPLEFKPERFMSEGNKFDLRGQNFQFIPFGFGRRACPGTSFALQVVPTNLAAIIQCFELKVGGNGTVSMEEKASTTLPRAHPLMCVPIPRFNFFSFAK >RHN74450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33465862:33467034:-1 gene:gene10524 transcript:rna10524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAASNEKVNIYVLEYIVFSILSKLPLKSVKRFTCINKHWTLLFENPYFVNMFYNNMVSKYNSLYDEPCFLLSHKNSNWERELYLISGKRIENKLPLNWPRPFIRDPGGYNYPLPFIACSSVNGTICIYDNIDSHSTVVLWNPATDELHIVAEDHDYCVIDGFYDDRDITYTIHGFGYDNVSDDYKIIRYVDYHGKLDTLWDGPSWEIYSLKGNYWDAINVDMPNCCWSKVGVGVHLDGVCHWLGITDSETYVVSFNLSTEVSVTALIPSELFNLKWVDRDLAVLNGHVIMISRYVKTTSFHISISMLGEPGVNESWIKLFDVGPLSDIKRFIGAGEKGDIFFKKDDDELAYLDLTTGVIQNIGVKLHPYHDFSQVVLYKKNILPIGGIKE >RHN53470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1854948:1858046:1 gene:gene28379 transcript:rna28379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MAFRRAFSSSSQFHKNLNLNPKDTTLSHHSEHRRFEEIIELFCQQNRLKEAVDYLHRIPQPSPRLYSTLIAACLRHRKLELGKRVHAHTKASNFIPGIVISNRLIHMYAKCGSLVDAQMLFDEIPQKDLCSWNTMISGYANVGRIEQARKLFDEMPHRDNFSWNAVISGYVSQGWYMEALDLFRMMQENESSNCNMFTLSSALAAAAAISSLRRGKEIHGYLIRSGLELDEVVWTALLDLYGKCGSLNEARGIFDQMADKDIVSWTTMIHRCFEDGRKKEGFSLFRDLMGSGVRPNEYTFAGVLNACADLAAEQMGKEVHGYMTRVGYDPFSFAASALVHVYSKCGNTETARRVFNQMPRPDLVSWTSLIVGYAQNGQPDMALQFFESLLRSGTKPDEITFVGVLSACTHAGLVDIGLEYFHSVKEKHGLVHTADHYACVIDLLARSGRFKEAENIIDNMPMKPDKFLWASLLGGCRIHGNIELAERAAKALFELEPENPATYITLSNIYANAGLWTEETKVRNDMDNRGIVKKPGKSWIEIKRQVHVFLVGDTSHPKISDIHEYLGELSKKMKEEGYVADTNFVLHDVEEEQKEQNIFYHSEKLAVAFGIISTSPGTPIKVFKNLRTCVDCHNAMKYISKIVQRKIIVRDSNRFHCFVDGSCSCKDYW >RHN49666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:171195:175141:1 gene:gene33869 transcript:rna33869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxalyl-CoA decarboxylase MGEIDGNVLAAKSFSQFGVLHMFGVVGIPVTSLATRAVSLGIRFIAFHNEQSAGYAASAYGYLTSRPGIFLTVSGPGCVHGLAGLSNGTTNTWPTVMISGSCNQNDVGRGDFQELDQIQAVKPFTKFAIKAKHISEIPNCVAQVLANSVVNRPGGVYLDLPTDVLHQKVSESEAESLLTEAKYLAEKIKKSHVISVESSKIQEAVSLLRKAERPLIVFGKGAAYAKAEDELKKLVEKTGIPFLPTPMGKGLLPDDHPLAASAARSLAIGKCDVAIVIGARLNWLLHFGEEPKWSKDVKFVLVDVSKEEIELRKPFLGLVGDGKEVLEVLNKEIKDDPFCLGSTHPWVEAISSKVKDNGVKMEAQLAKDVVPFNFLTPMRIIRDAISEFGGSPAPVVVSEGANTMDVGRSVLVQKEPRTRLDAGTWGTMGVGLGYCIAAAVAYPDRLVVAVEGDSGYGFSAMEVETLVRYQLPVVVIVFNNGGVYGGDRRSAEEKHGPHKEDPAPTSFVPNAGYHTMMEAFGGKGYLVGTPDELKSALSESFSARKPAVINVTIDPYAGSESGRMQHKN >RHN72974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12285606:12286392:-1 gene:gene8743 transcript:rna8743 gene_biotype:protein_coding transcript_biotype:protein_coding MCKYQKIACSQIQPKINKTPPLTPRSKPNSLNQQQNRLVTCLKAGTKITDTFYTA >RHN68003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32055803:32058110:-1 gene:gene16278 transcript:rna16278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-linalool synthase MDVTYVKQALIFKQVYKKLVKIEDSMESFYLIDIIQRFGIEHYFAEEIKVALEKLHLILNTNPIDFVNSHELYEFSLAFRLLRQGGHYVNADLFDSLKCNKRMFEEKHGEDLKGLIALYEASQLSIDGEDSLNDVGYLCRELLHNWLSRNQEHNEAIHVVNTLQNPLHYGLSRFMDKSTFIHDLKAEKDLICLEELAKINSTIVRFRNQNETIEVSKWWKELGLAKEVKFSEYQPLKWYTWPMACFTDPNFSEQRIELTKPISLIYVIDDIFDVHATLDQLTIFTDAVNRWEFTGTEQLPNFMKIALNALYDITNSFAEMVYKKHGFNPIDTLKKSWILLLNAFMEEAHWLNSGHLPRAEDYLNNGIVSTGVHVVLIHAFFLLDHVNGITKETIDILDEKFPNVIYSVAKILRLSDDLEGAKSGEQNGLDGSYLDCYMSEHQDISGEDVQRHVAHMISNEWKCLNQEILVANQFSSSFSNFCLNAARMVPLMYHYKSNPSLSNLQEHVKSLINVSVGCN >RHN77768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9303192:9304355:-1 gene:gene1256 transcript:rna1256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK family MLYQRRKLLKLKEKFFKQNGGFILLRQLSKREDASGTTQIFKEDELKKATNNYEESLIIGRGGFGTVYKGILADNRIVAIKKSKIMDATQVEQFINEVVVLSQINHRNVVKLLGCCLETQVPLLVYEFISNGTLFDLMHTSIEKENNAIWKTRLRIAAEIAGALSYLHSSASLPIIHRDVKSANILLDDNYTAKVSDFGASRLVPLDHTMIATVVQGTLGYLDPEYMQTHQLTEKSDVYSFGVVLAELLTGEKPISFNRPEENRSLAIHFLSCLRENHVSEVLQVGILNDENKEEIKEVAILAARCLRLKGEERPSMKEVAMELEGLRLMEKHPWTKSEINLDETQSLLQGVSSNFNYETGDSSSNHEFSGYDSLKVHAPMSLDDGR >RHN46348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30915328:30915648:-1 gene:gene40830 transcript:rna40830 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGFSSLNCIDILYESMNVLSSERYLRSKDLKDNTFMEFVDPKFPVSGGYVRGPLTTMVTDDLVATPISSIDGVSYLERMNVSLVDLEEIVISIGVKEVKGVCKT >RHN66513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13257124:13259899:-1 gene:gene14516 transcript:rna14516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MENVSVLCKEKDQMVLPPGFRFHPTDEELISHYLYKKVIDINFSARAIGEVDLNKSEPWDLPCKAKMGEKEWYFFCMRDKKYPTGLRTNRATEAGYWKATGKDKEIYRGKYLVGMKKTLVFYKGRAPKGEKSNWVMHEYRLEGKFSVYNLPKTAKNEWVICRVFKKSLSGKKTCHSGIMRLESLGNEMSSSILPPLTNSSPYSIDKIKSPYVSCFSNPIERNQVGIFDSFNNTPFEVPSNHSINLPKNTPSIGSFYSTQGIQVHPSPNLPLHGSVYNNGSNFENQHHPPSSTAPIDLSTLWNY >RHN65007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63598647:63600786:-1 gene:gene27897 transcript:rna27897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-dependent channel, 7TM region phosphate MLKPLIMYHLKNFFLVKTEKDREEAMNPGSIGFNTGEPRIQLYFLLGLVYAAVTPTVLPFIIIFFGLAYVVFRHQIINVYNQEYESGAAFWPDVHFRVIIALLVSQIVLMGLLTTKKAASSTPFLIVLPILTIWFHRYCKGRFESAFVKFPLQEAMMKDTLERATEPNLNVKGYLQHAYVHPVFKASHDDDADEEDAMSLKWETESATVATKRQSRRSTPLPSRFSGASSPSMLDSIKNDPES >RHN40148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13630254:13631073:-1 gene:gene46258 transcript:rna46258 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHIVKIKLTNKIRFGFFCGNLQWKIWFKLVSTFTEIKLSLRTRSQCMLAILVQNELGTYILITSILVSYEIGDLYVTIFESKINPPSKSKIQYCN >RHN58159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44191301:44195290:1 gene:gene33772 transcript:rna33772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative caffeate O-methyltransferase MIEKMEREEAKQVSDEEALFFAMELSGASAAPMVLKSALELGIIEIIAKAGPNAHLSSSNIASQIPSIKNPDAPSMLDRLLRLLASYKILTCSIQHQDGDSIERLYGLHPLAKYFVNNQDGVSMISSFLMQHDKVLKDMWYHLTDSIQEGGLPFYNAYGMTSFEFHSTDQRSNKIFNKGMSDYSSIIMNKVLETYSGFEGLGSIVDVGGGIGTVTNMIVSKYPNIKAINFDLPHVINEAPSYPGVEHVGGDMFVSVPKADAIFMKWICHDWNDEQCLKFLKNCYDSLPATGKVIAVECIIPIIPDSNLASKSVFQMDAIILCHSSGGKERTEKEFEALAKGAGFEGFQIACCAFNMYVMEFLKNA >RHN81455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46061488:46063904:1 gene:gene5501 transcript:rna5501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MIITDTSANTNYISTVPSSRMLRCDHENDTPTQHDIRTATMRHKIHSPIADESPPILPDDIVVAILLRLPVRSLLQFRCVCKSWKTLISDPQFAENQLQLFTVNPTIAHQHLSSSTVTRTCKIVSFPVKPLFANPSSPVAPISVDMNGRYHILGSCNGLLCLYEFQLGCVRLWNPSTRLMSKTFAVIDDIVIRCYGFGYDPVNHKYKVLVVMSDCNEPATKLYAFGENSWKTIQDFPGTPPTGPGKHVNGTLNWFPTEEGFDCNQWVILTFDLVKETYGKLSLPKQDNGGSIFNPVLDVLSNCLCVCFDHSGTLWLMKQYGVTESWTKLMIIPRGDGWQATYQRSFRPLCILENGIILGKTPCSGLVRYDTNTEKLLASSMLSQFGTKGPSDDSKGVVILEGDPDNVLRCWFLLI >RHN76016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47011161:47015122:1 gene:gene12286 transcript:rna12286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MSCFCFKAKSRGKIESNHSSRSEDHSNTTSADKVKVDLNLSELKDKKEDDSKHDQLSLDVKNLNLNDGVSPDGKVAQTFTFAELAAATENFRADCFVGEGGFGKVYKGYLEKINQVVAIKQLDRNGVQGIREFVVEVITLGLADHPNLVKLLGFCAEGEQRLLVYEYMPLGSLENHLHDLSPGQKPLDWNTRMKIAAGAARGLEYLHDKMKPPVIYRDLKCSNILLGEDYHSKLSDFGLAKVGPIGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVALLELITGRKAIDHKKPAKEQNLVAWARPLFRDRRRFSEMIDPLLEGQYPVRGLYQALAIAAMCVQEQPNMRPVIADVVTALNYLASQKYDPQIHHIQGSRKGSSSPRSRSERHRRVTSKDSETDRFGD >RHN80246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36477261:36481678:1 gene:gene4148 transcript:rna4148 gene_biotype:protein_coding transcript_biotype:protein_coding MENVSLWVQKEGQWKKDSLLALCSDREELSDKDSSKSQLKKLIKRSNSHSSIPVNAIQSQISKVFYKGLFFRHVSGLDNRIPKHMVSADEKYLRRCLEFVHNHAVKATQWNIPLSLRATNIGTLSESLSTGESFVFNCPAAMETRGVVISSETGEEWTLGTVMESKSMINILNSALLKKFGDSDRKESLNRMNFSDAEGLICYDFMDSSSGLSISSSYNLKKETTPFAQNHNYGSIPVHKRLASTSSATSTSSDWMSSTSTLSQGMIQCTWKRGLPHFIFSADDQKEVYVAKLRKVVESTDDKAFDYVYQFHLNKGSQKGREIADDDLPLVGKMNVSTSFTLCSNSCRVMETEFTLFHNIEVYDNRSEYSHHMKNKGLKKKVSQVFRTNPSSKHRTLSRFNRSGAVAESCLWDPQIDLLDANVPPNFEMASIVVKDHLPCNRPEKIGGWGLKFLNKSGVNKTASPSESCNQNNDDCSSSMSILIPAGLHGGPRTRNGGPSSLIDRWRSGGHCDCGGWDEGCPLTVLQKRSSKVEVLSQVDTKGECMSVDLVTQGSNDISPALRMVNVHGGLYYIHFNQPLSALQSFSIAVAIIHMQSPTLRPNSAQELS >RHN47523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40294565:40294951:1 gene:gene42136 transcript:rna42136 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTKQTSHKDDEQHNVVLRRQNHERENEIDVKITYFNKKEKEKRVRGVVLGQIDPKSPLFDR >RHN70697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53279892:53281285:-1 gene:gene19308 transcript:rna19308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MIMVLLLVPLNLMSSPFGVRYKVHIQVMDNTGSTTFILFDRNVQNYVDLIEANSQGKPSSEYPNELDIFVGKQMLFKVEITDGNLMHNWRNYAVKRTSDESICDSSQHYNGEYPADLDLFIDKKMLFKVEITDGNLKHGWRN >RHN75500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42748364:42749917:-1 gene:gene11718 transcript:rna11718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MILTTCIATPTHHPDPPKQPPQIHPPSTFSPPNNPNKNQTLSLKHKPNSNQTVSWTSSISHHCKNNNFLKAASEFIQMLEAEVEPNHITLITLLSACAHSPSKTSITFGAALHTHAFKHGFAMNDVMVGTALIDMYAKCGKLDYARLVFDQMGVRNLVSWNTMIDGYMKNGDVDDALKLFDKLPVKNVVSWTVVIGGFVKKECYEEALECFREMQLAGVVPDFVTVIAIISACANLGALGLGLWVHRLVMKKEFRDNVKVLNSLIDMYARCGCIELARQVFDGMSQRNLVSWNSIIVGFAVNGLADKALSFFRSMKKEGHAGLIDEGLKIFADIKRDHRNSPRIEHYGCLVDLYSRAGRLKEAWDVIKKMPMMPNEVVLGSLLAACRTQGDVELAEKVMKYQVELYPGGDSNYVLFSNIYAAVGKWDGASKVRREMKERGLQKNLAFSSIEIDSGIHKFVSGDKYHEENDYIYSALELLSFELHLYGYVPDFSGKESYVDD >RHN50524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7911455:7913024:1 gene:gene34820 transcript:rna34820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysosomal cystine transporter MQQGTQKLSKYVIAIVAVVWLSAAVCFFIALPTQSWLWLISIFKQVSFSFKSLNIQFIYFNFSSHISIQVCMTLIKYCPQAFMNFLRKSTDGFSIGNVLLDFSGGIFNYSQMVVQSIDQDSLVNFYGNIGKVMISLVTIFYDSILMFQHYILYSHKKVSITSENSEEIQKPLMYSSSSDQQIHDSV >RHN40071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12999676:13003112:1 gene:gene46167 transcript:rna46167 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQQRRFNTMERRPRMLKDFLSENPNSDSNSCSSSGFKSLPRTSKPFTNSIQIHHTHSSSKFQALIKTIKNNVTFFKITKPPSTILSLPRTLSRKLSSKRRKTSQRCKGEREIEIETSTVKIKDIIRWKSFRDIQQPSTIPSPPLSPFDYRRYVTESSTVTTATTCCGSSSDGDSSWSDGDFFSELWDAENDDVEESGKKLFSSFFVGKDLVASFVGDKDDLTCQDEQHSPVSVLRVAENEFSIFDQSLANIERRKQKKFRQTSDKFESHAKFDLVTLDECLSLDENSYYGEEYKDDDKEEKDQKTEEQDWIEERAKQLLHIVKATSSSVQNCDDNLDIVLLEFFKEELSGNRNQKRNNEGLELEIMKIAEDWINESFEKAYDIVHVNKDAYIKEMDRRGGWSRFDEEQDELVMEIEAAILQSLIDDILDMDG >RHN38750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1751991:1752711:1 gene:gene44715 transcript:rna44715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoporin protein Ndc1-Nup MYLVFKDCCLTDCLFTQRKFGVAQLSGSNAAVVSTLISCLLAVENFMGKKTNLQSPNQLAFAVKRKNEHVNSEAYAIADVLKTSIYQIVSAFHDQMLSGTKSSPLEKDWITSSKPLYGTREMLIQKLRLFLVFQAT >RHN54889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13276027:13282189:1 gene:gene29987 transcript:rna29987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rhamnogalacturonan endolyase MKMNMSGRVLLLWWYIMALQLCFTFGSYLEYISFRRSVRGLPNAQSSVTINRQNSQQVVISNGIMSLTLANPGGYVLGISYAGMENVLEAGNEADDRGYFDVVFGKTFQRVHGTSFSVVTQNEHIVEVSFLRAWSSSMGVSSVPINIDQRYIVRKGDSGFYTYVVFERPKGFPAIKVDQIRTVFKPKEASFNYMAISDIRQRRMASMKDRDDGQKLAYPEAVLLTRPSNPQFKGEVDDKYQYSVENQYNQVNGWITADSEKPVGFWIITPSNEFRNGGPVKQDLTSHVGPICLSMFVSTHYAGKDVAIQFQQGETHKKVFGPIFVYLNSAPSKDQFKSLWSDAKQKLSNELKSWPYNFIQSKDFIPPNQRGTLTGHLQVQDGGGKSQNAKNAYIGLALPGDAGSWQRESKGYQFWIRADQNGNFVIKNIVPGSYNLFAWVPGYIGDYKYNSPITIKPGGTINLNSLVYNPPRNGPTVWEIGIPDRSAGEFFVPKPYSNLLNRLFTNDIPDQFRQYGIWSRYSDLYPTQDLVYTVGVSNYRKDWFYAHVPRSTGNNKFQATTWKIIFEHGAVISGNYTLQLALASASNAEVQVWFNNMNANTPYFTTKRIGDDNAIPRHGIHGLYWLFTIEVPSIHLVKGKNTVFLRQSRFDSFWQGVLYDYIRLESPPTTKLKV >RHN55536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19300877:19301419:-1 gene:gene30734 transcript:rna30734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MSFKKTIFGVKPTPSVDLYSSRGPSNNCPFVLKPDITALGTSILAAWPTNVHVLDLGTFKVFNKFNLISGTSMACPHVAGIALLLKGAHVDWSPTAIRSAIMTTSDIIDNTTKLMKDIGKGNKIATLFALGAGHANKSVFGSASTPLKNTSGSFKSENLQFQRVWLSFSKSILISKSNSG >RHN65405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1879821:1884340:1 gene:gene13240 transcript:rna13240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative VPS9 domain-containing protein MEGSASSSRSTSFHDFLHRMRHPSSLDLVRAIKSFIVSFSFYQPKPENDGRRVQDFFLSMEVAIRNHPLWATATEEDIDCAMEGLEKYIMTKLFSRTFAASPEDAKIDHEISEKISLLQTFLKPEHLDIPPVLHNEASWLLAEKELQKINAFKAPQEKLSTIMNCCRVINNLLLNAAMSEYVPAGADDFIPVLIYVTIKARLALLEVSMANPPMLHSNLKFIKLYRRQTKLISEAEYYFTNLVSAKTFIIELNSKSLSIDEIKFEECMQAAKLAKKVTSELHSACQIKQEVKNESNVSNKMHNKLDTREFHVLQHGTNYPYMEAESKDLAMEDVDILLNHYKDLVAKYTIICKAINYLSMSEKEPLLHQLEMQGEGSMLSECHGINTNTNDRTTSHEDKLL >RHN53175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42537660:42538301:-1 gene:gene37950 transcript:rna37950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative igA-specific serine endopeptidase MENYWKCVNRLAWEGGLNSYMEALCSGHELPKHKAAELPKQISPFDPFKLPKQSTYEARRQRFFDFLDSLDARDQKQKDAADRKQKAAAARKLKVAEARQQKQAEVQEQKQEAAEVQEQKQEAAEVRKQKQKAAEVRKQKQKAAEVRKQEAAELRKKKQEAAEVCKQKAAELRKQRAEVRKQKAEAVEIRKHEAEVSEGIIRSKRQKKHVLEA >RHN42732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40538768:40539561:-1 gene:gene49215 transcript:rna49215 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCWSGGIPSLSWILALTLSIVSEDSTSRVIVFPVRVFTKICILLRWLLQLSQTNGFGMKAIRVSRNLSSRVSDIGLRCWAS >RHN49380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54409664:54412194:-1 gene:gene44202 transcript:rna44202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribose-5-phosphate isomerase MAESSKHIKIIAGADDFGTPLKDALLSHLRSLNIQVEDLGTSSYYSAGAVVGRRVSQSISSSSQEVRGLVACGTGAGVSIFANKFPGVFAATCLTPSDAVNARSINNSNVLAVSGKYTSPETAIEIVKTWLNTPFKSPCPANDNKPWPQEIENFLDKSLVEMPEIGKSEPEPVDSCAVCCLVKNRELNPIDLIPGGSMKIIRETPTSAFVRFKAGSVEPAHHHTFGHDLVVIEGKKSVWNLTKEERYDLTVGDYLFTPAGDVHRVKYHEDTEFFIKWDSHWDMFFDEDLETGIGRDNS >RHN66569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14116054:14118769:1 gene:gene14582 transcript:rna14582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, isopenicillin N synthase MSKIGVVIENIINECLGLPPNFLKEFNNDRSWDFMVALRYLPASNNENVGITEHEDGNCVTFVLQDEVGGLEVLSNEEWVPVVPAEGTIVVNVGDVIQVLSNKKFKSATHRVVRKGEKSRYSFVFFHNLNGEKWVEPLPQFTKEIGESPKYRGFLYKEYQELRMRNKTHPPSRPEDIINLTHYAIDN >RHN73323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15375133:15377667:1 gene:gene9124 transcript:rna9124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MPDENGMFSVNSSYRVLVEEGLGVEEEWAFVKLWKSPAPSKVVAFSWMAIIDRIPTRSNLAFRRVLATGDPQGCVLCGHGEETTTHLFLHCNVVALIWRKLMDWLEINLITPSNLFMHFACWSDTCNLSFS >RHN40912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24233705:24238921:1 gene:gene47157 transcript:rna47157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MDSDHEIKEALIKNSDATRSNGENSPIEQVALTVPVTDDPSLPVFTFRTWTLGTLACVLLSFLNQFFGFRREPLSVTAISAQIAVVPLGHLMASTITKRVFMKGKKWEFTLNPGKFNVKEHVLITIFASSGAASVYAIHFVSTVKVFYRKEITVLVALLVVLTTQVLGFGWAGVFRRYLVEPAGMWWPQNLVQVSLFRALHEKEERQKGGLTRNQFFLITFICSFAYYVLPGYLFPMLTSLSWICWVFPNSIIAQQLGSGLHGLGVGAIGFDWSSICSYLGSPLASPWFATANIAAGFGIFMYVVVPIAYGLNLYHGRSFPIFSDGLFNTNGQEYNISAIIDSNFHLDLDAYQREGPLYLSTMFAMSYGIDFACLSAILVHVLLFHGSDILQLSKSAFQGKEIDIHTKIMRKHYKQVPEWWFFCILLFSITASTFVCEYYNDQLQLPWWGVMLACILALSFTLPVGVIRATTNQAPALNVITEYIIGYIYPGYPVAVMLFKVIGNVSMKQAIFFLQDFKLGHYMKIPPRAMFLAQVLGTIIAAIVHLLTAWWLIETVPNICHRELLPAGSPWTCPGDHVFYDSSVVWGLIGPRRIFGNLGHYSAINWFFLVGAVAPFIVWLAHKALPDKQWIKLITMPVILGALTEMPPATPVNYTSWVLVGFASGFVAYRYYRGWWTRHNYVLSGALDAGLAFMGVLIYLCLGMQHISLDWWGSDPDRCPLASCPTAPGVISAGCPLF >RHN76210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48562020:48566512:-1 gene:gene12501 transcript:rna12501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxyacylglutathione hydrolase MLSKASTTAMSAFSSCSRVRTGFSVWPNVRQLCFRKGILYGFMRLFSTPYKTLRGGASRSLRVARFCSVANMSSSLQIELVPCLSDNYAYILHDIDTGTVGVVDPSEATPVIDALSKKNRNLNYILNTHHHHDHTGGNVELKARYGAKVIGSATDKERIPGIDIHLNDGDKWMFAGHEVQVMDTPGHTRGHISFYFAGSGAIFTGDTLFSLSCGKLFEGTPQEMQSSLGKIMSLPDDTSIYCGHEYTLNNTDFALKLEPGNKELRSYAGHVASLRSKGLPTIPTTLKMEKACNPFLRTSNAQIRQLLNIPATADDAEALGIIRQAKDNF >RHN70816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54266072:54268426:-1 gene:gene19438 transcript:rna19438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSRRLRISFRRKDRISDLPDTLLHEILSFLPTKDAAATTILSKRWNPLFLSQLMLRFDDHSFTDHFAFRKFFYSFMSMRDKSLPILSFQLNCRYSFIDKNDFNNLVYAAITSGVENLSINLCHLNETTLPSFILTSKTLTSLKLKRVTLNEVPFVDLPSLKVLHLESVTFTCPEYLMKLLSSCPILEKLEANDSITTTFFKVIGRDRGEFIKCRYSETILPSFILTTKTLTQLKLKRITLNQVPFVDLPLLKVLHLESVSFTYYWYITTLLSGCPVLEELEAKDVIVTRRCMVIRTGREVLNLSNLVRANISNGLLEFDWLYNVNLLRIQETVPVYLHGMFPNLTHLELIFNFMPIFASLKWNCLMKQLLPNFPKLQTLIIREADTVTNSGDKDWEDPTIVPECLLSHLTTCSLRNYSRINCEFQFANYIIRNSRVLSTMIIQSAESVETNTKHQMFMELSSLCPRISATTCQLLFI >RHN61718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37789910:37790568:1 gene:gene24208 transcript:rna24208 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMLMLLLFMLFLLLFLVDDLLSYCHQVSTVSAHVSHSHHLQIQKFSYIQELKKKISFELKFN >RHN65871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6107830:6108919:-1 gene:gene13763 transcript:rna13763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, pre-mRNA-processing factor 6/Prp1/STA1 MVFVVPPTGKILSLYINPNTTTLHNLKLLIEQFHGISIEQQRIFLSQSLPLLGHNDSVLISDLGVGNYSTITLHVPFYGGTQPPAIPKLPCFDFHNSNPPAKYVAGLGCGSTGLFASGEDDKKLMLLPHQTQTEVVWLKDAKEKWLAGDVPAARAILQQAYAAIPNSEAIWLAAFKLEFENQELERARMLLAIVERELGNIEVDEGLKQFPSFYKLWLMLGQLEEGLAEAAKQQDQPEKRHAHLMEAKNVYNSGLKRFPNSVPLWLSLANIEEEMSDEFSKVRAVLTIARKKNPQNPELWLAAVRAELRHGCKKEADYLMAKSLQECPNSGILLAEYSKH >RHN46391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31289001:31290083:-1 gene:gene40873 transcript:rna40873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ELM2 domain-containing protein MICFRKLAIKDCDKKSSHHRRQNQIHEVVVPLNSKSKRHKRKFDRYIYDSLFTTSFKLISREKYGSEKAIDQTQRSLFSQEANEIIFPTLDDEGEYCATCTEDYSHLSESKDSIKVLNNPKYQGSLKIQHRDKIVSDSFSLPTKSSLKDKPKIDFVKALKSVDDRDLTGGKTSLPLSSTEEDMELVRSFDNFLTARCNHIPRPIIPIGPRFQAKIPKWEDGTYIKLGNDDDGLKWLGTQIWPIPFISETNI >RHN44651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7276583:7277733:1 gene:gene38795 transcript:rna38795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MQCAVQTCILSKRWNNLWKHLPTLILKTSHFTSMTSFNKFVSRILSLRNAKSSLRILHFERDGTVQHRLLQRMVKYAVSHNVQQLSINPISDIQHFPTCFFSCRTLTYLKLALIHPTNYMGTLFPISLDLPALATLSLESFIFPVGDDGCVDPFSAFSSLNSLIIRYCRVLGQQNLCISSATLDDLTIEKHNKYDKIELSTPSLCTFVFVCEGNIPALKLHGIERNLSSVKHVKIDVSILGSIVVDTSLALLNWLVELANIKSLTINHNALEVEFHSLCNLKSLRVKMRTPSSISNGTLYFLLQNSPSAKVEIIDC >RHN41514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30674230:30680004:-1 gene:gene47847 transcript:rna47847 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MSPPLLLPEEEGQSNPSTVASASPQSLDRFSQTAAGLKERNYLGLSDCSSVDSSTVPSLSDEKKENLNLKATELRLGLPGSQSPERDLDLFPLNSTKLDEKPLFPLLPTKDGICSLSQKTVVSGNKRGFADTLEVFPEAKYTANTRVNILLSPRPSGAQPTTIKEMPKKVVQESPCTANGTGAPISGSAPAAKAQVVGWPPIRSFRKNSLATTSKNNDEVDGKPGAAALFVKVSMDGAPYLRKVDLRNYTTYQELSSDLEKMFSCFTLGQCGSHGGPGKEMLSESKLKDFLHGSEYVVTYEDKDGDWMLVGDVPWDMFIDTCKRLKIMKGSDAIGLAPRAMEKSKSRS >RHN39823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10835737:10844256:1 gene:gene45896 transcript:rna45896 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTIANLKENLNKIALDVHDKDEEEDEVSKESSVSDSWNSNGFVRSNGVDRVLLSEIEQYKAEIERLQASEAEIKALSINYAALLKEKEDLIVRLNKENGSIKQNLEATNAALSASRIEGSRASTSGTSSIKELADSVDGKNRSSKAVQYSPEIRKLKLELKQERDQLTNVQLKFREEQELNKSFRVELKKLKSERENTSKETSKIQNELNEKASEIKHLQFELTRRQNEESVEAFDSLKRLIKTLENENTNLKMEKSEIEAALEKSRKSFTEKMLSDAFHIQKKDLSSISDMPDHSDNFPGKEEMERSLQKLSNDLKETRRDRDKALKELSRLKQHLLEKASEEAEKMDEDSIIIEELRDSNNYLRAQISHLERTLTQTIASQEELKMANNMETLKSREIIDDLNKKLTNCLSTIDSKNIELSNLQTALGQYYAEIEAKEHLEEELAWARKETTKLSQLLKDAYQRADISKAENEETLAKLSQSEKAQTEWKGRVSRLEEDNARLRRAVEQSMTRLNRMSVDSDHLVDRRIVIKLLVTYFQRNHSREVLDLMVRMLGFSDEDKQRIGIAQQGASNSVIRGVFRLPGRLVGGILGGSSTEAAANAGSHNQSFADLWVDFLLKETEEREKRESAGNPGTSTENLHDKSPDTISTTPPLPNQRFGSVPLPPLPNQRSASAFPINSTNQNLSLLPPSYLQRPENFGSDFSTVPLTPSDAKTSGSNLIPRY >RHN56747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33370499:33371014:-1 gene:gene32188 transcript:rna32188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MEALPTSLCHMVAMPFPVRGNINPMMNLCKLLVSNNSNIHVSFVVTEEWLSFISSEPKPDNISFRSGSNVIPSELICGRDHPAFMEDVMTKMEAPFEELLDLLDHPPSIIVYDTLLYWAVVVANRRNIPAALFWPMPASIFSVFLHQHIFEQNGHYPVKYPGNQIQYSGNL >RHN40997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25570870:25574687:-1 gene:gene47264 transcript:rna47264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSTIFPSYDRFDHPFSFYHKLNSSSCLESNKMVDISFKKLIILFFLSSSLRTTTSLDTLSLNQSIHDSQSLVSAKGTFEVGFFSPGNSRGRYLGMWYKNLTPLTVVWVANRETPLHDNLGILKINENGVLEILNGKNDAIWSSNASNRPANNSISAQLLDDGNLVLKNSANNILWQSFDYPSDTFLPGMKLGWNLVTGQNKFYSSWKSSNDPAKGDYSVNIDIRGYPQIVVMKGSVIRLRIGSWNGQTFTGYPIQPLKQKQRYEFVMTDKEVYHWYELIDSSGVQIYALSPLGNLHALAWTSETSDRIVIETGMEDSCDSYAMCGANSVCNMDENVPKCECLKGYVPKFLEQWNISYWSSGCVPKIKSFCDINSTTGFLKYGEMKLPDTSSSWYNKTMSLLECQKACMKNCSCTAYTNADIRNGGSGCLLWIDDLVDMRTFSQWGQDLYIKVPSSELDDVSVNSNKNQRQQLIRITVVVIISGFLTCACIIISIKIVAPRVYHLAPFQWRKKYFRLKKEEPDLPIFSFPIIVKATGNFSSRNKLGEGGFGPVYKGTLIGGKEVAIKRNSKMSDQGLEEFKNEVLLIAKLQHRNLVKLLGCCIHREEKLLIYEYMPNRSLDYFIFDETRSKLLDWSKRSHIIAGVARGLLYLHQDSRLRIIHRDLKLSNILLDALMNPKISDFGLARTFCGDQVEAKTRKLVGTYGYMPPEYAVHGRYSMKSDVFSFGVIVLEIISGKKIKVFYDPEHSLNLLGHAWRLWIENMAMDLVDMHLLETINYSELLRYMHVGLLCVQEKPGDRPDMSSVILMLNGEKLLSQPKAPGFYTGRGLPKTISPTSNEMSITTFEAR >RHN47709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41811274:41814272:-1 gene:gene42338 transcript:rna42338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative groES chaperonin family MAKRLIPLFNRVLVEKIVPPSKTTAGILLPEKISKLNSGKVVAVGPGVHGKDGKLLPVAVKEGDTVLLPEYGGVEVKLDHKEYYLYGDDDILGTLHD >RHN54325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8697594:8698741:-1 gene:gene29338 transcript:rna29338 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRLYLSFLFEISFGENGENSNRDSQRLNFDFKPDYDKFGVANSCNGMLCLCCPFEGHPLLICNPLTGEFIRLHEATLNTHDMVSVPNMLGQVGLGFQPKTNEYKVIRIWRRYLLDDFGFDLRLRYPTCINGALHWIGFEGQEMSILCFCLETEKLQSFPSPPVFQNHNNGFRCNKRIHMGKLRGLLYICDTYPFRDVAMWDMNEYGIGESWTKVYNIDIVVRPVSPLGRPDSRHYGLCWPVKHFEEGAAILLYHSCNCLIYYEPEKHGFKVFRIHGTSSEFVEIIPHVPSLISLKDVVKGDNIEVLNIHSRCANVELREENEVLSLSQQI >RHN60800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30519787:30522277:-1 gene:gene23181 transcript:rna23181 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMLNLRATHGVPLCLSGITNPLALSKEMADHDRRRKDMRKQRSVHANQEKGAQSQRKNDFVEMKGDDDLEDLDEILHQLSFTYLTGLSAKAA >RHN81970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50225484:50230422:1 gene:gene6076 transcript:rna6076 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKSGPKHNGGRQWEIEHVVEQLDYWQSEDFNDKAMERWKEMEIGG >RHN59780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13070101:13075535:1 gene:gene21928 transcript:rna21928 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLGVVGGGATTCLQLNNNKRFIHLNNKKCFNKRWRVMALEFESDSSSFASSIDSSDTTDKNSATGFCIIEGPETVQDFAKMELQEIQDNIRSRRNKIFLHMEEVRRLRIQQRIKNAELGIFKEEQENELPNFPSFIPFLPPLTSANLRQYYATCFSLISGIILFGGLLAPSLELKLGIGGTSYADFIQNMHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEQKRCKYCLGTGYLACARCSNTGALVLIEPVSSFNGGDQPLSPPKTERCSNCSGSGKVMCPTCLCTGMAMASEHDPRIDPFD >RHN65022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63701671:63703428:1 gene:gene27913 transcript:rna27913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative MULE transposase domain, FHY3/FAR1 family MLCGVHNHDLTPNLSGHLLAGRLRREEKQRVILTDLKEKNKESVTLIKQVYNAQTRWRKGQRENKTELQYLITELEKHRYVYFTRANSELTTLEDLFFAHTKSIDMLNTFPTVLVMDSTYKTNTYRMPLFEIVGVTSTKLTYSVAFSFLSFERENKFIWTLEMLVGLLTSKNNMPKVIVTDRDPALMKVVSEVLLKRTMCFAIFILGRMSNLGASRIVESIPSQRKEKEKLLTKMRRKRMMTSIVSLLRK >RHN80155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35623690:35627245:-1 gene:gene4045 transcript:rna4045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pleckstrin-like, plant MEPKLTIHCHRNEFLPTTNNGNTRLPESPRIPMEFLSRSWSASAFEVSKALSPQPPPPPPPSKASTNSIPEETITTLSQEFSNMCGNQFSFATSTTSQLVLERIMSQSAREEVSPLTSGRLSHSSEPLNGGSLTGTDSPPISPSDEFDDVVKFFRANNSIHPLFNGTRAMSSGIGNATPCSGPKTVGRWLKERREKKKEENRSHNAQLHAAISVAAVASAVAAITAATAASTTPNKDEKMAKTDMAVASAATLIAAQCVEAAEAMGAEREHLASVVSSAVNVKSHDDITTLTAAAATALRGAATLKARALKEMWNISTAVTPLEKSIGIGICGGKGNNNSSSSTSESGGEVINADNFLSTCSQELLARGTELLKRTRKGDLHWKIVSVYIHRTGQVMLKMKSRHVAGTITKKKKNIVLDVCTNLPAWPGRHLLEDGEKRRYFGLKTESRGLVEFECRNQREYELWTQGVSRLLSIVSHRKNRNGI >RHN77757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9209462:9212092:-1 gene:gene1244 transcript:rna1244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MHANTSLLVKIFGKVRPFFKVRMAGAHTTMIILSILLNLQLFNSSSYHDESTELSCGTNEPVIRCPFQLVKESSQDQCVYPEFCLYCTNQKTMISLPTTSGPVQFFVSEIDYKLQIISFSDPDNCLPKMLPKLNTSSFQFYKFDTKLATTVVFFNCSSVRKRHLRNYDQLFQGSQDMITCPIYAADSKDSILTLDLLSCTKMFNFNASIVVSNLTLNRLSLSWPNPNCTVCEAKGMKCRWNNNGTKCDIECFHCNNKQKKFQIKKYHVLATIGSILLGLLTIAFIKIYLHFREKEEDQVRVDRFLEDYRAQKPARFSYSDIKRITSGFKEKLGEGAHGTVFKGKLSSEILVAVKILNNTQGEGIEFITEVEIMGTIHHINVVRLLGYCADGIHRALVYNLFPKGSLQCFIFPPDDKDHFMGWEKLQLISLGVAKGIEYLHEGCSHPILHFDINPHNVLLDDTFTPKISDFGLAKLCSKNVSVVSMTAARGTLGYMAPEVLSRNFGNVSRKSDIYSYGMLLLELVGGRKNVDSLSDENFHVLYPEWIHNLLEGDTHINIEDEDDIKIAKKLAIVGLWCIQWQPINRPSIKAVIQMLETADYNRLTFPPNPFHSSTSVNTTGCSLARQTFQMEVIQE >RHN41480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30329577:30330494:1 gene:gene47811 transcript:rna47811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSLSESKYDPPPAPAHKTPPALVAFTLTVLILCFVAFSIVYLCKYCFAGIFHMWALHRTASGSLVRLSPDRSPPRGLNNTLINGFPTFLYSDVKDHRKEKSYSLECAICLLEFEDDSMLRLLTICFHVFHQECIDLWFENHKTCPVCRTDLDVPPNQTSKHGECCNNNTNNNVNAQEGMTSLPCNDTRIDVRGEESVNTSEITRAQIHEGDQHDDHVRKIMQQEEHIFSRSHSTGHSIVMIRGEEKDDEKYTLRLPEHVIRGGHNITKSCTNYSEMTLTMPTPCSNCGFVKPVSGSSSLAQAQEA >RHN51113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14120671:14121098:1 gene:gene35491 transcript:rna35491 gene_biotype:protein_coding transcript_biotype:protein_coding MMERLPNNAFLINFNKVAASSMRNFFKSSATYARHRKLSINNEVLKALPG >RHN50283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5782381:5785622:1 gene:gene34555 transcript:rna34555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MLHSGFNFLLCVVAILCINLLCVESFYPSKCVETERQALLKFKDALIHSKVNLTSWKGEECCKWEGISCHNLTGYVTSLNLKPFDYTKAVGGKLDYSICELQHLISLNLDNIGLEGKIPKCIGSLGKLIELKLMYNNFFGVIPPSLGNLSNLQTLDLSHNYLTANDLEWLSHLSDLRYLDLSEVNLTLAIDWLSSISKIHTLSELHLFGCGLHQVTPKSISYMNTSISLKSLDLGENSLNSSILPWVSNVGKVLITLDLSFNQFKGSKPLFEITKLASLQHLDLSHNELSGSFPHTIGQLSYLQELFLSSNKFNSVIIETHLSNLSHLRILDVAHNSLSFNLSLDSVPPFKLFALYASSCTLGPKFPVWLKHHGELRVLDISSSGISDSFPKWFWNLSSSLIYLNVSYNKLNGPLPKSIPNMKFSILENVWDFSFNNLNGSLPPFPKFYALFLSSNMFTESLSSFCTSSSLGLTYLDLSSNLLKGQLSNCWKKFEMLQVLNLAQNQLSGKIPSFFGSLRHLESLHLNNNNFSGEIPPLTLCSSLTLIDVGDNNLQGILPMWIGSHLHRLIVLRLRVNKFQGNIPTSMCNLSFLQVLDLSENNITGKIPQCLGDIIALSNLNSPRKSFHYISYGFGYMDGKVYDVGSFNDKEILALKGSNREYGKNLGLMATIDLSSNHLTGEIPQSITKLVVLVGLNLSRNNLTGFIPSNIGHMESLESLDLSRNHLYGKMPTSFSSLTFLGYMNLSFNNLEGKIPLSTQLQTFDPSSYVGNSRLCGPPLINLFPDDVISPTSSNDKHVTSEEEDKLITFGFYVSLVIGFFVGFWGVCGTLVIKTSWRHAYFKFFNNLNDWIHVTLSVFVNRLKKRFQVED >RHN39086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4024288:4029936:-1 gene:gene45079 transcript:rna45079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MAFLSHNINDLFITFLIFCTFYSCYSSTNNAITSSKSLKDNETITSNNTDLKLGFFSPLNSNNRYLGIWYINETNNIWIANRDQPLKDSNGIVTIHKDGNLVILNKPNGIIIWSTNISSSTNSTAKLDDAGNLILRDINSGATIWDSFTHPADSAVPSMKIASNKVTGKQIAFVARKSDNDPSSGHFTISVERLDVPEVFIWKDKKIYWRTGPWNGRVFLGTPRLSTEYLFGWRLGVDDDGTTFITYNFADKTMFGILSLTPHGTLKLIEYKNKKEHFRLEVDQNECDFYGKCGPFGNCDNSSVPNICSCFKGFEPKNLVEWSSRNWTNGCVRTAGMNLKCEMLKTGSNEFKQDGFLVNRNMKVPDFNERSAGNQDKCRTDCLVNCSCLAYAYDRYIGCVYWSGDLIGLQNFPHGGVDLFIRVPAELVKKEKGHKKGFLIISIAGGTGAFTLVVCAYLLWLRRSARHKGRQSRNLTTRECKQMKLDELPLYDFEKLETATNSFHFNNMLGKGGFGPVYKGVTEDGQEIAVKRLSKASGQGIEEFMNEVVVISKLQHRNLVRLLGCCVERGEKMLVYEFMPNKSLDAFLFDPIQKKKLDWRKRSNIVEGIARGIMYLHRDSRLKIIHRDLKASNILLDDEMIPKISDFGLARIVKGGEGDEANTKRVVGTYGYMPPEYAMGGLFSEKSDVYSFGVLLLEIVSGRRNNSFYQNEDSLSLVGFAWKLWLEENTISLIDREVWDASFESSMLRCMHIGLLCVQELPKERPSISTVVLMLISEITHLPPPGKVAFVHNQNSRSTESSQQSHRSNSNNNVTLSDVIGR >RHN73078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13237125:13256745:1 gene:gene8856 transcript:rna8856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MRDMALQKYVPSGDAPTVSLKHLSSSKAPEKTQPDVANQNHDMDVDVDIGEVYFLIMRFLSAGPCHKTCSHLWNELLENQLLPRRYHAWYSRSGASSGVPHDDGQSFPLEDYNKLAERYPHIEKDHLVKLLKQLLLNKASLSPGMSTGNPPNAADVPTLLGRGSFSLLSYDGDKVNEEVKPPPPYMRWPHTKANQVHGLHLREIGGGLPRHHRAPSIRAACYAIAKPSTMVQKMQNIKRIRGHCNAVYCAIFDRSGRYVITGSDDRLVKIWSMETAYSLASCRGHVGDITDLAVSSNNALVASSSNDYIIRVWRLPDGLPISVLRGHTGAVTAIAFSPRPNAVYQLLSSSDDGTCRIWDARYTHSTPRLYVPKPSDSTGRSSGPSSNTMPQSHQIFCCAFNANGTVFVTGSSDNLARVWNACKLSMEDVEQPNHEIDVLSGHENDVNYVQFSGCTVASRFSTTETWKEDNIPKFKNSWLNHDNIVTCSRDGSAIIWIPKSRRSHGKSGRWTRAYHLRVPPPPMPPQPQRGGPRQRILPTPRGVNMIAWSLDNRFVLAAIMDCRICVWNASDGSLVHSLTGHTESTYVLDVHPFNPRIVMSAGYDGRTIVWDIWEGVPIRIFEISRFKMVDGKFSPDGTSIILSDDAGQLYILNTGQGESQKDAKYDQFFLGDYRPLIQDTHGNVLDQETQITPYRRNLQDLLCDSAMIPYPEPYQSEFQRRRLGALGHDWRPSPLKLAIGTDFSLDPAYHMLPLADLDQLAEPLPEFIDAMDWEPDIEVLVDDTDSEYNLTDDSSSRGEKGCSSSNASGDPGCSTDNSDDEDTHMDCIRRSKRKKQKTGIETMTSSGRRVKRRNLDECEGNVHSSSRSRKGKSGKKSSRRKSSKSKSSRPRRAAARNALHLFSKITGTPNEGEEDSLVGDSSDSDSTLQESNIDSDESGRASENDQRNYSKGKEVLLYESEDSKSHEFTETRVNRRRLVLKLPIRDSSKPAHEFENQAELVGSSSKTAQEFPDFNRKRPSSSEPGYCLGNGSYSSIERTDQVKLDQVTDHVDLLEKLRWGVVRARSAKPLRMREDVPLGANPNSVECRNHLNEEEIVSVGHDREDKDFSGTSTPALEIQNGDKVDSLTEINENCAGTTSQPFNLTENGEPLTASSNYRDQDESLVSASMIPENNIFVPVGQSGADQLPEPNIGFPSVSTKLRSKRGTRNPESPCKPETKSSVLNNNASSSNANINVNNEEHVVVVKDDNNTGVTSNQRENCSPEVDVQAKQVSTSHDSLEPHSNRDKMFKAVYRRSRSHRAVTNLADGSGLGESTSNGSNSNFNVAVDSNGTNEALHTNGSLELEQGSCVPNNEQSNLKVQQGNGSCMVRIPQNVSPNKGKLTEEERGSSSKLTVGLRSTRNRRSTYNIRETSPVNRRKSLQSAVKGSWLLLSTHEEGCRYIPQQGDEVVYLRQGHQEYIEYSRKRESGPWVSLKEHLRAVEYCRVQSLEYSHVPGSGDSCCKMTLEFLDPNSSVVGKTFKLTLPEVTGFPDFLVERTRFDAAIQRNWTRRDKCRVWWKNEDNSSGNWWEGRIQFVKAKSSEFPDSPWERYSVRYKSDLSDEHLHSPWELFDADTQWEQPHIDDHTRNKLLSALTKVQQSGNTVQDRYGLHELEKISNKSKFTNRFPVPLSIELIQSRLENSYYRSLDALKHDVSILLTNANSFFEKDLVMTTKIKHLSEWFTRTLSSL >RHN71360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58499774:58501687:1 gene:gene20033 transcript:rna20033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MALFWRFSLRIRLVKEKCFRQALNITAIRRTSFTGSQTSSKSVNVPKGCLAVYVGEKMKRFVIPMSYLNQPSFQDLLSRAEEEFGYDHPMGGLTIPCTEDVFFHITSRFNEL >RHN40703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19748144:19749788:1 gene:gene46897 transcript:rna46897 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPKKESMTKDYCCCNRYLRSASDLNQYQRQNKRRKVTRDTSERAEKWMNMIWQRWQQLSNITFDIPNSIVSHNLIQLISTTQRVQYYN >RHN56520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31570625:31576338:1 gene:gene31928 transcript:rna31928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MVWKELYQKSFFQDSKMDEYSGDISFKMHDLVHDLAQSVMGPECMYLENKNMTSLSKSTHHIGFDYKDLLSFDKNAFKKVESLRTLFQLSYYAKKKHDNFPTYLSLRVLCTSFIRMPSLGSLIHLRYLELRSLDIKNLPDSIYNLKKLEILKIKHCRKLSCLPKHLACLQNLRHIVIKECRSLSLMFPNIGKLTCLRTLSVYIVSLEKGNSLTELRDLNLGGKLSIQHLNNVGSLSEAEAANLMGKKDLHELCLSWISQHESIISAEQVLEVLQPHSNLKCLKISFYEGLSLPSWIILLSNLISLELRNCNKIVRLPLLGKLPYLKKLELFEMDNLKYLDDDESEDGMEVRVFPSLEVLQLSCLPNIEGLLKVERGEMFPCLSSLDIWKCPKLGLPCLPSLKDLFVWECNNELLRSISTFRGLTQLKLIHGFGITSFPEGMFKNLTSLQSLSVNSFPQLESLPETNWEGLQSLRFLKIHRCEGLRCLPEGIRHLTSLEVLNIYKCPTLEERCKEGTGEDWDKIAHIPKRVIF >RHN53825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4449673:4504863:1 gene:gene28777 transcript:rna28777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein MLEDQVAYLLQRYLGNYVRGLNKEALKISVWKGDVELKNMQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQDPVLVYLDRIFLLAEPATQVEGCSEDAVQEAKKSLIQETELKLWEKSQQLQSEMNKSWLGSLISTIIGNLKLSISNIHIRYEDSESNPGHPFAAGVSLDKLSAMTVDDSGKETFITGGALDRIQKCVELDRLAVYLDSDIIPWHVDKAWEDLLPSEWFQIFSFGTKDGKPADTLSQKHSYILQPVTGKAKYSKLHSSEAADSKQPLQTAVVNLDDVTISLSKDGYSDMMKLADNFATFNQRLKYAHFRPLVPVNSDSRSWWKYAYRAVSDQMKKASGKMSWEQVLRYTRLQKRYISLYASLLKSDPSQVTISGNREIEDLDRELDIELILQWRMLAHKFVEKSAESNLNARKQKAGNSWWSFGWTSNSPIEETEEFKFSEEDWNQLNKMIGYKEGDDGKSAVNSKADVVHTFLVVHMNHNASKLIGEANKPVAELSCENLSCSIKLYPETKIFDIKLGSYQLLSPKGLLAESATSNDSLVGVFNYKPFDDKVDWSLVAKASPCYMTYMKESIDQIVKFFESDTAVSQTIVLETAAAVQMKIDEVKRTAQQQMNRALKDHARFSLDLDIAAPKITIPTDFCPDNTRATKLLLDLGNLMIRTQDDRQKESAEDNMYLRFDLVLSDVSAFLFDGDYHWSQVSLNISTHSRTRDFYPVIDRCGVILQLQLIQSETPNYPSMRLAVRLPTLGFHFSPARYHRLMHVIKIFEEGDGENSEFLRPWNQADLEGWLSLLTWKGVGIREAVWQRRYICLVGPFLYVLESPDSRSYKQYISLRGKQVYQVPPEFVGNVEHVLVVCSPSRPNNKVVEDANALILRCESEESMKTWHSRLQGAIYNASNTDPISGLTEPSSDHDDTESENNTQDVIDVSIAERLFVTGVLDELKVCFSYSYQSDQSLMKVLLNEEKRLFEFRAIGGQVEVSIRDSDIFIGTILKSLEIEDLVCCNQQSQPCFLARSFIGNADEISLFYNTTRENVDGSGVIPTETDDKFYEAPETLAESADYFSLELPKFSRISGLLPSDTPSTSTKELGDKLESFVKAQIVIYDQNSTRYNNTDKQVIVTLATLTFFCRRPTILAIMEFINSINIEDRNLATSSESSSAIVENDVSRDLDDLNATTVEELAVKGLLGKGKSRVMFNLTLKMAQAQILLMKEDETKLACLSQESLLADIKVFPSSFSIKAALGNLKISDDSLPSSHMYYWACDMRNPGGRSFVELEFTSYSNDDEDYEGYDFSLFGELSEVRIVYLNRFVQEVVGYFMGLVPNTPKSVVKVTDQVTNSEKWFSASEIEGSPAVKFDLSLRKPIILMPRRTDSLDFLRLDIVHITVKNTFQWIGGSKSEINAVHLETMMVQVEHINLNVGTGTDLGESIIQEVNGLSVTIHRSLRDLLCRFPSVEVIIKIEELKAALSNKEYQIITECSVSNFSEVPHIPPLPNQYSSTELNDATVDIVPEVANGVASGTTIVEASVVLKICVSINLVELSIYTGVTRDASLATVQVSSAWLLYKSSTAGNGFLSATLQGFSVFDDREGVEQGFRLAIGKPDNIGASPPNTFSYYENQDSVDSSSSEGNSIEPVQTMLIIDTKFGPDSTFVSLCIQRPQLLVALDFLLAVVEFFVPTVSSMLSFEEHDSSMLDAIIMDQSIYKQPYAEFSLSPQKPLIADDENFDHFIYDGNGGILYLKDAQGFNLTSASSEAIIYIGNGKKLQFRNVVIKGGQHLDSCIYLGANCSYSALNDDNVYLEQSVESPKSTSPRGRVCEVPVQSNAVNSSAEVIFELQAVGPELTFYNTSKDVGESSNLSNKLLLAQLDGFCRLVLKGNNTEMSADVLGLTMESNGIRILEPFDTSLKYSNASGKTNIHISVSDIFMNFTFSILRLFLAVEDDILSFLRMTSKKMTIVCSHFDKVGIIKYPHTDQTFAFWRPHAPPGFAVLGDYLTPLDKPPTKGVLAVNTNSITVKRPIHFRLIWPPLGTSGEEMDNSDLSWKTEVDDSCSIWFPQAPKGYVALGCIVTQGRTPPPLSSALCIPSSSVSLCSLRDCIMIGMPNTSSSSVRFWRVDNSFGTFLPVDPTTHSLMSKAYELRCIKYGSLKASSAVLNSLDSHVHPGGQQSLEYDQSADANSNRRLEPVASFRLIWWNQGLNSRKRLSIWRPVVPTGMVYFGDVAVKGYEPPNTCIVLHDSRDENVFKTPLDFQLVGQIKKQRGMESISFWLPQAPPGFVSLGCVACKGKPKQHEFSTLRCMRSDLVAGDKFLEESVWDTSDAKHVTEPFSIWTVGNELGTFIVRGGFKRPPRRFALKLADFSLPSGSDATIIDAGIGTFSIALFDDYSGLMVPLFNISLSGITFSLHGRTEYLNCTVGFSLAARSYNDKYEAWEPLVEPVDGFLRYQYDLNAPGATSQLRLTSTRDLNLNVSVSNVNMIIQAYASWNNLSHAHESYQNREAFSPTFGGNSIIDAVHKRNYYIIPQNKLGQDIFIRATEARGLQSIIKMPSGDMKAVKVPVSKDMLESHLRGKLCKKIRTMVTIIIAEAQFPRVGGSDSQQYAVAVRLSPNPSLPTDGMVHQQSARTCGRRAHPSDLELVKWNEIFFFKVDSLDYYTLELIVTDMSEGVPIGFFSASLSEIARTIDDSSYSQAFSNKLNWIDLSAEDSLSMDVYQKKARKLRCAILMHSSEVQNSNQNSNNDVHKSGFIQISPSKEGPWTTVRLNYAAPAACWRLGNAVVASEASVKDGNRYVNIRSLVSVRNYTDFVLDLRLSSKIPSEKVNLLNNSSDSESIVTESSRIQTDEFYETEKLTAHSGWVRWSGYPGQHNSYKGKSHQDSPEIDLPPGWEWIDDWHLDTKSTNTSDGWTYAPDVESLRWPESVDPKVSSNSARQRKWLRNRKLIADDLKHEISVGLLQPGEAVPLPLSGLTQSIQYFLQLRPGSSENPYEYSWSTVTDRPRLSEDVGNGEQCSNLCVSALSESEELLYCSEMHGTSGGSHKLWFCVSIQATEIAKDINSDAIQDWCLVVKSPLTISNFLPLAAEYSVLEMQSSGHFLTCSRDVFLSGETVKIYSADIRKPLFLSLLPQRGWLPVHEAVLISHPQGNPSKTISLRSSISGRVIQIILEQNYDKELTLLAKTIRVYAPYWLGVSRCPPLTFRILETSAKRRMPKIASQFQSNKKTGSIFEEITDEELYDGDTIVSALNFNMLALSVAIAQSGNEQFGPVKDLASLGDMDGSLDIYAHDGDGNCLRLIISTKPCLFQSVPTKIISVRPFMTFTNRLGQDIFIKLSTEDEPKILRASDSRTSFVCRGAGEPEKLQVRLEGTNWSYPLQILREDTISLVLRMNDGTLRFLRTEIRGYEEGTRFVVVFRLGSTDGPIRIENRTSDKALSIRQSGFGEESWIQLQPLSTTNFSWEDPYGDKFLDAKLSDEDTNAIWKLDLERTRSCSAEFGMQLHVIDGGDIIIAKFRDDKMLTSGSFEEIRDQTPTEKCEVSSVHAEMQNSVTPFELIIELGVVGISMVDHRPKELSYLYLERMFLTYSTGYDGGRTSRFKLIFGYLQLDNQLPLTLMPVLLAPDQTSDVQHPVFKMTITMQNENKDGVLVYPYVYIRVTEKCWRLDIHEPIIWAIVEFYNNLHLNRLPKSSTVTEVDPEIRFDLIDVSEVRLKLSLETAPGQRPHGVLGIWSPILSAVGNAFKIQVHLRRVMHRDRFMRKSSIVTAIGNRVWRDLIHNPLHLIFSVDVLGMTSSTLSSLSRGFAELSTDGQFLQLRAKQVRSRRITGVGDGIIQGTEALAQGVAFGVSGVVRKPVESARQNGLLGLAHGLGRAFLGFIVQPVSGALDFFSLTVDGIGASCSKCLEVFNSRTTFNRIRNPRAIHADGILREYYDREAIGQMVLYLGEASRQFGCTEIFKEPSKFALSDYYEEHFTVPHQRIVLVTNKRVMLLQCLAPDKMDKKPCKIMWDVPWDELMALELAKAGSSQPSHLILHLKHFRRSENFVRVIKCNSVEEFEGREPHAVKICSVVRRTWKAYQSDKRSLILKVPSSQRNVYFSWTEVDREPRIPNKAIIISREISSFSTASDDRRFVRHNITFSKIWSSEQEYKGRCSLCRKQTSQDCGICSIWRPVCPDGYTFIGDISRVGVHPPNVAAVYRKIDGFFALPMGYDLVWRNCLEDYVSPVSIWHPRAPDGFVSPGCVAVAGYMEPEPDLVHCIAESLVEETQFEDQKVWSAPDSYPWTCNIYQVQSDALHFVALRQTKEESDWKPKRVRDGPHAQLQSP >RHN81812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49094884:49096686:1 gene:gene5902 transcript:rna5902 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFILRSLLIASLAISLAVQGTLGGIECENLSKETCSFAVSSSSKRCVLEKHVKRTGEEAYTCKTLEIEADKLKDHIESDQCIKACDLDRKSLGISSDSLLESSFTKKLCSPQCYKSCPNVVDLYFNLAAGEGVFLPKLCEVQGGNARRGMAELKSSGIVAPGPVHSVQFVAEPPQPFSSVQFAAEPMVAPSDPPY >RHN60492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27333727:27345647:-1 gene:gene22808 transcript:rna22808 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGSNLNGSSTSGITSSNMPPLPQCLPLDLITVGNKKYTGELKRVLGVSPGNTSEDHSFGVPHPKLMGPGASGELKHIKESVQDASKKARDRSKMFQESITKVDGYINSKKRQRNDLSSERGSGVMLTKMGSQIHKIPNDNMTPREVKTPKSMLNKRIRTSVADMREESGSASIGRQQMLTEKDGNLIQTLSGGSVRTEDKTCRLPAGGEGLDKKIKKRRSVGTVGNRVITGERDVKRAPFPNGNADLKMRFNDAQGFRLKSLPGSIGINKSEGSSEPNITGVRVMLTDEQGASLHRDNLADQSVVAKGNNRVNTQVELTSSPSPPIKNKVSRAPRTGSVSALELSNIHSPAGSFPGSSIHPMTQWGGQRPPKNSRTRRVKVVSPASRNLEVQVSSEGCLTSDFNVKASSVGNNGFQLASSVDNSTPKYKRAPDDILSPFGLSESEESGAGENKIKEKGVNASDFALAADRDGSPMLQMRKNKMPRDESGDGMQRQGRPGRNLSSIRPGLPLGREKSENVPILKPVQETSPNDKNKTKYGRPPSKKQKERKVLTRVGKRLNIGSPDFGGSGVSDDDREELYKAANAALNASTFASGPFWSKMECIFTPISSDNASFVKEQLNIADDLEKSSSHMFAIDHDMLGVVINNKTTQGSQGRRRNHFDEPKSEAVGGRNDKVTPLFQRLLCALIEEDENEESYQQSEAKNISRQCASDDSHCGSCNQVDFEPKDRDRMESEVESEVDFHIQKNCILDRLSCDKSTASNTFRYPDTSSPLQSPGVWQGDEELSISDITHTSEICSNDLDQLQPEINNPSFPSPDGDYQLMSLDDRLLLELQSIGLYPEIMPDLAEQDEVIIQDIVELEKALYEQNGRKKRNLDKIDGAILTGRDMEKRKVEQAAFDQLTEMAYRKRLACRESRNSKSAVQKVSTQFALAFIKRTLARCRRYEEAGVSCFSEPTLQNIMFSPHSRENGARQADCIVSGTASNTCSKALHQIEARKLGAVSSASEKYDGQLDYADRGLVDSFQSSIHSSEQLLSQNGSVMIKEKKREMLVNGVVNGSSRTSNLDGAVPIGVKGKRSERDRNQTRDQSRPNSNSRAGCLSLDSNKNENKPKAKPKQKSTAAGNDRFMEAKESVCIPIYDSSLSVANARNNGSKDGASLSANQNTSQGKESTDLENLPLPDLSSIDEFGVSGELDGPQDLGSWLNFDDDGLQEHDCIMGLEIPMDDLSELNMLM >RHN73817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20247951:20253129:-1 gene:gene9687 transcript:rna9687 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYIRIKRDKTTYFIRCKASDKILDIKEKLQELVDKPAKDQRLILPGTGEVLDDSKTLADQKIDTDAVVALTLRKDDNEFEEVNIVRPSDFYQTRDAEGASCNSTVVTNERAGAEIVYGSEECFNHSIQLLEELGFPKGVLPLKDLVECGRVRETGFVWMKQKAPSEHYFEGTKTLVSYGIEVTAYVEKFKMKKMSGIKSKQLFVWVPIVEMSIDGFNGKKMYFKTPMGIGKSFHVTSFMSVEEKEKYEKLQLKDKEVEIKEN >RHN64714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61199608:61202314:-1 gene:gene27563 transcript:rna27563 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRMLDRNNIESIKKTMQMHEDIFKHQVRELHRVYSVQKMLMNELKNKIKQQNFWNPMDDIEKQFSRGFDLEKPAVENTFIGSSLGIDEGEVGTSSNTAAFQSCKLSTFDDFNEELEVDLTLSIGGSQLKNSNMKLQLGECSDTTNPIRSNSVTFTQGLQLK >RHN46307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30567305:30567744:1 gene:gene40787 transcript:rna40787 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALDVILSLCFFRVGCSVPSVCFPCALPCSFFRYIYSGRI >RHN49996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3019424:3020032:-1 gene:gene34242 transcript:rna34242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MNINRGDTSKACASCKFQRRKCSKDCLLAPYFPADKPQVFGNAHRLFGVSNISKILKQVKEEYRDDAMKSIIIESDMRAQFPVGGCLDVIMMYYGMIKNSELELNSVKFLLDHCKQNLEPYLSIHHSSAPSSSTRVPNPYFDTKQHFETNSMDAARVTQLISGVSNAMEEKSNLMVTQGLCHCEELLDLNGSTKFDLREKEK >RHN74063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:26116455:26122771:1 gene:gene10019 transcript:rna10019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, EAG/ELK/ERG MEFKKAKLVRFYSDVKRVKEEGTKVSKSYKVFPEEHEPWRKRILDPGSQIVLKWNRLFIVSCLLALFVDPLYFYLPIVQTTQRPSSSCLKTDLTLQIIVTFLRTIADVFYLLHLIIKFRTAYVAPSSRVFGRGELVMDPAKIARRYIRSHFFIDFIATLPLPQMLIWFIIPATRTPQTDHNNNALAFIVLLQYLPRLYLIFPLSSQIIKATGVVTKTAWAGAAYNLILYMLASHVLGASWYLLSVDRYTTCWKSLCKKERSPHSCFLYLDCSSLNNDTRKIWVNTTDVFNRCDPSNDDIQFKYGLFENALTKHVVSSNFISKYLYCLWWGLQQLSSYGQNLDTTTFIGETSFAIVIAILGLVLFAHLIGNMQTYLQSITIRLEEWRLKRRDTEEWMGHRQLPEDLRFRVRRFVQYKWLATRGVDEETILRSLPTDLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTLGTYIVREGDPVTEMLFIIRGRLDSSTTNGGRTGFFNSIILRPGDFCGEELLSWALLPKSTMNLPSSTRTVKALSEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRRYKKRMSAKDLGLRESLSFDETVASERAHVEEEYPTGSNSSQAKLNLGVTILASRFAANARRGALKMKDDLPKLLKPEEPDFSTEADDD >RHN81367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45287086:45289634:1 gene:gene5392 transcript:rna5392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSSFGATNTNPNKSHEVTSPPSDSVSSLSFSPKANFLIATSWDNQVLCWEVSKNGNTLSSTPKASISHDQPVLCSAWKDDGTTVFTGSCDKQVKMWPLLSGGQPTTVAVHDGPIKEIAWIPEMNVLATGSWDKTIKHAYSFFSFSYRTYWDTRQQNPVHTQQLPDLCCTMDVRHPLMVVGTADRNLIVFNLQNPQNEYKRIISPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDDALQDKNFTFRCHRENNDIYSVNSLNFHPVHHTFATAGSDGAFHFWDKNSKQRLKNMQRCSQPIPCGTFNNDGSIYAYAVCYDWSKGAETHNPTTAKNYIYLHIPQVQLCMCARTHMCVLVFKLLMDLPMHKFKLVRWMKMNIKE >RHN44686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7385503:7385979:1 gene:gene38834 transcript:rna38834 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhJ MQGHLSAWLVKHGIVHRSLGFDYQGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHLTRIEYSLDQPEEVCIKVFVPRQNPRIPSIFWVWKSADFQERESYDMLGISYDNHPRLKRILMPESWIGWPLRKDYIAPNFYEIQDAH >RHN63597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52580452:52583140:-1 gene:gene26319 transcript:rna26319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MAGGRVFSNGPANISNINMNILLQNQQQTPRGNSSQQPLDSLFLSSSASFFGSRSMVSFEDVQGRKRRNRSFFGGFDLDENGEDEMDEYFHQSEKKRRLSVDQVQFLEKSFEEDNKLEPERKTKLAKDLGLQPRQVAIWFQNRRARWKTKQLEKDYDSLNDGYESLKTEYDNLLKEKDRLQSEVASLTEKVLEREKQEGKFKQGESETKEFLKEPTINKPLVDSVSEGEGSKLSIVEASNNNNNNNKLEDISSARSDILDCESPRYTDGVLETCDSSYVFEPEYQSDLSQDEEDHNLLPPYIFTKLEDVNYSDPPHNSTSYGFQEEDHHQALWPWSY >RHN43328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44942615:44943886:-1 gene:gene49883 transcript:rna49883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubby-like domain-containing protein MAKVHPHQESTSSDSLISRSNKRETYTVWMKSLVLHSNGCTVYDSKGNIVYRVDNYDKKGGREVNLMDLQGNVLCTIKKRLLVFGCWEGHKYCNNSTFRSQKEQPWFQVKRCHKVFSGKIACQIKVGSQNLCIERISIGKSFAFRIVNKDGEIIAEAKQKQSSSGVVLSNDVLTLDLATGVDHSLITALITVYGLICGLM >RHN60707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29733256:29733693:-1 gene:gene23062 transcript:rna23062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGTSLVLMYNKVMIHLWFIVCICINSCYSQQPYDSSNCYSNETSPGTRYTCNSTHDTCKTFLVYRANQNFQTISQISNLFNKNTDEILHINNLTSSSQILKQGKEVLIPIECTCSNQFYQAKLSYKVLESTTSDDHCFQQHQTLH >RHN50117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4193992:4194990:1 gene:gene34372 transcript:rna34372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyanohydrin beta-glucosyltransferase MSYFTDNKMPHAVLIPYPVQGHINLLFKLAKLLHLKGFVNTEYNHKRLLESRGPKAFDAAEEHALPIVLLSPDIACMFFSALHFRTLFKKGLIPFNDKSYLTNGYLDTKVDCVPGLKNFRLKDLPDFIRITDQNDSMVEFFIEAANHFHRASAIVFNTYNELESDVLNALYYSMFSCLYTIGPLPSLLNQTPHSHLASLGSNLWKEDTKCLEWLESKEPESVVYVNFGSITVMTPDQLLEFAWGLANSKKPFLCIIRPDLVIGGSFILSSEFRIED >RHN46661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33852815:33854683:1 gene:gene41180 transcript:rna41180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MMASTNVVALIICIALCAPLLSMAMATATFPCCDVKPALDACKNFAMHGGACVPKDCCYEALKLKNNIIDSHHHTIAACHCIQDAAKKLPHINAAAFASIPEGCGILLPFNFRLDMNCESL >RHN71990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4212941:4217394:1 gene:gene7656 transcript:rna7656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MLPLLFFLLLLQPLTILSLNQEGLYLHQFKLSLDDPSSSLSTWNNNNPTPCTWSGITCDPTNTTVTKINLSNFNLAGPLQTSTLCRLTNLTTLILTNNLINQTLPLDISTCTSLTHLDLSNNLLIGTLPHTLTHLPNLRYLDLTANNFSGSIPTSFGTFPKLEVLSLVYNLLESSIPPSLANITSLKTLNLSFNPFLPSPIPPEFGNLTNLEVLWLSSCNLVGNIPHSFGKLKKLSVFDLSMNSLEGSIPSSIVEMTSLKQIEFYNNSFSGELPVGMSNLTSLRLIDISMNHIGGEIPDELCRLPLESLNLFENRFTGELPLPEKLGKNGPLIYFDVSNNKFSGRIPVSLCERGALEELLMIHNEFSGEIPGSLGECRTLTRVRLGFNKLSGEVPAGFWGLPHVYLLELVDNLFSGSIGKTIGGAGNLSQLTLTNNNFSGVIPEEIGLLENLQEFSGGNNRFNSSLPESIVNLHQLGILDLHKNNLSGELPKGIQSLKKLNELNLAGNEVGGKIPEEIGSMSVLNFLDLSNNRFWGNVPVSLQNLKLNQMNLSYNMLSGEIPPLMAKDMYRDSFIGNPGLCGDLKGLCDVKGEGKSKNFVWLLRTIFIVAALVLVFGLIWFYFKYMNIKKARSIDKTKWTLMSFHKLGFGEDEVLNCLDEDNVIGSGSSGKVYKVVLRNGEAVAVKKIWGGVRMETESGDVEKNRFQDDAFDAEVETLGKIRHKNIVKLWCCCTTRDCKLLVYEYMPNGSLGDLLHSNKGGLLDWPTRYKIALASAEGLSYLHHDCVPPIVHRDVKSNNILLDEDFSARVADFGVAKAVESNGKGTKSMSVIAGSCGYIAPEYAYTLRVNEKSDTYSFGVVILELVTGRKPIDPEFGEKDLVMWACNTLDQKGVDHVLDSRLDSFYKEEICKVLNIGLMCTSPLPINRPAMRRVVKMLLEVGPESQTKSSQKDGKLSPYYYDDGSDHGSVA >RHN68710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37948393:37950065:-1 gene:gene17096 transcript:rna17096 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFFLLQNIHNTFKLRVRSNSSRLSQNHPSLNINLLNTSQQQTHIITSHSIVQNLLKHFHSRHCSAPRFPQTNKVNYITNLNKPPFNSSGGNCSTTSD >RHN55156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15634514:15636187:1 gene:gene30293 transcript:rna30293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative macrophage elastase MNMMKLYQFQLFLSLLLIIVNTTVLGYYIAQLSHSQIKKHLNTFGYFRRSPLDFDDVLDKETISAIKTYQQFFNLQVTGHLNTETLQQFSFPRCGIPDMKYEYGFHDGSNVSFPKGNKWFPKGTKKLTYGFLPDNRIPIDIIKVFRNAFTRWSQTTRVLNFSETTSYEDAEIKIGFYNINYNDAVDDVVVSDSFISLKLDSNVKSGMLRLNGSKSWVLPTYTKFWDWQFQQFDLLTAVMHQIGHLLGLDHSSDKESIMYPTILPWQQRKVQITESDNLAIQQLYSSSTKANANSHYSGLFASLSIGFAFVALLN >RHN54019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6254813:6255451:1 gene:gene28994 transcript:rna28994 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGSSRSRGKRPLPEDNDDSTKNPPAKRVRFPKGKKGKPVDVIVEKVFAEEDVNDLSNPAVAAKEQVKRRNQITAELFSDENGGISKVAEVIYKENENFVEDGIQIEPFNLDKEREEGYFDASENFVEYVRDNEIKDAWLDNVEVDPRYARLSSAATNDEEEVQELSSKDVAIMKRRIANVLEPEETVLQGLRRLKAMKHGCGHRTRYAH >RHN60115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20766759:20767516:-1 gene:gene22341 transcript:rna22341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQSVENMAEVIKFVNVIIIFISLFPFAMTVDANMVICTQDFDCQTKICPFDLQPKCTILFEFLLSLCGCV >RHN51320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16150035:16152560:1 gene:gene35743 transcript:rna35743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MYHKSHFLFLTFLLFTAAMTTAATAVNPGCTLYASNTNQSWSSTSSTFSLRFVPVDPPTSPPSFLAAIVYSGGAPVVWTAGNSTAVDSSGSFQFLTNGNLRLINGSGATVWDSGTANMGATSATVEDSGKLAILNGTKEVWSSFDHLTDTLLPSQNFTVGKILKSGDYSFSLGKNGNLNLIWNDSITFWTHGLNSSVNVSLSNPVLGLTSIGILQLSDVKLSTPVIVAYSSDYDNVGSGVSDVLRVLKLDRDGNLRIYNTSRGSGNPVARWAAVQDQCKVYAFCGNYGICSYNDTNPICGCPSENFEMIDGIRKGCRRKVDLDSCQGNETMLTLDHTQLLTYYPETDSSLLIGFTACRGNCLSGSRACFASTSLLDGSGHCYLKSVDFFSGYYSPTLPSISYVKVCSPLAPNPPPSLGETVKEKSSSVPAWVIVVIILGTLLVGIAIESGLWIWCCRNTARFGVLSARYALLEYASGAPIQFTYKELQRSTKGFKEKLGAGGFGAVYRGILVNKTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRRRLLVYEFMKNSSLDNFLFPEEEQLGKLLNWEYRYNIALGTAKGITYLHEECRDCIVHCDIKPENILLDENYVAKVSDFGLAKLVNPKEHRHRTLTSVRGTRGYLAPEWIANLPITSKSDVYSYGMVLLEIVSGKRNFNVSDETDRKKFSIWAYEEFEKGNIKGILDKRLADMEVDMEQVMRAIQVCFWCIQEQPSQRPVMSKVVQMLEGVKEIEKPPSLKLVIEGPVSETSIYISSNVSAFSTIGASPNVPSSPSIQISGVSYFASGRNPEKATSSLLQSNQ >RHN76913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2325081:2330240:1 gene:gene305 transcript:rna305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Galactose-binding domain-containing protein MKSSNFHSSTTMNVFLFLSLLLCSTFHVSQSLIDGLVANGNFELGPKPTELKGTVVTGGKNSIPEWEISGLVEYIKSGQKQGDMLLVVPEGAYAVRLGNEASIKQRIKVIKGMYYSITFMVARTCAQEERINISVAPDFGVIPIQTLYTSSGWDPIAYGFKAEYDVVEMVIHNPGVEEDPACGPLIDSVALRTLYPPKASNKNILKNGGFEEGPYIFPNTSYGVIIPPNIEDDHSPLPGWMVESLKAVKYLDSAHFSVPQGTRAVELVAGKESAIAQVARTIPGKTYVLSFSVGDASNSCEGSMIVEAFAGKDTIKVPYTSKGKGGFKRAALKFVAVGTRTRVMFLSTFYSMRSDDLSSLCGPVIDDVKLLSVRKP >RHN57294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37907649:37909195:-1 gene:gene32817 transcript:rna32817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MVRVKGRDYMPRKKIVNLPVVARYAAGSTAVGKSEAAEPMKSSVTVKEIRYRGVRKRPWGRFAAEIRDPWKKTRVWLGTYDTAEQAALAYDSAAIKFRASKAKTNFPIPEHILAAAAETAAVAAQNPQPPAAVDNRPAEVLKFVEPDVVQVNWPTSSGMSSTVESFSGPRIVQLVGSSSSSVVSRVPTVGGGAGGGVAQAVGGAGAGAGEDFHSGCDSSSSVVDDDEDCVILSSSAASVRKPPVQAHALAQAQVHAQAQVFAIDLNLPPPMDDEEVIRVTTLCL >RHN47007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36407726:36407941:-1 gene:gene41552 transcript:rna41552 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNNNTNTNASGACSSSRRTNPSIGEVLYFFTRPPPRSEGIDTNNKSSSVKFPSMRDVFARKQPKPDST >RHN47721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41947753:41954556:-1 gene:gene42352 transcript:rna42352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S54, rhomboid domain-containing protein MNGGPSGFTNAPVTRAFIIASALFTIFFGIQGRFNTLGLSYQDIFGKLRIWKLIMSVFSFTSTPELMFGLYLLYYFRVFERQIGSNKHSVFIVFSMLTSLLFEVVAVALLKDPSTTLVTPGPYGLIFASFVPFFFDIPVSTRFRICGFHFSDKSFIYLAGLQLLLSKWKRSMLPGMCGILAGSLYRLNVFYIRKAKFPEFISSFFSRISLPSMGTPRTTSTRNVMGNVPSYPARQMERNYPAPTHSAVEPSEDSIITLVSMGFDRNSARQALVQARNDVNVATNILLEAQSH >RHN58823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5208188:5209372:1 gene:gene20773 transcript:rna20773 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEYAYLFVVMIILLPGPNQPRLPHKAFCLKALCGSHDRFGPGSRIIITTRNIHLLGSCRVDRMYTNRRNGQK >RHN45764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25389072:25390352:-1 gene:gene40159 transcript:rna40159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MNSHQVESRAGVLYNDLIEEILSFLDVKSLTRFKCVSKSWKTLISDPTFVKLHLNRSARNTHLTLIYDDVKMVCFPLHRLIQNTSITLAHNPYFHEPCFLDSPFLEEPGFPLDRRLEVVGSCNGLLCLHGYVTNSNYEEIFLYLWNPATKTLSNKILFLHDEFHLRKCGRYEMINTQSLYTLWRFWFGYDDSINDYKIVAFYEKINEVRVFNFGDNVWRHIQSFPVAPFMDISTCPHTHLGINAGVYVSGTVNWLAIRNACPCNFELKSITIDQFVIISLDLRTETYNQFLLPLGFDEVTSVEPTLSFLMDSLCFSHDFHGTHFIIWQMKEFGVDKSWTQFLKISYLSLPIDYDENNGSSLQYPCPLSFFPLCLSENGDTLILAFDAANSAILYNLRDNRGEEIRIRNLVRWFCAKNYVESLVSTS >RHN81379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45379306:45380160:-1 gene:gene5405 transcript:rna5405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorogenate--glucarate O-hydroxycinnamoyltransferase MNTKSDLESSVALVSAAGNDYLKFFVTNGGSTKDVPRFTASLVNQLSSNLRRIQSLGINKIAIGLLEPIGCLPMVTETETPSYEKCNDNFNLFAMNHNYLLLQAVEELNKEMGKSVFVTLDLYTSFLSIIALMQKNPNGIYIALVDFFKQNLALIFFIREIFLLMGLKESRLKYGFSPCKYSFWF >RHN72080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4819120:4819387:1 gene:gene7752 transcript:rna7752 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNQEALLRGQDHLVCLHDVALPEHSAQLGSLMTSVTRITKSLQALHTEVREGFQLQKSSPTQSGSVTPFRVLVRGIE >RHN49530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55431507:55431716:1 gene:gene44371 transcript:rna44371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PC-Esterase MFNKAAAISRGEHLKLLDTTWLSLLRPDGHLGPYRQFHPLENGKVQNDCLHWYLPGPIDSWNDVLMQMQ >RHN72030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4510389:4514853:-1 gene:gene7697 transcript:rna7697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative periplasmic binding protein-like I MNFYAQTSSSVPNLLLWVTVIVSLLLLQCLANRSQTTSVGVVIDVNSETGKQQRTAMQIAAQSFNNYSHNHNIILLFRDSGRNPLHAASTAEELITKEKVKVIIGTETWQEASIMADVGAMFQVPTISFSSSLVPSSLMQLRWPFLIQMAQNQTAQMKFISDIIHAFNSQKVIAIYEDNPYNSDSGRLSLLSEALQKVNSQIEYQLVLPSFTSLSDPKGFVLDELLKLLPLKSRVFIVLQASLAMVNHLFREAKKIGLLEKESTWIINEEITSMLEYVDKSVLSSMEGVRGIELNYSISSSAYAQLQESFQAENTKTVESKPGLNALLAYDSITIVTKALEKMNSNSSSSKMLLEEMLSSNFNGLIGDIKFKEGKLSYTPILRVIKVINNDKKHIELNSWTPKLKVSRSLREKASDDTTETKTWKVPTDINPLKVAIPTNPSYDNFLKVSKNQPPTGFCIDLFKEIREILSDQYSGLPYKFYPLNESYDTILFKVMDKTYDAIGADVTILAERSRNVSFTQPYTESGLSLIFPAETEDSAWLIMKPFSWEIWIATIGILIYTMIIIWFLEHHLNPEFGGPLKTQISTTMWFAFSSLFFAHKEKINSNTARVVVGVWLFLVFVLTSSYTASLSSLLTVQKLRSDRDVEWLKQNNLSVGCNNRSTFAKDYLVQVYNFPRHQVVDIQDEHDVVDKFKNKKISAYIVESPYAKIFLNKYCKGYTATTAAYKFGGLGFVFQKGDPMAKDFSVAILTLAENGKLKALEDIWLTPKECSMNSTSSETESLTLDKFWGLYFICATTSTICLLLALLQKYFYNHNNCEEQAHQLSQGNVITEPNVDNNHQLTQGNVITESNVDNNHQLPQGNVISESDDDNNKDLTGAFRNGTGLYIGNLMPLNNAATYGGSVIQGVRRRNSPRLESVSISDEPGNPQSLQSADIEMM >RHN64961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63307173:63307990:-1 gene:gene27844 transcript:rna27844 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCKPSSSMEWVGEDWESLRSKPESRKTKPYPSSSKVFDEASLDHHQKENDVLGKLRASCDASGKVTLKISKSELAELLGAIQQNNINSSNQQPKQQMKKKKELASAEEVLFRLMKAKDHEHHWKPVLETIPE >RHN66230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9909699:9910883:-1 gene:gene14174 transcript:rna14174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKKKTERKENQSSTADWSALPMELVSLISQFIDNEIDLIRFRSICSNWRSSSIPNHHLQTSPIKFPLVYDSGNYHSSEKEIIDSLNNTNSPLCYLSKRTLVLVKPPQHGKTLIRRRPWLIRVTQNSHGKTKFFNPVISYRRPQEQTPIPPHVLDFNKLSILYLGTDFIIDEDKYPKTVLAVMCHGKNPLLLCRLSHCSNRPMMIFRGLNEQWTLISRWSYKYGDICLFKGSIYAVNQSGETVTVGPDSSVELAAQPLDPGIPGLNKMLVESEGRLLLLAIHEIFYCFSIDFFKLDEKEKKWMRLMDFDEKEKKWVKLRHFGDRIFFIGRGCSFSASASDLCIPKGNCVVFIDESVLCIDNMFHQKRVFHLDQNQLSGGAKYLNLFLPPKWIHNI >RHN56183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28567124:28568365:-1 gene:gene31544 transcript:rna31544 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHSRLGCLTPSLFETNPRIQSLDKGFYSFFPFPRIKIESSKIDDSNQLMV >RHN68260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34050334:34053616:-1 gene:gene16591 transcript:rna16591 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CCS52B MESPQAKKSGLNLPAGMSTITSLRLETLSTPPSSASPRAISNLSSTPSPSKSSKCSDRFIPCRSSSRLHTFGLIDNQSPVKEGSNEAYNRLLKSELFGPDFASPSSSPAGCGVGSPLVSPSKNMLRFKTESCGPSSPFSPSIFGRNDGFCNEGFTPPKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNTLAVGLGTCVYLWSASNSKVTKLCDLGPYDGVCSVQWTKEGSFISIGTNGGQVQIWDGTKCKKVRTMGGHQTRTGVLAWNSRILASGSRDRNILQHDMRVPSDFIGKLVGHKSEVCGLKWSCDDRELASGGNDNQLLVWNQHSQQPTLRLTEHTAAVKAIAWSPHQSNLLVSGGGTADRCIRFWNTTNGHQLNSVDTGSQVCNLAWSKNVNELVSTHGYSQNQIMVWKYPSLAKVATLTGHSMRVLYLAMSPDGQTIVTGAGDETLRFWNVFPSMKTPAPVKDTGLWSLGRTQIR >RHN65234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:411385:423614:-1 gene:gene13053 transcript:rna13053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA mismatch repair protein family MESPPKIQRLAESVVNRIAAGEVIQRPVSAVKELVENSLDAASTSINLTIKDGGLKLIQVSDDGHGIRREDLPILCERHTTSKLSAFEDLQRITSMGFRGEALASMTYVAHVTVTTITKGQLHGYRVSYRDGVMEQEPRPCAAVKGTQIMVENLFYNMAARKKTLQNSSDDYSKIVDVVSRFAIHHTNVSFSCRKHGAVKADVHTVATSSRLDAIRTVYGVSAAHNLIEVQASDNDPSSSIFEMHGYVSNANYAAKKITMVLFINDRLVEWSALKRAIEIVYAATFPKASKPFIYISIVLPPENIDVNVHPTKREVSLLNQEVVIEKIQLVIESTLRNSNDARTFQEQTAGQFSTSRTNKSKEVNLSPTPPGSRSQKVPVNKLVRTDSLDPAGRLHAYMQVIPGGHQEKSVTLNAVRSSVRQRRSLQDSIELTSVEELLVEINNNYDPGMMDIVKHCTYVGMADDVFALLQHKTHLYLANVVNLSKELMYQQVLSRFGHFNAIQLSDPAPVKDLIILALKEEDLDSECNDDDTFKEKIADMNTDLLKTKAGMLEEYFGIHIDDQGNISRLPVILDQYTPDMDRIPEFVLSLGNDVDWDDERNCIQTVSAALGNFYAMHPPMLPNPSGEGLLFYKKRKLFDSCAMENTCDNTGSDVIDSNIEQELLSEAETAWAQREWSIQHVLFPSMRLFFKPPPSMATNGTFVKVASLEKLYKIFERC >RHN54327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8715604:8716949:1 gene:gene29341 transcript:rna29341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MLSSISLPSSLYAAGNFSGTSVTPPSCRVKSKPIVITSSATATAEARSTWTEQQRPSYLNMNSSSHSSPASLYQILGISAGASNQEIKAAYRRLARVCHPDVAAIDRKNSSADDFMKIHSAYSTLSDPDKRANYDRSLFRQQLRPLSTMVSSGYSSRKWETDQCW >RHN60067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:18119818:18120185:-1 gene:gene22269 transcript:rna22269 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKFLQWKKMETVKREITPKLQELLDQSCKNSGNFILFS >RHN44674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7372372:7372827:1 gene:gene38820 transcript:rna38820 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 5 MGSYRAALFHLITHAYSKALLFLGSGSIIHSMEAIVGYSPEKSQNMVLMGGLTKHAPITKTAFLIGTLSLCGIPPFACFWSKDEILNDSWLYSPIFAIIACSTAGLTSFYMFRIYLLVFEGYLNVHFQNFNGKKNSSFYSISLWGKEEKKN >RHN39343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6223529:6226032:-1 gene:gene45353 transcript:rna45353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MRISHKKYDVFISFRGEDTRTNFTAQLHQALSDRSIESYIDYNLVKGDEVGPALTKAIDDSHMSLVVFSKDYATSKWCLDELVHILQCRKLNGHVVIPVFYNIDPSHVRHQKESYQMAFARFERELAHSKSHVDKVSEWKAALNLAANISGWDSRKYRDDTQVIGNIVEDVLQKLALMYPNELKDIVKVDENSEHIELLLKTIPRIGIWGMSGIGKTTIAKQMFSKNFAHYDNVCFLEKISEDSEKFGPIYVCNQLLRELLKREITASDVHGLHTFITRRLFRKKVFIVLDDVNNTTQLDDLCRVLGDLGPNSRLIITTRDRHTLGGKVDEIYEVKTWKLRDSLKLFSLRAFKQDHPLKGYERVSERAVECAGGVPLALEVLGSHFHSRKQEFWESELNLYENKGEAFPDIQKVLRTSYNGLSWRQKEMFLDIAFFFKGENKDIVTRILDAFGFNATSGIEILEDKTLITISNNDRIQMHDLLQKMAFDIVREEYNDRGKRSRLRDAKDICDVLGNNKGSDAIEGIIFDLSQKVDIHVQADAFKLMHKLRFLKFHIPKGKKKLGTVHLPENIMPFFDKLKYLE >RHN78732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17931182:17933373:1 gene:gene2379 transcript:rna2379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MTNNAKEYPGFFKVFLKEKHSERMLIPNAFMNLVHSKRRVIKDFILRDRRRRDWYVKARRIGDEFYFDDGWKKFRQENCLVENDFLVFTHIENNVFKFKILELSSMCEKMPVMEDEENNNMMEDEEEEKDSDDVDYDDNDDHDAEEGNDSDDDDDDGSENIRKETQSENVYKENSKSGHQHCRTCKAWDIGSSSAGSNLEDDEIDAEMYIQPGNPHFIAKHSLYRPNELHFPKNVIKDFCVCFTKYVNLVCCHCKDIKRNEIEAYHHILPQISTRHTEKRGEIRTWKNGRVLVLGWEDFCRKCKITENDRCLCELVMRNGEAIEMIRVHIVRKVNKK >RHN55193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15932354:15947126:-1 gene:gene30333 transcript:rna30333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MKRQRDDVFMSSSQLKRPMLNTSRGEASGQPPNRGSQKLTTNDALAYLKAVKDIFQDKKEKYEDFLEVMKDFKAQRVDTDGVIERVKELFRGHRDLILGFNTFLPKGHEITLSSEDEQPQPKKKVEFDEAMSYVNTIKTRFQGDVHVYKSFLDILNTYRKESKPITLVYKEVAALFQNHPDLLEGFIHFLPEATTAASTHAFARNSMFGDRSSAMPTLRRVHVEKRERTIVSHGDRDPSVDRPDQDHDGGSLRAEKERKRRMEKEKDRKEDRGRRERERDYRDYEHDRGRDRERLSHKRKSDHKAEDSGAEPLLDADQNFGTQNQELAFCDKVKERLQNPGDYQEFLKCVHIYNKEIITRQELQSLVGGCGLLGDYPDLMESFNEFLLQAEKNGGGFLAGVMNRKSLWIEGRGLKPMNAEQRDRDRDDDRDRYRDDEMKERDREFRERDKSPVINKDVSGSKMSLYPSKDKYLSKPINELDLSNCERCTPSYRLLPKNYPIPIASQKTDLGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLVESVSVTTTRVEELLEVISKNPIKGDSPICIEEHLTALNFRCIERIYGDHGLDVLEVLRKKPSLALPVILTRLKQKQEEWARCRADFGKVWAEIYAKNYHKSLDHRSFYFKQQDTRSLSTKALLTEIKEISEKKHKEDDVLLAIAAGNRRPILPNLEFEYIDPDIHEDLYRLIKYSCAEVCTTEQLDKVMKIWTTFLEPMFCVPCRSQGAEDTEEVVAKNNSVRNVAETDGNPGVGATIMNPKHVSTSRNGDECVPIDQSTSSKAWKSNGDTGVREDKCLDPGRTMHKSETFGSNPQLGNPDIIAFMPNKLSGVNKQDLSGERLENANVSPASGMELSNGRTEIDNTSGFAATPSRNGNASVTRGLELPSSEGGDSARLYASTNGAVAGGTEVCRYQEESNPQFKSEREEGELSPNGDFEEDNFAVYGDAGSEAVHKGNDGGLKRQYQNRRGEEVCGEARGENYADADDEGEESPQRSSEGSENASGNVDVSGSESADGEECSQEEHDDGEHDDKAESEGEAEGMADAHDVEGDGTSLPFSERFLLNVKPLAKHVPPVLHVEDKNSRVFYGNDSFYVLIRLHQTLYERIHAAKVNSSSTERKWKASNNTSSTDQYDRFMIALYSLLDGSSDNSKFEDDCRAIIGTQSYLLFTLDKLIYKLVKQLQAVASDEMDNKLLQLYAYEKSRKPGKFVDIVYHENARVLLHDENIYRIEYSPKPKTLSIQLMDCGNEKHEVTAVLVDPNFSAYLHNDFLSIASDKKLGIFLKRNKRGYACSDEFSSEVMEGLQIINGLECKIASNSSKVSYVLDTEDFLFRTRSRRKALHLKSSCYEQRKPSNIRSSRVARFRKLFSFM >RHN44270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3056635:3060375:1 gene:gene38369 transcript:rna38369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MTNRKDKVHNTQVDRKSNLKKEERKRSFKGHKMFMWLKFIFTSDVALQTFLNGSTLSHSFTTCHFSFLSLYNNLLQHLFFSFYYNNRKSFHLFLATKMLETKDSAIKLFGKTIPILEVRVGDVVVESIDDDHHHFSTNSSNESRNNKDEQDQEIEKNTLGEKPSDEKNEDGIPIQSTEEFTNPDAASRTDEESITVSTERKAATLKTSKTEEEQDETSNSQDKILKKPDKIVPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKSSSSHYRQITVSEATLQNSRIHPSVKCNGTILTFGSNSPVCESMASVLKHADKTMQNYTRNGYHKHEELRICVPHTSEEQGEDQSNKSSVTSTKSTEGATTNVSQEQAMWNDHSFPPQGGYFPHGTPWHLPWNPVQMSSPIPPPAFCPPGFSMPFYPATTYWGCTMPSAWNIPRQAQPSSPNGANHDSTPNSPTLGKHSREDNMLKSSEGDGKKEISEEKSLWFPKTLRIDDSEEAEKSSFWTTLGIKNNNADSVPPRRLFQAFPSKCDEKNHLVQVSSVLQANPAALSRSLHFHETS >RHN39777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10443651:10445893:1 gene:gene45839 transcript:rna45839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NRAMP family protein MDTQSQQHHKKDFVELEGEQNRLLQSEECENIPLSTPSSSLSTSLDDGNKEDYVYAAKDKVHIFDLESNGNTTGSTIVPPFSWKKLWLFTGPGLLMSVAFLDPGNIEGDLQAGAIAGYSLLWLLMWSTIMGLLIQLLSARLGVATGRHLAELCREEYSNWARLLLWFLAELALIAADIQEVIGSAIALKILSHGLLPIWAGVIITAFDCFFFLFLENYGVRKLEGVFAIFIGTMGLSFAWMFFDTKPSEEELLMGLLIPRVSSKTLRQAVEIVGCVITPHNVFLHSALVQSRDIDIRNKGQVQEALNYYSIESSIALLITLVINLFVITVFARVFYDTEQAKDIGLVNAGQYLEEKYGGGFFPILYIWGIGLLAAGQSSTITGTYAGQFITEGFLKLNIKKWLRALITRSCAIVPTMIVAIVFNTSEGSLDTLNEWLNVLQAIQIPFALIPLLTLVSKEEVMGTFRIGPIVERVAWTVVVLVIMLYGYMLLDFFLSEVKGLLFGFLVFLCATAWISFIAFLLKHSGAISSILARSPNSEGFSLAGD >RHN75565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43335206:43345036:1 gene:gene11792 transcript:rna11792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aconitate hydratase MASLRATRSKLFPLSRTFSSPLSRPSPVRSPAFTSSAVANAARSTVNRWSHGVLWRSPFSLRPQIRAVAPFIEQYHRKIATSAGENPFKGNLTSLPKPGGGEFGKFYSLPSLNDPRIDRLPYSIRILLESAIRNCDNFQVTKADVEKIIDWESTSAKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNRLGSDSNKINPLVPVDLVVDHSVQVDVARSENAVQANMELEFQRNKERFSFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLGRVVFNNEGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQILRKHGVVGKFVEFYGNGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIEAYLRANKLFVDYNEPQQDRAYSSYLELNLDEVEPCISGPKRPHDRVPLKEMKSDWHSCLDNKVGFKGFAIPKEAQGKVAKFDFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKAHDLGLKVKPWVKTSLAPGSGVVTKYLLQSGLQKYLNEQGFNIVGFGCTTCIGNSGDLDESVASAISENDIVASAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPLGTGKDGKNVYLRDIWPSTEEIAQTVQSSVLPDMFRSTYEAITKGNPMWNELQVPAEKLYSWDPNSTYIHEPPYFKDMTMDPPGPHGVKDAYCLLNFGDSITTDHISPAGNINKDSPAAQYLMQRGVEKKDFNSYGSRRGNDEVMSRGTFANIRIVNKLLNGEVGPKTVHIPTGEKLYVFDAAERYKASGHATIVLAGAEYGSGSSRDWAAKGPMLLGVKAVISKSFERIHRSNLVGMGIIPLCFKSGEDADTLGLTGHERYTIDLPNKISEIKPGQDVTVTTDTGKSFTCTARFDTEVELEYFNHGGILPYVIRNLIKK >RHN49267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53674276:53678425:-1 gene:gene44081 transcript:rna44081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Homeodomain-LIKE family MLLQIHSSRHLFLSFTKTISSISTCMVSFEQNDFDTFIEHASDDDLEFSPRIGPEYQAEIPSLIEKSDQLSLRTDPTDSEDVHDKSLSSAISLPIPVIWSIADTNSFVLGLFIFGKNFTKIKRFIENKRMGEILTFYYGKFYETDGYCRWSECRKLKGRKCIIGKKLFAGARQQELLSRLIPHVSDESQDTFLQVSKSYVEGRTSLEEYISYLKSTAGLGVLVEAVSIGKEKGDLTRLDVEPRKNSPGAFSAQTCKALSSFGPSDIIQSLTGGFQLSKTKSDDLFWEAVWPRLLARGWHSEQPMYQGYVTSKDYLVFLIPGVDKFSRRKLVKGDHYFDSVSDVLNKVVAEPNILELEEEESKVHSCNEEQPEKGTNEDDLSDDHRQCYLKPRSFTYNKDHIKLMGIDTSLVHKGKPSDFRDLKFVPVNSVRKVEPDAAGINDEGQSYTRNVKHSKDMSKSIKRNSTKLTVIDTNRLSEGKLLKKMKQPKYPSVELGDASRMTTNLLKESKGVSSTDDSRRMVEAKMVLCGKQKINKTDSDPNKMVESQKNQHTSVFGDNRMKRIIKHEFNQRVISGDSNHAAVPIKRRRLTACVKAEKSHITENSSVGLGSDKLGFSHSSSFQDANQNVWHSVSHQQQHRGSFTASLAHRSVEENNEKSILKDCYQRKSVPCVQVQKCKSSTFNRPKVPSKSVNIKTMATVEEGEHGLKTNDPCLASATQEVVEEPLRTPCDVDSLEKQADINLRRQSTRNRSLTVRALECIANEFLHVQSRHKRRDTH >RHN40754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20383433:20384196:-1 gene:gene46951 transcript:rna46951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLLASMSTLTMELSPSSENSSNLGLMHNALECSPTALTLKYTNKDSVPSTTILNKLLCCFGPLIESKTELLVKTNGVRVVFQKRCDAENAVTHVGKYRFGSSLQSFRLKILPQKPKKGTGKRGMKSKKESSFVHDVYAV >RHN71787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2612596:2614133:-1 gene:gene7422 transcript:rna7422 gene_biotype:protein_coding transcript_biotype:protein_coding MALRIRFFEAIFLVVLIAFPVIDAYTSLQEVLRGHGLPVGLFPESVKSYHLDQSGVLEVKLDSPCIVKYETRVFFETVVRANLSFGQLKGLEGLSQEELFLWLPVKDIIVDDPSSGLILIDIGLAYKQLSLSLFEDPPICRSQGLSMNMAGRKSVGFQDQR >RHN63198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49281755:49282087:-1 gene:gene25874 transcript:rna25874 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDSASADDNKLADSTDLQNSGADSTADNTILVAQKAMETEMVESNTNLNAGSGTNAGSRTSNIPSGSSTSGEENVAGENGAQGDIQIGQESPRRLLPDLNLEPDQQDE >RHN75677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44235929:44239148:1 gene:gene11914 transcript:rna11914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate formimidoyltransferase, 5-formyltetrahydrofolate cyclo-ligase MAFNYTTTTKEQKKTVDQSMLLCCKFFISEGRNIATLDAVERAARSNPETVIVNKFHGRSYNRARYSLVSYVLHDCTGNAIYSPLQQTVVAMAEAAFNTINLELHDGSHPRLGAVDDIVFHPLACASLDDAAWLAKAVAADFGNQFNVPVFLYAAAHPTGKQLDTIRRELGYYRPNFMGNQWAGWTMPDILPQTPDEGPIVVSRAKGISMIGARPWVGLYNIPILSTDVSAARRIARKVSARGGGLPTVQTLGVVCEDSAEIACMLLEPNQIGADRVQNLVEMLAAQEGLDVEKGYFTDFSPEMIVERYMNLISAKKSSP >RHN74701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36005663:36005947:-1 gene:gene10808 transcript:rna10808 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLPWLTIIVRHKVNNIWLEINLLLLLPSHSMFMISFKELMI >RHN40996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25533904:25538144:-1 gene:gene47263 transcript:rna47263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MTHTIKVLFRLFILIFYMRTSTSLDSLAVNQSIRDGQTLVSANGIFEIGFFSPGNSKGRYLGMWYKNLTPLTAVWVANRETPVHNNSGVLKLNENGVLVILSGANSNVWSSKISETVFVNNSINAQLLDTGNFVLKNGKDYILWQSFDYPCDTLLPGMKLGWNLVTGLNKIQSSWKSTDDPAKGEYSSGVDLRGYPQVVIMKGSAIKLRVGSWNGRSFTGYPTQPLKEKQIFEFVITDKEVYHRYEVVDRSMICVYRLSLTGNLQALCWTSHSSSRIVIYTGAEDSCDNYAMCGANSICNMDGNVPKCECLKSYVPKFPEQWNISYWSGGCVPKIELVCGNNNTSGFLRYKEMKLPDTSSSLYNKTMNLVECQRVCTKNCSCTGYANMDIRNGGSGCLLWFGDLVDMRVISQWGQDLFIKVPSSELDYISVDVPRSMKKRLVRITVGLITVGFLTCACIIIFIRKVAPRLYRRAPFQKRQVYSRLIKEDMDLPIFDFSILVKATYNFSSTNKLGEGGFGPVYKGTLVDGKEVAIKRHSKVSDQGLEEFKNEVVLIAKLQHRNLVKLLGCCIHREEMLLIYEYMPNKSLDYFIFDETRSKLLGWTHRSHIIAGIARGLLYLHQDSRLRIIHRDLKTSNILLDAHMIPKISDFGLARTFGGDQVEAKTKKMVGTYGYMPPEYAVHGRYSMKSDVFSFGVIILEIISGNKIKGFYDSENSLNLLGYAWRLWIENMPLELLDTHLFEMCISSEVIRSIHVGLLCVQQKPEDRPDMSSVILMLNGEKLLPQPKAPGFYSGKSSLEATSLSSNQMSLTIFEAR >RHN66192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9609393:9609954:1 gene:gene14128 transcript:rna14128 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFGFVFGKMKVYWNNPFRKNEILAYMVRSFINSELVPRCPLMRKMICEPIWFYIR >RHN51933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28640794:28645018:-1 gene:gene36506 transcript:rna36506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEHTTFPLHQFSNSPHKQHHKISQDLILDNNYASLLVNNSDKIFSFSCQPNNELLYEAAKNQDNSFEQKKRKIVHREIERQRRQEMATYYASLRSLLPLEFIKGKRSISDHMNEAVNYIKHMQNNINELGTKRDELKKLSNSKLENIESNHASCNLNVQQNNGILRIEFTSGLREEKLKLSQLLNFLAKEGFEVDSCVTTEINGRLLQSVMCEVNNSNIVDQFELRKKIVMVIPTMACD >RHN44152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2012259:2013909:1 gene:gene38230 transcript:rna38230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MVSLFVEESIHGEGELPLSIGNLNQLKYLNISYNNLQGSIPHQLGFIKNLTTLDLSHNFFNESFPISITGIELTLLHLSWNSLDGELPSSLGNLKQLEYLDISNNNIGGSIPFELGFLKNLTTLDLSHNKLNRILPISLTNLTHLVYLDISDNFLTVSLPSNFDQLTKLQVLLLNNNSIDGTFSISLTNFSQLENLDISHNLLLGTLPSKMFPLTYYKTSIDLSHNFFSGKILSQLGHFQQLLLNNNNLTGMVPQSLCNVSYVDISYNCLNSLISYCTNMNTCNKDVCLNISFYQFEPLSPRKNNNKFRQSVFILWKYNGKVAHDDIIRAKEDFDMRYCIGTCAYGSVYKAQLPCGKVVALKKLHGYEVEVPSFNESFKNEVRILSEIKHRHIVKLYGFCLHKRIIFLIYQYMEKVACSLSWVAFGLSYLHHDCTPPIVHRDISSSNILLNSEWQAIVSDFGATRLLQYRTNYMPLYKLSF >RHN59957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15338624:15342682:1 gene:gene22129 transcript:rna22129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MANVLKGGSAVMLSFISSSRTTTTLSRTITNYSFLPKFPFLSLSSSSRPKTPSSFSSFCTLSSSPETVTEPISVENNGENNEKSSIKDAAGLLDIRVGQIVKAWKHDEAESLYVEEVDIGESEPRIICSGLVNYIPLENLQGKKVIVLSNLKPRNMRGVKSSGMLMAASDSKHENVELLCPPEEATPGERIWFGSEDEKDNQPDAAKPNQIQKKKIWESVQPHLKTDGSCTAMLGEHVMRTSAGSVVCQSLQNANIS >RHN71518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:725477:728850:1 gene:gene7127 transcript:rna7127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RKF3 family MKIFIMFLSMNMLLIMMSLRTISAAPTCPMDLNYVLRIHWNSSACQNFNSLSSKETSTCCASVLSLFGIGFAQHLKETSQFNLQDLQTSNSCMQDFQSKLNSLSLYNNLVNSCFDPLQFVISRNICAGIETVHDWNEKVGQNSSLNTACKPDLTHLSQCDACVAAGLQVKQKLVSVSGNDSQSLSCFYFAILYAAGIVNEFGPESNGAVTCAFSLPVYSQVGSGSKGHQGLVFGLTGAGVALFVMCSLLGLYVWCDRKRMRKRKLETFQFDFDPEEQGSRRRLRPNTGSIWYKIQELEKATDNFSSKNFIGRGGFGLVFKGTLADGSVVAVKRVLESDFQGDVEFCNEVEIISNLKHRNLLPLRGCCVVDENENYGDKGSQRYLVYDYMPNGNLEDHLFVSKDPQKANKSLSWPLRKNIILDVGKGLAYLHYGVKPAIYHRDIKATNILLDEDMRARVADFGLAKQSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVVLEIMCGRKALDLSSSGSPRAFLITDWAWSLVKSGKIDEALDASLLKDDNTASANPKSIMERFLLVGILCSHVMVALRPTISDALKMLEGDIEVPQIPDRPMPLGHPAFYNNDGNGNTFSISPALSGLKLPTGDMLRSISER >RHN64485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59487925:59490168:-1 gene:gene27304 transcript:rna27304 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNLNSLFHRIVTAAEHPHLSIPKTEVGKNRKQYHRLHVPETEDENQRKLYHRLVNQTLMFVSVGAALAFVGVGACRIARKNK >RHN79493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29528333:29529177:-1 gene:gene3290 transcript:rna3290 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEYERRKHNKKTRRMKRKMKVFFEVGKLYALGEEDKCVLPLPGMKGLQFHLYCGSIFCFITDCQSLFLLNRYIIYV >RHN50241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5428797:5429186:-1 gene:gene34510 transcript:rna34510 gene_biotype:protein_coding transcript_biotype:protein_coding MCLWDAAGSFIRAKMMWTNPMCTPEVGEVLGLFYAIQWVQELQFSNVDFEMNAEKVVDFFNKGSNDVSEFGSILEGCKRCRNAFFENSKVEFSRRQANEVTHTTIFLAGPHVFNDAPLCILTLINNEKL >RHN72057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4682392:4684715:1 gene:gene7727 transcript:rna7727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MKFNIILILISFTFGFPEKVISNPTPRPFDAIFNFGDSLSDTGNFLATGANLFPAVGHPPYGETFFRNATGRCSDGRLVIDFIAEAYGLPYLQPYLKVIKSNQIIRNGVNFAVAGATALGVEFFNKEMGKLLWTNHSLNIQLGWFKKLKPSFCTTKQDCDSYFKRSLFVVGEIGGNDYNYAAFAGDITHLRDTVPLVVQTIAKAIDELIAEGAVELLVPGNLPVGCNAVYLTLFSSKNISDYDENGCLKAFNGLANYHNMQLNFALQTLRTKNPHARIMYADYFGAAMRFFHSPRQYGFTNGALSVCCGGGGRYNFNDSAECGSKGSKVCADPSTYTNWDGIHLTEAAYRHIAKGLINGPFSIPPLKPAFIKIA >RHN44070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1425712:1426915:1 gene:gene38127 transcript:rna38127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribulose-bisphosphate carboxylase MASSMMSSSAVTTVNRVSSVQSGAVAPFVGLKSMAGFPVTKVNKDITSITSNGGRVNCMQVWPPIGKKKFETLSYLPPLTREQLAKEVEYLIRKGWVACLEFETEKGFVYRENHSSPGYYDGRYWTMWKLPLFGATDASQVLKELDEVVVAYPNAFVRIIGFDNVRQVQCISFIAHTPAVY >RHN46813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35051644:35083392:-1 gene:gene41344 transcript:rna41344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEEEDEPKEMKISGNELDSHGVVDSDLKRFVESPHEENVNGSSSFEVEHVDERVHLQDQDVQCATTVMSEDQFEQVSLKDQDKNNESEYSNQSPGSDKIHRPYDGYAEDSRYSSGSCSIEYDSSIVADLHLDNLSYSPGSEDKQFAPSFSFDSTGYSSVKSPPNPRQKHAKPNVSPELLHLVDSAIMGKPEGIDKLKNIASGVEIFESGEEMDSVPFLIVDSLLATMGGVESFEEDEDNPPSVMLNSRAAIVSGELIPWLPYIGDTDDVMSPRTRMVRGLLAIIRACTRNRAMCSSAGLLGVLLRTAEKIFTVDVGLNGQMRWDGTPLCHCIQFLAGHSLSVSDLYRWFQVITKTLTTIWAPQLTLALEKAISGKESRGPASTFEFDGESSGLLGPGESRWPFVSGYAFATWIYIESFADTLNTATVAAAIAAAASARSGKSSAMSAAAAASALAGEGTVHMPRLFSFLSGDNQGIEAYFHAQFLVVETASGKGKKSSLHFTYAFKPQCWYFIGLEHIGKHGILGNTESEVRLYVDGSLYESRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMAGLASRGGDILPSFGNAAGLPWLSTNAYVHSKAEESVLLDAEIGGCIHLLYHPSLLNGRFCPDASPSGASGVLRRPAEVLGQVHVATRMRPGDALWALAYGGPLSLLPVTISNIDEDTLEPLQGNLSLSSATTSLAAPIFRIISIAIQHPRNNEELSRGRGPEVLSKILNYLLQTLSSLDVGKHEGVGDEELVAAVVSVCQSQKINHTLKVQLFATLLLDLKIWSLCSYGIQKKLLSSLADMVFTESTVMRDANAIQMLLDGCRRCYWIVREIDSVDSFSLAGATRPVGEINALVDELLVVVELLIVAAPPSLVSADVRCLLGFMVDCPQPNQVARVLHLFYRMVVQPNASRANTFAEEFLAGGGIETLLVLLQREAKAGDSGVMESSSKNPELEKTEIDGSNENTERSQDDEGSEDKRSQSVDSGNSPHHSSPDINSDRMAFASETSSVKNLGGISLSISADSARKNVYNIDKSDGIVVGIIGLLGALVASGQLRFVSCASPDTTSNLYGVGLHDRGGTMFEDKVSLLLYALQKAFQAAPNRLMTNNVYTALLAASINASSLEDGLNFYDSGHRFEHSQLLLVLLHSLPFAPRSLQSRALQDLLFLACSHPENRNSMINMEEWPEWILEILISNYEVGSSKLSDSTSVGDVEDLIHNFLIIMLEHSMRQKDGWKDIEATIHCAEWLSIVGGSSTGEQRVRREESLPIFKRRLLGVLLDFAARELQVQTQIIAAAAAGVAAEGLSPTDAKAEADNAAQLSVALVENAIVILMLVEDHLRLQSKQSSSRTADISPSPLTTLYPISDHSTSLSTIDESAEEVADSRSSLSGGSGGNPLDALSSMADGTGQIPTSVMEKIAAAAAAEPYESVSCAFVSHGSCAKDLADGWKYRSRLWYGVGLPQNPAAFGGGGSGWDFWKSTLEKDANGNWIELPLVRKSVAMLQALLLDESGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCMLRMVLLSMREDDNGEDYMLMRNTSIDDAASEGRKPRSALLWSVLSPVLNMPISDSKRQRVLVASCVLYAEVYHAVSRDQKPLRKQYLEAILPPFVAVLRRWRPLLASIHELATADGLNPLVADDRALAADSLPIEAALAMIAPAWAAAFASPPAAMALAMIAAGASGGESQAPAQTSHLRRDTSLLERKQTRLHTFSSFQRPLEVSNKTPPLPKDKAAAKAAALAAARDLERFAKIGSGRGLSAVAMATSAQRRSASDMERVNRWNVSEAMGVAWMECLQPVGTKSVYGKDFNAFSYKYIAVLVASFALARNMQRSEVDRRAYVDIVTRHRISTGVHAWRKLIHQLIEMRSLFGPSADNLYSPLRVFWKLDLMESSSRMRRCLRRNYQGSDHLGSAADYEEYSEEKKDQSTPILSAEAISLEAVNEDEEQVDAENLVDRVDDVQNKGDNQLSISESAEQSVQASLESSSPQHASDEHIDQSSSAIAPGYVPSELDERIVLELPTSMVRPLKVIRGTFQVTSRRINFIVDNNSNETSAATDGFHSSFEAGNQEKDRSWLMSSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGSSEGRRNAYRAIVQARPPHLNNIYLATQRPDQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYNSESLDISNPSSFRDLSKPVGALNPDRLKRFQERYASFDDPLIPKFHYGSHYSSAGTVLYYLVRVEPFTTLAIQLQGGKFDHADRMFSDISGTWNGVLEDMSDVKELVPELFYQPEVLTNENSIDFGTTQLGGKLDTVKLPAWAENPIDFIHKHRKALESEYVSSHLHEWIDLIFGYKQRGKEAVAANNVFFYITYEGTVDIDKISDPVQQCATQDQIAYFGQTPSQLLTVPHLKKMPLAEVLHLQTIFRNPNEVKPYVVPSPERCNLPAAAIHASSDAVVVVDMNAPAAHVAQHKWQPNTPDGHGTPFLFQHRKVTAGSAGGALMRMFKAPVSSGEEWRFPQAVAFSASGIRSQAIVSITCDKEIITGGHADNSIRLISSDGAKTLETAYGHCAPVTCLGLSPDSNYLVTGSRDTTILLWRIHRALVSHSNVVSEHSTGTGTLSPTSNSSSHLIEKNRRRRIEGPIQVLRGHRSEIISCCVNSNLGIVVSCSHSSDVLLHSIRRGRLIRRLDGVEAHIVCLSSEGVVMTWNESQHSLSTFTLNGTPIARAQFSFFCSISCMQISNDGMSALIGINSQENGSSLNSQLNKSGVDFDSESEETDENSRTDLPSPSICFLDMHTLEVFHILRLGEGQDITALTLNQDNTNLLVSTLDKQLIIFTDPSLSLKVVDQMLKLGWEGNGLQPLIKS >RHN77755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9198652:9199527:1 gene:gene1242 transcript:rna1242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase MVTITMVTLSASNESFSSSLPGCKNTCGNVEIPYPFGISNSSIPTQGRCYLESKFELICENNRNLFWDGVPVTNINFLQGQLSVLNYVSSYCTNKENISSYLPSLDTAGTFSISRKENKFLTVGCDSYGILNSIYDKETYSIGCLTICNGNRKRIENGTCSGIGCCEVDIPPKMRNITIEAFAFFNSNESLRCRYSFVVKNGAYNFSVSHLDKFPHTELPLILDWSVASENCKASKGKDGYACMKNSVCDDEDIDFGYRCKCKDGFEGNPYLPDGCKGILIHSLHIVIWLN >RHN57684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41003523:41007534:1 gene:gene33263 transcript:rna33263 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDWNCFTVDLIILNVALACVDGFIAFIAFAQVLRIHMRSRQIGWTRQKVLHIMVATSNLGYCVYFASTVFATCNGWYCWYGVCGFILMASPKVMFLAAFLLLLSFWVDHCHQENEEELDDEDNVENRTQQALLEGMREQHGLGPIKSSRRCCSIQGVHIGSRQKYVVMIVVLIFAVMMAFAILICVGNDTNPIDPSIVARVYESFLAVMIIILAGALGCYGLFLFFKLRKVRSENASSEMWKVISLAIISIVSFSSSALVALNTDIPLFYHWHLKFIYGVKAFVYLIIYYFIGSSLPSAYLLWIIREMPPPGIDSIQEEPGGTYTFISHADEASGSIHPWSWTADTSSKNQISRASPI >RHN61700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37629917:37631207:1 gene:gene24189 transcript:rna24189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MKSVSLTSFPAYLVLHMQRYVMEQGVLKKLDVSVAVPKILDISGMRSKGRQPGEELYSDTHVTDEYLPDGKGRYQLLGFVSHVGSSMDTGHYIAYVRKLDRWVLFDDNIVGAVHRPPKDMGYLYFFERLDD >RHN77483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7158838:7161751:-1 gene:gene946 transcript:rna946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TUBBY family MPFKSIVKELKEMKEGIGNMYRRGVETKHMHRHGKSHIAPECSSPISLSVPTTPSSLSSSSSSSSSQSQWANLPPELLLDIIQRVEASETSWPSRRALVACASVCRLWRDITKGVVKTLEQCGWITFPISLKQPGPRDNPIQCFIKRERATSTYSLYLGLSPALSGDMSKLLLAAKKIRRATCTEFLISLVSDDFSRANNTYIGKLRSNFLGTKFTILDGHPPHVSSLPSSCKLQQKVHMKQVLPRAAPAPANYKVATVSYELNVLRTRGPRRMRSTMHLIPISSVQEGGTAPTPMEFTNCHNEHESAKEKKPEVVEFGSTYTDFAREPLILKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAAEPSQNVSAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >RHN50005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3093018:3097485:1 gene:gene34251 transcript:rna34251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MGFGNKNVIKAGSLEVDKSKVDVVKKERRCWFRFSCVGCCIPSRSKLDRSICCNGNTSTQNGDNTKSVIIQSEENKSTSETNTKESIGLVDSSTTTSNGESISSTSKFSEELKVASCLRKFTFNGLKVATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKILNHNGHQGHKEWLAELNYLGDLVHPNLVKLIGFCIEDDQRLLVYEFMPRGSLENHLFRRPLPLPWSIRMKIALGAAKGLAFLHEGAQRPVIYRDFKTSNILLDAEYNSKLSDFGLAKDAPEGEKTHVSTRVMGTYGYAAPEYVMTGHLSSKSDVYSFGVVLLEMLTGRRSIDKKRPNGEHNLVEWARPVLGDRRLFYRIIDPRLEGHFSVKGAQKAAQLAAQCLSRDPKSRPLMSEIVHALKPLPNLKDMAISSHQFKIARVDRTMSMPNSKNGIRTDLASLPKGQPKRTMSSSNYGHGSPYPRHGKSPKPIV >RHN66802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19009634:19011007:1 gene:gene14892 transcript:rna14892 gene_biotype:protein_coding transcript_biotype:protein_coding MLISDIIHLGGILKALKEVNFFTDEQLDTETGKVINGRTLRNMNLIERDAYTKLSIDLRESDAVSNLMDDFPPICKQDPLDVQMNFIKDHFAVTSKKIRLEDVLETMYGGALPVAKSRKIKRKALTKGEYLGDAPEQPAKKVKKAKKERATVQENIVGPAIPTIQEEVEDLEADKILTKRTRSGKSAVTSQSLPDQPSIPKKKRNQAIRKLKVADYLMEEEDQIEAATDLEAEDAATLQKALEIAKDIEVHATRIVREDVGTDAQEVIKAAEVVQEFVATEVGSLLMVTTEEVQEGNVGCSEADTPEASRGNLYSLHSTKVIEIESSSTSTSLSTSISTSSTSSDFDNVPLSRIYTTLNKGLSPSTKLHKKPANKIPYEPVDPSILNSIGEMSEMRNKVCERLPADHPFQPPMIKPLSFVPADAEVVNEPAVPEPENII >RHN53920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5354555:5360003:1 gene:gene28886 transcript:rna28886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactan 1,3-beta-galactosidase MRIRNKCKKPTSLRCDAGGRCSTSVFVLSLLGCLLLFQLLYSYVHHVDRHGGGEPRLLVSNHPQFRELQEVEEESLHVPPPKGKRSPRAVKRRPKRTTTLIDEFLDENSQMRHVFFPGRKRAIDPILAVENDKYHYYPGRMWLDTDGHPIQAHGGGILYDKSSRTYYWYGEYKDGITYHAHKKGAARVDIIGVGCYSSKDLWTWKHEGIVLAAEETDETHDLHKSNVLERPKVIYNEKTEKYVMWMHIDDANYTKASVGVAISDAPDGPFNYLGSHRPHGFESRDMTVFKDDDGVAYIVYSSEDNSELHIGPLTQDYLNVTSVMRRILVGQHREAPALFKHQGTYYMITSGCTGWAPNEALAHAAESIMGPWETMGNPCLGGNKMFRLTTFFAQSTFVLPISGFPGAFIFMADRWNPADLRDSRYVWLPLIVAGPADEPLEYSFGFPWWSRVSIYWHRKWRLPQGWNPFQIM >RHN79206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25814517:25814867:-1 gene:gene2946 transcript:rna2946 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMEEREPPHEIELLQEWLNTEEVVTVENEEVMKVVEKKEWLLRTEESMKARGKKVNKVEIDRVIDEICALFNKPKLGKIWTPHQLYLKFMEFLPKRRITKDDVWTVSFRPP >RHN45991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27578286:27581617:-1 gene:gene40423 transcript:rna40423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MSCFSCFSSQEKKLSKRPNNNNNNNNGKRIQHHPTQITPQKASQPQPENHYQKAKANPPTEPKINKETNKENGHNIAAQTFTFRELAAITRNFRQENLIGEGGFGRVYKGRLEKTNQEVAVKQLDRNGLQGNREFLVEVLMLSLLHHKNLVNLIGYCADGDQRLLVYEFMLLGSLEDHLLDLEPQQKPLDWFTRMKIALDAAKGLEYLHDKANPPVIYRDLKSSNILLDKDFNAKLSDFGLAKLGPTGDMSHVSSRVMGTYGYCAPEYQRTGQLTVKSDIYSFGVVLLELITGRRTIDNTRPSREQNLVSWSYPVFKDPQRYPELADPKLEGNFPMRSLHQAVAVAAMCLNEEPSVRPLISDVVTALSFLGIDPMNQDPQVLSPIDMPSPTQKNEESSATLSLLDDDSAVERQKAVDEAMEWGSNTRNKPSSL >RHN58138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44014402:44017226:-1 gene:gene33750 transcript:rna33750 gene_biotype:protein_coding transcript_biotype:protein_coding MQGYSHDLIVISYFQQAQFSSFLPSRAQLFSILLLGFISEIGSRISCMWFLVLILELFVCCVGDQNQLIGGWYKVEV >RHN70503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51849093:51852903:-1 gene:gene19086 transcript:rna19086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mechanosensitive ion channel MscS, LSM domain-containing protein MDGNNNNNKHQGGEVSMMEKKTEVVVAISNVGGDTHHHGQDHDHELKGVESSPRYSTKSPPLNCASPEIRFIPSPNKPPKVPATNESLTPRKTLVRSVFSKPKSRFGEQPYPIDGTLLEENVTSSNLQDQIAVTSPYKASRSPNNKHGTVYRTVSITSVVTPRTPLMTSPGPAGEDPDEIIYKKVEFSKGKRKRLTTKVLIELFVFVCITGSLLASLTVEELKRTEIWSLGLWRWCMLVMVTFCGMLVTKWFTHIVVFLIEMNFLLKKKVLYFVHGLKKCIQVFTWIALVLLTWVLLINRGVQRSKLATKILDGVTWTLVSLLIGTFLWVIKTLLLKILASSFHVKSFFDRIQESIFHQYVLQTLSGPPLMEEAEKVGGSQSTSHFSFRSTTSKGSTKKEVIDMAKLHKMKQEKVSSWTMKILVDAVMNSRLSTISNSLDESFYDVENEQNDKEITNEMEATAAAYYVFRNVAASPSCKDIDEDELRRFLIKEEVPLVFPLLAQAETGLITRKSLAAWVLKVYQERRALAHALSDTKTAVKQLNKLVTGVLVVVTIVVWLLLMEIATTKVLVFLSSQLVLAAFMFGNTCKNIFEAIIFVFVMHPFDVGDRCFIDGVELLVEEMNILTTVFLKLNNEKVYYPNSVLAIKPISNYYRSPNMGDSVEFSVDFTTSAEKIGSLNEKIKRYLERNPQYWHPSFSLAVKEIENVNKIKMGLYVTHTMNFQEFGEKTKRRSELVMEVKKIFEELNIRYYLIPQGVHLRHMEPDSSYLK >RHN55078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14983963:14988525:1 gene:gene30206 transcript:rna30206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative six-bladed beta-propeller, TolB MGKLHFALTFLLLLLLTNVSAAPPLFSSISPAKIVNGFLSNAVPAFTKWVFSLKPTTKKAIAGKSMMKFESGYNVETVFDGSKLGIEPYAVEVLSNGELLILDSENSNIYKISSSLSLYSRPKLVAGSAEGYSGHVDGKLREARMNHPKGITVDDRGNIYVADIMNMAIRKISDSGVTTIAGGKLSRGGGHVDGPSEEAKFSNDFDVVYVGSSCSLLVIDRGNQAIREIQLRFDDCAYQYESGFPLGIAMLLGAGFFGYMLALLQRRLSTIVASQDMTLAESSAMSDFSPSPYQKPLKSVRPPLIPSEDESYKQEEGLFASIGKLLTNAGASVVEIMGFRKKPQSYEFQSQPLFHQPERQINAWPVQESFVITNEDEPPSIDPRTPTPKKTYPFMIKDTEKMQQLWQGRALYNGWEGDLQHQQQQQQKHVYNGWEGDLHQQPQQQQQQPQQKHLYNGWDGDLQQQPQQRQQQQQPQQKHLYNGWDGDLQQQQKHNYRNQYHSSVAHTYYEQSHEETNEIVFGAVQEQDEKESVVIKPLDYGDSFYDHHNMRSRISYIHKY >RHN50443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7174769:7175303:-1 gene:gene34726 transcript:rna34726 gene_biotype:protein_coding transcript_biotype:protein_coding MEADSWTILIQPIVVVELMDQVEKSDVDSSMPENVDMQSMRGKMVLELLKILLENVGAVFRTSERLNFQVWRHCNFPESKSRGYMMTNFQHILAFKI >RHN50710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9677279:9678352:1 gene:gene35032 transcript:rna35032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MDHYKVLGLEKTATKEEIKAAFKKLALQCHPDKHSQSPKYVQHNAKLRFKQVSEAYEVLMDDRKRAKYNYQSHAGGGGLCRYQCTEYYSKYGYGKSGSGYGDKTRSSGLNGGGGGGGGGFGDKFGTAIRNLTTRSSLLNLGYAAVVSNVRLLHRLYYKRLYYKLMSQCCLQRVYSDGIDLTENVEQSPNLDDSCVFKILLSATFVSLHVLYLLNLST >RHN78042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11474233:11474923:-1 gene:gene1557 transcript:rna1557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MGFRSLFHRKKKLQNTTSSSPTEVTTNTTFLSRTTSLQSRVQFTEDLEHVFRKFDVNGDGKISSSELGSIMGSLGQPATEEELDNMIREVDADGDGHINLEEFIELNTKDIDPNEILENLKDAFSVFDIDKNGSISAEELHNVMVSLGDQCSLAECQKMIGGVDSDGDGMIDFEEFKKMMMGSNFGSMEGTNQVDSET >RHN80567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39110880:39113062:1 gene:gene4507 transcript:rna4507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MPGLFVALSGGETVFGRPFCAEYTLEKEGFGDLLLTVVHPLHLQLLSENDCNNVTVLNDFKYKSIDSELVGVVGCDPWLLKTNSVFVTWHFINGVKEELHYEIVSVPLNDVEGLNSSAITRTSSYFYGKLIARLALIAEEVCFLDVIPKVKKYLKEIIEPWLDGAIKENGFLYDQEWRVVVTKQGCSDSSYDFGSEFYTSRLYHLRYFLDGIVILVNIDPCWGKKYKCRAYSLMQEFMNLSTCSNPNYTHLRCFDLYKFHSWAGGLKEFEKGRYQKGTSEAVIAYYSARLIGLEYGDANVVTTGPTLTALLSIRAQMWWHVREAMNMREENFRPGFWC >RHN38475.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000010.1:31202:38725:-1 gene:gene50666 transcript:rna50666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDEASVQKIQLLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVREVAAVMQEFTQSGGVRPFGVSLLVAGFDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISAKNIEIGIIGADKKFRVLTPAEIDDYLGEVE >RHN61174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33655151:33655521:-1 gene:gene23611 transcript:rna23611 gene_biotype:protein_coding transcript_biotype:protein_coding MACRFAFWLYISLVFRLVWAYLPFSPFFLTKPTSILLVRPIPTNRNSMILVSTPPSFATVIHDGKLKVATTPFLGLSTSGWLEYQLVAWKL >RHN72669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9581933:9584554:1 gene:gene8414 transcript:rna8414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casparian strip membrane protein MKVLDRRVRITELVLRFVNLGLGVLAVVLIVTDSQVREFFTIQKKAKFTDMKALVFLVVANAIAAGYSLIQGLRCVVSMIKGSVLFNKPLAWAIFSCDQVMAYITVAAVSAAAQSAVFAKMGQEQLQWMKICNMYGKFCNQVGEGLASAFLVSLSMVVVSCISAFSLFRLYGGSKIKNAYW >RHN38939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2919964:2925739:1 gene:gene44916 transcript:rna44916 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDTMTLITLSLVRDPNITLSSLFMFKYILNLFLLQVSFGHYLFSLLRKP >RHN71342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58405439:58406705:1 gene:gene20015 transcript:rna20015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MNAAEEEEAPPHQQPSDSPPPPPPPSNLSVNADADMHDAVVIGCENNDSAVNSTDEVAVDDAALAEGGEGQLHVMETASYGDGDGMQDDEEIAAEEKGTDVDLEPDNVEEVQVRVDNSDEAPLIGEDDEEKKEEEDEKEIQEGEHPQQNEDDEEQEQEQEDDEEEADPDGDGDVSLPDVEDKEADEETVVEVAEEEPSPSASAGKRKSGNGKNSKSSGRVPSKKKMEEDVCFICFDGGDLVLCDRRGCPKAYHPSCVNRDEAFFQTKGKWNCVYYGLVWCWLLLFSILGFLVWRSLLLFSILRFSSVSPLHFSILGFSLLLVFTF >RHN63678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53132759:53134883:1 gene:gene26410 transcript:rna26410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MENVSVLSNKEDEKMDLPPGFRFHPTDEELISHYLYKKVIDSNFSARAIGDVDLNKSEPWDLPFKAKMGEKEWYFFCVRDRKYPTGLRTNRATEAGYWKATGKDKEIFKGKSLVGMKKTLVFYKGRAPKGEKSNWVMHEYRLEGKFSVHNLPKAAKNEWVICRVFQKSSAGKKTHISGIMRLDSLGNEFGCSVLPPLTDSSNSIGKIKQLNDSAYVSCFSNSIDVQRNQGGIFDSFNNSIYGISSHNQLDFFPRISSSNGSLYSNNQAAVNLQYPSSVCGMQDQAILRALYENNGFKTERQQQIMSVSQETDLTTDMNAETCSVVSNLDIGRRTFENNQNRPPPASVAAVDFDGNLWNY >RHN38652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1025625:1026214:1 gene:gene44610 transcript:rna44610 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIYCEMYANFCSHLASELPDLSMDNEKINFKKLLLNKCQEEFERGERQQKEANKVDEAEAKVEVNLSNEEREQRRTKERMRMLGNIRLIGELYKKKMLTERIMHECIKKLIGQGQYPDEEDVEYPDDEDMASKEES >RHN77360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6303180:6305156:1 gene:gene806 transcript:rna806 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMIQSSNMDTFNFNSGTMSSPYLSPPSSPKRFGEFYLSAPSSPSRLSELYSEMDYLSIIDQTSSNNKNSNVVDEDDDSQGGFAFSVNHESNKSSTRSAEELFHGGKIKPFTNEEAKVVVPKKQQKVQDERRGRERERIESSLNNSGRRSSRSHSPYRKSNYISELEEQNSQKQQQPRSNKEESKISNSSTGPGSISKGSRRWKLSDLLLFRSASEGRGSSKDPLKKYFVGYKKNTSEEVKGSSSFRSSDSFSHHGSRKKAQVSAHEMHYAMKKAESQDMKKRTFLPYRQGILGRLSGFGL >RHN41301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28980366:28986893:-1 gene:gene47608 transcript:rna47608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) chromatin regulator PHD family MEAKSGLFAGSLNSNELVVIQKQNEPKAVKNLDGQDCEICGDSVGRTVEGDLFVACEECGFPVCRPCYEYERKEGSQNCPQCHTRYKRIKGSPRVEGDEDEEDVDDIEQEFKMEEEKYKLMHQDNMNSIDDDDTKYREQPLYSHSIGENYGAKLDNKEKTDEWKQQGNLLIETDAVDPEKAMKDETRQPLSRKVAIPSGRLSPYRMMVVARLILLLLFFEYRISHPVPDAIGLWFISVSCEIWLALSWIVDQIPKWFPIDRETYLDRLSVRFEPENKPNMLSPIDIFITTADPIKEPPLVTANTVLSILALDYPANKISCYVSDDGASMLTFEALQETAEFAQKWVPFCKQFSTEPRAPEKYFSEKIDFLKDKLQPTYVKERRAMKREYEEFKVRINALVAKSMRVPSEGWSMKDETPWPGNNTKDHPSMIQILLGHNGGDSEGNELPSLVYISREKRPAFQHHTKAGAMNALLRVSAVLSNAPFVLNLDCNHYVNYSKVVREAMCFFMDIQLGNSIAFVQFPLRFDSLDRNDRYANKNTILFDINLRCLDGIQGPVYIGSGCIFRRKALNGFDPPKASKRSRVVQVHSKQDENEEDGSIIEATDEEKQPLQLDKDTENKFGKSTLFMNSSLTEEGGVDPSSTQEVLLKEAIHVMSCSYEDRTLWGYEVGMSYGSIASDILTSLKMHTRGWRSVYCMPKRAPFRGTAPINLTERLNQVLRWAVGSLEILFSHHCPIWYGFKEGRLKLLQRIAYINSTVYPFSALPLIIYCIVPAVCLLTDKFITPSVGTFASLVFISLFISIFASSILELRWSGVSLEEWWRNQQFWVIGSISAHLFAIVQGLMGRFLGRFNAHFNIVSKAPDDDGEFNELYTIRWTVLLIPPTTVTIFNIIGIVAGFTDAINSGEHEWGALIGKLFFSSWVIAHLYPFLKGLMGRQNRTPTLVVIWSVLLASIFSLVWVRIDPFVLKTKGPDVKQCGISC >RHN71644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1616105:1617972:-1 gene:gene7265 transcript:rna7265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo-like helical protein MVSHSSDGVSDNAMVTGFQCIKFIFVAAARHCIDIATHQHGCCVLPKCIRYSSGEHRQRLVAEISANALLLAQDKYGNYVVQYVLDFRIPSAATTLTRQFEGNYVHMSMQKFSSRVVEKCLVVFNDENRAKIIHELLSDPHFDLLLQDPHANYVIQKALRHSEGHVYNLLVEKIESYKAICRNSPYSKKIFSHKLLKK >RHN52546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36537486:36541432:1 gene:gene37235 transcript:rna37235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LysM domain-containing protein MSPSNGLCNGGGSGGGVNGNGLSYIEHHVSKFDTLAGVAIKYGVEVADVKRMNGLASDLQMFALKTLRIPLPGRHPPSPVPDEPAKLRENSSERRPPRNGQSAMKEPLQSLRLKPPKQKISPAMTILQKYYGLDSSNSRDTSGETELAMYTSSTSDHSRDDWLPKPSPIPNHHSKSTNLPFDLLTGNDEVSDYMCFTDISDGGGDRSDEKSVRRRQKADVDNGGTTPERLFKEGNNSNGSNGSSSNGKTFSMRPKSASRASLFPESSDSGWLDSISVGLGDSIFVDGLSGVRKSSSASSLREQEKYNSAATAWPTISKPIFDGLPIPITGRRSKTALD >RHN45892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26556876:26557577:1 gene:gene40316 transcript:rna40316 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYSIVLLLLGMMMVTTFVGAGRFNMFGYPDYYPVDLEDDWFEVLSDPSDIIVGGKPKSLARPPPIFDPEDDLSDMDSLH >RHN68946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39789837:39790618:-1 gene:gene17369 transcript:rna17369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MQETQGRVICVDDILTSACGVCLQWWARILSCGALFAYAIYVSNSPYNDADMEKICRKAVELSCGHLEVIDIERFCTNDLLKCIADNGSHLLCMRLVNCWGMTNKGFSEALRKLPLLEKVDISHSYLTEVSLEALGRSCPLLKSLKFSVGWFASRESDKMAFVIAETMPGLCHLDMKGHKLSELRVLAIIDKCPLLESLDISVCLSLYEDEDLHKSCIDKINDLQLTYCYTYKPMRQLI >RHN82648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55436396:55439574:1 gene:gene6825 transcript:rna6825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP 3',8-cyclase MFARRIVAAVAAASPRSKRIFSTSSSYSVTHDYQTSIQELNKEMESVFGDFPPDGLPSSVSNSPANDEPHLSSRNIGESSFELTHTGNSGEAQMVDVSPKESSKRTATAVCKVKLGKKVFDLVLANQMEKGDVLTVAKIAGIMAAKQTSNLIPLCHNIGLTHVQVDLRLNHEDFSVTIEGEAASMGKTGVEMEAMTAVSIAGLTVYDMCKAASKGISITDIRLKHKSGGKSGDYSWGQ >RHN72892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11576716:11577942:1 gene:gene8658 transcript:rna8658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSFRHLCPSHSQESLDNILVELYKRSGRVDEEIGMLHQTLKQIEDGMTYVGRTTKHARSQGKKIQISAEQEISRILGNMAWAYLQKGDYKTAEEHYRKALSFEVDRNKQCNLAICLMQMKKITEARILLQAVTTVTKNRKMDDSFVKSYERATQMLQEMESTGKGDNEFNETQEIFREEYIITILHTEFGELCWKEH >RHN46317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30671421:30676596:1 gene:gene40797 transcript:rna40797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sphingomyelin synthase-like domain-containing protein METGRYMVSSTTSLSTTTSSSSSSSSSTIYNYKKNMSLYIGREASKLWKRVCAETTTEINLLVENWKYLLAGLVFQYIHGLAARGVHYLHRPGPTLQDLGFMLLPELGQERAYISESLFTVIFLSFFLWTFHPFIFKTKKIYTVLIWCRVLAFLVASQALRIVTFYSTQLPGPNYHCREGSPLATLPHPKSVAEVLLINFPHGVIYGCGDLIFSSHMIFTLVFVNTYQRYGTKRCIKQLGWALTVIQSFLIVASRKHYTVDVVVAWYTVNLVVFFVEKKLQEMPDRTAATLLIPLSTKDNKDGRNKEENHKLLNGNTVDPSDWRQRTQANGKILEDGHAHHADSAKNGA >RHN59835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13860497:13863275:1 gene:gene21996 transcript:rna21996 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLCMKGFKEDYYYWSSHGEQRPSVMPVVSNNSYYGSIGIREDFNNFEQMVMDAAGPSLGYYLEQEEFACPEQIREDPDPQAESFFKMLKAAQAPLYNGCESYSELSAAIQALSIKSDFNNSHNCFNKWVEFMGKALPNDNRMPKNYYRAKKSMEKLGLGCIKIHCCPNGCMIYYYPEDKNLRNCKNAAKTVTKVSLEMVRLEMFL >RHN77066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3729399:3730115:1 gene:gene476 transcript:rna476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ctr copper transporter MMHMTLYWGKNVTLLFDSWKTNSWTSYLLSLIACFIIATFYQYLENLRIRLKFFSGEGRTTPVPEIRTPLLGLNKVSRNKVVESVLFGVNSAIGYLLMLAIMSFNGGVFLAIVVGLTFGYFLFRSQGDEEAAVVVDNSCACA >RHN75296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41059190:41064864:-1 gene:gene11474 transcript:rna11474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like, endoplasmic reticulum vesicle transporter MMFLFGMELNEYLSVHTSTSVIIDKSSDGEFLRIDFNLSFHALSCEFASVDVSDVLGTNRMNLTKTVRKFSIDSNLRPTGSEFYLRPSTNVIKHDDKVDDESLEGAFVFTSNNFDKYSHQFPITAVNFYAPWCYWSQRLRPSWEKTAKIIRERYDPEMDGRILLGKVDCTKEADLCRRSDHGHHEHESYYGDRDTDSLVKTMENILASFPSEYYKLALEDKLNVTEDSKRPAPSSGGCRIEGYVRVKKVPGNLIISARSDAHSFDASQMNMSHAVHHLSFGKKLSPKLMSDVQRLIPYVGNSHDRLDGLSFINSHDFGANVTLEHYLQIVKTEVITRQGYQLVEEYEYTAHSSLAHSLHVPVARFHLQLSPMQVLITEDHKSFSHFITNVCAIVGGVFTVAGITESILHNTIRLMRKVELGKNF >RHN80470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38351434:38351907:-1 gene:gene4402 transcript:rna4402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MVRKKVKLAFISNASRRKETYKKRKKGIIKKVRELTILCGIPACAIISDPFDSKTEVWPNLDGVKQVLERYQKSYMKDDKKNVNQETFLLQQITKAREQLRKLTQDNREKEQKIRMKNYMQNMPDDLTVSDLKELDKIIEKNMKELDEKIVALSLSD >RHN40171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13831042:13833522:-1 gene:gene46285 transcript:rna46285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MAQFQGDLDHEGIDYMFKVVMIGDSGVGKSQLLNRFVRNEFHLKSKATIGVEFLTKTVLMDHKLVKAQIWDTAGQERYQAITTAYYRGATGALLTYDITKRHTFNHIEKWLDELHNHADKNIVVMLVGNKSDLSSIREVPIEEAEDLAKQKGLFFIETSALDSNNVEPAFLGLLSQIYVTMSKKHITADGRERNWDKVNLEFEGTKLLVSSQEPECQKSKKRFSCCSVF >RHN81836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49247103:49247364:1 gene:gene5928 transcript:rna5928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain-containing protein MVKLTIVGRANDGLPLAQGLRYVNEENGYLSRYRQQAEFILQEISKGALTPSMMTILIDHCCFKYL >RHN48167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45537419:45537970:-1 gene:gene42854 transcript:rna42854 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYSRIILFKVLLYVLIIFNIFVTPQSGGVDCRPLSLNYYQWSWDHGLILQSLPNGKAPGSRGGDPTHP >RHN71096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56463332:56464104:-1 gene:gene19739 transcript:rna19739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MIFQYPLFLLFLKPHVNLICYNKLKHWICTQRSWKRPYGVGLLVGGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAMTYLECKFNNFTASSREDLIKDALIATRESLQGEKLRSSVCTIVVVGVGEPFHILDQETVQQLIDTFEIVREEESAPAEPKPAADRDSATDQGSAAEQGGAENQGGSPMDI >RHN81551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46945229:46946614:1 gene:gene5616 transcript:rna5616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MEADSEEDELLNLSLSVNRERKKKGKIIISRENNNMMSTNRNSYEGYEGKIFHLLQMREQMLRKSTLNIEDSNGLPLIHLLLTTATSVDENNLDSSLENLTDLYQTVSLTGDSVQRVVAYFTDGLTAKLLTKKSPFYEMLMEEPTIDEEFLAFTDLYRVSPYFQFAHFTANQAILEAFEKEEEKNNRSIHVIDFDASYGFQWPSLIQSLSEKATSGNRISFRLTGFGKNLKELQETESRLVSFSKGFGNIVFEFQGLLRGSRVINLRKKKNETVAVNLVSYLNKMSCLLKITDTLGFVHSLNPSIVVIVEQEGSKNPSRTFLSRFTDTLHYFAAMFDSLDDCLPLESIERLRIEKKVFGKEIKSMLNNYDDVEGGVDCAKYEKMETWKSRMENNGFVGMKMSSKCLIQAKLLLKMRTHYCPLQFEEEGGGGFRVSERDDGRAISLGWQNRFLLTVSAWQSL >RHN49458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54941681:54942262:-1 gene:gene44291 transcript:rna44291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSLNSSFTFIKFLPFQNPERENKNFSFFQISSSLFFHIDQFLFLFHSSSHFNCSILSFLSNSSSSLSERENLRYDVDTIMVEEEEAPQGLHEFVINLILSFIYNTTTKSEQETCSVCLTEFKDNDHVRTLPLCSHIFHHDCIDVWLRSNTNCPLCRSLICCHLCCLLTSIANKDGRVDFPVLPPYSFASERSL >RHN80846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41266715:41269957:1 gene:gene4818 transcript:rna4818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative universal stress protein A MAGITENGRRIMVAIDEGEESIYALTWCLKNLVFQNSKDHLILLYVKPPRVVYSAFDGTGYLFSSDITATMEKYSQQVADCVLEKAKIVCNDVQNVETRIENGDPRDVICQAVQKMGVDILVMGSHGYGVIKRAFLGSVSNHCAQNVKCPVLIVKKPKSTTGGDN >RHN80056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34664963:34668154:-1 gene:gene3932 transcript:rna3932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-beta-hydroxyhyoscyamine epoxidase MEKLISNWSNVQSVPENYIFPLETRPGEDLNIPVNNNIPIIDLNEAQNGDRDHTIQQIIKAAQEFGFFQVLNHGISKNEMKETMSIFKEVFEMRYEYKKNLYLDDDLKTCKIFTSSLRYESEKVHLWRDSLRHPSHPLEQWIHLWPENPMRYRECVGDFSIKIKELGSRILDLISKGIGLKCGYFENDLAGSMITSINHYPPCPEPSLTLGLPKHKDAYLITILMQDDVSGLQVLKDGNWINVEPLTHAFVINIGHVLEIISKGKLKSAEHRAVTNSTYSRTSAAFFIAPSDDCLIEPAQDLPDDNNQPALRSFKYKEFLKQFFDTNGDTDLLLKPFEVPNN >RHN74954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38127407:38129255:-1 gene:gene11095 transcript:rna11095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MTVISTMFDSSKPVLSAVASIALMRTVTNELIPREVLNFVQSGLHHVFRQFNAQFTIVIEEFQGMTRNQVFEAAEAYLGTKATVSAERVKATKSMEHKKLSFNLDRDEEVSDVFEGVSVKWKLICIQVDSSRVRHFDRGSSPVSEIRSYELTFHKKHKNKIIDSYLPYVMEIAKQIKQGIVTLKIHSNEYNRWCHDPIKFNHPMSFTTLAIDEELQREIKNDLDKFVRAKEFYRRTGKAWKRGYLLYGPPGTGKSSLIAAMANYLNYDIYDLDLTNVGDNKSLKQLILSMSNRSILVIEDIDCSVKLQNREEDEEVVHNGHNNMTLSGLLNAVDGLWSCCGEEHIIVFTTNHKDRLDPALLRPGRMDKQIHLSYCNFSAFKQLVVNYLCITEHELFEKIEVLLGEVQVTPAEIAEVLTKDVDATECLQDLIKFLQAKKMVQEESKREENSKEEQDLGI >RHN51898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27942222:27944438:-1 gene:gene36461 transcript:rna36461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVGKKMVEILKFVYIILLFISLFLVVINVDGKHDYHMYFQRIPCPEDQNLYCSQIECWCK >RHN40297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15062169:15064294:-1 gene:gene46437 transcript:rna46437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol-polyphosphate multikinase MVKLKIPEHQVAGHQAKNGILGPLIDDSGKFYKPLQDDERGSNELAFYTSLYSDPRIPTNILKFFPSFHGTQTVDASDGSGLHPHLVLEDIVSNYTNPAVVDIKIGSRTWHPQSSEDYIRKCLQKDRESSSIKLGFRISGLRSVGPTNQLWQPHKKFLMDLSVDDVMLVLRKFVSSDGNVDEPDCVFGSRVFVPILEELLELKKWFEVQTIFHFYSCSVLVVYEKDEKEDEKKSASAVVKLVDFAHVVDAKGAIDHNFLGGLCSLIKFVKDVLTGLSDVQTSNPKA >RHN45864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26378187:26379236:1 gene:gene40286 transcript:rna40286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MPGRVTLPRSIFSCRTLVSLHLKGLKVNDISHGVVDFPLLKTLHLSSVLFERFEYLVEILSGCPILEELQAEDLSVDNVEWVFIQENSVIVKKFISLLPNLIRVSITKSPSYLMNLVTLLCTEAQILRAELDVENEEEVVDNWVDPTTIPKCLSTQLKTCLLKGYKYTDCELQFAKYIMQNSKVLKTMSIKSTSSVDTNAKHQILKKLASCTRASSTCKLLFD >RHN59804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13432795:13433313:1 gene:gene21960 transcript:rna21960 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTLHTKLSKFSRPHRLGKIQKKILRSLIPSTAIIGIMSKLGHISSGNKIKPTKLVPWQEYFGPIHQIFPSEVQEEFQVFNKRFKKDLDNLPIELNFFAKFSLTWIFSWQYKYGQSSNQMFYQCYKNKLLSSGGPVLIPQKQLKKVSTYGSKLIQNICRLQIQTRVNFSIK >RHN58639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3565469:3569458:1 gene:gene20566 transcript:rna20566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MEVEYAMEAEYAMEAVKQGPAATDLRSKTHSAAIGLRSKTHVVLACVNKANSELYYHQTKIFKIDDHIGVAIAGHGRVLSRYMRSECVNYNYTYESPLPVGRLVVQLANKAQVCTQRSWKRPYGVGLLVAGLDESEAHLYYNCPSGNYFEYQAVAIDSRSQAAKTYLERKFNNFTASSREDLIKDALIATRESLQGEELRSSVCTIAVVGVDEPFHILDQETVQQLIDTFEIVREEEAAPAEPEAAAEQDAATDQASGPDQGGARNQDQGGSPMGI >RHN50425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7041111:7044562:-1 gene:gene34707 transcript:rna34707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L30e MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRNNKGKLIIIANNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYYRVCCLSIVDPGDSDIIKTIPGDQ >RHN45818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25965509:25972642:-1 gene:gene40232 transcript:rna40232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylinositol-glycan biosynthesis class S protein MAEISDSPPEPKTTDENPQTPIADSASQFDSKTMRKTKPGVKRLFITLTVLISFILGFPLLWKSIEIYRAPLPFDRIESFSSKIESSPLLFPCKFRAIFIGFNFRGSNNELRDAIRSKMIEFNRGNSQCGCNGDYTVSVVERSDEINAVDFGGKLRRNDEEADELVKNMVNVYGEEKVYSVVVVNGEDEVKAVIGKYRHGWIVGKVLEEEAVLRVAEIFGKVFVNGGSEDGLIRSEFMPVGSDGRIVLSFSLLNADPRDWVYDWDFGQIDETLLKPVIEALQPIADVTVESQVLYHTPKSSFSSWDDEHGSHIFSTQDLPFFVNSNEWHLDTSVAAGGRSKVLQLVVYIPSAKECPLQLELANGEISKTNGFISPMWGGVVVWNPQSCIKDLESKDPVRHMISPQDLQKLFEVLMGQLRQLLGLKSDDLYVGESGTSILLGSERGFTEWELDVLSRKHFCFNLLSCATALGSLSRLVQSLPRMIIMDEIGKQVKFSLEAAKSAQNNATIGMYDASAVSSRQSRSLAEDAFFHPSIMSISYYSFEHCFAIYSPFFLPVTMHILLAVLREWKRYKQENRKYLAWKGIAKAKA >RHN56864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34296485:34300286:-1 gene:gene32324 transcript:rna32324 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKENGVTVEQESFNKKKIYDVVQSLILEPCDTANRIKTSLSPYIPEASRNYTRRVLRWSRQGSSLRPLLLISVGTIALVALTGLLTFMLLLLVATINAIIVSLFISLAVAGGFLALFFALVTAIYIGALSVAIFAISTVVFWTTVAILITAGWVGFFCTAWLIASKSLGFAKHSFSVSGSAISNYSAAWGSRNLSHKHSD >RHN46350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30917940:30918386:-1 gene:gene40832 transcript:rna40832 gene_biotype:protein_coding transcript_biotype:protein_coding MAANALDDQGVQVPLTFMVDKERKKVLYAEAGKDFVDSNMEAVRFGSISSLYLSVSDLDAQYLWSNTCRKMLLNPRNSMEAYCQKMKLNIDETECLQFFLCDDDSCQRKNGYYMQVLLEIKTAFVENY >RHN67535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28049304:28053162:1 gene:gene15733 transcript:rna15733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative equilibrative nucleoside transporter MVTLQYDNTNVTESTILLLPSDSEASGTKKKVPEDTWNLVYIVYLTLGIGYLFPWNAFITAVDYFSYLYPHASVDRIFALVSSLSSLVGLFLIILNRHKSHAYVRINVGLALFVVSLVIVPLLDVVYVKGTVGLYNGFYVTVAAVVVSGVANALVQGSILGSAGELPERYTQAVIVGSAASGVLVAFLRIFTKAVYTQDASGLQKSANLYFSVSIVIMFICMVLYNLAHKLPIMKYYDELKNQAVAVENDNGPLTGSVWRSTVWNTMGTIKWYGFGIMLIYVVTLAIFPGFITEDVHSQHLKDWYPILLVTGYNVFDLVGKSLTAVYLLENAKIAVGCCISRLLFFPFFLGCLHGPKFFRTEIPVTVLTCVLGLTNGYLTSVMMILSPKTVKLQHAETAGIASVLFLAFGLAAGSIISWFWVI >RHN45466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21192579:21194210:1 gene:gene39811 transcript:rna39811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVFLFSNLQSKKLLPFWLLLSTCFCAFTTPTSTTSSATLQSREASALLKWKISLDNHSQALLSSWSGNNSCNWLGISCKEDSISVSKVNLTNMGLKGTLESLNFSSLPNIQTLNISHNSLNGSIPSHIGMLSKLAHLDLSFNLLSGTIPYEITQLISIHSLYLDNNVFNSSIPKKIGALKNLRELSISNASLTGTIPTSIGNLTLLSHLSIGINNLYGNIPKELWNLNNLTYLAVDLNIFHGFVSVQEIVNLHKLETLDLGECGISINGPILQELWKLVNLSYLSLDQCNVTGAIPFSIGKLAKSLTYLNLVHNQISGHIPKEIGKLQKLEYLYLFQNNLSGSIPAEIGGLANMKDLRFNDNNLCGSIPREIGMMRNVVLIYLNNNSLSGEIPRTIENLSDLQSLTFSENHLSGHIPLGIGKLRKLEYLYLSDNNLSGSIPVDIGGLVNLKDLRLNDNNLSGSIPREIGMMRNVVLIYLNNNSLSGEIPRTIENLSDLQSLTFSENHLSGHIPLGIGKLRKLEYLYLSDNNLSGSIPVEIGGW >RHN58628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3451592:3451987:-1 gene:gene20555 transcript:rna20555 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMCSTPYTMNLDNCNCLGTRNNIWSRYEKIGHEPIVCVNEFVTRTKMTRLKTLWKKINRQKKRRIFRSSSPVFLYDRCSYLQNFDDGYIDPDNFSRSLSARFATPPSKIFVKNIDLMDDRDMLEIDDES >RHN43192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43914356:43914889:1 gene:gene49732 transcript:rna49732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane attack complex component/perforin (MACPF) domain-containing protein MNHSEWLDTIDTEPDVISMHLLPLTTLLSGIRGVGFMSHAINLYLRCK >RHN61304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34673744:34678607:-1 gene:gene23752 transcript:rna23752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-1-phosphate adenylyltransferase MVSTCVNFKANNHVANLRKDSIFHQDNGFLGERIKVGVNYSPWIGNQFGISLRTKERVKKAKPGVVSAVLTSNDATETKTFQVPSFIRRKADPKNVASIVLGGGPGVQLFPLTKRAATPAVPVGGCYRLIDIPMSNCINSGINKIFVLTQFNSASLNRHIARTYFGNGINFGDGYVEVLAATQTPGEAGKNWFQGTADAVRQFTWVFEDAKNTNIENVIILAGDHLYRMDYMDLVQSHIDRNADITVSCAAVGDSRASDYGLVKVDSGGRIIQFSEKPKGADLKSMQADTSLFGLSNQDALRSPYIASMGVYVFKTDVLLKLLKWRYPTSNDFGSEIIPASVKEYNVQAFFFGDYWEDIGTIKSFYDANMALTEESPMFKFYDPKTPIFTSPGFLPPTKIDKCRIVDAIISHGCFLRECSVQHSIVGERSRLDYGVELQDTVMMGADYYQTESEIASELAEGKVPIGIGSNSKVRKCIIDKNARIGKDVIIMNKDGVQEADRPEDGFYIRSGITIVMEKATIEDGTVI >RHN39326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6058970:6061719:-1 gene:gene45336 transcript:rna45336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLPTSFKNLSRLRILYLDNCKKLGCLSEVPPHIEELHVNNCISLVKVSSLKALSHSMKGWKKEISFKNTIKLDAPSLNRITEDVILTMKSAAFHNTIIVYDLHGWSYNGVHFWLPGCTVPSQFKFRAIGSSSSITIKIPPLSKDVGFIYSVVVSPSFQMEEHGNNLEIRFKYYSESGDLNFINSHSIKDVSLDHVFMCYNEPHFIGNAFEFSVTNLSGDLNGSYILKECGIYPIYYSEFPRLAATMNLDRDVEKKIESRCSWWFRSDLNESMQFESDSIERYVDDDDERKLGNEIDDSIERCDENVSACIQKSQQDSDSNEKRSCSSYECLMGPLIYLWRLIRRT >RHN65759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5077384:5077856:-1 gene:gene13646 transcript:rna13646 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFFFSILMLFLVALMIVPQGFANSGYLKPDPRYSYRPQYPPGTPTCDPNNRGYPCRRGGPPTHL >RHN52879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39853981:39855137:-1 gene:gene37611 transcript:rna37611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arabinogalactan peptide, AGP MRTMNIFIFPLLTILFMAISHMGHAQNFDISPAPTPTSDAQSLDQVIAYSLMVVALVITYMLH >RHN64429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59025933:59027981:1 gene:gene27245 transcript:rna27245 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGKVCQNYAGCPDSDFQCCSICSNSGHHGKCEGNKCCCDAFTPMQDSNM >RHN78307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13927794:13930455:1 gene:gene1846 transcript:rna1846 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTRFPFIKCQLINTESHSLSCQLRIKQKKLQHSSMAKT >RHN67916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31404955:31410911:1 gene:gene16171 transcript:rna16171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MMFQRHADLRKVSTKDLLEKGRKISNECKRLPVAIAAIASSLKGKQRREEWDVALKSLQKHMSMHGADDELLKIFKCLQVSYDNMKNVNAKRLFLMCYVFREDEVISIEKLTRLGIGRGLFGEDYGNCKDARIQIIISKNKLLDSCLLLEYYLSNVKMHDLVRDAAQWIANKEIQTVNLYYKNQKAKVEREANIKYLLCEGKLKDLFSFKLDGSKL >RHN42519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38994317:38994718:-1 gene:gene48967 transcript:rna48967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MAAPLIIRSPATLTMTLMAAWLVLCSTASRMVGGKTEVANVRTNDEVQELGRFAVEEYNRSVKIWKEGEGELRFVEVVEAQQQVVSGIKYYMKIWVTQAKSDGVGDPTMFDSVVLVKPWLNSKHLLHFAPSPQ >RHN59498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11358505:11367875:-1 gene:gene21535 transcript:rna21535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQMRKNMAQILFYVYALLILFSPFLVARIMVVNPNNPCVTDADCQRYRHKLATRMVCNIGFCLMDFTHDPYAPSLP >RHN48845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50660540:50666097:-1 gene:gene43604 transcript:rna43604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MSNLLSSWLWLSRFRSSTSKYSFYRYRTLHNTHLKNSPTSSNLLNSHTTTKKNPCWSIPLFLALSAGSLCLQPHSHPSFSDSPLTHTDNDTDTDTRDVSLGGKGSTQYVVKGSQKEFPQELLEELKIICEDNVSLDYDERYIHGKPQNSFHKAVNIPDVIVYPRSEEEVSKIVKSCNNHKIPIVPYGGATSIEGHTLSPNGGVCIDMSSMKRVKALHVDDMDVVVDPGIGWMELNEYLEPYGLFFPLDPGPGASIGGMCATRCSGSLAVRYGTMRDNVISLKVVFANGDIVKTASRARKSAAGYDLTRLVIGSEGTLGVITEVTLRLQKIPQFSVDILTLLYSFLLLSVAILPPLFTLSRYHIGSLS >RHN43685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47701754:47705805:1 gene:gene50299 transcript:rna50299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein Ist1 MLDGLLGKTFSAKCKPLIKMTKNRVEVIKRKRKATEKFLKKDIADLLHSGLDINAYGRAEGLLVELILSSCYGFVEKSCELVLKHLSVMQKLSGCPEECRVAVSSLMFAAARFSDLPELRDLRQIFQERYGSSVECFVNQEFAANLNSKSSTLEKKVCLMQEIASEFSINWDSKAFEIRMSRPSAFAQGQSASICNNLFDYDKSSVSKDFCQKDAKNDVILENGKEAVILKDLNRDYHELQYKSTFPGNGFKPLNGREVLGKKDGHDNSLTGKQEVTTTKSDRSYWKEGNMLKPIGRSFQDKTLEKFEDGFKLHDSLGNMTPPRKSQDTATSTRIGSRFRSNVKEPCAGPPDTDKSERKVQHDETPMLKPCFSNVIPPPYVKHVSKNQNRTCGVNVVSPHTDSDGFFTCPSVHENSDAASMSERTQIGLNKSDLDWQASRHERLSKHSPKKELYFREDSKEIPKLKAKSTRRKHSRSRTSHYDAPNVDTELVRKARSRSRRRSESRRGLRNLFVDEQHQNAEEERVIDKLLIHYSKKPSILKPEIVRKKSNSHHEHKMDNSTRELLQNGDGDGSDETPEIVTLPLRSVSLPREQTRVIEAKKDFVRAASFQPDRSYETRHVHPKLPDCDDLATRLAALKGR >RHN68075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32608310:32612483:1 gene:gene16368 transcript:rna16368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MAPVSLPPGFRFHPTDEELVSYYLKRKINGRRIELEIIPEVDLYKCEPWDLPGKSLLPGKDLEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNAQTRAVGMKKTLVYYRGRAPHGSRTGWVMHEYRLDERECDNPSSGLQDAYALCRIFKKSTVIAPKIGEQYVNNVARHANHITSDQSSSIELYSEGRDEVIGTSNYLMPWDPSTSQNNGTNTLNNNGGNITRDDGIWTQFLSEDLLSLPISSSFPNYGSTPNYPPSKVDIALECARMQHRFSLPPLEVEDFPQVGMNSELKMTQLVSGSMSGTRNETDILQEILSVAQASQELINQSNYSHAFGGNENYAADHENDFTFMVGNNYNHVTDHMNSMRYIDDKAWEDPNTRSIEIGDLDNEFKAERMVENLRWVGMSSNDLEKSFMEEHQKVVPIEDISTFKTNRKENEVQVESEQQNINKEINDGNIDNFSMGFINDNDTNENFIDDSNIDYSNSTSYEVVEETTKVSHGMFVATRQVADTFFHQITPSQTIKVQLNPILENKKSIENAETMIIPKNQGYSLLRKFKANLMKYMKNPSKIIASAIVFIFALFLVLCVYLKEQVEDMKPKSEYVKRKYSYGANSMKKIIWNEQEKIWFVGIKSGKGFEVILKKIGIFLTISLALCTMWANHMIVCY >RHN71150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56792729:56793068:-1 gene:gene19799 transcript:rna19799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MYMYFEKKALKEQKMKQEEKYMWVIVDGVKEKVYSSLPLSLPNQTLSPVFLENMLSVFCLEFVQLCEYTLPYIELACSMN >RHN80584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39203519:39212879:-1 gene:gene4524 transcript:rna4524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein O-GlcNAc transferase MESGDFNRALQYYKEAVKLKPSFPDAYLNLGNVYKALGMPQEAIACYQHALQTRPNYGMAYGNLASIHYEQGQLDMAILHYKQAIACDPRFLEAYNNLGNALKDVGRVEEAIQCYNQCLSLQPNHPQALTNLGNIYMEWNMVAAAASYYKATLNVTTGLSAPYNNLAIIYKQQGNYADAISCYNEVLRIDPLAADGLVNRGNTYKEIGRVSDAIQDYIRAITVRPTMAEAHANLASAYKDSGHVEAAVKSYRQALILRTDFPEATCNLLHTLQCVCCWEDRDQMFKEVEGIIRRQINMSVLPSVQPFHAIAYPLDPMLALEISRKYAAHCSVIASRFSLPPFSHPAPIPIKQEGGYERLRIGYVSSDFGNHPLSHLMGSVFGMHNRKNVEVFCYALSPNDGTEWRQRIQSEAEHFVDVSAMTSDTIAKLINEDKIQILINLNGYTKGARNEIFAMKPAPVQVSYMGFPGTTGATYIDYLVTDEFVSPLQYAHIYSEKIVHLPHCYFVNDYKQKNQDVLDPNCQPKRSDYGLPEDKFLFACFNQLYKMDPEIFNTWCNILKRVPNSALWLLKFPAAGEMRLRAYAAAQGVQPDQIIFTDVAMKGEHIRRSSLADLFLDTPLCNAHTTGTDILWAGLPMVTLPLEKMATRVAGSLCISTGLGEEMIVSSMKEYEDRAVSLALNRPKLQALTDKLKSVRLTCPLFDTNRWVRNLDRAYFKMWNLHCTGQRPQHFKVTENDNECPYDK >RHN41426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29913050:29918895:-1 gene:gene47744 transcript:rna47744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterile alpha motif/pointed domain, peroxiredoxin-like FAM213/AAED1 MHIYMITTSLIQINHSKLEKRMSSFSIEEFIGNGVLKELLPKLLDEGWDDVPTMKVMDSDDMNSIKMTQRQKDSIGIRAYLHDRGLMQYADKLEASGKNLSELMSLSSMDLSTQFDMKRGHIVRFIDRTIDESFKLRGIMARRRSSLMYRHESIPNRLASNGSNSMMRMQMRSNTISDRSFEQSLTELKIKDGYVFKGIVASEPADPRACGCVQPPPVSDQVAPYVAIENISVQKITPEYKIGMEPLVKMKTPPMKAAELWRDKPAVFLCLRRPGCIMCRAEAHKLFSRKPIFDALGVQLFVVVHEHIESEIKDFWPRYWGGGVLLDRGRDFFKALGGGKLLKENFFSGFLLNPRAICNYKRAKATGFQKNFRGEGEIKGGLFIVGSGRTGIAYQFIEMNFGDWAPIAEVIEICTQLQKQQQDLSVREEP >RHN61901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39220619:39220856:-1 gene:gene24413 transcript:rna24413 gene_biotype:protein_coding transcript_biotype:protein_coding MNCITKGLHDISGIELVAVVEQPLGLHLEVKNCGYHWIFKEDLEHLNAQMMHSRSGNSSVQIKYVTNA >RHN40077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13040810:13046363:1 gene:gene46173 transcript:rna46173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arsenite-transporting ATPase MEDQPLPEGTLQNILEQETLKWVFVGGKGGVGKTTCSSILSILLASVRSSVLIISTDPAHNLSDAFQQRFTKTPTLVNGFSNLYAMEVDPTVEHEDMSSSDGMDNLFNELAGAIPGIDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPSVLEKGLAKVMSLKNKFGGLFSQMTRMFGMGDDFGEDAILGKLEGMKDVIEQVNMQFKDPDMTTFVCVCIPEFLSLYETERLVQELTKFEIDTHNIIINQVIFDDEDVESKLLKARMKMQQKYLDQFYMLYDDFNITKLPLLPQEVTGVEALRSFSRHFKTPYESICSKDQVERLENRVTALQQQLKEAEEELERVKTGKNKD >RHN61878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39095645:39102328:1 gene:gene24388 transcript:rna24388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LSM domain-containing protein MSGTEKSGSGTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLAEGA >RHN58598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3114469:3119280:1 gene:gene20522 transcript:rna20522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-fatty-acid--CoA ligase MSILNIYEVHVHGIFNGLLAPLYAGSTVEFLPKFSVSGIWKRWRESYPTEGSKADGAITVFTGVPTMYTRLIQGYRAMDPELQATSASGARNLRLMMCGSSALPQPVMQEWESITGHRLLERYGMTEFVMALSNPLNGERKAGTVGKPFPGVQVKILADEEHEGEETGAGELCVKSPSLFKEYWKLPEVTKESFTDDGFFKTGDAVTTDKDGYFIILGRTNADIIKSGGYKLSALEIESVILEHPTVSECCVLGLPDKDYGEIVCAIIVPKEKQDKESKPPLSLEELSNWAKNKLAPYKIPTQLIVWETLPRNAMGKVNKKELKKLVPSEQ >RHN43763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48284639:48289105:1 gene:gene50385 transcript:rna50385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MARNALSSITTFHVLTILLLLLRTIAAVHDYHDALRKSILFFEGQRSGKLPSDQRLHWRRDSGIHDGATAGVDLTGGYYDAGDNIKFGFPMAFTTTMLAWSVIDFGRVMGPELGNALKSVRWGTDYLLKATSKIGSGVVFVQVGDPLSDHNCWERPEDMDTLRTVYKIDGSHPGSDVAGETAAALAAASIVFRSRDPAYSKLLLNRAVTVFEFADRHRGAYSNSLRRAVCPFYCDVNGYQDELLWAAAWLHKASRRRLYREYIIRNEVVLRAGDTINEFGWDNKHAGINVLISKEVLMGRANYFSSFKRNADEFICSTLPGFSHAQVQYSPGGLIFKAGGSNMQHVTSLSFLLLAYSNYLSHANKVVPCGDTTATPALLKSLAKRQVNYILGDNPLGMSYMVGYGARYPRRIHHRGSSIPSVSAHPAHIGCKAGSQYFFSPNPNPNVLVGAVVGGPTNTTDSFPDSRPFYQQSEPTTYINAPLVGLLAFFSAHP >RHN73535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17462014:17465450:1 gene:gene9358 transcript:rna9358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps16-2 MVVRIRLSRLGCKNKPFYRVMAADSRSPRDGKHLEVLGYYNPLPGQDGGKRMGINFDRVKYWLSVGAQPSNPVERLLFRAGLLPPPPTVAMARKGGPRDMRPVDALTGRIIGQQKPPSSNNSDTAVIENP >RHN70588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52537292:52537702:1 gene:gene19184 transcript:rna19184 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHYQISLTFSLSLSLSLYLLLLSLPNTSLHTVTCSSSSTTQSAPLASSPSSPTLLPHHHRHAKLEATTLCLIEPDGIIIHSLPLSTITISGAAGGGDFGLKQLIFNYVCFQGNKLCFAVERLFCYGSFSGFYSF >RHN52125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31754305:31763798:1 gene:gene36753 transcript:rna36753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MPIMKKNSEQNRFEAVFTKLMNNLGETVDFELPDSIKNRTTQYTHIKRNVYVTKNRKRKSDDGIFCSCESSSDSTAVCGRDCHCGMLLSSCSSGCKCGISCLNKAFQHRPVKKMKLVKTEKCGSGIVADEDIKLGEFVIEYVGEVIDDKTCEQRLWNMKDRGETNFYLCEINRDMVIDATNKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFASRDIKKGEHLTYDYQFVQFGADQDCHCGAVQCRRKLGARPTKPKLVAYQVYQNGGLQTGSSRVVDHSKCLLNCIDEVIMIKQLGNLRFGIIKWFDEYSRKHKIMFEDGCVEIYDMSKEDWELVRL >RHN58226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:72252:76831:1 gene:gene20113 transcript:rna20113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MMTGEEVEGSSSSYTVDEALITLGFGKFQILVLIYAGIGWISEAMEMMLLSFVGPAVQSAWNLSSHQESFITSVVFAGMLIGAYSWGIVSDQHGRRKGFLITATVTATAGFFSSLSPNYVSLLVFRSLVGLGLGGGPVLSSWFLEFVPAPNRGTWMVVFSGFWTVGTIFEASLAWIVMPRLGWRWLLALSSLPTSFLLLFYKMTPESPRYLCLKGRTTEAIDVLETISRLNGKKLPSGVLVSDNQIELQKIDNPSEDTVLLSPRKSEVESPKGMISNLGGISSLRVLLSPKLSRSTLLLWAVFFGNAFSYYGLVLLTSELKRNSKCIPDKLQTEKSQDVSYKGVFIASFAELPGLLLSAVAVDKLGRKLSMSIMFFMCCIFLLPLTFYLPEDLTTGLLFGARICITVTFTIVYIYAPEIYPTSVRTTGVGVASSVGRIGGMLCPLVAVGLVHGCHQTAAVLLFIIVALVSGICVVFFPFETMGQELQDTVSSIKQTDDV >RHN63399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50949726:50950391:-1 gene:gene26096 transcript:rna26096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MBF1 family MRLGSLYIVNAARRAGADIETVKKHNAATDKAASSSTSLNTKRLDEAWFSFVTNMFVLIYVIGPLMNNPICEIEKAINKLRMKYKTYIFEYVVEDVGSPSSCCHRFILGFYCYCYWQLDI >RHN59863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14140051:14141892:1 gene:gene22028 transcript:rna22028 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSNNNDLRIMVSVFDQRNSQGPVEFDHSLVRYFHDITKSLIRHKTYEDI >RHN81299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44665910:44672319:-1 gene:gene5315 transcript:rna5315 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSDEAYHENERKLKDRKEKRKLCRSSRHSRDKFQERLDFKFYDFKALEIEKGWNQLFVSIICIETGETIAKSGKALVKNGECDWEDSMLSTIWISDYSLQDNQACLLKLLVAMGSPRFGTLGEATINLSSYIGQETFTASLPLIHHCSRGAILQVKIQCLTPRRNYRKDANSYGEEMSVGYDDVDSISNASDTTFSRTSISSSHCDQSENIFYLGELGSKRRGPLTTCSDHDIGSLESSFPSWIENLTQQSNVNGWKTNVQERQDSTCSKDGPYSLDDTSTLKHASSTSETSNLGTELQHDKMEDFGKVPHAGDTASTSSVCSSKDMLGAAQVTIELLHGEVKMWEEGSRKLMTDVDRLRKELQKKSKHKKDLEMELSASRKESSDLKEEIQRLTAMVKQNGSRNHRLQIEEMDNIIKELKDEINYQKGLNHDLEVKLNKTQESNIDIVSIFRNFEKKVEKQKMEIARLSRISIQFQDAENNSRGIEDSEEEDFSLSIEAMPQITRKEFCHSGFDFSTNENAIRCLHEGIELQEFRNMETERQVMREKQKSMQSTIQFLEKTLDEKDQKMQTATRHFMAQTLEENEAKWRNRLFEKEKQIANFEKKLYGSVNAFSNEILALTQKVQDLEAEFRKRHGESKEDLVVSGSFPSNSLLYHFDTAINITEAFLELYKQLLLSVENLKDQDSPKNESCFNMSELSKVAGKMDLKELTEGILCTIIQLTKLLESKAASFENEMNPQNEVRDLSELESSYDNQHAIFELEAEVAQLSEQISGLEAEVRHLNEEKALTHLALENSENIVIYLQAEIRRMENINEGQKIDLKIMGESIQKKWTEAQEECDFLKGSNLKLQATNENLIQVSKTLQMANGELRMRNFALHNRYTVLESKLGESQITFSDIMKFIEDLECKFTSILEEIALKEKTINVDLEALLQESIKQNERFTIEERFLTQMYMEKAAEVSNLQREVEHLTDQISDIYDRHNIIASKVVLDVYDLCADKAITESALQEEQEKVKLYEAKLDNLQAEYEITVHNYNKELAAMREKHETLMVNHEKTVVLLGSSKSNEEKLEGIVRGLEVELKDSELERLQATEEISELEVQLQKTVVLQNELFILKKSLYEAEIEYRRLEASYQMLSLEYDVLKAEKVSYMQRLLTTEKVTSEFEDCKRTKVELEDKIIRLEWDLTTKEASWRNNARLKYELAQVTRENGELFKEKDSLRQENEEYQKKVKSLEEKLKQKDDEQEQFIDKDRM >RHN53497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2082747:2096704:-1 gene:gene28408 transcript:rna28408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-chelatase subunit ChlD MGFSLTQTPHTNLQLQFHSLFSSKPFLSLKFHQTCRVQPKRSVPKLRAQSENGAAVLTSEEEKKLDASNYGRQYFPLAAVVGQDAIKTALLLGATDPRIGGIAISGRRGTAKTIMARGMHAILPPIEVVEGSIANSDPSCPEEWEDDLAQRVEYDSDGNIKTRIVKSPFVQIPLGVTEDRLIGSVDVEESVKTGTTVYQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNIVEREGISFRHPCRPLLIATYNPDEGSVREHLLDRIAINLSADLPMSFENRVAAVGIATEFQDNCGEVFKTVDEDTDNAKTQIILAREYLKDVTIGKEQLKYLVLEALRGGVQGHRAELYAARVAKCLAALEGREKVYADDLKKAVELVILPRSIVTDNPPEQQNQPPPPPPPPQNQESNEEQNEEEDKEEEEEEEDDKDEEKEEQQEQLPEEFIFDAEGGLVDEKLLFFAQQAQRRRGKAGRAKNVIFSEDRGRYIKPMLPKGPVKRLAVDATLRAAAPYQKLRREKDTENRRKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDSAEVLLPPSRSIAMARKRLERLPCGGGSPLAHGLTTAVRVGLNAEKSGDVGRIMIVAITDGRANISLKRSTDPEAAAATDAPKPTSQELKDEILEVAGKIYKSGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVVSMATREALSALKSS >RHN56469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31071247:31073549:-1 gene:gene31876 transcript:rna31876 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQSLRIFDLDFFLGYYLIIYLHNDVQVDTRIKVWSMASLWREVQGAVTSSPSAIEAIFALLQSLSVTLSQRLAAIFWSLWKHRNIKVWEDITETCATVVDRARSLTDEWHLANGPAAGNLTAVPAGTHIAAVNTTPSAVTTAAPAVSAAAPAAFQPAAASVAASDVPTAVQQR >RHN49447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54858800:54862541:1 gene:gene44279 transcript:rna44279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde-lyase MVTRTVDLRSDTVTKPTETMRVAMANAEVDDDVLGRDPSCFRLEEEMAKITGKEAALFVPSGTMGNLISVLVHCDIRGSEVILGDNSHIHIYENGGIATLGGVHPRTVRNNEDGTMDIDLIEAAIRDPKGELVYPTTRLICLENSHGNTGGRCLSVEYTERVGEVAKQHGLKLHIDGARIFNASVALGVPVDRLVRAADSVSVCLSKGIGAPVGSVIVGSNSFITKARRLRKTLGGGMRQVGILCAAALVALHENVEKLESDHKNARFLADGLNEIKGLRVDTSSVETNIIYIEIEENSGTTAAKLSKDLEEYGILIMPMGTSRLRVVFHHQISDSDVRYTLSCFERAVAGEPNENGN >RHN67957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31822775:31824339:-1 gene:gene16222 transcript:rna16222 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKYIPEGLSCTRPPFFNGKNYYFWKGKMKLFLRSQDVDMWKIITNGEHIPMTTDATTKVDTLTPEASWSKEDKEKVLLNSKARLFLSCALSMEESERLDWPVLP >RHN69574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44510749:44515200:1 gene:gene18057 transcript:rna18057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxiredoxin MALSLRVTSVWSPFVQLSSTASSGFSLSLSQSCSVSKPSFHLKLNTRRKYSYQTRFVIRAARIESKSVTLGFRAPQFQLSEPLTGKVWTLEDFEAHPALLVMFVCNHCPFVIHLKKVIVKLTEFYMKKGLAVVAISSNSVATHPQDGPEYMAEDAKLFGYPFPYLYDESQDVARDFGAVCTPEFYLFKKDGRRPFELVYHGQFDDSRPSNNNIPVTGRDLSLAIDRVLSGQPVPSEQKPSVGCSIKWHP >RHN40874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23575271:23581163:1 gene:gene47117 transcript:rna47117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MAYPLSSSFNIPITRHPFFHHTKSPSRIFCFTKNQLGQCDDDTSLGITQRIMKTVIPILIASTIQLSSMVPYVSSPAANAILYSPDTKVPRTGEVALRRAIPASPNMKAIQETLEDISYLLRIPQRKPYGTMEGNVKKVLKIAVDEKDSILASIPAELKEKASLVHSTLIDGKGGLQVLLQSIKEQDADKVSVNLQSTLDIVAELETLQAPGLSFLLPGQYMQYPRLSGRGTVEFVIEKGDGSTFSPVGGEERKTATIQVVLDGYSAPLTTGNFAKLVMDGAYNGIKLNCSNQAILSETGLDKTNGYSVPLEIMPTGQFEPLYKTTLSVQDGELPVLPLSVYGAVAMAHNEASEEYSSPYQFFFYLYDKRNAGLGGISFEEGQFSVFGYTTIGRDILPEIKTGDIIRSAKLIEGQDRLVLPKES >RHN46827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35171987:35172205:1 gene:gene41358 transcript:rna41358 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box transcription factor 1 MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEIALIIFSSRGKLSEFASSTSGYPSLSFSFI >RHN51079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13736275:13740695:1 gene:gene35453 transcript:rna35453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAEQSKVIHVRNVGHEISENDLLQLFQPFGVVTKLVMLRAKNQALVQMQDVASADSAIQFYINSQPSIRGRNVYVQFSSHRELTTMDQNQGREDEPNRILLVTVHQVLYPMTVDVLQQVFSPHGFVEKIVTFQKSAGFQALIQYETRQSAVTARGALQGRNVYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPNLPTDQKGRPSQAGYGDAGNYGGIQGSGPRQAGFSQVGTFQAKLKSPHSIMNAEFNI >RHN77139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4383497:4386423:-1 gene:gene564 transcript:rna564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSYLPIRDAVRTSVLSRNWRKKWYTLPNLVLDRQCVSAEASQDPLVIEPKFSKMVDHVLLLHSGPINMFKFCNYDLPGEGSLVSDVDRWILYLIGRSIKELVLEVWIEEEYYQIPWCLFSCQSLHNLKLRWCWLKPPMVFESFRNLKSLDLNLVTVDQDAFENMISKCPLLEKMKLTEVDGLTQINIHAPNLKFFEIEGLFEGITFDNTFQLATIVIDSWFDLTSESNPSRSPGCSSNLLKFFDHRPHIQSLEIGSYFLKYLAAGVLPVKLPTPCIDLSFLSLSVNFDNMAEILTALCLLRS >RHN40552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17529005:17535871:1 gene:gene46714 transcript:rna46714 gene_biotype:protein_coding transcript_biotype:protein_coding MIISHPFTPFPSCITITCSKPFSHNNHFIKSYIPPFLLLRNARSSTLLCSARSGRNENSNSLDFDIDINEEDQVLENDDYGGFSAQGMETNDIIDVDEGQDDGDGRRYSGGGPYKGRDEKDFDRNPEFAEILGDYLDDPEKAQSRMEERLRKNRNKILHTKTGSGVPMKVSFNKFDFSNSYIWFEFYNAPLAKDINLICDAIRAWHIVGRLGGCNAMNMQLSQSEIEKRPSYDYIQGANVTPTTFYNIGDLEVQDNLARIWIDIGTNEPLILDVLINALTQISSDFVGIKQVVFGGEEFENWKEDLTSEDSGYGVHKI >RHN39207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4975200:4978374:1 gene:gene45211 transcript:rna45211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MWEGLNCSLDGNNIPRITSLNLSSSGLTGEISSSISKLSMLQYLDLSNNSLSGPLPDFLTQLRSLKTLNLGKNNLNLTGSVSSGLLERSKQDGLLLILDQNPNICEPGSCNQKISDRKKSNKIVPLVASVAGIFVLLVLVSGAAIICALIKKRKPQDGNSQVQSDTPNDSQLESKQRQYTYDDVVKITNNFNRVLGKGGFGTVYHGLIDDTEVAVKMLSKSSVHGFEQFLAEVKLLMRVHHKNLTSLIGYCNEGKDIGLIYEYMANGNLDELLSGKNSKGKFLTWADRLGIAVDAAQGLEYLHNGCKPPIIHRDVKSTNILLNESFQAKLADFGLSKNFPSDGGTHLSTVVAGTPGYLDYEYMTSNRLTEKSDVYSFGVVLLEIITSQPAITKSPDKTHISQWVRSMFYNGDIKNIVDSRLQQDFDTNSAWKAVDIGMACVSTNSSDRPNMSEVMNGLKECLAEELARKKVGRCKSKKEDPIELVPLNLDIELGPQAR >RHN38632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:859107:860142:-1 gene:gene44590 transcript:rna44590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MVKLESTTLESSDLAFLESIQRYLLEDHHDFNPLTAITTASHGIAPVINRTSPSSPTSSLSSDSNSTSKSKTLHCDASHSLPASREAHAPPCWQRYKGVRRRPWGKFAAEIRDPNKNGARVWLGTYESAEDAALAYDRAAFKMRGSKAKLNFPHLIDSDVSESMVVTAKRRAVELCSSSRVVLEPKRKMSRVSGYDSLL >RHN70720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53433794:53438334:-1 gene:gene19332 transcript:rna19332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative syntaxin-5, Sly1p-binding domain-containing protein MQFKSSQSSFRDRTHEFLTVAERLKKSVSSGSAPNGATTSAPSSSFPSSSRSDDPRSAVAIQSEFNRRASKIGYGIHQTSQKLSKLAKLAKRTSVFDDPTMEIQELTSVIKQDITALNSAVVDLQLISNSRNESGNVSTDTTSHSTTVVDDLKTRLMSTTKEFKDVLTMRTENLKVHENRRQLFSANASKDSANPFIRQRPLATKSAASTSSAPAPPWASGKQVDGESQPLLQQQQQQVVPLQDSYMQSRAEALQNVESTIHELSNIFNQLATLVSQQGEVAIRIDENMDDTLANVEGAQGALLKYLNSISSNRWLMIKIFFVLMFFLMVFLFFVA >RHN69714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45761205:45766882:-1 gene:gene18222 transcript:rna18222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSSRFSRTIYVGNLPADIRESEIEDLFYKYGRIMEIELKVPPRPPCYCFVEFDNARDAEDAIRGRDGYNFDGCRLRVELAHGGRGPSSSDRRGYGGGGGGGGRGGGGDSAGGGRFGVSRHSEFRVIVRGLPSSASWQDLKDHMRKAGDVCFAEVSRDSEGTFGLVDYTNYDDMKYAIRKLDDTEFRNPWARSYIRVRKYESSRSRSRSRSPSRSRSPKRARSRSLERSVSRSRSISRSRSASPIKPARPRSPSRSASPRSPPRSPRQVLSGSG >RHN51513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19131119:19136997:1 gene:gene35962 transcript:rna35962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVSKNPNPPEGFCLDPTSMALPGFGPFAAVNSSEDASKKIRKPYTITKSRENWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKSGANEHLPPPRPKRKAAHPYPQKASKSAPVLGQLPGSFQSSPALLEPGYIMKNESIPMLETPIMNTVVSSWSNHTLQNTNLLHVPKVNNSCSSTESTPKVRPVGESNNQVNKSLPLRGKTLMLSLYNSS >RHN54175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7568781:7573213:1 gene:gene29171 transcript:rna29171 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDDGLPTTTAAINKKENMDSGLFGKGRYKFWALAAILLLAFWSMFTGTVSLRWSGNLNTLSSDLDTPIHDDLDVLEMEEREKVVRHMWDVYTNSRRIRLPRFWQEAFEAAYEELTSDVPGVRDDAITEIAKMSVRSVNYDPPPIQSTRAQEFSKSLKQAEKGKEAMRRA >RHN66501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13073437:13073703:1 gene:gene14504 transcript:rna14504 gene_biotype:protein_coding transcript_biotype:protein_coding MKCENGSFSVKLNLRLQFRRWISGIIRYGCVTNEGRQDCWSGGVNQSMDKRFCEEAGRRCPLAKIGFLGITMTLFRLTFPNPIFYFFF >RHN43653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47489976:47490596:-1 gene:gene50262 transcript:rna50262 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRENSKELQQLQTFAKQEQYNIYPMNFNVLENTKGNTNRCNSVFFVPGEGYSDVPTHEEHSFENYSDSDSSSEVDGDASDDDSSLDEVLHDGKAKNKIELLASMVGVDTKEPAVVLTEVVRILKLLKRVNQYPININT >RHN42685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40249173:40250192:1 gene:gene49163 transcript:rna49163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor OFP family MKWSGRKPSSASSSKPSFISHVSSFSWLLKFKQMKINSDSEAKSEKLMQNSPSDGSSQFPCGNRGRFYGGNDDAFWRLSFGEEFTNDQKKKSKDILKPVMYSLDARRHGRRRGREDRKLLNETKSAKEVECLKRRYERKAQRVLQEQLLKLQNAAEEAEFESPRTQLFSSYVDAESSGLGFKSEEFKAKVNKRRESVHVSRELQRRKPKQSSKVRVHSPKMATKVEIRKIKAIEDKKKAKLKMKKEEEIVEETDEGLDSFAVVKCSLDPQQDFRDSMIEMIKEKHISQPEEMEELLACYLSLNSNEFHDIIIKAFRQVWLCMSQSSLCNKSDKQCCYYD >RHN60005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16370357:16372153:-1 gene:gene22187 transcript:rna22187 gene_biotype:protein_coding transcript_biotype:protein_coding MSELFSGKETSSGDRGPARVADIGGMGEIGLWCGLGSGKRRQVIYIIDFRVLIEVSRKVSSICSGRRLGLGMTEATPTLWEVAWLLQNGT >RHN80435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38154561:38155466:1 gene:gene4363 transcript:rna4363 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLGVATSTTDRVSDHICDDVALVILSKLPLKSIFRFCCIRKSWSPLFENPYFMNIFRRNFLSNDHSYYNDTSLLLHTSHVNWNYEPVLYSLSSERFDNKVKLDWPNRFEEEFEVAWRVEEEIQRVVLWNPATSEFQVAPLHRLAYESPNHIFVIRLRGFGYDKDRDDYKVIQHVSFHPIIRDEDGGRYPFWEIYCLKNNYWRQLDLDHMPTQYNNDVGVQVYTDVGGGKKIRTRGYPRIKSVMGTERVAKRVPAGIINGYLATCYFMDTDTDLMISVPAGIHTR >RHN62088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40634900:40635544:1 gene:gene24621 transcript:rna24621 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEQYQYCWMTQPRKRKNNELNQVSTKRYVFSKKGNQRINQINHTSLFKLKSSVTFRSPSRDMVVKPLRLSLQNLVFA >RHN58916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5910851:5913873:-1 gene:gene20872 transcript:rna20872 gene_biotype:protein_coding transcript_biotype:protein_coding MLVISGLMPSIIEEYDRLLAEAISEGSTVGHSLTFHTWKKVVGDKKKGKLYGLGNLAANYRAGSVASTLTFTLNHGEGSSRQPELTPEMRELIHRLAQEQFAQQMATQAAVVQDLLNRQRSYEEQLAQFRQAQAQDPSLVLSPNIEPYPVDRDEEEDGVDDDDD >RHN71252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57609887:57615547:1 gene:gene19908 transcript:rna19908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MGRRHGWELPFHTFQVVAITVFFLLCIAYYAFFAPFLGKDIFEFVAFGVYSLLALSVFFLYVRCTAIDPADLGVMIDCDKTSKNRSKLDEELAEPSKTRLKDDGISDQHDSNGCSKLGCCLCSFLAREDCRSDEDFILQQQSGEEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYISFVCLMAVSLVWLLVECGVGIAVLVRCFVDKKDTENLIAVKLGAGFSRAPFATIVAICTAVSFLAIIPLGELFFFHMILIRKGITTYEYVVAMRTLTEPPGPSVDAGEQQSLPSSPTGSSVTAISGRSSVGMSLQIKGAWCTPPRIFMDQQDEIIHHLEPGRLPSTVDPDAIQPPDKGKKLNQRPVRISAWKLAKLDSNEAAKALAKARASSSVLRPISSRSHAYDVDHLSSSNLSGRSSPISNRGFHNKYDQAGTSRLSPSKSSYPPSQASREDLDACHHSMSNLSSPQVSNISPSPMQRPGLNRDHFNPMYQQPSINQSPSSVRGSEGSVNPVHENGARVAMRNNSLAVLEDRRSSVFWDQAAGRFVPNPSRAQGSSQIPGTELTYSGRSIFFGSPAVSEQSNAGTRNSSSVAGVSDRDNTIRDFQQGRSHRGAQLPVFVPSYSQQNKFS >RHN79573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30224124:30228314:-1 gene:gene3385 transcript:rna3385 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVAIILLYIMTIDNTNVHDTHTNRYMHACIHTYIHTFMLTPILYISYYEQQRSGYGLQSQLYICPFVSGKLFF >RHN43546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46727546:46731502:-1 gene:gene50147 transcript:rna50147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide-transporting ATPase MAVSSLSLPFHFRSSPKSHTRRKFVFFAAASSDVPLLQVNDLRAKIVESNAEILRGVNLTINRGEIHAIMGKNGSGKSTFAKVLVGHPDYEVTSGSVVFKGENLLEMEPEERALEGLFMSFQSPVAIPGVSNDQFLVMAYNARRKKLGLPELGPLECFSYLMEKLQLVNMKPDFLNRNVNEGFSGGERKRNEILQLAVLGADLAILDEIDSGLDVDALRDVASAVNKILTPENSLLMITHYRRILDLLKPSHVHVMDNGKIARTGDLSMVDAIEADGYEPVSVLT >RHN74016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23518916:23525178:-1 gene:gene9941 transcript:rna9941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PH domain, START-like domain-containing protein MEASSSSSSASGGGGGTEEKGGRFEYFGWVYHLGVNSIGHEYCHLRFLFIRGKCVSMYKRDPHQNPGIKPIRQGIIGPTLMVEELGPRKVNNGDFYVICFYNRLDETRKGEVACATAGEAQKWMEAFEQAKSQAEYELSRGASARERLNQETEINLEGHRPRVRRYASGLRKLKRIGQGPESLLRLSSKFFGNSDGFEGEIGDAFEAHQWKCVRTMSGIRVFEDIASHKNGKGILAKSVGVIDATADSVFEVFLNTDRRKRYEWDMLMGDLQLIESYDGHYDVVYGTYDPKYQTRWHSKRDFIFSRQWFHAQDGTYTILQFPAIHKKKPPKVGCRRTKINPSTWEIRNLNTPMGSNVPRCIVTHTLEIHSTSWHKWKNSQDTKFEKSIPYALLCQVAGLKEYIGANPALHQQDSVSVVHSKLSSISLSGAEFENAEVQDEFYDAIAAESSTSDDESDEDEGLEHKDQKVKLKNISWAMTALPLKRTAGHFLFMFVVICKGQGI >RHN72516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8316227:8319645:-1 gene:gene8240 transcript:rna8240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat domain-containing protein MIEIEIEMTKGNPQTTSFRRELKPEQYLHSPVHYAVAIGNHSTLSRIISTVPRLPDPTQIHTESDSLSQEKLADQISAVLDRRDVPLRETPLHLAVRLNDVSAAKLLASAGADVSLHNAAGWNPLQEALCRRATEIATILVRHHHRSAWAKWRRRLPRLVAVLRRMRDFYMEISFHFESSVIPFVGKIAPSDTYKIWKRDGNLRADTSLAGFDGLKIQRADQSFLFLGDGDITHAVPSGSLLVLNRDDRKIFDAFENAGAPMSDADVAGFCSQTSVYRPGMDVTKAELVGRTNWRKQEKTENVGEWKAKVYEVQNVLFSFKSRKVSTGESGGEQVIPLELDEDEDGFLVAENPSFGMPMENDKRRHSSFVREDREWVPMGRKSVDMPPSAMMQPARKSSATVRLAQPLPPPAKEKEYMKSLRPSVWLTEQFPLKTEELLPLLDILANKVKAVRRMRDLLTTKFPAGSFPVKVAIPVVPTVRVVITFTKFVELQPVEKFYTPFSSPRHLIATDNDDEQPNPENRYSSFTSSWLRRNNSQSGSSRSKQQQKSSSGPLDLDPFVIPEGYIWSNSGDDKSKKLNKSKSMRKSK >RHN54848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12866122:12872148:1 gene:gene29941 transcript:rna29941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYC/MYB MGTLSTLRPPVRPSQPPQSATGFNQDTLQQRLQALIEGVKEIWTYAIFWQPSYDYSGSSLLGWGDGYYKGEEDKTKVKKSIVTSPAEQEHRRKVLRELYSLISGNPVTEESPVDEEVTDMEWFFLVSMTQSFVNDGGLPGQAYFNSTPVWLVGGENLVLSHCERARQGQEHGLETLVCVPSANGVLELGSTELIYQNNDFMDKVKMLLDFNNDFDFGSSSHSSSTIAHQGEEESTVLFGVNLQKQLRSILLRMIIPCR >RHN66055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8070088:8071198:-1 gene:gene13966 transcript:rna13966 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKGFLHLKSLNWLCITYCPSLESLPEKEDVPNSLSRLRIYDCGIIKEKYEKEGGECWHTISHIPNVRIDRIWQEK >RHN70008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47952686:47953096:1 gene:gene18546 transcript:rna18546 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRRRGTKKKRFREEEVKRRREKKKICEEEKVERRISEEE >RHN82043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50816052:50823020:1 gene:gene6159 transcript:rna6159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SNARE associated golgi family protein MGSGEIASSSGNTNVSSSGLIEKHQLELDNLTLTTQPFKTLKFFTLAIIQYLKKTATYLLAKGGLLMLLSVVVGALGIMLITVEGPHEKHFEELLKYFRFGLWWITLGIASSIGLGSGLHTFVLYLGPHIAFFTIKAMQCGRVDLKSAPYDTIQLDRGPTWLDMDCSQFGPPMFQSDHGSQIPLSIILIQVQLEAVLWGMGTAIGELPPYFISRAARLSGSKLDAMEELDTENEGIITTYLNRIKRWFLSHCHHLNFLTILALASVPNPLFDLAGIMCGQFGVPFWKFFLATFIGKALIKTHIQTVFIISVCNNQLLNWIENEFIWVLGHIPAFASILPSVIANLHAVKDKYLKAPHQVSPKIKGTRWDFSIASIWNTVVWLMLMNFFVKIVNSTAQSYLKKQQEIELAASNISTSTD >RHN62419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43303973:43305445:1 gene:gene24994 transcript:rna24994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-Pl-4 family MAIAIRHFSSMRLSDKSKNRKVTAIETSEDKWNIDLSKLFVGPRFAHGAHSRLYHGLYEDEPVAVKNIKVPDDDEHGTIAATLDKQFFTEVTLLSRLHHPNVLKLVAACRNPPVYCVITEYLSEGSLRSYLHKLEGKTISLQKLIAFSLDIARGMEYIHSQGVVHRDLKPENVLINDDFHLKIADFGIACEEAHCELLADDLGTYRWMAPEMIKRKSYGRKVDVYSFGLMLWEMLTGRIPFEDMTPVQAAFAVLNKNSRPVIPSNCPPAMRALMEQCWSLNPDKRPEFWQVVKVLEQFESSLARDGTLTPLQNPCGLDHKKGLLHWIHKHSPTHQHHSSGFVAKPKFTCIF >RHN67636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28863911:28865599:1 gene:gene15844 transcript:rna15844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MVMIFIIFAITFTYCFLGNVVSISNGNEIFVRDEIYANSLPYEAIFNFGDSISDTGNAAAFSNKTMPDNSPYGSTYFKHPSGRLSNGRLIIDFIAEAYGLPFLPAYMNVNNSQEDMKKGVNFAFSGASALKKIYFARRGIIEPQTDHSLSVQFEWFKKLKPFMCKSKKECTSYFKKSLFIVGEIGGNDVLQYISSKKFKKIRKVVPYLVESITHTTISLIKEGAVELVIPGNFPIGCNAGVLTNVISTKKEDYDELGCLIAYNAFAEYYNEQLKNSIETLRHKYPQAKIIYFDYYNNLKRLYQTPQQYGFISDKEEILKACCGGSGPYHVNLEIFCGTGSSTVCPDPSKYINWDGSHLTEASYKLIAKGLVEGPFANPSLKTPLFNIA >RHN62906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46657031:46662836:-1 gene:gene25542 transcript:rna25542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6,7-dimethyl-8-ribityllumazine synthase MALSVSTDCFLPALGFVHHHPQHRIAAPTNFFNLQKAPKTATSLSFSLSMRALEVKPHAQSKGRSSFAQTDAVKHLTGSVTRTEGLRFAIVVARFNEIITRPLLEGALGTFKNYSVQDEDVDVVWVPGCFEIGAAATRLGKSGKYHAVICIGAVIRGDTTHYDAVANSAASGVLSAGLNSGVPCIFGVLTCEDMDQAINRAGGKSGNKGAEAALTAIEMASLFEHHLN >RHN53856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4761432:4764995:1 gene:gene28815 transcript:rna28815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin 11-oxidase MVLLDYSQWLILVAALLGGYAFVFGFLRRLNEWYYVGRLGKSQNLPPGDMGWPFFGNMPTFLKAFKSADPDSFINNLVSRYGKTGMYRTHLFGSPSIIVCTPETCRKVLTDEENLKVGYPHSTMVLTGKRSFHGISNSEHKRLRRLITSPINGDEALSTYISLIEDSAVKHLEELSKMNTPCEFLKEMRKFAFEVITTIFISSDRDHVDLGLVENLYIDLLKGMKSLAINLTGFAFHKALKARKKLMKLLQALVDQKRRNNNKVKKMKKDMMDLLMEVKDEEGRMLEDEDIIDLLLVFLLAGHESSAHGILWTIIYLIDHPHVFQRAKKEQEEIMETRPSTQKGLNLKEIKQMQYLSKVIDEMLRITTISFANFRRAKVDVNINGYTIPKGWKVLVWNRGVHMDPEIYTNPKEYDPSRWENYKAKAGSFNPFGLGSRLCPGSDLAKLEITIYLHHFLLNYRMERINPDCPVTYLPVPRPKDNCLARIIKVT >RHN49965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2747965:2753043:1 gene:gene34209 transcript:rna34209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M24, FACT complex subunit Spt16p/Cdc68p, creatinase/Aminopeptidase P/Spt16 MADHRNGKASAAGSAYAIDLNTFQTRLKTLYKHWDESRTDLWGSSDAIAVACPPPSKNTRYLKSTALFLWLLGFEFPETIMVFTKVQTHILCSQKKASILESVKKPAKESVGVEIVLHVKPKIDDGASLMDAIIRAIRTQSKSSGHDSSTVGHIAREEPEGKLLDLWAEKLKSSKFNLSDVANGFSALFAAKSNEEITSIKRAAYLTTNVMKNFVVSKLENVIDEEKKILNSTLMKETEKVILEPSKVNCKLKADNVDICYSPIFQSGGKFDLRPITGSNDEALYYETASVIICALGARYKSYCSNIARTFVIDAEPIQSKAYEVLLKAHEAVIGSLKPGNKLAAAYLAAVSVVEKEAPEMVSCLTKSAGAGIGIEFRESGLNINAKNDQIVKEGMAFNVSLGFQNLQCENSKSKNKVFALLLADTVIINKDKTDVVTSLSSKALKDVAYSFNEDGEEEKPKSMVDHSGTEHLVSKTTLRSDNHEISKEELRRQHQAELARQKNEETARRLAGGGNGTGVNRFSSRSSAELVAYKNIYDLPSPREMMIQIDQKNEAVLLPINGSMVPFHVAFIRTVSSQQDTNHNCYVRIIFNVPGTPSSSHDPNLLKFQGSIYLKEASFRSKDSRHISEVVRSIKTFRQQVVARESERAERATLVTQEKLQLANNIFKPIRLHDLWIRPALGGRGRKIPGTLETHVNGFRYSTTRSDERVDVMFANIKHAFFQPAENEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDMVQNVGGGKRSAYDPDELEEEQRERERKNKINVEFQSFVNRVNDLWGQPQFNGLDLEFDQPLRELGFPGVPHKSSVFIVPTSACIVELIETPFLVVTLSEIEIVNLERVGLGQKNFDMTIVFKDFKRNFFRIDSIPSTSIDGIKEWLDTTDIKYYESRLNLNWRQILKTITDDPQSFIEGGGWEFLNLEAADSESEGSEESDKGYEPSDIEPESDSEEEDSESASLVESEEEEDSEEDSEEEQGKTWEELERDASNADREKGNESDSEEDRKRRKAKAAFGKPRGNLSSSMPKRPKLR >RHN59495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11310126:11319303:-1 gene:gene21529 transcript:rna21529 gene_biotype:protein_coding transcript_biotype:protein_coding MIWQACSRMDTQQGLVRTRCRMFLWIQESFTILWIDSVVLLIVQTCNCCI >RHN61580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36584805:36585668:-1 gene:gene24058 transcript:rna24058 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKWAYVYGYYLPENENAKIEFFEHIESIAQVALERLRHCAESELRKVLNDGSEEEFCDFQVKLTTLTRVAKSYFMNLVSGLENGLDVVCVKNYTGVKRVLSEIGDNSYKKLNT >RHN80841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41208467:41212743:1 gene:gene4810 transcript:rna4810 gene_biotype:protein_coding transcript_biotype:protein_coding MELQNSTKNPNLEPSNSNNGGETFFEDIDSNCSTPYVSAPSSPGRGGGPPPISSGYFYSAPASPLHFSITASSSYHHQTTTSSVPMSYEFEFSARFGSTGSAASGSMTSADELFLNGQIRPMKLSSHLERPQVLAPLLDLEEEEEDEEEGEVVVVRGRDLRLRDKSVRRRTRSMSPLRNNSHLEWTENEDDNNNKNNVACEIEDEMNNKNNENGNDCSEMENVKVDEMGLERIEITPLDSASSSRSSSAGRSSKRWVFLKDFLRSKSEGRSNNKFWSTISFSPTKDKKSSSNNQNLQAQISKEKTCETQRNGSSSSSSSSSKGSWGKKMTGKPMNGVGKRRVPPSPHELHYKANRAQAEELRRKTFLPYRQGLLGCLGFSSKGYGAMNGFARALNPVSSSKKV >RHN52549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36562232:36563898:-1 gene:gene37239 transcript:rna37239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFPSSSSSSSSMLPSYYVVPVSHFVPKKFPSFQFLKNTHFNFIPCSSSKINLIPYSSTSTTFHSNNDVDDAVSLFNCLLRQNPTPPAIEFNKILGSLVKSKHYHTVLYLSKKMEFRGIKPNLVNCNILINCFCQLGLIPFAFSVFAKILKMGYNPNTITFTTLIKGLCLKGQIHQALNFHDKLVALGFQFNQISYGTLIDGLCKVGETRAALDLLRRVDGKLVQPNVVMYSTIIDGMCKDKHVNDAFDLYSEMVSKGISPNVVTYSALISGFFTVGKLKDAVDLFNKMISENIKPDVYTFNILVDVFCKSGKISYALKLVDEMHDRGQPPNIVTYSSILDALCKTHRVDKAVALLTKLKDQGIRPNMHTYTILIDGLCTSGKLEDARNIFEDLLVKGYDITVVTYIVMFYGFCKKGLFDEASALLSKMEENGCIPDAKTYELIKLSLFKKGENDMAEKLHRECEI >RHN72506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8207242:8210552:1 gene:gene8226 transcript:rna8226 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLANLDTCKPIKAISRSRGGVVRTREIQLGKKLYRIKKFRDGTTTLQWRFLRGLKANNKSEAVDPSTSKPDAIFNDQPPQVNPETNEKTKFYYHGIGKLEFLLLNYGRGIRSMLKFLEKQAKLKTYENIEVREIEFGKQHLRVENFGGATTIERILKVKNDYSS >RHN65483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2569090:2569345:-1 gene:gene13326 transcript:rna13326 gene_biotype:protein_coding transcript_biotype:protein_coding MKYCVHVRTVRIVVGVIERMCLSICFVTDLMQVIRNGFFMERDYLQENPMIKTMKNITCTMTWMGS >RHN66732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17823832:17826554:1 gene:gene14801 transcript:rna14801 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFFSQPKQATKHYIHHHTTAGEPRPLSSFSIHHCCILLHLLFRFFSLETSLSTTNPNCCNLSHSKISRASTALNPFKNLVLKSSSKSHHHE >RHN64184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57128804:57129966:-1 gene:gene26971 transcript:rna26971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MVFRSFNSRVVVILLGLLSFFQPITSIRLGLVRLPSSNLPFFREAPAFRNGEECGSTEADRINVAMTLDVNYLRGTMAAVLSMLQHSTCPENLAFYFLSAHGAPELFTSIKSTFPYLNMTIYHFNSDRVRGKISKSIRKALDQPLNYARIYLADTIPENVQRVIYLDSDLVVVDDIAKLWGVDMEGKVVAAPEYCHTDFTLYFTKQFWSDPVLAKTFDGRKPCYFNTGVMVMDVDKWRKEKYTEKVEEWMAVQKKSKRIYHLGSLPPFLLVLAGNIKAVDHRWNQHGLGGDNIEGKCRSLHPGPISLLHWSGKGKPWLRLDSRKPCIVDHLWAPYDLYRSSRHFFEE >RHN46292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30407851:30412503:-1 gene:gene40767 transcript:rna40767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MSTNQEEVQLLGALGSPFACRVQIALKWKGIEYKYEDVKLLGVVGSPFFCRVQIALKFKGIEYEFVKEDLTNKSDLLLKYNPVHKKIPVLVHNEKPISESLVILEYIDEVWKQNPILSSDPYQKSLARFWSKFIDDKIVTSSFKAVYAVNDEKERQKNVEESTEALQILENELKNKYFGGEEINFVDIAAVFIAFWIPLIQDIAELKLFNAEKYPKLYKWSQEFLNHPAVKEHVPARDPLFAFFKAKYDALVSASK >RHN73657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18643248:18645792:-1 gene:gene9499 transcript:rna9499 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKIAIFLIGDQNCNILKHRGRKVQFSLNVINNQGCQPLELSFKCLLLIYNFRLLNRLIYINSLFDKFL >RHN55555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19484391:19488783:-1 gene:gene30756 transcript:rna30756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2,6-dioxo-6-phenylhexa-3-enoate hydrolase MCLVKLLMVSFVENPIMSSCVKPLVQNKEPPIVLLHGFDSSCLEWRYGYPLLEESGFETWAIDILGWGFSDLENLPPCDVVSKRNHFYQFWKSYIRRPMILVGPSLGSAVAIDFAVNYPEAVEKLILIDASVYAEGTGNLATLPRSVAYAGVYLLKSLPLRLYANYLTFTNMSLRTILDGTNVGRLHCLLPWWDDATVDFMTSGGYKIAPLIRKVKQKTLIIWGENDRIVSNKLAVQLHCELPDAILRQIPDCGHIPHLERPDSTIKLIVEFIQTEKKKLSQRVSQVSQVSS >RHN57351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38314452:38319880:-1 gene:gene32879 transcript:rna32879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAM91 domain-containing protein MGILSRIIEYCIKKRLQWNSCFAHTFCKESEYYENMMRYLRKNLALFPYHLAEYVCRVMRVSPFRYYCDMIFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFPIEPWWGVCLVNFTLEEFKKLSNEETATVDKVCKEEAKSFVMFDPDIVRGLCRRGLIYFEVPLYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVSNENATVRELATTLQAELLQLQSAASFVCRLGWATKVIDPESILGDSSIPMSPRSTVSDDDASVASQGSENMFIDNDSTHQGDVSASGNNGPRSAFTRVAFIVDANITSYLMMGSVSPGLKTHAVTLYEAGKLGHASIADLCKDLSTLEGATFEGELQEFANHAYSLRCVLECLHSGGLPADKKEVEDIDKLGATTSSNDNSCSVIAEPETSVADRSRKSADNEAVIKTDDLENFESEKNVAEASDSSEHIPSSMDDGVHSNTSEDGINHAQHVDKSDTHLHTDDKLVEVEGSDVGTEMQKKKKYRVDILRSESLASLAPATLNRLFIRDYDILVSIVPLSHSSILPRPTGPVHFGPPTYSSMSPWMKLVLYSTAASGPLSVVLMKGQCMRSLPAPLAGCEKALIWSWDSNTVGGLGKKFEGNLVKGSVLLHCLNSLLKHSAVLVLPLSKYDLNKSGKAATLDIPLPVKNADGTIASVGEELGLCKEENSNLNSLLENLADKMELWTVGYIRLLRLFIGKETGQLSSEMKYEWVPLSLEFGMPLFSPTLCSNICRRIVSSEMLQSGSFVGHQDAMQNLRKKLHDICAEYQSTGFTAKLLYQKQPTKESFGKLQNHASGRWNPLLDPSSPISGASSVHQRLKLATRQRSQTEVLSFDGSILRSYSLTTDDEVATTAVKEATQADTTKTEAEENDSKETILPGVNLIFDGSKLFPFDIGACLQGCQPISLITEAAAVSASVAMK >RHN46999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36360142:36360828:-1 gene:gene41544 transcript:rna41544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLITFSYFYNFEQFIGKLKYLNMTFSKKLKRLPDFSGVPNLEKLILKGCDGLTEVHPSLLHHKKVVLMNLEDCKSLKSLPGKLEMSSLEKLILSGCCEFKILPEFGESMENLSMLALEGIAIRNLPSSLGSLVGLASLNLKNCKSLVCLPDTIHRLNSLIILNISGCSRLCRLPDGLKEIKCLKELHANDTAIDELPSSIFYLDNLKSIIICWFQRAIDQVNELVSSL >RHN49607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55897200:55898361:1 gene:gene44456 transcript:rna44456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MGSYNAAKNALAALDGSDVGGREMLVKFSVETLNSPPMRRRTIYYEGPHKVYVGNLW >RHN73525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17365581:17368299:-1 gene:gene9348 transcript:rna9348 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMFQYYIGITFNCSSGLTQIKFSRKLQVFVVLFYSIPIARRSWLLFKLKEILL >RHN79536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29960245:29963594:1 gene:gene3340 transcript:rna3340 gene_biotype:protein_coding transcript_biotype:protein_coding MNIYSGFYHSAILNSHEMKGGETLWELAKKTYGAFANSKKCNKHFSDMADLNFLMCKAIENPGLTPSSSLRTAIMSVFEDTVIDDSIKKQREVGVEDYMGCASVHGVGPSIAIFDTIRDGSLDCACVYPAPLHSREQMQEVVDDGGC >RHN61845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38825575:38829018:-1 gene:gene24347 transcript:rna24347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative erythromycin 3''-O-methyltransferase MDVFTFGYHCLNHFHTMALCSQLQNLSSLISPHGITTTTTKAPTRRHVVVHAAASDTTTSLQASSYEEGKLVRPKWTGETPLSRMVRALISFKPLYSILKLGARQVFISTAEKNNIPWREMTKEILESQVYKELDSIQNQSLVYPDYYLNPFHAYDEGNLTWLAAAEAEAATKSMVRRAIPTASSVEEATQIMRGNWLNAIEQHHLQHSQTSMIGDILDIGCSVGVSSRFLADKFPTANVIGLDMSPYFLAVAQHKEKSGTPRKNPIKWIHANGEDTGLPSKSFDLVSISYVFHECPARAIVNVVKEAFRLLRPGGTFAMTDNSPKSKVLQELSPVLFTLMKSTEPFLDEYYLTDMDETLREAGFVNIKSILTDPRHVTLTATVPQ >RHN71851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3071238:3079293:-1 gene:gene7491 transcript:rna7491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADPH:quinone reductase MKAIVITTPGGPEVLQLQEVQDPQPKDNEVLIRVHATALNSADTVQRKGFYPIPQGASPYPGLECSGTIVCVGINVSKWKIGDQVCALLAGGGYAEKVAVPEGQVLPVPPGISLKDAASFTEVACTVWSTIFMTSRLSKGETLLVHGGSSGIGTFAIQIAKYQGSKVFVTAGSEEKLAFCKSIGADVGINYKTEDFVARVKEETGGQGVDVILDCMGASYYQRNLASLNFDGRLFIIGFQGGVSTEVDLRALFGKRLTVQAAGLRSRSPENKAVIVAEVEKNVWPAIAEGKVKPVVYKSFPLSEAAEAHRLMESSQHIGKILLVA >RHN65073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63999304:64008179:1 gene:gene27966 transcript:rna27966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MNSSTSDALFDDACAYDNAVKSTCQEKYSEFAEVMSDYRDGRIDPGGVKARVHELFKGHKHLILGINNIMPKNYEIILPPSDEKVNRQDATTFLKQVKVVFQDKMEKYYEFLQVIHDHMNLTIDILDVIKTGMELFKGHVDLLSGLNYFLPERIQITFPLLHDHKQGHQLVIKDAFLNEVKAVFHDKLEKYFEFLQLITDHKAQGIDTRGVVAIVKELFKEHRNLILGFNAFLPEEHRITLPFELHCHETGKRRMVAANYELSWDVLDIISKSLDFDDLFQFACVCKNWRAFHKMYWRNFLALQEPLLVQKSSFFKKSFSFISIPNQKVYRSKMINYFWHFAYSGSSSGYLIMTGNNNSFLLMNPFTRRKKVINTSTFKVNFSYFAYRVLLAFDKGSKDFVLVALCKSSNSLHVYQSRNFGWVTYSTMGYPWMIVDFVVLHNTIYVVNDKANIGILNLNSANIKFLEMKSIPSVTSLSHLRLVSCDEQLFVVHTKPGVVFNVYKIDFSTMKYVKLKTLGDIALLYAPGGNYYALSNPNRWGYESNSVYVLDRSSTKCRVYVGDDNKLPKYIGPREGLHIMRPYWLDWSFRHLHYEIDYSLAD >RHN38683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1284312:1293681:1 gene:gene44643 transcript:rna44643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysine--tRNA ligase MVEALKLLNLTWNPLKNNFIHAFRRTPRTLLIRASSSSTTSSGRNRRNSPSSSTTSTSDRESIRAMRLKKVEELRSKGHNPYAYEWNKTHSANQLQDIYKDLGNGEETNSENDHVSIAGRIIARRAFGKLAFLTLRDDSGTIQLYCEKERLDDQFEQLKAYVDIGDILGARGTIKRTEKGELSVCVLSFAILTKSLLPLPDKYHGLTDIDIRYRQRYVDMIANPEVADVFRKRAKVVSEIRRTMDSLGFVEVETPVLQGEAGGAEARPFVTYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYQSMMNLAEEIVTQCALAVHGKLTVDYQGVEICLERPWRRETMHNLVKEISGIDFDELGNDLEVAKQATLSTLGKNLDNKDKASIEACQSAGHLLNEVFEIYVEPKLIQPTFVLDYPIEISPLAKPHRRSTGLTERFELFICGRELGNAFSELTDPIDQRGRLENQIRQHEKKKAALSTNGDKKEGIENEDDSYDVTLDEDFLTALEYGMPPASGMGLGIDRLVMLLTNSPSIRDVIAFPVLKVQQ >RHN40338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15431106:15431390:-1 gene:gene46484 transcript:rna46484 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYDLMSKHSLTPKTMCNGMLNLQFNMLNHCRGLLNWEKSELEERCVEQIQHVESLAHRDAWRARICQNRRVHYTRRQGAWANSDAEREERNG >RHN46993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36344074:36344355:-1 gene:gene41538 transcript:rna41538 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVLLVVRGYKYLVRLHFCDIASILMRLLYFNVYVNGYLAFEYLDLSLITCLHIRWLPHFMLTLLSMLKEHFVDQTMKNQEFERLTNTTGLL >RHN63883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54745653:54745907:-1 gene:gene26638 transcript:rna26638 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCKLKGKIDLLLGMRLVLATLRIRPSSQISPFFFYMLSHCFVFRNSSFWVMNWFWLRYCYSVSNRDSMGLRNSLKQFDSGGS >RHN39328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6063021:6069479:-1 gene:gene45338 transcript:rna45338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MSTSSKKYDVFISFRGQDTRETFTSHLHYALCKENIITYIDDNLVKGDEIGEALAEAIQDSRISLVVFSKNYATSKWCLNELLKILECKKLHGQVVIPVFYNTGTSEVRNQTGSYEKPFSHYEIEAINNESFANTVSEWRAALAEAANIPGWDSQSRTYKDDSQVIQSIVSDVWKKLALMYPNELKGLVHNDQHGSYTESLLKRYSRIGIWGMGGIGKTTIARQMFAKHFAQYESACFMENVSEEIEKFGPRYIRNKLLSELLKRQITASDILGAPFIERILSGRKFFIVLDDVDNAAQLEYLCSELDDLGPNSRLIITGRDRQTLKGKVDVIHEVTKWNFEESLRLFSLGAFKQNHPKEGYKLLSQRAVAYAGGVPLALKVLGSHFYSRSPEFWEPELKNLENKGESLRGIQEVLRVSYNGLTVREKEMFLDIAFFFKDEKRDFVTRILDACGFNAASGIVTLEDKALITISYDNIIQMHDLLQQMAFDIVRQKKDQTSRDPEKCSRLRDIKEVCDVLKNNKGTPKVEGIIFDLSQKEDLHVGADTFKMMTKLRFLRLYVPLSKKISTTIYHPEDMMPFSDKLRYLEWSEYPLKSLPHPFCAELLVEIHLPRSNIKYLWHGMQKLVHLEKVDLRESKQLMKLPDLSGAEKLKWLYLSGCESLHEVQPSVFSKDTLVTLLLDGCKKLEILVSENHLTSLQKIDVSGCSSLREFSLSSDSIEELDLSNTGIEILHSSIGRMSMLWRLDLQGLRLKNLPKEMSSMRSLTEIDLSNCNVVTKSKLEALFGGLESLIILYLKDCGNLLELPVNIDSLSLLYELRLDGSNVKMLPTSFKNLSRLRILYLDNCKKLGCLSEVPPHIEELHVNNCISLVKVSSLKALSHSMKGWKKEISFKNTIKLDAPSLNRITEDVILTMKSAAFHNTIIVYDVHGWSYNGVHFWLPGCTVPSQFKFRAIGSSSSITIKIPPLSKDVGFIYSVVVSPSFQMEEHGNNLEIRFKYYSESGDLNFINSHSIKDVSLDHVFMCYNEPHFIGNAFEFSVTNLSGDLNGSYILKECGIYPIYYSEFPRLAATMNLDRDLEKEIALELSRSLGSDFHEYIQQFQSESIARYDDDGDDDEREIGNEIDESVERRDENERTSIQNNQQDLNLNEKCRCSSFDCFMGPLSYLWRLIRHGLL >RHN55340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17496348:17496611:1 gene:gene30510 transcript:rna30510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MADTISSPDLPKIKKHNAGDMKDMISNMPDAILHYILSLLSTKEAVRTSILSPKWRYSWTQLSVFEFKIPHPLYESNLENEDLLTAS >RHN80561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39080084:39084241:-1 gene:gene4501 transcript:rna4501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MTEFEELPEGCISAILSRTTPADAGRLSVVSKTILSAADSDAVWNQFLPSDSHFIDSIISLANVPTKKSLYLALSDSPIIIDNDQKSIQLDRKSGKKCYMLAARSLSIAWGNDDRYWNWIAMPDSRFPEVAELLVVCWLHISGMINMLALSPNTQYAAYLVFKMIGGFGFRNPNCPVVLSICVEGGHKSTKIVCLDPNVEGRLHNVAVGLQRPSVRSDGWLEIEIGEFFNSGEEDEEVQMNIKETDDYNSKSGLFLEGIEVRPK >RHN80281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36790390:36792830:1 gene:gene4186 transcript:rna4186 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFPGTPGTVLGLILRLSQFIFAAGSIAFMVSSSSFFNFTAFCYLIAAMGLQAIWSFVLALMDAYAVVRRKVLHNPVLVCLYLVGDWATSTLSLAAACSSAGITVLFFNDLRHCYFGEECRNYQLSVVCAFLSWIPISISSLIMLWLLAAG >RHN80593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39266533:39267103:-1 gene:gene4533 transcript:rna4533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MMKKESKKLRSRRKGGPENALCNYKGVRQRTWGKWVAEIREPKRGTRLWLGTFNTSIEAALAYDNASKRLYGESARLNLAPSQSSTTTSIGIDPTQSTAPKCIEENSNNNNNNKGVLVETNLDLELQSLPWNTSDFVMDDFMELNDTLLAQGLKDWDPSSLLEI >RHN52309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33745164:33746247:1 gene:gene36970 transcript:rna36970 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNKKDEGVGQKGIPIHSQVMKIKQESEKIFDWSPGKPEIRPVLREISRRISRSPLGISGQAISVEGGFCYDFLLLCFIMFIQVHWWWRLGTGLIRILNFEWFSGKVQRKDKFN >RHN57184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37169253:37170004:-1 gene:gene32692 transcript:rna32692 gene_biotype:protein_coding transcript_biotype:protein_coding MHDRSNSSHTPSSTSTTSCVYVCVPSNLASWYQQAHQQYCSY >RHN65029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63744806:63747250:1 gene:gene27922 transcript:rna27922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative short-chain dehydrogenase/reductase SDR MEIPKRFKGKVAIVTASTQGIGFTIAERLGLEGASVVISSRRQKNVDVAAEKLRAKGIDVFAVVCHVSNALQRKDLIDKTVQKYGKIDVVVSNAAANPSVDSILQTQDSVLDKLWEINVKATILLLKDAAPYLPKGSSVVIISSIAGYHPPASMAMYGVTKTALLGLTKALAGEMAPKTRVNCVAPGFVPTNFASFITSNSAMREELEAKTLLGRLGTTEDMGAATAFLASDDASYITGETIVVSGGMPSRL >RHN76591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51499758:51503055:1 gene:gene12941 transcript:rna12941 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CCR1 MPAATAAAAAESSSVSGETICVTGAGGFIASWMVKLLLEKGYTVRGTLRNPDDPKNGHLKKLEGAKERLTLVKVDLLDLNSVKEAVNGCHGVFHTASPVTDNPEEMVEPAVNGAKNVIIAGAEAKVRRVVFTSSIGAVYMDPNRSVDVEVDESCWSDLEFCKKTKNWYCYGKAVAEAAAWDVAKEKGVDLVVVNPVLVLGPLLQPTINASTIHILKYLTGSAKTYANATQAYVHVRDVALAHILVYEKPSASGRYLCAETSLHRGELVEILAKYFPEYPIPTKCSDEKNPRVKPHIFSNKKLKDLGLEFTPVSECLYETVKSLQDQGHLSIPNKEDSLAVKS >RHN80708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40285235:40302789:1 gene:gene4665 transcript:rna4665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MHKVGSVGNLNNSTRPRKEKRLTYVLNDADDTKHCASINCLAVLKSAASDGSDYLFTGSRDGKLKRWALAVDAATCSATFESHSDWVNDAVLVGDSTLVSCSSDTTLKTWNALSTGTCTRTLCQHSDYVTCLAAAEKNSNIVASGGLGGEVFIWDIEAALASATKCNEAMDDDTSNFVNSSGNSLPMTSLRTIGSSNSISMQAQTEVYIPVTAKGHKESVYALAMNEGGTLLVSGGTEKVVRVWDPRSGSKTMKLKGHTDNIRALLLDSTGRFCLSGSSDSMLRLWDLGQQRCVHSYAVHTDSVWALASNSTFSHVYSGGRDSSLYLTDLHTRESVLLSTGEQPILQLALHDDSIWVASTDSSVHRWPAEACNPQKIFERGNSFIAGNLSYSRARVSLEGSTPVPEYKQPILTIPGIPAIVQHEVLNNKRHVLTKDTSGSVKLWEITKGIAIEDYGKVPFDKKKEELFEMVSIPAWFTVDTRLGSLSVHLDTPQCFAAEMYSQELNIVDKPEDDKINLARETLKGLLAHWLRKQKQRMGTPASANGELLSENDIGTRSLSHSRIEVDGSSENDTMVYPPFEFSIVSPPSIITEGTHGGLWRKKITDLDGTEDGKDIPWWCVDCVSSNRLPPRENSKCSFYLYPCEGSNVQIHTQGKLSAPRILKIQKVINYVIEKLVLDKPLDSVNADGSFPPGIAGSQLQHQTVGDGSFRSGVRPWQKVRPSIEILCNNQILSPEMSLATVRAYIWKRTDDLALHYRVIHGR >RHN63215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49429917:49430510:1 gene:gene25891 transcript:rna25891 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCSSSLCNLRYSANPINDFGVIHVADLETWLFRRSKSSHMCRHLYFVVYAINMDVVSLFTDSSFLFLANLHYFDVLYQFE >RHN55639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20403026:20404306:1 gene:gene30851 transcript:rna30851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKRTPSSMPMKRKMTASSLKSSSQQLDEEEAYLYLPDDCWESIFKFILNDNNRHRLNPRPCFNSLSRVSKQFLSITNSLLFSLTVNPSTVPFVKGLLQRFTNLTSLHLNSRFDVVNDILCLLSQFPLKKLTSLAITSNHSFPANGLHAFSQNIKTLTSLSCSCAWHDNNDLLLIADYFPLLKQLNLSRPSTINNPTNFITSICSLLSKCPCIQHLDLQKTYFLNDQLVAELFLADLVSINLSDCLHLTELALYSLVRNCPSLCEIKMEYTSIGKESEGSSDSLEQFGVYPRLKSLYLGHNPWLSDEIIIIFASMFPNLQHLDFPWCNRISEDICQFLTRCCKLRHLNLAGCRRAKLLGINVVIPQLEVLNLSYTNVDDETLSVISRNCCGLLQILLKNCDNVTMKGAKHVVENCTQLREHGCLIEF >RHN64650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60736038:60736721:-1 gene:gene27484 transcript:rna27484 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFFFDSLIRLYTRSYHSLHIPNLSPTLYLLFLSFFVPVC >RHN60866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31134277:31136249:-1 gene:gene23254 transcript:rna23254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MDNKQNATTTISTTASNTENPTTPAATTAPTSPRSTHNPTTTGKEKEKIESEVNKKKEDSASTEIVIRNEVIRKFSFDDLNDATEGFKIRYFAGQGGFGKVYKGLLPTKQVVAIKRLDSNSEEKAEEFKTEVETLSNASHQNIVQLIGYCNENEHKLLVYEYMKLGSLDDHLFGNLDWDARMKIAAEIAKGVEYLHVKMNPPMIYCDLKSANVLLGDGYDVKLSDFGYAKVGPEHESGVVYGSYGYCDPCYGETGTVSFESDIYSFGVVLLELISGRRAIDDTRLGDDQNVVFWASSVFKDMNKFKDIVDPLLEGKYHEGDLPKVVGIAARCVQKKVHRRPNISQIRSSSTL >RHN43191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43912443:43913557:1 gene:gene49731 transcript:rna49731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane attack complex component/perforin (MACPF) domain-containing protein MLHLGYISKVQKDLASIVHLAYDGWFIKRYTVELEKYHGELYDHVKEAVPSSWDPEAVARFIERFGTQVIVGVSMGGKDVLYVRQEDTSDPHDPASIQKLLTETASLKFMDSANSHHVASQDLSNIKEVPWTSLFWPCCMLCWKSKIRIIKSFKLKIVLNENLRIDLILRQDL >RHN82818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56588203:56605053:1 gene:gene7008 transcript:rna7008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative importin-beta domain-containing protein MDEVGVILEGTLSPNPNERKAAEQRLDEIQYAPNHLPTILQIIVQLDSDISLRQVAAIHFKNFIAKNWSSTAHSISFADKDFVRNHILLFLPQLPSLLRVQLGECLKTILHSDYPDHCPNLLDWIKHNLHDQQHLYSALFVLRILSIKYEFKSDEDRTPAYHIIQETFPHLLNIFNTLLQIPNPSIQVADLIKLICKIFWSSIYLEIPKLLFDLNVFSAWMVLFLNVLERPVPLEGQPVDPDLRKSWGWWKLKKWTIHILNRLYTRFGDLKLRNPETKAFAQMFHKHYAGKILDCHLNLLNVIRAGGYLPDRVINLLLQYLTNSISKNSMYTVLQPRLDVLLFEIVFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTASVDFVSELVRKRREDNFHKFIQFIVEILKRYDEAPVEYRSYRQKDGALLAIGTLCDKLKQTEPYKSELERMLVQHVFSEFGSPVGHLRAKAAWVAGQYAHISFSDQNNFRKALQCVVCGMRDPELPVRIDSVFALRSFIEACKDLEEIRSLLPSLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNTADADDEADDSSSMAAVGCLRAISTILESVSSLPQLFVQIEPTLLPIMRSMLTTDGQEVFEEILEIVSYMTFFSPTISLDMWNLWPLMMEALSDWAIDFFSNIMVPLDNYISRGTSHFITCKEPDYQQSLWNMISSIMADKNMEDSDIEPAPKLIEIVFLNCRGLVDHWVEPYLRITIERLHRTGKSYLKCLLIQVISDGLYYNAALTLSILQKLGVASEIFNLWFQMLQEVKKSGVRANFKREHGKKVCCLGLTSLLALPADQLPGEALGRVFRATLDLLVAYKDQVAEAAEEEEEEENDDDDMDGFQTDDEDEDDSGSDREMGADAEDGDEADSSKLRKLTDQEKSFRPDDEDSDDDFSDDEELQSPIDEVDPFIFFVDTMKVMQSSDPMRFQNLTQTLEFSYQALANGVAQHAETRRGEIEKEKSEKSAATTDS >RHN78877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19494342:19495902:-1 gene:gene2543 transcript:rna2543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family METNSEEVHVLAVDDSLIDRKVIERLLKISACKVTAVDSGIRALQFLGLDEQRENSESDGSVPLKVDLVITDYCMPEMTGYELLKKIKESSTFKEIPVVIMSSENVLPRIDRCLEEGAKDFIIKPVKLCDVKRLKGYMATREVGVAINNKPKLEEACKHSLSPPSISSSSSPSPSSSPLSVSSVIDSPIRRFKMTDTE >RHN76094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47623363:47626834:1 gene:gene12371 transcript:rna12371 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLASTSNSSTALGGWTVLQVSKIRNNRSVSSSFSLRICATCDDRPHGPSCIYVGPLQTATQETLEALYSQARDAYYRGEPLIVDDMFDRVELKLKWYGSKSVVKYPRCSIRRQSTYADAEEDLSMVFALASAWTLFFAFGSSAMVGPMFYSISLAYQNAFNLGLSYGSQASELSPLFMVNTILFTALGFVIGYPVASASVKTLQGLWRNDLAALKGSCPNCGEEVFAFVRTDKANNSPHRAKCHVCECLLEFRTEVEQSTSIFGRQWVYGRIYLVRKSRRQREL >RHN69175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41529678:41532234:-1 gene:gene17609 transcript:rna17609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rapid ALkalinization Factor MSQLRFTSTIFLFLTLLFHAYLPICTSLLPTTVDLNLLKHSEINDAVVITKRVCTKTIGECLTDPEMMMMDSESNRRVLAMQKKYISYDTLKRDMVPCDRPGASYYNCHRRQANPYSRGCEVITACVRG >RHN59051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7099833:7102043:-1 gene:gene21035 transcript:rna21035 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNFNFNHKKDNAGDFVGTGLDSNGTGLDPPPDFNLEEEEAWSSWRGFPPSARYSHSSFCCAGATR >RHN64069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56351696:56358037:-1 gene:gene26849 transcript:rna26849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MDDSLYDEFGNYIGPEIESDLDSDGDDPSDRDEPNEEDDRAAQSDGEGPSNGWLTTTTDDMDTLENQIVLAEDKKYYPTAEEVYGEDVETLVMDEDDQPLEQPIIKPVKNKKFEVGVKDSSTYVSSQFMLGLMSNPSLSRNVALVGHLQHGKTVFMDMLVEQTHHMATFDSQSEKHMRYTDTRVDEQERRISIKAVPMSLVLEDSNAKSYLCNIMDAPGHVNFSDEMTAALRLADGAVLVVDAGEGVMVNTERAIRHAIQERLPIVVVMNKVDRLITELKLPPKDAYHKLRHTLEVINNHIAAASSVAGDVQVIDPVAGNVCFASGTAGWSFTLQSFAKMYGKLHGVPLEANKFASRLWGDFYYHPDSRTFKKKPPVGGGERSFVEFVLEPLYKIYSQVIGEHKKSVETTLAELGVTLSNAAYRLNVRPLLRLACSSVFGSASGFTDMLVQHIPSPRDAAVKKVDHIYTGPKDSSIYKAMTQCDSSGPLMVNITKLYPKSDCSVFDAFGRVYSGKIQTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARDRMPIAEAPPGSWVLIEGVDASIMKTATLCNVDFDEDVYIFRPLLFNTLSVVKTATEPLNPSELPKMVEGLRKISKSYPLAVTKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLERGLAEDIENGVVSTDWNRKKLGEFFQTKYDWDLLAARSIWAFGPDKQGPNILLDDTLPTEVDKSLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKIVDARIAPEPLHRGSGQIIPTARRVAYSAFLMATPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPQPGTPAYLVKAFLPVIESFGFETDLRYHTQGQAFCQSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSIGKFFDEAMMVELAQQAADLHQQMI >RHN65638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3979676:3984978:1 gene:gene13502 transcript:rna13502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MSSVSGNMNGATIPIVEGVEKLGNNIDVNIVQNGEESVVDYIPHLEMEFESEAAAYEFYNKYSRRIGFGIRREYGNKSKKDGILTSRRFTCFKEGKRGVDKRDHLTKEGRVETRTGCDARMVISLDRKIGKYKVVDFVAQHNHLLEPPGYFHTPRSHRQISESRACQVVAADESRLKRKDFQEYVFKQDGGIDDVGYLQTRRMRSLMYGEVGALLMHFKRQSENPSFYYDFQMDVEEKITNVFWADAQMINDYGCSGDVITFDTTYMTNKDYRPLGVFVGLNNHKQMVVFGATLLYDETIPSFQWLFETFLKAMGGEKPKTLLTDQDEAMAKAISVVMPQTFHGLCTWRIRENAQTHVNHLYQKSSKFCSDFEACIDLHEEEGEFLNSWNVLLVEHNVSEDSWLRMIFQLKEKWAWVYVRKHFTAGMRSTQLSESFNAELKNYLKSDLNLVQFFSHFGRIVHGIRNNESEADYESRHKLPKLKMKRAPMLVQAGNIYTPKTFEEFQEEYEEYLGTCVKNLKEGLYVVTNYDNNKERMVIGNLMDQKVACDCRKFETHGILCSHALKVLDVMNIKLIPQHYILKRWTRDARLGSNHDLKGQHIELDTKAHFMRRYNDLCPQMIKLINKASKSHETYTFLSKVFEESDKIIDDMLAKKFVGVESLEMSHVSIPVAMEKIDNNVHTLDVGGEQGIKKARLLK >RHN38519.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:541099:543923:1 gene:gene50635 transcript:rna50635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MFILIFFFEKSQFNAVEGPLNKGSKIPNPVSNDISLADLMKAVENLSKRLDGMEVSNKKLIKDAIQELKNHVQTPNSINGDCTHKYTCTPSPDVEDKRKKGNKTTDWVKNMEKLKNCGTIDISDNEEDDSNGKKRKRESTSYVRADQMSKRQYINKDKQVEMDTSNMKDEVSPHSLGIKPFSSDYRRGVRGKESMTTSASGSMPRRLSFTSSPSPGEGSKGKSCASPYGNKKASPMTGTVKRSSPLGRVKSGAAIGRTAASRKTTALMVPKEDVARGNAIEDLHAYYGKDWLGHFERLRLIYVPIEDSRGHWSLMVISIDDSKIYQLDSHLTVDVREGRRKTIRDIGAALAKLIEIVYDGCMSFCALPDFQYWDIELATGIPNCGTSDNSALWVVEWMNMESSFTNNLMGVIDEDVSRMAVTMRLLTGNHNECNNDLIKNARDYWNMMTIRANKA >RHN60672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29290954:29293305:-1 gene:gene23022 transcript:rna23022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, phosphoribosyltransferase MANQNHNQNQRKQKEDFDLKETTPNINAGRVISGDRLPITFDLVEQMKFLFARVVRANDLPETGKSDTCNPFVEVKLGSFVGTTRVFEKTSNPEWNQVFAFSKERIQEQVLEIVVKEKDPVADEPNVIGRVAFTISDIPMRVPPDSPLAPQWYKLEGQNMVKLDQGELMVSVWMGTQADESFPDAWHSDATTTSVENITYTRSKVYISPRLWYLRVNVIQAQDLLLKGNSEIFIQGVLGNLALRSRPMKINPNPVWNEDLMFVSAEPFDESLLLSVEQGQGNSNKHENLGSCVIHLKDVEKRIDATPTASVWYNLQKPKELEGKEEVKFSTRLHLRISLDGGYHVLDEATHYSSDLRPSSKYLNKPSIGVLELGILNAVGLSPMKKDRTDAYCVAKYGSKWVRTRTIVDNLSPRWNEQYTWEVYDPCTVITIVVFDNGHLHGGGKNNVGGKNGDGGVDKRIGKVRIRLSTLESDRIYTHSYPLINLHTQGAKKMGEIQLAVRFSCPSLLNVLQTYAQPLLPKMHYICPLSMFQIDSLRNQAAAITILRFRRAEPPLSKEVVEFMLDMRSNVWSMRRGRAQFYRIASLLSGFVSIVKLIEEIHSWKNSVTTIGGYSIFCFFNYKPGAILPLTFTFLLLNGIWQYRIRPRYPSHMDIKLSHADTATTEELEEEFDPFPTKFSGGNLQKRYDRLRGISGRVLAVMGDLATQGERVQSLISWRDPRATALFLIFCSIAAILTYFIHFRYILFISVTYVLRPPRLRFDMPAFPQNFLRRMPAKSDGML >RHN48084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44859570:44868057:-1 gene:gene42759 transcript:rna42759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MVFGQRDRNKPFVARRNYQPNANANANRNNQTHSSPRRQKIIEQRKSLPIASVEKRLIEEVQKNDILIIVGETGSGKTTQIPQFLFHAGFCHDGKVVGITQPRRVAAITVAKRVAEECGYELGQKVGYSVRFDDSTSNSTRIKYMTDGLLLREALLDPYLSKYSVIIVDEAHERTVHTDVLMGLLKNVQHARSNSIKDGRNLDNGNKNTNGFMLLDKDNGQNGSFLRKGHREKSSPLKLIIMSASLDARTFSEYFGGAKAVHIQGRQFPVDIFYTRRPETDYVDAALITIFQVHQDEAPGDILVFLTGQEEIDAVERLIKERLSKLPQENQKLQVLPIYAALPSEQQMRAFEPAPSGFRKVILATNIAETSITIPGIKHVIDPGLVKARSYDPGKGMESLIVVPTSKSQALQRSGRAGREGPGKCFRLYPENEFEKLEDSTMPEIKRCNLSNVILQLKALGVDDILGFDFIEKPSRTAIIKSLEQLFLLGALTDDCQLSDPIGRQMARLPLDPVYAKALILASQFNCLEEMLIAVAMLSAESIFYFPREKYEEARTAAKSFASPEGDHITLINVYRAATDFLEKRTIETNKTKNEKDFRKWCKENFINSRSLRHARDIHRQIQGHVQQMGLKLASCGEDMLQFRRCLVASFFLNAAVKQPDGTYRALASGQVVQIHPSSVLFRKKPECIIFNELIQTNNKYVRDLTRVDNLWLTELAPQFYAMQN >RHN71524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:751645:751878:-1 gene:gene7133 transcript:rna7133 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRKEKARIDEIQRLKLKSEELILSLQEAERRHDIERAASLRYGAIDDVEDAIQLLGDSTDESLMLTETAVLEYKT >RHN41834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33439306:33439512:1 gene:gene48196 transcript:rna48196 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPTSLVLLYDILSICLLFERFLTIASFSSTNERNVRRREDPEPWPEESISSGLCFLYCLKV >RHN39344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6227166:6234449:-1 gene:gene45354 transcript:rna45354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MSYSSKKHDVFLSFRGEDTRYGITSHLHAALIHKSIKTYVDSLLERGEDIWPTLAKAIEESHVSIVVFSENFATSTWCLEELVKVLECRKVKGQVVIPVFYKTDPSDIRNQTGSYENAFAKHERDLGTNDLKVLNWKVALAEAATISGWHTQTHKEESILIDKIVNDVLQKLQLRYPNELEGVVRNEKNCEQVESLVERFPRLGIWGMGGMGKTIIAKVLFAKLFAQYDHVCFANAKEYSLSKLFSELLKEEISPSNVGSAFHMRRLRSRKVLIVLDNMDSLDQFEYLCRDYGELNKDSRLIITTRDRQLLSGRVDWIYEVKQWEYPKSLELFCLEAFEPSNPREKYEHLLQRAITYAGGVPLALKLLALHLRTRDIAFWESSFKKLDDHRDDKLHKVLKVSYDELDALEKKIFLDIAFFFIGEKKESVTKILDACGFEPNSGIVVLKDKALITISNNQTIQMHDLLQKMGSDIICNDCGEDPAAHTRLSGSKARAVIEENKGSSSIEGITLDLSQNNDLPLSADTFTKMKALRILKFHAPSNLQRCTNTYLNLPKFLEPFSNKLRYFEWNGYPFESLPQHFYAKFLVEIRMPHSNVKQLWQGTKELGKLEGIDLSECKQFEKLPNFSKASSLKWVNLSGCESLVDLHPSVLCADTLVTLILDRCTKVRRVRGEKHLNFLEKISVDGCKSLEEFAVSSDLIENLDLSSTGIKTLDLSIGRLQKLKQLNLESLRLNRIPKELSSVRSIRELKISGSRLIVEKKQLHELFDGLQSLQILHMKDFINQFELPNNVHVASKLMELNLDGSNMKMLPQSIKKLEELEILSLVNCRKLECIPELPPLITLLNAVNCTSLVSVSNLKKLATKMIGKTKHISFSNSLNLDGHSLGLIMESLNLTMMSAVFHNVSVRRLRVAVRSYNYNSVDACQLGTSIPRLFQCLTASDSSITITLLPDRSNLLGFIYSVVLSPAGGNGMKGGGARIKCQCNLGEEGIKATWLNTDVTELNSDHVYVWYDPFHCDSILKFYQPEICFEFYVTNDTGREVDGSVGIKECGVRLVSVQELESVLPELDSQKKEELKKAVELESGRRITLKPIVQEQRSAMVKLESVELESHFFNVEKSIRSSNNETNTNAGTSHEENITNSAKVFESKGNEGRPTESEAGLYLPVMSAFEGNTSDNKSSENNYDGKDNFDETKQSEGKPNIQGQVIVPDTTDPISKENSPMDLRNFEDESDEDPFAELESILLGSPESPPKVTCSTSDDVAVKEALHNLECLFENSLESILYDVELQQQLHTSLECIKLATNEKVSPNVVKLVQKMTSFIQNLSKNFVMTKNVVEDHINALRKREKLVQLMRDGKKQKKSMMKEKSQFEDEAKRLVEEGEKVEEKIRILVEQKNSIELEKIKLKESMERHEDEKKKLEDEAKNKITESKELMSSIKKSKTSYDAALSKQQKMKDKWEGFRVDFADNYGSSSS >RHN62756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45659064:45660864:-1 gene:gene25361 transcript:rna25361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ccc1 family protein MAVGTICDSESLNKVITIPNPTKEMEEKQIKIKENCKTSDIDYWQRAQWLRAAVLGANDGLVSVASLMMGVGAVKTDSATMLVAGFAGLIAGACGMAIGEFVSVYTQYEVEIGQMMRDLGTSDRKEKELEIELEKRRSLPNPLQAAAASAFSFSIGGLVPLLSGSFIRVYKIRIIAIMAIASLALVVFGGVGAMLGKTPKVKSSIRFLLGGWMAMAITFGLTKLLAHCSGLDLEI >RHN80798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40936377:40941080:1 gene:gene4765 transcript:rna4765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MASAMVEDANFEDDQLANMSTDDIVRASRLLDNEIRILKEESQRTNLELESYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDDRIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >RHN42483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38755422:38757072:-1 gene:gene48930 transcript:rna48930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronoxylan 4-O-methyltransferase MQVIERTRNRYHFVTENRCSVVLLSILGIIGALLFITTIFQTSDNTLFCSLTRTNLQTTNQNPYPTPIQLRALLHYATSHVVPQQSFSEITVTLDVIKSLNRPFNFLVFGLGHDSLMWAGFNPQGKTLFLEEDPKWVQTVLKDAPELQAFTVHYRTQLQEADELLKSYKSEPSCSPAKATLKGNEQCKLALHNLPDEIYETEWDLIMIDAPRGYFAEAPGRMAAIFSMTVMARNRKGSGVTHVFLHDVDRKVEKVYAEEFLCRKNLVKGVGRLWHFEIPALGNNLSSHDSSIGSRFC >RHN65553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3156183:3156365:-1 gene:gene13400 transcript:rna13400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSFQCVEEFKNEVMLIAKLQHRNLVRLLACCIEQNEKLLIYECMPNSSLDFQLRGITLKH >RHN49374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54367835:54373537:-1 gene:gene44196 transcript:rna44196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S24e MADKAVTIRTRKFLTNRLLSRKQFVVEANHPGKPNVSKSELKEKLATMYGAKDNTVFVFGLQTNFGGGKSTGFGLIYDSVDNAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKIRGVKKTKASDAAKAGKKK >RHN55656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20684915:20685601:-1 gene:gene30873 transcript:rna30873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MAAISMSMTMSDNVSHIYHNNITGSRYDYYISLMWKGQEDVFKNPELLLKSIDLSGNNLTGEVPKEIGSLFGLVSLNLSRNNLSGEIMYDIGNLKSLEFLDLSRNRFCGEIPNSLAHIDRLSVMDLSYNNLIGEIPIGTQLQSFGAYSYEGNLDLCGKPLEKTCSKDDVPVSLVFDNEFEDEESSFYETFYMSLGLGFAVGFWGFIGPLLLSRSWRYSYIRFLNRFNW >RHN76675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:319248:320201:-1 gene:gene38 transcript:rna38 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tyrosine--tRNA ligase MIEVWKAIGMDMEGGKVEFLWSSKEIDARADEYWPLVLDIAQKFSVQRILSCSEIMGRSENMKNPLVLLKYSIHACNVLTFFSSRSTFAKWEWINEK >RHN54731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11687279:11690914:1 gene:gene29809 transcript:rna29809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADSSVSFLLDKLTWLLQEEVNLQRGVREDVQYIKDELERHKSILMLADSLEDKDPELKVWVKRVRDIAQDMEDAIDEYYLRLVDHQQGKIKSSYHKIVFGIKTMKARRKIASNIQGIKSKVEVISHRRPIIPSSSSQRLSSRLDSQGDALLLEEADLVGIEHPKKQLCDLLFKDESNRAVISIYGMGGLGKTTIAKQVYDDPKVKKRFRIHAWVNLSQSFKMEELLKDLVEQIHILIGKPVPEAVERMKSDKLKELIKDLLQRSRYLIVLDDVWHVNVWDAVKLALPNNDRGSRVMLTTRKKDIALYSCAELGKDFHLEFLPEQEAWSLFCRKTFQGNNNSCPPHLEEVCRNILKLCGGLPLAIVAISGALATKGRSNIEEWQIVCRSFGSEIEGNDKLEDMKKVLSLSFNELPYHLKSCLLYLSVFPEFHAIEHMRLIRLWVAEGFVNGEDGKTLEEVADRYLKELLNRSLLQVVEKTSDGRMKTCRMHDLLREIVNFKSRDQNFATVAKEQDMVWPERVRRLSVINSSHNVLKQNKTIFKLRSLLMFAISDSVNHFSIHELCSSTGVKLLNVLDLQDAPLEDFPLEIINLYLLKHLSLKNTKVKNIPSSIKKLQYLETLDLKHTCVMELPFEIAELKRLRHLLVYRYKIESYAHFHSKNGFKVAAPIGNMQSLQKLCFVDVDQGSGALMVELGRLTQLRKLGIRKMRKEDGAALCSSIEKMINLRSLSITAIEEDEVIDIHDISNPPRYLQQLYLSGRLEKFPQWINSCKNLVRVFLKWSRLEEDPLVYLQGLPNLRHLEFLQVYVGEMLHFNAKGFPSLKVLGLDDLAGLKCMIIEEGAMKGLKKLVMQRCGSFKNVPLGIEHLTKLKTIEFFDMPDELIMALRPNVGADYWRVQNVPTVYSTYWRDDGWDVYSLETFGERESAKRTRELPTLWKV >RHN81675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48001780:48008699:-1 gene:gene5749 transcript:rna5749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALIQMQDVPSAVSALHFYGNTQPSIRGRNVYVQFSSHQELTTIDQSQGRGDEPNRILLVTIHHVLYPITVDVLYQVFSPHGSVEKIVTFQKSAGFQALIQYQSQQSSITARTALQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPNLPTEQKGRPPQLGYGDAGNMYGVQGSGPRTVGYPQMPNAAAIAAAFGGGLPPGITGTNDRCTLLVSNLNPDRIDEDKLFNLFSLYGNIVRIKLLRNKPDHALIQMGDGFQAELAVHFLKGAMLFDKRLEVNFSKHPNITQGADTHDYSNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDITEDEIVTLLEEHGTIVNSKVFEMNGKKQGLVQFETEDQATEALVCKHATSLSGSVIRISYSQLQNI >RHN48113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45123703:45125214:-1 gene:gene42789 transcript:rna42789 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNQDIRSGGSALYPTMLESPELRWSFIRKVYSIITIQLLLGIAVAFAIIFVHPIANFFFNSKLSHVLRIVLFIVPFIILCPLYWYHNKHPLNHFLLLIFTVAVPFPIGLIWALFSGKAILVSVISTTAVAFSLTLYTFWAAKRGHDFSILGRFLFEALLVLILFALILVQFPLDKLSHVIYGCLVAIIFYGHIFVDTDNLNKKFSNDEYILASVFLYQNIIPFYFCILLIFTHIFSSTKEKLVNGMGLSEKLHKKL >RHN61817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38570500:38570676:-1 gene:gene24316 transcript:rna24316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucoside 2''-O-glucosyltransferase MDLTQHDIETHLSNLKPHVVFYDFTHWLPSISKRLGIKALHYCTASSVMIGWLHSNTS >RHN52705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37896502:37902057:-1 gene:gene37403 transcript:rna37403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MSLDSPLIVEETKQNNKKEEDRRELVEEVKKQLWLSGPLISVTLLNFGINLISVMFVGHLGELPLSGASMATSFASVTGFSLLQGMASALDTFCGQSYGAKQYRMLGVHMQRAMFILMVVAIPLAVIWANTRSILLVLGQDPEISIEAGSYAKLMVPCLFAYGLLQCLNRFLQTQNIVFPMMFSSAMTTLLHLPICWFMVYKSGLGSRGAAIANSISYWINVTILALYVKFSPSCKKTWTGFSKEAFALNNIPIFLKLAVPSAVMVCLEMWSFELMVLLSGLLPNPKLETSVLSISLNTSALVWMIPFGLSGAISIRVSNELGAGNPRAARLAVYVVVVIAIIESIVVGAVIILIRNIWGYAYSNEEEVVKYVAIMLPIIAVSNFLDGIQSVLSGTARGVGWQKIGAYVNLGSYYLVGIPAAVVLAFVLHVGGKGLWLGIICALFVQVVSLTIITIRTDWEKEAKKATDRVYDSITTESLVS >RHN61805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38506878:38512900:-1 gene:gene24304 transcript:rna24304 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEQRSLEETPTWAVSVCCFFVLVISLIIEGGLHKLAEFLRKKKRKSMGKALTKTKTEMMKLGFVSFLLTISEVPMSKICINKDVANSFRPCKDSEYLAGEVSRKELGYHDNYCEAKGKVGLISSEGILELNILISILAVFHILYCTLTMCLGKAKMRRWKKWEEETQTLEYQIDNDSRRFQYISQTLGGKRHFKFWNNYSPLLWIVCFMRQFYNSVSKDDYFALRNGFIAKNISEGSNFNFNFKKFLSRTYDEDFEKVVGIRFWIWLFSIFFIFLSAHEFYNYYWLPFIPLVIALLAGTKLQVIITKMHLDSCKQSPVIKGTILVKPSDEYFWFHKPEWLLHLIQIILIQNSFQLAFFTWSWYEFGPRSCFNREKEDIAIRIALGLAVQLLCAYVTLPLYTLVTQMGSNMRKEIFTEHITQGLKNWHKKAKQSLSKSNNNSVSLHSRTSENSVRRSLSIESMQNNNKVENEDMQSVIVVTSPPPGNNSGSGEEEEIVNVASVEQEISSESTSEIKNVEENNGKIITRGIYDGEISFGVYVGSSSRGIGEIVSIAEEEDDDTSDQN >RHN48315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46553058:46553243:1 gene:gene43017 transcript:rna43017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arginine--tRNA ligase MVESCSVAGLGFVNIVLSNKWIEKVVQKRFDFNSIQISLFSSKFYMLCSWFKNQSNKLYNQ >RHN52783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38761885:38766631:1 gene:gene37492 transcript:rna37492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MFFSRLCFCLQKKHGTGSEDGGDDDEDTVDPGDSGNLLFELNTLQLATNFFSELNQLGRGGFGPVFKGLMPNGEEVAIKKLSMESRQGIREFTNEVRLLLRIQHKNLVTLLGCCAEGPEKMLVYEYLPNKSLDHFLFDKKRSLDWMTRFRIVTGIARGLLYLHEEAPERIIHRDIKASNILLDEKLNPKISDFGLARLFPGEDTHVQTFRISGTHGYMAPEYALRGYLSVKTDVFSYGVLVLEIVSGRKNHDLKLDAEKADLLSYAWKLYQGGKIMDLIDQNIGKYNGDEAAMCIQLGLLCCQASLVERPDMNSVNLMLSSDSFTLPRPSRPGVQGRVGKWNTTTTSALSNTNGSTATRASGGSSFVEDYSRNSISTSSFDEGR >RHN66729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17757173:17763455:1 gene:gene14796 transcript:rna14796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-KNOX family MEEPMELQLEDNTTSTEKNSKRKLKTPAQLMALENFYNEHKYPTEEMKVELANELALTEKQISGWFCHRRLKDKRLMKGEVCANGRQDQSSGVVQDRVSGLRQDSCGSTKHGEYRCLDPKEVESHGLYNHEVLVADMKYVLRNHCTENDSETDNSSPESSSSLQERYYLQGQDPYDMELSRHATPNGALPPLNPTGYKPSGYLKVKKEIEHAAITAVKNRLGRHFLEDGPLLNVDFDTIPPGAFEFQTANPVNETYHVANPSLSNSPEDCAPNRQSCLNSSIDLYMNKLSSQDFQDKKSHQLIQQRTNFQGRPIHTNHLPGKNFPVDLNVDSTGEAPSYNGTRNHRIGTKHGVERMRSDSASNPNRYHENNLVIMKSDPLLHGYNNGNVKNVQRSGYIKSEPSNAMHNSRISMDSEERGLSEWMAKEEKFNGTRKIKKEYHESDGVRMPSREIVVAKRAKFDLLHQYDIKQEPAAEIEPRKTQRSAVELPSSFSEDDETAETSSSLG >RHN70109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48834178:48837431:1 gene:gene18658 transcript:rna18658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oleoyl-[acyl-carrier-protein] hydrolase MATISNIGLQGNWNVSNAKKENMINSRKVLEVNFNSSHQNNDTLNNHNKRRFSLVITNSNHGSTFHKVDTINGTKVNGLQVVEAPKKLLNEENTTDVALVTNGRFVEGRFVFRQIFVIRSYEIGPDRTATMETLMNFLQETALNHVTSSGIGGDGFGATREMSLRKLIWVVTRIQVQVQRYNKWGEEIEIDTWVDAAGKNGMRRDWIIRDRCTKEIITKATSTWVIMNRETRRLSKIPEEVRKELTPFYLHKIAVASEERDCEKIDKLTDDTAERIQSGLAPRWNDMDVNQHVNNVKYIGWILESVPIKVLEDYNMTSLTLEFRRECTQSDTLESLTCPTERVIGESDNNSSNRKPDQQYTHLLRLQDDQKDVVRARSEWNLKQNQQ >RHN82089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51196224:51197015:-1 gene:gene6210 transcript:rna6210 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFMRESGESFTMEIGHSETFLEVKKKIEKHHNIPVSKQVFFFNDQLLQDDQIIWLSGLTNASAIHLHLTSDPPIRILVQLYESDSNFPLEINRSNTVLQLKHKIHEVYTHIPVNEMSVQFSSFKGDHVFNNQSLQELGVSNNSIVLVFTIKNFLEPKKAPVATLPPVPEFSTPSRKLSLNVVPMAIRGKDDFIVDDIESCAEVSELRKFLECYNSSVLPEDRRYFFIHNQSVMHEDKSFQWHGVQDGDTIELFDGHVSGT >RHN62702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45263749:45264209:1 gene:gene25303 transcript:rna25303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (3S,6E)-nerolidol synthase MVYKCDAYFMHFSYVPNSTFIDLLILKPRRYSNIYGFFSPSLHPTFPPNKSSTILEIKVSKPLHAYCSFYNKTNLTIALNKIHISQSGKGKDDLRIRHAKALELSMYLLAIWPILSKY >RHN44362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3860515:3861286:1 gene:gene38473 transcript:rna38473 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MKSFCSKASFLFLVFLITTNLLYSEAQQCRPSGRIKGEKAPSGQCNQENDSDCCVQGKMYTTYQCSPSASTHTKAYLTLNSFQKGGDGGGPSACDNQYHSDDTPVVALSTGWFNNKSRCLNKITISANGRSVVATVVDECDSTMGCDKEHDYQPPCNNNIVDASKAVWKALDVPHDQWGGLDITWSDA >RHN55413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18081856:18086621:1 gene:gene30589 transcript:rna30589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MASEAEISEISSLFERMIRNRDMSLFLPFMLSLSQTLNNSDPDHESETNEDSTPQRIIFVNPLTQSITVIDGASSIEELFNNLGSSTKNGQPPATKESIEAMDKIEIEEGDGGECVVCLEEFEVGGVVKEMPCKHRFHGKCIEKWLGIHGSCPVCRYHMPVDEKDDGKKREEEEGGERRRVGGGGGNGEVWVSFSINRSRRRNQDQASSDVDSNDSSSSLSNDDEIEN >RHN71774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2545295:2545626:1 gene:gene7409 transcript:rna7409 gene_biotype:protein_coding transcript_biotype:protein_coding MEACHRPSSLRYRPDLEQSVPSNTTQAELTRNVLRRELRPLLVVGSDDENQAEEDAKLTGEE >RHN43325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44928135:44933531:1 gene:gene49880 transcript:rna49880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EEIG1/EHBP1 domain-containing protein MFRWRSDKNKVKAVFKLHFHLTLTQVLQSGVDSLVLSIVPGDNGKPTTRLEKAIVHDGNCKWENPVYEAVKFNQDPKNGKFSEKVYYFVISTGLSKASIFGEVSIDFADYAEATKISSVSLPIKNSHSDAVLHVSIQRLQENNDKREEEECEDAKQKLNDRSLRTYLSTGDIDGCTKSDSSEISQDVSASANTNRAGLSADCRTSSGSDITMSSSDGSSGLDTPRELGLRNTGIHPATNGAPSVTSHSSELQNLDVDGLASMYDVHQRSSHLRDCSAGSELGLSMDGSIHGSQDALPRERSHQAVDIENEKLKAEVAALARQVDVSDMELQTLRKQIVKESKRGQELAKEVISLKEERDTLKIECENLKSFRKRRDEAKVSSRSQLEGGDLHTLIEEIRQELNHEKDMNANLRLQLNKTQESNAELVLAVQDLDAMLEQKNKEIHSLSNNYKQTKNSHDLGRNVSNCETDDEQKELDELVKDQSSAKETHLLEQKITDLYGEIEMYRRDKDELEMQMEQLALDYEILKQENHEFAYKMEQSELQEQLKFQYECSSPPPGINDFETHIQNLENQLKKQSDEFSNSLATIESLENQIRKLEEELEKQTQGFEADLDAVTHDKIKQEQRAIRAEEALRNTRLKNANTAERLQEEFKRLSMQMATTFDANETATRRALTEASELRVQKRLLEEMLRKVKEELESVKADYEVKLNEISNKKDAMTVQMQQMLLEIDDKSMQLVNQKKHEEQVGRDFSEEIQLLKAESEKLTVEISCLSEQLKQNEILSSDLELMKKSLEEYEILLNTRKEERNELVSTVALLKKEAERSLDELNRMMHLKDEEEKVGKLLRSELEALKAQYNDLKHSLIDDETEKENLRQQIFHLNDELKKKDDALTYIETKTIPKNQKSASIPHNSKEMTDLREKIKMLEDLIKSKETALEASASSYLEKERELQSKIEELEDKVEELNQSIASPKVVADKSFTTTSDGSEEVRDKSMETELKELQERYSEMSLKFAEVEGERQKLVMTVRSLKNARKG >RHN47400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39442569:39443903:1 gene:gene41994 transcript:rna41994 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMKNKTANLLKQIIADLTSMTKSKTMSLKSKTNTIKARSIILSVMNKKFLMSSISEKFHSVWGSHSHHHFKEDCLIEEGGNFDDHNKALVVYNNKGHSYEALRNPSETQVVDEQQDQGDGYDSYYGYGDDDGKYPDLTHTLFDFEGLDFDGSVIDKVKICKEEAGKEFKLEDDIDEVADLFIRRFKRNIILQKQDSLRRRRETVQKGT >RHN42755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40684446:40692049:1 gene:gene49239 transcript:rna49239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small monomeric GTPase MDSQTLSSSSSSQFHEPINGAVNGHGSDSDDGFVSGEEESEPSRPILVNPDTVKSTVVEEEESFDDVSPRPIAKVTADDEDEAEEEDLENGGDDSDENFVDEVKEDEVFVEANDGNEVFVEADDKGFEEGDGGTVVTNNLDSAVLGDGGTVETNNLDSEVVGLVSGDNSGVGVVENGDGGGDGDEKFTSDGDVVVDTLQVNPLVDGGVAVVGGEEEVKVSEIEEVVAPAPVVNLDNTFEPIEKVGGEGVFDVVGGSFESFEKGGEGVVDDEVVGGDAEPAGVDDGGVREQTSDIAPTDKVGDVVDEGVVVDAEPGNVDDDVAHEQLSDIVPTEKAGDVVIDEVVGGDAEPDQVVDIGVDDGVAREQVSDVAPIEKGEESLEVVSRSLEAEEDGISIEGRAVEGEIESRVDGAVEEEEESNVVEVEEESNVVEVEDGSNVDNVVAEEEESNVDRVVEVEDESHVDTAVEEEAESNVDRVVEVEDGSHVDNAVEGEAESNVDRVIEVDDGSHVEAAVDHHVDREIDDSVSDTKDESMIFGGSDSANKYLEELEKQIRASESSQDDRIDGQIVTDSDEEVESDDEGDSKELFDTATLAALLKAASGAGGEDGGGITITAQDGSRLFSVERPAGLGPSLQTGKPAVRSNRPNLFGPSMSRAGTVVSDTNLSVEEKMKLEKLQEIRIKYLRMVQRLGFTTEESIVAQVLYRFTLAAGRQTGENFSLDAAKESASRLEAEGRGDFGFSINILVLGKTGVGKSATINSIFGETKTSFSAYGPATTAVTEIVGMVDGVKVRVFDTPGLKSSAFEQSYNRKVLSNVKKLTKNSPPDIVLYVDRLDLQTRDMNDLPMLRSVTTALGPSIWRNVIVTLTHAASAPPDGPSGSPLSYDVFVAQRTHIVQQTIGQAVGDLRLMNPSLMNPVSLVENHPSCRKNRDGQKVLPNGQSWRPLLLLLCYSMKILSDAGNLSKTPETADNRRLFGFRTRSPPLPYLLSWLLQSRAHPKLADQGGIDNGDSDVEMADLSDSDEEEGEDEYDQLPPFKPLKKSQIAKLNGEQKKAYLEEYEYRVKLLQKKQWREELKRMREMKKRGGKTVENDNGFMGEEDEENGSPAAVPVPLPDMTLPPSFDSDNPAYRYRFLEPTSQLLTRPVLDTHSWDHDCGYDGVNIENSVAIINKFPAAVTVQVTKDKQDFSIHLDSSVAAKHGENGSTMAGFDIQNIGKQMAYIVRGETKFKNFKRNKTAAGVSVTFLGENVSTGVKLEDQLALGKRLVLVGSTGTVRSQGDSAYGANVEVRLREADFPIGQDQSSLSFSLVQWRGDLALGANFQSQISLGRSYKMAVRAGLNNKLSGQITVRTSSSDQLQIALIAMLPIVRTLYKNFWPGASEKYSIY >RHN71373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58582521:58585814:-1 gene:gene20050 transcript:rna20050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MIAGRLAGRTDNEIKNYWNTHLRKKLLSRGIDPATHMPLDQPNSSASATTAQVESCPNLNLELTISPPHDHPHHPFICSTSTATA >RHN45817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25947250:25950515:-1 gene:gene40230 transcript:rna40230 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP93E2 MLEIQGYVVLFLLWFISSIFIRSLFKKSVCYKLPPGPPISFPILGHAPYLRSLLHKSLYKLSNRYGPLMHIMLGSQHVVVASTAESAKQILKTCEESFTNRPIMIASENLTYGAADYFFIPYGNYWRFLKKLCMTELLSGKTLEHFVHIREDEIKCFMGTLLEISKNGKPIEMRHELIRHTNNIISRMTMGKKSSGMNDEVGQLRKVVREIGELLGAFNLGDIIGFMRPLDLQGFGKRNKDTHHKMDVMMEKVLKEHEEARAKEGAGSDRKKDLFDILLNLIEADDGAESKLTRQSAKAFALDMFIAGTNGPASVLEWALAELIRNPHVFKKAREEIDSTVGKERLFKESDIPNLPYLQAVVKETLRMHPPTPIFAREATRSCQVDGYDVPAFSKIFINAWAIGRDPNYWDNPLVFNPERFLQSDDPSKSKIDVRGQYYQLLPFGSGRRSCPGSSLALLVIQATLASLIQCFDWVVNDGKSHDIDMSEVGRVTVFLAKPLKCKPVPHFVPFSSA >RHN51008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12833235:12839345:-1 gene:gene35369 transcript:rna35369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lupeol synthase MWKLKIAEGGERLISGNNFIGRQHWEFDPNAGTQQERDEIERLRYEFTKNRFSTKVSSDLFMRMQLTKENKCCPIPPAVKLRDVDNITEEALITTIRRAISFSSSIQAHDGHWPAECAGSLLSIQPFVMILYITGSLDEVLGPEHKKEIVRYLYNHQVYIRIYDAIKDTKHKQPTIDFNSTILLYEKTHFLVS >RHN82230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52153291:52156296:-1 gene:gene6375 transcript:rna6375 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSGSLSRSFISTARSSLRPAAPSPLPRLRPPPLATPRTQPRLFSMPATSSRNLGQLGCVQSLLPLHSTMAVACLTSHLVVSARACNELSNGNGKDG >RHN76922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2415745:2417600:1 gene:gene315 transcript:rna315 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKEAREEFSQEGGGGGGGIRDRKAREEFDKKLLAEYEESESDEDVKLCDASFKRAVNRGRAKAMKIIEADMKLELEFHVNEIREEERKHHPLMIELALKPNT >RHN64236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57500378:57504093:-1 gene:gene27028 transcript:rna27028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diaminohydroxyphosphoribosylaminopyrimidine deaminase MQAAVGFSVSVSVSVCCNSKCSINASSPHPPLFIPIPSNFNSAFSKPLIIHTHSPKSNSYVVRAQNNHHQDNDDGFYMRKSVELARKGLGYTSPNPLVGCVIVKHGNIVGQGFHPKPGQPHAEVFALRDAGDLSENATAYVSLEPCNHFGRTPPCTEALIKAKIKKVVIGMVDPNPIVASKGVDRLRDAGIEVVVGVEEELCKSLNEAYIHHMLTGKPLLTLRYSLSVNGNLLDSLGNGVTDSGGYYSRLLQEYDAVILSSSLFRENLSANPVPTSKEPGANQPIRIIMHKDSGSSNPIPLVINEVTDKAIIFTNCRTATTPEEAQQGIEIVSMDQINLDVILDYCNRQGLCSVLLDVRGNFSEYEDLVKEGIKKKYINKFVTEVLPVWNGCTKNDPLLPLKNLDQGVKVENLRPMASAQSVVIEGYLNFE >RHN60332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25351617:25356251:1 gene:gene22631 transcript:rna22631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactosylxylosylprotein 3-beta-galactosyltransferase MTWKSRGEFSSRSVISQKWALFLCLGSFCAGMLFTNRIWSIPEQNGLARPTASETGKLNVVSEGCNSKILQDLEMRRETRDMYGEDFKGHNSKQALDKTISNLEMDLAAARAVQESVRSGAPVSEDIRTTESTGKRKYLMVVGINTAFSSRKRRDSVRATWLPQGDKRKKLEEEKGIVMRFVIGHSATSGGILDRAIEAEDRKHGDFLRLNHVEGYLELSAKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGETLVRHRSKQRIYIGCMKSGPVLSQKGVRYHEPEHWKFGESGNKYFRHATGQLYAISNDLATYISTNQNVLHKYANEDVSIGAWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSADRIKEVHRRCGEGENALWSASF >RHN51090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13907091:13907621:-1 gene:gene35465 transcript:rna35465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MKPTKLSFFLFILFLCIIFHASLCSANLYHAVCNEYRPRSDYNYCVRVLKSDSRIPLAKTYHDLSKLILELSINQAMTVQASFIEMAQHLPSEEALGQCAGKFYDESIESFNKALSNLDKDPLSSRTDAQDAGDGVIDCINALENTKEIYDPKVSYRNKKILFLSVMSFFAINHLT >RHN39168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4641339:4642578:1 gene:gene45169 transcript:rna45169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MGMLLHFLLVLFGVLPTIFVLIQAQDQSGFISIDCGLPAHLNYSALDTGISYISDAKFIDTGVTKRILSTEIILKQLLEYVRSFPTSFYYGNYDNLNQPPQFDLHFGANVWDTVNFPNVSVTTTREIIYTPSLDYIQPCLVNTGSRTPFISAIELRSLNNTAYGKYSDKSSVLSLSFRSDIGSITNLQYRYKDDVNDRIWFPFQLNEMKRLSTNEDLLGQGSYKLPAIVMSTAAIPVNASAPLQLEWETYNVNDRFYLYMHFNEVEELAANETREFNITVNDKFWFGPEIPGYRSVNTISSIRPLTGAKRYQISLYKTENSTLPPILNAYEVY >RHN60593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28581942:28582145:1 gene:gene22926 transcript:rna22926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MDYLSSLMTLFFLFAIVVFYITNGEPVVPALFIFRDSIVDVGSNNNNLHTVVKANFFPYGRDFVKDI >RHN64626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60562410:60567113:1 gene:gene27458 transcript:rna27458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative feruloyl esterase MAEAVQNPSIQQQKIIIPNKYGNKLVGILHEAGTKEIVVLCHGLRASKEDNIMTQLAAALENAGISSFRFDFTGNGESEGSFEFGNYWREVDDIHSVAQHFHEANRRVMAIIGHSKGANVVLLYASKYHDIKTVANLSGRYDLKAGLKDRLGKNFMKKIRKEGFIELKTKSGSVDYCITEESLKDRLSIDMHEACLQIDKECRFFTVHGDADTIIPVGDALEFAKILPNHKLHIVEGADHVYTEHLAELASIVANFMKETFAKGQV >RHN72439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7622556:7627584:1 gene:gene8149 transcript:rna8149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MEHHKDCLDVDSSEVEYTDDLIEDEEHHSSFDAEDDVDGNADDDVHDESVQLEGSISDALAGDRFVNVNSIASDEILKLEFGPADEAYEFYYRYGKCKGFSIRKGDVRRNSSGIITMREFVCNKNGLRDKKHLSRNDRKRDHRRLTRTNCEARLRVHYKAKKGRYVVSRFEEGHNHEVTPPKFTHLHPLYRKISEADRAQLDALQSHGIRKCHIMGYMVAQKGGYADVGFTKKDLYNYFDKKMRGVIKDGDVAAALKYLNVKSSTDPMLYAEYDVNNDGRMKSLFWADGSSRSDYFCFGDVLAFDTTYKKNKYNYPLCIFSGCNHHSQTIIFGVALLEDETIESYKWVLNRFLECMENKFPKAVVTDGDGSMREAIKQVFPDASHRLCAWHLHKNAQENIKKTPFLEGFRKAMYSNFTPEQFEDFWSELIQKNELEGNAWVIKTYANKSLWATAYLRDKFFGRIRTTSQCEAINAIVKTYSRAKGKIFEFMHNFEQVLRGYRNNELVADFKSKFTEPVLSTHLRLIEIDAARIYTAEIFKEVKDEIIDSGAIRLEDKKCVGDSMVYTLRKYRDKGIEREVVYNAASLEFQCSCRLFESRGIPCCHVFFVMKEEDVDHIPKCLVMTRWTKNAKGEFLNSDSNGEIDANMIELARFGAYCSAFTTFCKEASKKNGVFRDIMDEILNLQKKYCDVEDSTRSKSFTDDQVGDPVTVKSKGAPKKKKNAAKSVRHCSRCKSTSHTARHCSDTHNSELQYNEELVSISMEDSLSQKEKKKKRKPNGNASTSKQKKCEEATKVPEIPETADSPVTNAVQPPVNVLQPGIIPMQMQAIYPNYGLHGGESSTSCVGLLAQVMNVAGYGQQQSSQSCFYSAQTS >RHN76185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48344229:48344993:-1 gene:gene12471 transcript:rna12471 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVVRCLSWQHHRSPLFSSDDPPSDVSLLFRRRSSICSGCLLFLWFFGYGSDLKATEIRLLPSRRIEVRGCFVFVLPFRRISFSKVVRHCFRRRSGQCLLRFPAMVALEVEAGCGFVPDSVTLFENLLSGFTGFRMWILLFFSSVCDESCVRLRLLERARCVDAPC >RHN59954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15326979:15327329:1 gene:gene22126 transcript:rna22126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MRFQSLVPVLLVLLAYVARIQAIEDGYSPIGNINDPHVIEIANFAVTEFDKKSGATIKFEKIIKGESQIVHGTNYRLTFSAKIDSLTKVYEAIVYENKSTRKLTSFRLVAFGLVHA >RHN42978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42328012:42331748:-1 gene:gene49494 transcript:rna49494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sm-like protein Lsm4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEEKSRTDRKPPGVGRGRGRGREDAAGAGGRQTKGPGRGFDDGGARGAGGRGRTSQGGKPGGSRGAGRGRG >RHN62785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45804824:45806377:1 gene:gene25394 transcript:rna25394 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGDLFAGVSSKGLQPLMAARLIKGKEQCAAQASDELADSTLNRKRENAQSSQLFAHPPGFVEEIQSWDFQFIVSMHTSE >RHN59402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10299242:10300473:-1 gene:gene21428 transcript:rna21428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MSSSMVLVKVTCLTMMMCMVLGLPQTLDALSCLQVETKLMPCVPYVTGNGGYVPQPCCDGVKAINNQAVTKSDRQAACRCIKTATSAIHGLNMDILAGLPSKCGVHLPYTLGPSTTCEKIE >RHN71075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56295308:56304064:-1 gene:gene19718 transcript:rna19718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spermine oxidase transcription regulator Homeodomain-LIKE family MDNNEDMLSGNDDKRIKPVEIGLDSDNEPIFKLKKPRCVKKKLCLVSEGTGGNAADSVGKFVDVVVQEDLGGMDDTLACFRKRLKGPKRDRGSRGDKEKDSNVNVAVESSDGSLNVSCQYEGLDEKSLLNKDSACEGQGAGENGSDLDMDMKTAGSCQLNVEGPNVDLALKGIEDLDVSEDGLIAEGSRNIPKDEKGVDPLLDAGLPHSSDETMGDSLSEILQPAQSSFVSKSCAAVSSEQKCGSQNVNDGLSLDSKSARKTAEGVHDSNIHDGPLADPCFLANVCHGDSQQLSCVQSEDTCPPSDQKDALEERILNDGLKKCSATLHDVEEITDIVSLPKLGEGVSPIIEGELKNNLTDDQAELCNIPLKCSDFTSKDKEKLPPCDSGPLTKSSENIRDKINHLVSENVFQGSSRNGAMAFSGCNPKLDEGVHSESKFVSGRNCCDQKILDTKDEARIFVSGNLLEKNYAASGGCLYPTVSDETVKSELAAQLNHLEIVPLETHNIPKDSVDYIPKCSSVLDPTQPSKNTFEKVSLANGDCFYAKRETEDASPQSSIPEKTENSAEYAASVSEVAHFDDKTSAVMRRKGKKRRQGDMAYEGDADWEVLINDQPFLESQSVVDGERILKPRGKLDSLNIVEESESDAVAVSAGLKAHEAGPVEKIRFQEILKRKGGLQEYLDCRNQILALWGCDITRILPLADCGVRDTPSEDEPPRSSLIREVYTFLDQCGYINVGIASLKDNVENSARHSYTLIGEKGYEESYAASVADSEDGVSFIVGQTKKSDAPVEIMSSLIVGNEDLTTEAKEDRMSFNVVAMDTSNITQHEKRKCFDCEEKSGIQDGLSGLVHGTEQSNESTCVKSASGDQIGDVLNFDSKIGKRVIVIGAGPAGLTAARHLQRLGFTVTVLEARSRIGGRVFTDRSSLSVPVDLGASIITGVEADVATERRPDPSALVCAQLGLELTVLNSDCPLYDIVTGQKVPVDMDEALEAEYNSLLDDMVLLVAQKGDQAMRMSLEDGLEYALKRRRLERSRRSNEQRSGKEMEEVLSPQERRIMDWHFANLEYGCAALLKEVSLPYWNQDDVYGGYGGAHCMIKGGYSTVVESLGKGLVIHLNHVVTNVSYDSKESGLGNKVKVSTSNGNEFFGDAVLVTVPLGCLKAETIKFSPPLPPWKYSSIQRLGFGVLNKVVLEFPSVFWDDAVDYFGATAEETSRRGHCFMFWNVKKTVGAPVLIALVVGKAAIDGQNLSSSGHVNHALMVLRKLFGEASVPDPVAYVVTDWGGDPFSYGAYSYVAIGASGEDYDILGRPVDKCLFFAGEATCKEHPDTVGGAMMSGLREAVRIIDLLNTGNDYTAEVEVVEAIQKQSDTERDEVRDIIKRLDAAELSNLLYKKSLDGARILSREALLRDLFLNAKTNAGRLHVAKQLLSLPVANLKSFAGSKKGLNILNSWILDSMGKDGTQLLRHCVRLLVLVSTDLLAVRLSGIGKTVKEKVCVHTSRDIRAIASQLVSVWLEVFRKEKTSNGGRKLSRHANAVDISKRKCIKDPASGKPPLSSYHGTFENKGGILTPAMDSASIAQMKKSHGKQGSQQAVNDLWHDVSSSRSQGSTGKTDTEMKDTHCAMSEEEKAAIAAAEAARAKALVAAEAYTSAEVRNSAQLQLPKIPSFHKFKRREQYSQNDESDSRKKWSGGVLGRQDCISEIDSRNCRVRDWSADFSAACVNLDNSRMSADNLSQRSHSNEIATHLNFREHSGESVAVESSLYTKAWIDSADGEGIKDYHAIERWQSQAAAAGSDFSNPAIHLKDEEGSNACSRLRRNHDGVANDSSISQVTVNKENSKNHSRGADCIKKAVADYVASLLMPLYKARTLDRDAYKAIMKKSTAKVMEQSTDADKALTVHDFLDFKRKNKIRPFVDKLIEKHMEMKPDVKS >RHN70607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52694182:52702509:1 gene:gene19208 transcript:rna19208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MNHKPDSRYGCRINSYRSKVFFNYEKRIRLHSPPEKVFEYFASYRTPEGEVLMKPADLMRAVVPVFPPSESNLVRDGSLEGERSPGHLFCPPSEFFLLFDVNGDGLISFREYLFLVTLLSIPESNFSAVFKMFDMDNDGEIDKEEFKRVVASMRSHSKHGIHRMYEQQTDASVENGRMVEYLFGKDGKGRLKHDKFVKFIRDLHDEILRLEFVHYDFKFQKTILAKDFAHSIVASADVNHLSKLLERVDELNNDPQFNSVRITFEEFKNFAELRKKLVPLSLALFSFAKVNGLLTRDDFQRAASSVCGLSLSDNVVEIVFHLFDTSGDGNLSSNEFVRVLQRRERDVGRSVETGIMGFLSCCWNCTDNSPGSQLLS >RHN55220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16239992:16242085:1 gene:gene30365 transcript:rna30365 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCVKIVLKSMSSSHNNKCSSNSIISSLTKIHSQSLHFALSGALSLCLLFGVMAATKAGVNKPELLPKEFSSVIDVAGFLSDGQEKRLAQEIADLEKATGFKLRVLAQNYPDTPGLAVKDF >RHN77854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9950297:9951415:-1 gene:gene1352 transcript:rna1352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MSQIRVFVYALIIFLSQFLVVTSTTTFPCVSDDDCPVPLPPPFAKCVDGICEFFIKAQVEK >RHN79083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22256787:22257806:1 gene:gene2781 transcript:rna2781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SLC26A/SulP transporter MGSRRGRTRGSTQRKCIEMHNFRHDRYLVLANPVGNVMEKLHESNILDSFGMKGLYLSVGEAVADISSSWKAQP >RHN75779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44989647:44991559:1 gene:gene12027 transcript:rna12027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MTQCSYPENNHSTILMERRKDSLIRTCPTCGHHIKCQDQGAGIHELPGLPAGVKFDPTDQEILEHLEAKVRSDIQMLHPLIDEFIPTLEGENGICCTHPEKLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDADGSETRWHKTGKTRPVFVSGKLKGYKKILVLYTNYRKQRKPEKTNWVMHQYHLGNNEEEKEGELVVSKVFYQTQPRQCGGSLMKDSASFSDKKLNGDQGVHEVVNHKTSGFVEYYSTSFISFDQGEQHRSNNAQVISHFPVHDGTSFIP >RHN57949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42701349:42703552:-1 gene:gene33541 transcript:rna33541 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKFKGLLGRLSGLEDDTKLLKSVGASGGGSNEGKSHVDPMAANIEDRDEEDFLDDELENDTVEEAAPLQTNEDANHTLGVAASTQPQSKGNKDVQGSSSASGRVKLDKNIEVIYLDDDDVGSMSRGVHEKKAFFGFAAKNEVPSPSVATQQKSKFPNAVDTVKRKFSLSDIEISSASSSSSDDSSFLDDLTIRSVVSLERRKKSRQTEQA >RHN73490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16980376:16986960:-1 gene:gene9308 transcript:rna9308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MPLRVLQGEASLPSNDESKKSSEHKRETMFFVLGGIVVVTIILILGWIVFRKRVKRSPNPVGKTVPNEAEPTEVMKAIFPSKQQSSGSMEFFSGSLRSISYFDYQTLRKATNNFFHGNLLGSGGFGPVYKGKLEDGRIIAVKALSLNKSQQGEREFLAEVKLITSIQHKNLVRLLGSCIDGPQRILIYEYMKNRSLDLFIYGNNDRFLNWSTRYQIILGVARGLQYLHEDSHLRIVHRDIKASNILLDDKFLPRIGDFGLARFFPEDQAYLSTQFAGTLGYTAPEYAIRGELSEKADIYSFGVLLLEIICCRKNTDHTLPPDMQYLPEYAWKLYEKSSLLDLVDPKLKQDGFVEKDVMQATHVALLCLQPHAHLRPRMSEIVALLTFKIEMVTTPMRPAFLGLRSRKDEDNHSFEVTSMANH >RHN46550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32666174:32670326:1 gene:gene41048 transcript:rna41048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MSGAGNFLVVILDPILIFLCGLGISGAAIATVISEYLIAFILLWNLSGKVLLIPFDFDGAKFFSYLKSGGLLIARTLAVFITMTLTTSLAANQGPIPMAGHQICMEVWLSISLLTDALALAGQSLLASSYSLGNYEQARLIIYRVIQIGLGAGVALSMILFFGFGPFSSLFSTDSEVLDVAQSGIWFVAGSQPVNALAFVIDGLYYGVSDFEYAAYSMVLVGLISSVFMLVAAPVVGLPGVWAGLFLFMALRVLAGVWRLSSKSGPWDMIWYKDRAED >RHN68073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32575189:32577442:1 gene:gene16366 transcript:rna16366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MSQPFVLISIYIITMQLTILFSPSTSHYSSPSPPATPFNESPPTSPSSTYSEWLSAHATYYSVSDDTRDGVDGACGYGDTHRDGYGITGAAALSETLFVRGQICGGCFELRCLEEDVPFDKRWCVSGSSVVVTATSFCAPNYGFDAESDGGYCNPPKQHFVLPVEAFEKIAIWKGGNMPVHYRRIKCIREGGMRFTITGSGIFNSVLISNVAGIGDIVGVKVKGSRTGWIPMGRNWGQIWHVNALLQNQPLSFEVTSSDGVTITSYNVAPKNWTFGQTFEGKQFKS >RHN63714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53454061:53457265:-1 gene:gene26450 transcript:rna26450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucose 6-dehydrogenase MVKKICGIGAGYVGGPTMAVIALKCPSIEVAVVDISHSRISAWNSDKLPIYEPGLEEVIQQCRGKNLLFSTDIEKHVYEADIIFVNVNTPTKTSGLGAGKAADLTYWESAARMIADVSRSNKIVVEKSTVPVRTAEVIEKILVHNSNGMVKYQILSNPEFLSEGTSIQDLLNPDRVLIGGNDKNLGGQEAIQKLKAIYANWVPEDRIITTNLWSAELSKLADNAFLAQRISSINAMSALCEATGADVSQVSCVLSKNTKLGSKYLNASVGFGGSCFQKDILNLVYICESNGLVEVANYWKEVIKVNDYQKSRFVKKVVTSMFNTVSGKKIAVLGFSFKKDTSDTRKTPAIDVCKGLLADNACLSIYDPRVSEDQIRTDLSTNEIRWDCSTQVKPASFTNVKQVSVFGDVYEATKDAHGICVLTEWDEFKTIDYQKIFDSMEKPAFVFDGRNVLDVDKLKKIGFIVYSIGKPIDQWVDC >RHN46204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29621610:29623268:1 gene:gene40654 transcript:rna40654 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLVYKTIMQYKGGKEGAIGSWICESPSYSYMRLPAGDSGRFQIQTTSSSNHVSSSTSSAQIIVSSGVQSPHNCLTHRRIAA >RHN52144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31976313:31976570:-1 gene:gene36773 transcript:rna36773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MGRNVKRRMLGDARRFFDHMLVRDVISWNTLIFGYAPNGYLLQARRLFEESPVRDVFRWTTMMFAYVQSGMLDHARRVFDEMPGK >RHN68270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34137743:34138459:-1 gene:gene16604 transcript:rna16604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MLFVLIILGVVKDGNGTQLILVNNCKESVWPGILSNEGHPSIKDGGFHLPSGEELVLQLPNHWAGRIWGRQGCFFHETTGKGTCQTGDCGGQLKCKGIGGVPPATLVEMTLGTSHSPLHYYDVSLVDGFNLPMSMKPIGGGVGCGIATCQADLNGCCPSQLVVKHNGKVVGCKSACLATKSDKYCCTGEFADPKICKPTMFARVFKSVCPHAYSYAYDDATGLMSCLANRYAITFCPP >RHN45134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12085876:12087703:-1 gene:gene39346 transcript:rna39346 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIDIIPPLVETSRKRIQMGLRPPPMETSRNRIQIQLLMKY >RHN71829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2860039:2865780:-1 gene:gene7469 transcript:rna7469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cadmium-transporting ATPase MLRAIKRAAQSVHKINRGRYVEVSRNGPIVPVGPNTHHCRFYMPYKLHSEAHSSFWGLATRESFNKCGSFRKFSVSSASNEFTHHSQIAWKRLYRKYCSSGDSSRFSPTINMIAQAVSLALTRSYLLVPGIFAFTCGELALSQRSWGDAERYQPQEGLYMRAQDGYNYMFTFTFMIVEGFVLLARALYLAILFTPTIVMGPFADYFGPNFRKLWLRVVHRTLEKAGPAFIKWGQWAATRPDLFPRDLCTQLSELHSKAPEHSFSYTKKTIEKAFNRKLPEIFDNFEEIPVASGSIAQVHRATLKYRYPGKQAKPLVVAVKVRHPGVGESIRRDFAIINTAAKISMFIPALKWLRLDESVQQFAVFMMSQVDLAREAAHLNRFIYNFRSWKDVSFPKPVYPLVHPAVLVETYEKGESVSRYVDGFQGQEHFKSALAHIGTHALLKMLLVDNFIHADMHPGNILVRGKSSKRLFRSKPHVIFLDVGMTAELSGSDRVNLLEFFKSVARRDGRTAAECALSLSKKQNCPNPKAFIEEVEEAFTFWGTPEGDIVHPAECMEQLLEKVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPGYDVMQTLQTLLLRADWAKDLSYTIDGLMAP >RHN51307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16018948:16021908:1 gene:gene35723 transcript:rna35723 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDVPSFLPSGTGKLGPPDWKTASRPAKTVISAQETILPHSLWTRALISSIVFKASVLMPPFCG >RHN42943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42090423:42090830:-1 gene:gene49452 transcript:rna49452 gene_biotype:protein_coding transcript_biotype:protein_coding MTWWCVESCFSAPPSLPPSSGVSFVTVLKCLRSSLFWCLIQVLTSVIFLRHPDPPLIGWLGVGALYVGFVGARWRSGWLFCAAYWLGAAGLLLFVLGLLVPSVLFLLSLFYTVGARCCCCVVATTWWHFLCGLCI >RHN67630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28819207:28819917:1 gene:gene15837 transcript:rna15837 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRVCFGFCDNQISDAVAGHGLRNLSLDDHQMICYQLHKELIGEGNVRYRRMSNDDERYKEVLGALTFSSIGHAPPGKWMAMPDMGFLIAQKYNHVVVLISIAKGRSETFFPLWGELPLVERLMYMEHVNDNHFMIIHLKNGSPIPLTCPLWRQHARYDTLSWLDRYVSRMADYNKLCRAAGFEVIGDDQDLYIIENLDPDENVVAGKKAEDGVKLEKEDSFNLDDIDIDLGAV >RHN73874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20932085:20933254:1 gene:gene9759 transcript:rna9759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVPVTILPSILPHDLVAEILSFLDVKSLTKLKCVSKSWNSLISDPIFVQMHLKKSSQNPHITLCSSNDGFFTLHPFPIRSLLENRFMDHPDHYQFVDRGCNGFVGSCNGLICMLSDRIDGKYQSSCLRFWNPATRSVSKRLGYSYVELRVRHLYLKFSFGYDNTTGKYKVVAYSPGIAKVFSLGDHVWKSIESFPSTPFRCTRSARTGLDQNGGVYFSNSLNWFTLCNNIVYLYSDWKDLNDTIEQFGIISLDLGTEECTQLLVPLDFDEIPPIMPIVCVLADCLCFCHYSKENDFVIWQMKKFRVEESWTMFLKFSYQNICIGSSYFEDRHLFPLYLSENCNTLILVSDRGHVVCYNKKDNRVERSDLTRGIYRLVAKDYIESLVSAC >RHN64480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59439178:59439837:1 gene:gene27299 transcript:rna27299 gene_biotype:protein_coding transcript_biotype:protein_coding MELHWETLPLIPLVAVNEQADLSMLISSHPLYKYYYVISCVTCLNNLIWWGSFCQVVINHGSLQCLLSLLTHDHNKSIKQEACRTVSNITAGNREQIQAVIEAGLIAPLVDLLQFQQNVIIVSCTKNIFCWGEGMLQKQGTVTHGNCGKSLCFKSGGV >RHN57676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40947832:40949241:1 gene:gene33255 transcript:rna33255 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAEKEEFIWKWNSLKTTLPSSSESRVLNDGYNTSGVGLKGLIPQLSGLKDVAYRVCEWVPHLYVSKNCGTEEKEVDKESVDPANEKVTAKLHVVSKEQQRFLSAHGLQQWWPSDAELQQTIHDSKLISRRIGSLYTNTPWDVTKRLNPFISLPICLDNIRQKEEFLWKWNTAKSILLSSSETHVNNDDDDNKTSSVPLKNWMPSLSGFGGAGFSVVQLVPRQLRYLSFVTPATSLGNTEEKLNFVSSSETQVSNDSDKTSFQSWIPSLSGLKDAAFGVGKSVSNLYADKSGGKDKMVDKENKGPLQ >RHN62830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46097911:46101437:1 gene:gene25448 transcript:rna25448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MIPFPSQLSPPFVCCLQVLNSAKEKVIHQTTIMKAHSNLHKRKSTLTADGNHAAFKTSRKGSYVETLDRKAEDIFTREEKYSEDGNYNETGDDNNEEKSEGDDDVIEDQQEEGEYLLGDDGPTAVAEDGIHKGLFTKDADEDNKTSTRHFSHGCHLVEGKMNHGMEDYVFAQHRKLNGYDLGLYAIFDGHAGPDVAKYLQNHLFQNILSEPDFWENPVHAVKKACKATDNEILENIADWRGGSTAVVAILINGVKLLVINVGDSRAISCKNGLAKQLTVDHEPEKEKDLVESRGGCVFKRPGDVPRVDGQLAMTRAFGDGKLKEHITAEPDVMIKKIDEDTEFIIFASDGLWKVMTNQEACDCIKDVDDAQKAATKLVKEAKSLGSCDDISCIVVMF >RHN80916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41885794:41887861:1 gene:gene4900 transcript:rna4900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin MMKLEHSLFLGTLALLLATSFSSDPDYLQDLCVADLASGVTVNGFTCKEASKVNAFDFSSIILAKPGSTNNTFGSVVTGANVQKVPGLNTLGVSLSRIDYAPGGLNPPHTHPRATEVVFVLEGQLDVGFITTANVLISKTISKGEIFVFPKGLVHFQKNNANVPASVLSAFNSQLPGTQSIATTLFAATPSVPDNVLTKTFQVGTKEVEKIKSRLAPKK >RHN67836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30618704:30625501:-1 gene:gene16075 transcript:rna16075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP-binding protein, ribosome biogenesis, YsxC MSIEEVLRNKLRKKPKFGVRISRNSSGIVTDEGFRGNEEKSGYERRKFHERGENDDRGNRYKGELKKNEEFRRGEKKSGYERRKFYERGDRENGYKGKLKKNVNFGGTESGEERSGDKFYERGKLRSRKDENGFKEDAVFDRENGFKGKMKKRVNFRGRESGEERSGEKFYERGKVKTSRDSSRTRNDENRFKKDVVFDRENGYKGKLKKNVNFKGMESGEERSSEKFHERGKLKNLDSFGRKKRVFANEEGVDENEKSWSGVKISKKKPLFKKGEKKVKDETVEEKTDDERTIWDFGKLKKAKSKNKLSNQSLKDKKEIDGKDDSAEKVREEVVYPSESDMKKPGLEDDAKRLDDRPFKKKKRVMRIDPYDISNKRLDDSIAVDGSIEEKEKKKDAEKEPEMSQNAQFRAIQPSPSILTFVEKNLLGRRRMIDIKRAGYNIELPMPLDNIPFSKSSDRENIEENVFRNRLEFFAAAKVSSSFPPPNLPEIAFAGKSNVGKSSLLNALTRQWGVVRTSDKPGHTQTINFFNLGTKLSLVDLPGYGFAYAKDEVKEAWEDLVKEYVSTRVGLKQVCLLIDTKWGMKPRDIELIDLMERSKTKYQIVLTKTDTVFPIDVARRAMQIEESLLPNKSVIQPLMMASSKSGAGIRSLRTVLASVARFVK >RHN42473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38627557:38632309:-1 gene:gene48919 transcript:rna48919 gene_biotype:protein_coding transcript_biotype:protein_coding MELSFQLHKESNLRPDITEVLVSAIHPTDPSRELLFSSSVPNRGIPTHSHLITGLIKLKGMSNSAFRCNCSQFRLQLYWTKKKKKIDTTFSDELEATDILYKKDAFNSRWSSYLEIASVDFKMDQKSFWKGLLKHFNGDYLMVDIVHSGLLAQPSGVPILIERGEEDFHLMGASLDRVHLDSVLRKALQLELSAVQQLDATELTIQHVLDYKKSLCRNGFIVFQFYFLKTILDTSFGKYCREHRRPDTNLHPASNPNIPGDGEGGGGSTHIEDELNISFNSIEEIVTLDTDGSEMAVIAKGANSPGDGSEKAVVIADGSEEVVVIADGANSLGDGSEETGNDYDELVKSMREIMAVHTSTLQTIENVISNYRDFMTKAFTKHPTEKYSPRVQMEISKLYTYAQQHAFNQFINVGEEDSKLANYISDMQKRNFAGPSTAKGEKVIKTKEQAGATAKLGEEHAWKYYKEKYKIYRVRWMNDPEESSHPCDICVYDRANLPMIFIEVKTTVVWGKPWFYVTKREYSGKIL >RHN64588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60235659:60238524:1 gene:gene27416 transcript:rna27416 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTYSTLGNGTQQIDGKILQTFQKRFVQVQNILDQNRVLINEINQNHESRVPDNLNKNVGLIKELNSNIRRVVDLYADLSTSFTKSNDVSSEGDSSGAVKSEGKSSHKRHRPL >RHN71027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55892884:55900616:1 gene:gene19668 transcript:rna19668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol-pentakisphosphate 2-kinase MEVTLREKEADDWVYRGEGAANLVLAYIGSCPAFFRKVMRIRKAPRNDSVTEIRTSPSALTAHERLLWKDVDELIASSDHEVSSQLFVLHVMKPLLGSKYVDAGMHVKVSRGFLESIEKNVICQRPAGRVDTAQLDMHCDYVLLMSDHSIFTHGNLESSPCISVEIKPKCGFLPVSRFVSEETAIKKRVTRFEMHQALKLHQGEISLLSEYNPLDLFSGSKERIHKAINDLFTTPQNNFRVFMNGSLIFGGLGGGAEDTNLCIAKAFEGALMSIIQADDGLCTENFLTLVAEAAHKSGVLDRLLEVQKLDDVDIEGAIHAYYDITRQQCMVCTELNEEQMKVYTPLHSVSLDESLRVVKDYLIAATVKDCSLMICFRPRKEGDLGSVCNNIYLESTKQTFDFKVYFIDLDMKRMSKMEEYYELDKKIVSCYSEMIKMDQGRTEVKNQ >RHN68412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35274115:35279008:1 gene:gene16761 transcript:rna16761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Type VI secretion system, ATPase ClpV1, P-loop containing nucleoside triphosphate hydrolase MPTPVSSARQCLTPEAIQALNDAVAVAKRRGHAQTTSLHAISALLSLPSSSILRDACSRSRNSAYSPRLQFKALDLCLSVSLDRSPSSHNNVSSDHEPPVSNSLMAAIKRSQANQRRHPDNFHFYHQQQQLQSQQTFSVSSVKVELQHLVLSVLDDPVVSRVFAEAGFRSSEIKLAILRPLPHLFRRGPPVFLCNLPEQPRRGAGFGFGLGFPFLSGVGDVDENFRRIGEILVRSKGKNPLLLGACGNDALRSFTEAVEKRREGVLPLELDGLRVICIGKELESGDCEVVSLKLKQIAAIVEECVGPGVIVSFGELKSFVNDDGGFVEELGKLLKIHYDKFWLAGAADSYESYLKFLGRFPSVEKDWDLQILPITSVKASESYQRPRSSLMDSFVPLGGFFSSQSDLRGPLNGSFGCVPHDNQFGEKCEHEVLGASNERFSVSAPDPYPSNLPQWLKTTEFGTTKTLTVKTKDDGVLGDSSESCTPRNNLDNICQVLHQRIPKANTCHTVVGFHCADNKNEDADNHSSKIVDKSSKEYINLNSHAPVGVQTMSALQSSNSFPSFFLAKQVKNIPNLTDMFQNVKDLESGDLRSCNISSSSVSDGSQLSPTSVTSVTTDLGLGICSSPTSNKLTKAAVQYTMEPPKEIPNRFTSSFNLDEEIIRMRPSQSSSCLTFDYYQQADDARNPKVLFEALSKAVRWQDEAIRAIIKTIVCGSTKSAKDHGLNQRGDKWMNFVGPDRHGKKKIAVSLAELLYGSRENFTFVDLSSKEMNGCNVKFRGKSHLDFLVDECCKKPLSVVFIENVDKADIVAQSSLSQAIKTGKITDSHGREVSANNAIFVFSFSGYQNSLMQTREPSNYSEERMLSVRGGGIKIKVEHMVRDIRNQSIGVPNNSINIIPNLNFINKRKLIGDNELHDPHLLADAAKRAHTTSNRLLDLNLPAEENEQKQTDDGNFEHVSTENQNLWLQDLYNQVDETVVFKPYDFDSLDDRVLKLVRNNFNKILGSECALQIQTEVMDQLLAAAYVSDSDTEVENWVQQVLYGGFTEVRRRYNLTASSIVKLVTCPEQASSVHLPPRIVLD >RHN61746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37983291:37985540:1 gene:gene24238 transcript:rna24238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MMGRAPCCDESGLKKGPWTPEEDRILVNHIKKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGQFTDEEEKTIINLHAVLGNKWSAIAGHLPGRTDNEIKNFWNTHLKKKLLQMGLDPVTHRPRIDHLNLMTNLQQLLAANVLNYLPNTWDTATTNALRLQSDATKFQLLQNMVQIHALSANIPAHTSNLQLLNPFGQSSSSSQQENFFNELLGLNQSNFASQNQCNFQSFQVPQMQQVLSNGYQFMDNGGSSNSSSCLKSDQKVDEVFDATNSSSTVPINSLPNLVSVSPECSSVKEMMGNKVNQNDCSNPSSTSTTFEMLGDFMYEDVSDAYWKDLLDQDPTLQP >RHN42227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36518882:36519568:-1 gene:gene48643 transcript:rna48643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MAEEIIRPCAACKHHRRRCDEASCNLAPYFPADNPQRYNLVHSVFGRSKVSKILQEQDISHRKQVADSLVYQAEARLRDNVYGVVGPASFLEKTLKDVQDELKKVKNELIKYLDPEIIQEVLSNPDRFGFAPSPNQNPQGHLVSQQPPTLDQLTTSQIIHLLQLKENIVRSDTGINSSGGGGGEGQHIGAPAADAVIAPSFDNGSTSSCQIQLQGEQQQVQQQPDQQE >RHN40858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23319321:23319839:-1 gene:gene47095 transcript:rna47095 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGALPVAKSRKTKRKVMSKDDYLEDIADKSSKKSRKDKSISGVSTIQEEAQELNVNAILEMKTRSDKAAMSSQNASEQPAIPKKKRKQAIRKLKMSAVIEEEEGEEAAAALQKTLEIAEQIEIPASSIAREDVGADVEQVLKAAEEVQSLVASESEHLLNIVVGSSEATI >RHN55401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17953030:17954791:1 gene:gene30574 transcript:rna30574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MKLNSISFLFKLLILQYLSIQCLSDDFDFFYFVQQWPGAYCDTKQSCCYPKTGKPTADFGIHGLWPNYNDGSWPSNCDPDSTFDKSQISDLMKNMEKNWPSLSCPSSNGFRFWSHEWEKHGTCAESELDQHEYFETALKLKEKANLLQSLTNAGIEPNDEFYSIENISEAIKEGTGFTPGIECNRDSARNSQLYQVYMCVDTSGSNFIECPLLPRSRCGEQIQFPKF >RHN74009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23459588:23467674:1 gene:gene9934 transcript:rna9934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MGCSVLLSAVLVLLLSSSIFVFFRGNANHLTTVEEADKVTLPDQPRVVDFEQYGNYITVDENHQRKLFYYFVESTTDPASKPLVLWLNGGPGCSSIGQGAFTEHGPFKPTAMGLGLVENPYSWNRVANMLYLDSPTGVGFSYSANSSDYYLVNDEMTARDNMMFLLGWFSKFPKYQNSEFFITGESYAGHYAPQLAQLILQTQPNIKLKGIMIGNPLLDFDTDFNSRAEYLWSHGLISDSTYSRFTKACNFATYKRQKRIGNISTICSDVYHEVVTSTSRFIDTFDVTSDVCLDFLQAHRLLHPHSGEKMDVCLEDHTAKYLNRQDVQKALHSRVVGMPYWSTCTSVMLYDFQNLENPTLSLLGTLVKAGVRVLAYSGDQDSFIPLTGTRTLVKGLAKDLGLNTTEVYRVWFDGKQVAGWTEVYGGFLSFATIRGAAHAAPFSQPERLLVLFKSFVEGKSPPTS >RHN76869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1968015:1971411:1 gene:gene254 transcript:rna254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin system component Cue MMKPRKSSLNPYAAAYVPMAKRDTSVRSYVTEDSKDYNGTVWFQPPQYTTNDVQLVEKNAQRLSPGKNQPASSSYFSSPQSVAQLTENQYMDEELDIDLEYLRMTFPGISDESLVDVYNVNSGDLEAAIEMLSQLEFDDAVDAHGSLPESLDIGDVSEPVMPADSASSKQKNATAGASTSSHHL >RHN44274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3092390:3098609:-1 gene:gene38374 transcript:rna38374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MVAFYSRYLPSLEELLHLLKLSANTKNLNFGKSIHTQLLIRNQSSTHHSYREFNIIQLNSLINLYVKCSKLRLARYLFDEMSLRSVVSYNVLMGGYLHSGEHLEVVKLFKNMVSSLYQPNEYVFTTVLSACAHSGRVFEGMQCHGFLFKFGLVFHHFVKSSLVHMYSKCFHVDLALQVLESEHGNIDNDNDAFCYNSVLNALVESGRLGEAVEVLGRMVDEGVVWDSVTYVSVMGLCGQIRDLGLGLQVHAQLLKGGLTFDVFVGSMLVDMFGKCGDVLSARKVFDGLQNRNVVVWTSLMTAYLQNGEFEETLNLLSCMDREGTMSNEFTFAVLLNAFAGMAALRHGDLLHARVEKLGIKNRVIVGNALINMYSKCGCIDSSYDVFFDMRNRDIITWNAMICGYSQHGLGKQALLLFQDMLSAGECPNHVTFVGVLSACAHLALVNEGFYYLNQLMKHFKVEPGLEHYTCVVAVLCRAGMLEEAENFMRTTQVKWDVVAWRVLLNACNIHRNYNLGTKIAETILQMDPRDMGTYTLLSNMYAKARSWDSVTMIRKMMRERNVKKEPGVSWIEIRNAVHVFSSDGSNHPECIQIYNKVQLLLEMIKQLGYVPNIEAVLHDVEDEQKESYLNYHSEKLAIAYGLMKIPSPAPIRVIKNLRICEDCHTAVKLISKVTNRLIIVRDASRFHHFRDGTCTCTDHW >RHN53678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3282960:3286514:-1 gene:gene28610 transcript:rna28610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VII-1 family MSMRTHCQIHLFHALFCFILCLFWSIATVSPATEKEILLQFKGNITEDPYSTLSSWVSGGDPCQGYTGVFCNIEGFVERIVLWNTSLVGVLSPALSGLKRLRILTLFGNRFSGNIPDDYADLHSLWKINFSSNALSGSIPDFMGDLPNIRFLDLSKNGFNGEIPSALFRYCYKTKFVSLSHNNLVGSIPVSLVNCSNLEGFDFSFNNLSGVVPSRLCDIPMLSYVSLRSNALSGSVEEHISGCHSLMHLDFGSNRFTDFAPFSILGLQNLTYFNISYNGFEGQIPDITACSERLVVFDASGNNLDGVIPPSITRCKNLKLLSLELNKLKGSIPVDIQELRGLLVIKLGNNSIGGMIPEGFGNIELLELLDLNNLNLIGEIPADITNCKFLLELDVSGNNLDGEIPLSVYKMTNLEALDMHHNQLKGSIPSSLGNLSRIQFLDLSHNSFSGSIPPSLGDLNNLTHFDLSFNNLSGVIPDIATIQHFGAPAFSNNPFLCGAPLDITCSANGTRSSSSPPGKTKLLSVSAIVAIVAAAVILTGVCLVTIMSIRARRRKKDDDQIMIVESTPLGSTESSNVIIGKLVLFSKSLPSKYEDWEAGTKALLDKESLIGGGSIGTVYKTDFEGGISIAVKKLETLGRIRNQEEFENEIGRLGNLQHCNLVVFQGYYWSSSMQLILSEFVSNGNLYDNLHGFGYPGTSTSRGNRELYWSRRFQIALGTARALASLHHDCRPPILHLNLKSSNILLDDKYEAKLSDYGLGKLLPILDNFGLTKFHNAVGYVAPELAQSFRQSEKCDVYSFGVILLELVTGRKPVESVTAHEVVVLCEYVRSLLETGSASNCFDRNLQGFVENELIQVMKLGLICTSEDPLRRPSMAEIVQVLESIRDGSESH >RHN65158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64596249:64605132:-1 gene:gene28064 transcript:rna28064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spindle assembly checkpoint component Mad1 MILRTPPPPKRPRSDDGDGDAQLVIYEDPAPAVEEPSEHMLCTYQCRQMVKSDFLDALSKAENQVRDYQSQFQTLNHNFQKLDTERKKSVEQLLYTEQELAASKGREKALQDQLLKEVTDSQDRLRKYIQLNTELQAKLQNETNLRIQAESHATSTQEKATSLEGKLGNLSDTIQREKKRLHDDHSQLKKDSNFSISRITANLEQMECRANNAEREAEMLKEQLDRLKDQFNECLHQKTEAEKKLATFSSQEVSSTWSDVLVKQLQQELQHYESEVREARKLRSNHENIELLKEKLLEEKSRRERAQSELSKLQDVQSNMKKLEDQISSWRLMIKDIPGISCFEDMPSKFAALQKEVIYCTQKEGEGTARLKQLEVALDAAEIGKQNAETEAVLAKEKAEVLKSEIKRIELTLAVVTEERNKLRILANLKNGEAGDESSSANPIQELESSLMKKDEYIKELESTLNELRVVNNRQHEEIKILNEKLHNEARRIKSLERESDRLRSEISLLEAKLGHGDFSSANTKVLRMVNTLSVDNEAKQTIEVLQNELQKTKEKLKAVEELKSQSGETGKLVENYISDKILQLKEQIATLEKREERYKTVFADRISVFRRACCEIFGYKIVMDEHQRSNGIPVTRFTLQSIYAQSDDEKLEFEYESGNTNILVNRYTSQPEVSHQVEIFVRKMNSIPAFTANITVESFNRRTLS >RHN81604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47509580:47511625:1 gene:gene5675 transcript:rna5675 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSYLVNLNSFHAGITGRYPSIFKPANFRFGVYKSLKHPTRRNVNGSSRITRCCSCYTEVGASAGATFPILNGATAQRCLDLDVDLNILKLNIPETLHTSTDFMTGLMLADIDPATAKLAIGFLGPFLSAFGFLFIVRIVMSWYPKLPVGKFPYVIAYAPTEPLLVPTRKVIPPLAGVDVTPVVWFGMLSFLNEILVGPQGLLVLLSQQVN >RHN55550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19455863:19456372:1 gene:gene30750 transcript:rna30750 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLVDLELFEPEFQVDSVGSLNSLTVNGPQFSAELNISVSAYNPTDYSCAYYEAVSAEVFYGGEGLVLSKTSLPSFTTHSQSTSVMKMTLLVNKSDDFGGVATAIAQSRKNGMVEFGLIVSALFKYKNRWDQSKWTSLKAVCKPLKFAVSPNDYNTTIPGILLKGSRC >RHN41468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30278217:30280486:-1 gene:gene47797 transcript:rna47797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MNKKQHQVKLDDEQISELREIFRSFDRNNDGTLTQLELNSLLRSLGLKPSAEQLEGFIQRADTNNNGLIEFSEFVALVAPELLPAKSPYTEEQLRQLFRMFDRDGNGFITAAELAHSMAKLGHALTAEELTGMIKEADMDGDGMISFQEFAQAITSAAFDNSWV >RHN72985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12355154:12358481:-1 gene:gene8754 transcript:rna8754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MDTEAATTPQFALSDTDINWDRLDKTRFHIIGAVLFTAQSALLHPTAVVKTRMQVAASGLSNMKGMSVFTHILRSDGIPGIFRGFGTSAIGSMPGRVLALTSLEMSKDFMLKHTQGSDIPEASRIGLANAVAGMVSNLVSCVYFVPLDVICQRLMVQGLPGTTYCKGPFDVIRRVVHAEGVRGLYRGFGLTAVSQSPASALWWGSYGAAQHIIWRSLGYKDDMEKKPSHVEMVTVQATAGMVAGASSSVITTPIDTVKTRLQVMDNYGSGRPSVLKTARTLLKEDGWWGFYRGFGPRFLNMSLYGTTMIVTYELIKRLSVQPTLRSFA >RHN51654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21667248:21668057:1 gene:gene36136 transcript:rna36136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MCCFFLQISNPKKLMAFTTSILAEKKNKILFILGATGTGKTKLSINLGTRYPAEIINSDKIQVYKGLHIVTNKVPESERCSIPHHLLGIIDDPEYDFTMNDFCKNVLESIDLIIGNGRLPIIVGGSNSYIKKLVEEPTIAFLSKYDCFFIWVDVSLPTLFQYVGKRVDEMVESGMVDEIREYYAPGADNSKGIRRAIGVPELDSFFQIEKKNDIDDAQKEKILAEAIRKTKQNTCILVQNQLLKIKNMAQILGSMVYKIDSTEVFEALL >RHN77255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5455751:5456313:1 gene:gene693 transcript:rna693 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTASLVLVLLVAAILNGYSAEGAGRGNQLEKDDGHVYESQKLISAEPDPDPDCSGLYNMCLNDPNMCGYYSSVCPLPPLSSKDLQSTAAKNGNPTIEILP >RHN62776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45748896:45757284:-1 gene:gene25385 transcript:rna25385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein FAM135, alpha/Beta hydrolase MFRRFRWFVGLNQKNLSTKRLVNADQPGPTRPNHLPNLDAVHEVAIYIHRFHNLDLFEQGWYKIKVTMRLEVGEDSYPGIPARVVQYEAPEVGSDNLCRVWMIDDTDNSFSTPPFQIRYARQDVFLSIMISFYLAFGDYEGESTAVILKFELMHAPMTATGSDLQGSLDACSASVHEYKIPPKALLGLHSYCPIHFDAFHAVMVDTSVHISLLKASYHTIRQKVPSDCRDSEGNYAEDYVGSNKVMLIKALMAAHDILLEDLRRISTGIDQAIDLTEITFESDDTKWFDLTPAARVQSIDGEQSLQLPDREEDATHNINYLTEESVQPFSWDDHLLNSFQSLANQLLYLWNVFLKFHRENKTKILEFLRKSWAIDRRTEWSIWMVYSKVAMPHQYMHNKVEGTSLHHSLHRSSLNTRRLIDDPIQTAIMRAELHRRGISQMRINNRALQDMYIFGDPLLVPIIIVERVTNVYRSASLNSNFISVENDARHVLSNGSRSTNKFCGSSQQNGHVLRVVVFVHGFQGNHLDLRLVRNQWLLIDPEIQFLMSEANEEKTSGDFREMGFRLAQEVISFLKRKMDKASRRRNLRDIKLSFVGHSIGNLIVRAALAESMMEPYLRYLYTYVSISGPHLGYMYSSNSLFNSGLWLLKKFKGTQCIHQLTFTDDPDLENTFIYNLSKEKTLENFRNVFLLSSPQDGYVPYHSARIELCPAASSDFSKRGKIFLQMLNNCLDQIRTSSDHRIIMRCDVNFNASSYGRNLNTLIGRAAHIEFLESDIFAKFIMWSFPEMFR >RHN79960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33887042:33892543:1 gene:gene3823 transcript:rna3823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MENNSSETLGSKGLINKHEFIRIILQSLYSLGYTKTASLLESESGVSYKSNEFQLLESHVLNGNWEACVTFLNSITEILGESVESALFLVFRQTVMEYLKRGEDGLALDVLRKRVSALRVDRFKVHSLANSVVNLNDEVVEGDLRGKLLMDLEKLLPPPISIPDGRLEHLVETTVTAWVDSCLYHSSLNPVSLYEDHSCGRDHFPTTTTQILTGHKNEVWFVQFSNSGDYLASSSNDCTAIIWKVQEDGKLTLKHTLSGHQRAVSFVAWSPDDTKLLTCGNIEVLKLWDVETGTCKHTFGNDGFVVSSCAWFPNSTQFVCGSFDPEKGICMWDCDGNELRAWRGMRMPKVVDLTVTPDGEYLISIFLDKEIRILHLATNAERVISEEHPITSISVSADGKFFIVNLNSQEIHMWDVAGTWKNPLRYTGHKQCKYVIRSCFGGSDSTFIASGSENSEVYIWNSRSSKPIEVLSGHSLTVNCVSWNPKRPQMLASASDDHTIRIWGPSL >RHN41539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30883217:30890322:-1 gene:gene47873 transcript:rna47873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rhamnogalacturonan endolyase MLLFCLKEIHLYSKMMKMNVLSMKNNNVIFFWWFGMIVKFCFLLCACSQNTSLRGGLKKINNLGSTVVVKLNTENKQQLVVDNGIVSVTLSKPEGYLIGISYNGIDNILESEYKEHARGYFDVVWNELGKHGKVQRIHGTKFSVIVANENMVEVSFLRSWTSSMTGIDVPINIDIRYIFRRGDSGFYSYAIFDRHEGMPAVKVDQIRFVFRLNKDRFNYMALSDTRQRRMPTMRDVKTGQVLAYPEAVLLTKPHNPQFTGEVDDKYQYSCENINNTVHGWISLDSDPTVGFWMITPSNEFRNGGPIKQDLTSHAGPITLSMFVSPHYAGKDVTMEFEEGETYKKVFGPIFVYLNTASSENDNATLWSDAVQQFSKEVQSWPYDFPQSKDYFPPNQRGAVFGRLLVQDWYFKGGRFQYTNNAYVGLALPGDAGSWQTESKGYQFWTRANAKGYFKITNAVPGDYNLYAWVPGFIGDYKYNATITITPGGVIKLNSLVYNPPRNGPTIWEIGIPDRLASEFHIPDPYPTLMNKLYTKKHSDKFRQYGLWKRYSDIYPNDDLVYTVGVNNYRDWFFAHVTRSTGNKTYEPTTWQIIFEHKNDIISGNYTLQLALASAADSNLQVRFNDRSKDPPHFATGRIGRDNAIARHGIHGLYRLFSINVSSNLLVKGKNIIYLRQSKADTPFQGVMYDYIRLERPPAI >RHN62679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45144680:45147461:-1 gene:gene25278 transcript:rna25278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol synthase MASTLPPQVNQKSNNGITPFTSVKTLSESPDFNSIPSSYTYTTNPHDENEIVADQDEVNDPIPVIDYSLLINGNHDQRTKTIHDIGKACEEWGFFILTNHSVSKSLMEKMVDQVFAFFNLKEEDKQVYADKEVTDDSIKYGTSFNVSGDKNLFWRDFIKIIVHPKFHSPDKPSGFRETSAEYSRKTWKLGRELLKGISESLGLEVNYIDKTMNLDSGLQMLAANLYPPCPQPDLAMGMPPHSDHGLLNLLIQNGVSGLQVLHNGKWINVSSTSNCFLVLVSDHLEIMSNGKYKSVVHRAAVSNGATRMSLATVIAPSLDTVVEPASELLDNESNPAAYVGMKHIDYMKLQRNNQLYGKSVLNKVKI >RHN67849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30850912:30858561:-1 gene:gene16092 transcript:rna16092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MTSILEDWGKKLLEKLIKRTIDEARFLFCFTCIAKEFEEERANLQPERETLEQHVKEAKGRNKDIAANVVSWEEDVKKLFQEDTKANQTCFFGFCPDCIWRYRRGKKLTKDIEKIKGLIEKADKFGNIEVSRPPPGVEHKSSQDYISFESRLSEYKELLDALKDDNNYITGLQGMGGTGKTTLAIKVGKELKESGQFTCVIDTTVSFTPDIKKIQDDIAGPLGLKWEDCNESERPRKLWDRLTKGEKILLILDDVWDQDPLLDFGAIGIPKRDNHKGCRVLVTTRSKQLSNRMNFDKSIELNPLSEDDAWIMFKRYANISESSSKDLENKGREIAKECKQLPVAIAVIAPSLKGQQNRVEKWDAILTSLKKHVPTNDVEADVVVIYKCLKVSYDNMKDRKAKELFLLCSLFREDEEISIEVLTRIAIGAGLFGKDYGTYDDARINVAVSKYDLVDSCLLLEVDKKHVKMHDLVRDMAQRIASKEIRVVNLSKKKSLVEWDVNIRYLSFEGNKIDLFSCKFDGSKLKTLIVNIERDEDCVCHGCCACLEVPDSFFENIVGLQVLHFSSNVPRQISLPKSFQLLANIRSLFVNCVDLGDISVLGNLQSLEALELVKCTIHELPIEIGKLENLKLLRLETCEIRKDNPFEVIKKCSSLEELYFIYSFNDFCREITLPAKLRRYHIAVWIRENEMVFTKHVVLDKKVFGYFTKGTLEYFMETAEAIELFGINSGWRNLMPEVVPIENGMNDLVKLHLSWGSELQCLIDTKHIDSQVPNVVFSKLVVLELFKMDKLEELCNGSISMDSLNCLKEVTIESCEHLQSLFKCSLNHLCNLKNVTLTSCSTLISVFDLTSSQGLPQLESLNINFCSKLENIFTYERLQFNDNSKSCNSMFPNLKVLSIYQCPQLQFILPYHSAGNLLSLESIMIQSCDKLTQLIKPALDDTIEEKVKGDNDNNNSCNSIMFPNLKGVQVISCPQLQFVLPLQSAQDLLLLQSVTIMECDKLKHIFGQHQDVQLALLEKLYLVDLPNFINIFPESDHSMPSSIKRSSNSISKTQTKLEPVKSNIFSWSHICCHRYKFRASTSTEISLERQHCLSRLSHIMCNIKVISLSNLSKIKSVFVLSMTSRMMLESLTIENCDELKHIVVDIGDSSGSDNSVFPKLKRLEVKNCGKLEYIFGHIDDTCS >RHN66507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13200630:13218023:1 gene:gene14510 transcript:rna14510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MRGEDVQANALFWEEEAEKLIQEDTKTNQKCFFGFCPHCIWRYRRGKELTNKKEQIKRLIETGEKLSIGFTARLPDVECYSPGQYIPFKSRESEYNELLGALKDDNNLIIGLHGMGGTGKTTMAIEVGKELKQSKQFTQIIHTTVSFSPDIRKIQDDIARPLGLKFDDCNESDRHKILWSRLTNGEKILLILDDVWGDINFDEIGIPDSDYLKGCRILVPTRNLLVCNRLRCSKTIQLHLLSEEDAWIMFQRHAGLSKISSKFFLLKGRKIATECKRLPIAIAVTASSLKGEKHREEWDMALYSFQKHMPMHGIDDDLVRIFSCFKFSYDNMKDEKAKRLFLLCSIFREDEKIPTEMLTRLGIGGGLFGEDYFRYEDVRNQAVVCKNKLLVSCLLLEANQSRVKMHDLVRDVAQWIADKEIQTVKLYDKNQKAMVERKMNIKYLLCEGKLKDLFSFKLDGSSLEILIATVHKDEGCHNVKIEVPNSFFENSTGLRVFHLIYDHYSNLSLSLPQSIQLLNNIRSLLFSRVDLGDISILVNLQSLETLDLDGCEIDELPHGITKLKKFRLLNLDYCKIARNNPFQVIEGCSSLEELYFRGSFNDFCREITFPELQRFYIDENSGSEDDLLLKCVSYVDNDEIFLSKTTLMYCMQKSEVLRLGRIVGEWRNIIPEMVPLDQGMNDLVELHLRCISQLKCLIDTMHTGYQVSKVFCKLVVLKLEGMDNLEELFNGSLSFDSLNSLEKLSIKDCTNLKSLFKCNLNLFNLKSVLLKRCPMLISLFQLSTAVSLVLLERLEINDCGCLEYIIDERNEEELRGEIVDDNDIASHGSLFQKLEILRIKKCPKIELILPLLSAGDLPALESITMKSCDLLKYIFDKNVELGSLKQLELDRIPNFIDIFPECNRTMTPSIKGTSSKPQAQSDPIKCNIFSWIDIYCCGKKNGHKSRSTEIPLVSEDKSPDNLMLQESNSYSLDYISIWERAQCLLRPSDILCNIKEITLRNILKMRSVFILSIAPKMLLETLTIRNCDELKHIIIDTGDHDNSGANNWGTVFPNLRKIEVEDCERLEDIIGHYTDGHQNHVEIHLHLPVLEGLYLENLPSLVAVCPEQYRTTFPPLKELVLNKCCQFAIKSIGDFMTHHSVTRSVDRTTIKELSGNMEHFVALERLKVGNSNVESICLLNEVSELNEVSELQMNLGLQNIELDTLPMLTCLFVGLKNSCYLKNLTKMKIKGCEKLKFVFSTSIIMCQPQLLHIRIEECKELKHMIEDDLENKNSSNFMATKTCFPKLKTLVVAKCNKLKYVFPISLCKELPELYVLMIRDADELEEIFVSEDDHQKSEIPNLKFLVFENLPSFWDTQRIQYQAVKYRFIQNCQKLSLASSTTAGLKNEVHRLVFDYDYELYDDLTKLFKQLHGEAKGHDTSNEYPSSEITEAREASRHEPTSSQKEIGQTLVTEHGFVENVPRHEIPSIAIIPTNSEELMNEQPMEQQRPLGETDATVKPLQENTFVGSTSEKNVASTLSTISETTKNELPIQLAASKHKGIKISVEEGTSSANAKTITSPPHLKSVDGDVQIAIASPQIAITKPATIQDVDVKICQETSKANDDQVALNDDAVMKASTNIEQHLPKDDDIEDGDDQIAKTSFSIANTETNDQVSRNDDAFKKVRSNTEEQFPTDDDILVSKSRPSYIASQYPLKPVEGDPSQIVEDFSWVVTREELENLVSKNHLAIENLSLLTEFLVKHPSVLLRDTSLSSRYKGYAYNCLAELLKFLQTHSVLDVLGSRNSTFVELIQDVRRFPFDKEWLDGVEKRALFPGLQVSQDALQKLLNSKYILTQHVEDLKHQLASSEAVLQSITQQEAQFLETRLL >RHN54023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6293050:6296030:-1 gene:gene28998 transcript:rna28998 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLAMNNKTFWRTIEINISHLPLKLPSTSPSALLSTNFLCPMFRENKIRIISKK >RHN44256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2959146:2959532:-1 gene:gene38352 transcript:rna38352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M41 MEIKFISKVISILQQMVTTYGMSEIGPWTLIDPSVQSSDVVLRMLARNSMSEKLAEDIDNSVRHIIETAYEVAKNHIKNNRDAIDKLVDVLLENETLSGDEFKSILSEFIDISSIKIDRTSIREMIEA >RHN41246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28593892:28598985:-1 gene:gene47548 transcript:rna47548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MPSQADLDRQIEHLMECKPLTEAEVKALCDQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPHTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKFFTDLFDYLPLTALIESQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIASQFNHTNGLSLISRAHQLVMEGYNWAQEKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >RHN78004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11179276:11181592:1 gene:gene1518 transcript:rna1518 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSKQKKNQNANNAKRRLLISINVLGSAGPIRFVVNEEELVEAVIDTTLKSYAREGRLPVLGNDHSAFFLYCPHLGSDAALSPWDKIGSHGARNFVLCKKPQAATNEAAAEDGSGTSSLPRRGSGSWKSWFNLNLKVSSH >RHN43139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43508055:43508868:1 gene:gene49670 transcript:rna49670 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFVSVVACRIIPQEVVSLGIQNCSILWSPVEEEGIDCVYHGSLKCVNRFCNLLLLGVESRKQDSEAIVPFSKLGFRDRKKLLELTASTNQVRLRFLNPNFQKDLVMLKERRGFLSRMTQMYKLCSIHIENKENSQGEEIGSMDLEVDQVRKHHDNSKAFAFPPINKDSHTQCIKRKSLGGYFWGNFKHILGRSSSIDDCVVNIDHQHNTTARARGHQRSSTIDGSVVNIDQQRNTMAGTRDDRRSSTADG >RHN52791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38903056:38903423:1 gene:gene37503 transcript:rna37503 gene_biotype:protein_coding transcript_biotype:protein_coding MYPHVNPRPQKPKRSRFERLGLKVGLIGVACAITSGVTGVEHMIYDAFVGDDDDDDEDGFET >RHN74749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36371252:36372599:1 gene:gene10858 transcript:rna10858 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFILRFLITFVSSSLVFFEFFVSDLQKMAIQFKQKVTAFLLVLALLVDDCITIHKI >RHN78827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18783484:18784419:1 gene:gene2483 transcript:rna2483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MLNYFAVKIMVPPIPKRGRSNNNEDMISELSDCIIIHILSYLDAKIAVQTCLLSKRWENLWKKIPSLTLDSTQFSTSYKLSTFLSRFSDLRDDSIALRTLDFKLVTRSNEDCQSILSSMPSFQTLTSLKLAVNIRPWDSLKAFFPDYLKFPSLVNLELTNLMFRDRENVGYVEPFSVFKKLNSLILRGCATKNNAKILISSLTLINLTIDNNLPGFSYIELSAPRLSSITLTGTPVAILCERSLAFVKELNFDTNTSPVRRTLLNLLQQFPNIESLTVSACALKVLQLFNIFTFFFNFSTFLTPYCLGCLP >RHN73501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17140188:17145083:1 gene:gene9319 transcript:rna9319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAAEFVGGAIVNSIIQVLVDKLASTEMMDYFRTKLDGNLLMKLNNSLISINAVVEYAEQQQIRRSTVRTWICNVKDAIMDAEDVLDEIYIQNLKSKLPFTSYHKNVQSKLQDIAANLELLVNMKNTLSLNDKTAADGSTLCSPIIPTNLPREPFIYGRDNEKELISDWLKFKNDKLSVISLVAMGGMGKTTLAQHLFNDPSIQENFDVLAWVHVSGEFNALQIMRDTLAEISGSYLNDTNFTLVQRKVANELNGKKFFIVLDNMWNDNEVELKDLKIPFQCGAEGSKILVTTRKSEVASGMESDHTHLLQKLEEEHAWDLFSKHAFKNLESSRITIGPGVFELIAEDVMRKCNGLPLALEAIGRLLSVHSSFKDWSEISKSGIWNLPGETRIVPALMLSYQKLPYDLKRCFGYCALFPKGYLFDKDDLILLWTAENFLPGQKKGENFLPGQKKGESYFNHLLSISFFQPSEKYKNYFIMHDLFHDLAETVFGDFCLTLGAERGKNISGITRHFSFVCDKIGSSKGFETLYTDNKLWTFIPLSMNSYQHRWLSPLTSLELPKLFLKCKLLRVLSLCGYMDMVELPDTVRNLIHLRHLDLSRTGIRNLPDSLCSLLYLQTLKVKDCEYLEELPVNLHKLVKLSYLDFSGTKVTRMPIQMDRLQNLQVLSSFYVDKGSESNVKQLGDLTLHGDLSIFELQNITNPSDAALADMKSKSHLLKLNLRWNATSTSSKNEREVLENLKPSIHLTTLSIEKYGGTFFPSWFGDNSLISLVSLELSNCKHCMMLPSLGTMSSLKHLRITGLSGIVEIRTEFYRDVSCSSPSVPFPSLETLIFKDMDGWKDWESEAVEVEGVFPRLRKLYIVRCPSLKGKMPKSLECLVNLKICDCKQLVDSVPSSPKISELRLINCGELEFNYCSPSLKFLEIRGCCLGGSSVHLIGSALSECGTNIKVLKIEDCPTVQIPLAGHYNFLVKLVISGGCDSLTTFPLKLFPNLDTLDVYKCINFEMISQENEHLKLTSLLIEECPKFASFPNGGLSAPRLQQFYLSKLEELKSLPECMHILLPSLYKLSINDCPQLVSFSARGLPSSIKSLLLIKCSNLLINSLKWAFPANTSLCYMYIQETDVESFPNQGLIPLSLTTLNITGCQNLKQLDYKGLDHLPSLSSLTLKNCPNIKRLPKEGLPRSISTLQISGNCPFLLERCKKPYGKDCERIAHIQCIMIDDPERDQQS >RHN45515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21900772:21906732:-1 gene:gene39868 transcript:rna39868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MQAKPIPNPSSYKIPPLIRPPPVHQASLPQTITKPKPISTPIPNTDVVVPDRVLQPVQPFIEHDDPVVASWDYNFLFMSQRSETTQPIKLHVLEGAIPTDFPSGAYYLTGPGIFKDDHGSTVHPLDGHGYLRAFTFDNATNEVKYMAKYIKTEAQVEEYDRKTGSWRFTHRGPFSVLKGGKKIGNTKVMKNVANTSVLMWGKKLLCMWEGGNPYEIESGTLDTIGKFNMVDGCDMDDHNVNHGGDVWEVAATLLKPILYGIFKMPPKRLLSHYKVDSSRSRLLTVSCNAEDMLLPRSSFTFTEYDNNFKLVEKQEFKIPDHLMIHDWAFTDTHYIVFANRIKLDVLGSMGAVCGASPMISALTVNPSKSTSPIYLLPRFPNKPESKKRDWRKPLEVPSQLWLLHVGNAFEVKQAHGNLEIQIQASACSYQWFNFRKLFGYNWQTQKLDPSIMNVKGENALPHLVQVSIKLDSDYNCQECDVKPINKWKKSADFPATNPSFSGKQNKYLYAATTLGSRKTLPSFPFDTIVKFDLVNNSVKAWTAGSRKFVGEPIFVPKGEEEDDGYLLVVEYATSMQRCCLVILNPKEIGTKKALVARIEIPMHLNFPLGFHGLWAATEGHI >RHN47475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40036935:40038476:-1 gene:gene42085 transcript:rna42085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ERN1 MEIQFQQPNMQNQKAGISVTNKGGKFKGRNRNSNNTNKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFITHVSLDSPLASRIRNLLNNRKGDKKQEDGAVASAPSNSKTTISNTSTITSNDDNKESTLSTCATRNTELFEDAYKPDLSNCKEVFESGSQSNISCGFGPFFDHFSFTQLLDMAKNDDITDASSLELSEFERMKVERQISASLYAINGVHEYMETVQESNEALWDLPPLCSLFC >RHN72611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9141279:9142414:1 gene:gene8354 transcript:rna8354 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVAIFDFELSSFPKLSSMPLISYENMKIPLDFRISKYTIVSM >RHN74004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23390680:23395155:-1 gene:gene9928 transcript:rna9928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDVTIEYLYAVVTGVICIVLISYSAFFRGDARAQPKLPPLASGGWPLIGHLHLLGSSNQPPYITLGDLADKYGPIFTLRVGVHNAVVVSTWELAKEIFTTHDVIISSRPKFTAAKILGHDYANFGFSPYGDYWQMMRKVTASELLSTRRFETLRDIRDSEVKKSLMELCKSGFDHELGDLKVEMKRFLGDMNLNVIMRMIAGKRYSNESGDEREVRKVRWVFREFFRLTGLFVVGDAIPFLGWLDLGGHVKEMKKAAREMDSVVCGWLEDHRNKNDVGETKMEQDFIDVLLSVLHGVHLDGYDVDTVIKATCLTLIAGATDTTTVTITWALSLLLNNRHTLKKIQDELDEKVGKDRLVNESDINNLVYLQAVVKETLRLYPAGPLSGARQFTENCTVGGYNIQAGTRLILNLWKMHRDPRVWSKPLEFQPERFLNTHKDVDVKGQHYELLPFGGGRRSCPGITFGLQMTNLALASFLQAFEVTTPSNAQVDMSATFGLTNIKTTPLEVIAKPRLPYHLLFVKEH >RHN72738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10297051:10302142:-1 gene:gene8490 transcript:rna8490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine aminopeptidase, S33, alpha/Beta hydrolase MIDQFINFIIRPPRAEYDPDQYLCEKEFTLLGRQYQRKDLELKNARGYTLKCSHYIPSHFPEDISLPCVIYCHGNSGCRVDANEAAVVLLPSNITVFTLDFSGSGLSDGDHVSLGWHEKDDLKMVVSYLRSNKQVSRIGLWGRSMGAVTSLLYGAEDPSIAGLVLDSAFSNLYDLMMELVDVYKIRLPKFTLKMVVQYMRRVIEKKAKFDIMDLNCLQAAPKTFIPVLFGHGNDDDFVQPHHSDLIAESYAGDKNIIKFDGDHNSIRPQIFYDSVCIFFYNVLRPPHIPRARRFEKYYSLRDTKISSAVNEGLLYDLLSSLRASTTDAASSSSAIRTISNSTIASESVIREDTTHGNGEPADAKDEQKFPTEDYFSYSSSARESWDGDNDSEVFATPMGSMREALAEPKEGEKNQKKKKKKKAEKVTKKLKPDRFEKLESFSRRLRFCILKGSVHQRHQST >RHN66179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9520571:9521612:1 gene:gene14112 transcript:rna14112 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAIKFFSFFLVIIFAATVASAQDLSPSLAPAPGPDAGAAGSVTSSVAMVGISVVLSMLAIFKH >RHN76303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49266161:49268841:-1 gene:gene12605 transcript:rna12605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Snf7 family protein MGNTEKLLNQIMELKFTSKSLQRQARKCEKEEKSEKLKVKKAIEKGNMDGARIYAENAIRKRTEQMNYLRLSSRLDAVSARLDTQAKMSTISKSMGNIVKSLESSLATGNLQKMSETMDQFEKQFVNMEVQAEFMESAMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVNLPVAAAHAVPVKEKDAEKVDEDDLSRRLAELKARG >RHN54743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11761241:11766371:1 gene:gene29821 transcript:rna29821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator IWS1 family MGYEDNPYRDEDGEPLMDYDDVQSDGEGSPEPQQLDDFEEEDVDNFHDRARSQTPVYENDSSKSKPRKRLIKKSDTGKQSMALPSELEDELEEEDEGRKRKKGKDGGSGKKEKRLKGGSSSSGKGGSRFGGSKRGVGGKSGNDREGEVNEMWDALAGNSEDDNEGARNMDDDNFIDDTGVEPALYGYDEPRSPGDAPQAEEGEEDDEIKDLFKMGKKKKKNERSPAEIALLVENVMAELEVTAEEDAELNRQHKPAVNKLKKLPLLIEVLSKKQLQLEFLDHGVLNLLKSWLEPLPDGSLPNINIRTAILKILNDLPIDLEHYDRREQLKRSGLGKVIMFLSRSDEEINVNRRLAKDLVDKWSRPIFNKSTRFEDMRNTEDDRVPYRRPSVKKPAAKAAGMQSRDGDLDLDLSQPRSGESSSRQHASRPEATPLDFVIRPQSKIDPDEIRARAKQATQDQHRMKMNKKLQQLRAPKKKQLQATKLSVEGRGMAKYF >RHN74178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29948004:29948656:1 gene:gene10186 transcript:rna10186 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDAGGRYIRGLKQLFLLGCVLFSVGIYVHIHVFNSLSMQFFLPLHCLRSSCFDNQLMGTHKGITTATGTIIGIHFLKVKFYFERCKYC >RHN41922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34113529:34117744:1 gene:gene48297 transcript:rna48297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative procollagen-proline dioxygenase MHKSTVDDETGKSVDNSARTSSGTFINRGHDKILRNIEQRIADFTFIPVENGESVNILHYEVGQKYEPHPDFFTDEINTKNGGGETVFPFAEGNFSSVPWWNELSDCGKKGLSIKPKMGDALLFWSMKPDGTLDPLSMHGACPVIKGDKWSCTKWMRVACPKKGYSKNMVSM >RHN69242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42059184:42060571:1 gene:gene17684 transcript:rna17684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MILYDKRNELGIVIATVMGEEEEKTLSFEPEFPKSRVKKIITLDKDVKRVSSEALFLVSRSTELFLQLLAEKSAEVAIEKKRKTVKLEHMRIAVKRNRPISDFLLDSLPVPSETVKSDKPAAVVDRPKSVSVGTRRIDQIFRKSGGDVEAQAQAQAPAPEPEPEPEPEPMEEA >RHN63970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55481664:55501930:1 gene:gene26736 transcript:rna26736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MFLGTSSPRASPRSSSQPQSPFSSSSSPQESWESMLPGPSSRNNFGSSDLNPNGLLAFPSGSSISIVDTRSMQLLSAFPIPPPPSSAAPFVTSVRWIPLPLNRHLLSSEPSSSHLLLAAGDRQGRIALLDFRLKSAILWFETDSKLGIQDLCWIQARPDLLILAAITGPSTLSLFNGSTGRCVWKYDASPEYFSCIRRDAFDSRRICAIGLKGFLLSLLHVGDSEESVVIKELQIRTDSTELAKLERDFTGAAAPASAAFPLHVARFAFSQLWRHILFVTFPRELVVFDLQYECVIFASSLPRGCGKFLDVLPDPSNEWIYCAHVDGKLSTWKRKPGEQVHTMYSMEELMPSVGTSVPSPSILSVVLCQSDSTLQNIGKNYSDIPSSPYLHEDFDNPFDFCDESQIISKIHLISISDDGKIWDWLLTAEANADTQKDDKKLGLVNDDCTVALDGANSNTMVSIAGGRELNVGRPQEHPNDNRSRLPSSTSNHEEISMKINLVGQLQLLSSTVTMLAVPTPSLTATLARGGNYPAAAVPLVALGTQNGTIEVVDVSANAVTSSLCVHNGIVRGLRWLGNSRLVSFSYIQANEKSGGYINKLVVTCLRSGLNKMFRVLQKPERAPIRALRTSSSGRYLLILFRDAPVEVWAMTKNPIMLRSLALPFTVLEWTLPTVPRPAKEQTSGASDEASNPSKTSSSDSKGSSAEGSQDDTSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFVSSDGLITAMAYRLPHVVMGDRMGNIRWWDVTTGHSSSFNTHREGIRRIKFSPFVPGDHSRGRVAVLFYDNTFSVFDLDSPDPLANSLLQPQFPGTLVLELDWLPLRTDKNDPLVLCIAGADGSFRLVDINVNDKRSGSAPRIRKTKERFRAMPICCPILLPSPHALALQMILQLGVKPSWFNTCSTTIGKRPHLIPGAPSPTGDLRTYMINIPPLGDSVVPEMLLKVLEPYRKEGCMLDDERAKLYASIVDKGCAARFAFAATVFGESSEALFWLQLPQALKHLINKSSRKPPSKGPTTEPVSEVDETSLLSRISSKGKPTEDMSQGQQRLMAFDQEELWKSASERISWHEKLEGEEAIQKRVHELVSVGNLEAAVSLLLSTPPESSYFYVNALRAVALSSTVSRSLHELAVKVVAANMVRADRSLSGTHLLCAVGRHQEACSQLQDAGCWSDAATLAATHLKGSDYARVLQRWAGYVLHSEHNIWRALILYVAAGALQEALAALREAQLPDTAAMFILACREIHAEIISDLGITDDESSSSVNDRILNLHALDPENEDVVAVDEYFVQYQRKLVHLCMDSHPSSD >RHN41333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29235415:29235687:1 gene:gene47643 transcript:rna47643 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPVIRLRVTRKGQLFIGRRVQNQIASSFHLILASRESCHFVCAYPQQMFESEIITRNAIAISRCLVFIEWNVLDVSSKPSSNSSLPTP >RHN72182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5588625:5596955:1 gene:gene7866 transcript:rna7866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AGa MDFPNESMSDSPQRKIGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKETIARYKKACSDSSGTGSATEANAQFYQQEADKLRVQISNLQNNNRQMMGESLGSMNAKELKNLESKLEKGISRIRSKKNELLFAEIEYMQKREIDLHNNNQLLRAKIAESERNHSHHSNINMSMLPGGTNYEPMQSQNQQQQYESRGYFQVSGLQSSSSQMTALQPSSQYARQDHMSLQLV >RHN71720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2178842:2185920:-1 gene:gene7349 transcript:rna7349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MNTFDTEKITPMEQEEDEEEEISPIEEVRLTVTNTDDPTQPVWTFRMWFLGLISCSLLSFLNQFFAYRTEPLIITLITVQVATLPIGHFMAAILPTTKFRIPGFGTKKLSLNPGPFNMKEHVLITIFANAGSAFGSGSPYAVGIVNIIKAFYGRSISFHAAWLLIITTQVLGYGWAGLLRKYVVEPAHMWWPSTLVQVSLFRALHEKDDDHRISRAKFFFIALVCSFSWYVIPGYLFTTLTSISWVCWVFTKSVTAQQLGSGMKGLGIGAITLDWTAVASFLFSPLISPFFAIVNVFVGYVLIIYAVVPIAYWGLNAYNAKTFPLFSSHLFTAQGQKYNISAIVNDKFELDEAKYAEQGRIHLSVFFSLTYGFGFATIASTLTHVACFYGREIMERYRASSKGKEDIHTKLMKRYKDIPSWWFYALLVVTLAVSLVLCIFLNDQIQMPWWGLLFAGALAFAFTLPISIITATTNQTPGLNIITEYVFGLIYPGRPIANVCFKTYGYISMAQAVSFLSDFKLGHYMKIPPRSMFLVQFIGTMLAGTINIGVAWWLLNSVENICHDDLLPEGSPWTCPGDRVFFDASVIWGLVGPKRIFGSKGNYSAMNWFFIGGAIGPIIVWLLHKAFPRQSWIALINLPVLLGATAMMPPATPLNYNSWIFVGTIFNFFVFRYRKKWWQRYNYVLSAALDTGVAFMTVLLYFSLSLENRSISWWGTEGEHCPLASCPTAKGIAVDGCPVH >RHN44425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4499525:4507116:1 gene:gene38544 transcript:rna38544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone-7-O-beta-glucoside 6''-O-malonyltransferase MSKSKIIEIFNVAPSSQVELPSETSLPLTFFDILWLRLPPVQRIFFYEFPHQTSFFFKTLLPKLKKSLSIALSHFYPLLGHLIWPHDSHKPIIKFIKGNTLSLTVAESHADFNHFSGKNLSEATQIHDFLPNLNISHDQASILALQVTIFPNYGFSIGITSHHAVLDGKTSTSFIKSWAYLCRKLENEVSELVSPLCLPHEFCPFYDRKVIKDPNELEAKYLSDWLKQGGTNNRSLMVWDLEVPEDSFRGLFQLSRLDIEKLKEFVVSKQKGTRTENKNLHLSTFVVSIAYALVCRMKAEKIENKNVVMGLNIDCRNRLEPTTPATYFGNCIGARLAIVKTSELFGENGLIVAVEMLSEALETIKDGVLNGAENWSSLLLEGLAMADVKMIGAAGSPKFEVYSTDFGCGKPKKVEMVSIDRTGAFCLSDSRNGDGVEIGFVSNKKAMEAFASLFVKGFPAATMVVCGGAVVIHNLMTSLRIERGHIGEWDRWPNESVFVGTWFDKGIQVEMKGQTEGKPPPKRIIIEELPLETSLPLTFLDILWLRLPPVQRIFFYEFPHQTSLFYNTLLPKLKKSLSIALSYFYPLLGHLTWPNDSHKPIIKFIKGNPLSLTIAESDADFNHLSESLKMKKIIKDPNELEAKYLSDWLKQGGTNNRSLMVWDLQVPEDSFRGLFQLSRSNIEKLKEFVVSKQKGTRNENKNLHLSTFVVSIAYAWVCRVKTEEIENKNAMMVLNIDCRNRLDQPIPATYFGNCIGGKLAIVKTNELLGEDGLIVAVEVLSEALETLKDGVLNGAENWSSWLLDGLTIADVKTTGAAGSPKFEVYSTDFGCGKPKKVEMVSIDRTGAYCVSDSSNGDGVEIGFVSTKKAMEAFASLFVKGIGS >RHN75900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46033677:46035191:1 gene:gene12159 transcript:rna12159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MGHSHASASSIWTKFLQLKEDEKLHHMKKMMQDQEEDDVEEEVKQKTSWSWRKLLESVFGDEIENMKKDNVAHKSPRSCNLYDRKPDFKNSYGWSVALDGSDYSPLKSSGVGIYHVNLKPGSMMTPHVNPRATEYGIVIRGSGRIQIVFPNGTNAMDTHIKQGDVFFVPRYFAFCQIASSNEPLDFFGFTTSAQKNKPQFLIGATSLMKSMMGPELAAAFGVSEDAMQNILNAQHESVILPAEQNNEKNLEHSEMIPKIVKIMT >RHN56228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29054197:29055271:-1 gene:gene31596 transcript:rna31596 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGVQEAHLQQGKDGEVTEAGAVTEVGAATAVSKPKKTPQSRSKVWKHFNRNN >RHN82275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52485170:52488244:-1 gene:gene6425 transcript:rna6425 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTNTRSDSTDSKSDRKFDKKLQFYTKVKTAVASLTATKSISKNQRKHQRRQKKLKAYNLSSLLDTLPEFNAPQKPCNEDNFKVNCKTRQKLVLKEGQRLCELFKNDSFQVNPLAAIHQHLRSTHPEPVLEKQQPKKKANVNGSKKRKKKSKAGAGLKSMDI >RHN65204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:218934:219374:1 gene:gene13022 transcript:rna13022 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRLSHARFEHKSLKTTFKKILNSESQHIIELVLCFIKKTMFEHSPQKCFTFKDPTRVLLIQCQKCPSIVTDTAKSILNPPKLALAAKTVLSDELQLSIQTFLLIWTTWLLESFAIVTVKRNVNHVCSPPVCLRP >RHN63747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53717086:53717598:1 gene:gene26490 transcript:rna26490 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQLEETDNLQVPGRILALEAGGSNFHHAPFPSQSDRFFHHVGVNSNLQIGYNPMGSADGASSLRMNGFDAMTWML >RHN60231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23988536:23988940:1 gene:gene22495 transcript:rna22495 gene_biotype:protein_coding transcript_biotype:protein_coding MGISVHISERVIAFILRRPAHGTYKGGIKNVKHSPWNEIVNQSIFNNNVKGVYPDLGMEKRMMLKIQNENLLPKGGGNDQPSLGHKIFLHLFITREYANVPKYIFKHMIQQLRESQEKNICWIPYGRLLSEIFH >RHN53392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1420077:1422297:-1 gene:gene28294 transcript:rna28294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MATIPYMDILSYILIFLLIFIVTQVFYSLFATKMRNKTNSKLPPGPFPLPIVGNLFVMNNKPHKSLAKLAKIYGPILTLKLGQVTTIVISSPDMAKEILQTHDSLLSDRTVPHALTAFNHDQFGVGFLSLSPLWREMRRVCKNQLFSNKSLDASQYLRRGKIDELINYVSQCSLKDFANNKDLVMDMSETVGSPNMADFFPLLRLIDPQGIKRTYVFYVGKLFGIFDNIIDQKLKLREGDGFVANNDMLDSLLAEENKKELDREKIQHLLHDLLVGGTDTTTYTLEWAMAELLHNPNIMSKVKKELEDTIGIGNPIEESDVARLPYLQAIIKETLRLHPIAPLLLPRKAKEDVEVNGYLIPKGAQIFVNVWAIGRDPKVWDNPNLFSPKRFLGTKLDIKGQNFQLTPFGSGRRICPGLPLAMRMLHMMLGSLLISFDWKLENDMKPEEIDMEDAIQGLALRKCESLRVIPTKISN >RHN47018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36497145:36498291:-1 gene:gene41563 transcript:rna41563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MDKTKYRRALIKILIIIGIGILSQQGIQNQENDPSLSLSERFEYWKTKYGVVYKDVAEQKKHFQIFKHNVAYIDYFNAAGNKPYKLAINRFVDKPIEDSDDGFERTTTTTPTTTFKYENVTDIPATVDWRKRGAVTPIKNQGKCGSCWAFSAVAAIEGIQKITSGNLVSLSEQQLVDCDRSGRTKGCDNGNMINAFKFILENGGIATEANYPYKRVVKGTCKKVSHKVQIKSYEEVPSNSEDSLLKAVANQPVSVGIDMRGMFKFYSSGIFTGECGTKPNHALTIVGYGTSKDGIKYWLVKNSWSKRWGEKGYIRIKRDIDAKEGLCGIAMKPSYPIINNIE >RHN65866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6011816:6012733:1 gene:gene13758 transcript:rna13758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MALTTSTSTSTEKKKVLFILGATGTGKTKLSINLGTQFPSEIINSDKIQVYNGLDIVTNKVQESERCSIPHHILGIIDDPEYDFTMDDFRKHVLEALDLITQNEHLPIIVGGSNSYLKKLLEDPTNAFHSKYDCCFIWLDVSLPILFPYLDKRVDEMVAAGMVDEIRDFFVPGADNTKGIRRAIGVPELDSYFEMEMKKGIDDVEKEKILKESIRKTKQNTFILAENQVSKIQNMADTLGLMINKINSTEVFEAILRGEDYQKLHQEIVIKPSMKIVKRFLEETSHGFRNAKYSNGNGKHTTNGV >RHN41153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27630229:27636272:-1 gene:gene47443 transcript:rna47443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGTGEIPKGILGDLTRLKSLALTDNQLSGDISSIFKFNNSLLQDFYLSYNNLSGNLPSNICHGLPNLRIFYIYHNDISGEMPTIWHQCEEMEGLDLSFNSFNKGPMPGGIRNMTKLQQLYLMGNNLEGEIPSFNNMTSLRVVKFGYNNLNGNLPNDFFNQLPQLENFILKNNQFEGSIPQSIGNCTSLIYINLASNFLTGMFYFLSRHIYPK >RHN43593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47086339:47089257:1 gene:gene50199 transcript:rna50199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol oxygenase MTILVEHVDFGSQFDDKNVHSEDINELVLDGGFPQPKNASQNTFFAPEINAFGHSFRNYDEESERQKGVEEFYRLQHINQTYDFVKKMREEYKKLDKAEMSIWECCELLNEVVDESDPDLDEPQIQHLLQSAEAIRKDYPNEDWLHLTALIHDLGKILLLPKFGELPQWAVVGDTFPLGCAFDESNVHHKYFKENPDIKSPAYNTKNGIYNEGCGLDNVMMSWGHDDYMTMVAKENGSTLPNAGLFIIRYHSFYPLHKEGAYTHLMNEEDFENLKWLHIFNKYDLYSKSKVLVDVEEVRPYYLSLIEKYFPAKLRW >RHN75267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40770648:40773476:1 gene:gene11442 transcript:rna11442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MVSPENTNWLFDYPLIDEIPVSVDGSFAFTWPPPHLSNGGIEMDDSSLVDSDGIKEPGSKKRGRSDSCAPSSSKACREKLRRDRLNDKFVELGSILEPGRPPKTDKAAILIDAVRMVTQLRGEAQKLKDSNSGLQEKIKELKVEKNELRDEKQRLKAEKEKLEQQVKSMNTQPGFLTHPPAIPAAFAHQGQAPSNKLMPFMSYPGVAMWQFMPPAAVDTSQDHVLRPPVA >RHN41137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27350618:27352274:1 gene:gene47427 transcript:rna47427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MAKSSSSSSTKPKQTHEVFLSFRGEDTRKTFTSHLNSALRRLDIKTYIDDNLERGDEISQALLKAIDEAKLSVIVFSKNYATSKWCLDEVVKILECRKNKGQIILPVFYEVDPFHVRHQLGSYAEAFVKHEQRFGSTMNIVQKWRDALGEAANHSGWDCSINRTEAELVEEIAMDVLQKLNRVYVGDLDHQITKLEQLAQLQLQYYKSIDTYENQVSHEATVQRITELKMKRSIRMLRLTREVLSYMEDSEAYEKLF >RHN61177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33676249:33680301:-1 gene:gene23615 transcript:rna23615 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCRTDISSILRDAKRQDKQIKLKRRWLLGLPITTKEGKKLKEICKTGWYSPESLLRQDDLFYESVRTRVERAFGAHYIESENCIPQDDMDLNQIPNFKRFILSCLDNLTTKGLYLLAMIVGGDTVKYERTRSNLKKIIKSSLSSVLSSKRNKDQQLETRKQLFQLLNNPQNYRQRCELLPGSESQFYHAAVVKVLCGLEKLPSQTLLAMRRKLKGIKAPMPQLQPFKNGWGRNHLIRQVNKISRKMLLELDGGNKLQEPLANAMSVADFSLKLIIGFGSTFLEECYQFSPEVKSLQSDIMQAILSVEKKEVVPLPVLRELQLLIEPKATVVNKSLRKAFVNLLTEFLFECSDMNSTPKSLLQILDVINKCSNKSTHDVTLQKEHIEEEVDSILSVSAQTNQIIQDLLPDYEFDQGFTDAYMEEQSEDSDNESDKDEDDSQCSENSLHCSVTPSECLNSDSDKNCDTINLESKMRNTPYNNQCQEEITEQFSTPMSRKNCDSSAVSLDKEPDENIVKRHEFHESYTEAAPRDKSNFCEEKKPIPTKYSGHKNQYLAAQDACDKTSMLAYNLIGRMLEEFAIAEDLNLDLSKRSYLNCDKQSEDIKETEEQSSSRKRKGGPPIVRVIEELIPSFPDSSMERLKILMDL >RHN39118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4241368:4241953:1 gene:gene45114 transcript:rna45114 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAPHGESLLSSSFSRNNNTTSNKPTKLSTSDHLQRTVSDISFELMAKEAIDNLKLPSISEVEDAKCECCGMSEECTPEYIDRIRNKFKGKFVCGLCSEAVKEELEKNGGKKLEEALNTHMNACVKFNKYGRAFPVLFQAQAMKEMLKKSNMDGKIRAKSISPRDKNGGGGLARSSSCIPALTREINNIKIAN >RHN59829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13734313:13742443:1 gene:gene21989 transcript:rna21989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain-containing protein MEGAFASASAITDQRQKIEQYKQILSAVISSNDILHARKFIDHMLSDDVPLVVSRQLLQSFAEELGRLAPETQKEIAHYILAQIQPRVVSFEEQVLVIREKLAELYESEEQWSKAAQMLSGIDLDSGMRVIDDTFRLSKCVQIACLYLEDDDAVNAEAFINKASFLVSNSQHEVLNLKYKVCYARILDLKRKFLEAALRYYDISQIEKRQIGDEEINEEALGQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELKPHQKALLPDNFTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIPPPKAEKIASRMIYEDRMKGSIDQVEAIIHFDDDTEELQRWDQQIVGLCQALNDVLDSMGKKGIPVPV >RHN75466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42506306:42508686:1 gene:gene11681 transcript:rna11681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S25 MAPKKAAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQGTYDKLLTEAPKYKLITPSVLSDRLRINGSLARRAIRDLMARGLIRLVSAHSSQQIYTRATNT >RHN42304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37263311:37285628:1 gene:gene48730 transcript:rna48730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, ERG, cation/H+ exchanger MSLLLLMEEESLPTYRIMDQQQPLSYSLSASASSPPSSENESNPSDAVIFFGLSLALGIACRHLLRGTRVPYTVALLILGIALGSLEYGTHHRLGKIGDGIRLWSEIDPELLLAVFLPALLFESSFSMEVHQIKRCIAQMIILAGPGVVISTIFLGSVLKLTFPYNWSWKTSLLLGGLLSATDPVAVVALLKDLGASKKLSTIIEGESLMNDGTAIVVYTLFYRMVLGETFNWATIIKFLAQVSLGAVGIGLAFGIASVLWLGFIFNDTVIEISLTLAVSYVAYYTAQESADVSGVLTVMSLGMFYSAFARTAFKGESQQSLHHFWEMIAYIANTIIFILSGVVIAEGILGDDKVFHHGKSWVYLLLLYAYVQVSRCIVVGTLFPFLRYFGYGLDWKEAIILVWSGLRGAVALSLSLSVKRSGGRSIELTPETGTMFVFFTGGIVFLTLIVNGSTTQFILHFLDMNKLSSAKRRILEFTKYEMLNKALEAFGELGDDEELGPADWPTVKRYISCLNDIEGEGVHPHRASESDSNLDPMNLKDIRIRLLNGVQAAYWEMLDEGRITQTTANILMLSVEESIDLASSEPLCDWKGLEANVRFPSYYKFLQSSMFPPKLVTFFTVERLESACYICAAFLRAHRIARQQLHDFIGDSDIASAVINESVVEGEEARKFLEDVNLTYPQVLRVVKTRQATYVVLNHLLEYVQNLENAGILEEKEMLHLHDAVQTDLKKLLRNPPMVKLPKISNIHPMLDALPSSVRGPLVSGTKEAMKLRGLTLYKEGAKSNGIWLISNGVVKLESKMIQSKHPFYPTFTHGSTLGLYEVLSGRPYICNVVTDSVVFSLFVEANKIISCLKSDPSMEDFLWQESAIFLSKLLLPQIFEKLTVQDLRALIAERSEMTIYIRGETMEIPYHSVAFLLEGYVKPQGQSHQELVTAPAALVPSHGNRSFLNLSMSGTKEASFIHQGSCYLAEARARIIVFDIAAFEPDAALVKKSSSGLLHAADHPHKSFRRHHSALMSWPEHFYRQNQHKQSSEQQSNSLSARAMQLSIYGSMVDIPPTIRSLLTNRAIPPPQSLSYPTFEPRQSRPLGAVKSEGAAPSKKNIGVKGFMQDVTNLPSQSTDRRVHREDDSSDDSAIEEDIIVRIDSPSTLSFPQS >RHN61761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38109751:38110785:-1 gene:gene24254 transcript:rna24254 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLEEEPTSSIQQHQQQAPYSYMLLLNIMSKRRTWACLFFLVYGTLLASSWNFLKSMLSWYNLQAQSSTSGWPAIYASVLLGTVFGLLSMVAALVIMVPAVMVTWITIVVLLAFFGKPRRTLVVEGRKITGEIFSFVIKILLKEGNVVAAVCAVLGYFVLWLILILVDRVCKSC >RHN64861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62512102:62515149:-1 gene:gene27727 transcript:rna27727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MGNTSSMLTQYDIEEVQQHCKHAFTQQEIVSLYQRFCQLDRNNCGFIPSDEFLSIPEFAVNPLSQSLLRMLDGFNFKEFIAFLSAFSPRSTLQHKIEFIFKVYDTDCNGKVSFDDMLTVLRDLTGQYMSEHQRKEVLAQVLEEAGYTKDSFLVLSDFMKILGNSDLKMEAEVPVD >RHN69008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40262565:40263405:-1 gene:gene17434 transcript:rna17434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MKRNCYLDSSSHKSMNEKLNVNKKLVANPHNGNHKFDEKELQARAIIWLASQEIMEGKNGSNKESIPTLSLSMQPSALQMHASHGFSIKRSLHNFLEKRKKRIQSHHVSIVTHDNDNNSSTN >RHN54248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8056468:8058131:1 gene:gene29251 transcript:rna29251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MVKKTVLKVNIDCPKCKKKLIKTVSSLEGIDKIEADEVKGTLTILGDADPYDIIVRIRKAGKHAEIVSIGPPPGPAPLPPGPAPPKTDAAKKPAENKKPEEKSKPGDKDKPSPVSLDQISYVLYTQMPYCYPQYQGQPVAVVYMNRWDEPNTSCTIM >RHN44283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3158966:3161164:1 gene:gene38383 transcript:rna38383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MGSNENVSNQKMENEKVKFDAGVRFFPTDEELINQYLVKKVDDNSFCAIAIAEVDMNKCEPWDLPEMAKMGETEWYFFCVRDKKYPTGQRTNRATNAGYWKATGKDKEIYKGNSLIGMKKTLVFYKGRAPRGEKSNWVMHEYRLEGNSLSKHNIFPEHNLFPEHNLSTHGMSEWVVTRVFEKRNCGKKMNGSKLGRSNSSREEPSNTNAASLWAPFLEFSPYNSENKITIPDFSNEFNSFTNPNQSEKPKTQYDNIVHNNETSILNISSSSKQMDVYPLAGATVADPNLTSMAGNSSNFFFSQEFSFGREFDADADISSVVYGNDMFQRWSGYQDLSPASTGLAANDSFWNF >RHN43930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49531752:49533863:-1 gene:gene50568 transcript:rna50568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphate-transporting ATPase MSSVLEHLLDVEEQQQPKIQIKNLRKESDDGKLQILKGITVDIPKGMVVGIIGPSGSGKSTLLRAMNRLWEPPSSSVFLDGVDICNLDVLSLRRKVAMLFQLPALFEGTVADNVRYGPQLRGIKLTDDEVGKLLLMADLDASTFKDKSGAELSVGQAQRVALARTLANSPEVLLLDEPTSALDPISTENIEGALMKLNKNQGMTLIMVSHSIKQIQRMADVVCLVVDGEIVEVLKPNQLSQANHPMARRFLELSS >RHN62368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42973854:42976757:1 gene:gene24936 transcript:rna24936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MKLVWQLPHHGRDADTIIKVTCMALILAATDTTAGTLTWSLSLLLNNPEVLNKAIQELDTQIGMENMAIKSDLAKLEYLQAIIKETLRLYPPGPLNLPHESIEDCTIGGYHVPAGTCLLTNLSKLQRDPILYSDPLEFQPERFHTTHKDVDVKGQHFELIPFGVGRRICPGISFSLQLMQITLAALLHGFNIVTQDGGPVNMVEHCRLTNVKASPLQVILNPRLSAHTFGQN >RHN40934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24659382:24660509:1 gene:gene47182 transcript:rna47182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MFKEGIVPDVVLYAIMIRGLSKESRVGEAAKMLEERTQIGLTPDAYCYNAVIQGLCDVGLLNRAQSLLLEISEHNVCTHTILICEMCKRGMVAEAQELFNQMEKLGCEPSVVTFNTLINGLCKANNLEKAKILFCKLEVGRRLSLHLSLSQGSGQVSDSARLLKKAKEMCEAGQILRAYKLIANLAGEVKPDIITYNILLNALCMDREVNAAYNFFEFLQKKGYPSPNNVTYGTIIKGLFMVDREDEAFKVFQRMQKTSSEPTLSVYRTLMTCLCRKSKVSCAFTLYLEHLKSLPSRDNDSISTLEKYLFGEKLEQVIRGLLELDFKARDFKLAPYTILLIGFCQAGKVSEALIILSVLDEFNIKINATILIISC >RHN73270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15004947:15007136:1 gene:gene9067 transcript:rna9067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4'-methoxyisoflavone 2'-hydroxylase MFPLLCYSLLFLIFLLTCKLIFQTRRKFRNLPPGPPFLPIIGNLHLLQPPLHLTFQRISQKYGNIFSLRFGSRLVIVSSSQSAFQECFTKNDVVLANRPYNIAGKYIFYNCTTIGSCSYGDHWINLRRISTQDLLSIQRVHSFSGIRRDETNRVIHQLVKECSCLKFEQVELSFMLHNMMYNNLMRMISGKRYYGEEIDAKDAKEAKEFRETVEEMVKLTGVSNKADYLPFLRWFDFQNLEKRLQNISNRFDAILNALIYENRSKMKPENTLIDHLLKLQESQPEYYTDQIIKGLILAMLFAGSNSTAVTLEWAMSNLLNHPEVLKKAKEEMDTYIGQDHLLNEVDLPKLPYLKKVVLETLRMYPPAPLLFPHLSSDDIVMEGFNVPRDTIVLTNGWSMQRDPLVWNEPTHFIPERFDKEGEEKKLVVFGFGRRSCPGKAMALHNVSFILGLLIQCFDWKRVSEAKIDMSDQNLFTSTKLVPLKAMCKVRPIINKINLNN >RHN50036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3422201:3430823:1 gene:gene34284 transcript:rna34284 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRALQHRHKYTYNAVVFPSSFLNSLSLNPNPDSPFHLNLLHFTTITSTYSQLPQSKNLASSFINLINDSKIEKPEIVFASKVYFEILFLENSSPLHRTLLGILPKVKFFHDLLSGCFREVLEEYSNGRGKRFSVSRVALSVMGLAKLGFLNDVVEICAVLVAGDVVRSLNGVVSESNVDSSRPSPIVMEQCQEGMSCLYYLLQKFPLKFSSGGENGVGVDGFSSVMEGVVSVVLNLMGSDAFSRDCFVAAGVALCAALQVCINSEELGLVLIQGVFNLNISDSSSDSEFMIAVRKIPCKGDDVYCRISKLSVLSRICLIRGILTAVSRNLLNTQFDVVNGCEARDNGVGSNKKTILYDGILPELCRHCESPVDSHFNFHALTVMQICLQQIKTLMLSNLTDMSGDYDPIPEEMVMRILRIIWNNLEDSLSQTVKQVHLIFDLFMDIQSSLRWSVGGEQIKGFLGKIAADLLSLGSRCKGRYIPLALLTKRLGAKKMLDMCPDLLFETIHAYVDDDVCCAATSFLKCFLEYLRDECWETDGIEGGYALYRGYCLPPVLYGLASGFSKHRTNINTYALPILLEIDVDSIFPMLAFVSVGPDGDEKGLQYPGIVCSNLELNLEQKIAILVSLLKVSRSLALVEGDIDWCESPSTNEEKREIGTQSHALVCIKGIDFKIRVLWLVNALTHVDESLRVDAAESLFLNPKTSSLPSHLELTLLKEAVPLNMRCCSTSFQMKWGSLFRKFFSRVRTALERQFKQGSWNPLERIKCSEEARPLDGNKELTMKRADDLFHFMRWLSGFLFFSCYPSAPYKRKIMATDLILIMINTWSIKSSIVEEFDNFVSENHLYPYSKGMTSSDSTLLLVASIVDSWDRLRESAFQILLHYPNPLPGISSEHMLKKVIAWAMKLVCSPRVRESDAGALTLRLIFRKYAIDLGWLIEDPFHISYLSSKSELVNGVNQSSKSKNPVILYLKSMIDWLDVVVRGGEQDLTKACKNSFVHGVLLALRYAFEELNWNSDVTSSSISEMRYLLERLLDLVVRITSLALWVVSADAWHLPEDMDEMVDDDNLLLEVPDHENEHTPSSEYENNNSKPSHDNRASEQIVMVGCWLAMKEVSLLLGTIVRKVPLPNACSDSSELEGASIDTVDCSSDSVLDLEQLETIGNHFLEVLLKMKHNGAIDKTRAGFTALCNRLLCSNDPRLHRLTESWMEQLMQRTVAKGQVVDDLLRRSAGIPAAFTALFLSEPEGTPKKLLPRALRWLIDVGNGSMLNQIESDSSKDNLCKSNGSMKENNSTQEAERNAREMSSKIRDEGVIPTVHAFNVLKAAFNDSNLSTDTSGFSAEAMILSIRSFSSPYWEIRNSACLAYTALVRRMIGFLNVHKRESARRAISGLEFFHRYPSLHSFLFNELEVATEFLGPTSSGDLESIRGNNLHPSLYPILILLSRLKPSSIAGERGDELDPFLLMPWIRRCSTQSNLRVRVLASRALTSLVSNEKLSSVLLSIASELPCVENSDKSGSHGISYNLIHGILLQLSYLLEVNCSNLADNSKKDLIGELIQILTQRSWIGRPTQCRCPILNETFIKVLDQMLNIARTCHVTQQFLTIRNLLLELSTECLDLESYGQPYYDATIAELREQAAISYFGCLFQASKNEEESIHSPLRHSLPSAKSLPKHEMEDASSGILHRLIRCMSDSLYEVRLATLKWLLKFLKAAESDGKLCDLSIDHISVIHLWAITNLHGTLVKILASEKNHKCKYYILRILVAWNLLQFEKASHEKCTDTSYVGEMDFDSVSQFWNDLVSLYNQTRHAKTRETLVYCLGVCTKRITMLFASSFPSNKGMEFVVCGEMNQDMLSWLFDCIVYFCNMIKQCSSPSEQTSMRHAAAGSLIASGILGQATLLGSIVYNDHIPSATSSPCFVKNGSLNSYAHHVLNEWFTCIKLLEDEDDSVRLSLSSDVQKYFTSERTGSNVPHELVPIQVDRVIRFCFDHLSSIFGHWIDYFNYLCQWVLQAESNVSFEGDLVRRVFDKEIDNHYEEKLLISQICCSNMEKLPILKSWADKDELVRYLHGWRSRFSRQLVSYAENITEKQEKIDWIGGVGNHKDTFLPVYANLLGFYALSNCIFIVSDNNDAELLSDLVVLGRAINPFLRNPLVSNLYKLVLKSHEKVMTDDVASNLLLEMGNHSVWDSFNPYFLLG >RHN49408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54624001:54629923:1 gene:gene44235 transcript:rna44235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MVVKVKRENLEACMTCPLCHKLLKDATTISLCLHTFCRKCIHEKLSDEEVDSCPVCNIDLGILPVEKLRPDHNLQDIRTKIFPCKRQKVKAEEVVPSTPLPPKRKERSLSSLVVSAPKVSTHTTFTGKRTKTATRKAAALRGCSFIPEETIKKEETHNEDNPDSSIAETSKKNRPNEDTDNNIDLTEGKADLWTPLNCLVEAANRTKSSRSNLQGTPLTKLESPTTPHGGLEMSEITTKSEPPTSVQGELHMPKTKNKSNGHKTKFGDDKGGNTMPSGPVKRKRMRPSKQKRAAAFEMSASAQLMLDATESRCNRKNNPIWFTLVASEDQNGEVSLPQISACYLRIKDGTVPVSYIQKYLMKKLNLASEAEVEIMCRGQSVLPTLQLHNLVDLWFCTASTSKKLPASVGSSAKDFVMALSYCRKTLPH >RHN43865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49085361:49087633:-1 gene:gene50499 transcript:rna50499 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFEASDNPKCDASVEKMDNTMLPVKRLFDNSNSLIISVKKSKMSPYDNSFSSLREELDEFLKKFI >RHN45110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11883860:11889444:-1 gene:gene39318 transcript:rna39318 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGEMTVTLDDVACLMHLQIEGRMLSHGKKMLRHEGATLLMRHLGVSQQEAEKICGTEACRPGDRALGGSVSLLTTWFLAHFHGFYSVDHNIDYMENYPVAAR >RHN70193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49531697:49536229:-1 gene:gene18749 transcript:rna18749 gene_biotype:protein_coding transcript_biotype:protein_coding MISEVVKWHFILNNHGSFFFSFTNIYNLFTDDTEDDEKEQEHSSLQEKLDKELKELDEKLEQKEAEMKLYSNSDTSVIRHHYEKKLLEMEQEKKVLQKEIEGLKFNLSNISSTSGDGAQKLKQDYLQKLNSLEGQVIRYLEYVSELKRKQEAQAQLLKQKQKSDEATKQLHDEIQRIKAQKVQLQQKIKQESEQFRLWKVTREKEVLQVNAQGKISRNLFNNNLNITLLFHSMVIQRLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEASLATKRLKELLESRRASSRETMGGGSGPGVQALIKAIEHELEVTVRVNEVRSEYERQIQERAKMAEEMTKLKEEAELMRQNNTSDCPISMSSGARNSRIFALENMLSTSSATLVSMASQLSEAEESERVFSGKGRWTQVRSLADAKNLMNYLFDIASSSRCSLRDKEVICREKDMEIRDLKEKLVRLSYSLRQLEMINAELTHKLKLQSAALKRYSEHPANSEYSDLTAGGHNYDLRKQELRRSTLLQEDMDISDETESDDYDVEETDDEWKESGKLRVGKGESKRRHSEDFSDKLSNRFGGNCCSCSKSSTCKTTKCEYKAMGNSCGSSCSCLANKRANRASTLDESLEPRQSELHLATQGAELLQAALADRPAEANSDQGPREPLSDIGNTQVHVTHYSSSSKKSNLFSYLNFKSLIV >RHN77586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7934337:7943741:1 gene:gene1056 transcript:rna1056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MGVPAFYRWLAEKYPMVIADAVEEEPVVIEGVQIPIDTSKPNPNNLEYDNLYLDMNGIIHPCFHPEDRPSPTSFEEVFECMFDYIDRLFNMVRPRKLLFMAIDGVAPRAKMNQQRSRRFRAAKDASDAAIEESRLREEFEREGRKLPPKEESATFDSNVITPGTEFMAVLSVALQYYVHLRLNNDPGWSNIKVILSDANVPGEGEHKIMSYIRLQRNLEGFNPNTRHCLYGLDADLIMLGLATHEVHFSILREVVFTPGQDKCFLCGQMGHMAANCEGKAKRKSGEFDEKGDAIVAKKPFQFLNIWTLREYLEYEMRIPNAPFEIDFECILDDFIFICFFVGNDFLPHMPTLEIREGAINLLMAVYKKELKGMGGYLTNGSKPNLSRVEHFIQAVGSYEDSIFQKRARMEQRTVERIKRQKSQARRGDDVGPQVQPESLVAVSKFHGSRLASAPTPAPFQQSGPTSVRKGNKEVFERPSKVSKLSSGATAAAAIVEAENSLEIDVEDNQDDLKIKLKGILRDKSDVFNSKGGHEDKIKLGEPGWKERYYEEKFSAQTPEELDAIRKDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKGLGELNINFELGTPFKPFDQLLGVFPAASSHALPEPYRKLMTDPTSPIIDFYPIDFDVDMNGKRYAWQGIAKLPFIDEVRLLQEVRKVENLLTPEEKRRNAIMFEMLFVNSCHPLSACISTLDNKCRNMSNSERADVKEKIDPVERDTCSGGMNGYISLCSGEPCPPIFRSPIAGMEDIMDNHVICAIYRLPDAHEHIARPPHGVKFPKKTVTIGDLKPEPIPWHEDNGRRYNESGRKNPPGSVSGRELGEAAHRLVANSLQIRTDGNRYHHPNGSTMPYNGPRGHRQSWPRNNYESHPGYNYESRPGYAAIPPPLSAPPQYVPYAAAPTAHYGYNQPYSPPVIPSPHQHHSNSFPRGDQNPRSHHSDRNSHHVNGGNARHSSGNNQNPRFSNTQGSFPRQGHHHDAGRHNQHFQPYRADQHWTPRGNPSGYREYGQHSANQYSLLDKGASRKPMPPPGHNHK >RHN77032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3391167:3391871:-1 gene:gene439 transcript:rna439 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKGVMGSSGRRWAVDFSDNSTSRDIIDPPGFSRASLDQDDSTLSRQKKDAESNWKSQACFPSLLQFNLKAWEVAQAPFKNLLMMGFMMWMAGNTVHLFSIGITFSALWQPISALQSVGKSKYHFYHLLFCCLVK >RHN59480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11112861:11114902:-1 gene:gene21511 transcript:rna21511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MQNFPVRYLIVLLTFICTSVCYIERVGFSIAYTVAADAAGINQSTKGTILSTFYYGYACSQVPGGYFAQKIGGRKILLFSFLLWSLTCALLPLDPNKTLILVTARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYLGAAFGMLFLPSLVKFKGPQSVFVAESFLGFVWCFIWFRYSSDPKSSASGAGESLLPVNKKIDRRVSDLKVGVEKNGGEGKKSGVGIPWVKILTSLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLKLSLHEMGSSKMLPYLNMFVFSNIGGVVADYLITRRVMSVTRTRKFLNTIGFLVASFALIVIPSFRTSGGAVFCSSVALGFLALGRAGFAVNHMDVAPRYAGIVMGVSNTAGTLAGIVGVDLTGKLLEAAKASDSDLSTPESWRLVFFIPGLLCVFSSLVFLLFSTGERIFD >RHN56691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32906949:32911025:-1 gene:gene32129 transcript:rna32129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MASSSTSSFENNNNNNNHQNSLNGFNNFTFSTHPFMSTTFSDLLASPASASASDDNNNSRGVPKFKSTPPPSLPLSPPPVSPSSYFSIPPGLSPAEFLDSPVMLNSSNILPSPTTGAFAAQSNYNWMNNSEGNQQMRKENFSFQTQQQGPVVSASTTTFQSSTVGGVQQQQQQWSYIENTNQNAFSSEKNMIQTTENNNSSMQSFSPEIASVQTNNTNNGFQSDYSNYQQPQQQPTQTLSRRSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSIEGQVTEIVYKGTHNHPKPQCTRRNSSSSSNALVVVPVNPINEIHDQSYASHGNGQMDSAATPENSSISIGGDDDFEQSSHQRSRSGGAGDEFDEEEPEAKRWKNEGENEGISAQPASRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPNCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSGSHSVNRPMPNNPSNPNNAAARPLQIQRPQQAPQGQSPFNLQMLQGQGNYGFSRFENQMVPYMNQQQQQQQQMAENGFSSRAKEEPKDDMFLESLLC >RHN77900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10327827:10328273:1 gene:gene1404 transcript:rna1404 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAIVSSVIQTRIPMMLDLIWVLEKVSKPISALDFPLSSGLRRTFSSSSILAASLS >RHN38795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2053429:2068302:-1 gene:gene44762 transcript:rna44762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative malate dehydrogenase (decarboxylating) MAIFWNRARSSSSPLLQRIKRHVITGGDYFILSRSFTTLEGHRPTIVHKRSLDILHDPWFNKGTAFSMTERDRLDLRGLLPPNVVSPDVQIERFMVDLKRLEVQARDGPSDPNALAKWRILNRLHDRNETMYYKVLINNIEEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPAEQVDMIVVTDGSRILGLGDLGVQGIGITIGKLDLYVAAAGINPQRVLPVMIDVGTNNKKLLEDPLYLGLQQHRLDGDDYLAVIDEFMEAVFTRWPNVIVQFEDFQSKWAFKLLQRYRTTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVLNAARKTMARMLGNNEVAFQSAKSQFWVVDAKGLITEGRENIDPDALPFARNLKEMDRQGLREGASLAEVVKQVKPDVLLGLSAVGGLFSNEVLEALKDSTSTRPAIFAMSNPTKNAECTPDEAFSILGDNIIFASGSPFSNVDLGNGNIGHCNQGNNMYLFPGIGLGTLLSGSRIVSDGMLQAAAERLAAYMSEEEVLKGIIFPSISRIRDITKEIAAAVIEEAVEEDLAEGYHEMDARELRKLSRDEIKEYVINNMWNPEYPTLVYRKD >RHN79812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32444093:32453299:1 gene:gene3653 transcript:rna3653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGHRHFYSASPMFESDPDQNWNHMQTQQHYMHLGRSGTSDSGSFCYPLENMSTDSISFPSHWNSAARSNGYASSSLNTEALRHQSYASGTSHNHFLHSSSAGTSFEVSGNYVPQPSNSNYQRQSFPDVDGGFTHRTLTSGWGSHKRKGPGVPSIYESGSTSRHVNAGSASDLPSSSESRPEKPYMDSQYMPWDHVSMTPSFGGSGLPIRGEGSSRNVRRRFGFDLESNPQRTQLWSNYPHNSYPTGMPINHSGPVDLSSQTSSNWKRDWCQTSINPAHGRVLPSDSSGFFSHETSHFLVGRHSSNAPVDVDHNDFGTRRNPPAPQIFQNNPTQTTWGVHGNYSQRPITTFSASSSFCLGHAAPSEDGLHILAESGSAVDPWPLSSVGWQSSDRNGTRMYSDRYCSLSDEAGRSDQFFREYGSPNIHDQHRDMRMDIDNMSYEELLALGERIGNVGTGLSEGLISKYLTKTLYCSAEQSQEGTCAICLVEYKNMDDVGTMKTCGHNYHVSCIRKWLSMKNMCPICKASALTDE >RHN49102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52440847:52445340:-1 gene:gene43894 transcript:rna43894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Snf7 family protein MDLNVKDFIAKEVPDWNDEVIAVARFKAFSGQRSDWQPNFIFWRNLIIKIATHFGFLLIKPSQVKNDWFNRGGLTPLCIDDVLSLMYNEGDITRTGDLVDPTRGRFSQLVRKMTNLIIRPATPDIMAEESVVLIPMLKDKAAEVVKHLSESHWNSSCIITMKKFQEICGGPDEASVMLRYLSGCGTTQYLSVLKNEFVEGVKISLSAAALSGASNLDCDVLYLIWTIEKLQQQLDVIDRRCELSRKSAVASLHSGNRKLALRYARELKLVTQSREKCSSLLNRVEEVHGVVVDAESTKTVSEAMQIGARAIKENKISVEDVDICLRDLQESIDSQKEVEKALEQTPSYTDINDEDIEEELEELELALEKEAQVDTLEKTTTSEEGNATLEASELLSDTLSNLKLSDRPVGKSRTTHAASEGHKTANLAI >RHN54298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8412992:8418899:1 gene:gene29309 transcript:rna29309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MGSVGGEEAMEEATKNHEERIQVSVRIRPLNDKEIARNDVSDWECINDTTIIYRNNISASERSLYPTAYSFDRVFRSDCSTRQVYQEAAKEVALSVVSGINSSIFAYGQTSSGKTYTMSGITDCTVADIFNYMGKHMEREFILKFSAIEIYNESVRDLLSADYTPLRLLDDPERGTVVEKLTEETIRDWNHFTELISFCETQRQIGETSLNEASSRSHQILRLTVESSAREFLGNDKCSSLSASVNFVDLAGSERASQTNSAGVRLKEGCHINRSLLTLGTVIRKLSKGRNGHIPFRDSKLTRILQSSLGGNARTAIICTMSPARSHVEQTRNTLFFASCAKEVETNAQVNVVVSDKALVKQLQKEVAKLESELRNSGPARPNSSDSTALLREKDQEIEMLKKEVKELTLQRDLAQVQIKDMLQEAGNNMSSLIGVESLGPRYPKLRVTNNWNFETRREEPNVLSIDCEESVRSFDASQYSDGHSISSDDNLFQLPDLEKDLMVRNSSPRLTITSIDAAQNDLDQQNIEDQDEQDYCKEVRCIELEEPITNQHTHTNSKYLRSNTYSDSSASSPRAKTDLPGLIVVDDVNKNDTDFCSSGLKEDKRVNHLREYFALPTPESSTPWLTENNRISSSSSSRPSRLSLSRSRSCKASLMKNLPSDWFEDDEEIQNTPPVGNEKDFAGRPEGFLKKVHTLNYNANAERNSMESSAADESGTNGLLTPKRKETENLKRLNLLADHEVPGIELDAIMSAKNVKDIGLDPMQADGENHSEWPLKFKRLQKEIIELWDACNVSLVHRTYFFLLFKGDPLDSIYLEVEHRRLLYLKQTFSQGNKTLQDGRTLTPETSMRYLRRERQMLCKQMQKKLSKYDREDLYMKWSIHLSSKHRRLQLAHHLWTDTNNIDHIRESAAVVAKLVGPVEPEQALKEMFGLNFAPRSTSRKSFSWSFTNSMRQIL >RHN52938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40543168:40546877:-1 gene:gene37680 transcript:rna37680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MSASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLREDRGYFADHTGYNVITSAEGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRKEMPRKKRQRRSGCSFAGIVCGGCAA >RHN60548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28049844:28053070:1 gene:gene22869 transcript:rna22869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-tyrosine-phosphatase MKTLYTDRTNLINLPFCHSSQFFSPNPNVPSLFIPSTHTRKLTPKSSSISLKNTLFSPIQASMASSTVTEDTNKPFSVLFVCLGNICRSPAAEGVFTDLVKKRGFDSKFRIDSAGTIGYHEGNEADSRMKAASKRRGIQITSISRPIKPSDFVEFDLILAMDKQNREDIMEAFSRWKFRDPLPEDAHKKVKLMCSYCKKHDETEVPDPYYGGPQGFEKVLDMLEDACESLLDSILAENKHIQES >RHN75107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39435630:39436591:1 gene:gene11266 transcript:rna11266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c oxidase copper chaperone, cysteine alpha-hairpin motif superfamily MGNAQVQNGVAAPSSSSASNQPTVTASTAAAPATAACEVSNAPKKKKICCACPDTKRLRDECIVEHGEDACAKWIEAHRICLRAEGFNV >RHN55807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24041008:24041721:1 gene:gene31073 transcript:rna31073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MSSGKKTRGRQKIEMKKMSNESSLQVTFSKRRSGLFKKASELCSLCGAYVALIIFSPSEKVFSFGHPNVETVIDHYLSQVLPQNDDIMKIIGDDRNTVVSELNAVLTRIKDELDIEKNRGNELSQPQKKNLAQFWWNCPIDGMDMVQLESFKKALEDLKKLVAQHADRVEMQGTSTQPLQVFEGNGSSSNMPLKHQPDPQQDSIFPAEFIQNPMLQPHLFGFNNMGGEGGHGPHGLS >RHN48468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47675358:47677117:-1 gene:gene43187 transcript:rna43187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MAKDIEAEPQGGVPHKDYHDPPPAPLFDTAELGQWSFYRALIAEFVATLLFLYVTVLTVIGYNAQTDPAHNGTNCDGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLIRAVLYMVAQCLGAICGVGLVKAFQKGYYNRYKGGANMLSAGYSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNEKAWDDQWIFWVGPFIGAAIAAIYHQFVLRAQAAKALGSFRSSSNL >RHN53492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2052535:2058094:1 gene:gene28402 transcript:rna28402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexokinase MGRVVVGVTATVAVAACAVAAVIVARRVKSRRKWKKVANVLKEFEEGCDTSVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTYVDNLPNGTEKGPYYALHLGGTNFRVTRVHLSGQPSPVLEHEVERQPIPPHLMTGTSKDLFDFIASSLKEFVAKEDGSNSSQDRRELGFTFSFPMKQMSVSSGILIKWTKGFSIVDMVGRDVAACLQEAFARNGLDVHVAALVNDTVGTLAVGHYHDPDTVAAIVIGTGTNACYLERIDAIIKCQGLLTTSGRMVVNMEWGNFWSSHLPRTQYDIELDAESPNPNDQGFEKMISGMYLGDIVRRVILRMSLESDMFEPISSKLLTPFILRTPLMAAMHEDDSPDLIEVARILNDTFEIPDLPLKARKIVVKVCDVVTRRAARLAAAGIVGILKKIGRDGSGGITGGRSRSDIKMKRTVVAIEGGLYSSYTLFREYLHEALNEILGEDIAKHVILNVTEDGSGIGAALLAASYS >RHN80473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38387127:38387600:-1 gene:gene4405 transcript:rna4405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MIRKKVKLAFILNDSARKRTYNKRKKGIIKKVRELTTLCDIPACAIISNPFDSTTEVWPNMEGAIQVIERYQNAYIKHKKNNVDQESFLLQQITKTREQLEKQREQNNENELDIHMVRHLQNQELPDDLTVTDLRDYDKLIEKKMKEIDDKIVALSL >RHN55698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21297693:21299888:-1 gene:gene30923 transcript:rna30923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-3-methyladenine glycosylase I MSKVNAKRHAMEKKSSDQESKKLNQIIFHKHLKRVYPIGLQKSSSSSSISSFSSSLSQNSNDPCFTDSLTIADEEVSLALHSISPRQRREHTLINISQQQQNQHAAELGELKRCSWITKNCDKAYIEFHDECWGVPAYDDKKLFELLALSGLLIDYNWTEILKRKEVLRQVFAGFDPYTVSKMEEKEVIDIASATELVLAECRVKCIVDNAKCMMKIRREFGSFSSYIWSYVNHKPVINKYRYSRDVPLRTPKADAISKDLLKRGFRYLGPVIVYSFMQVAGLTIDHLVGCYRHKECVNLAERPWKHI >RHN77420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6736183:6736893:1 gene:gene870 transcript:rna870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MNIFFAGNLASATVFTIQNQCSYTIWPGTLSGNGAAILGQGGFLLAPGASVSLTAPAGWSGRFWARTGCEFDGAGNGKCVTGDCPGGLNCIGGGTPPVTLAEFTIGSAGNGGKDFYDVSLVDGYNAGMGVWATGGTGDCQYAGCVADLNGGCPAELRVNSGGSVVACKSACLAFNMEEFCCTGQHATPQTCSPTHYSEIFKKACPTAYSYAYDDASSTCTCSGSDYHITFCPNGSS >RHN44175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2235741:2236599:1 gene:gene38265 transcript:rna38265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate dehydrogenase (acetyl-transferring) MWSSVWYGSCPGLKVLAPYSSDDARGLLKAAIRDPDPAVFLENELL >RHN72700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9921212:9939584:1 gene:gene8449 transcript:rna8449 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKPHLQLKDLGSKLQIIPSSIEVLIQILKQAATCLTDMDQSPSASALESMKPFLNAIVKSELLKHQDRDVKLLVATCVCEITRITAPEAPYSDDVLKDIFQLIVSTFSGLSDISSPSFGMEVAMLDTLAKYRSCVVMLDLECDDLVNEIFNTFFAVVRDDHPESVLSSMQSIMAVLLEESEDVREDLLSILLSMLGREKRDVTAAARKLSMNVIQQCIGTLEPSIKEFFLSLVSGKSKPVNSQLQNHEVLYDICCCAPQILSGILPYVTGELQTDQLETRLKAVNLVGDIIALPGISSALAFQPILSEFLKTLTDTDFGVRISVLDHVKSSLLSNPQRPEAPQLISALCDGLMDSDENFRKQVVAVICDVACHALHAVPFDAVNLVAERLHDKSQLVKKYTLERLIEIYRVFCEKSSDNVNPDGYDWIPGKILRCFHDKDFRSDTIESVLCGSLFPSEFAMNDMVKHWVDIFSGLDNVEVKALEKILEQKQRLQEELQKYLALRQNSQDKENPEVQKKIMFCFRVMSRSFADPTEAEESFQILDQLNDTNIWKILTNLVDPNTSFHQTRAYRDDLIKILGEKHQLNEFLNTLYVKCSYLLFNKEHTTAILSEIIRYNSAENDQRIQSCMNILVIIARFSPHLFSGSEEDLVKLLKDSNNDMIKEGTLNVLAKAGGTIREQLAVTSSSVDLMLERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDTMEEKTNLPTVLQSLGCIAQTAMPVFETRESEIEEFIINKILKSDGKDDHTGASWDDKSDICVLKIYGIKTIVKSYLPVKDALVRPGIDGLLDILRNMLSYGEISKDIKSSSVDKAHLRLASAKAVLRLARLWDHKIPADIFHLTLRTSETGFPQAKKVFLSKVHQYIKDHNLEAKYACAFILNIFGTNSEEFAEDKQNLTDVIHMYHQERAGQLSGQSDAKPLTTYPEYILPYLVHALANLSCPNIDECKDAEAYKTIYRQLHLILSMLVQRDEDVKSEVTADKEKETISAITSIFQSIKLSEDAVDASKSKNSHAICDLGLAITKRLLHKDVDMQGLSHSVSLPPILYKACEKENDLKASEVTTWLADESVLAQFESVELESVPSQSAEDHALKDSEKDRNEVPLGKIVKKIRSRGTKGKKVVKKNKTMTVETKKAGDDFDIINMVREINLDNLGISTNFESSNGHESSLSKKVQKDPEFGTIKKRKVGEETLAPVPKRKRSAVTHGKSRPSSSSKASQRISEEVPSGGKLLLNAGVSPDTGSKNMQRKLVKGKEPSSEQKIKASENHRIDESDKSEDHDIKSSGKLKTPNKTKNENFKTSAGSTKRQKRKSIGGLAKCTTKEGESDAEDLIGCRVKVWWPLDKKFYKGTVQSYDSSKRKHAILYDDGEVEKLCLEKERWELIDGGNKSNKKLKSSKSPSLHEVSTGKKQRSSGGSTSKKTTKIVNGKPSPSKHAKRGQRKASKTNFHEGVNESSDSELPNPEETTIAEAEINSDGSKGEQDEGSDVNITKKKKPNRKRKSVSWGKRSKKKKSVSNKKEPDEEKHEPNEEKQEPDAEKQDYPETLSEDREGYPQGAQNDEEENSSKERDADESREASRENVNEEEESGPEGNQHESDVESSPSREVKKSLDDLTSPEDATFAELPDDEPLIKWKPRSGKKRLLGKKQ >RHN82276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52490264:52491968:-1 gene:gene6426 transcript:rna6426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MPNLLFLCITITICLSTFDTNFLVQSLTSPSDIEALKAFKASIKPSSITPWSCVASWNFTIDPCSHPRRTYFLCGFTCTTDSTHINQITLDPASYSGSLTPLISKLTQLITLDLSDNNFFGSIPSSISSLSNLKTLTLRSNSFSGPIPPSIISLKSLESLDLSHNSLTGSLPNSLNSLINLHRIDLSFNKLAGSIPKLPPNLLELAIKANSLSGPLQKTTFEGSNQLEVVELSENALTGTIETWFLLLSSLQQVNLANNSFTGIQISKPARGVESNLVALNLGFNRIQGYAPANLAAYPLLSFLSIRHNSLRGNIPLEYGQIKSMKRLFLDGNFFDGKPPPALLAAGATVSGSLGDNCLQVCPVSSQMCAPAQKPSSVCKRGYRGRT >RHN74746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36333661:36337477:1 gene:gene10854 transcript:rna10854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative persulfide dioxygenase MLKSQFIKFTPFFPYKPSFSSLSISTTIKLKSQMASYSTSSSSSKLLFRQLFEKESSTYTYLLADASHAEKPAVLIDPVDRTVDRDLSLIQELGLKLVYAMNTHVHADHVTGTGLIKSKVPDVKSVISKASGATADLYVEQGDKIRFGDLFLEVRATPGHTLGCLTYVTGDGPDQPQPRMAFTGDTLLIRGCGRTDFQGGSAEKLYKSIHSQIFTLPKDTLLYPAHDYKGFSVSTVGEEMQYNPRLTKDEVMFDYIHNL >RHN44693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7409122:7415020:1 gene:gene38843 transcript:rna38843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative JAB1/MPN/MOV34 metalloenzyme domain, eukaryotic translation initiation factor 3 subunit H MATTTATRSFLQAVATEEVATPLRVVQMEGLVILKIIKHCKDHSPSLVTGQLLGLDVGSVLEVTNCFPFPMREEDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTLLGSYQTVELIETFMNYQENIRRCVCIIYDPSRADQGVLALKALKLSDSFMELYRSNNFTGEKLREKNLSWVDIFEEIPIKVSNSALISAFMTELEPDTPVTQCDFDRLQLSTSSLMERNMEFLIECMDDLSIEQQKFQFHYRSLSRQQAQQQAWLQKRRADNMARRAAGEEPLPEEDPANPIFKPLAEPSRLESFLITNQISNYCNQINGVAGQSFNRLYLLKALHEED >RHN63387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50871378:50879472:1 gene:gene26081 transcript:rna26081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-lactamase MVTCNSISLSHNLHFYTRFHRLHPTRRSHYCRFRSNALPRDTDGAKVVHKRPRRIEGPRKSMEDSVQRKMEQFYEGSDGPPLRVLPIGGLGEIGMNCMLVGNHDRYILVDAGVMFPGDDELGVQKIIPDTTFIKKWSHKIEAVVITHGHEDHIGALPWVIPMLDSQTPVFASSFTMELIRKRLKDHGIFVPSRLKVFRTRKKFVAGPFEIEPITVSHSIPDCCGLVLRCSDGTILHTGDWKIDETPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTMSESVVADALLRHISAAKGRVITTQFSSNIHRLGSLKAAADLTGRKLVFAGMSLRTYLDAAWKDGKVPIDSSTLVKVEDMHAYAPKDLLIVTTGSQAEPRAALNLASYGSSHAFELTKEDTVLYSAKVIPGNESRVMEMLNRISEIGPTIVMGKNECLHTSGHAYRGELEEVLRIVKPQHFLPIHGELLFLKEHELLGKSTGIRHTAVIKNGEMLGVSHLRNRKVLSNGFISLGKENLELKYSDGDKAFGTSSELFIDERLRIALDGIIVVSMEVCRAQSLDSSVENTLKGKIRITTRCLWLDKGKLLDALHKAAHASLSSCPVNCPLAHMEKTVSEMLRKMVRKYSGKRPEVIAVAIENPGAVLATEINTKLSGKSYVGGISTFRNVVHKENQSTKMQMRGDQE >RHN58834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5314244:5314679:-1 gene:gene20788 transcript:rna20788 gene_biotype:protein_coding transcript_biotype:protein_coding MPNEFAEDSPYDPVAIDEKTNVNVSNWNFQKNFEWEKALCISKSSNGHHQFEESAVTNQQGLYLRSRKVFEMTLGKSYQVYEHKCCVFYCCVKKISTRIVFIIKII >RHN81651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47812116:47822052:1 gene:gene5722 transcript:rna5722 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSMAATSERWIDRLQFSSLFWPPPQDVQQKKDQIAAYVEYLIQFTSEQFADDIAELIRNRYPSKEIILFDDVLATFVLHHPEHGHAVVLPIISCIIDGTLVYDKTSPPFASLISLVCPKDENEYSEQWALACGEILRILTHYNRPIYKTERQSSETERSSSGSHATTSEPLNGKAVNNALAQQEKKPIRPLSPWITDILLVAPVGIRSDYFRWCSGVMGKYAAGELKPPSIATSRGSGKHPQLVPSTPRWAVANGAGVILSVCDDEVARNETAILTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEEYASGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVDGVEVQHEPLGGYISSYSKQIEVPSAEASIDATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPASSSAVDLPEIIVAAPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFAATVEAILQRTFPPESSREQNRKANYLFGLGSASKNLAVAELRTMVHSLFLESCASVELSSRLLFVVLTVCVSHEAQFSGSKKPRGEDNYSVEEIIEDLQAISEIRKERKNRKVKKQGPVAAFDSYVMAAVCALACELQLFPLMSRGNNHSVSNNVQDIAKPVTLHGSSQDLQNGLDSAVRHTHRILAILEALFSLKPSSVGTPWSYSSNEIVAAAMVAAHVSELFRRSKACMHALSVLIRCKWNKEIHSRASSLYNLIDIHSKVVASIVNKAEPLEATLIHAPIYKDALVCHDGKRKNRSENGSCSDPGQTSIVPSADSTPSKHIHKSGRTPCSNEEASGYNLGKGVTSFSLEASDLANFLTMDRHIGLNCNTQIFLISMLSEKQELCFSVVSLLWHKLIASPETQPCSESTSAQQGWRQVVDALCNVVSASPAKAATAVVLQAEKELQPWIAKDDDLGQKMWRINQRIVKLIVELMRNHDSAESLVILASASDLLLRATDGMLVDGEACTLPQLELLEATARAIQPVLEFGEPGLAVADGLSNLLKCRLAATIRCLCHPSAHVRALSVSVLRDILHTGSIRCSPKPLRINGSHNPSYQYFKLDVVDWQADIEKCMAWEAHSRISAGLPIKFLDTAAKELGCAISV >RHN57886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42416009:42416323:1 gene:gene33477 transcript:rna33477 gene_biotype:protein_coding transcript_biotype:protein_coding MINYVMFLAPPSYQCIGYKPLGDTPEPFWKIYSLRSNPWRKLDVVMPITQFYSTKDKVYMNGMCHWWGIIMHSDSEFESKLVSFDLNKDVFFTTPIPSDKDNPC >RHN74802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36767122:36770344:-1 gene:gene10922 transcript:rna10922 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIKSYHLCLDPSLLFRSHSLSLATHRPRTTSHHLQSTARAPTAAPPNSRSTPPLGDSVANRAEEMQEENVDQSSCTLSLSSTLTQCTSLDTVQHKKRTRDSDCESDCDSRFYSPTNMRQQNEEQLFNELFKVRRGHPTYGKYRNWCDIALWEEIVKELRKHDPRNNPTISDLLTVVIKYYKEYKDDPDLLQRSSQSFRMNIRQVFDPIPDIYGCLTYDVIERVHCIVRPQSSLSGEAIEYQNNVHALEFFKNKAGRILPSTSDRGGIREYKKERGYGGLLALQYGEDLTALEIDDETKVWFLTHFNSDSAEYESMANFPRESRLNCLVKLLQQYLTQTESSSHQSSSANCMVSSCGRAGQANQYDECGNQWECSSLFGRRPFLHFIIGGGFNRLVMARRLCEGSHVMVGAPVALCF >RHN65393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1724664:1725706:-1 gene:gene13226 transcript:rna13226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MATKFTLVFLFLTLLSIATSTPTNFIKSSCSTTSYPTLCVESLSVYATTIQQDPHQLVQTALSLSLNKTQSTKGFVTKCKSFKGLKPREYAALHDCVEEITDSVDRLSRSLKELKLCKIQGEDFSWHISNVETWVSSALTDESTCSDGFGGKALDGRMKASIRSRMVNVAQVTSNALSLINQYATKH >RHN56088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27548275:27558104:-1 gene:gene31422 transcript:rna31422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endoplasmic reticulum vesicle transporter MDSIMNKLRNLDAYPKINEDFYSRTLSGGLITIVSSILMLLLFFSELRLYLHAATETKLVVDTSRGETLRINFDVTFPALACSIVSLDAMDISGEQHLDVRHDIIKKRIDSHGNVIETRQDGIGSPNIEKPLQRHGGRLEHNETYCGSCYGAEASDEECCNSCEEVREAYRKKGWALSSPDSIDQCKREGFLERIKEEEGEGCNVYGFLEVNKVAGNFHFAPGKSFQQSGVHVHDLLAFQKESFNLSHHINRIAFGDYFPGVVNPLDRVHWTQETPSGMYQYFIKVVPTMYTDVSGNTIQSNQFSVTEHFRTADVGRLQSLPGVFFFYDLSPIKVTFTEEHVSFLHFLTNVCAIVGGIFTVSGILDSFIYHGQKAIKKKMELGKFS >RHN39077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3958466:3959307:1 gene:gene45070 transcript:rna45070 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLVNSVDFKDIAIEPLSFPLPIKNLLAYKGACEEDQTTGYFHVKLKSNCSASFILLEILKLID >RHN45361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17839415:17853367:1 gene:gene39649 transcript:rna39649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GPI ethanolamine phosphate transferase 1 MRSEGILGNEEIRGAKSTTSKRTKWLKRRERWLVVLGVILHAVYMLSIFDIYFKSPVVRGVDPVPPRFSAPAKRLVLLVADGLRADKFYEPDPEGNYRAPFLRSIIKNQGRWGVSHARPPTESRPGHVSIIAGFYEDPSAVLKGWKANPVEFDSVFNKSRHTISFGSPDIVPIFCGALQHSTWDTYPHDFEDFATDASFLDLWSLDKFQSLLNQSNEDPKLKKLLQQDNLVVFLHLLGCDSNGHAHRPFSSIYLNNVKVVDHVAESVYNLVQDYFKDNLTSYVFTADHGMSDKGSHGDGHPTNTDTPLVVWGAGVKHPMPISSSNHSDRGFRFVDDHVHDAPTPIEWGLHGIERVDVNQADIAPLMSTLLGLPCPVNSVGILPRDYINMTKAEEVEAVLSNTKEILNQFLRKSHIKQSHLLYFKPFKPLSHYSSILDKIEGLILARDYDAAMDLSENLRSLALQGLHYFQTYDWLMLMSVITLGYVGWMIYLVLHVLQSYTSLPGTIFGMERADERNSHGKIYLCGCIVTGMLCLLFLLEHSPPLYHAYMIMTSFLWVQIISQYQFIKALWKHLFQRRMNHIIKLIATLAVSVFIAEFLVNSFTDRKLYTGCFLIAGATASIYLFKSIPWRSGIPIYVCSACWFLSIFTLMPAEIPDNNLLVVSSGAVIIIIGIAARWLALHAGGSKYWQSICNCELKNPKYSTLFYLQALLVALSSLMVYLSTTHRTENQELHAFHQLINWSVAGFSMALPLFSENSILSRLTSIFLGFAPPFLLLSIGYEAVFYAALGLVLMAWILFENTLFNLNILNSSANSFKNVTNHLNLGYDNRSLQLSDVRIPLAFMVLFNIAFFGTGNFASIASFEISSVYRFITVFSPFLMAALLIFKLFIPFILVICAFSAITKLNQVPRMGCYFLVILFSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNTYTKNIQCNSAVPATRKAN >RHN73112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13556005:13559289:1 gene:gene8894 transcript:rna8894 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PR10-1 MGVFNFEDETTSIVAPARLYKALVTDSDNLIPKVIDAIQSIEIVEGNGGAGTIKKLTFVEGGETKYDLHKVDLVDDVNFAYNYSIVGGGGLPDTVEKISFESKLSAGPDGGSIAKLTVKYFTKGDAAPSEEEIKGGKARGDGLFKALEGYVLANPDY >RHN52393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34762461:34764105:1 gene:gene37064 transcript:rna37064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylecgonone reductase MEANKLHEVILNSGEKMPMIGLGTATSPLPSNEALTSILVDAIDIGYRHFDTASIYGTEEPLGEAVSKALELGLIKKSDEVFITSKLWNLRLEYVDLYLIHWPVRLKEDVEGFNFTGEDVIPFDIKGTWKAMEDCYRLGLAKSIGVSNFGIKKLSLLLENATISPAVNQIEMNPSWQQGKLREFCMKKGIHVCAWSPLGAYKVFWGSNAVMENPILQEIAEARKKSVAQIALRWIHEQGTSVIVKSFNKERMKQNLEIFDWKLNQEELDKINKIPQCRLYKAEMFLSENGPYKSLEELWDGDP >RHN50834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10925341:10925661:1 gene:gene35170 transcript:rna35170 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVCVCGAWYHLNSLYFYAVRRSAVVDNIVSEYQKERTSSHVYDHDDSEGAKIYMMLETAAEPEFLMADMTPEQLRSFAAYKAKLNVRFYILVIFILFFYKQPLY >RHN74619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35231780:35232189:1 gene:gene10720 transcript:rna10720 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHFYSRETKQNQNQNQFYTSKINFGYSKNEMKHTHCTKS >RHN40404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15978711:15979505:1 gene:gene46552 transcript:rna46552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MPKTGTTWLKALTFAIVNRNRFSSLENHPLLTSNSHELVPSLESNVYVDTISQFPKFDILNMIEPRLFGTHIPFASLAKSIKESNCKIIYICRNPFDTYVSYWNFMNKISLNFDFPTITIEDDFERYCKGICHVGSFWDHVLGYLNESIARPDKVLFMKYEDLKENGNSHVKRIAEFLDCPFTQEEESNGVIENIINLCSFKKMKELEANKFGEFGRKIEKNYLFRKAEVGDWINYLSPSMVEKLSKIIEEKFSGSGLSFKVCT >RHN44574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6319325:6324160:-1 gene:gene38709 transcript:rna38709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosinetriphosphatase MGKKKSEDAGPSTKTKPGSKDVSKKEKFSVSAMLAGMDEKADKPKKASSNKAKPKPAPKASAYTDDIDLPPSDDDESEEELEEKHRPDLKPLEVSIAEKELKKREKKDILAAHVAEQAKKEALRDDRDAFTVVIGSRASVLDGDDGADANVKDITIENFSVSARGKELLKNTSVKISHGKRYGLIGPNGMGKSTLLKLLAWRKIPVPKNIDVLLVEQEVVGDDKTALEAVVSANVELIKIRQEVSYLQNAASGEEGVDKNDTNEEEDAGEKLAELYEQLQLMGSDAAESQASKILAGLGFTKDMQGRPTKSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVCSEIIHLHDLKLHFYRGNFDAFESGYEQRRREANKKYETFDKQLKAARRTGNKAQQDKVKDRAKFAAAKESKSKSKGKGKVDEDETQVEVPHKWRDYSVEFHFPEPTELTPPLLQLIEVSFSYPNREDFRLSDVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLVPSEGEVRRSQKLRIGRYSQHFVDLLTMDETPVQYLLRLHPDQEGLSKQEAVRAKLGKYGLPSHNHLTPIVKLSGGQKARVVFTSISMSRPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCDDEERSQIWVVEDGTVRNFPGTFEDYKDDLLKEIKAEVDD >RHN55437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18323930:18326304:1 gene:gene30617 transcript:rna30617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casparian strip membrane protein 1 MKGGSIELGEVSKNASTNKGVKRGLSIMDFILRIIAGVATLASAVAMGTTDERLPFATSFVQFRAEYDDLPSFVFFVLANSIVCGYLALSLILSILHIVRSTAVKSRILLIVLDMVMMGLLAAAASAAASIVYIAHYGNTQANWFPICQQYNSFCERISGSLIGSYIAVALFIIIILLSQSAISRN >RHN40239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14528160:14528526:-1 gene:gene46362 transcript:rna46362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MASLRTQGSHTQSFSGSFISGGRNLFTRECFCKMLHVIRTVTKMGPNRGRKFWGCRNFVASNINSGCKWNWNQGHLRMLS >RHN59250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8769602:8770948:1 gene:gene21253 transcript:rna21253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller MFTQVSMWAYVCLMSNSYKEENQLNFEAVHLMDLPSNNHQLDVEEKQCMSKELEKQVVDPKEEEKSKNEEEDMQNDVIFPVHSIDNGNGKRYDIMVSDSNLLSEHLGRDISIHCLLRLSRSDYGSIAAINKSFRSLIRSGELYKLRRKAGIVEHWAYFSSEALKWEAFDPNRNRWIHLPKMTCDACFSLADRESLAVGTELLVFGKELMDPIIHKYSLLTNMWSVGNMMNTPRCLFGSASLGEIAILAGGCDPCGNILSSAELYNADTGNWKTLPNMNKARKMCSSVFMDGKFYVLGGIAADKKTQLTCGEEFDMKTKKWCEIPNMFPVRTGVFETPPSFGSPPLIAVVKNVLYAADYGQQKVKKYDKDNNSWVIIGSFPEQATSMNGWGLAFRACGDHLLFLGGPVIHGGIMMEINAWIPNEGEPQWNRLAGNQSGGFVHNCTVMGC >RHN51648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21611103:21613250:1 gene:gene36130 transcript:rna36130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MALSTEMEALPQQFLEGPRVLVIDHDTTIHNVIVEKSIGWDFKVTTCSNASFALTLLREAKGCFDVILIEEQISDMNSYDFLQQITQQINIPVIMMGKDGSTSAAMKAIANGACEYCVKPLSDDDLIKNICQHVSRKSLNENKHDQIHVDNGTKETHVDVVEKDNYQPPTKKNRLKWSQAMQQEFLRAVNQFGLDNAKPKKIIEVMNVPGLTKEHIASHLQKLRIALKNEMPKGKWKKSKQDQCHHPTETQLGLEAAKSTPELDQNVKNSVIQCDNNSHAPQHSSIFANLFTDQSDVQSSVIQCDNNSHAPQHSSIFANLFTDQSDVQSSVIQCDNNSYTPQHSQTFANIFTHQSNVQNFVIQCDNNSHAPQHSPPFANIFTAQSSVLNSYAPQHSSTFGNIFTYQSNMNPYDEFFN >RHN49620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55959566:55959961:1 gene:gene44469 transcript:rna44469 gene_biotype:protein_coding transcript_biotype:protein_coding MHPELCRKRQKASVIWKDQHAIVTFHGAFSIALTIAGDNRCLLHRLRLASSSSCTTL >RHN52571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36717279:36721109:-1 gene:gene37263 transcript:rna37263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MYISFKQRINLNTVIYANQTTMLLSNLRSVYSVSIFIPNFQLPNPNFLIRLLPFSSSSSTRLYSQFHENDDNKHNLVSSFNRLLHQKNPKPSIFQFGKILGSLVKSNHYSTVVSLHRQMEFNGITSDLVILSILINCFSQLGQNPLSFSVLAKILKMGYEPNVITLTTLIKGLCLKGQIHQALQFHDKVVALGFHLNKVSYGTLINGLCKVGQTSAALQLLRRVDGKLVQPNVVMYNTIIDSMCKVKLVNEAFDLYSEMVSKGISPDVVTYNALISGFCIVGKMKDATDLFNKMIFENINPNVYTFNILVDGFCKERRLKEAKNVLAMMMKQGIKPDVFTYNSLMDRYCLVKEVNKAKHIFNTISQRGVNPNIHSYSIMIHGFCKIKKVDEAMNLFKEMHCNNIIPDVVTYNSLIDGLCKLGKISYALKLVDEMHDRGVPHDKITYNSILDALCKNHQVDKAIALLTKMKDEGIQPDICTYTTLVDGLCKNGRLEDARIVFEDLLVKGYILDVNIYTAMIQGFCSHGLFDESLDLLSKMEENGCIPNAVTYEIIICSLFDKDENDKAEKLLREMITRGLF >RHN57532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39867059:39871968:1 gene:gene33090 transcript:rna33090 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEFQRLLQLFPSVRSRDYIEESSSSSSSRQLTPGSGSRSAQEELNEWHDAWNEKDKDFENQGINKHDSFWNKLKSEAAKKVGTEEAERFCKAFQQIHKRLVNEELSLDAARKLVNSS >RHN61428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35480739:35481197:1 gene:gene23896 transcript:rna23896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLHTILKGSVTARQTTSKSVEVKKGYVSVYVGEKLARFVVPVSYLNQPSFQDLLSQAEEEFGYDHPMGGLTIPCTEDVFQHITSCLNGL >RHN80254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36553767:36562848:-1 gene:gene4157 transcript:rna4157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling ARID family MANGSSLDRSEAETFTEFHRNGGCLVEGRVDSADHDNDKAKQKSLFCQFFSAYLKENCSRGNVRPVPVMLGEGQLLDLYQLFSLVKEKGGYDAVSRKGLWKSVIVELGLDLRVLPSVKLFFDKYLNDFEGWLRKSLEENSLKNGNDGYLNSLPIDLEKEFQNLFCSNLKDKDDDFVPLESSNIIKHIDLVNHKSDGYLLDTKNQNNKCDGVQNVNSDGNGGYDEKLGTGVKDDITASCAETEKEFNSRKRKLESPIAQPLTEPSKGKEYKEGQDIFVQRLRAQDVLSVRKHAEPNRGSSSKKEKMNPAKYEDLRRSKRLSVALKLHGMESEKSPMEKTTGKPDVMIKKKKSTSKAAVTKKKISTAKAAVTEEKKYDPFSDDSRDVSVGPLFQVEVPQWTGIVYGSDSKSLGTQVWPVKDDSRPTTETDLIGRGRRGKCSCNVQGSVDCVRSHVASNRMKLKFELGSAFYHWGFDKMGEEVSLQWTGDEEKRFKDIMSLKIPSQNKSFWNNPSSYFQKRTRKDMVSYYFNVYLIQLRSYQNRVTSETVDSDDDEIEFESFGDGFGRKAIQRPSIEFKECSENK >RHN39152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4525439:4535399:-1 gene:gene45151 transcript:rna45151 gene_biotype:protein_coding transcript_biotype:protein_coding MNKENLNSKGKSSHGSALKHINGAASVSRGTEITMSMSTKK >RHN61951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39594180:39598729:1 gene:gene24467 transcript:rna24467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MSISVTNTIILFFITQLLVRAESITRGDFPEGFIFGTASSAHQFEGAADEGNKGDSIWDTFSRIPGRILDFSNANMAVDQYHRFQNDINLMKDLGMDSYRFSISWPRIFPNGTGEPNTEGIKYYNTLIDALLEKGIQPFVTLYHWDLPQMLEDKYEGWLSTQIIKDFEHYAYTCFKAFGDRVKHWITFNEPHNFALHGYDLGIQAPGRCSLLGHLVCKKGKSSTEPYIVAHNILLSHAAAYRSYQLHFKEKQGGKIGIALDAVWYEPITELDEDKEAAARAMDFSLGWFLDPLIFGKYPFSMQKLVSERLPEITDATSNLLVGSLDFIGINHYTSLYARNDRTRIHKLIMQDAISDAAVITTAYRRGAAIGNKAASSWLHIVPWGIRKLMKHVKSKYGNTPVIITENGMDDPSRPLMTLQKALNDDKRIRYHRDYLSNLSAAIREDGCDVRGYFVWSLLDNWEWNMGYTVRFGLYYVDFKNNLTRIPKSSVQWFKNMLRLETGKICSYIENSKCI >RHN79406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28538280:28538915:-1 gene:gene3194 transcript:rna3194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MDTYKVVSLSSYSCESDGIDGIPMKVFKTQVNIYTLDTHSLIRINDFPSIPPNGLSEGIIVSGTVNWFAYSTASGDISCVIVSLDLGKECYQEISEPNYDEKPIYLTLGMMRDCLCIFSYSHFFTDVWLMKEYGNKESWIKFIHLPYFGDHDSHYDDIHYQKIYCQKILYIFEDENDVLLVINKEFEKWKWVVCDSKNYTIKSFKIQKDFV >RHN81716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48430557:48430877:-1 gene:gene5799 transcript:rna5799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MGRSSMQQPNWIYVQNLHGSETRYLSGVINPSITELRHLKYLDLSHLITNDQIPKFIGSFSNLRYLDLSVGGYGGKIPTQLGNLSQLRHLDLSNNGLTGQSFSSES >RHN49162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52923764:52924767:1 gene:gene43963 transcript:rna43963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NPR1/NH1-interacting protein MEGENRKRKMLEDEEENDDEKMEKFFALIKSTRDILSKTDKKVGEEKKEKCIWNPTFQLEDFIACEELGKSNVSAAAGPSSRQEKEVMIIEKEYVQEEVAASATTTTVTPKNQNEDKEKEKASDNSLDLNLSL >RHN41160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27667220:27668022:1 gene:gene47451 transcript:rna47451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MGVPIHIVTQYRDLEHWKALLEDNKTYVLHNCLVFDNDAAFKYVDHPFNVVLGPGSKVTRNDKLTDIPSHEFKFKSFKEIENGNLKPDVLYEIIGFIHEIVKTSVFGSGKKPCTNLVLRNEVGNLVDATLWDKYSLDLMKFLAERNDVGPVVLILTYA >RHN71395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58700279:58703387:1 gene:gene20074 transcript:rna20074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MKMVVVAQGVCGKALLFGIGVGVRPRRPTKQFVFFVSSNSKDKTTSFGRLKVEKVNALIHRTKQNTTKRKQLLQPEDEDEDNSASATATTRRQQQQQQQQHTHSRVGWGDNRVSSKSLALASEDTNFFSLKSFKGIGCADFMIESLHNLSLTRPSNIQAMSFPPVIAGKSCIIADQSGSGKTLAYLVPIIQRLRQQELQGLHKSSSQSPTLLILAPTAELASQVFHNCRSISKSGVVPFKSMLVTGGFRQKTQLDTLNQGVDVLIATPGRFLFLINQGFLHLTNLTCAVLDEVDILFGDEDFEKALQCLISSSPVDTQYLFVTATLPKNVYSKLVEVFPDCEMVMGPSMHRINSRLEEIIVDCSGEDGQEKTLDTAFLNKKAALLQLAEKNRVPRTIVFCNKIETCRKVENALNRFDRKGIRMQVLPFHAAMTQESRLASMKEFTRSPPKELSQFMVCTDRASRGIDFTRVDHVILFDYPRDPSEYVRRVGRTARGARGQGKAFIFVVGKQVSLARKVMERNRKGHPLHDVPSAYEY >RHN72510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8233158:8234652:1 gene:gene8230 transcript:rna8230 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase gamma chain MSCSNITMLVSSKPSLPDASNLSFRSALNPFQLPSQNSSSSCTPSRPTQIQCGLRELRNRIDSVKNTQKITEAMKLVAAAKVRRAQEAVVNGRPFSQTLVEVLYSINEQLQTDDIEAPLTKLRPVKKVALVVCTGDRGLCGGFNNAILKKAEKRIAELKGLGLDYTIISVGRKGNSYFLRRPYIPVDRFLEGGNLPTAKEAQTIADDVFSLFVSEEVDKVELLYTKFVSLVKSEPIIHTLLPLSPKGEICDINGNCVDAAEDELFRLTTKEGKLTVERDAVRSKTIDFSPILQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMTAMSSACDNAIELKKNLSRVYNRQRQAKITGEILEIVAGADALV >RHN55005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14300706:14301555:1 gene:gene30121 transcript:rna30121 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAVASGGMDNKLLQLYAFDKSRKLGKFVDIVYHENSRVLHDENIYCIEYASFLFFFHFFILSFTFCLFKFVNEVLRSVICFVTWFILLQSPKPKTLSIQFIDFGNEKHEVTTVSMMDPIFKPIFTVIFFYVSFILDMEDFLFGMRSRRKALHLKISR >RHN40362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15607620:15608612:-1 gene:gene46509 transcript:rna46509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase, 2-hydroxyisoflavanone dehydratase MASTTPTTPKTITKEIVTEMGNILRIFSDGSIERPKQSPFAPPSLNDPNTGISSKDIQIPHNPTISSRIYLPKITNPLSKFPILVYFHGGVFMFESTFSKKYHEHLKTFASQANVIIVSIEYSLAPEYPLPTCYHDCWAALKWISSHSNNNINNPEPWLIEHGNFNKLFIGGDSAGANIAHNIAIQAGLENLPCDVKILGAIIIHPYFYSANPIGSEPIIEPENNIIHTFWHFAYPNAPFGIDNPRFNPLGEGAPSLEKLGCSRIIVCVAGKDKLRERGVWYWEGVKNSGWKGKLEFFEEKDEGHVYQLVKPESESAKIFIQRLVGFVQE >RHN66485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12976237:12979941:-1 gene:gene14485 transcript:rna14485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan 6-xylosyltransferase MGQENNLTQKRTTSTGGLPTTTTTTTTNSRNRTLPRGRQIQKTFNNIKITILCGFVTILVLRGTIGVNLGTSQNDAVNAAVIEETNRILAEIRSDSDPSDRNQTETFMSLNETYALGPKILNWDTERTSWLDKNREYPNFVKGKPRILLLTGSPPKPCDNPIGDHYLLKSIKNKIDYCRLHGIEIVYNMAHLDMELAGYWAKLPMIRRLMLSHPEVEWIWWMDSDAFFTDMVFELPMSKYKDYNMVIHGYPDLLFEQNSWIAINTGSFLFRNCQWSLDLLDAWAPMGPKGPIREEAGKILTANLKGRPAFEADDQSALIYLLLSKKDKWMEKVFLENSFYLHGYWAGLVDRYEEMMEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLSSMERAFNFADNQVLKLYGFRHRGLLSPKIKRIRNETITPLEFVDQFDIRRQHEGTVEPKN >RHN45586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23285777:23289207:-1 gene:gene39962 transcript:rna39962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoglycerate/bisphosphoglycerate mutase, active, histidine phosphatase superfamily MLRFCSLQPFHAIQRSKVAGFRSLHTVKMNLRGNHSLENQHPIMYQNIIVMRHGERMDNFVPSWTSTAARPWDPPLTQPGQVRAFQIGQSIRQSLGLPIHRLIVSPFLRCIQTAAELVIALSAVNDVRESDISDNILIDPLKVKVSLEYGLCEMINSIAIRLNVAPKDGNLSFDISELAAMLPAGTVDNNVEMVYKELPKWEESVLQARARYQQTINNLADKYPTQNLLFVTHGEGIEVALSSFKKDVVVDKVQYCGYVQLKRPIFERDHSLIGGKFNVLTHSGQSGVTYVSS >RHN47471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40016448:40018217:1 gene:gene42081 transcript:rna42081 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKMNIFVAVMVAMLVIATSGVSAADAPAPSPTSDATTLFVPTAFASLIALAFGFLF >RHN45171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12592375:12592500:-1 gene:gene39394 transcript:rna39394 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase epsilon chain MMALMGDFARIGNNEISILVNDAEKSIDIDPQEAQQTLKIA >RHN67608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28622809:28627530:-1 gene:gene15814 transcript:rna15814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cell division protein Cdc6/18 MPSIASSKRRFRSPATPIPTTTTSPPTRKSPRRCISATPNSVSSVVVRQIITDENQIESIQPASLKSKWNPKDGEQMRIVKEVLHLSTAPSTMVCREEEQNVVLGFCKGCVEHEKAGSLYICGCPGTGKSLSMEKVKVNLLNWAAEGGFPLPDVLSVNCTSLSNTSDIFTKILGLHKTLGKKGSGTPLQQLQNLYSHKSPTLNMILIVADELDYLITKDRAVLHDLFMLTTFPFSRCILIGVANAIDLADRFLPRLTALNCKPTVVTFRAYTKDQILKIIQERLNELPYIVFQHQALELCARKVAAASGDMRNALCICRSAIEMLEAEIRDSACNLNALEEKSSSEQNLPTAPDYVKKQELDMVRIDHMALALSKTYRSPVVDTIQSLPHHQQIILCSSMKRFRGAKKDSILGELYKSYAEICKSSLIPPAGIMEFSNMCRVLNDQGLIKLGQSRDDKLRRVTPKLDEGDITFALQGIRFFRNCLQ >RHN82566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54801257:54801520:1 gene:gene6739 transcript:rna6739 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMEPYAKRGDVHNAEKIFYCLRQANHISKISLFRALAQAYKNAKLPAYGISERMKADNQFPNKALAGQLALVDPFRKTPVSDLLD >RHN48320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46600147:46606462:-1 gene:gene43023 transcript:rna43023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MTRIHRNRDRTGNSNGSNVFNLLARREISPRTKHVARDAKRGLLSWVEADSLRHLSAKYCPLLPAPRSTIAAAFSPDGKVLASTHGDHTVKIIDCETGRCLKVLIGHMRTPWVVRFHPLHPKILASGSLDQEVRLWDANTSECITSHHFYRPIASIAFHAKGEIIAVASGHKLYIWHYDKKGEASYSPIFVLKTRRSLRAVHFHPHAAPYLLTAEVNDLDSSDSSMTEATSIGYLQYPPPAVFVTNVHPTEHVTLSSEPTNVSLPFFLVPPYTVDESRAELQHASHDAGSGRIQIESSAVAQFQADTNSTEQHDTTVSPMDTVSEIPTNSQAGTEYPAHTAFSNGMGIGIGNLTMDGMETDETRPAEGSQHRNPTDASSLNGMLHGLSRQTANHGVHPEDGHPFVSSRDPSGWELPFLQGWMMGQSQAGLPSMLPHTGVSRDTLAPQISSSVMANTLPTSNADVAMPSSAMSGSINIPGSSVRSGLRSHFSHSRTPVSESGNLAASINTPHDGSDIQTIMSRIQSELATSVAAAAATELPCTVKLRVWSHDIKNPCSPLNADRCRLIIPHAVLCSEMGAHFSPCGRFLAACVACMLPHIEADPGLQTPVHQESGIATSPTRHPISAHQVMYELRIYSLEEATFGLVLASRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLKSIVIDGETTLPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPFPGGGLVYGTKEGKLRILHYDGARPVNGTGPSYFPEETIVGVSQ >RHN46199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29582314:29586180:1 gene:gene40648 transcript:rna40648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MVSSPHLISCIESASGKDKSSFQQGSTALQCSNVAGNYVTEIACGGRHSAVITDTGALLTFGWGLHGQCGQGNNADQLRPTLVPSLLGTRVKQIAAGLWHTLCVTANGQLYAFGGNQFGQLGTGNDQTETSPKLLDTFENNLSSIVSCGARHSALLTDDGHLFTWGWNKYGQLGLGDSVDRNIPSRVSISGCRPRNVACGWWHTLLMADKPV >RHN73123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13610173:13613391:1 gene:gene8906 transcript:rna8906 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLALFIRYFNFICLDHYWSYQSSINSNPQCVINRITN >RHN60391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26122544:26132764:-1 gene:gene22699 transcript:rna22699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative replication factor C subunit 1, P-loop containing nucleoside triphosphate hydrolase MSDIRKWFMKTHDKPNNAAAGNSSNKPKPPPAKPDPAKTVPAEGQASSGRKKTSKYFNTDKSKAKDEVETQVLPAKRKTNEDDGDDSVLPTNQKKVAGSTPTKKLKSGSGRGIPQKSVDLDESDEEDVKDAVTPIKSGGRGRGGRGASTPASGGRGRGGVRGTAQKSVAMEENDEDDEKDVASAAKSGGRGRGGRGASAQPSGGRGRGGGGRGGFMNFGERKDPPHKGEKEVPEGAPNCLAGLTFVISGTLDSLEREEAEDLIKRHGGRVTGSVSKKTNYLLCDDDIGGRKSEKAKELGTSFLTEDGLFDMIRASKPAKAPKQEECKKSVTKAVPVPSPSKVLPKAETKASLPSYSPSNQAKPKKAATAQSNLMWTEKHRPKNPKDIIGNQSLVAQLRTWLKGWHEQFSNTGGNKKGKKQNDPASKKAVLLSGTPGIGKTTSAKLVCQELGFQAIEVNASDSRGKADSKIEKGISGSNANSIKELVTNEALGRNMDRSKQSKTVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLMNYCLLLSYRKPTKQQMAKKFMEVAKAEGLQVNEIALEELAERVNGDMRMALNQLQYMGLSMSVINYDDIRQRLLTNAKDEDISPFTAVDKLFGFNAGKMKMDERINLSMSDPDLVPLLIQENYINYRPSSAGKDDNGLKRMNLIARAAESIATGDIVSVQIRRYRQWQLSQTSSVASCILPASLLHGSREILEQGERNFNRFGGWLGKNSTMGKNMRLMDDLHVHILASRESSSGRDTIRLEYLTTLLKNLTEPLRVLPKAEAVEKVVEFMNTYSISQEDFDTIVELSKFKGRPNPLDGIQPAVKSALTKAYKELSKTRTVRAADLITLPGIKKAPKKRIAAILEPADEGVEQGDGGNTLDESEEENTSDVDESEGAATGEKLKSELQSLNSKGVQVQLELKGSGSSKKVSGGRGKGASASAQKGAQTSKTPAKRKR >RHN50079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3909754:3911154:1 gene:gene34331 transcript:rna34331 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLVVMLLPPLIIIGAIISSLRATTNVTIVCIAFKNYALGSSV >RHN63016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47641966:47644509:-1 gene:gene25664 transcript:rna25664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase, strigolactone esterase D14 family MGAGTTNPDYFDFERYSTLEGYAYDLLAILEELRVDSCIFVGHSVSAMIGTVASISRPDLFNKIILISASPRYLNDRDYFGGFEQEDLDQLFDAMASNYKSWCSGFAPMAVGGDMESVAVQEFSRTLFNMRPDIALSVLQTIFKSDMRQILCMVTVPCHIIQSMKDLAVPVVVAEYLHQHVGSESIVEVMSTEGHLPQLSSPDVVIPVILKHIRHDIAA >RHN71945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3841595:3842302:-1 gene:gene7606 transcript:rna7606 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMLCAVRGGEGGGWQRWCYRGGGGAVLYGTISPQPLKSHSSMLTSMLKTAFCFCFLSNVHLSLFLLPHSQHSIYCLYVYADLDCFVKENSEKMNLRCLNLWVLELKFSKIQNLIYGIFGES >RHN58088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43658843:43661079:-1 gene:gene33696 transcript:rna33696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sas10 domain-containing protein MMRRRGVNRFQGFYSHIAPKPSNSLKRFTPTNGQFATYDDFDDDAVVDVKMPTGLYNGSVSCKVSQFIKANKKKLKVVSGDDEPKRDDFGERRKKYEKWVLAGTGIKTEDNNNEDNDACEQAKKLRPTKRAAKAETYSTDLRKSSVPSLPEETVDGKRHITSQMLKNRGLTRSRNKSKKNPRKNYKLKHQKSVKNHKGQVQSIKISFSPYGGESSGINANISRSIRFKS >RHN38847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2418896:2419526:1 gene:gene44816 transcript:rna44816 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MQDIRGGGGLGYAKHITFEQIILVNVNNPIIIDQEYSISHKGANVSVSSVKFQGFTGTSASGLAIQLNCSSSGCYDILLEQNNIVSAQPGKKASSFCTNAHGTARNTVPNVPCLLK >RHN72458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7838830:7843816:-1 gene:gene8171 transcript:rna8171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MVSSSVIIHFFLLSLSLFSLSCLSASQPHSFILPIKKDPSTNLFYTSVGIGTPRTNFNLAIDLAGENLWYDCNTHYNSSSYIPIACGSERCSDVACIGCNGPFKPGCTNNTCPATATNSLAKFIFGGDLGEDFIFISQQKVSGLLSSCIDIDRLPSFTGEDSPLNGLPKITKGIIGLSRSNLSLPTQLALKNKLPHKFSLCLPSSNKQGFTNLLVGSIGGDPFKELSKFVQTTPLIVNPVSTGAVSVQGVPSVEYFIDVKEVKVDGNVLNLKPSLLSIDKKGNGGTKISTIIPFTELQSSVYKPFIRDFLKKASDRKIKRVESVAPFEACFDSSSIKNSVPRVDLVLQGGVQWTIHEANLMVNVKKNVACLGIVDGGTEPRMSFTKASIVIGGHQLEDNLLVFDLASSKLSFSSSLLVHNASYFVLVYFEK >RHN60786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30409783:30410814:1 gene:gene23164 transcript:rna23164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRKKLMAQIHLCVYALIIFLSPFLALTNDRIVYHGCYSDDQCPNECPAILMRCIHSLCVEFIRTDPLFI >RHN78566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16316381:16317070:-1 gene:gene2184 transcript:rna2184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MEILISVVGKIAEYTVVPIGRLASYLIFYKDNFKTLNNHVGDLEAARERVNHSVASERGNGREIEKDVLNWLEKVDGVIKEANQLQNDPRRPNGGCSALSFPNLVLRHQLSRNATKIATDVVQVQGKGIFDQVGYLPPLDVVASFPTRDGEKYDTRESLTEDIVTALADPTSRNIGLCGLGGVGKTTLVEKVAQIAKQRKLFDNVVKTEVSKNPDIKLIQEQIADFLNL >RHN73222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14518113:14524085:1 gene:gene9016 transcript:rna9016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MKPLQAILKKRFLSQNVVLDIITAKSNNPLFHQHFSFPFSLTRFSTTTSASESVSESVTHPFAASYLINNFGFTHESALKSFNYKHVRFNTADKPDSVITFFQNHGFSHDNIRIMIRRAPWLLSSQPHKRFLPKFQFFLSNAASSSDIVPLLTTNPRILRSSLDLEKQIIPLFELLSRFLKTNKDIILCLIRYWTAFATNPYHLIVSNINLMSDFGVSDNVIGSLLQSRPSIFGSKDLIKSLEEVKDLGFHPSLTTFRAALIAKKGMTKKLWDKKVDVFKKRGWSGEAVIHAFRIQPNLMLVSIHKIDSSMSFWVNQLGWNSLALIKLPQMFSYSLEKRIIPRASVLQFLLMKGLRKKNASLTTPFTYSEKLFLSKFVFRFKEESDYLLKLYERKNENCIRNGKQWHVIH >RHN54822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12630163:12632459:-1 gene:gene29913 transcript:rna29913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MRTSLMRTGSVPVLNPVHSGSTRTSLSRQASFAGENNYRVQSPKVSLHFHSTDKHSDGINRSLSESLMINSPIKNFSFSQNLNRIGSQSFPIEDTGFGGGGGFAHGDVTVTTGGNGGGRMEIGAYYEEMLKSNPADALLLRNYGKYLHEVEKNMVRAEEYYGRAILANPEDAELLSLYGKLIWEMSRDEERAKSYFDQAIHVDPDDSTVLGSYAHFMWEAEEEEDDDDDEVVVNGGGMMGKAEESAVGLITTF >RHN53131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42202577:42205240:1 gene:gene37901 transcript:rna37901 gene_biotype:protein_coding transcript_biotype:protein_coding METTGLIPHVGENYTLKLKNTMQEILSELPKESPEFSHSSDALHELMQTKVDPPFDVIWVYSAIKFGCRKSLKGDILEQISAAKALFQLISACSASVGGSKSIALLAPVVFMIHSVVKELFELKREKKAMKEVKSLIWIWFCLSRLWVVDNDDDDETNEGFETLLPLVSSDVCGWICGREFHVGYLAGAVMMEVFLLKLCLFFDMGMEKSELEMCLKSWSVGSISSFQNVYFLEVLMRTTLETSLPLSSILKAKDEFLLKKVLFDALLLVEYSFIYENAKNIKSLALTRLIITHVAVEYLRELDQNRVVSYSKAFSSSNLPSQIIKLVSNQNGIEENAGKTNGSSPRALINWLLRLENLGIKVFAGEEDNQSKLISDAFVAAAQTMKSSDKESRKRKGKSSGKKVKFVKYDLAVNSVPVKGGTSASNDSSSDESEVEDPNSDSDA >RHN54232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7951721:7957908:-1 gene:gene29232 transcript:rna29232 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSVVLKRVNFMPPTPEDLGYDETQDLGYAATHGLGYTGIVAPKKFTLSSLLNYMDGLWSGNELLYLLRTIRTK >RHN78928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20055447:20057293:1 gene:gene2596 transcript:rna2596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MEMEVAKWGNCFVEGCSRREVWSLQRGFVGRSCYTLAEECFRLVEDLVKLEDFGGAQGWFNSEVFRKVGNDFKTSFWKDIWRGGGSFAQKYPRLFSISTQKEATVGEIGAASGVGTDWNFNWRRQLFVWEEELFTSLREDLEGMVWSNEEDEWRWKLEDNGFFSVKSAYLKLEGVVLKEDLWDEEERGVFDQLWKSPAPSKVIAFTWKVLLNRIPTRVNLALRNVLPPDASNLCVLCNLKEESAIHLLLHCEVASKVWLNIMLWLEVLFLIPSNFFVHWECWSVGKEIKK >RHN65644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4057154:4057821:1 gene:gene13509 transcript:rna13509 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIEILKIILEVIRVLCVRSISYVEGMMAKLSIVMAFGAASSQFIPES >RHN52208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32711047:32711817:1 gene:gene36855 transcript:rna36855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MFYLYFLKDKYKVPYICGTLRGENDYRIHEEPPPNTKINHEEPPLLRPILGGGPACLSCLHPLRDAPGIESDLFTFNILINCFSQLGQNSLSFSILAKILKKGYHPTAITFTTLIKRLCLKGQIHQALHFHNKVVALGFHLDQVSYGTLINGLCKVGATRAAQELLRRVNGKLVQPNVVMYTTIIDSLCKYKLVNDAFDLYSEMAAKRICPDVVTYSALISGVCIVGKLNDAIWLFNKMTADNINPNIICIPLIYW >RHN50426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7061042:7063542:1 gene:gene34708 transcript:rna34708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MDQFDGEKKWKKVEVNLEEHIKVPEFPSDKSSNSFYDEHLDDYMSKIAMEHLPQDRPLWEIHIIKYPTKNAAGTLVFKLHHALGDGYSLMGALLSCLERADNPSLPFTLPSSKIRPKSIFNTKAIIKRFPSIFSSTIWSMLDFGWSILKSSMIEDDLTPIRSRADDVKLRQITISNVSFTMEHIKEVKTRLGVSINDVIAGLIFFGIRLYMLEVNKESRKANSTALVLLNTRNIKGYKSVKEMVSKNNNGAAWGNQFAFLHVPIPELNDPKFENPLEFIWEAHKEISRKKNSLVTPLTGMLLNMVKTLRGPEAAARYVHSTLRNSSTTISNIIGPVEQMALANHPVKGLYFMVVGPPEACEFLHLNVVLHF >RHN69422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43302450:43302717:-1 gene:gene17892 transcript:rna17892 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQANFHCHILLYFFSVAIQSGLALRMVIEVSKFLQFSPKCSKRMFSANRGQRGGRNLL >RHN71002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55739420:55741638:1 gene:gene19642 transcript:rna19642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase Ppm1/Ppm2/Tcmp, S-adenosyl-L-methionine-dependent methyltransferase MSDQVNGLQDNQAWPELNLPDLLHTDPVRQVHATIEKEWDFLQRSACQTAAGRAMWKHVIHDPLAALLAGETYLRNLHEKMRKDYLNNARETSGVILAVRTLWFDSRLEDVLSSPNGREAQVVLLGAGMDTRAYRLSCLKDSDVFEVDFAEVLEVKSTILQAAKESTYESQHIMSKAKSLTRVAADIRENDWMEKLQIAGFLPQKNTVWILEGILYYLAQSNAMQLLRILANNCVLTHTVILADFMNKSSTTLSNSVFQFYSDWPDQLLPSIGFTHVKLSQIGDPDAHFGLLNDPLNLFNKLRSLPRSLQTNPDDGTPCCRLYLVEASGSPDQSSAHNKESVIRS >RHN51184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14905558:14905924:1 gene:gene35571 transcript:rna35571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAIVSSPKTAVPAVLLNGTGLFENASLYVGDLEGNVNEAQLYELFNQIGQVVSIRVCRDQTMRLSLGYAYVNFSNARDVFRKVICFVC >RHN70868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54619403:54620451:1 gene:gene19498 transcript:rna19498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MNSTIEQPHNNSETKSSSNSPPPPSPQSQQIKGIRDTSKHPVYRGVRMRNWGKWVSEIREPKKKSRIWLGTFPTPEMAARAHDVAALSIKGSAAILNFPELANLLPRPASLAPRDVQAAANKAAHMEFPSSTTSYELSEIIELPRLGNVGDFGKEFVFMDSIDTSWMFQPPCLHTMEDVIWSEIYNN >RHN49305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53935969:53936217:-1 gene:gene44120 transcript:rna44120 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGVLWGLEYIYLDRPGFVDVIDDIDIQDHVARTFTVDPGELVMGTLTSHNRCLFVFCWIVEKECRYDNVILLNVGSRRQY >RHN44695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7423495:7427278:1 gene:gene38845 transcript:rna38845 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYVWRKYADYLYTKWEKTYLWDMVEPYRRPKSFTPVVATYIAAFYTGVIGAAITEQLYKEKYWEENPGKAVPLMKPKFYGGPWRVMGGEIPKYE >RHN48538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48279234:48281271:1 gene:gene43267 transcript:rna43267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MVATDHTTNGVLNSWKGTKTFTYQLLTGRWFMLFASSLIMSVAGATYMFGLYSNQIKTSLSYDQTTLNLLSFFKDLGANVGVISGLINEITPPWVVLLTGTIMNFFGYFMIWLSVTKKIHTPKVWQMCLYICIGANSQTFTNTGALVTCVKNFPNSRGSVLGLLKGYVGLSGAIITQIYHSLYYGDSNNTQSLILLIAWLPAAVSFLFLPTIRILKLNNIQQQKEYKVFYNLLYISLGLACFLMVLIILQNMLTFSRIEYIGDGVFVILLLLLPLVVVFREEIKFNTLLKDTSISQLKVVTDVPLQNMSSHEQEAEVSSSSTTTNSDKKSSSCWRTIFKPPNRGEDYTILQALFSIDMLILFLATTFGVGGTLTAIDNLGQIGHSLGYPNKSTTTFVSLVSIWNYLGRVASGYISEILLTRYKIPRPYLLTFVMLLSCVGHILIALGISNSLYFASVIIGFCFGAQWPLMFAIISEIFGLKYYSTLYNFGAVASPVGSYIFNVRVAGYLYDKEALKQLEAKGLRRQKGKDLTCVGVECYRMAFIIITASTLIGCFISFILVLRTRKFYKGDIYEKYREEFEAAETQMRIVKTGDGVSESEDPGNATLGAVGVTRSLPG >RHN49302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53926135:53927615:-1 gene:gene44117 transcript:rna44117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MASISILHFLLISLFCSFLLVSSRHQQQPNSNPKPNLLVLPVQQDASTGLHWANIHKRTPLMQVPVLLDLNGKHLWVNCEQHYASSTYQAPYCHSTQCSRANAHTCHTCVSSFRPGCHNNTCGLMSANPVTQQTAMGELAQDVLAIYAINGPKPGPMVTIPQFLFSCAPSFLAQKGLPNNVQGVVGLAHSPISLQNQLSSHFGLKRQFTMCLSRHPNSNGAILFGDAPNNMHFGQGNNYNNKNNPNLFNNLVYTPLTITQQGEYRIHVTSIRLNQHTVVPVSAPMLSSYPEGVMGGTLISTSIPYTILQHSLFEAFTQVFAKQYPRQAQVNAVGPFGMCFDSKRINQALSVEFVMDRPDVVWRISGENLMVQPRNGVSCLAFVNGGLHPKAAITIGSRQLEENMMMFDLARSRLGFTNSLNSHGMKCSDLFDFTNAP >RHN46872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35463077:35463265:-1 gene:gene41407 transcript:rna41407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone/plastoquinone oxidoreductase, chain 3 MHRSTFMFFLYKYDIFWVFLIISIVIAILAFIISEILASIRKGAEKLASRTENESSQVESLA >RHN60400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26229851:26230279:-1 gene:gene22709 transcript:rna22709 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKCYVHLFLALITSLCNASKDVMIQENQTIHINELANSIKIFIDLPLNLKSIHFDCRPGGLGKFVIHQRKSLELVVHDYEFVACKVTLGHLQAIFKPDFDQNGKVQPGFWIINIDGFFHTFDHIHYIRKASWFPTKKLGK >RHN49230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53429283:53429794:1 gene:gene44039 transcript:rna44039 gene_biotype:protein_coding transcript_biotype:protein_coding MCPKYMSYDSYKTCSFMQSGQLPLGLTCCNQQSSQASEPFLICSKFTPPQHCYMMRSRQFLLTSILSPFLLLAGNL >RHN39731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10073491:10073829:-1 gene:gene45792 transcript:rna45792 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEIILRGALLLGILFSLCFFLSFSSAGFHNLVYLLVAFALFCTILCWEPTDKKKKKKKKKKNIELPLQKKKISKNGVPKETYRTKIVTAPKPKKLANNDKFGAVWSPFYF >RHN65017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63684392:63685175:1 gene:gene27907 transcript:rna27907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor OFP family MKTSKQQRGCKALCCSSNRFSVSSSEEAESSSSASDRFPSVSTLAHAMVQERLDQMIREKLEARHVERKREKRREGTKFVVMLATEKCSYDPREDFRESMMEMITVNRLQDAKDLRSLLNYYMSMNSEEYHSLILEIFHEVCTNLFLIIM >RHN51746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24118058:24120282:1 gene:gene36259 transcript:rna36259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MLPFLHGTYSFLFLISILALIITLLYSLPKWNSNSSIKKNSPPSPPKLPILGNLHQLATFTHHKLQSLAQIYGPLMLLHFGNVPILIVSNSKAACEILKTHDLVFCNRPHRKMFNIFWYGSRDIASAPYGHYWRQIRSICVLHLLSAKKVQSFSMVREEESVIMIEKIRKWYSNSLLQPMNLTNLLCETTNDIVCRATLGKRYSDEGEGKLREAVAELEVLLGACVLGDFVPWLDWVGRVNGLYGRAKRVAKVFDEFLDEVVEEHVSSWLERSKKGLGDFEHEGENDFVDVLLWIQRTNATGFEIDRTIIKALIMDMFGAGTDTTLAVLEWAMTELLRHPKVMEKLQQEVRNVVSQNTHITEQDLNKMDYLKAVIKETLRLHPPSPLLIPRESMQDTKIMGYDISAGTQVIVNGYAISTDSCYWDQPLEFQPERFLKSEIDIKGHDFQLIPFGAGRRGCPGISFAMVVNELVLANLVHQFDWSLPSGVERDQSLDMAETTGLTIHRKFHLLAVASPHI >RHN54705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11466649:11470745:1 gene:gene29783 transcript:rna29783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L35 MMQRLLPKLRSLAVQSLQPSSRRFLHHSLPQPLHSASINPISRPLFNFSPSSLHKSLPSPFSPSLVLQVRHVSSRERKKKRKPMTPVKSKLKKTKMKSYSSYKSRFRLMNDGTFRRWREGKRHNAHLKSKIAKRRLRLPALVPVAYAKVMKKLGFCG >RHN63940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55200396:55202927:-1 gene:gene26700 transcript:rna26700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SPX domain-containing protein MKFGKSLSSQIEKTLPEWRDKFLSYKELKKKLKSLEPASASADDRPVKRLKVDSGNADAGEMSKEESDFRNLLENELEKFNNFFVEKEEEYIIRLKELQDRVAKVKDYSEEMMKIRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDMLYKLVKECETMLDYLFPVNVPPAVGEIIPEAEGCDPSTSTTTESDGLLIPKELEEIEYMESLYMKSTVSALHVLKEIRSGSSTVSMFSLPPLQSSGLEETWKKIPVLEQEAK >RHN44333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3603810:3607621:-1 gene:gene38440 transcript:rna38440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MSYTNEKENHVVECHTPQIDDNDTLSAMVLGANMVFPAVLNAAIELNLFDIIANESNGGFMSSFEIASKLPTQHSDLPNRLDRMFRLLASYSLLSISTRTNDDGSIVRVYGITPSGKYFVKYENDGYLGSMTSYLCHPAFLGVWLNFKDAVMDPQIDLFKKVHGISIFEYFKKDPQINHIFNKSMTDTCTLHMKTILEIYKGYEDISTLIDVGGGNGQSLKAIISKYPSIKAINFDLPQVIENAPPYHGIEYFGGNMFESVPQGDAIMLKLVCHNWPDEKCLEILRNCHKALPLNGKVIIVEFLPPEDLGSENASKMVSTVDNIMFITAGGKERTPKEYESLGKQCGFSKLQVVCRAFSILGVMELYK >RHN66078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8386756:8391371:-1 gene:gene13991 transcript:rna13991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAFFGRVGSLLRNAANTKITSELKLRSSPSVFQAIRCFSSTPNTKLFVGGISYNTDEQSLSDAFSKYGQVLDARIIMDRESGRSKGFGFVTYNTVEEASSAIQALDGQDLYGRRVGVNFANERPRDGFDGPYGGGGGYSGGYGNSSYGGGYASNAAGGYGGGGYGNTYNDGTTSGGYGGNNANYGAAVGGGDSNAVNYGSPPGVGGYGNNANYGAAVGGGESNAVNYGSPPGVGGYGNNANYGAAVNYGSAPAVGGYGGNNVSYGAAGTANYGSAPDVGGYGGGNSANYGADVGGGESNSVNYGSAPVDGGYGGANNANYGAAVGARESNSVNYGSAPGGYGGGNSANYSDRESNSVNYGSAPGVGGYGSGNNANYGAAVGGGESNSVNYGTAPVDGGYGAAAGFGNSDNHYSTGGVGGGPSNGFSGNQYPGSATGYGSGGSAPGSS >RHN58671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3833570:3839471:1 gene:gene20603 transcript:rna20603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MYEKEVLRKSVLSQEPKFHSSSSFLITYLYIGHFLSRWGSRMWEFSVGLYMISIWPDSLLYAAIYGAVESASIALFGPLIGTWVDKLTYVKVLQLWLVTQNLSFIIAGATVVTLLVYSSLKFTNFTVFLLLVVIINICGGIGVLSTLAGTILIEREWLLVISEGQSPELLIKMNSVTRRIDLTCKLLAPVITGFIISFVSLKASAITLALWNSASVWVEYWLFTSVYNGIPALCQSSQRRMERLSSQSDLERNNSTSKGDSLLNVTDGDSTEMLDGKSRTKFSEWISKISYVDAWRVYLQQEVVLPGLALALLFFTVLSFGTLMTATLEWEGIPAYVIGIARGISAVIGIAATVLYPVLQYQISAIRTGLWSIWSQWTCLLPCLAALWIQNGILTSYILMGSVAISRLGLWMFDLSVLQQMQNLVPESDRMIVGGVQNSLQSFMDLLAYVMGIIISDPKEFWKLSLLSFLAVTLAAYLYCIHVFRVRKHIFHFDKVWSINSSA >RHN39469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7315368:7320019:-1 gene:gene45493 transcript:rna45493 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RSH1 MAVSTIALYASPPSSVCSTPHQINYDFELGSRSSSPASTAASTSGKSVMGGLSCLFSSPTVVKHVPFTSSFSGGGGGDEDELKELSSSFSYSFSPTKFGGSWKRDHSPVSVFQCPVSCSSSIGAGTGTVRSGRGSTGGGFLDGFVRSALGSSCLDYDSTGVRLRGGGGEFDGVGGDSGIVDELTFNLDDTFVEGCVGIEIEPYAKKLLMNAQLRHKIFCEEFVIKAFCEAEKAHRGQMRASGDPYLQHCLETAVLLALIGANSTVVAAGLLHDTVDDAFLTYDYIYGMFGAGVADLVEGVSKLSHLSKLARDNNTASKSVEADRLHTMFLAMADARAVLIKLADRLHNMMTLDALPVAKQQRFAKETLEIFAPLANRLGIANWKDQLENLCFKHLNPVQHKELSSKLVESYDDAMIASAIERLEQALKDEGISYHVISGRHKSLYSVYCKILKKKLTIDDIHDIYGLRLIVDKEEDCYKALKVVHRLWSEVHGKLKDYIRFPKFNGYQSLHTVVMGEGKVPLEVQVRTKDMHLQAEFGFAAHWRYKEDHCQLSSYVLQMVEWARWVVTWQCEAMSKDSTSVGYVDSIKPPCKFPSHADNCPYSYKPDCAQDGPVFVIMIENDKMSVQEFCANSTVLDLLERVGRASCRLTTYRFPLKEELRPRLNHKPVSDPNCKLKMGDVIELTPAIPDKYLTEYREEIQRMYDRGLTVSSMGSTATASSMVGTS >RHN69431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43354874:43357125:-1 gene:gene17901 transcript:rna17901 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSVLHNHNVDHLHHSPTFSSSSSSTSRTRSQRDVRYSCGSCGYDLNLSSSNRNTSSIDSKYGKSIKRGIISFFNIDDSRFTQVDEIECAPHFSKNLWGLFRRKTKLLCRKCCNHIGYAYNGNNSSSPSFILVSSNGAEPSPSTEAPTHVKYNIRIRALQPSSSQECGNGISVLA >RHN76176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48262275:48267517:-1 gene:gene12462 transcript:rna12462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MALLKAFLIFTLLNLLTTLPSMEAVSPILDVSSLNRTSFPTSFIFGTGSSSYQYEGAAKEGGRGASIWDTYTHKYPEKIRDKSNGDVAIDQYYRYKEDVGIMRNMNLDAYRFSISWSRIVPRKLNGGINQEGVKYYNNLINELLANGLQPFVTLFHWDLPQTLEDEYGGFLSPLIVNDFQDYAELCFKEFGDRVKYWTTFNEPYAFSNFAYTLGFFAPGRCSKWFSSNCTGGDSGKEPYIVSHHQLLAHAAVVHVYKKKYQESQKGVIGITLASHWFLPLSDKKLDQNAVERGLDFMLGWFMEPLTTGKYPQSMHCLVGKRLPKFSKKQARLLKGSFDFVGLNYYTSMYATNAPQPKNGRLSYDTDSHVNFTSERNGVPIGQRAASNWLYVYPKGIQELLLYVKKVYNNPLIYITENGIDEFNDPTLSLEEALMDTYRIDYYHRHLFYIRSAIKNGVNVKGYFAWSLLDNFEWTSGYTTRFGMNFVDYKNGLKRHPKLSAKWFKNFLKRY >RHN73182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14162310:14168357:-1 gene:gene8970 transcript:rna8970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MFKFNRRTLIYYIKGLTSPQSPNPNPFQHSSLPFSLHFCTKTSDSPSFVVSYLIHNFGFTPQFASKLFSSYNVRFNTAQKPDSVLSFFRSHGFSDSQLCHMIIKAPWLLSCDPSKRVLPKFQFFLSKGASNSDIVNLVSKSPLVLAGSLENVLVPTYELVYRFLQSHHDTIACVIRNSHLFRQSNVSSNIRLLIENGVTDSNMARLLRDWSQVFRTSDIPMLVEELKDLRFNPSKASFVIALLAKTTINKTLWKEKVDTFKKWGWSDEDIGEAFRKDPHCMLASIDKINLLMNFWVNQLGWDAMAIAKVPRVISCSLQKTIIPRASVVQYLLKKGLRKKNASLTTPFVMTDKSFLNTYIIRFKEESSYLLKLYEEKLNLAHTRDKIGMS >RHN74499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33990940:33994112:-1 gene:gene10584 transcript:rna10584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MTELETTLPSLRFTGKSLYPPVGKSVVVVESLDKARTIRSYLGGMYEVLSCNGLVMDLDPGQNSASLDNDFCLFWEISNSSQTRVKRISAALKGVNNLIFAFDPSPEGETIAWQIIHILRKKHRSLQEDILLARVVFNEITEQSIKAALQEPREIDMNLVNSYLAKRVIDFLFGFNISPLVLRKLPSCKSPRRFEFPALSLLCDRESEINSFRSREYWTLYPQLQRTNRDLPFRTLLTHIDSRELNKFSVASVEEANEIQSRIYSAQFQVIGITRSKISKMSPTPYSTSTLQQDAARILNFSSSITMKIARKLYEGVKFHKNIRAGLITCFITDGLHVGSHTSLRKLFRIFDPLSFKGDVCFFILLFNLLMFATGTSILLNIQSIHSYGQNFVAQSPPENVIKVKNALESHEAIRPTDIRKLPSMLAGVLDKESLKLYTLIWFRTISCQMEPAILEKIQVDIGNSDQSILLRSASSRVEFPGYRTVFTVRLVLADTLLCDLMLFSLVIVCNHSYTCSLRFTNNGFYLFIRLELIIIIIIVIVVEIL >RHN54131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7172977:7175298:1 gene:gene29121 transcript:rna29121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MNLIPIFREFNKPAFQFRIQIRFYTRRRDPFPTKITHYLNRAKLIDSIRLSLRSNNPNSTLSTLINHRLFDSFVLTHALRSAPCADSALSLIHTIENTKSSNFSHTQNTLHALATVLAKSGKLVELKSLIDDIESKRFGNVKISFMNLMQWYAAAKDIDSVVRVWDQYRVESRIVCTESYNIVMTLYVEMGKDSEAVGIFCKMVDDGSVPNCRSYSIIIEHLVKCRKFLEAIEVFNLLPLMRIKRTLKQYSVLIEGLVGSKMFDEVGVLVNEMQVDGILPSRTVSLLLQQVKDEGFLKDVDELFEGICPDERIKSVSFSIDSSDEDENEYKGENENEVSQCDHVDGIRLKPWLDPRSLASALQNWSPDEVSALEGANFVWTTRLVCKILRSFRSPDTAWNFFCWVADRPGFTHNIYTVQRIMTLLARHGRTELVDRLILKIRIEGMRLPFSTIRLIIDFYGISKNADAALKVFNDDQILCGSISKVNLMLLYSSLLRTLTKCGRNSNTLDMLDEMILNGICPDIQTFSGLMQYFSQLGDIKTVQKLFSMVRQSGFEPDAYLYKVLIEGYCKSTRAALAWRLFEDMKNSGSMPDSATKELLVKSLWKEGRRREAAAVEESCEEVNVVLPLGLPGHVWTVSSADLTRVYNIYSNSFVSKGA >RHN51276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15624882:15629014:-1 gene:gene35689 transcript:rna35689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MGLSEEEVTKLYRIRRTVFQMLRDRNYRVLDSEVNMSKQELKAKFGENMNRKDLTIQTTNKDDPSDKIYVFFRDDAKLGVSTIKTIKDLILTEGVYRAIIVVQNELKSKARSELNLFSEVRIEAFLEDELLVNVIEHVLVPEHQVLTNAEKKDLLSTYTVKETQLPRMLVTDPVAKYYGLKRGQVCRIIRHSETAGIYVTYRIVV >RHN70523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52005706:52008247:1 gene:gene19108 transcript:rna19108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MNSKKITWKSIVLILGCYKTKYSLEEPEKKVLKQGSFQRVSLSDISISSSTTQAIEDLSISLAGSKLYTFTLEELREATHNFSRSNLLGEGGFGPVYKGFVDDKLRHGLKAQPIAVKRLNLDGSQGHREWLAEIIFLGQLRHPHLVKLIGYCCEEEQRLLVYEYMTRGSLENQLFRRYSATLPWSTRMKIALGAAKGLAFLHEADKPVIYRDFKTSNILLDSDYTAKLSDLGLAKDGPEGEETHVTTTCIMGTKGYAAPEYIMSGHLSTKSDVYSYGVVLLELLTGKRVVDKSRSNRERNLVEWARPILRDQRKLPHIIDPRLEGQFPIKGALKVAALTYKCLSHHPNPRPNMSDVVKSLELLQDFDDMFIGPFVYVAVSESSQ >RHN73882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21024879:21026154:1 gene:gene9769 transcript:rna9769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSDHSQNIKTVLRPKIFREYVGVKDEPETLDDFPVNIIHDDVNQFHFILGFATEAYKDGKGTGHFIRDWNFDYFSPEKVFEHKKKYKNMKVMITIGGHGPKYPFNPKEKKVWIFNATSSIRHIIQDYENYLVNDNSCHCTSIIDGIDINYEYIDSSVTGADFSNCIGEVIKRLKKDKHVSKSMEYVSIAPTELLQAHYRTLFWDHKMNINYVDYKFYNQTISTENEFDELYNQLVTDYGGELKLLVGVSTDPSDTKMKRQVFIEGVTRLINNKSLPGLFVWSANDSANPPSNDIEPYILEEILLELFTNN >RHN45140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12250155:12251880:-1 gene:gene39356 transcript:rna39356 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMEERNDVIWRNETATRAVVCDCSISLLTGWRNEQNARINSNNQHTSQHNAAWTRPPIRRYKGKVDASFSRSRSKVGIGVCIEDDRPICASKNRVDFSYS >RHN75710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44463857:44464210:-1 gene:gene11948 transcript:rna11948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MLRLRHLAYTRSSFLCRVKCKRTGGLKFTMSGSYNFYQVLITNVGLDGEVVAVKVKGTKTGWIPMARNWGQNWHCNVNLQKQPLSFEVTITSGKTITSYNVAPANWQFGQTFEGKQF >RHN81146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43634754:43640703:-1 gene:gene5147 transcript:rna5147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation efflux protein MGFRFNNLNPIYRTCITRLSFSKHLLPPPESPGFFQSEASFISPQNPAFKISHRWHFGHSHDHDHHHPPKHLKEGENIFKLGLAADIALATGKAFTGYLSGSTAIIADAAHSISDVVLSGIALLSFKVAKAPRDKEHPYGHGKFETLGALGISCMLLATGGGIAWHAVDILMGLSSAGPEMVNQAMAHAHSHGQGGHHHAIDMDHPILALNMTLVSIGVKEGLYWITKQAGERQGSGLMKANAWHHRADAISSVVALVGVGGSILGVKFLDPLAGLLVSIMILKAGAEAGYQSILELVDAAIPSQHLDPIKQTIMQVDGVEGCHRLRGRRAGSTLYLDVNIEVDPFSSVSSAHDIGENVRRQIHKSHPTVTEMFIHIEKMLSPL >RHN62599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44597090:44599806:-1 gene:gene25192 transcript:rna25192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-Xa family MHSGNVIFLVTTSTSQFLYLQGMAFQIKKDILLQILLCLTMLMTSQSTETDIFCLKSIKNSLEDPNGYLQNWDFNNRTEGFICKFTGVECWHPDENRVLNLKLSNMGLKGQFPRGLENCSSLTGLDLSVNDLSGSIPSDISTMLTFVTSFDLSSNEFTGEIPTALANCTYLNTLKLSQNMLSGEIPKRLGTLNRLKVIDLSNNQFHGQVPVFKGGVDVNYANNRRLCGAPLKHCSLTHDNGDFHLSFKSGLIVGYVFSLISSVTFTCMFYSKCAHWVHQSKKNHLNKAIELGKHIISITSSRTQMVADQMHQLLHSWIVHKETKEISILMERLNSTIWLEELRDATDCFAVDNAIGVGKMGMMYQGFLPNGQLLAVKRLFDSHLFKRQILLETTIMSRYRHKNIVPMLGFSIEGKEQVLAYAYMSNGRLSKWLHPLESEVIRLKWHDRVNIAFGIARGLSWLHHTCDLGIVHFSICSECILLDENFEPKISNFGEAKFMNPNIEDHLGMMFKVNDGKKDVYDFGSVLFELMTGKTYNELSRSSDTTNLCGNPLSFYNVIDKSLTGEGLENEVCTLIKIACECVHPFPDQRPTMLEVYNNMRNVRKGPNGSGDDSDTLRGLEYASSIPMDEIVEF >RHN80300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36994374:36994538:1 gene:gene4206 transcript:rna4206 gene_biotype:protein_coding transcript_biotype:protein_coding MFMTQPGRTSRRLNMVISSVVISILLKSYVSEHELLIIPASPPSSSVPGFIWFH >RHN45239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13547104:13552714:1 gene:gene39474 transcript:rna39474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MDKKECSSSQDPDVRKGPWTMEEDLILINYIANHGEGVWNSLAKSAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIIELHAKWGNRWSKIAKHLPGRTDNEIKNYWRTRIQKHIKQAENSQSQEQGSDIQINDDNNNNQLIGSTSQISNIAEPMDTNIISPPSYQGILEPFPPHHQFPTISDQSGCSNYWSMEDLLSLQLLNGD >RHN67474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27518135:27518689:1 gene:gene15667 transcript:rna15667 gene_biotype:protein_coding transcript_biotype:protein_coding MNIREWVVRWVVLSPAPPFSLLGVLFIQIVVVSTGFDGGDGLLRRWRLRVWESLFSSINPPSMHFRIVRCRKDRGDLWLLLSAIVFATVVVLLSYQIRVVLSDSVVAVFAWFGGRLPSGSLCVCFSFADRRQRLDFGGLVLVGSRLVFEFVSLVRVFMSLVQQRFGLVV >RHN63192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49240356:49244461:1 gene:gene25868 transcript:rna25868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKSDLSDKKVVSSETAKAFADEIGIPFMETSAKNASNVEQAFMAMAAEIKNRMASQPANSARPATVQIRGQPVNQKAGCCSS >RHN43669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47623320:47626304:-1 gene:gene50280 transcript:rna50280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 50S ribosomal protein L30e MPSSRSNNAKTPKNHGGTGNNPNPNTNSNLTSLETICYEGKHLTNLLQSILRGVESARHLDGSSLPEKIWIKQQFAIGVNDVTRVLERMKPCAEFERSAQLLPSITSNHKTPSVKLQAVLVASDCSSRWLTKHLQSLASSRSVPLIFVKDNKEGSLRLGELVKLKTAITIGIKVKGNAINKIVEDIIQVDGCRLQPDESNSAGIFDDFQHHDKKGNFVTDII >RHN56987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35459603:35461174:-1 gene:gene32460 transcript:rna32460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MEGSAQITVSESHSIEEEKTPMSWDEIIEKSLSNFGWMDFFQAVLVAFAMFFDAQQSFISIYTDNYPKWHCTNTNTNSSCTSSSDICKLSRSSWSWDTHPSNTIISHWNLECASTFITGLPQSSFFIGCFFGFSFLAALADSSFGRKNMLFFSCVLMSITSILIIFSTNVWIYSALKFLIGFWRSSIATCVLVLLTEKVNAEWRFRVGILEYFTFTMGYMCLPGFAYINRNSSWKSLYLWSSIPAICYSVIAYFFVTESPRWLIMQGREKEIIKRLKRVLSKESVDDDDNTNLGSSLPKPPTKEKVTIFQHYSSIGELIHKRWALIRIIAVMILGIGIGMVYLGMPLAVGNLGFNIYLAVVFSASMEIPSCVATYFLENYRRKPSILVFSILGGICCVMCAVVENRVPTVKVVLAMVAFFGACTAYNVFLIYIIELFPTCVRNTTTSLVRQAIVFGCIFCPFLISAGRKNNIFSYGVFGVVIMLSNFTLLCLPETEGIVLCDTMDRQEKKEIALCDTMNQEKT >RHN73978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22978669:22979118:-1 gene:gene9893 transcript:rna9893 gene_biotype:protein_coding transcript_biotype:protein_coding MSASYRRNSSAPVLRSPSSPPSSYSSSSFATTSFHRSTSSTSVAGEAVKRHNQRKKRTCMCSPSTHPGSFRCRLHKNSTSSKTSTSNRHNVRRSAMANSLVRISRLEGELVKRALEALIRRCSYNQRRRCNFQPRPSRFSVMSKVEEVA >RHN63222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49480891:49485484:1 gene:gene25898 transcript:rna25898 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHSYTLDSVTKSQELVSTIQSATSPSQITTLCTSIETFLHTHSPDQSRHFFSLAFPALICNLFGFENPRAASPSSNGWINIPELHKPLFSLLSPTGTLATAITAVDRLSLVKYLFPSERLPHWSRSLSDKHNLSDLLPSVFPSSSSSSQIQLNVFQYFFFWFAYYPVSKGNSVNPTNSDQSSVKITTAAKSRLENWTSSIPFVSATKPPVSNDRPNYDFYTLLLYAYLRANVPTCDLASHQPYRSSILHYGSGHDANFAARAEFVVNTLIHFWLVDNDFSPFPVSVCKTMGVSFPFGEIPPAAGLGEVVKLFVRYLGLSTLAASCENGDFGYSYNSSPRWRSLEVSKNKDLGYGCWNQCLQRPLYRFLLRTLLFCPMAASVKNVSQVFYVWISYLEPWSIKGDEFSELDAMNGEKMENAVSEIGSGGGGAYSPRWVDYVLSNYLYYTSLVMHFIGFAHRFLHSDVETVVQMVLKVLDTLTSSKELIDLLKNVDALFHSKQAGSGKPMLNNLYRFVPIIREQLQDWEDGLCETDVDGSFLHDNWNKDLRLFADGEDGGQQLLQLFILRAEAELQAASGDNVTPSLQCIDSLKSKLGCLFDGQTIKPSSTSPEPMQHQQSRDEIFNPRRVGNCVRVDVKYKGDWMKRPISSDEIAWLAKVLVWLSDWLNENLGLNQTEHTLGLNQTETSKSSSTCSYVEVSTDVADICGPSETLKAFLCTICSWFLFLGAAFVGFMRKYGLRVNLRILASKKVVLFFVLYAVFLLLRKFVRSILSM >RHN48386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47134767:47139733:1 gene:gene43098 transcript:rna43098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor/ chromatin remodeling BED-type(Zn) family MATLEEGEVAMSPDVKDETINVDKMDVIEEDKLVVTHDVNQELNGTDSQPSNDLETQATGGDVNVFDNAKECQKNEAEISESLPKNQVGSQLGDSKVTLDKKSTPEAPPSIHVVDSEASPNKGVVCSEMQTSNDVVVSETQHSNEDAVPEAQHNNEGVALSETQHIDEEVASEKQHNNERVLASETQPTDETIICEAQHNNEEVVSEPHCDDEVVMSEAQPISEVVMSDSQQNNDVVISDSQPSSEVVFSDTQPNNETVMYEAQISNETVMHEAQISDEAVMHEAEICNDGVASEARPENELADSTMDPNNQLSHQEILDNHQFADSHNTDDRPIPHNHLQEYDTHPNSHLDHHEALDNHQLPNSETLSPDQLANSQMMSQYDLANGETLHSNQLVSSQAHYEIVNANNFPSYEIVNAETPPNNEEHTPETQPSKRRKKKSIVWEHFTIETVSPGCRRACCKECKQTFAYSTGSKVAGTSHLKRHIAKGACPALLRSLDPNQHAPYTPRSRGSGASNASNTPKRRYRTASTPYIIFDQDRCRHEIARMIIMHDYPLHMVEHPGFVAFVRNLQPQFNMVTFNTIQGDCVATYLTEKQNLSKYFDELPGRFGLTLDMWTSSQSVGYVFITGHFVDSDWKLQKRILNVVMEPCPDSDSALSHAVSACISDWNLEGRLFTITCNQPLTEVALENLRPLLSVKNPLIFNGQLLIGHCIARTLSNVAYDLLSSAQGIVNKIRESVKYVKTSESHEDKFLDLKEHLQVPSEKSLFIDDQTKWNTTYQMLVAASELKEVFSCLDTSDPDYKGAPSIPDWKLVEILCTYLKPLYDAANILMTTTYPTAITFFHEVWKLHLDLSRAVKNEDPFISDLTKPMYEKIDKYWRDCSLALVIAVVMDPRFKMKLVEFSFTKIYGEDAHAYVKIVDDGIHELFHEYATLPLPLTPAYAEEGNAGSSMKMEGSSGGTLLSDNGLTDFDAYIMETSTHQTKSELDQYLEESLLPRVPDFDVLGWWKLNKLKYPTLSKMARDILSIPVSTVPSDSIFDKKSKEMDQYRSSLRPETVEALVCAKDWMQYGAPESLTALVKMEF >RHN38590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:520197:522516:-1 gene:gene44545 transcript:rna44545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MEAKTHIALVTIPVFSHQVSLLEFAKRLNHLYKNSFQVTCIIPTISDSPSISSKHYFDDLPSNIQCIFLPPINFEDLRSESLVLESQVQIAVTRSMPLVCETLRSISSSSNVVALIVDSFVHEAHEFAKELNILSYIYFPCSAMVLSMCLYSSKLDETITCEYKDHPQPIEIPGCMSVQGTDLPDSLQNRSSLAYKLFLHRSQQLRQADGIIINSFHEMESKALKAISLISPKNLYGTTFDVYPVGPIIQTRPNIKKHACECWLDNQQPKSVLYISFGSGGTLSQDQINELALGLELSNHKFLWVNVRPPNNKATASYLSNEEMDPLHFLPLGFLQRTKGQGFVMCGWAPQVEVLKHKAIGAFLTHCGWNSILESIVHGVPMIAWPLFAEQRSNAALVTNGLKIAMRTKYNSKGIVVKEEVANIIKGIMEGLESGEIRRRMKELQKFANCAIMENGSSMKTFSLLALKWKSLSRPIED >RHN56611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32257473:32260029:1 gene:gene32041 transcript:rna32041 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMMMLLLLLLLLVDVASLCGQTGCGSDCGGGCDGSPPPPKCEDDKNLGLTTGHDSVLYLIEKIKYGFYVVFVIIIIKTIVGIIQTFYNVHRMYQFYFGEGREQRLRMKEKRDERKIRETIEKANEEAEKMMKVVDEEMMKKMEEGTATSDE >RHN47474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40032004:40035549:1 gene:gene42084 transcript:rna42084 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:aTUB MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGLMPSDTTPGVAHDAFNTFFSETGSGKHVPRALFVDLEPTVIDEVRTGSYRQLFHPEQLISGKEDAANNFARGHYTVGREIVELCLDRIRKLADNCTGLQGFFVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVVVLLDNEAIYDICRKSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISSAKAYHEQISVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVSNIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVMRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGEAGDDDDDVDDY >RHN81980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50316969:50317713:-1 gene:gene6091 transcript:rna6091 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGQESHAEDIATIGLHKWLVLKPCTQLQKMIWRQQFVSCFSN >RHN56956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35232753:35233474:1 gene:gene32428 transcript:rna32428 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLVSNMHTLLLFSSMPTTTILFVVLSVFSIFSIITFLCGSKNMKKLHTEAEEEATVSSTKETKLISKLNSKISTRAISMVRMLSWRKVQAEGGLEEGNQEEEVLWRKNILMGEKCRPMDDEN >RHN56573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31986403:31986660:1 gene:gene31998 transcript:rna31998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MPETQEHVIDLEADVSNNDDYDVENPVVSKTKGRPKGSRPKGGVEAAKKPRRCHVPDCGGTNHDSRNCPNKKRKMEVLPSQSPNK >RHN38950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3005688:3009649:-1 gene:gene44927 transcript:rna44927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative asparagine--tRNA ligase MAKENQTKTQTQEPGSVEPNSQHLSLLTPFKYSNRVQLKTLFLDRTDGGSEFIGQRVVVGGWVKSSKEVEKSSPPPPALTADDDITPAKDVSCVEILQSRIPLIRNIMEVLGGSSYVSRKKLRDSPIPRTLPPKSSTVYLLLTDGSSVATLQVVVDSSITTPSLLLPTGTCILVEGLLERPATEGKHAIQLKADKVLHIGTVDIGKYPLSKKRVPLDALRDFSHFRPRTTTVATVMRVRSALSFATHSFFKEHAFFDVQVPTITTTDCEGFGNMFQVTTTVNQKAVKEKLSTIYETEGVSLEIVKEAAKEKSKLVETLKRSESNREALAAAIQDLKKTNELASQLEVREKKKLGTSLKDEKLDTSKDFFSSQTYLTVSGRLHLESYACALGNVYSFGPRFHADKTDSAKHAAEMWMVEAEMAFAELKDSMNCANDLFKYLCERVLENCSEDMKFVAKRIDHTCIDRLRQIISGSPEMISYDEALDVFIKAEDEKSKTKFDSGLKLTSDHLSYLVDAIYKKPVIIHSYPKEAKPFYVRVNDDKTVAAFDFVVPKVGTIISGSQNEERLTVINSRITELGLPREKYEWYLDLCRNGTVKRSGFTLRFDLMVLFTTGLSNVRDVIPFPRSYDKANN >RHN53286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:676837:677823:1 gene:gene28177 transcript:rna28177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase, 2-hydroxyisoflavanone dehydratase MSSTPKKEIVSLVPDFIHVYNDGTIERLNDMPKVTPSPQDLETNVSSKDILFSNEPSLFARLYLPKLTDQNQKIPILVYFHGGAFCCESTFASHHHKYCNIIASQGNVLIFSIEYRKAPEHFLPTQYNDCWDGLNWVASHNTTIENVPENSDPWIINHGDFNKVFIGGDSSGANIVHNIAMRAGVTRIPNGVKIFGAYMNHTFFWGSKPLGFEKVEKFEKVNEFATLLWKFVYPRAPFGIDDPNVNPLGPMSPNLALLGCSKMLVTVAGKDRFRDRAVLYYEAVKRSHWNGEVEFFEEEDEDHCYYMVHPESDKGKKLIKVVADFLHQ >RHN53534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2347199:2347836:-1 gene:gene28450 transcript:rna28450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloride channel, voltage gated MRTTVSLCVIMLELTNNLLLLPLIMMVLVVSKSVANVFNANVYDLIMKAKGLPYLETNASPYTVVETMSLAKALILFREVGLRHLLVIPGRSPVVAILTRHDFTPEHILGMHPFPVKSRWKRLRFSQTFLEKILSGI >RHN65895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6288140:6291329:-1 gene:gene13788 transcript:rna13788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L27 MAVCMGFNIATAFKSLSLSSPSSSSSSSFLSGSTTLRMTPSVSLPRIHHRSALIIRNAHKKGAGSTKNGRDSAGKRLGVKVYGDQVAKPGSIIVRQRGTKFHAGKNVGIGKDHTIFSLIDGVVKFEKYGPDKKKISVYPQEERVPNPDSYRLRKIKYFKMRRERKKAAKERRAFQPQLMLASVDDTAIENPDC >RHN44346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3750588:3758350:-1 gene:gene38456 transcript:rna38456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ClpA/B family, P-loop containing nucleoside triphosphate hydrolase MASTTSFSLPYSTPISFNRRNSAIYFSHHHRVSLSFSAKRVSLKSSLPLNQRRFLANGICRTQTNSKRFSVRCEASSTGTGRITQQEFTEMAWQAIVSSPEVAKENKHQIVETEHLMKALLEQKNGLARRIFTKVGVDNTQLLEATDKFIQRQPKVIGESAGSMLGRDLEGLIQRARDFQKEYGDSFVSVEHLVLGFIQDQRFGKQLFKDFQISQQGLKSAIESIRGRQSVIDQDPEGKYEALEKYGKDLTAMAKAGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRRLISLDMGALIAGAKYRGEFEDRLKAVLKEVTESDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTISILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRSVLKLEMERLSLTNDTDKASKDRLSRLEAELSLLKHKQAELTEQWEHEKSVMTRLQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLQRQLESAEKELDEYMNSGKSMLREEVTGSDIAEIVSKWTGIPVSKLQQSEREKLLYLEEVLHKRVVGQDPAVKAVAEAIQRSRAGLSDPHRPIASFMFMGPTGVGKTELAKTLASYMFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTETVRRRPYAVILFDEIEKAHSDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILNTDDDTAPKELAYETIKQRVMDAARSIFRPEFMNRVDEYIVFQPLDRDQISSIVRLQLERVQKRITDRKMKIQVTDAAIQLLGSLGYDPNYGARPVKRVIQQNVENELAKGILRGEFKDEDTILVDTELTALANNQLPQQKLVFRKIEVDSRSTPQESLEHSSQAP >RHN49677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:236254:236733:1 gene:gene33881 transcript:rna33881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MSYVSISVHRLIDCASRMVSISKLVLPILLLLTILVAFQFKGGESGLFHDKVHMHIFNNLTNGVKLTVHCKDKDHDIGAQTLNFGESYNFVFRPGTIVESTLYFCGFRFNTEFYIFDVYDQKRDDFFVGKDCRWEVHESGPCRHNVVDKPNSIECFPWK >RHN56199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28655438:28657293:1 gene:gene31563 transcript:rna31563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MINMQNNSPFTLLSFNHIQAWLTLVLVITLIQISPPVIGQAIGPEDPTENNRSVATIMGIVVLMFLFSGFLSLYSAKCTDHQQGVIFDLTLPNGANGLRSQNNEPSNGLNQEVIDTFPTFRYSNVKGLKIGKSTLACAVCLNEFQDDETLRLIPKCNHVYHHGCIDIWLVSHDTCPVCRANLAPRPDIDTTEPTMISNQIPEGEDNRQELSIQISNDEEVEHIVEEEQKKANVEVENSPKVDVLRRSKTYSAPTRSRSTGFLSILLLSRSNSTGVLVQPGEDCERFTLRLPDKVRKQMMLNTTTLKRAKSCVSFTRMSSGTFGYRSRSFGCGSGIGQVQYERFGSEEEENYLGFLRNSWNNKSVRKSPMKCLGVDIDNDDDERSSDLLFPV >RHN42371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37835742:37837180:1 gene:gene48806 transcript:rna48806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H-quinone oxidoreductase subunit L MSCSLSLHVPKALPPLPYYSSRSLFIASKHKPFHNNTTSTLSNQSLHIGKCSSQKPNDPISLKKPNLALPIGALLLALADSPAALAVTGLNNNPQELWWILTQLGVVFFFYFLVGPPIILFWLWKRWYRRKLAEMYFQFMFVFIFFPALLVWVPFLNFRKFPRDPDMEYPWSIPDDPSKIRNAYYKYPFADPEDYD >RHN49673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:220859:221301:1 gene:gene33877 transcript:rna33877 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLVESEGDLLLVDVYECIRTGFPDHDPVRIHVFKLNEKKLTSLGDKVLFLNFICSFSTSASNLCVSK >RHN49178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53092364:53095454:-1 gene:gene43981 transcript:rna43981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein disulfide-isomerase MWISRMLGVGAMTFVFLISTALANDVVVLTKDTFEEEVGKDRGALVEFYAPWCGHCKKLAPEYEKLATSFRKTNTILIGKVDCDEHKSVCTKYGVSGYPTIKWFPKGSLNPKKFEGARTAEALAAFLNIEGGTNVKIPSLPPSVVILSPDNFDKVVLDETKDVLVEFYAPWCGHCKALAYVAAAFRLEEDVVIANLDADEYKDLAEKYDVHSYPTFKFFPKNNKTGEDYVGGRDMDDFVFFINARCGTNRDEQGQLTSKAGIVPSLDGLVKEFVSANDDEKKIVFSRLVEKVNTLKGSTARYGNLYLKLAKSSMVKGADYAKNEIQRLEGMLRKSVSAAKADEFTLKKNILSIFV >RHN42993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42436277:42439908:-1 gene:gene49509 transcript:rna49509 gene_biotype:protein_coding transcript_biotype:protein_coding MLITTMSYSSSLPKPYYNFYHNHNFHYHHHHHQTCFNINLPKFTTRASSSAAPGVDLNTLDSAIAKKDSNAVKEALDQLSEIGWAKKWSSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGTTGFLGILAGQLPGDWGFFVPYLIGSISLVVLGIGSTSPGLLQAAISSFSVVFPDYQERIARHEAAHFLIAYLLGLPILGYSLDIGKEHVNLIDDRLEKLLYSGQLDAKEIDRLAVVSMAGLAAEGLTYDKVVGQSADLFTLQRFINRTKPQLSKDQQQNLTRWAVLFSASLLKNNKSIHEALMASMAKKASVLECIQTIESAS >RHN66983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22415032:22421346:-1 gene:gene15110 transcript:rna15110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Transposase-associated domain-containing protein MDKSWISNKSITKEEYIRGVLSFMDFAFANSSENGKIFCPCKKCVNCYKRTRMDVYEHVINHGFLKGYIHWIFHGEKENPSNPLCASEVEHGFDHDMDALIHDAFPMHTNSDNDDDAYTNIEDRGSEAFTNNHNAQQSEEDDNSKKFFKLLKEAEQNLYPGCKFSKLSFIVHLYHLKCMNGWTDKSFSMLLELLSDAFPEENTLPKSFYETKKIISGLGLSYEKIHVCPNECILYWRDLAHLNVCSKCGLSRWKVNSDDVEGRKKIPLKVLRWFPLKARLQRLFMSSKTASFMTWHKDNRSKDGLMRHPADSFAWKDFDRRYSDFSRDARNVRLGLASDGFNPFKTMTISHSTWPVVLIPYNLPPWMCMKQPNFILSLLIPGPKGPGNNIDVYMQPLIEELKELWEIGVRTFDACKRESFQMRAAIMWTINDFPAYANLSGWSTKGRYACPCCGFDTTSKWLRYSRKFCYMCNRRWLEPGHKWRYNKGHFDGNQEFRAPPELPNGTIALKQMEEHGIGTPSPWKKKCILFTLPYWEYNVLRHNLDVMHIEKNVCDNIIGTLLHLERKSKDNDKARYDLIDMNIRSQLHPRIHQCNGKKYLPRACYQMTSKEKESFLEVLKNLKAPDEYLSSIPRCVQVKQRKISGLKSYDNHLLMQEFLPIAMKGCLPDKVTKVISELCNFFKELCGKVLNEHNLEDLEHRVAKTLCQLEKIFPPSFFTVMVHLVIHLAYEAKVAGPVHYRWMYPVERFLFTLKSFVRNRAHPEGSIAESYIAYEGLIFCSRYLPGVETRFNRPSRNDDSFFVENSSLFNPRGRPLGRKSHIGFKVKKRRRVSRVSLDKKTLIQAHRYVLFNNNNVDPFQREHIDLIKRQNRNRRLSPYELDRIHCQTFSDWFRERVARLEEQGSVIVTDEIKWLARGPLEIVRNYSGYIVNGVRFHTKKRERCLKTQNSGICVTVKTRSYASSRDKHPKEGEINYYGALTDIVQLDYAGKYKVVLFKCDWVDINRGCSIDNLGLPLVNFNYLQHTGNDICDDPFIFASQAKKVFYVENKRQKDWFVVVHAKVRDVYDLGDLQSNAIDNGNEQVLEEINDNDLIRPEADDSDDIIEVQINMEDIPRSDIPQNDEDCDTGDDTSTMGRRRKLKVVQTDGPSLEKEQSVDSSNEVNKEGSHSETHCEKKTRGYTHMLDVWDMPDGEFILIEVDSLGNPMGWEGKTLLNAIGSLVRRHQCAPINHISWKDMLETDITNMFDLIKVFVEFLKFYFHLFIVIFYYFTKCLTIWLFNK >RHN59567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12062492:12066693:-1 gene:gene21613 transcript:rna21613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, phosphoribosyltransferase MNRLVVEVHDASNLMPKDGKGSANPYVQINFDEQQVKTQTKYQDQNPYFNEKFMFNINTSRDLAHKTVEVGVYNHNDKKPNSKKNFLGKVRISGDSIPISESESSIKRYPLEHSKGDIALKMFAFHDPFANTPPTPNSHPPPQHSQTKTSFESFEPDPDEEIPLQEINTNINMEDEENMFSDSEKKKKNKKKKEKEVRTFHSIGTEKEKPSHSHGHGHGHAPAPAPAPASAFPSVNHGANFASFATPRVETQTRVDYAKSGPPNVMLMQIPKQNPEYALVETAPPLAARLRYKGGNKVSTTYDLVEQMHFLYVNVVKAKELPVMDITGSLDPYVEVKLGNYKGVTKHLDKNQHPVWKQIFAFSKERLQSNLLEVTVKDKDLISKDDFVGRIMFDLTEVPVRVPPDSPLAPQWYRLEDKKGMKINHGEIMLAVWMGTQADESFPEAWHSDAHNVSHSNLSNTRSKVYFTPKLYYLRVEVIEAQDLVPHDKGRVPQASVRVQLGSQMRFTRVSQMRGVNPIWNEELMFVAAEPFEDIIIVTVEDKFGPNNVEILGREIMSVRNVPQRLETGKLPDSRWFNLHRPSAVGEEETEKKKEKFSSKIHLRICLEAGYHVLDESTHFSSDLQPSSKHLRRKNIGYLELGILSARNLLPMKGKDGRTTDAYCVAKYGNKWVRTRTLLDTLSPRWNEQYTWEVHDPCTVITVSVFDNHHLNGSSDHKDQRIGKVRIRLSTLETDRVYTHYYPLLVLQPNGLKKNGELHLAVRFTCTAWVNMVAQYGRPLLPKMHYVQPIPVRHIDWLRYQAMQIVAARLARAEPPLRRESVEYMLDVDYHMWSLRRSKANFHRIMSLLSGFTAVCKWLNDICTWRNPITTCLVHVLFLILVCYPELILPTIFLYLFVIGIWNYRFRPRNPPHMDARLSQAEACHPDELDEEFDTFPTTRPADIVRMRYDRLRSVGGRVQTVVGDLATQGERAQALLSWRDSRATAIFIIFSLIWAVFIYITPFQVIAIIVGLFMLRHPRFRSKMPSVPVNFFKRLPSKSDTMI >RHN65587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3439240:3440793:1 gene:gene13441 transcript:rna13441 gene_biotype:protein_coding transcript_biotype:protein_coding MPITETVEQIPCCIKFLQELLKTNANLSEEEFISLSSEFHHTYEVPAVVRFDGEGCFTLPVRIRGKYIEDGLFDSGSNANLTSVTKANELGN >RHN66874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20615395:20615790:1 gene:gene14986 transcript:rna14986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribose-phosphate diphosphokinase MHTSLCLFSMHVSASKHNLSFLSLPQKVLAANGAGKVSAYVTHGVFPIQSWLQFTHKDDASKNAFAYFWITDSCPVTVKALANQAPFEVLSLARSIADALQI >RHN40601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18044698:18045018:1 gene:gene46767 transcript:rna46767 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALLFLANYYYKSMRRFEEASAYCTGLLDYTGPERETAKSLLRGMRSSQSNFPPSDVDHFPP >RHN76542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51107530:51114210:-1 gene:gene12885 transcript:rna12885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-formamidopyrimidine glycosylase, DNA-(apurinic or apyrimidinic site) lyase MPELPEVEAARRAVEENCIGKKITKCIVADDNKVIDGVSREEFEASVVGKKIVAARRKGKNMWLQLDSPPFPSFQFGMAGAVYIKGVAVTKYKRSAVNDEDEWPSKYSKFFIQLDDGLELSFTDKRRFARVRLLKDPTSVPPISELGPDALFDFMTLDEFTERLHKKKTEIKALLLDQSYISGIGNWVADEVLYQARIHPRQIASSLSGESCSTLYKCIKEVVEKAVEVGADSSQYPTNWIFHSREKKPGKAFVDGKTIEFITAGGRTTAYVPELQKLSGSQVLKETGKLRGKASKKSSVDDDNNDGADENLESLKSKKGTKAGAKAKKPSKRKKTEESDDDNDGDAGTDNYDDSDQVEKKKPGSGTNRKQAKGEKQSKKSVQGNQSSRSTRSKAK >RHN68163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33285468:33286847:1 gene:gene16475 transcript:rna16475 gene_biotype:protein_coding transcript_biotype:protein_coding MVLINFEYDSGRYLVIYCFWISKLLYLDFQILLSTEVVIRLSHDQIALFKTFRDTVQNCARKTINHAASRIKQVQLQLCG >RHN68368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34893716:34894084:1 gene:gene16710 transcript:rna16710 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVPDFRVCSMGLVFGGGSSSSVSVSSSSSSSTELFLGLVFGGGVVFGASSSDDSSSSSPPSDEEPASDEVSSSFSSCCSILSSCGGGSSLTAAVAEFFFAIERIGIRFWVEESGERKCE >RHN74522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34303813:34304118:1 gene:gene10609 transcript:rna10609 gene_biotype:protein_coding transcript_biotype:protein_coding MPELGWWCRSECLAPETVRKTLGWKSGCCFFMSELGLRRRSTPCRCRKCAGVVVGRRWPVAGGDVCETEAKEKKREMTCMCMLLNCPSFFVVGQNCPQYNE >RHN45054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11225251:11226447:1 gene:gene39251 transcript:rna39251 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQNKVKIILKGLIDFLNLLFEIQKPTKYCALVHIVLIITKGLAQVSVNT >RHN53273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:540630:544416:1 gene:gene28164 transcript:rna28164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & lipid binding HD-SAD family MYQNRSTRTREEANQMNMEAPSSDDQESNQRRRKRTYRRHTQQQIDEMDTFFKQCPNPNDAQRRELSLRTGLDPTQIKFWFQNRRTSLKVQTDRDENELLKIENEKLRDELDRYKGAISTTCKVCGSSSNAGEMSHEEQQLRLENALLRKEIKGLNEKQKIVELAVVGMDELTKLARTYGPPLWIPTNYVTEILNGEEYMKYFPRGNGPNTCGLRLEGSKESVVVMINAPDLVDILMDVNQWSNMFCGIVSRAATLEVLSTGVGGNYDGALQVMTAEFQVPSPHVPTRQNHFVRYCKLHPDGIWVVADVSLHLLNAASASSSSSSTASRTNRRPSGCLIETLPNGLTKVTWIENVEVDDQVVQNIYKPLVNSGLAFGAKRWVATLHRQSDRLFFRTATNVPREHHVRLTPEGKKSILNLAERLVASFSTSIGSSTTHAWTKVPGNGPEVVMVMTKRYIDESSIDKPVSVVLSAATSFWLPVPPRRVFDFLRDQNTRKHWDILSAGGIVHELAHISNGRDSGNYVSLFRITSENSEQSDVVVLQENCTDVTGSYVVYAPVQIPTMHEILNGGDSSRLTLLPSGFAIFPDGCITNGGPIMNVGSGGSLVTVAFQIIVDSIPHARLALGSITTVNTLIKNTVERIRTAVMPNGI >RHN58453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1854061:1854691:-1 gene:gene20370 transcript:rna20370 gene_biotype:protein_coding transcript_biotype:protein_coding MYCILFCLHSHSLSFSEETEFKYRLIKFYLHSDQTLNYSLILFIREV >RHN64237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57504836:57511519:-1 gene:gene27029 transcript:rna27029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MYSDGEAHDAPQTQRKIPPASSMLWVRNLRRFIGSGAGLGSEALMELETKRILLDIFKDKQKKSAEAGTIPSFYKKKPEEGSISQRVQRLAKYRFLKKQSDLLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDEQGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRTMDAGFVPMYCRIAAHKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSQTSARRVCDMFIALDKDSSGSLSKHELREYADGTLTEIFIERVFDEHVRRGKSCGGKTREMDFESFLDFNLALENKDTPEGLTYLFRCLDLQGRGYLTTADIHSLFRDVHHKWIDGGNYELCIEDVRDEIWDMVKPVDDLKITLVDLLACKQGGTVASMLIDVRGFWAHDNRENLLQEEEEPEEE >RHN71771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2538867:2542240:1 gene:gene7406 transcript:rna7406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GeBP family MAPKPKKRLALVDDPPTASSSDEEQPPSTQKPADKVVNEQDEDSSSEEEEGDEEDGSSSEDEEEEDNQTQQTPSKDSKPASKNPPPSTPISNPKPAESESGSESGSESGTESDSEPEHKSEPTPPPNPKVKPLASKPMKTQTQAQAQSTPVPIKSGTKRVAESSTGNDSKRSKKKTTTAGGGSDDENEVEEDAKLTGEDSKKNFQRVFSEEDELVILKGLGDFVAKTGKDPMKETAAFHSFVKKLLKADANAEQLKRKVRGLKKKFESSDNFTKPHDKRAFDLFKVWSKNASKEAEENGKSNEKIAKSAKKEAPVKNGGSAKKELAIVTKNVSGKVESGVGKSFILSELVKFDEAAGIAVLDKDAAESGLELMRESDRVELEERWKKLQAAEMDVFLNRAEVVREQTRLAMQELKKKSST >RHN82252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52336495:52340397:-1 gene:gene6398 transcript:rna6398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gallate 1-beta-glucosyltransferase MSTSESIDSVRCDVGLFVTLARRWFVRRLCTTLFVFIVSFMYLFSSDCHISFDSVQIQSMTLASFMLQIRKHEYFKKLNIIICKMRSEAPIHVLLISYPAQGHINPLLSLAKCVAAKGASVIFITTERAGKDIRTVNNIIEKSFTPIGDGSLTFEFFDDCLEDDDPIRGDITGYIAQLKLVGKPFVSQMIKNHAESNKPISCLINNPFLPWVCDVADEHGIPSVLLWVQSTAVLTAYYNYFHKLVLETYEELEHDFIDYISNKSILIRPIGPLFNNPNIKGANNIRGDFVKSDDCNIIEWLNSKTKGSVVYISFGTVVYLPQEQVNEIAYGLLDSQVSFLWVLKPPVKEAGLEPHSLPDGFLEETSERGKVVKWSPQEQVLAHPSVACFITHCGWNSSMEALSLGVPMLTFPAWGDQVTNAKFLVDVFGVGIRLGYSHAENKLVTRDEVKKCLLEAMAGEKAEELKKNAIKWKKAAEDAVAIGGSSDLHLDAFMQDIKKCGTVNIQKT >RHN77217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5142867:5150840:1 gene:gene646 transcript:rna646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSSKMDKIKVKYQLKNEDWKPSPVTALATSIDGTRVAASREDGSLELWLVSANTIGWHCQLTIHGDPNRIVSSLIWSPGGEFPHGRLFSSNIDGSVSFWDLQQLKQTMVLESTGVSIWKMAVTLAKSDDVETNGHHIGNGYLKKFHGSDENENSESDEDSDSPDVLKQSSSVKPRVAVAFDDGCVKIYTISDANEFIYFKSLNRVKGRVLSVTWSTDAKFICSGSSDGIIRIWDAKSGIEAHNMSAGLGRDSGHELCIWSLLYLRSGILVSGDSSGSVQFWDCREGAPSQQPITKHKGDVHALAVAPDHNMLFSAGADGQVILYKLSESTIEKEKWIYVDYEVANKLDARALTVAVPISQEDALPGERIKRARREEKPDDSSYHKWAHSGHPMLISAGDDTKLYAYPVKEFTNFKAHCICPVPQRTPIQVALNTSFNQSPMLLLQSSHWIEVRLLHLRNVRRTGDYAKSETVGRFKIKASRRIICSTLANSGEFFAFSDNEKPTLYKVERSEAGKITWRFSKRKLPERLPFAHSMIFSHDSSLLIVAGYDRRIYVVDVNSSELVHTFTPFRELQDDGLSPAEPPITKLFTSSDKQWLAAVNCFGDIYVFNMDLLRQHWFISRLDGASVTACGFSPQINNVLIVTTSSNRVYEFDVDAKKWGDWSVRNTFVLPRRFHEFPGEVIGLSFPPSSTSSSVMVYSSRAMCLIDFRLPVKPDEGEMFHTRESDESEMFLTRESVVKNSPNFNVKKRTKFRKNIEVLPKSFENFEVLPFEKDHVLYLSHISNNHFFMIEKPWTDVVSSLDVQPVHRHIYGA >RHN72136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5235726:5236357:1 gene:gene7813 transcript:rna7813 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKMALDMVLVSCNINLVGWHFGETKNASKMENASTHKNYTNSILLLFMKIHSWVFLEDNHRLIPLAL >RHN52277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33371650:33372810:-1 gene:gene36932 transcript:rna36932 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTSFFHLAHCLIFQSHPSSSSSPFSETPTSTNLCFFRGGT >RHN50721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9772242:9773306:-1 gene:gene35044 transcript:rna35044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MVYDPADIEYDSSRIWVIYKPNIPKTPQGFKRIMVLRKDYSKLDSNYITPTGKNLRTRNEIATYLKDHPQPSGVSASEFNFSSPKVMQDTIPEFIVKLKDSAEKKS >RHN72751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10444011:10444982:1 gene:gene8504 transcript:rna8504 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSASPTNKNASKHIDRGRQMERQPRRKTQRSRPKTAAQPHQKHRLKQRRKCDRSNMDEERTIGDARQKGQRRQRRR >RHN45804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25782412:25783560:-1 gene:gene40212 transcript:rna40212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSQVVFLPDDLIVEILSYLPVKPLVRSKSVCKSWNSLISDPKFGKLRLQRSKRNSHIAVIKYGSGYPNSFVSFPLNHLFENPSITVTSNSYHQLKFNQPSPIIGSCNGLLCLLDHTTMSSVPKSWFRIWNPTTRIISVKFGSFNRPLNCSHNCTFGYDKSTRTYKVVVLFRKEVQIFSLGDNRRKSLSFPSSDPFFTFGSSHVNKGVYLSGTVNWYAIQSKFSQYYCQKDITVGKFVIISLDLGTETYKQFQTRSGVDEVPDVELTIAVLMDCLCFSHNLKNTHFVIWQMMEFGVEQSWTQFLKISFQNLLGDDRFSDRIYCPYFMFPLWLSKNGDTLLLANTIKDQAILYNLRDNRAERTSVDGTAWKKAICYVQSKASIC >RHN39857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11107170:11112937:1 gene:gene45935 transcript:rna45935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase MMK2 CMGC-MAPK family MSVESAENNIRGIPTHGGRYLQYNIYGNLFEVSRKYVPPIRPVGRGAYGIVCAAVNAETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVMSIKDIIRPPQKENFNDVYIVSELMDTDLHQIIRSNQPMTDDHCRYFVYQLLRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIVTRQPLFPGRDYVHQLRLVTELIGSPDDASLGFLRSENARRYVRQLPQYPQQNFSTRFPSMSPGAVDLLEKMLIFDPSKRIRVDEALCHPYMAPLHDINEEPICARPFSFDFEEPMFTEEDIKELIWKESVRFNPDPPIN >RHN38529.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:838108:840712:-1 gene:gene50648 transcript:rna50648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-binding, CRM domain-containing protein MQKHLFSFPTRRFLSKSIPHDLYDPPFSHSPKLQKTQNKFKQDPPNLPLKSDLPFNFMYSYSETHPSIKPISFRESPKFSPFGPGRLDRKWTGTSAPVQHQVDRNRFEEDRNRVLGAPLEDQEVAELVERYRHSDCSRQINLGKGGVTHNTLDDIHNHWKKAEAVRIKCLGVPTLDMDNICFHLEDKSGGKIIYRNMNILILYRGRHYDPNNRPVIPLMLWKPYAPIYPKLVKNVIEGLTHEKTKELRNMGLNSHPLMKLTRNGVYVNVVERVREALKTEEVVRLDCTHVGTSDCKKIGVKLRDLVPCVPILFKDEQIVLWRGILNQEQPSDL >RHN54043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6449734:6452885:-1 gene:gene29022 transcript:rna29022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin-dependent kinase inhibitor MEISSQVIGVRTRAQAALAMEEATTSSPKTSKRRKINNRKFSTPTILPQPEKEEKSSGDISEEEFPASCCSSNGSVQLDEERIKSLDLEVESAQVETSTCNYSEEEFQRREMSRSRSNEFESMETNSNRPISSPKNMPTGFELEEFFSAAEKNIQKKFQEKYNYDIVKDVPLEGRYEWVQLKP >RHN53348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1115223:1121475:1 gene:gene28248 transcript:rna28248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MECVFGLVGNGFAIVVADTSAVHSILVHKSNEDKIMFLDSHKLIAASGEPGDRVQFTEYIQKNVALYQFRNGIPLTTAAAANFTRGELATALRKNPYSVNILLAGYDKETGPSLYYIDYIATLHKLEKGAFGYGSYFSLSMMDRHFHSGMNVEEAIDLVDKCILEIRSRLVVAPPNFVIKIVDKDGAREYAWRESVKDTPASA >RHN63214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49429079:49429812:1 gene:gene25890 transcript:rna25890 gene_biotype:protein_coding transcript_biotype:protein_coding MREKVVAKVITKWMFKYHFSVIYVNYADMNERLLVSLVSCHQNPSFFSFNDKEKV >RHN54816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12599252:12601430:1 gene:gene29904 transcript:rna29904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRSPCCDEIGVKKGPWTQEEDEKLIDYINKHGHGNWGTLSKRAGLNRCGKSCRLRWTNYLRPDIKRGKFTDEEERVIINLHSVLGNKWSKIAAHLPGRTDNEIKNYWNTNIRKKLLKMGIDPETHKPRTDYNHLMSLSNLLGMSNIGNTFSNNPLGLQPDITHLAKMQLLQNMLQIMNTNNSFGNMGNYPYNPLGNINPSFNPFNLFLNGTNTIQTNEPLGLSGGEEYAMNPSLYSYGQSESSKQDISKGESSLQDLDYSKMRSISCVNQEENLLPALVASSPRIGIFNQIENDRNKAQMSIDHSPSNAIFDDWEKFLDDESSGGSYWKELLDLTSTSASQISW >RHN47324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38873408:38879682:-1 gene:gene41911 transcript:rna41911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MYVVPPPQRSDPGSGSDGLRVYQAWKGSNKFFLQGRFIFGPDVRSLGLTILLIVAPVAVFCVFVARKLLNDFSDHWGIPIMAVAVIFTIYDLVLLLLTSGRDPGIIPRNAHPPEPEGFDNNADVGAGQTPQLRLPRIKEVEVNGVVVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFTTTLLCVYVFAFCWIYIRKIMDAEETNIWKAMIKTPASIVLIIYTFISMWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPYNKGVLNNFKEIFCISIAPSKNKFRAMVPNEPALPARSVSGGYMNQSLGKSGDDIEMGRKAVWEMNAGMDESDSQLNNDRATIKDGELSSEIRTTVDEAVDRVGVHPRRSSWGRKSGSWEMSPEVLALAARVGEQNRAGEGNSSSTRDQS >RHN77507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7305862:7306948:1 gene:gene971 transcript:rna971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MATSSGNSSVSTKSQSYGSEEDLQLLMDQRKRKRKQSNRESARRSRMRKQKHMDDLIAEVERLRNENSEILTRMNMTTQHYLKIEAENCVLRAQMCELNQRLQSLNDIINLINITTTTNGVNYQNNDCFLTISDNCFMNQMNMSYLNQQPIMASADMFMW >RHN55508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19104759:19105259:1 gene:gene30699 transcript:rna30699 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCPFIEEKDVCLLKAIKVAIARNMQIDVFKLDCKPVVDVISLSSIPYNEFGDIIFPS >RHN70364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50900233:50904713:1 gene:gene18934 transcript:rna18934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenine phosphoribosyltransferase MQTSRLLLCSSSASSSNQLLLFGSAVTLTLPSCLRFPSLSLTITNSTSSSIRFNSIRSTSSQMASKDSQDPRIARISSSIRIIPDFPKPGIMFQDITTLLLDTKAFKDTIDLFVERYRDQNISVVAGVEARGFIFGPPIALAIGAKFVPMRKPKKLPGEVISEEYSLEYGTDKIEMHVGAVQTGERALVIDDLIATGGTLCAAIKLLERVGVTVVECACVIELPELKGRAKLGGKPLFVLVEGEGA >RHN65207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:232496:233180:-1 gene:gene13025 transcript:rna13025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MELKKCGNDVYFCNKWQQFAEYYSIGYGSFLRFKYEGDSKFNVVIFDVTSVEICYPFKTQSINGETNTKCHSPRKRSKVETSDNHASKRSEEAAKEFNPKNPYFCSKILKRKYVYVNADFASKYLKPNVPIKIQTSHGEQWEVFGILHDANSSSAMKITRGFSIFQRDNNLSHGDYCVFELIKNNPLVLKVTMFRAADYGD >RHN77800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9505667:9509412:-1 gene:gene1293 transcript:rna1293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MSLPKPYVTLLLSITTKMVTFTNILVVMILILLCNCNLGMCQVNGCSRKDFRCGRHGPPIRFPFRLNDRETEYGCSYTGFNLSCSDTQKTLLELHPHSGPIQLEVTAIDYQLQQLQVSDPENCLPRQFLKLLHSQISPFQLFSRSDYNYSYTFMDCSSLSCPVYVADSSNTLLALGLDPILCTKTLDILSSSLSLRYDNILVMTWSKPNCSKCEIEGKMCKLKNNGTEDEIECFDRHHKPTKKILLYATVVFVGSVMVTLIIAACLHLYSYFKLKGEDETRIEKFLEDYRALNPARFSYADIKRITNNFREELGEGAHGAVFKGKLSNEILVAVKMLKNTEGDGKEFINEVKAMGKIHHINVVRLVGFCADGSYRALVYNFFPNGSLQNFITRPDDMDHFLGWEKLQQIALGIAKGIEYLHMSCDQQILHFDINPHNVLLDDKFVPKITDFGLAKLCSKNQSKVSVTAARGTLGYIAPEVFSRNFGNVSYKADIYSYGMLLLEMVGGRKNIGQLSEENIQVLYPEWIHNLLEGRDIHVNIEGAEDDRILKKLAIVGLWCIQWNPINRPSITVVLKMLEALEEENLIVPPNPFNSTTSRVVETKTKFSTLELEAIHE >RHN69776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46185595:46195459:-1 gene:gene18290 transcript:rna18290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEGTGRRITVSPRPCCGRRVRVDAKKTGRGGRTVDGFVNSVKKLQRREISSKRDRAFSMTDAQERFRNIRLQEEYDTHDPKGPSSVVLPFLRKRSKIIEIVAAQDIVFALAQSGVCAAFSRETNQRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDSYSSLKCRSTRIEYIRRGKPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKNVQEIKISPGIMLLIFAKASSHVPLKILSIEDGTVLKSFNHLLYRNKKVDFIEQFNEKLLVKQENENLQILDVRTFELTEVSRSEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDSLTEGNAGSINVSNILSGKCLAKIRASNSSPMDDKCSCCDSSSGGSCNSRKRKHVSKMRSTVAEALEDITALFYDEDRNEIYTGNRHGLVHVWSN >RHN62019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40122041:40130008:-1 gene:gene24544 transcript:rna24544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, Macro domain-containing protein MHRPVAVSARGGLPTDDTGDSVVTLDQVPRWNDTDQSLGYEIGDSLLSGSYFPDPLASASGADGSTSVSRFPVDHEINSRIYLWRGNPWNLEVDAVVNSTNENMDEAHSSPGLHDAAGPGLAEECATLGGCRTGMAKVTNAYDLPARRIIHTVGPKYAVKYHTAAENALSHCYRSCLELLVDSGLRSIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKNNVTAVVFCTTSTTDTEIYKRLLPLYFPRDKHEEQVALSKLPADVGDENGETIIDERKIRIKPLPKKIVSRSPDAAVDIPVSDIGLVRKNSSNLDSFLDPAFMSLIKDPDQRRLEQWEKTAEAQRGFNCGKLLGYGDIEGPPLSAAEEYSLHSRYLSKANSLNLSEIAEMKIVYRGGVDSDGHPVMVVVGAHFLLRCLDLERFVLYVVKEFEALIQKPYTIVYFHSAASLQMQPDLGWMKRLQQILGRKHQRNLHAIYVLHPTFGLKAAVLGLQMFVDNVVWKKVVYVDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGVIADPRTKFVYDRP >RHN60600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28672848:28673960:1 gene:gene22936 transcript:rna22936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain-containing protein MAFSSSYLPTQTLFSVLFLVFTMFFLLQATEGNSQLLDTNYYSFDVKNFSQATDNFTLYGSAQILPDGLLSLTNSANPNTDVGWVLYSTPIPIWNKNTGNIANFNTTFSFVVMDKEKYIDRPGKLVFFLVGENFQQEDPTYSHTGIDVNSRNWLKIVPLTRNSGSLISVGIRYESSTKTLTVLEDEFLSFRKFSGVVNLKHVLPNTVKVGIATAKHEIYIDSWSFHSHFTTSTTSMARCINIDIASYALLFFIYIMHEWLL >RHN70144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49091520:49093811:-1 gene:gene18698 transcript:rna18698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MSLQERERSVMFVKLLVPSLTALKVLDYSSRLVLELTQHLGEGVVRTIAMDATEVGNCNLYWRGGTVPILLTLDVFVLFWSRLLQFVLVFLLSMFVVICKEYHASG >RHN79149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24522206:24526284:-1 gene:gene2875 transcript:rna2875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MGKKSKKKRSRVSVSDNEDEEMKSDNNDGSSSDQNPNSLYQVLGVEKTATKQEIKKAYHKLALRLHPDKNPGDEEAKAKFQQLQNVISILGDEEKRALYDETGCVDHADLAGDVVQDLQEYFRTLYKKVTEADIEEFEANYRGSDSEKNDLIRLYKECKGNMNRLFCSMLCSDPKLDSHRFKDIIDEAIASGELKEKKAYKKWAKKISETKPPTSPLRRKQKSSKEPKTDLYAIISKRRDERKDRFDSMFSSLISKYGGSHVPEPSEEEFEATQKKMESRRSAKKPRRK >RHN53808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4311012:4313589:1 gene:gene28756 transcript:rna28756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TIFY family MNHHNMTPMNFQQLPHLFLQEIPILGNSSVMKANIKKEEPKCAQMTILYDGKVIVFDDVPADKAKDIMDFSTKGITSTSQHHNNNYAYSSFLARNSLQDCYQVPSIPAIYDLPMTRKASLHRFLEKRKDRIAAKAPYQTSNPTTINKPIDESMTWLSLAPQSPQHKSECSSSSTH >RHN74493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33953775:33955874:-1 gene:gene10578 transcript:rna10578 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MTELETTLPSLRFTGKSLYPPVGKSVVVVESLDKARTIRSYLGGMYEVLSCNGLVMDLDPGQNSASLDNDFCLFWEISNSSQTRVKRISAALKGVNNLIFAFDPSPEGETIAWQIIHILRKKHRSLQEDILLARVVFNEITEQSIKAALQEPREIDMNLVNSYLAKRVIDFLFGFNISPLVLRKLPSCKSPRRFEFPALSLLCDRESEINSFRSREYWTLYPQLQRTNRDLPFRTLLTHIDSRELNKFSVASVEEANEIQSRIYSAQFQVIGITRSKISKMSPTPYSTSTLQQDAARILNFSSSITMKIARKLYEGVKFHKNIRAGLITCFITDGLHVGSHTSLRKLFRIFDPLSFKGDVCFFILLFNLLMFATGTSILLNIQSIHSYGQNFVAQSPPENVIKVKNALESHEAIRPTDIRKLPSMLAGVLDKESLKLYTLIWFRTISCQMEPAILEKVRIQNIHQEKV >RHN52254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33106042:33108940:-1 gene:gene36907 transcript:rna36907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldose 1-epimerase MADQNKKPEIFELNNGTMQLLVTNLGCTITSFSVPAKDGVLSDVVLGLDSVESYQKGLAPYFGCIVGRVANRIKNGKFTLDGVEYSLPLNKAPNTLHGGNVGFDKKVWDVLEYKKGETPSITFKYDSHDGEEGYPGDITVTATYTLTSSTTMRLDMEGVAKNKPTIINLAQHTYWNLAGHSSGNILDHSIKISANHVTPVDQNTVPTGEIVPVKGTPFDFTSEKRIGDTINQVGLGYDHNYVLDCGEEKAGLRHAAKVRDPSSSRVLNLWTNAPGVQFYTGNYVDNVTGKGGAVYGKHAGLCLETQGFPDAVNKPNFPSVVVKPGEKYQHSMLFEFSIE >RHN78453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15274990:15275394:-1 gene:gene2020 transcript:rna2020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MASSMLTKVTCLAMLCLVLGIPIANSAPSCPQVQQTLAPCIPYLTNPGPPPPPDPCCNGYKTLDGQIKTPEDIQDVCYCLKPIVENPKLNISALASLPKDCGMDMGYVISPDMDCSKYISHHQPSFSISYILLY >RHN41368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29539710:29546756:-1 gene:gene47684 transcript:rna47684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 23S rRNA (adenine(2503)-C(2))-methyltransferase MMNWMSMATSALANSSSTTFLLHKTIIPFSLTKTSPFLISFTTPSRRFLSASSLPSLSPDIDFNFSHYGDGNSVAVSRRGSKVLLKGMTYSELEKWVQSHGYRPGQAMMLWKRMYGNNIWAHHTDELEGLKKDFKQMLSEHADFKALAVKEIHAASDGTRKILFTLDDGLVIETVVIPCDTGRTTVCVSSQVGCAMNCQFCYTGRQAFFLSIMIDSMATPMGLKRHLTAAEIVEQAVFARRLFTSEIGSITNVVFMGMGEPLHNIDNVIKAADIMVHDQGLHFSPRKVTVSTSGLVPQLRRFLHESNCTLAVSLNATTDEVRNWIMPINRKYKLDLLLETLREELSFKHKYKVLFEYVMLEGINDSNEDAKRLIELVKGIPCKINLISFNPHSGSFFRPTKEERMIEFRNMLAEAGCVVLLRPSRGDDQMAACGQLGKPGTLQAPMLRVPQQFQTAVGSSTHSL >RHN50217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5256638:5257640:1 gene:gene34484 transcript:rna34484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative salicylate carboxymethyltransferase MLILFGNLTNYGRLFPDNSIHFFHSSYSLHWLSKTPDALQDAAIEPLNKGNIYLTRASPPAVQKTYFEQFQQDFSLFLRSRSSELLPGGAMVLTLIGRDEQNELMNAWVVIGMALNDMAAHSTLESSLD >RHN69661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45318909:45323942:-1 gene:gene18159 transcript:rna18159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MGSFSGEEDCRFFDAEEDVLSIRDVNSDDDDKGVSVSVDFDYEVWIRSPKSVRERRRRFMKSMGMSVDEIVVENSLDFEKEDIMEIGKDSNGSVEEFSSCRLSLSCCSSVSSSEEFGLVDINNLPFQDGNLEKRMRANEKMSDCSDQLVVAKESEDFVNVSGVSHFQGLVDREFEDTNGVVVTRTINRARRGWLRRLRSMTCVVDNDRKEGSYAVSGCRLQKVKVRQCRKKMKELSSLYLRQDIQAHEGAILTMKFSPDGQYLATGGEDGVVRVWQVVEEDRCNEIHIPEIDPSSIYFTVNNLSQLTPLFMDKEKLGQLKSMRKTADSACVVFPPKIFRLLEKPLHEFRGHRSEVLDLSWSKKNYLLSSSTDKTVRLWQVNRDHCLEVFSHSNYVTCIQFNPVDEDYFISGSIDGKVRIWTIPDSQVVDWTDVRDIVTAVCYRPDGQGGIVGSLEGNCWFYKISDNQLQLDSQLCLIGKKKLPGRGITGFQFLPQDSNKVMVTCADSQVRILDGLNVICKYKSLNTGSPMCASFTSDGKHILSACEDSNVYLWNVNQEESNNPMKSKKVRSCERFSSNASVAVPWHGLKPQVTENEQLNVLDKKSPQVVMQLHPNPPASFSLSQDFFLESFPKGSATWPEEKLPVSGSKTKKTSLLRKSEYKFLKSSCKSTNSAHAWGMVIVTAGWDGKIKTFQNYGLPVPV >RHN79055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21995529:21996696:-1 gene:gene2750 transcript:rna2750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb/SANT-like domain-containing protein MDSLKRKISANPPSTNNEGQSSKASWRDIKATEYFVKACLDQVTKGQRNGTCFTKKGWQGIVSQFHEQSGLNYDKVQLKNRYDSLRKEWKVWYNLFGKVTGLGWNFEKNTVDASDEWWEKKELENPQYAKFRDKGLPFAHQLTTLFKDVVANGEHAWAPSSGVLPNENLGNDDIDVGLDDAEGSGDSEDASIGAATGFENINLNTSQGAVSQSSGQKRKRVIGAEQKGKKKATPSTSIAEAVNVIAETCKSRNEAISNASIGEVMAEIQTMEAVTSDLEFHTMCCNLMMFKPAREMFVSLRGFEERRLIWLKFASFNPTLFMRP >RHN52076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31323674:31324289:1 gene:gene36694 transcript:rna36694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MSFGSEKEITEYYKNYVERVGFGVKKISSKKGDEGKMYFTLACSRARKYVSRPKNMLEPNPITQTQCKARLNACISLDGTTKIKSVFFLA >RHN64046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56140150:56140967:1 gene:gene26823 transcript:rna26823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MEVEVKLRLPNADSYHRVTTLLSPFHVITHRQHNLFFDGAGSELSSRRAILRLRFYGDDERCVVSLKAKAVLVDGVSRVEEDEEDLDPKIGRDCVDEPGKLGLVESRIMGRVKEEFGVVGENGFVGLGGFKNVRNVYDWKGLKLEVDETHFDFGTLFEIECESSDPEEAKRILEEFLKENGIDYSYSVASKFAIFRAGKLP >RHN70159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49191251:49195736:1 gene:gene18714 transcript:rna18714 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MANHSLTAVIQRISFFLGARTLYTRADSRASAFSDKHNLKSLIARFKPSTQTPLPVLQNWVDQGNKLSSSELRCISRRLIKSKRYNHAFELFNCMENQQKFRIKPADHALKLELIAKNCGLMEAEEYFMRIPDSAGKKAAYLPLLRGYVGDRDTSKAETFMEKLYELGLVVNAHPYNEMMKLYLATCEYWKVPLVIQQMKRNKVPLNVLSYNLWMSACDEGERYGVAAVETVFREMQNDEIVEMGWSSLATLANVYMKAGQSEKAILVLKNAEEKLSTCNRLGYFFIITLYASLKQKEGVLRLWEASKAVGGRISCANYICILTSLVKLGDIAQAKRIFREWESNCQKYDIRVSNVLLGAYVRNGLIKEAESLHLHTLQKGGCPNYKTWEILIEGYVNWQKMDEAIIAMKRALAMLKDCHWRPPQRIVLAIAEYLEKCGNFEYANEYITDIHNLGLGSLSLYKILLRMHLSAQEPPFHVLKMINKDKMEMDSETVSILKAFTGLKCLEVKQ >RHN64123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56749126:56751460:-1 gene:gene26908 transcript:rna26908 gene_biotype:protein_coding transcript_biotype:protein_coding MFFWKFMMPEKVLTSIPMHQWRIGLLTVLVLFGMFIVWSIDGCTVKNVFQGLRYRRSHYLAHVSPTTFNLSHQDLFPVSLEDQNQPNNTHVSSVNVTLAQKLDKNLESFDLVKNNVATWVQSELELNLTTNLLARWLAKGGEPCKDSKTVEISIPGLDLDGGNLIELSAGDIHEFGFQALDDSGKSRCLGGDYFETSLSGESWKSRPLVKDFSNGSYSISLQVHPDFVGVYNLTIILLYRHFEGLKFTPWRFVYDRMVRSIAIRFYKDDILIPELQSCKAADFERDVWCGRWTRHGRNDDCVIGNDGRYRCLAWNFPCKSPWCDGSLGALESNGWVYSTHCSFKMYSAEPAWNCLKNRWIFFWGDSNHVDTIRNMLNFILDLPEVHSVPRRFDMNFSNPADPSQTVRITSIFNGHWNETQNYLGLDSLRDEGFQNLLKKYFSEDTVPDTVIMNSGLHDGVHFRSIRAFSVGADYAASFWADVMKTVRQRGLALPRVFYRNTVATGGYARSLAFNPNKMDVFNGVFLEKLKQTGIVSGVIDNFDMTFPWHFDNRCNDGVHYGRAPAKMKWKDGQIGHQYFVDLMLAHVLLNALCAR >RHN49231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53431541:53438266:-1 gene:gene44040 transcript:rna44040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSRRSSRTIYVGNLPGDIRLREVEDLFYKFGPIVDIELKIPPRPPGYAFVEFEDARDAEDAIRYRDGYKFDGFRLRVELAHGGRGYSSSVDRYSSYSSGSRGVSKHSEYRVLVTGLPPSASWQDLKDHMRRAGDVCFSQVFRDRGGMTGIVEYTNYEDMKYAIRKLDDSEFRNAFSWAYIRVREYDRRRYTRSPSCDTRRSYSRSPSRSPYMSRSRNRSQSYSYSSKSSRSLSPKVKRTRRSLSRSRSLSRSESARSSPEPRRSRSPRSLSQSRSPSVRSEHSQSVNSGDHD >RHN64769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61668390:61668661:1 gene:gene27624 transcript:rna27624 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFDSYFLTNSILYNYPQLRSQFDLPIFFLSNTCFKLQITHAHFFTIYIVTTLQPS >RHN63355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50628345:50628467:1 gene:gene26045 transcript:rna26045 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S7 MALKLSSELVDAAKGSDDAIHKKEETRRMAEANRAFAHFR >RHN38513.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:424903:427129:-1 gene:gene50628 transcript:rna50628 gene_biotype:protein_coding transcript_biotype:protein_coding MKERNKGVEAYSNDMDCYYSTSDFLPCKKHPSSSSSSSGGICAYCLKERLVKLVCSDCGEQRLSSCSCSDEITSNRNSCSVEVGSVGRVSFLIENEKNETNPNPFQHLNSKVKMQEKEEEEVVVLKRSSSSCVDIKRHGFWRIGKLFRKNKKNKDCGRSVGGFDEKNEMWVVDHHGGVSRSRSLCSFRGGAIFGSEDGGDSVLSGARSSISAARSSGVNGGLMLESGRRSGYSEAEPRRSDFFYEYENGRKSGVMEIDGSSYNRRVFSLRESDFKGMDESSFIDLKLDYSSELKMADTLSAFGSIRGGNFMTHDAGGGGGGGDGGSCRFTMNERGIKRERRKSIKGWRWIFKYHSSSRKRDQDLMFKT >RHN76751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:978045:978848:1 gene:gene124 transcript:rna124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MVDVSKGGVDVGVRKVYEGGGTYVNDEKFPFVPFFYLYALNESQIQLHDKQNVTLFFLKKDLHHGTKLNLQFTNNSGAKFLPKEVANSIPFSSNKMENILNKFSIKEGSKEAEIVKRTISECEANGIKGEEKLCITSLESMVDFTISKLGNNVEAVSTEVDKNSNGLQQYVIAKGVKKLGEKNKTIVCHKENYPYAVFYCHKTDSTEVYSVPLEGVDGNMVKTIAVCHTDTSEWNPKHLAFYVLKVQPGTVPICHILPQDHVVWVSK >RHN81507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46583089:46583364:1 gene:gene5564 transcript:rna5564 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVCDSGYMGSYFHFSTIYMGIFYSRPLSLGIKSWVSGSYFFPRNSLFPGVILPPCSWE >RHN50015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3152858:3155073:-1 gene:gene34262 transcript:rna34262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MGMEKIMVESSTKIAFILLVTFAITIPCLEAAHVLSPAEIDDFVKARAEEAHKVAVETYVPIPEGVAHDLNVAVHMSMEAEENNTRREMRQKGKKHDGPCEATNPIDSCWRCKADWAANRFQLAKCSKGFGRKTTGGLGGPIYVVTDESDNDMVNPKPGTLRFGAVQKGPLWITFARSMVIRLNQELMVSSDKTIDGRGANVQIRDGAGITMQFVNNVIIHGLHIQNIKAKPGGLIRDSFDHTGQRTRSDGDAISIYGSSNIWIDHLSLSECEDGLVDIIQGSTGITISNCHMTKHNDVMLFGASDSYTGDKLMQITVAFNHFGQGLIQRMPRCRYGFVHVLNNDYTHWIMYAIGGSSSPTILSQGNRFIAPDNGAAKEVTHRDYAPPDVWKNWQWSSELDVFMNGAKFVPSGAPINRKPFKKAYMMQPRDGSHVSRLTRNAGALNCVVGKPC >RHN66715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17261029:17262378:-1 gene:gene14775 transcript:rna14775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MQMSLSSSSDDSSDQETPFNTSMNLTTGVGRSSSHQQTPTSIDSPNQLPTSPPYKKPRGRPPGSKNKPKPPLVITQDNEQAMKPVVIEVAAGTDVLEAVIQFALRSQTCLSILSASGTIANATIYYPLCRAPSFTLHGPFSLMSLTGTFLYPPSPPPLPIGCCSSSSSSSNPNVNPLEAPPPGMTFGITLAGVQGQVFGGIIGGKVIAGGDGVKVVCSLFKNPELHRAGGVILEADDVGDDDNNNVNGNGGSGGDEGGDPGASSSGAVGGGENVSGFNVPDPMPLNLAQSDPSFMPWNQPSHPHPPNY >RHN71957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3948082:3953916:-1 gene:gene7618 transcript:rna7618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dephospho-CoA kinase MRIVGLTGGIASGKSTVSNLFKSNDIPVVDADVVAREALKKGSGGWKKVVEAFGEEILLDNGEVNRPRLGQIVFADPDKRQFLNRLLAPYISSGIFWEVVKLWSKGYKVIVLDVPLLFEAKIDKFTKPIIVVWVDPETQIQRLLARDNSSEEDGRNRVNAQMPLDVKRSKADIVIDNTGSLDDLNEQFQNVLVRVTGPLTWYEFWQSRQGVSIILASLTSGVVLCMKVFNNNSL >RHN55492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18954609:18957863:1 gene:gene30680 transcript:rna30680 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFPHQGKITAGTTFTLKLHIVCVWLYGTIFTSRQNHGRNHVYTEATYSSFFKSRQFLAIFALGHEKAASTAHPNKLLVF >RHN79826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32620191:32624310:1 gene:gene3668 transcript:rna3668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidate phosphatase MSTIPTIPSPLKAVTLTHVRYERGDPLGHFLAWISLIPVFISFGGFFSHFIFRREIQTIFFFLGLIVSQFINEIIKTSVQQARPETCVRLEMCDSHGWPSSHCQYMFFFATYLTLLYAKGNGLFKNVIFNLFIWSLAILTVYSRVYLGYHSVAQVFAGTSLGIFIGGVWFWVVNTVFSPFFPAIEESAFGRWFYVKDTSHISNVLKFEYDQARAARKKMVSDSKSD >RHN70927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55124890:55127631:1 gene:gene19562 transcript:rna19562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein FAM135 MLETVQEIAVYLHRFHNLDLFKQGWYRIKIKVRWEDSENINSFGIPARVVQYEAPDLDQSSIYGAWKIDDTENSFSTQTFRIKYARQDVHLCMMISFDLSRSRSMDLTTNGVILKFELIYASTLEDGDDLDASPAAIHEFRIPPKALLGLHSYCPVHFDALHAVLVDVSVHVSLRRAASYSSASKVPSFD >RHN50829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10904147:10904512:1 gene:gene35165 transcript:rna35165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat 2 MAILSKRWTPLCYSPFKKFCFKYCPIRNHQKIYKFKSVYVGKDTSCVHLLSLKTLSLISVSFENRNDYINFLHACPILEDLHAESIYFIKLNEKTASKEGLKPLTLVSTLSQCRDNGWSSV >RHN75214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40208101:40210558:1 gene:gene11386 transcript:rna11386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rossmann-like alpha/beta/alpha sandwich protein MVLHISLLNQKFKMQQSPSVPASTPPQTATFPRKIGVAVDLSDKSSYTVTWAIQHHIQPHDTVVLLHVCTTTHDNNDTDEMKKMKNYFHVYTISKLDDFAKSLLQAQIPYNLHIVMDHEIKERLCLEINSLNLSALIVGRGRVLRSPLRMSCWCCGKF >RHN41879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33811934:33813484:1 gene:gene48243 transcript:rna48243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-coumarate--CoA ligase MLSKVNEQSLMLFHVDCKQVATLAPNVPAMYELHFAVPMAGAILCTLNSRLDANMVSVLLEHSQAKILFVDYQLLEVARGALDLLAKRAKRKPILVMIIDSDCTSNIDITSISYDYEKLIAIGHNDFDIVRPHSELDPISINYTSGTTSRPKGVVFSHRGAYLNSLATVLLLQMTLFPVYLWNVPLFHANGWCLAWGVAAQFGTNICLRKVSPKNIFDNIIKHKVTYMGGAPTVLNMIANSTLADRKLLNHKVAVITAGSPPPPQILSMMEENGFNITHMYGLTETCGAGTFCAWRPEWDMLPPKEKSKIKARQGVPHVGLEELDVKDPSTMDSVPADGKTVGEVMFRGNTMMSGYFQDFKATEEAFKDGWFHSGDLAVKHSDGYIEIKDRLKDIIVSGGENISSIEVETVLYSHPAVLEAAVVARPDDLWGQTPCAYLKLKDGFDADVQEIINFCRDRLPHFMAPKTVIFQDMPKTSTGKIQKFVLREKAKALGSISGPLECKRKFVKCSSQYEE >RHN48874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50830688:50837659:1 gene:gene43639 transcript:rna43639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine endopeptidase MRRPRTMRPNIVTEAGLPTRVNQWWENIPFFTSAVVIVCGAIYFVCLLVGYDSFSEICFLPSAVVSRFQVYRIYTAILFHGSLLHVLFNMMALVPLGSELERIMGSVRLLYVTILLATSNAIIHVFIALLVAYNPILTNGYLMNECAIGFSGVLFSMIVIETSLSGVQSRSVFGLFNVPAKWYAFFLLVVFQLLMQNVSLLGHLSGIVSGFAYTFGLFNFLIPGTSFYSSIEASSWLSSCVRRPKFIVCTGGNPSGYIPTNTSSYSTTSGIFSGNIWRNLSSLMPQREVSTQPQSVEDSRFPGRGRTLAAAQGQNASGFHPDSNLQARLLEDNSPNHLSDPAILSTRHPVDNVATTGAAVPHHEGAVVSEEEIKKLIAMGFDRTQVEVALAAADGDLNVAVEILMSQQG >RHN60168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22277074:22280488:1 gene:gene22409 transcript:rna22409 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNKGGGSQRGRPYVLILLMTFGAALLGVMVLHRFREKRIYNLLVNQKDHQLLTLQVLLQKERDRAKELSRKNEETRAKIYTLSNQKMELTRTIAEMQSTMSSLKDEQKLIESAYAEKQYELRMMQQRGSNLGQGGTKRISSRENLKKTEADIEDLKSIDDHPAIFDQILAANATKEAQSKTKNDNQEKDQNSKYGGDESKSKLTEFKDGEVTAEIKEEIKTNEELGKKNDNPADDGASGKEAEAKVVEDKKAIIEEHQRKLEVNTDGGRQDFKAKQLSGAKREHGHLSRTEGARWRNIVKNKLMESNGIFENHGEVNMVKTKVYKEDKDGTVKREAESQANLLKPENNEDKDGNNTTVDKTNHQETDNGINNHPEEHEDGAVQQNWSRRRINNASNNAEQTKSNMFHEEEPEELEVSDVQKQEKDAIDGEDDGEEDNNDEFFNESQPEFEDENEKEEYKEEIDESEFQHGL >RHN62755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45653891:45654913:-1 gene:gene25360 transcript:rna25360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ccc1 family protein MASQLGTKTIGSSPNNFEVEIPIHANSSLKVEKESNNIDYFQRAQWLRAAMLGANDGLITVASLMIGVGAIKEDIKVMLLAGFAGLVAGACSMAIGEFVSVYTQYDIEIAQIKREREANNNNNDDESSEREKLPNPFQAALASALAFSIGAVMPLLAAAFIKNHKTRMGVVAAVVSFALLVFGGVGALVGKTPLMRSCVRVLIGGWMAMAITFGFTKLIGTTGL >RHN45040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11061997:11062421:-1 gene:gene39236 transcript:rna39236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BRCT domain-containing protein MEETEEPVLSHSHKASSVQGLDVVVATASGYHGSERFNLIKPISHSGSNYVGMVSKSITHVVSFFVFAIELNF >RHN51045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13351098:13351826:1 gene:gene35415 transcript:rna35415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKPFSLLSPPLLYLHLLFLLTFNLMWFCPIKITAVAAIGNQTDHLALLKFKESITSDPYNALESWNSSIHFCKWHGITCSPMHERVTELSLERYQLHGSLSPHVSNLTFLKSVDITDNNFFGEIPQDLGQLLHLQQLILSNNSFVGEIPTNLTYCSNLKLLYLNGNHLIGKIPTEIGSLKKLQTMSVWRNKLTGGIPSFIGNISSLTRLSVSGNNFEGDIPQEICFLKHLTFLALGEKQFVR >RHN57474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39395689:39396285:-1 gene:gene33021 transcript:rna33021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain-containing protein MERVKLMITYGAKIQPRLTSLHDHRRYSYIGGDNKIITVDRNINFSDLMAKLSTFMFSDVCFKYQLLGEDLDALIPVYNEEDLNHMMFEYDRMCRFSQKPAWLRVFLFPVPINNNKASFDSLASVDSLNSVQVFPFEEYSSPPTTPPHPFMATKDTVTKAEMKKFCGIHVVNDEFEDILKLYLKRNFSKVKDFHGLMS >RHN57271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37731896:37735822:1 gene:gene32793 transcript:rna32793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding protein MGFTVGLVRNVFSRNHVGSHERKIMRRNSSENRRWISVKSYLCGNEFNSVLAEEDSASFKSTEVTVTQSIQEDLLSDKEETKSEETVENVIDNRSNCNSKSLNEEEAAIIIQSAYRSFKLRCKNEDTISSENGEEKLNLAAESPDRKSMATSVEVQTGNSTEVFSFKGEKVSIYNSFQHRNRTRAIKQKEDWDDSTLSSNVSKMRMQDRMEAATRRERALAYAFSQQLRICSKRKLAKHNNREQNMSWSWLERWMATRLQDTSSVESHAMKQYENFNTDHHKFTIKTRFLDASGGEEKESCGSNEVPLHFDNYSVVSQEEKVSSFKSPTRKTNFKARRTVSRRKTVPSYQFHDEHPKVSMKDGSSNANKDIKQKPKQEVSKTEMSQMTISTLKTSNE >RHN74521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34299502:34300384:-1 gene:gene10608 transcript:rna10608 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHSHGNHGFPFGINGYYVSGLVELQQSQHPQYNEPQQPQYHPQQHQQQWQGHIVPFLNPHVHASSILSYPTFDSLFQKQVKETNQFINNQSDKMKLLLQQHQRELQLASQQIMTRKKEEIAKAAKKTQELEKLVRRFETENTEFEKIVKERETTIITLHNKLEEEKKKSNMFVENDTKSCCDESEEVRPEKCVRCGNNIMFCLKCNTNSSGVLFLPCRQGRSHLYLRGFT >RHN47686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41575656:41580555:1 gene:gene42312 transcript:rna42312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MCGEIESCKSSSYEKEDEVIVGNGNAKSIQMLRNLIIKPRLFPSRANRLPRSLLLSGPRGIGKTSLVRAIVKECGANLIIISPNTVHTAHAGDCERTLCEAFSEALSLVASGKSSVIFIDDMDVLCPPRDSQRDKDFRIVSLLCTLMDSSKATSSTPGVVVVASTKRVDAIDPALRRYGHFDIETEVTVPDKKERLEILELYTRETPQNSCDLESIAASCNGYVGSDLRALCNEAVNSAVRRSSNAKKDVNDFSLTMEDWKNARSLVEPSITKGVTLEIPKVTWKDIGGLKDVKKELEKAVEWPMKYPASFSRLGINPIRGILLHGPPGCSKTTLAKAIANAANVPFISLSCTEMISKFVGQGEGYLREMFRKARLAGKSIIFFDEVDAVAGKRGHSSSGNSVAEERVLSTLLTEMDGLEEAKGVLVLAATNRREAIDDALLRPGRLDLKLYVPPPDLEGRFEILKVYTRKMKLGSDVDLRRLAEDTERFTGAELEGLCKEVGVEAIREAIRENKQASVIYGRHFQIVKNALKPALAAERKSWVFPLSLAENNVVSYILLATAVMFFIYLFIYLLKLVQHC >RHN44178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2264776:2268188:-1 gene:gene38268 transcript:rna38268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane transport protein MTLNVSTSIHNMISLANVYHVITTTVPLYVTMILAYVSVKWFKIFTQEQCSGINKFVAKFSIPLLSFQVISSNNIYKMSLKLMFSDFIQKLLAFVLLTAIIKISGKGGLKWIITGFSLSTLPNTLILGIPLLKAMYKDEAIVLLAQIVFLQSMIWYNLLLFLYEFDAAKNMLSAPPSQDTESESASEIQSKREEDEEPVGTKRKMKIFPILVTVGKKLIRNPNTFASLIGIIWSSIQFRWGIHMPQVINHSIELLSNGGLGMAMFSLGLFMASQSSIIACGPRNTVVAIGLKVLVGPALMALASIVIGLRNTLFKVAIVQAALPQGIVPFVFAKEYNVHPSILSTAILLGMLIALPVELAFYFLLAL >RHN72099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4982337:4983602:1 gene:gene7772 transcript:rna7772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGMRCNTFGFWFRVYICFISVWGIRECWSLNDEGLALLEFHARITCDPYVALENWNPNDCDPCNWFGVHCVDGKVQMLDLNGLSLEGTLAPELGKLSHLKSLVLCNNNFSGDIPKELGDLAELELLDLRETNLSGSIPTELSRKLSLKHLLLCNNKIEDNDSQDQGNFRLLFKSQLDDDCSSSLTTLFACINRKFGHW >RHN64455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59214290:59217649:1 gene:gene27274 transcript:rna27274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP diphosphokinase MELLHFHASDFPTRHHYLSKPTTFSTTTHRRLLSLFFPTRPTRSTTLRWSSTPRACSVEVPGGGKMVIELVGAFNDLTERMKVLSTSSSGLLFKSLKLSIPVLQTSPLTPDGRSPLSKALSIAMLLADLQMDAEVISAGILREVLEVGELNLHEIRSQIGSATAHLLHESLRVKNFASRVDILDDENAAALRKFCLTYYDIRALILDLALKLDMMRHLGHLPRYQQQIISLQVMKIYAPLAHAVGTNYISLELEDLSFQYLFPYSYLYVDTWLRSQETGGISLIDVYKDELLESLKSDPILAELVDDISVKGRYKSRYSTMKKLLKDGRRPEDVNDVLGLRVVLNPKSRENALEAGERACYRAHQIIQSMWKEIPSRTKDYISRPKGNGYRSLHMAVDVSEIGRTRPLMEIQIRTTEMDRLAVGGMASHSLYKAGLTNPEEAKRLKTIMLAAAELAALRLKDFPSANHKGIEFDQRDRVFRLLDKNGDGKISIEELTEVIEELGAPGEDAHDMMLLLDSNSDGSLSSDEFQMFQKQVEMVRNLEDRDDEYKKILDEKLHMADDSGLIQVYNKEFGNRLVS >RHN50973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12495115:12497402:-1 gene:gene35332 transcript:rna35332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MDSNISSSLTLQSPKPNHLSFDFNGIILTLTNPNPFASISDSTRFNPGPTKPLFKTLTVFERAFIGAGAGGIAGAFTYACLHPLDTIKTKMQTKGASQIYKNTLDAVSKTFTNSGILGFYSGFSAVVVGSTASSAVYFGSCEFGKSFLSKRNCPKVLIPPISGALGNVLSSAIMVPKELITQRMQTGAKGRSYEVLIKILQNEGVLGLYRGYSATLLRNLPAGVISYSSFEYLKLAFMKHTNKDCLEPVQSVICGALAGAISASITTPLDVVKTRLMTQARNEAVGKVAEVMYGGVKDTVREILKEEGWVGLTRGMGPRVLHSACFSALGYFAFETARVAILNEYVKRKQLEEVDVVVSSSSSS >RHN50109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4128947:4129848:1 gene:gene34364 transcript:rna34364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MQVHPNGIRHIREDGHINEWRTPGKRTIAKVGSNRLQVVIALNGGELIYFEVDVTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDKTIRILSLDPDDCMQTLGIQSLSSASESLLFLEVQASVGGEDGADHPASLFLTLVCRMVYYLELWWIWSQLFPIVVRGKRAMLCLSSRPWLGYIHQGHFLLTPLSYETLEFAASFSSDQCVEGVVALAGEALRIFTIERLGETFNETVIPLRYTPMKFVLQPKRKLLVVIESDQGAFTAEEREAAKKVF >RHN67353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26371297:26379476:-1 gene:gene15533 transcript:rna15533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine O-acetyltransferase MMLRLFCHCFSYYASRSFTSSLYKKTSPFTQNPFKPIFLLPPKFQSLFPFYPFPSSIMATCIDSSTPPPSRKPNIPHQHDDPSFNFVKFYRPFSHNHEKNLHTQRIVEDFEHVHLHDKVENGVEVDLWLKIQEEARLDLEQEPILSSYYFSSILSHKSLESALSNQLSIHLSNLSLSSTTLFDLFMNILVDDQDIIDAMKDDMKAVKERDPACISYVHCLLNFKGFLACQAHRVAHKLWLQGRKVLALLIQNRVSEVFAVDIHPGAKIGRGILLDHATGLVVGETAVVGNNVAILHNVTLGGTGKVCGDRHPKIGDGVLIGAGTCILGNIKIGDGAKIGAGSVVLKDVPPRTTAVGNPAKLIGGKDNPIMLDKIPSSTMDHTSYISEWSDYVI >RHN79271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26897780:26900309:-1 gene:gene3039 transcript:rna3039 gene_biotype:protein_coding transcript_biotype:protein_coding MGHALNNLSFLSVRETKRWKVHIFRNFPYLCFTSWLIPWKQTGPKWSSTWKPPLENKASLWSFMYQICIGLYLPILVH >RHN62928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46788263:46789597:-1 gene:gene25566 transcript:rna25566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, galactose oxidase, beta-propeller MEGFHPSMNMSMNMNMSMSMNPSFSYTFPITATASGVSTNITTAPYTTTSTTPWMNSRIWSKLPQRLLDRIIAFLPPPAFFRARSVCKRFYSLLFSNSFLELYLQVSPRFHWFIFFKHKTRSKTHIYKNSNNITDSTSFEGYLFDPNEMTWYRISFALIPSGFSPSSSSSGLVCFVSDESGPKTMLLSNPLLGSIAQLPPTLRPRLFPSIGLTITPSSIDVTVAGDDMISPYAVKNLTSESFHIDASGFYSIWGTTSSLPRLCSLESGRMVYSNGKFYCMNCSPFSVLAYDVATNAWFKIQAPMRRFLRSPNLVECKGKLLLVAAVEKSKLNVPKSLRVWCLQGCGSVWVESERMPQQLYVQFAEMENGNGFECVGNGEFIVIMIKGSDKGLVYDIGRKRWQWIPPCPYAGYDGFELHGFAYEPRLATPVIALLDQLAMPLPQF >RHN45964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27338919:27342589:1 gene:gene40394 transcript:rna40394 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSSRVNVVQSSRQSSKRLLFDRRYGWVIDEWKHPAEEALDGGRGMFCILPLAKNLVQMASQSINLGVSSAIKASENPQMFSLQMLQNALDDSVRNFASSLKFGR >RHN55697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21271172:21272268:1 gene:gene30922 transcript:rna30922 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESLSRSTGMARFAEEVLVEGSLYTDVRVINLLRSKFNISSTGHEEDVVVLSCDADERVCDQEMGGEQDESFLMYMAVLEVFGVKIPFTYFEMDVPKFINVAPSQIRPNSWAFIRGYEILCMSFDLEPSIGPFFPFYGTKDVNKGTWISLSAHARERLFPQYASNYKKEWRDTFARVQGAPKYSTSFVLVDGKPKFPRHLTSNLVAVRGYDIDKMSDGDCKRIWLPTCRMLPLTGAQSKKYLEEVEKNKNLDGYVSSDPVGLKLRKLKRKEPPAKNDTRAGEMEVEDLEGAGDAAAFVDSPCRLL >RHN76568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51304449:51307230:-1 gene:gene12916 transcript:rna12916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MRGIFTHIHSSGLGRGTISALPPAADTMRWSILLSSSTSRFLRFPILPFHTHSSFHTFITQQNPNPNPSPIPFVDHTTVRATLTSFNNDYKRALEFFNWVETKFKFQHSTETYNLVLDILGKFFEFQQCWNLIHRMRQNPHSLPNHTTFRVMFKRYVSAHCVQDAVNTFQRLNEFNLKDETSFSNLIDALCEYKHVLEAQDLVFGDKKNQTLTWIVDGVDGFVASSKNTKIFNIVLRGWYKLGWWSKCWEFWDEMDRRGVEKDLHSYSIYMDILSKGGKPWKAVKLFKEMKRKGIQLDVVVYNIVIRAIGVSQGVDFSIRMFCEMKDLGLNPTVVTYNTIIRLLCDSYRYKEALTLIRTMRRDGCSPNAVSYQCFFACLEKPKFIIELFDGMIESGVRPTMDTYVMLLKKFARWGFLRLVFLVWNRMEELGCSPDASAYNALIDALVEKGLIDMARKYDEEMLAKGLSPKPRKELGTKVLGGESVEE >RHN40141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13603749:13609004:1 gene:gene46251 transcript:rna46251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MDMAMASSSSFDGSGLKKYDVFISFRGDDTRAGFTSHLHAALCRSNFHTYIDYRIEKGDEVWGELQKAINESTLFLVVFSENYAFSTWCLNELVQIMECSNNNENDNVVVIPVFYHVDPSHVRKQTGSYGTALAKHIDHKMLQNWKNALFEASNLSGFHSTTYRTESDLIEDIIRVVLGKLNHRYAIELTYSFILDENYWSIKSLIKIDSSEVQIIGVWGMGGTGKTTLAAAMFQRVSSHYEGHCFLENVTEQSEKHGINDTCNKLLSKLLGEDLDITTLKVIPSMIRRRLKRMKSFIVLDDVHTSELLQNLIGVGHGWLGAGSTVIVTTRDKHVLISGGIEEIYEVKKMNSQNSLQLFCLNAFDTVFPKEGFVELSKRAIDYAKGIPLALKVLGSSLRCKSEIEWNCALSKLEKISNAEIDRILRWSYNELDDKEKNIFLDIACFFKGRERNSVTKILNDCGFFADIGISHLLDKALIRVDYKNFIQMHDLIQEMGRQIVREESLKNPGQRSRLCDPKEVFDVLKNNRGSEIIEAIFLDATEYTHINLNPKAFEKMVNLRLLAFRDHKGVKSVSLPHGLDSLPETLRYFLWDGYPWKSLPPTFCAEMLVELSMQESHVEKLWNGVLDMPNLEVLDLGRSRKLIECPNVSGSPNLKYVTLEDCESMPEVDSSIFLLQKLERLSVLGCTSLKSLSSNTCSPAFRELNAMFCDNLKDISVTFASVDGLVLFLTEWDGNELPSSILHKKNLTRLVFPISDCLVDLPENFSDEIWLMSQRSCEHDPFITLHKVLPSPAFQSVKRLIFSHAPLLSEIPSNISLLSSLDSLTLSGLIIRSLPETIRYLPQLKRLDVLNCKMLQSIPPLSKHVCFFMLWNCESLEKVLSLSEPAEKPRCGFLLLNCIKLDPHSYQTVLNDAMERIELVAKVVSENAFVCDSAWHFLPAMPGMENWFHYSSTQVSVTLELPSNLSGFAYYLVLSQGRMGYGVDFGCECFLDNNSGEKVYITSFTKTSFIGLLRRFDPLIHMMSDHLVFWYDGGSCKQIMEAFEEIKADNDVNNTSYNPKLTFRFFIHENIYDEVVIKECGFHWMYKEETVPLTISESHDEEEIASSSDFQSNDQEVIVPPENFESDDLEETIPSRNKLKLGMFGTLPSNLELDETCDLRCSLEELMHIGFFGDHMNTLFGSTEEQSNSEEERKNVE >RHN60514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27477532:27478427:1 gene:gene22835 transcript:rna22835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MLFFLFSFYPTMQPDITGPGVNILAAWSPVATEATVEHRSVDYNIISGTSMSCPHISAVAAIIKSYHPTWTPAAIMSAIMTTAIVLDNTNHLIGRDPNGTQTTPFDYGSGHVNPLASLNPGLVYDFSSQDVLDFLCSNGASPSQLKNITGELTQCQKTPTPSYNFNYPSIGVSNLNGSLSIYRTVTFYGQEPAVYVASVENPFGVNVTVTPVALKFWKTGEKLTFRVDFNPFVNSNGNFVFGALTWKNGKQRVRSPIGVNVVSL >RHN69609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44904086:44908138:1 gene:gene18100 transcript:rna18100 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESWFRSLWRTPRKHDANSEKEVIGVLAFEIASLMSKLVNLWQSLSDKHISRLKEEITNSIGIKKLVSDDDHFIERLICMEIVENMAHVAESVARLAKKCNDPILKGFENTFYGFITTGTDPYGWELTCKKMEKKIKKFEKFISTNASLYQEMEVLVDLEQTLARVKPNNESDGVSLSEYQKKVAWKRHEVKNLRDVSLWNRTYDYTIHLLARSLFTIFSKINHVFGIQEMVDDGGTNNSSVLNSDSIYRSQSVSALFQSSFHSSQNNIARFSSGPLNTITARSGPIVRTNKASISHSGPLGDSSTKSGPILGKHTNVNFYSGPLGRNMHQSVPLTRTKKMSKIWNFYKHSAAITGKETHTRHSRMTQVGPFKGCMAWDSSSVIDCHSNASGVHCGIQNPKVLHHTQSVFKSLCKLLNPPPETLGAAALSLHYANVIIVIEKLAASPHLISLDARDDLYNMLPRRVRIALKAKLKPYTKTMASASVFDTGLAGEWNEAMSSILEWLAPLAHNMIRWQTERSFEQQSFVSRTNVLLVQTLYFANLEKTEEIITELLVGLNYVCKYGRELNAKSLAECGSFRVGNEYLNLNI >RHN81535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46821476:46828631:-1 gene:gene5595 transcript:rna5595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MMNTNTVAGGSGGGGGDGRGKERQLIRTITTILTKNENPQNLQQFIPHLTLPIIISILSYKPLHSQPETLLSFFKWFQSNAHSSLIHSPKPLLTLLPPLLSRRKFSDAKSLLLNFISSDHPHHSLHAHLLRSDHTIPKPLLDTSLAAYVISKQPHLGHQIFNKMKRLRFRPNLLTCNTLLNALVRSNSSHSLVFSREVFQDAVKLGVQPNVNTFNILIHGYCSDNNTEEALRLINQMGEYGCCPDNVTYNTVLTALCKRSQLTQVRDLLLQMKNSGLFPNRNTYNILVHGYCKLKWLKEAAEVIELMTGKGMLPDVWTYNTMVRGLCDEGKIDEAVRLRDKMESFKLVPDVVTYNTLIDGCFEHRGSDAAFKLVEEMKARGVKENGVTHNIMIKWFCTEGKIDEASNVMVKMVESGFSPDCFTYNTMINGYCKAGKMAEAYKMMDEMGRKGLKLDTFTLNTLLHTMCLEKQLDDAYTLTMKARKRGYILDEVTYGTLIMGYFKDEQADRALKLWEEMKETGIVATIITYNTIIRGLCLSGKTDQAVDKLNELLEKGLVPDESTSNIIIHGYCWEGAVEKAFQFHNKMVEHSLKPDIFTCNILLRGLCREGMLEKGLTLFNTWISKGKPMDTVTYNIIISSFCKERRLEDAFDLMTEMEGKNLEPDRYTYNAIVTGLTKAGRTEEAEKLALKFAEKGQQVKTQDTSPELGTSDMMYSEQISSLCTQGKYKDAMKLFQQAEQKGVSLNKYTYIKLMDGLLKRRKSISKAVR >RHN64950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63223882:63224532:1 gene:gene27831 transcript:rna27831 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGALAPVAIGTRGTIGSLVRKEIEYFTKVELDKFGNSQKPQPNSNVNMVSSKAKSSFLMLLKRKKQRGPNEFLPKTCSVTESNYFNRVPGCRYRILKDHDIN >RHN70539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52151987:52158912:-1 gene:gene19128 transcript:rna19128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative periplasmic binding protein-like I MKKDNLILKFMVTCWCLVNLLGGILAQSKNESNIVVKVGAVIDISSNETVGKIGLSCINMSLSDFYLSHSHYKTRIQLIVRDSHRDVVAAAAHALDLIKNEEVHAIMGPITTMEANFVIQLGDKAHVPIVTFSATSPSLASLQSSYFFQISQNDSTQVKAITSIIQAFGWKQVVPIYVDNSFGEGLIPYLTSVLQQAYIQVPYLSAISLSANDDAITQELYKIMTTIPARVFIVHMSPSLGSKLFTLAKKIGMMNQGYVWIVTDGMANLFNSLSFNVRESMEGVLGLRTYIPRTKKLDDFRVRWKSKFINDNPKLVDTNLNIFGIWAYDATIALAMAIEKVGIGNTKFDYNESKTSSNYYMPNFEKFGISQNGEKLSEALSNTRFNGLSGDFNLLGGKLQASIYEIINVIGDGEKRVGFWTPDKGLSRNLDTEGLIGNNNIMYSCSKNVLGSIIWPGDMYSIPKGSEIPTIGKKLRIGVPVKNGFNYTEFLKVTYDPSTNSTQATGFSIDIFKAVLDVLPYALPYEFVQFAKPDGEMAGTYNELINQLHDGKFDAVVGDITITADRSNCVDFTMPYTESGVTMVVSMKDSRKKNAWAFLKPLTWDLWVTSACSFVFIGFVVWVLEHRINNDFRGPLSHQIGTSLWFSFSTMVYAHREKVVSNLARFVVVVWVFVVLILVQSYTASLTSLLTVEQLRPAITDVNQLLKNKMNVGYLKGSFVEGILKDLGFEDSYLITYQSAEECNELFIKGSVNGGIDAAFDEVPYIKHFLGTYSCSKYVMVEPRFKTGGFGYAFPKGSPLVADISRAILNVTQGDRMKTIENAWLKKTSCLDSNTEISSNNSLGLESFWGLFLIAGIASLLALLIFVITFLYQHKHIWLPNSPSNSIWRRIRVLVMIFDQRDLNSHTFKKSGNKHESSNSPHHDDFGAVEASPGTHCPPSPSSQTESNVSLNGDFSPNTELDVVQITNQEVSPVNNCEIDL >RHN62882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46467323:46468488:-1 gene:gene25514 transcript:rna25514 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKDLSIQNVLIFTTRPPPQTSKPSTTGTKFPTMQQIFGRKK >RHN80623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39541939:39546074:1 gene:gene4569 transcript:rna4569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase III subunit RPC4 MDLNNDSKPPQRRKLKFQPKAPPRRVPKVEVKSEVIEEDNKDVSKVTMDLLQRHNENAMNRRMKHEKKVLPSQIAFGAGGQSTYLKSYGTKGGSKSENSAFYGVTEKEYKEPWELDTEYPIVHPLRKPYSGNPEKLDEKEFGETAESRTYDESAASSAVELDLLEENPEASAFFMKLPPVIPMIKKPDADGKDKRPGGYRGAAKLCRLNDLPPGLIGKMLVYKSGKVKLKLGNTLYDVSSGMNCIFAQEFAAINTAQKFCSALGEINKFATVTPDIDAALDCLSDLNL >RHN48699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49556203:49556541:1 gene:gene43442 transcript:rna43442 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAPHQRTKAFHYQTPHAAGAVGKMSRNHRTQGPPPREPAQEVMRMAGPSSHHQLPLLHLGSFEFGYKGMPAMQSLRERSEKEKVKLERVSSTMIRTHSQILKSNVHFCFA >RHN75506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42790975:42794055:-1 gene:gene11724 transcript:rna11724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MVSEPNSSVITKFWQCLMNLPNEFTIKVVNVMLQLKELGKEDPRRVIHSLKVAFAITLVSTFYYLKPLYDSFGSSAMWAVMTVVVVSEFSVGATLGKGLNRGLATFLAGVLGLGSYYMVHSISRGNTTIEPILLGIIIFLATAGATYIRFIPLMKARYDYGLLVFILTFCLVSVSSYRDHEIIDTAQDRVTTILVGGLISVLVNIFLCPVWAGGDLHNLASKNIEKLGNFLEGFGDEYFGTLEAGELNKSLMQGYKSVLNAKQVEDNLVNFARWEPCHGRFRFQYPWQQYQKIGNLSRQCAYRIDALNGFLNNFTKTPKEIKSKIQEPCIKMSMETGKALKQLSISIHKMAPPTSAETHIATSKIYATNLRSMIKTKLWEDTNLFEVVPVVTVASLLLDVVSSTEKLAESIQELSTLAKFKNKESKVAADDEKEIPQTCSDSRGPQHVIIIN >RHN62694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45211242:45211571:1 gene:gene25294 transcript:rna25294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC transporter, P-loop containing nucleoside triphosphate hydrolase MVSQTNSQVNLTTFEGDHVLKSTEMVSGNVEGGNKEGSITVTWEKLRVTVPNGHKRKPILQGLTGIAQPGRLLAIMGPSGSGKSTLLDALAGYYYSISNSLKSNLLKLC >RHN78760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18112941:18118554:-1 gene:gene2409 transcript:rna2409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronosyltransferase MQNPGTTTTSPTTPKPQCSSSSLSFLTTTLIKDPKPKPILYTFLALSLFSILLILSLTSSSRSSTHTRPDPFLYPTHQTHRIIYDQDKTTPPPPSIAYLISGSKGDSGRILRLLYATYHPLNQYLLHLDPSAPQSDREKLALVVQSNTVFEAAKNVHVMGKPDFVYVKGSSPVSFTLHAAAILIRLSLRWDWFVSLSADSYPLVTQDDLLHIMSFLPKDMNFVNHSSYIGWKESKKLKPIIVDPGLYLSEGTEMFYATQKRELPSAYRMFTGSSFSILSRNFMEFCILGVDNLPRILLMYFSNTPSSLSNYFPTVLCNSRQFNKTVINQDLLYAVYDSHRNNLRPLNSTDFDNMIHSGAVFAKKFQPDDPVLDLIDQKILNRSPGSVVPGGWCLGEPGNSTCLTWGDASILRPGKGSKRLEKAIVELLSNGTFRSRQCI >RHN67005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22758454:22759180:1 gene:gene15134 transcript:rna15134 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHIFQYCLLGILCIALVLASGPTPGLSECDFPTLCDTIAICDANCRKIGFHKGMCTQIGLVTTCCCM >RHN40551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17522288:17523773:1 gene:gene46713 transcript:rna46713 gene_biotype:protein_coding transcript_biotype:protein_coding MYEMSLEAERAARVRAEEKAAIAEKKADDALAQSQMAIDLNKQMMIEIAEFKKFVMERDRRSGNGSCSATQPLSHPHYDDNLDDHSLHSDS >RHN61533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36206819:36212443:-1 gene:gene24006 transcript:rna24006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA repair protein XRCC2 MNHHERPHLQNWIAGDESGSEMLKRVWRVRPFILPKPLHRVPLRVGNVLELVGPSPSAKTQILIQTAITCILPKDWKGINYGGFEHLVLFIDLDSRFDIQRFSQMLVHRIMEPYGEGGGDYDKKLYDLCMSRFLYVRCSDSFQFLQTLKTLNWRLDKEKEVHGVSVHLLMIDSIGAFHWMDRASMLLSLKENSRKTLFLQSVSEAVVQDIKKLLQVHPMLVITTKSVIFWNKSSTASIEVKGSFMKNNWEERSSRNVTRNSQHLQYREYMPSVWQSFVTHRILIRSSDDHSVTSNCQNSSFYLLEWLLPRLSFSDRIVVKDDGVFLDS >RHN66838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19855471:19855833:1 gene:gene14940 transcript:rna14940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MAGKKFISLSMLVMILGMLVTKFDARQIDDVSCTSALFLLLPCLPFLQGAGPATPTSYCCVGANDLNQKADSTQSRRDVCNCLKPAASRFGVKSDRSTQLPKLCNITLNVPFDPSVDCNT >RHN44345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3744071:3748437:1 gene:gene38455 transcript:rna38455 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPLIHTVMILESSDFDPREENAYFGGELKVTKAVARGHNVLLSSFFLNLLNSR >RHN38917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2794040:2794456:-1 gene:gene44894 transcript:rna44894 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDKRHEAVQGLINLITFLETVVPVTVSYSLSLSSGDIITKKEDKMVRWEKKSSKFFIQKMDKPMGNALKYATYFSEAISEGVLCENHDLVPALSELITLGFMLKFKNEDIEFLMESKNLQIFFEDEKFLSSSFPSD >RHN77795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9486386:9487999:1 gene:gene1288 transcript:rna1288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M20, dimerization domain-containing protein MEFRSGPIFVESGFFEAKISGKGGHAVSPQHTIDPILATSKVIIWLQQLVSRETDPLDT >RHN70252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50012151:50018349:1 gene:gene18813 transcript:rna18813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MTPTTTNKSCSSSSSQGSKGQSIQQRRLTRQKRLCYPNDQDVGESFHSTDVAVSFSLPTSPYRTSSDHWSTSAVPQPLPLPESPLTTRRPDHHAAALPFSRKSADHDTVRSLRSSSNLGRHFFNTVTGNAKSDLRVNIPPTRGLVSSNSSCKDTRKHSHDNDCEGISNSKLQFAAKSAPNSIFSSPVTSPRRLSSVDLLDPSIILPQDFNDMFRVLPAKTAHSPDLSPRRSFGNHSPANHHRHTIQGGSQQHSKFCTRVWPENNHLDAHPLPLPPRASPQPQQSPAHQSSVTMNHSTENIHSMKGHWQKGKLIGRGSFGSVYHATNLETGASCALKEVDLVPDDPKSTDCIKQLDQEIRILGQLHHPNIVEYYGSEVVGDRLCIYMEYVHPGSLQKFMQDHCGVMTESVVRNFTRHILSGLAYLHSTKTIHRDIKGANLLVDASGIVKLADFGVSKILTEKSYELSLKGSPYWMAPELMMAAMKNETNPTVAMAVDIWSLGCTIIEMLTGKPPWSEFPGHQAMFKVLHRSPDIPKTLSPEGQDFLEQCFQRNPADRPSAAVLLTHPFVQNLHEQDVIVHSHGCHKEDTVVYSQGCPKEDTGPRDESRKHSPGHGSKHSRGVVPSSFRARIFCKFQNLIGDTSKKADTEESKHIRSSPVSPCSLTDDNSPQSPFKSSNRNCMTVTKSSNIPFAIMRIVKHL >RHN49028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51884447:51884824:1 gene:gene43811 transcript:rna43811 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHFQSRVMKSQAGAKEDICYLLHPSVAVCEVQRPSDYDSLMSKHIAYLLLIGNM >RHN66565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14108358:14116509:-1 gene:gene14578 transcript:rna14578 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPTHMVLHIHGSSKFEEFIFGFILFLWQLFLKQRVNSILPSVI >RHN66528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13397714:13399184:1 gene:gene14532 transcript:rna14532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling CW-Zn family MYQVLDFYENQMHRTKFWLTPKNQAEDLTGSSFSDHMYVSSSSASSSSDDENNLSNDDTSKKLVLYDHVTNGDNTNQLAPDPLRRRPPRPLRSKPPSSAPKVLPVVGAFTVQCNSCYKWRLIPTKKKYEEIREHNLQHPFICVKAREWRPDISCDDPEDVSQDNNMIWAIDKPEIPQAPDGWQRLLRIRGEGSSQFGDIYYKAPSGKKLRSMPEVEKFFADHPEYVTNGVTSARFSFQMPKPLQENYVKKKRSHAKSVEPKQVSPLAWAGPEAGTNSKEGRHVSDPVRRPAKKQTTQSFIHKDTV >RHN72359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7052299:7057643:-1 gene:gene8062 transcript:rna8062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative procollagen-proline dioxygenase MSVICRVWCSIIVPLLLICKIHFALGSYAGTSAIIDPTKVKQVSWKPRAFVYKGFLTDLECDHLISIAKSELKRSAVADNLSGESKLSEVRTSSGMFISKNKDAIVSGIEDKISSWTFLPKENGEDIQVLRYEHGQKYDPHYDYFADKVNIARGGHRVATVLMYLTNVTKGGETVFPNAELQESPRHKLSETDEDLSECGKKGVAVKPRRGDALLFFSLHPNAIPDTLSLHAGCPVIEGEKWSATKWIHVDSFDKTVGAGGDCTDQHESCERWAALGECTKNPEYMVGTSGLPGYCRKSCKTC >RHN75687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44292516:44300571:-1 gene:gene11924 transcript:rna11924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific protein-tyrosine kinase RLK-Pelle-LRR-V family MLEMRSSSLYKRMKLKTDIQKVVFVLVLICIVQFSFAATNPTDVAAINRLYAALGNPVLPGWVASAGDPCGEAWQGVQCNDSLIQEITLIGANLGGVLGDSLGPFVSIKSISLSNNHIGGSIPSSLPATLQSLFLSGNQFTGSIPVSLSTLTELTAMSLDNNLLTGEIPDAFQSLTRLTNLDLSHNNLSGELPPSVENLSAVTTLNLQNNNLSGTLDVLQDLPLTDLNVENNQFSGPIPQKLLTITNFRKDGNQFDLNSNVTIAPTRPPHSPVTASPVTTSPSGTVVSGTPSSGRVPTKQAGGPTASKDSSSGKSKKNTKRVVWISISGVLGFIILVLALLLFLPRCSKRERVGRTSKQHQIGAYGGERTNPWNNGALVQPPSQTEKVPKAAVVRPKENHQAEKNVQRMEALPKLLSHDIDMSGLDVDSMPPPPPPPPPPPAPPLAAEKVIVEPTTFPGGSNINPSKSSLVPPTFAKSFTIAALQQYTNSFSQDNLIGLGMLGSVYRAELPNGKILAVKKLDKKVSDQDDAEFIELVNNIDRIRHANIVELIGYCLEHGQRLLIYEYCNNGSMYEALHSDDEFKTRLSWNARIRIALGAARALEYLHEQCQPPVIHRNFKSANILLDEDLSVRVSDCGLAPLITKGSVRQLSGQLLAAYGYGAPEFESGIYTYQSDVYSFGVVMLELLTGRQSYDKKRPRGEQFLVRWAITQLHDIDALSRMVDPSLNGAYPAKSLSNFADIISRCVQSEPEFRPAMSEVVLYLLNMIRRDSQQNE >RHN55546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19375624:19378404:-1 gene:gene30746 transcript:rna30746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DYW domain-containing protein MPLSIATTNTNTNIKHINHLLNQSNSISHVKQIHAQILRTIHTPNSFTWNILIQSYSKSTLHKQKAILLYKAIITEQENELFPDKHTYPFVLKACAYLFSLFEGKQVHAHVLKLGFELDTYICNSLIHFYASCGYLETARKVFDRMCEWRNVVSWNVMIDSYAKVGDYDIVLIMFCEMMKVYEPDCYTMQSVIRACGGLGSLSLGMWVHAFVLKKCDKNVVCDVLVNTCLVDMYCKCGSLEIAKQVFEGMSYRDVSSWNSIILGFAVHGKAKAALDYFVRMVKVEKIVPNSITFVGVLSACNHSGMVDEGLMYFEMMTKEYNVEPSLVHYGCLVDLYARAGHIQEALNVVSEMPIKPDAVIWRSLLDACYKQHASVELSEEMAKQIFESNGSVCGGAYVLLSKVYASASRWNDVGLLRKLMNDKGVSKKPGCSLIEINGAAHEFFAGDTNHPQSKDIYKFMNEIQEKLESVGYLPDYSGAPLIDEINEGKQNTMRLHSERLAIAFGLLNSKPSMPIRVFKNLRVCNDCHKVTKLISRIYNVEIIVRDRVRFHHFKDGSCSCMDYW >RHN67379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26574140:26576807:-1 gene:gene15562 transcript:rna15562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MKLDSDFGGGGGVPLVSLLCDGSSNKVECSKVLSSPQTAQAKNWTELSKAVAEIAIKKVVEGEAFLKGLAQKSKSQVLDTCADSYSSLVVTFKFCWDFADGDPHTVSYDCVTAGDLLARCDGKVNPDVTAFNRQTKFLYGLLYETITQLPNYLTKNQG >RHN50537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7988283:7988738:1 gene:gene34833 transcript:rna34833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MMMVDRISDLPDELLSHILSFLPTKLAFSTTVLSKRWTGLCYSLPALHFEFRLKNCGLSKQQVEDTFYRFSHFVDTLILSHLSRNKPLKTFLLNCPIRNCKQDSRIFNAWIEAAKQRSVEEINLHMDFHTLNPINLSNPCCSQTYGFTHWK >RHN55044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14676560:14680395:1 gene:gene30170 transcript:rna30170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, ARF/SAR type, P-loop containing nucleoside triphosphate hydrolase MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >RHN39178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4687999:4694913:-1 gene:gene45179 transcript:rna45179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MQHIHDRLITKKEPPQMVTLMLNSIVLLALVPFICITQANSEQLHTPVSTEIANNENPGFISIDCGSVIDYLQEDTGIWYKTDKGFVETGENHVTSSTINLNYLYFGKQLTTLRCFPEGDRNCYTLKPKEGKNKKYMIRAFFSYGNYDGKNQTQSFDLYLGVDLWKKINFINTVDYSYTEIIHTPSSNTINVCLVKTGPTIPCISSLELRLLNNSIYQNQQIISTTDPQPLLKIEARFDVGPSPCSNKHARYKDDVYDRIWLCDQFLYENNDWYPLGLDESINMDPEINNDAYKLPSKVLRSTAQPNNVSHPLGFIYEYTYSPPLDKTYEYYVYFHFNEIEKLSGGKKRKINITVNYKPVLSQPLVLDYLKPITLNYKTQGDVWFNISATSDSDLPPVLNAFEIYQFITQLDSPTDAQDVGAIMDIKSSYEVNKLSWQGDPCLPKQYAWEGLVCKGDTIPRITSLNLSSSKLTGEINIWFSYLTELKFLDLSNNELEGPLPEFLAHLPNLKVLNLTGNKLSGSIPNALKKKADSTLQLSVDDYLDTCNMRSCKKKNIVVPIVASLSALIIIILISLGFWIFKRQIVVPSNSKNKGSLKSKHQRFSYTEILNITDNFKTIIGEGGFGKVYLGTLQDQTQVAIKMLSPSSMQGYKEFQSEAQLLTIVHHRNLVSLIGYCDEGEIKALIYEYMANGNLQQHLSVENSNVLNWTERLNIAVDTAYGNVLFMRNVICYEGFFTRNRRMHKIDQLGFGWP >RHN79544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30017281:30017993:-1 gene:gene3349 transcript:rna3349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribulose-bisphosphate carboxylase MDKLLCWSPWAQRLTLKSLSLRYQSELWEDEADSCLSFDNWLEATKRRGVEDLYLHLLDVPLAPTIFCCKTLVHLHLTTRISVGSMLHCSVDLPLLETLFLFHIFFDDTKDFMKLLFGCPKLKSLTIYRVNANVGVPEGGYFKHLSKLKSACIELFNVPFKAVYNVKCIYLYMGELGVPIVMHDYLTGGFTANTTLAHYCHDTKV >RHN82201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51893838:51894740:-1 gene:gene6341 transcript:rna6341 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQHVHHASYSKTDLPRALKHELPSYTCIWLHTILHLFLHHLYHHHLRHHHHHL >RHN68325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34567747:34579114:-1 gene:gene16661 transcript:rna16661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEQEKKQGTREESEQEKWVYDGSVDCKGKVPLRAKTGVWIASLFVLTIEFSERVSFFGIAANLISYLTKVMHEDLKTAAKNVNYWSGTTTLMPLIGGFLADAYIGRFPMVLFSSLVYLMGLILLTMSQYIPSLKSCNTKTCLQPRKIHEVVFFLALYCISLGTGGHKPCLESFGADQFDEDHTEERKKKMSFFNWWNFALCFALLLGATVIVYVQDNVSWGAASLILTILMALCIIAFYVGKPFYRYRRPQGNTLKPILQVLVAAIRKRKLSCPSNPSLLYEVPKSDKSQGRLLCTTSKLRFLDKAAIIEDTHVDQKHNPWRLATVTRVEETKLILNVIPIWLTSLTTGICVAQGSTLFVKQAASMNLKISDSFTIPPASVSTAAAIGTLISVPIYDKIIVPMLRKITGNERGISILRRISIGFTSSVIVMIVAALVEAKRLRMHEQETMSVFWLVPQYLILGIGDSFSLVGLQEYFYGQVPDSMKSLGMALYLSVIGVGSFLSSFLITIVDQVTEKNGKSWIGKDINSSRLDRFYWMLAIINALNLCAYLFLAKRYTYKSVQKIGNETDGCKSDEVEMMA >RHN67235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25070946:25071516:1 gene:gene15401 transcript:rna15401 gene_biotype:protein_coding transcript_biotype:protein_coding MNINLEITVFFFFIKTLKYKRQEMSELIQQNDKGEPMKRKLESL >RHN51677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22460930:22461689:1 gene:gene36168 transcript:rna36168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Nse4/EID family protein MACRGNNNKEEEVFRCMKRERPNAVAADEEEEEHQDSNIRRIIRSEFFKLKSLINEKKDDLMNTASDKFDSILHDFDKLDEQVKKPQEQIVDAEALLDLTRTLVGSVNSMVNEGVTPSQFVSSLLKHYAHPPNTSIDWQKLGIFVSPIFLTVHGSSTMLDPMESQLKRRKAIVLLMINVMMNMKEKEMKRNGVFNLG >RHN61388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35355949:35357035:1 gene:gene23852 transcript:rna23852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MSPSTSTGNCSKIRRIVRLRQMLLRWRKKARLGAYDVPEGHVAVCVGPSMRRFVVRASYLNHPIFKKLLIQAEEEYGFCNHGPLAIPCDEFEFEEILRVMARPEFRFSTVEDFQRRCHVDVRSSNSCESRPLLRDDSIC >RHN82740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56033730:56037297:1 gene:gene6924 transcript:rna6924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chalcone isomerase MAKAAFMITGVKVEFIEFPAVVTPPSSTKSYFLGGAGVRGLDVDGEFVKFTGLGVYLEEKAVASLTLKWKGKTPSQLFESLDFYRDIIKGPFEKFIRCTKVRTLEGSEYVRKVSENCIAHMKFEGTYGDAEEKAIQELREAFKVQFFPPGAGVFFRQSPNGALGLRFSKDETIPEHEYVVINNKPLSEAVLETMIGEIPVSPALKESLATRFYEFMKIDNFNI >RHN80345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37341143:37345791:1 gene:gene4259 transcript:rna4259 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRAIASSFKRRLFSTTTSTSTSTSTYTTTVAGALSKPSSLTSPRFIIPMSQTIFQSLHFGGIHRAGYCNYSPLVPGSNTSFSDRPPAEMAPLFPGCDYNHWLIIIDKPGGEGATKQQMIDCYVKTLAQVLGSEEEAKKKIYNVSCERYFGFGCELDEETSNKLEGIPGVLFVLPDSYVDPEHQDYGAELFVNGEIVQRSPERQRRVEPQAQRGDSRPRYHDRTKYVRRRDNQR >RHN54905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13375233:13377944:1 gene:gene30003 transcript:rna30003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamyl-alcohol dehydrogenase MENTLSNEKEVPVFGWAARESSGILSPFSFSRRANGDRDITIKILFCGVCHGDVHFAKNELGHSLYPMVPGHEIVGEVMKVGQSVTKFKIGDIAGVGPLCGSCGLCSNCSKGWENYCSKQILTYNSHDHDGSITYGGYSNKIVVNEHFAIMMPKGLPLEGAAPLLCAGSTVYSPMMHHGLCQSGQHLGVVGLGGLGHVAVKFAKAFKMKVTVISTSPGKKEEALERLGADSFLLMNDQQQLQEAKDTMDGIIDTVSGPHSLYPLIEMLKTCGKLILVGASVTPPILPYMPLMSGRKIIAGSAGGGMKESQDMIDFAAKHNITADIEVISMDYVNTAFERLAKNDVKYRFVIDVANTLK >RHN57362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38374619:38376305:-1 gene:gene32892 transcript:rna32892 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFHFFLLLSLLFLTPRVYAIRIKFSSPSSTSSHHAFHIPFFNSPSRSKGKEFKSQKRKVPTGSNPLHNKR >RHN74075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:26257431:26259553:-1 gene:gene10032 transcript:rna10032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MESNSKSLSLAPALNLFPNLKPPHKTLLFHKICVLIITFLAYASFHASRKPPSIVKSVLGPTVPTNSTLDSGWPPFNGTRGTHRLGEVDLAFLTSYSIGMYLAGHVGDRIDLRLFLVFGMIGSGFCTILFGLGYWFDVHVLGFFVGVQIVCGVFQSIGWPCVVAVVGNWLGESKRGLIMGIWNSHTSVGNIIGSVIASGVLEFGWGWSFVVPGVLIIFVGVLVFLFLVANPVDIGFASPGIEIEMSVETDSAEDLQKVESEEAKLIDPDSSISNSSSAIGFLEAWKIPGVAPFAFCLFFSKFVAYTFLYWLPFYIRHTAVAGVNLSHKTAGLLSTIFDIGGVLGGITAGFISDMIEARAVTSILFLFLSIPALLLYRAFGSISMFTNITLMFLSGFLVNGPYSLITTAVAADLGTQGFSGGNSRALATVTAIIDGTGSVGAALGPLLAGYVSTRGWNSVFLMLVSSIFFAGLFLIQVARTEIREKLSGK >RHN67063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23396123:23397059:-1 gene:gene15200 transcript:rna15200 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKTSPKLFLQIALKPHVFSFDRFAFIFTLIVLLLGGS >RHN44979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10432054:10434414:-1 gene:gene39169 transcript:rna39169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MEEITSLKVLLTKVSKKQDTLETGLTMDFNLLRTNVASIQTSIEVFEMLANSKCFCNVRGSSTSCEEEEFKEPPLPTEQERFAKELAELGLTRETEKVDESFGKYIHQGFVREKFAEGTSKQQVFNGRSSSVFGGMETFDNHDVDVNISNTNFSNSVGKAFVPDRWLEEETPPKGRTSVKQSFLITKSDYKRKPQHFSQTASYTVLESGVHKRLKFQTPSPTTKQTQSHSSKKSAKIRQSAKNFKGQREEATPRSARMKGGVTPKGKEIALDTKNVALDERTKRALRNAHVCSFLFQKSTEVNLINETLVLTMGLAATRSELQCLLPDVHITELVIALAAARVTCRHTLRQSVWCLPPSFAFDFEDGLNLSHIRAKYRGDWMTPFAKVQFIYIPLRGAEGLWFLMVVHVPSGITYHLDSNCPAGLTEEQRHYKIRRMGLLLHRLVDCDEYSTVFPNKSQEFEKFEIVRPNLMIDDCSSENSGVWVLQWLTMEHYYRPENFLRIMDVKSVRLNTAAELLIGYENSLRYEVQKKTDEFLKARNEKKPIDLDTD >RHN74941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37998782:38004974:1 gene:gene11077 transcript:rna11077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative uroporphyrinogen decarboxylase METIPTSINSGLGWKSSPLFQQSNCFNLLSSPFKPKPSLTKFTLTCSAASSSSSDPLLVKAARGDPVSRPPAWMMRQAGRYMAIYRKLAEKHPSFRERSETTDLIVEISLQPWKAFRPDGVIIFSDILTPLPAFGIDFDIEDVRGPVIQSPIRSEEGLKVLHPIDFDKLRFVGESLKILQQEVGGDAAVLGFVGAPWTLATYIVEGGTTRTYTNIKSMCHTAPHILRTLLSHLSKAIADYIVFQVESGAHCIQIFDSWGGQLPPHMWELWSKPYIKEIVNLVKKKCPDTPLVLYINGNGGLLERMVDTGVDVIGLDWTVDMADGRRRLGSGIGVQGNVDPASLFSPLTSLTEEIQRVVRSAGPRQHILNLGHGVLVGTPEEAVAHFFDVARSLKFDTVSQNNTANVA >RHN46949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35959187:35959985:-1 gene:gene41489 transcript:rna41489 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQMNIFFSRCLVNDKVCELFIDRKYWINMVSTSMVEKWRLPVLEHPDPYILYSLEDPEFKDMADVLVTKQVRMSFKIGEYEDEVLCDVVPSKTRDFLLGLPWQQQRQAKHDQHTNTYTFSFKNCQIIIAHDKVGHDQILKRRVRREESALRIERGIAAIFKTFEEELLQIQNDECHEAVGSKLRIMLMEMVKRLKMKKWNG >RHN44188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2305030:2305881:-1 gene:gene38278 transcript:rna38278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MDANSSLFRLVHLRVLDLSDNDFNYSQIPSKIGELSQLKHLKLSLSFFSGEIPPQVSQLSKLLSLDLGFRATDNLLQLKLSSLKSIIQNSTKLETLYLSSVTISSNLPDTLTNLTSLKALSLYNSELYGEFPVGVFHLPNLEVLDLRSNPNLKGSLPEFQSSSLTKLGLDQTGFSGTLPVSIGKLTSLDTLTIPDCHFFGYIPSSLGNLTQLMQIDLRNNKFRGDPSASLANLTKLSVLDVALNEFTIETFSWVGKLSSLIALDISSVKIDSNIHYLLQISLS >RHN70831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54325944:54326570:-1 gene:gene19457 transcript:rna19457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative structural maintenance of chromosomes protein MQIDDGNHDDDDDQDEGGHREANPEGRVDKYIEVKILGEIYWTKHPKTSLDIRSHVYTLQASFTKQGKTMNMKQLSGSQKTVVSLALIFSIQKCDPAPFYLFDEIDAALDTEYRTAVGSIHSISLDAILSCLFLHFLHLYLLHAASTTSLGLVC >RHN62411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43230812:43233607:-1 gene:gene24984 transcript:rna24984 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNHNSESQSSSSEVSSATAVFLGALAPGVNGPTWNTLKSAFLMLGLCLAVMLGLAFSSSDSWLVFHVVFLVLISVTLFLLLSWFLSETGLVSVEHQMREMGLEAKDPLEANKKSE >RHN70269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50140264:50140649:1 gene:gene18832 transcript:rna18832 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDAGRNDGNAGISFDGSVFVYTKVGRNFIDLWMGWIHFGGKKKKKRERFRSE >RHN41422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29894615:29896588:-1 gene:gene47740 transcript:rna47740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSILTKQLQTKCCRKLKNTIIVRAITTSTQMLQSDYVYINNRQIDAFIKSNNPNSALDLFHNMQIRDTVTYNLLISSSCLPPKKAFQLYSEMGLYRIRETATTFASVVALCTNNGFCREGSQVHSRVVKFGFLNNVFVGGALVGFYMNLGLSGVALKLFDELSERNLGVWNVMFRGFCEMGCVEVEELLGFYARMCFEGVEANGVTFCYLLRGCSSKRRFHEGEMIHSCVLKMGFVEYNVFVANALVDFYSSCGCFVSARKCFEGIKVEDVISWNSMVSVYADNNLVNDALEFFNFMQMWGHRPSVRSFIGFLNLCSRNKEIGLGKQIHCCVMKFGFDERSVYVQSALIDMYGKCLDIQSSVNVFEWLPKMTLECCNSLMTSLSHCGCVEDVVELFGLMVDEGLMPDEVTVSTTLKALSVSASASFTSSQSLHCFALKSGVEGDTTVLCSLMDAYSRCGHWELSHRIFETIPTPNAICFTSMINGYARNGMGKEGLLLLHAMIEKGVKPDEVTFLCALTGCSHTGLIQQGRILFNSMKSLHGVHPDRRHISCMVDLLCRAGLLHEAEEFLLKAQGKGDCFMWSSLLQSCRVYKNEEVGTRAAQMLVDLHPNDPAVWLQTSNFYAEVGKFDESRQLRDVALARKMSREIGCSLIEIRK >RHN64334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58252124:58257483:-1 gene:gene27142 transcript:rna27142 gene_biotype:protein_coding transcript_biotype:protein_coding MMISLRNLCSFFTILLATIILTTTSFTDAQSCNGILVSYSSSTGVQLPPNVTDPKKQPYRFESTLSVLNNGLDELKSWKVAVEFQHDEYLVSASNAVLADGTTLPAEVGNGTVFAGFPQTDLKTAVETAGDLTQMQAQVSLVGTVFGVAPPDVPLPKSIKLANDGFICQKTTGQGKNGTNVCCTRDPKFKANITTDEKFLPRQNGDLTIMYDVIRSYDSNYWAEVTIGNQNPLGRLDNWRLSWDWMNDEFIFTMKGAYPSVLDASGCIFGKQGTYYKDLDFANVLNCQRRPTIIDLPPTKFNDTDVGKIPSCCRNGTILPKSMDPSKSISRFQMQVYKMPPVLNRSELSPPQNWKISGSLNPDYKCGPLVRVSPTENPDPTGLPLNKTVMASWQVVCNITTAKGTSSKCCVSFSAYYNESVIPCKTCACGCPKNTARTCSTTAPAMWLPSDAVLVPFENRTAKAYAWAGIKHLPTPNPPPCGDNCGVSINWHLLSDYNKGWSARVTLFNWGETNFADWFTAVQMDKAAAGFEKMYSFNASAIEIGGVNNTIIMQGLEGLNYLVGETNGANPRTDPRVPGKQQSVISFTKKTTPGISVVRGGDGFPKKVFFNGEECSLPSVLPTSGASRKEFSFATLMLLPLLLVVFLL >RHN49927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2366640:2367012:1 gene:gene34163 transcript:rna34163 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRGHLFVKMSCYSKIDINLFVKTAVHQMYLYIYIYIYIYIYIYIYIYIYIYIYIKKTTPFNTF >RHN74963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38215871:38221343:1 gene:gene11105 transcript:rna11105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 14-3-3 protein MASSTNVRENFVYVAKLAEQAERYDEMVEAMKKLAKMDVELSVEERNLFSVGYKNVVGSRRASWRILSSIEQKEESKGNELNVKRIKEYRQKVEVELSSICNDIMIIIDEHLIPSTNIAESTVFYYKMKGDYYRYLAEFKAGDEKKEVADLSLKAYQTASATAENELQPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDDGVSELDTLNEDSYKDSTLIMQLLRDNLTLWTSDIPEDGEDQKMESATKSGQDEDELGR >RHN47195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37806704:37807775:1 gene:gene41761 transcript:rna41761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MFSITLRIGVNFGRNGDNLPSPQNVVGLYNKCGIKLLRLFEPSPDILEALKGSNIQVSLGVRNQDLQSLASTKEAASQWVNTNVAPYKGGVNFQWIVLGNEIIPGAQASFVTQAMQKIKDALTSIGLTDIKVTTSFYMQGLASSYPPSAGAFTNDVVNVMKDVTAYLHQTGAPLMVNVYPYFAYASNPKDIKLEYATFQAVAPVVDGELSYTNLFDAMVDSIYAALEKIDAKNVSLIIGETGWPAAGNDPYTSKENAKTYNTNLIQHLQSGKGTPRRPNQAIDAFIFAMFDEDQKAAGVEQNWGLFYHDLTPVYPLLSC >RHN72605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9088502:9089398:1 gene:gene8347 transcript:rna8347 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTNFLTDPFSSSATTTTTFSLFSLPPLLLLLLLLSPTDSNSVVDSFNTDRFNSPEFLFLFLFSNPFLFLFSFFPFPFFPPLPL >RHN77473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7104407:7111798:-1 gene:gene936 transcript:rna936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MEYQTNKILQQPHIIVATPGKLVKHLKDTPAFSLRKLKYLVLDEAHLLESNYKHIDRQLEFILGKIPRNRTTFLFSDSKTDKVDMIQSVFLRNPVKIDESIEYPEQQQCHMPAKDKDCYLAHTLSQMAGKTSLVFTWAYDLLLRLALTLFWFQNHPNQWFYESALNAFKSGNCKILLCNDLASRGLDIPAVDTVINYDIPDDPRDYMHHVGQAAPTGVAISFVSPNEARWFEMIETHIGKKLPVYQAQIEEVLRFSNRVSVAKRLADEEMNNSGWRSGGYLGEEDVRKIESAFSSNHGKVFYISPEVLEKLKQQQKEIISATENSESSADGIETNDGVAHNVERVLRCRF >RHN60994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32128450:32129833:1 gene:gene23400 transcript:rna23400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin fusion degradation protein UFD1 MLFELRNTSAERTTHCGVLEFTADEGIVFLPNWMMEDLLLQEGNIVSLKSTSLVKGKCVKLQPHSKDFLEISDPKAMLETSLRSYSCLTTGRTIMIPYNNKKYYIDIVETKPSPAISIIETDCEVDFAPPLDYKEPEKPLPSDLSHKESPQVEEEPAAKVPRLMPFSGIGRRLDGKPSTQLVEQASTPVPKKQHTDNNSNNSNRTSGNLVFGSKANAPKVQTQPKAFLNSASQESSKKTDTPQFQAFTGKKYSLR >RHN77989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11090086:11091471:1 gene:gene1503 transcript:rna1503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MWDSLTLRSILGSLFFVLLTGAVYHVYDSYILNKAKQAIIEKFLEDYRALKPTRYSYVEIKRITNNFSDKLGQGAYGTVYRGSISKEIIVAVKILNFSQGNGQDFLNEVGTIGRTHHVNIVRLVGFCADGFKRALIYEFLPNGSLQKFINSPENKKNFLGWKKLHEIALGIAKGVEYLHQGCDQRILHFDIKPQNVLLDHNFIPKISDFGLAKLCSRDQSVVSMTAARGTLGYIAPEVFSRNFGNVSYKSDVYSYGMMLLETIGGKKITEDLEENSSHVYYPEWIYKLIDDEEEMRIHVDDEGDEKVARKMAIVGLWCIQWHAMDRPTMQMVVQMLEGDVDKTPIPPNPFASQSRQPRRNGGVAITRQLTQELDVIQELE >RHN51411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17356923:17358144:1 gene:gene35842 transcript:rna35842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNIPNWMTDISILGRLVDVKLMNCINCSQLPPLGKLPLLTTLYLSQMTNVKYIDDSPYEISTENAFPSLTEMTLYDLPNLKSVLRIEGVEMLSQLSKLSIQSIPIFELPSLPSVKEVYVGGETEEFNDHGASFLRDIAGKMPNLTELMIEGFHQITVLPNELRSLSSLQKLYISCCGNLESIPNMSSSSLQVLGFALCNSLKSLPQSTTALTSLQRLQIHYCPKLILPANMNMLTSLRKVTIMGADKRRRLYNGLEHIPSLENLSLTDFPFLRSLPDWLGNTLSLQKLEISKFPVLTSLPDNFEQLENLQKLSIDRCPGLENRLDSRTGEDWYKIAHVPNFESDFVHRINEEEYSDSDSELDMEVDEP >RHN71862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3135175:3135588:-1 gene:gene7502 transcript:rna7502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MQQSGNSSASCYGSILRRRSFRDCRCGEKQVLRTVSDVTNPNFGNFFWGCINYKNQFDKGCNYFNWFDVRDDIIDAKDHEIEKHKKKTVKLKIALALSQKWLKISIAFGIVSFGIILVLLTILLCNKNNLLRQLYLK >RHN55576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19645710:19648720:1 gene:gene30777 transcript:rna30777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MAMMQGVQKNTLYVGGLAEEVNESILHAAFIPFGDIKDVKTPLDQATQKHRSFGFVTFLEREDASAAMDNMDGAELYGRVLTVNYALPEKIKGGEQGWAAQPIWADADTWFERQQQEEDMRRLEAENKAAMLAAEELHRKQVAEEREGEKEEIEIKDDPMARAEAEVVGN >RHN51211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15091906:15093914:-1 gene:gene35605 transcript:rna35605 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRIDDRKVKSLRGKEIPLVRVVWGGATGESLTWELESKMRESYPELFA >RHN64222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57391316:57399847:1 gene:gene27012 transcript:rna27012 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium/calmodulin-dependent protein kinase chromatin remodeling ISWI family MARTSKSHVSSASNSSSSEEEEVENVNDQIEEEDEEELEAVARSAGSDDEDAPDEPAADDDGVDEEDENQDESVNPEISKREKARLKELERLKKQKVQEILDAQNASIDATMNTKGKGRLKYLLQQTELFAHFAKSGQSSSQKKAKGRGRHASKITEEEEDEEYLKEEEGGLGNTRLVTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGSPDERKHIKEELLVAGKFDVCVTSFEMVIKEKPTFRRFSWRYVIIDEAHRIKNENSLLSKTMREYKTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHIITSAGKMVLMDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYQYCRIDGNTGGDDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQADLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDDLLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEESTAELDAKMKKFTEDAIKFKMDDTADLLDFDDEKDEDKVDFKKIVSDNWVEPSRRERKRNYSESEYFKQTMRQGAPTKPKEPRIPRMPQLHDFQFFNTHRLSELYEKEVRYLMQAHQKNQVKDSIDVDEPEEVGEPLTAEESEEKEHLLEQGFSSWSRKDFNTFIRACEKYGRNDIKSIASEMEGKTEEEVERYAEVFRERYKELNDYDRIIKNIERGESRIARKDEIMKAIGKKLDRYKNPWLELKILYGQNKGKLYNEECDRFMICMTHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELTRRCDTLIRLVEKENQEYDERERQARKEKKLASKSTTPSKRVLPRQAESPSLKKRKQLTMDYYVSSGKRKK >RHN82024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50650966:50653202:1 gene:gene6140 transcript:rna6140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II Pbs27 MAATFAANMFSITSSEGIKRFDIEDKLQSRSNIAQLPLEASSRRHLSISVGISLVTITCGHGLSPLMAWAEEKSANKEETDDGVIGAVKSLFDPNEKTKSGKVLPKAYLKSAREVVKTLRESLNEDPDDNAKFRRTADAAKESIREYLGSWRGNQTVAQEESYFALVKAVRSLANFYSKAGPSAPLPKEVKSEILDYLNTAEEFL >RHN77005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3128665:3130165:-1 gene:gene412 transcript:rna412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubby-like domain-containing protein MANAIISPQYCAPATHPIDLIITTERTVRDNFTIRDINDNIVFTVKSSLVTIVTPRQHRFLFDANGNPILHLRRSLLAADDCWKAYRGESTEPKDLIFTRKRSSLMQLRTKLNVFLANNNTGVCDFTVKANLSGQSWNVYIGESNNVVAQINKKLGTIVSREKFMVTVSPNIDYAFIVALIVTLD >RHN74026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23768322:23771388:1 gene:gene9953 transcript:rna9953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor EF1B/ribosomal protein S6 MAVTLYNLKAESGLKKLDEYLLTRSYITGYQASKDDITVYSALPSVPSYEYGNVARWYKHIDALLRIAGVSGEGSGVTVESSLVAEETVATPPVSDTKAAEAEDEDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVQLEGLFWGASKLVPVGYGIKKLQIMLTIVDDLVSVDNMVEDYLTVEPINEYVQSCDIVAFNKICKICNSNDMEIMVGGRNCNY >RHN68155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33219830:33220213:1 gene:gene16464 transcript:rna16464 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVLSVNCDRQTSTPASIDIPRKETEHHVQQMVIPIRKAPLFGPPLPKEGTSNVYSTKVLLNNIIKNLCQQTQVINDQNRRIREMEESRSREMRGRSPTSMTTIRSPTPHSNIRRMSPTLDRSISP >RHN69674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45458766:45464179:-1 gene:gene18175 transcript:rna18175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdate-anion transporter, major facilitator superfamily domain-containing protein MELFFYLVFGGLAAVVAVLELSKNNKDRINTSSLFNSFKNNYLLIYSLMMAGDWLQGPYVYYLYSTYGYGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCITYIASCITKHSPQYRVLMLGRILGGIATSLLFSAFESWLVAEHFKRGFDQQWLSLTFSKAIFLGNGLVAIFSGLFGNVLVDTLALGPVAPFDAAAGFLTIGMIVILSTWTENYGDASENKSLLAQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLSSMLGSSLASKLMARSSFRVESYMQIVFAVSSASLLLPILTTFFAVPTKATGGSLSFAGCIQLLGFCTFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVDAFPITVMFGMCSIFLFMASILQRRLLVIADKPKTEDWQLKERDTESEPLNL >RHN76692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:461601:464808:-1 gene:gene57 transcript:rna57 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MIPMGNISNEEQELREIENLEREDVEKVGIDVEDGSGIAPWTKQFTIRGLITSILIGIVYSVIVLKLNLTTGLVPNLNVSVALLGFVFIKLWTKILEKANIVSTPFTRQENTIIQTCAVACYSPSFGGGFGSYLLGLNRATYERVGGASTPGNTPDTKETGIGWMTGFLFVTYFVGLTALIPFRKMMIIDSKLPYPSGTATGVLINGFHTPKGNVMAKKQVKGFTRFFSFSLLWSFFQWFYAGDGQCGFAQFPTFGLKAWKNTFYFDFSMTYVGAGMICSHLVNLSLLFGAVVSWGILWPLIRVLKGNWFPETLPESSMKSLNGYKVFISISLILGDGLYNFIKIIYFSALNFQACMKKRDHKADSFKDNSQNRTLDDLTRDEFFVKESIPIWLAFVGYLGLTIISITVIPHIFPQVKWYFVVVAYLLAPILGFCNAYGAGLTDMNMSYNYGKVALFILAALGGKSDGVVAGLVACGLIKSLASTSADLMQDFKTSYLTLTSPRSMLVSQTIGTAIGCVVAPLTASIFYHTYDVGNPDGEYKSPYAIIYRNMAILGVEGFSALPSHCLQFCYGFFAFALLANLLRDWNPKNIGKWVPLPMAMAVPFVVGAYFAIDMCVGSLVVFVWSWMKKEEAGLMIPAVASGLICGDGIWVLPSSLLAWFKIHPPICMNFLANK >RHN57457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39277092:39281071:-1 gene:gene33003 transcript:rna33003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor EIL family MMMFEDMGFCGDLDVFCGTLGEGDISSVRQTEPDSVVEDDYSDEEMDVDELERRMWRDKMRLKRLKEQTKAKEGIDAAKARQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAISKYQADNAIPGKNDGCNSIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGNPPPWWPAGTEDWWPQIGLPKDQGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEESLARELFPDYCPPLSSGGGTGSMVINDCSEYDVDGADGESNFDVEDRKPENLHPSNLGMDRMRGSFPVQQPSHQIKGEVVTNLDFIRKRKISNDFNMMMEPKMYTCEHPQCAYSEARLAFQDRPSRDNHQLNCPHRNRNNPAVYGDPNFHATEVKPVIFPQSFVQPNSTVQPASLVPPSFDLTGFGVSEDGQKMISDLMSGYDTHVIGNNNASSTNCVVIENQNLSQPIIQQQQQDNFFLNQGMVMEANFFTREENQFDRFKAMNSPFEANLNNNNNMHPMYGSSCDLASFDFKEDLQLQGGVGMDALYKQPDVSIWNY >RHN48121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45187878:45191073:-1 gene:gene42801 transcript:rna42801 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVDGASLFPFLFHDHKTKVQTIVKFKREEKNIKPTRLTTNRIFTQKANSVMILDHQLLRTILHLLNHLHLLS >RHN50903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11696982:11698853:-1 gene:gene35255 transcript:rna35255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MQRKWYIIFSHSVLNSFVCFVDAKRLIGRKFCDSIVQSDMKSWPFKVIGNLNDKPMIVVNYKDEEKHFAAEEISSMVLAKMAEIAEVYLGSTVKDVVITVPAYFNDSQRQSTQDAGVIAGLNVMRIINEPSAAAIAYGLDMKPFNHGCKHVFIFDLGGGTLDVSILTFEKGAINVKAIAGDTHLGGQDFDNTMVNHFVNEFSRKYKIDISGDPRALRRLKSACEKAKRILSSNITTTIQIESLNQGIDFYSSISRAKFEELNKNHFDKCMEIVEKCIIDSGMDKSSIHDVVLVGGSTRIVKVQQMVSDFFGGKLLCKSINVDEAVAYGAAVHASILSGECSEKDLQLSEVIPLSLGLETHGGIMNTIIPRNTKIPAKMEHVFTTHLHNQMNILIHVYEGERKITRENNLLGKFVLEIPPAPAGVPQIKISFQIDDDGILDVCSTEKSLGVNKKVKIISDKGRLSKEDIERKIKEAEKYKDEDKRYMKKVEARVVLETYAYNMRNAINDKDISLMLSSKEKEKINNALDLVFTWLDVNVIAEQEQFEYYTSILSSVFDAVIVKKINDERHGVQEGIMVGNAVKNKKNHWKQTLLKYSFIIVHAAATTNITGLASQLIVDFVKKD >RHN48824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50504941:50506288:-1 gene:gene43580 transcript:rna43580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MNTHTYIYRYAVVTGANKGIGFEICNQLASIGITVIVTARDECRGLQAFDKLKQEFDLAMSHSDKVIFHHLDVVDPKSISSLANFIKIHFGKLDILVNNAGLLTENEELTEAILKTNYYGPKGLIKTLFPLLQFSSSPRIVNVSSTVGRLKYFPNGWPKEVVSDVENLTEEKIDKVVNGFFNDFKEGSLETKGWPLIVSAYSVSKAAISAYTRMFAKVSINLHQCYLSWLCQNRYKQ >RHN69558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44397783:44399458:-1 gene:gene18041 transcript:rna18041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stearoyl-[acyl-carrier-protein] 9-desaturase MTMATICTHAWSPLQCSLKAPSKTFIKPQKTHSMSPEKKEVFKSLEKWVSQSVLPLVKPVEECWQPHNLLPDSSLPSDEFIHLVKALRDRTAELPDDYLVALVGSMITEEALPTYQTWINKLDGVGDESGSSLSPWAIWSRSWTAEENRHGDLLKTYLYLSGRVDMCMIEKTIQYLIGAGVDVGTENNPYMGFVYTSFQERATFVSHGNLGKLAKERGDLSLARVCGTIAADEKRHENAYVRIVEKLLEVDPTEAMVAIAKMMRRKITMPAHLMHDGQDPHLFDHFSAVAQRIGTYTTNDYIDILEFLIRRWRLEKIEGLTKEGQHAQEFVCGLAPRLRKLEERLDGRTSKIIHKFSWIFNNELSL >RHN59688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12647805:12649203:-1 gene:gene21774 transcript:rna21774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II protein D1 MTAILERRDSENLWSRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAVEAPSING >RHN40293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14986946:14993031:1 gene:gene46432 transcript:rna46432 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RDN2 MARASPLLMICLVLGSSFATYNLVTMIIHYGSADSLATEDGGLFFDPIVEMPEHVKNTKTSKAPFHIALTATDAIYNKWQCRIMYYWYKKQRSLPGSEMGGFTRILHSGKADNLMDEIPTVVVDPLPEGLDRGYVVLNRPWAFVQWLEKANIEEEYILMAEPDHVFVRPLPNLAFGENPAAFPFFYIKPKENEKIVRKYYPEENGPVTNVDPIGNSPVIIRKDLIAKIAPTWMNISMKMKEDPETDKAFGWVLEMYGYAVASALHGVRHILRKDFMLQPPWDTETFNKYIIHYTYGCDYNLKGELTYGKIGEWRFDKRSHLRGPPPRNLPLPPPGVPESVATLVKMVNEASANIPNWDTL >RHN39408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6865985:6872434:-1 gene:gene45429 transcript:rna45429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MALIRRRKGSEPEKGQSENSEPKIQKKEDEDDDNDKNKKNKKKNKDEVGVFKKSTRWSCVDSCCWFVGCICTLWWFLLFMYNVMPASFPQYVTEAITGPMPDPPGLKLKKEGLSVKHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGTFGEVYKRPSCWVEHMSLDNETGMDPPGIRVRPVSGLVAADYFAAGYFVWAVLIANLARIGYEEKTMYMAAYDWRISFQNTEVRDQTLSRIKSNIELMVSTNGGNKAVIIPHSMGVLYFLHFMKWVEAPAPMGGGGGPDWCSKYIKAIVNIGGPFLGVPKAIAGLFSAEARDIAVARAIAPGFLDNDMFRIQTLQHVMKMTRTWDSTMSMIPKGGDTIWGDLDWSPEESCGLHTRKQSSNNTQLTEQKTNKTNVNYGRMISFGKAVAEADSSKIDIVDFRGAIKGPNVANTSCRDVWTEYHDMGVEGVRAVAEHKVYTAGSIIDLLQFVAPKMMARGSDHFSYGVADNLDDPKYEHYKYWSNPLETKLPNAPDMEIFSLYGVGLPTERAYIYKLTPFAECYIPFEIDPNAEGGDKVSCLKDGVYTVDGDETVPVLSSGYMCAKGWRGKTRFNPSGIRTYVREYDHSPPANLLEGRGTQSGAHVDIMGNFALIEDVMRVAAGAKGEELGGDKVYSDIFKWSDRIKLPL >RHN65425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2127546:2131584:-1 gene:gene13262 transcript:rna13262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MESDREEYQGCSNQIGISSNKLKIKIAPSSIKKQGEDNTSNLPSPNTKTSIESSPYESPLVSPPSSAFVSALQSPYISPRATIPNPTTSTITTSTFTTTNPSTPEDVPSSSYTPPSDPYEFSDDTSDTKLKFMTCVPETVPPRISFSFPVPRVSFAKSSVSPATTNSKLGSCDVYIGFHGQNPSLIRFCKWLKSELELQGIDCLLADRSKYSDIQSHEIADRVICSVAFGLVIVTSSSFLNRLSMEEVRFFAQKKNLIPIFFDTLPAEIMSLLNCNSIDKECKEAMDGLIKCNEFCLEANDGNLRSCISKVSSILRARLGRKNVGHKDNHNMLGFENSLPFPRNAYFVGREKEIMEIEGLLFGSRNCCVEQQVQDHCRASGSGQSEGLADEESETTVGKGGGGRYISLELGRNKEPNLEAWIEQPMIGNSSLKRLKNKKSKSGSYNYKSLCSSSVICISGVSGIGKSELALEFAHRYYQRYKMVLWIGGEARNFRQNILNLSRNFGLDVGADSEIERGRIRSFEEQELEAFKRIKRELFGDTPYLLIIDNLESEEEWWEGKDLYDLIPKNNTGGTHVIITTRLSKVKNYDTIHLPPLPLSDAMILIRGRKRKEYSTDEVNFLEKFYEKLGRLSFGLMVVGSLLSELGISPSALFEAINQVSLNADSRSCCISMAQEQWCKNNPFLMKTLLFCLETLEKTKEKGNFLAIRMLLVGGWFSPAPVSANLLANAAKSLSTNENHLKKWTNCMSLTSSCFVTSRTWKDEEDSAALLVRFGLAKRTNLHDDGCWLHFHSITQTFSKRTGGLKFAKAAIQGVRKMNNHAISDHLWESAFLVFGFKSEPPVVELKATDMVLYIKRTALPLAIQAFTVFSRCNSSLELLKVCTNALEEVEKSFVTQIQDWSHDSICWKKRLQRRQKVDEYVWQDVTLLKATLLETRAKLLARGGHLDSGKELCRTCISIRTVMLGHNHAQTLAAQETLARLVRMRSKI >RHN48594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48665879:48679090:-1 gene:gene43328 transcript:rna43328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDAPRKHQVSLRGASAKEITRDDLLQKVSRERELRNYAKRAASAALFIQRVWRRFKVTKMVALQLQQEWETSVNCYTGVMTSNWISNNLLRPFLFFVTRFSNHYQKVHSKKIDSMKICFTILLESLNSSDSERNFCFLAIGTTEDRRIWSYQAHRLSSLGFSILSEYSECNSGAQDITVVTSLAMRILVMLTDLKGWKGITDDNRLDADLAVKGLVEFTGSNKSGSYVSIAKYITALDKYSSKMKAITQADENFFITASAITLAVRPFYLTNLDGERPDMLNVNHAAKQYVVYLMTIPWFVQHVPHVLLPALKHKSILFTCFKALLILKEDVLMEMSDLVKSEILVSFKAIPPVGWSLANFICLAAGNENNSVDSGSFNQGLDRALYVHVIVTLAESVLACLDNIEWLKKKNSLQTDTESATHESLIMSYMDQFRPVCQQWHLTNLLSSVNRDATKKADTSISNSLEYLQNLDLCDVALFYSSLLRIFSALSPVRGSLPVLNMLSFTPGFLVRLWGELEDSFFSGDKHMLDNHTSENGKFKAFEKIPKMASKDGASKWVSVLHKFTGKSQTATDRTDPIGSHSAPSRVNLDLSDVWDIEPMRHGPQGIPKSMFATLHLFCAAYSHLLLVLDDIEFYEKQVPFKLEQQRRIASMLNTLVYNGLSHVSGHHNRPLMDCAVRCLQLMYERDCRHPFCPPDLWLSPARKSRPPVAVAARTHEIYSANLRADDSSSSLSLGSVITMTPHVFPFEERVEMFREFIKMDKASRKMAGEISEPGSRAIEIVVRRGHIVEDGFRQLNSLGSKLKSSIHVSFVSECGLTEAGLDYGGLSKEFLTDISKEAFSPEYGLFSQTSTSDSLLIPNASARFLDNGLQMIEFLGRVVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPELYRSLMYVKNYDGDVKELSLDFTVTEESFGKRHVVELKSGGKDISVTNENKMQYIHAMADYKLNQQILLFSNAFYRGLTDLISPSWLKLFNASEFNQLLSGGNYDIDIDDFKSNTRYTGGYNEGSRTIKIFWEVIKGFEPKERCMLLKFVTSCSRGPLLGFKYLQPPFTIHKVACDVPLWATIGGQDAERLPSASTCYNTLKLPTYKRPGTLRAKLLYAISSNAGFELS >RHN65397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1787907:1794318:-1 gene:gene13230 transcript:rna13230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan 1,3-beta-glucosidase MEYRKYYLSFLLALCISCLHSLSFAYKAVNLGNWLVAEGWMEPSRFDGIVNKDLLDGTQVQFMSRKFQTYLCAENGGGTTIVADRSSPSGWETFRLWRVSDSSFNFRVFNKKFVGLNTIGGSTIVSFSGSPSNLETFQIIRNNDDPLKIRIKASNGLFLQVQSKTSVIADYQGTNWDDNDPSVFHMTIVNTLQGEYQLTNGYGNRAPQVMREHWNLYITEDDFRFMSQNGLDAVRIPVGWWIAQDPNPPKPFVGGALAALDNAFTWAYRHGMKVIVDLHAIEGSQNGFEHSGTRDGYTEWDDSYIPQTVSVIEFLAKRYNNRKSLGGIELMNEPLGVNQDSLKNYYKLAYDVVRKYIPNTYVIMSNPLATDSKLLLSFVKGFDKVVLDVHYYNMFWDKFNGMNVQQNIDFIRNDRAGDLSGFSSSNALSFVGEWTAEWSIQGASMQDYQRYVQTQMDVYSRATFGWAFWSYKCQNNKWSLKWLIENGYIKL >RHN46501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32323541:32328887:1 gene:gene40994 transcript:rna40994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionyl aminopeptidase MSDDEREEKELDLTSPDVVTKYKTAAEIVNKALKLVISECKPKAKVVDICEKGDSFIREQTSNVYKNVKKKIERGVAFPTCISVNNTICHFSPLASDETVLDEGDIVKIDLACHIDGFIAAVAHTHVLQEGPVTGRAADVIAAANTAAEVALRLVRPGKKNKDVSDAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSISNPDTRVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKSYHLKMKASRFIFSEISQKFPIMPFSARALEEKRARLGLVECMNHELLQPYPVLHEKPGDFVAHIKFTVLLMPNGSDRVTSHPLQELQPTKTIDDPEIKAWLALGTKTKKKGGGKKKKGKKEDKADETAEAEPVDSTNEATSQE >RHN58449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1809814:1814940:-1 gene:gene20364 transcript:rna20364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative defective-in-cullin neddylation protein MRRAASKKTGQSNSNPSAADLFRSASSKANSKELERIDSLFYSYANGSSGLIDPEGIEALCADMEVDHTDLRILMLAWKMKSEEQGYFTVDEWRRGLKALRADTVSKLKKALPDLEKEVRRPSNFSDFYSYAFQYCLTEEKQKSIDIESICELLSLVLGSTFPAQVSLFVEYLKNQNDYKVVNMDQWMGFFRFCNEISFPSLSDYDPELAWPLILDNFVEWLREKKKI >RHN51998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29961208:29964008:-1 gene:gene36599 transcript:rna36599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQFLLFIYSLIIFLSLFFGEAAYERTEPIMHNGEPINLIPCVTVADCPRMDEPLHMTCLVGACWPCIRSLY >RHN40731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20049789:20054287:1 gene:gene46925 transcript:rna46925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MKLGVNRKTGHNWSLVSWMTPSLPTPGEFSLEWEPKEGELNIKKSGIAYWKSGKLNSNGIFENIPTKVQRIYQYIIVSNKNEDSFAFEVKDGKFARWQLTSNGRLVGHDGDIGNADMCYGYNSNGGCQKWEEIPNCRENGEVFQKMVGTPTLDYETVFEFDVTYSYSDCKIRCWRNCYCNGFQEFYGNGTGCTFYSWNSTQYVDLVSQNNFYVLVNSIKSAPNSHGKKKWIWITSTIAAALLIFCPIILCLAKKKQKYALQDKKSKRKDLADSTESYNIKDLEHDFKEHDIKVFNFTSILEATMDFSPKNKLGQGGYGPIYKGILATGQEVAVKGLSKTSGQGIVEFKNELVLICELQHRNLVELLGCCIHEEERILIYEYMSNKSLDFYLFDCTKKKLLDWKKRFNIIEGIAQGLLYLHKYSRLKIIHRDLKASNILLDENMNPKISDFGMARMFTQQESVVNTNRIVGTYGYMSPEYAMEGVCSTKSDVYSFGVLLLEIVCGRKNNSFYDVDRPLNLIGHAWELWNDGEYLQLMDPTLNDTFVPDEVKRCIHVGLLCVEQYANDRPTMSDVISVLTNKYQLTNLPRRPAFYVRREIFEGETISKGQDTDTYSTTAISTSCEEGKI >RHN47422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39641099:39644100:-1 gene:gene42021 transcript:rna42021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative integral membrane protein EMC3/TMCO1 MAYPFSDFKYSDGLTVVGISFCTAIVCEAISWVLIYRTNSYKNLRSSIDKASKKLETMKTDSNKINIKKSKTKKIDRVETSLKESSRDLSLFKFKSGGVVALVLFVVFGLLNSLFEGKVVAKLPFKPFGLVMKMSHRGLQGNDPTDCSMAFLYFLCSISIRTNLQKFLGFAPPRGAGAGLFPMPDPKTS >RHN65893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6277173:6280769:-1 gene:gene13786 transcript:rna13786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nascent polypeptide-associated complex NAC domain-containing protein MKMAGSVRTGGKGTVRRKKKAVHKTATTDDKRLQSTLKRIGVNGIPAIEEVNIFKDDVVIQFLNPKVQASIAANTWVVSGSPQTKKLQDILPNIIHQLGPDNLENLKKLAEQFQKQAPEAGADATAAQEDDDDAVPDLVPGETFETAAEETKA >RHN51630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21240697:21243235:1 gene:gene36108 transcript:rna36108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MAKYNIALLFFVFFVWTSIILLVCDAIANSEESGKLHIVYMGSLPKEVPYSPTSHHLNLLKQVIDGSDIDTRLVRSYNRSFNGFAAILNDQQREKLAGMRGVVSVFPSQEFNLQTTRSWDFLGIPQSIKRDKVVESDLVIGVIDSGIWPESESFNDKGLGPIPKKWRGVCAGGTNFSCNNKIIGARFYDDKDKSARDVIGHGSHTASTAGGSQVNDVSFYGLAKGTARGGVPSSRIAVYKVCISSLKCSSDSILAAFDDAIADGVDIITASVGPIYTPDFLQDTIAIGSFHAMEKGILTTHSAGNDGSTPSTIRSVAPWLVSVAATTIDRQFIDKLVLGNGKTFIGKSINAFPSNGTKFPIVHSCPARGNASHEMCDCIDKNMVNGKLVLCGKLGGEMFAYENGAIGSIINATKSNLDVPSVTPKPSLYLGSNEFVHVQSYTNSTKYPVAEILKSEIFHDSNAPRIAAFSSRGPNPIIPEIMKPDISAPGVDILAAWSPLEPPSDDFNNYDKRHVKYNIESGTSMACPHVAGVVAYVKSFHPNWSPAAIKSAIMTTATLVKGPYDDLAGEFAYGSGNINPQQAINPGLVYDITKEDYVQMLCNYGYDTNKVRQISGDDSSCHGASKRSLVKDINYPAMVFLVHRHFNVKIHRTVTNVGFHNSTYKATLIHHNPKVKISVEPKILSFRSLNEKQSYVVTVFGEAKSNQTVFSSSLVWSDETHNVKSPIIVQRIS >RHN76225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48735105:48737731:-1 gene:gene12518 transcript:rna12518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MILRPSAWIFQTELLFPPLNSTTNNRSKATTVVCGLRSCKNKKPPSMVISKESVQVIHALKLAKNSEEKLNQVLKSKLLRLLKPDVLIVLAELHRQNQLHLSLKVLEFIISDEEAGYDKLLLPLYSDTILLLGKNKMIEKAEEMFYEVVEKGLKPDTRLFNEMIGVYLQVGNTEKAMEVYRSMKASGCLPDALTFTILIRNLMNNGENELVETLKKESFDYVNKPDKFVQKVQLKHPKKRHINLVI >RHN60424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26619379:26620237:1 gene:gene22735 transcript:rna22735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MNMEHPPTRNIPTRSSDIGFVRRLTLTVVTIFVIFMAIIGIAWFVMHPHDPSFTVTSLSVSNFTVSDSQLTGVFEAGLTITNKNKKIQLILDNFGVVILYGETVLSEAEVQQPILLVKMSNKSMKVDLVTTNSAKFVHKVVQENLVEEWNKGVVNFDVKMVVRVGFEAGIWPSKEKTLCVSCGDLDVEFYSTKDTGKLLGIGKNCNIVEAKP >RHN72044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4604805:4606781:-1 gene:gene7713 transcript:rna7713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MFRGYRGYNTRWALKVLEKVFPVSHGSDRGTETAPIALTIFFGANDACLPNRCYVFQHVPLHEYKDNLRSIVSFFKISINEELTRPSFKIRVNNPEGLPEWTNEAAGEYAKACILWPMSVKFLSLISGLKCNGSLDGKNDGLHLTNGGNQFVFEEVIKKLRDEGVSLESMAVDLPLLADIDPNDSLIEGISVVTRSSRSTQGVYVSLLGNYCIGYHYY >RHN68200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33636492:33638531:-1 gene:gene16517 transcript:rna16517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MTYVKLAPLAVFLLAAFLIFPMKKVEADKCGAYCPYPRLYCSGDCDCEPFIASLPPRLNFKCVTPHSSAELKKKVEEQPKLCWSHTECTEKGSGNYCARFPNSNLKYGLCFPSISEAVNTFKMASSLKFEKDFLKMSLPA >RHN48230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45970150:45975032:1 gene:gene42922 transcript:rna42922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione gamma-glutamylcysteinyltransferase 1 MAAMAGLYRRLLPSPPSVDFASSHGKQLFFEGIQNGTMEGFYRLVSYFQTQSEPAFCGLASLSMVLNALAIDPGRKWKGPWRWFDESMLDCCEPLEMVKSRGISFGKLVCLAHCAGAKVDAFHASQSSIHDFRKYVLKCSTSDDCHVISSYHRAALKQTGNGHFSPIGGYHAGKDMALILDVARFKYPPHWVPLTLLWEGMNYIDESTGQSRGFMLISRPHREPGMLYTLSCKHESWNSIAKFLMDDVPFLLKSEDVKDIHKVLSVIFTSLPSNFEEFIKWVAEIRRREDGDSSLSAEEKTRLAVKEEVLGQVQETRLFKHVSSFLSSSCGRQKLTSGDGDTLPAIAASVCCQGAEILDGKLSSSAAYCCPETCTKCWNAEDDKSITMVSGTVVNGNTEQGVDVLIPSSSGKLCCTCSSKKNIRMHPASTDVLTVLILSLPSTTWAGITDNQLLAEIHDLVSTENLSTLLQEEVLHLRRQLHILKRCQEGKVDEDLGAPSS >RHN76504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50824222:50828390:1 gene:gene12843 transcript:rna12843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthine/uracil/vitamin C permease MASSSWAQKTGFKPVFSAETKAGQSLSRQPDLEASPVVSTPSQAVNDVPHGDKVPPSPSDGVPTNNARVEERTTRLPVMVDHDDLVLRRRPSPLNYELTDSPALGNTIIIHKVVKIQVNSKLLQIYNLGHETDSIFSELLLVPSVNSFDGWFRLLHFFVLKHKLLHYLSIIGSLILTPLVIAPAMGASHDETAAMVCTVLLVSGVTTLLHTIFGSRLPLIQGPSFVYLAPVLAIINSPEFQELNENKFKHIMKELQGAIIIGSAFQTLLGYTGLMSLLVYQSRGCILNHCCSWTFPLVGTCLEIGAVQILVFIVFCLYLRKISVFGHHIFQIYAVPLGLAVTWTFAFLLTENGRMKHCQVNTSDTMTSPPWFRFPYPLQWGTPVFNWKMAIVMCVVSLISSVDSVGTYHTSSLLAASGPPTPGVLSRGIGLEGFSSLLAGLWGTGMGSTTLTENVHTIAGTKMGSRRPVQLGACLLIVLSLFGKVGGFIASIPEAMVAGLLCIMWAMLTALGLSNLRYTETGSSRNIIIVGLSLFFSLSIPAYFQQYESSPESNFSVPSYFQPYIVTSHGPFRSKYEELNYVLNMIFSLHMVIAFLVALILDNTVPGSKQERELYGWSKPNDAREDPFIVSEYGLPARVGRCFRWVKWVGF >RHN40008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12247293:12250174:-1 gene:gene46097 transcript:rna46097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MHDLIQEMGREIVREESIKNPGERSRLWNAGEICDVLTNNNGTSAVESICLYMDQTICINLSSNAFTKMPNLRLLAFEGHNYVHLPGGLDFLPNNLRSFGWSAYPLNSLPSNFSPWNLVELSLPYSNLEKLWNGAQNLPSLERIDLGKSTRLIECPNFSNAPNLKHINLEKCESMSHVDPSIFNLPNLEELDVSGCKSLKSLYNSTRSQSFKRLFAYKCYNLQEFISMPQNTNDPSTTTTGLTSSTLHIRNFEVVFSVHISESLLDLPENFANYIVLSHPKMNKQHTLTTLHKVLPSPCFRYVRRLIFNACHNLSEIPDSISLLSSLEFLRLFACPVISLPESINCLPRLKFLEVGYCEMLQSIPSLPQSIQWFYVWDCESLQNVLNSTNEQTKKHQNKCTVLLPNCLELDQHSFVSVLKDAIVRIELGAKPILPEDVLENNEEASSDDDNDDVDDVDDRYIYDNLIEGKIFYMLPAGNFKIGDWSPYHSTQNCVTIDLPRSDNLGIIFYLVLYQAQPYRIEDGGSFGCECYLETTSGECISIKSFFVDERVLAHSRTSFNMMSDHLFLWYDTQCCEQVMEAIKEIKANAMSAIHNSKLTFKFLARTEKNMETAIKECGFRWIYSSEGQVVEEEGCESETNKETHTVDGSESDEQEETVPAAMNFQQSVYGTPNLEAVETKDLRGVLEELLHIGFGGELMS >RHN82259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52372017:52372352:1 gene:gene6406 transcript:rna6406 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRLKSFVTFLTFILLVSLFVSLLPNVSEARPFLSPLQGREGVIGEVNGVFRTLKDAGPSPGVGHKLKNLQKLHNFEDHKLNTVQGLGVIKHSGPSPGEGHKYITNNNNS >RHN76038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47184818:47186905:-1 gene:gene12311 transcript:rna12311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA synthase MEFLTLLFIFTTMHLCFLIWKKFDQRRDQECYILNYQCYKPSDERKLGSVQCGKIIGNNESIGLDECKFFLKAVVNSGIGEETYAPRNFIEGRTVNPTLEDGVEEMEEFCNDSITKLLNKSGISPSEIDILVVNVSLFSSVPSLTSRIINHYKMREDIKAYNLSGMGCSASLISLDVIQNIFKSEKNKYALLLTSESLSTNWYSGINRSMILANCLFRTGGCAILLTNKRSLKHKAILKLKCLVRTHHGARDDAHNCCSQKEDEQGKLGFYLAKDLPKAATRAFTDNLRVLSPKILPARELLRYMLMSLIKKVIKYFAPKSAARWFGTSSKSPVNFKTGVDHFVLHTGGKAVIDGIGMSLDLNDYDLEPARMSLHRFGNTSASSLWYVLGYMEAKKRLKKGERVLMISLGAGFKCNSCYWEVMRDVVVGDDRNNVWDDCIDYYPPHSLANPFMEKYGWINNIQDPSTFKLKLAEFLLTLK >RHN47080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36962904:36965199:-1 gene:gene41635 transcript:rna41635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MSFSSCVKPFPLQVHLHPTTSCFNSHVSGTTTTTTSSLSLPPRSSLVLKQNTILHSQPKFQLKQRFSTTIRCSALTPELKTTLDKGLKEYSSWPTFPQLYIDGEFFGGCDITVEAYKNGELQELVEKAMCS >RHN46114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28760274:28760850:1 gene:gene40554 transcript:rna40554 gene_biotype:protein_coding transcript_biotype:protein_coding MFIILATSSTLYTLLSKKKKNIKKWVSMLGNKYTSITHHITLYNI >RHN46068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28252971:28255313:1 gene:gene40508 transcript:rna40508 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSQTTLEKKIVELENSKQIPQNSRPKIQRVQEYLRNRKNFEKHYSPKLVSIGPIHHDNPNLKTGEKYKLMWAAKYLENIGRNPRDLHKKIADNINELKGHFSDDVLTLTGQSLEDFGSLEEKLSWMLFVDGCSLLHILEKAKLHVPGQMNIKVDQLVLVMMDVLLLENQLPYEVLKLLWKDSDESELIKSMKNFLKCHHWATPDNKRNRRKRRNQETSNIVPDKTGKEQHSVLITNESETPSEKEKDMVPKRKGEGEHSLSIRNESQLETPFHLLDLQRNIILITSTTKINKESWSQKSSDEKVKMMTYRNIQDLRAVGIRLKSSKTRRPRDIDFSEGLFAAELTLPEIVVDDTSAATFLNLIAYEMCPDFDNDYGICSFAAFMDSLIDNPEDVKLLRSKGILLNSLGSDEEVAELFNIISTDLVPNSETYFEVRAKIHDHYCNRCNTWIAEGFHTYFSNPWAIIAFIAAFTALVLTFIQTWFTVNPASK >RHN80227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36294692:36304071:1 gene:gene4127 transcript:rna4127 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEMEQPTFNNESNDDICNSILSRFSKSTAVSHQHLCTVIGAMSQELKDHNLPSTPVAYFGATCSSLNRIVPEPNPPDHVIDSLVTILSIVIVKVPMAVLKKERESLSELIVKVIHSQSSKNSESVVVDALKCASHLLIHRDSVHWSDVSTLFNLLLGFLTDSRPKVRRQSHLGLRDVLINFQKSSLLASASEGVKNLLERFLLLAGGANANAGEGTKGAQQVLYVLDALKECLPLLSLKDKNSILKHFKTLLNLRQPLVTRRIMDALNFICLNSTSEVSSEALLEVLSTLSSLSTSSNEISGDGMTFTARLLDAGMKKVFSLNRQMCVIKLPSVFSDLKDILASEHEEAIFAATDALKSMINYCVDESLIKQGVDQITLDESRRSGPTIIEKICATIESLLDYHYAAAWDRVFDVVSAMFHKLGSDSPYFMRGILKNLEDMQKLPDEDFPFRKQLHTCLGSALVAMGPETFLSFIPLNLEAEDLSVSNIWLFPILKQYIVGARLKYFAEEILPMIGRIREKAQKLEKQGLTVSSRNADALAYSLWSLLPSFCNYPSDTAKSFKDLERHLRSTLKEEPDIRGIICTSLQLLVRQNKNIKDSNDKDDIGQDMAKEQVLVNYSQQVATENLRALEISAKNLLKDLSDVFLKSTKDDGGCLQGTVSDIASIAEKKVVQNLFKKKMSDLLKCTQNANRIDGSDSSMQIDASSDVSQSVLRARLLDFAVSLLPGLDTKDIDLLFQVLKPALQDVGVMQKKAYKVLSIILRSSDSFVSSKLEVLLGLMVEILPCHSSAKRHRLDCLYFLILHVMKSEAVKVEFLYFLTVQDSKSKDDSMAWPEVFLTEIILALKEANKKTRNRAYDILVEIAHAFGDEERGGNRNNLFQFFIKVARGLVGKTPHMISATVKGLARLAYEFSDLALTAFDLLPSTFVLLEKKNREITKANLGLLKVLVAKSQAEGLQMHLKSVVECLFQWQDEAKNHFKAKVKLLLGMLISKCGLEAVKAVLPEEHMKLLTNIRKIKERKERNRGAKSEETRSQVSKATTSRKSRWNHTDIFSEFDGDSKGSDAEYLNGKTISRGGKSSTHLKSAASSFRSKMRLKNNIPEHLSDESDDEPLDLLDRQKVRSALRSENLKRKSRSDDDEMEVDSEGRLIIREEGEQTEEKPADSEYDARSEPDSHLSARSGTKAQKRRRTAEPGRAGRAYTGKEYASKKAGGDIKRKDKLEPYAYWPLDRKMMSRRPQHRAAAKKGMATVVNMTKRLEGKSASGVLSMKSMKLKRTQKKGSKQ >RHN69129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41239595:41239798:1 gene:gene17558 transcript:rna17558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGRFQVDSDAHRSKNSYKETARNQPLILPGLPDDLDIAYLIRVPQIEHRKLHLVCNRWNNLLSENFF >RHN81384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45400870:45401272:-1 gene:gene5413 transcript:rna5413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MLLPLLLLLFILSEVVEGTKKSYGVYDKNSVKLFVFGDSYADTGNFMGSPSYKQPYGITFPGKPAGRFSDGRVLTDYIGNNLLSLLNTKSYC >RHN62158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41280517:41283600:-1 gene:gene24703 transcript:rna24703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MAGEFAGDNTPELLCLIHDITGMCVGGGGDSSSITADAMFRKDCTDLVRRISLLTHLFEEIRELNKTNDSASSSSVTVSNSEDSWSSDLVLALQSAKRLLHEAKNFSSNSSSDGAAKKIIFQFQRVTWKLEKLLSSLPYDDLDISEEVKEQVDLVRNQLRRATDKYGFMISKMPSFDSSQPLAQEISQVLGQSVSGLHKQHSCPENLSELGSIPKSNEGKSCNPFGAGSRLERTRSIHASSEVSFSIKTAPESQEISGSGNLPEVKKPDAIVIPEDFLCPISLELMRDPVIVATGQTYERSYIQRWIDCGNTTCPKTQQKLQHLTLTPNYVLRSLVSQWCIEHNIEQPTGLTNGKIKKSDGSFRDVTGDIAAIETLVRKLSCRSVEESRAAVAEIRSLSKRSTDNRILIAEAGAIPVLVSLLTSEDVMTQENAVTSILNLSIYENNKGLIMLAGAIPSIVQVLRAGTMEARENAAATLFSLSLADENKIIIGASGAISALVDLLQNGSPRGKKDAATALFNLCIYQGNKGRAIRAGIITALLNMLTDSSKSMVDEALTIMSVLASHQEAKVSIVKASTIPVLIDLLRTGLPRNKENAAAILLALCKRDTDNLSCISRLGAVIPLSELARTGTERAKRKATSLLEHLRKLQQL >RHN46591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32991807:32993838:1 gene:gene41093 transcript:rna41093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MDSPQSQQSNNQLHVVFLPYPSAGHMNPMIDTARLFAKHGVNVTIITTHANASRFQKSIDSDISLGYSIKTQLLQFPSAQVGLPDGVENSNDATSREMLSKVTRGVWMLRDSIEVLFQELQPDCIVTDMKYPWTVESAAKLNIPRIYFCSSSYFSECAIYFVRKYKPHYNLVSDTQKFTIPCLPHTIEMTRQQLCDWELENNAMKAIFEPMYESAERSYGSLYNSFHELENDYEKLCKSTIGIKSWSVGPVSAWANKDDERKANRGHMEKSLGKQTELLNWLNSKQNESVLYVSFGSLTRLPHAQLVEIAHGLENSGHNFIWVIKKDDKDEDGEGFLQKFEERMKESNKGYIIWNWAPQLLILDHPATGGIVTHCGWNSTLESLNAGLPMITWPVFAEQFYNEKLLVDVLKIGVPVGAKENKLWINISEEEVVRREEIAMAVKILMGSCQESKEMRMRAKKLGDAAKRTIEEGGDSYNNLIQLIDELKSLKKYKALGENAD >RHN75522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42966535:42971048:1 gene:gene11743 transcript:rna11743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHNPTVWFADNGERLGTYRGHNGAVWCCDVSRDSGRLITGSADQTAKLWNVQTGQQLFTFNFDSPARSVDFSVGDKLAVITTDPFMELSSAIHVKRIAKDPSDQTAESLLVIKGPQGRINRAIWGPLNKTIISAGEDAVIRIWDSETGKLLKESDKELGHKKTITSLAKSADGSHFCTGSLDKSAKIWDSRTLSLVKTYVTERPVNAVTMSPLLDHVVLGGGQDASAVTTTDHRAGKFEAKFFDKILQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDPDYFNIKI >RHN52959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40701256:40702653:1 gene:gene37701 transcript:rna37701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MENKTCIAMVPSPGLSHLIPQVEFAKLLLQHHNEYHITFLIPTLGPLTPSMQSILNTLPPNMNFTVLPQVNIEDLPHNLEPSTQMKLIVKHSIPFLHEEVKSLLSKTNLVALVCSMFSTDAHDVAKHFNLLSYLFFSSGAVLFSFFLTLPNLDDAASTQFLGSSYEMVNVPGFSIPFHVKELPDPFNCERSSDTYKSILDVCQKSSLFDGVIINTFSNLELEAVRVLQDREKPSVFPVGPIIRNESNNEANMSVCLRWLENQPPSSVIFVSFGSGGTLSQDQLNELAFGLELSGHKFLWVVRAPSKHSSSAYFNGQNNEPLEYLPNGFVERTKEKGLVVTSWAPQVEILGHGSIGGFLSHCGWSSTLESVVNGVPLIAWPLFAEQRMNAKLLTDVLKVAVRPKVDGETGIIKREEVSKALKRIMEGDESFEIRKKIKELSVSAATVLSEHGSSRKALSTLALKWQ >RHN57680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40978364:40978960:-1 gene:gene33259 transcript:rna33259 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQTKDIRKSSLRKRLMGKKSSMLWLKKVKKEIWPWRFSAFRWKRLDHLQTTFMDTVVFRILSVAEAVVLVSTVCFFYLCCGCHF >RHN71042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56031283:56033135:1 gene:gene19684 transcript:rna19684 gene_biotype:protein_coding transcript_biotype:protein_coding MMSATKPLCLFCNRSNFVGGHCAGVHLYRAGKVVDGHLLHFSPS >RHN53705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3485030:3488770:-1 gene:gene28641 transcript:rna28641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-IV family MNSFHLLFSMFFFIAFSISQTVLGNAELRALMDLKASLDPEGKILTSWIGDGNPCSGSFEGIACNEHWKVANISLQGKGLFGSLSSSVAELKCLSGLYLHYNNLSGEIPSQISNLTELVDLYLDVNSLSGRIPPEIGNMASLQVLQLGDNQLVGNIPTQMGSLKQLTTLALQYNKLTGQIPLSLGNLENLSRLNLSFNNFSGAIPATLANIAHLEVLDIQNNSLSGTVPSVLQRLGEGFQGANNQGLCGVGISTLRACNKEPDLNVSNIDTSDQDHLKNSNPATPRPEPANFQMHCNQKHCSKSRSVPTSVITASVIAIITLTIIGAGLFTFVKYRRRKQKISSNSSEGKLSPQQPKELYQKSPSTLVNLDYYNGCYPMPDDQNAGGLSNEYLNQFRFNVDEVESATQYFSEVNLLRKSKFSATYKGVLRDGSLVAITSINMSCCKTEEAEFVKGLSLLTSLRHENVVKLRGFCCSSSRGECYLINDFAMMGDLSQYLDIEDRSGHLLDWSKRVTIIKGIAKGIGYLHSNEASKPTIVHQNISVENVLLDKDFNPLIMNAGLPKLLADDVVFSALKVSAAMGYLAPEYITTGRFTEKSDIYAFGVIVLQVLSGKTAIGGSIRTAFQSFKFDDCIDTNLKGRYSNSEASILTKLGMQCIHESPDERPNMVDVIQELSVFPAHSL >RHN66762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18419739:18419987:-1 gene:gene14841 transcript:rna14841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP synthase, F0 complex, subunit A MMAGHSLVKILSGFAWTMLCINDLLYLTGGLGPILIVIALTGLELGVAILQAHVSTILICIYLNPLHANGCKQIARPSTKIG >RHN48051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44545529:44558313:1 gene:gene42722 transcript:rna42722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PWWP domain, CID domain-containing protein MPPGRRKGAKKSTATAGSSRSRSRSRRQWNIGDLVLAKVKGFPAWPATVGEPGKLKWVRPITASDLKKVFVHFFGTTQVAFCNPADIEEFTEEKKQYLVEQYLRKRQGKSAELVRAVTEIIEIYEERCNGVDETSSAGEDSNADEVNSPDLSVNSGFRDQVDTPWEINSQMKPSNSVTGKHELVYASEDDLVVARRGESYIIQKATADAVATATVKSPFPVKQEHEPVQRSQSSSQIQNSVARRSDGVKNGGNNDGNIPSDTTNNKSIRRIKHIRKSPDLFGCNDTDSSAFASNVSMEGNGSDIVTINSDVCSLNEGSTICSNLKLEQSEIIECSEYVGLNKVLSHEVKAVIGKKKRKPNRRRKINNAGAQNANQSLQNMSESPKERCSNQDGDEHLPLFKRRRVRMAINSSFTEEEHNQIAQVQEKSSKEVIIDSSLQIIASSNCENSCFADGDSSASNRVLVNVSRKLLAPCSENGSKASEVKKDQSFGSSVDDESSLPPSKRVQRALKAMYANAAEEATCIESSPSIMTSSGRSCISATKRCSCGNDCSDNRLCNLSTCSNPMILTQDNTSFEEDKTKSQLHKSGKDVIPGAGHQSSEDLSDSGVCVSAKIDSKVLMHEKLSPNLDVKCCLVGSNKDSLGLLVPPKADESIRPVIHSNASDTLDHRGINLDPVAGPNESAKLLCQKSINMPQNLTVVCEDMKGTAGDRSKINDTHVVVEEVKFERQQEDMISLSISNDCSREKGGLGIPASSSMTDGGVCLPQGSPPNTSVRHISTSDSSNIHQNGSCSPDVLQKNILSGPIDGRKDGVEANQQPRSTGKSTEAGDAALLYFEAMLATLTRTKENIGRATRIAIDCAKFGIATKVVESLVHSLENEPILSRRVDLFFLVDSIVQSSRGSKGDAGGVYPSAMQAFLPRLLSAAAPPGNTAQENRKQCLKVLRLWLERRILPEPIINHHIRELNSYSSSASASVHSQRLLRTDRALDDPIREMEGMLVDEYGSNSSFQLSALHMPCMVEDGGSDSDGGNFQAVAPQRESEAYEVQEVSHAFEKHRHVLEDVEGELEMEDVAPSLDVELNSICNVYGGNASQLDKKLPLSFAPHFSQDVPSFSPHPPSYAPPPPPPPPPPPSPPTMHLMSATSDQYRTAADSKAFSDSQTVHGKTFHSLAQPLAAPRNSRPMDAMQFQIPKCRDVQMKITESTCYSNSYPVRPPENSRSADGFAVHNKGYILRPPHRVPSDQFSFVHAENRQKSQREVPPPPSYSNRHHSVQNLKRENFYNNQERDGMRYNTRAPSEERWNTRAPHEDRWNSRAPSEDRWNTRAPSEDRWNSRAPSEERWNTRAPSEDRWNTRAPSEERWNTRAPSEDRWNTRAPHEERWNTRAAYSGVLAPYGCHPSESTRSRGHGWRLPSPSMNYRYSMPFRHHFDDAIPAANRGPSFWRPRRTEITRL >RHN69377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43005809:43006138:1 gene:gene17836 transcript:rna17836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSGRLRLLIRKDRISDLPDALLHQILSFLPTKDAAATTILSKRWKPLFLSQLILRFDDHTFPDYFAFREFFYSLMSMRDKNLPILSSTLIVATTSSTTTTFTIWYMLQL >RHN58791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4937886:4940705:-1 gene:gene20737 transcript:rna20737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein MDTLPNPTATNTKPKIIHNHQFITTTSNTTLSLIPNSKTHKQHSIFYSHHPAHISTNQMAAATATAAATSSFIGTRLREVQSNSGRIQARFGFGKKKAAPKKPSRSSPSTDRPLWFPGAKSPEWLDGSLVGDYGFDPFGLGKPAEYLQFDLDSLDQNLAKNLAGDVIGTRTEFADVKSTPFQPYSEVFGLQRFRECELIHGRWAMLATLGALTVEWLTGVTWQDAGKVELVEGSTYLGQPLPFSITTLIWIEVLVIGYIEFQRNAELDSEKRLYPGGKFFDPLGLASDPEKKATLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIIDTFSSS >RHN50923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11867229:11867493:1 gene:gene35276 transcript:rna35276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MDGVIWLLNSPDESLPFILADSGFDVWLVSGRGSKYSSHISLTPNDLVVLYSMINLSSLPLEIPHI >RHN45254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13781186:13785406:1 gene:gene39492 transcript:rna39492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MKTNSSSPVFPLMLSVLILCFVSPCLCKKEKVFTNHTNIYDVMQHGARGDGKSDDTNAFLKAWSSTCGAGGILATLVIPKNKSFLVNNLVLTGGCKATSIHIKLDGKIVAPAKGALKDKSYWIRIQYINHLTIDGGIGGSIEGYGSTWWQCKTCSRPTFCRRVSVCVMSGVRVCIDGFINIILIVQALFFHSSNDLTVRNLRITNTPGAHIAINGCIGATFSQILVNSPGNSPNTDGFDISYSKNITVVDSTIATGDDCIAVNGGSSYIYATRVACGPGHGISIGSLGKGNSFEVVEEVHVRNCNFTGSTNGARIKTFPGGSGYARKITFEQIQLKDVKNAIIIDQNYGAKMANNKMSKGSSVQVSDVTFRRFKGTSASDIGINLKCMGCSNIILDQIDIVSSQGRNDVKAFCQNFHGIIYSTLPKVLCN >RHN79798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32298609:32299210:1 gene:gene3638 transcript:rna3638 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGMRNLSCWMEVAPAPIIFPTRPSNSPTLETIKEVAEEYDDIQIKHK >RHN40024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12349634:12350600:1 gene:gene46114 transcript:rna46114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MVVASQTLEITIISGENIHVTEDAYVVVRGESLNCYTTKTVKDNDNCGKNSSFLSWNEKFLLNMPLHARSITFEVQCKKFKSVRPIGVARIAVSDILNGDESENCSRILSYKLRNWEGRQNGVIHFGVRVVVPEQRTVTVAEKQPVADGKSYGDRLTGIDVGTKNSNGAVIGIPVWWNYPNII >RHN75581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43510831:43516355:-1 gene:gene11810 transcript:rna11810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydroneopterin aldolase MQEHRIPSFSRMEGVDALIGGDKLILRGLTFYGFHGAIAEERTLGQKFVVDVDAWLDLKPAGKSDNLSDSFSYAEIYLIAKKVIEGPAHNLLESVAHKIAIATLEVHKEISTVRVKVGKPHVAIQGPVDYLGVEILRRRSDLTK >RHN70798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54081991:54083462:1 gene:gene19415 transcript:rna19415 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFSNGVVVAFILCNILLVTGLFEVADGRQVKDDKHLIHPPFIHPPHLLGHGFKHGRFGGGGIGAGGGLGLGGGIGGGFGGGVGGGIGGGVGGGGGLGAGGGLGHGIGGGIGGDGGSGLGGGGGLGHGVGGGIGGGADGGLGGGGGFGGGAGGGLGHGGGIGGGVGGGGGLGGGGGGGLGGGGGLGHGVGGGIGGGGGLGHGGGLGHGVGGGIGGGGGLGHGIGGGIGGGAGVGGGIGGGGGLGHGIGGGIGGGAGGGGGIGGGGGLGHGIGVGGGGGLGHGIGGGIGGGTGGGGGIGGGAGGGGGFGGGAGGGGGVGAGGGIGGGAAGGGGFGGGGGVGGGAGGGVGVGGGFGKGVGLGGGVGGGGGGGFGVGAGIGVGGGAGAGGGSSP >RHN68484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35909620:35911534:-1 gene:gene16846 transcript:rna16846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MDCCVCTTMPLILRPPRNTICGACYEGVRSIINMMNGLETEKAKTITTPNDSPVSRRNSSKTLDDCVRWCSEQIDQFNQQKQDLAYLRGFAAAFKEQIHTDILISPGNHGPPIPAHKSVLAARSEIFKNMLEIDECKAAPTCNTITIPDLNHEELESLLEFLYSGTLPLEKLEKHVYALSQAADKYIIPHLLKYCERYLFSSLSTSNAFETLEIADTCSNQDLKETTFNFLVKNIGLMVTSPKFEAFVHRSPHLTVQLVSRAFVNGSKLSISL >RHN79163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24808396:24808674:1 gene:gene2892 transcript:rna2892 gene_biotype:protein_coding transcript_biotype:protein_coding MLWMWDSSTGTPWAPHLVPRRHQQGVEQHQPCERYDKLALRMAISIVDMSKATYVPMNQFEGDPELEATMRETRLGPTTFSLFGKYQGKKVR >RHN65021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63700750:63701668:1 gene:gene27912 transcript:rna27912 gene_biotype:protein_coding transcript_biotype:protein_coding MVENVAEDVVEPKPGKIKASGVGSGEGKPTNDVKFKVKIHFQVEAHIVHNQAKSVSTGNFEEKPKPVPTGVRVIQVNTGSFFTTNERWKDREELLGWVR >RHN62337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42721635:42724446:-1 gene:gene24899 transcript:rna24899 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-hydroxyisoflavanone synthase MLVEIAIALLVIALFIHLRPTPTAKSKALRHLPNPPSPKPRLPFIGHLHLLDNPLLHHTLIKLGKRYGPLYTLYFGSMPTVVASTPDLFKLFLQTHEATSFNTRFQTSAISRLTYDNSVAMVPFAPYWKFIRKLIMNDLLNATTVNKLRPLRSREILKVLKVMANSAETQQPLDVTEELLKWTNSTISTMMLGEAEEVRDIARDVLKIFGEYSVTNFIWPLNKFKFGNYDKRTEEIFNKYDPIIEKVIKKRQEIVNKRKNGEIVEGEQNVVFLDTLLEFAQDETMEIKITKEQIKGLVVDFFSAGTDSTAVATEWTLAELINNPRVWKKAQEEIDSVVGKDRLVDESDVQNLPYIRAMVKEVFRLHPPLPVVKRKCTEECEINGYVIPEGALILFNVWQVGRDPKYWEKPLEFRPERFLENASQGEGEAASIDLRGQHFTLLPFGSGRRMCPGVNLATAGMATLLSSIIQCFDLQVPGPHGQILKGDDVKVSMDERPGLTVPRAHNLMCVPLARAGVAAKLLS >RHN59134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7797731:7799122:-1 gene:gene21124 transcript:rna21124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MAAKKKIRASAAKKKIRASAADLFYLPDDCWLRIFKLLLDGDDADCDRYLKPLSTVSKEFLSFTNRHKFSLTISSATRPFLPHFFQRFPNLTSLNLSHYYTADLPTDFNAILLEISRFPMNLRALDLSYKPIIPKDGLRALSQKITTLTCLTCSHISSIHNTDMLLIAECFPLLEELDLSYPGKGYNNDCTVGVEALSRELFKLRKVNLSRHRYINNRLLFHLFKNCKLLEEAIILDCHQLTNAGIATALHERPTLRSLSFANSKSLVDFGVSPQLESLHLVHSWWKSNDTIKRVPWLFPNLQRLDLSRRRVNSGNEFVFDGTEEGICNLLRNCSKIKHLNLTHYPIVMVPKMNFKVPKLEVLNLSYTEVDDEALRMISKSCCRLLHLLLEGCFIVTMTGLKHVVENCTQLREINLRKCLDVHADAVALIVSSRPSLRKIIAPPRYSFSDNEWEFLGSCLIIA >RHN54042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6432041:6433394:1 gene:gene29020 transcript:rna29020 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDMMYNSSEQKGHHHCAPMSPRISFSNDFVDLQQAMKQQERRSDTHIPVVSSDFEFSVTNYSMMSADELFSKGRLLPYKDNKIQRATTTTLKQELLHNDDEYDSFSLRPPKGRWKGLLGLRKSHIGSKKAEKSEASDQRRPNEPPQFNFTSQELANEGSSSY >RHN44660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7352231:7355516:-1 gene:gene38804 transcript:rna38804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative perakine reductase MATVGRMKLGSQGMEVSLQGLGCMSMSAFYGPPKPEPDMISLIHHAIQSGVTFLDTSDIYGPHTNEVLLGKALKGVREKVELATKFGVRAGDGKFEICGDPGYVREACEGSLKRLDIDCIDLYYQHRIDTRLPIEVTIGELKKLVEEGKIKYIGLSEASAATIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSTGTKLLDNLPQDDYRKHLPRFQTENLQQNQTIFDKVNELATKKGCTPPQLALAWLHHQGNDVCPIPGTTKIENLNQNIGALSVKLTQEEMVELESLADAVKGGRYGDEISTWKNSDTPPLSSWKAV >RHN57998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43011370:43015108:1 gene:gene33602 transcript:rna33602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cystathionine beta-synthase MAPVAARNAGAVGVGTAIFVSLVVAYFFCDRLCNPSKKKKKKKSKNGIIDAIGNTPLIRINSLSDATGCEILGKCEFLNPGGSVKDRVAVQIIEEALESGQLRRGGIVTEGSAGSTAISIATVAPAYGCKCHVVIPDDAAIEKSQIIEALGATVERVRPVSITHKDHFVNIARRRASEANEFAFKHRKSQPKGTDSQQINGCKSDGHKHSTLFPNDCQGGFFADQFENLANFRAHYEGTGPEIWEQTNGNLDAFVAAAGTGGTVAGVSKFLQEKNPNIKCYLIDPPGSGLFNKVMRGVMYTKEEAEGRRLKNPFDTITEGIGINRVTKNFAEAKLDGAFRGTDMEAVEMARFLVKNDGLFLGSSSAMNCVGAVRIAQSIGPGHTIVTILCDSGMRHLSKFYNAEYLSQLGLTPKATGLEFLGIK >RHN46986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36287078:36295810:-1 gene:gene41530 transcript:rna41530 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFNLILTLVVLLLSANGESSIKGETFIVSSFSYPHTRLRPFDLRYIRVDLPPWFSAISISLNSDVDLDVSKIEKVPMSTLPIICFRDGGPPQPDPLNITLKDSAVSGINGLDVEQCFPMQKNITLKLTNNQISPGVWFIGLFNGIGPTRTQSKMIVRGPAYSFGANITVEACTNSMMTGNFCNSPVYPFSCTPSDVNNALKATENNTMLENLMTCKSNLKTFCAHEGVPNIFSLDIINVAEEFTVMASDVKFSGTSSNKTTGANDVGLMCFVRHGAMPSATVYDYSSDLNKAPLVIHSPLIGRWYITIVPINLVNTQDSNVTACYSVESHMLQCSLGKAGPNCTMDIYTLQTFVRRGPTPFESYYLPVGSGASYTSANFPIETLLSNSSYNGEPGNIWTYLLLDIPRGAAGGNIHVQLSSDVKINYEVYARFGGLPSLISWDYYYANKTRRSDTSMFFMLYDSSDGKINFYIMYAREGTWGFGLRHLNTSRSDPSKGTTVMSLSLERCPKHCSSHGDCKFSFDASGLTSYSFCSCDRNHGGIDCSVEIVTHQGHVRQSFFLIVSNVAAILPAYWALRQKALAEWVLFTSSGIASGLYHACDVGAWCALNYNVLQFMDFWLSFMAIISTFLYLATIDEVLKRAIHTAVAILTALMAVTNATRSSNVVLVIVIGALGLLIGWVIELSTKYRSLSFSVRFSLDFSHCLQVIKRWLCNLVGSLLRRYHWPFALAGFSALSMAIVSWTLETSETYWFWHSFWHISIYTSSFFFLCSKVNIVDSENQLPANANYELTHQDSLPRSG >RHN57451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39232102:39235832:1 gene:gene32996 transcript:rna32996 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFTAEDLSTIGGIATVSILHSFIPTHWLPFSIVGRAQKWTLSRTLFVTAFGAILHVISTSLLGITAITMANTIAGEETVHKLASLLLVFLGGMYIILFLMGKGGHSHSHNQPMEKMAVAGLILVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLLSTISVMTSLVALSFYGASQLKFHWVERYDKLLVGSVLCLVGVLTLMFHDHDHNHGEVASVGEHLH >RHN73815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20211819:20215293:-1 gene:gene9685 transcript:rna9685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome assembly chaperone 4 MNSEELGKGIQELKLNHKDNEQTQLQFTCFTELVNDVNLHFQIIRFPKQIYVWIGYNSAKLGQLYAAASTRPNNVVSVTSILGGNSDNTGSGIARRLVLKTGLNIIMACNIPKNSPMVEIEAEKILIQKLISLGYTKSRLEGTSL >RHN53257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:455174:458332:-1 gene:gene28148 transcript:rna28148 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRSSSRNNRSKGVKVKHVLQIMLLVGICLWLIYQVKRSHDKKKEFDESDKKVSVGAQNAYRITELGRKDLLPGKNEVNQNEKHEEEEEDENIVEDEDKHEQNEHEERNGHEIKVKEDDESRTREGEGEGGEKEEDETGEEDDESRMKQNEHEEGDGHETKVKEDEESRTREGGEKEDGERGGEDDEMDENDPEKPEVVDRSEEFVDEGKEKEEEGDEKENENSKDEGNQGLVESNNIHEAREEQYKGDDASSAVTHDTRTTSTETETVSMENSDVNAEMGITKPENKPNYTEDGIRSQPGSNFSITEVKLVVGAYSNSSSSNETGNNSLSNPVAGSHQNNTALIYSVRHSEETSSNLTIVVPAAKNNMSGTDTSSEHNKMVMFSGIDKNDTVNSTVAGDVKNVQTEGLEQGGIIVSKENLSGSNLAVPVKTENRAAAGDESSNLEGGDIEKTRRFVASNENESKVDTVMSETNKTQNLSYVDENTNAAKYEESKGHTQASDASNSSSMNGTSDSIKHQATDSYNSHILKDMTEVQTDLNTMPDIRNVGDNDGDKTATD >RHN45561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22911375:22913381:-1 gene:gene39927 transcript:rna39927 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDKVRVQPTISHKEVHDYFKLAFNVILSDSKITRSLRAAREIVQGSKKEQYGLVWDYAKEFERSNPESTLKIDTIPIPNSPPQFQRFYVCLDACKRGFKAGCRPFIGLDGCFLKETYTCFSRSDAWCCKRQKWTDE >RHN60583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28472276:28476087:-1 gene:gene22914 transcript:rna22914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MACSDEKHDRLSNLSDDLICHICSFLSTKESYRTCVLSKRWESICTKIPNLHFQLPEISDPVMSKEEIKSLQLAFQRRSDNIVKLMLDSKMGCQPHDVHLWVSKAFVNFALLRRTENIRKLRLDSEKGCQSHDVHMWVSKALDLKVQELDLDLFLHEKVLLPLQLSTCESLVVLKLRGRIQPTLNSSFHVYLPSLKILHLRETVTYSMFDDGMEYDLNNFLSGCPHLEELLLHDTFALPINTSFHLLKRLFLLLYMPTSSIKCCPLQINAPSLEVLHVGDVSLTPRKYDLTNLSTLDEAAICICKRPDFNNLYTLLKGLSNVKTLALGSKTFHFLSMEDKLDSLHLLTFHNLLFLTVEISENCSWNMLINFLQNAPKLKDLAIAVGVQCGKFEINSRRKEVGNSRWVEPSVTPACLTTSLITFEFKGIQNIKTELDFTRYIVSHSTKLQKVKIFTLSSKKRRVEKSLRKGSKKSSLLVWDINSIEDHLDSSKISMKTTQPS >RHN52611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37081605:37086454:-1 gene:gene37305 transcript:rna37305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MAPLTVTNRFKYDVFLSFRGEDTRYGFTSYLKKALDDKGVRTFMDDEELQKGEEITPSLLKAIEDSQIAIVVLSKNYASSSFCLQELSHILHSIKDKGRSVLPVFYKVDPSVIRKLEKSYGEAMDKHKANSNLDKWKVCLHQVADLSGFHYKKKRISLLGRLLKKF >RHN42006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34724757:34725598:-1 gene:gene48390 transcript:rna48390 gene_biotype:protein_coding transcript_biotype:protein_coding MTLESSTLQIRRQGYSGDLNIVICVGFSTLPFYVINMDDVSLFTDSSFSFLANFHCIDVLCQFEFE >RHN51957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29155890:29158544:-1 gene:gene36539 transcript:rna36539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MAYSKILFFLILIRFLCFATIKAQDSTFLYSICSSNRTTTNSTYQINTRTLLSSLSSKAVGNTEFYNTTVTSINPSDSVYGLFMCRGDVLSQFCQKCIVNATEKLSSDSDCHLSKRAVIWYEECMVRYSNTSFFSTVSTRPGVFIMNSSLNVSNTESFMPLLFSTMNKTAEEAAGPLTADNKKFATKEAKISESQTLYCLAQCTPDLSPGDCRTCLNSAIEELPSCCDGKVGARVVFPSCNIRYELYPFYRSINAPSPNELVPQTNHSTQDSRFSQDPFYLSYNCSRNHSKITNKNFKLLLSYLSSNATNGGNFQKVKVEEMVYGLFMCRGDLPVHLCGQCVKNATDQIYSKCLSCPKGIIWYSHCLVRYSDQKILSNIETSPMYRDINITDYTTNQNWFTSTLSNQLSQLANDTGDSDERYKTNSLKLNDVQTLYSLGQCTRDLSSEGCATCLNNVITTSIPWSNFGSVGGRIIYPSCNLRFELFPFYMEGDEAQPPGSPPLPRNADKRKIVFIVVPTIISVMLFSISYYWLKKRGRKSRRTILRENFGEESATLEPLQFDWVVIEAATNNFSKDNYIGKGGFGEVYKGILLDGREVAIKRLSKSSNQGVEEFKNEVLLIAKLQHRNLVAFVGFCLEEQEKILIYEFVPNKSLDYFLFDSQRQKLLTWVERFNIIGGIV >RHN47862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43095261:43113522:-1 gene:gene42509 transcript:rna42509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative insulysin MVVGNENAEIVKARIDKRDYRRIVLRNSLQALIITDPDTDKCAASMNVGVGYFCDPDGLEGLAHFLEHMLFYASEKYPVEDSYSKYITEHGGSTNAFTSSENTNYFFDVNTDGFEEALDRFAQFFTKPLMSADATMREIKAVDSENQKNLLSDGWRMNQLQKHLTAEDHPYHKFSTGNWDTLEVKPKAKGIDTRNELIKFHEENYSANLMQLVVYTNESLDKIQNLVEEKFQDIRNTNRGCFRTSAQPCKSEHLQIIVRTVPIKQGHKLRVVWPVTPEILHYIEGPCRYLGHLIGHEGEGSLYYILKKLGWATSLSAGESDLSLDYSFFKVVIDLTDAGHEHMQDIVGLLFKYIKLLQQSGVCKWIFEELSAVCETKFHYQDKSPPSDYVVNIASNMQYYPPKDWLAGSSLPSKFSSSVIQMVLDQLSQNNVRIFWESKSFEGHTDKVEPWYGTAYSIEKITASTIEGWVLSAPDENMHLPAPNKFIPTDLSLKVVPEKVKFPVLLSRSSYSALWYKPDTLFSTPKAYVKINFNCPHAGNSPEAEILTHIFTQLLMDYLNDNAYYAQVAGLHYNISHTDAGFQVNLLGYNHKLRVLLETIFEEIATFRVKTDRFSVIKEMVTKEYQNFKYQQPYQQAMYYCSLILQDQTWPWVEQLEVLPGLQAEDLAKFVPVMLSRTFLECYIAGNIERHEAEAITGHIEDVLFKCSKPLCQPLFPSQHLTNRVVRLESGVNYFYPSQCLNPDDENSALVHYIQVGRDDFKLNAKLQLFALVAKQPTFHQLRSVEQLGYITVLMQRNDCGVRGLQFIIQSTAKAPGSIEQRVEAFLKMFETKLNEMTIEEFKSNVNALIDMKLEKHKNLREESTFFWREISDGTLRFDRRDFEIEELRKLTLQELIDFFNEYVKVGAPQKRTLSVRVHGNLHSSEYKSEASEPQLARIDDIFSFRKSQSLYGSFKGLTGQMKL >RHN78620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16824622:16825839:-1 gene:gene2247 transcript:rna2247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MEDSERVKILKAFDETKFGVKGLVDAGITKIPHMFYHPPDHTKKIYSQLNILVEYMNQVMKLGTILLELLSEAFGLNPSYLIDIGCSERLSAFAHYYPACSETELTLGTIKHADVNFISVLLQDHIGGLQVLHKDMWIDVPPLSAALIVNIGDLLQACFGLSFSTNDNYFPYCT >RHN57380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38540948:38541693:1 gene:gene32918 transcript:rna32918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MARLVFLICLFFFLPTVMSCDFLVLALQWPITYCRPPSNCRTGLPQSLTIRGLWPSTKFPPYPAHCVGKDLSLNMVTSIEDRLHNEWPSLNSGQSDFSFWEMEWNKHGKCSTDVFPDPLTYFSFALTKSRAADIMRVLDLNAIKPSQREIFSAVRIVSAIVNAGFGVPQLECNYLAYPSELREIRLCLHTNGTFMQRCPYNNLKIGCGLTLSWPLQ >RHN59396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10246341:10251017:1 gene:gene21422 transcript:rna21422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VII-1 family MQDQFIILSLFLVLFGPLQVISQNQPFNEDMLGLIVFKAGLEDPKNKLSSWNEDDYSPCNWEGVKCDPSTNRVSSLVLDGFSLSGHIGKSLMRLQFLQILSLSRNNFTGRINHDLLITLWNLKVVDLSENNLVGTIPDELFKQCWSLRVLSFAKNNLTGTIPDSLSSCYSLASLNFSSNQLKGELHYGMWFLKELQSLDLSNNFLEGEIPEGIQNLYDLRELRLGRNFFIGKIPESIGNCLLLKLIDFSDNLLTDVIPESIQRLASCTLLSLQGNYFNGSIPHWIGELNNLEILKLSSNRFYGQIPFGIGGLRSLQVLNFSANNISGSIPVSIRELKSLYTLDLSDNKLNGSIPYEIEGAISLSELRLQRNFLGGRIPVQIGKCSELTSLNLAHNKLIGSIPTSIADLTNLQYADLSYNKLSGTLPKNLTNLTHLFSFNVSYNNLKGELPIGGFFNTITPSFVHGNPLLCGSLVNHSCDQSYHPKPIVLNPNSNYNNSRSSLKNHHHKIMLSVSVFIAIGAAISIVVGIVAVTILNIHVRSSISHSGGEEFSFSPEKDPKCGQLVMFNGDIIEFADEANDLLKEGNEIGRGGFGIVYCVVLRDRKFVAIKKLIGSSLTKSQEDFESEVQKLGKIRHQNVVALEGYYWNPSFQLIIYEHFSRGSLHKLLHDDQSKIVFSWRARFKVILGIAKGLAYLHEMDIIHYNMKSTNVFIDVCDEPKIGDFGLVNLLPMLDHCVLSSKIQSALGYTAPEFACRTVNITEKCDIYGFGILVLEIVSGKRPVEYMEDDVIVLCDMVRSELGDGKVEQCIDEKLIGKFSLEEVTPVIKLGLVCASQVPSNRPDMAEVVNILEMIQCSSEGQQEELK >RHN58674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3858736:3863555:1 gene:gene20606 transcript:rna20606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MDQSLSQQNPHLPTLTNQPYPILQKHKTNNHNTVKKTQTKYNTKSSTMKKQKQKLSQQNPFETLSEELIFTILDFLEPKNNNQTLKSFSLTCKYFHALESKHRRALRPLRAEHIQPLLKRYTNVENLDLTLCPRVNDTSLNLIAGAYNSTLQRLNLSRSRFFTGNGVLNVAVRCVNLVELDLSNATELRDAAMVGVARAVNLERLWLNRCKLVTDMGIGCIAVGCKKLKLISLKWCVGIADLGVDLLAIKCKELCTLDLSYLPITEKCLSSIFKLQHLEDLVLEGCFGIGDDSLNNEVFKQGCKTLKKLDISGCQNISHIGLSKLTSISGSVEQLILADGSPVTLALVDSLNKLSMLQSIILDGCNITSDGLKAIGNLCISLRELSLSKCSGVTDDALSFVVSKHKDLRKLDITCCRKITDVSIASIANACRSLTSLKMESCTLVSSEAYILIGQKCHYLEELDLTDNEIDDEGLESISRCSRLSSLKLGICLNITDKGVANVGMCCSKLKELDLYRCTGVTDLGISAIASGCPSLQMINAAYCTSITDRALFSLSKCVNLQTLEIRGCFLVTSFGLTCIAMNCKQLSRLDLKKCYNIDDSGMVPLAHFSQNLRQINLSYTSVTDVGLLSLAGISCLQNFTLLHLQGVAPQGLAAALLACGGLTKAKLHVKLRSLLPKLLIKHVEARGCVFEWRDKEFQAELDPRCWKLQLEDLMH >RHN56116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27894834:27896202:1 gene:gene31461 transcript:rna31461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKTLNFVCAMILFISLFLVSKNVALYIIECKTDADCPISKLNMYNWRCIKSSCHLYKVIQFMV >RHN69975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47694095:47696412:1 gene:gene18511 transcript:rna18511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ssDNA-binding-TF family MIRLTHWLFHCTTVWAPKSYLTPRVKSTLTVLEKMSGTGKGKKREELDHASEDDTESHAPPKKTAKKDTEDDSGDIVFEIGKNRRVSVRTWNKQPWVDIREFYTKDGKQLPGKKGISLNMEQWIVLRDHIEEIDNAVKEKS >RHN58794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4957975:4961918:-1 gene:gene20741 transcript:rna20741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative selenoprotein, Rdx type MDRTQILLVGLPLFLFFSDIINLFSPSPPPKPSRHNHVHPIPQPQQSHLQQPLDFPIQKQSGIDPIGVGPIGAGNTVSIDFCTSCSYKGNAVSVKNTLESLFPGINVVLANYPPPLPKRALSKVVPVLQTGAIIAITAGDQIFPRLGVTPPQLYYSLRANKFGSIASIWLLSNFVQSFLQSSGAFEVYFNGELVFSKLKENRFPGEFELKELIGRRIGNTPML >RHN66901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21156542:21177867:-1 gene:gene15018 transcript:rna15018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MTELGKTLTEKVLNKAIEKSRNIFCFTCILKEFNEEKDKMEAGRATMREKFQVAANKGKGIKTNARLWEEQANKLIQENTKTNQRCFLGFCPDCIWRYKRGEDLSTKTKEIRKLMEEKFENVELDRHLPGVKRYSSQYYISFESRKLKYEELLDALRDDSNYITRLQGMGGTGKTTLAKEVGKQLKTSKQFNHVIDTTVSFTPDIKKIQDDIVGPLGLKWEDISESDRPKKLWSRLTNGEKILLILDNVWGNLNFDDIGIPKSDNHKGCKVLVTTRNLRVCNQMVCEKTIQLDLLNEEEAWSMFKLHANLTDNSSQGILKKGRKIATECKRLPVAIATVASSLKGQKRREEWDISLKTLQKPVSVGGIGDDLVDIYKCLKFSYDFLKDKNAEGLFLLCSTFPEDAEISTEVLTRLGIGVGLFGDDYGSYKDARTQAAASKNKLLDSCLLLETEEGYVKMHDLVREVAQLIANNEMNIVNFSNKNQKSQVERDKNIKYLICEGNLRDLFASKFDGSKLEFLIGNMHIKDIVHIPISFFENIPRLRVLNLSRHTPYQYHDYHHRLSLPQSIKPLSNIRSLSFEKFDLGDISAIGGLQGLETLELTHCAIDELPREIEKLENFRLLELKKCKIRNNNPFEVIQRCPSLEELYFLNSFNDSCKEITLPTLQRYRLNDVTVYHYKMKDTISRGVSLTWDYFSEATFKYVMETTELLHLEGTDKGWRNLMPGIVSIDNGMNDLIKLYLDNCDQVQCLVDTKHINSQVPSVFSNLKNVTLRSCSMLVSVFDLSTSRGLLLLEELIIFNCEKLESIITIECEEIVDGDNDNNKICNSMFPNLKVVIIDWCHQLQFVLPCYSARDFLLLEKITIYGCHKLKYIFGQHQDVQLPSLKELKLDDVPNFIYIFPEPSSIKGSSDSISKPQSELEPVKSNTFSWSQICCYGYKLKGSTSTKIVLVSEDQPKDCSITLFIYPNLWERAQCLSTSMLSHILCNIKKMILTSLPRIKSVFVMSIVSKLSLETLTIENCDELEHIIVDIEYGSGGNNWGNNVFPKLKELDVDDCEKLEYIFGHIDASDHHHNHNNEVIQLHLPALKCLALRSLRSLIGMCTKHYRTILPALTELKLVKCFKVDITSIGDFIVANYSNKGPT >RHN62696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45215735:45223519:-1 gene:gene25296 transcript:rna25296 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTRGWRRQRPPVNLGDPIPPGYGSSLGSCADAVPKYTVSPEERRQRSSKS >RHN57002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35630124:35635279:-1 gene:gene32485 transcript:rna32485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase/oxygenase activase MVASVSAVRAVSGTPLSLNSSGAVASVPSSSFFGTSLKKVTSRLPNTKVSSGRFKIVAAEINESKQTDKDRWRGLAYDTSDDQQDITRGKGMVDTVFQAPQDSGTHYAVMSSYEYISTGLRQYNLDNTMDGFYIAPAFMDKLVVHITKNFLTLPNIKVPLILGIWGGKGQGKSFQAELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREASDIIRKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENARVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRHDNVPEEDVVKIVDTFPGQSIDFFGALRARVYDDEVRKWIAGVGIETIGKKLVNSKEGPPTFDQPKMSLEKLLEYGNMLVQEQENVKRVQLADKYLEGAALGDANQDAIKSGSFYGKAAQQVNIPIPEGCTDPNAKNFDPTARSDDGTCLYTFE >RHN80970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42333728:42340749:-1 gene:gene4957 transcript:rna4957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MGKVNGVDEIENNHDEATTSEKNSTETSSTNVVTNGEKDKTKEKQETVPFHKLFTFADSTDILLMIVGTIGAIGNGLGLPLMTLLFGQMIDSFGSNQSNTTDVVEQVSKVSLKFVYLAVGSGVAAFLQVSCWMVTGERQAARIRGLYLKTILRQDVTFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLIATFIGGFVIAFTKGWLLTVVMMSTLPFLVVSGAAMAVIIGRMASKGQTAYAKAAHVVEQTIGSIRTVASFTGEKQAVSSYSKFLVDAYKSGVFEGTIAGAGLGTVMFVIFCGYALAVWFGAKMIIEKGYNGGTVINVIIAVLTASMSLGQASPSMSAFAAGQAAAYKMFETIKRRPEIDAYDPNGKILEDIQGEIELKEVYFSYPARPEELIFNGFSLHISSGTTAALVGQSGSGKSTVISLVERFYDPQAGEVLIDGINMKELQLRWIRGKIGLVSQEPVLFASSIKDNIAYGKDGATIEEIRSASELANAAKFIDKLPQGLDTMVGDHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALDRIMVNRTTVVVAHRLSTVRNADMIAVIHRGKMVEKGTHSELLKDPEGAYSQLIRLQEVNKESEETTDHHGKRELSAESFRQSSQRKSLQRSISRGSSIGNSSRHSFSVSFGLPTGVNVADPDLEKVPTKEKEQEVPLRRLASLNKPEIPVLLIGSLAAIANGVILPIFGVLISSVIKTFYEPFDEMKKDSKFWAIMFMLLGLASLVVIPARGYFFSVAGCKLIQRIRLLCFEKVVNMEVGWFDEPENSSGAVGARLSADAASVRALVGDALGLLVQNLASALAGLIIAFIASWQLALIILVLIPLIGLNGYVQMKFMKGFSGDAKMMYEEASQVANDAVGSIRTVASFCAEDKVMELYRKKCEGPMKTGIRQGIISGSGFGVSFFLLFSVYATSFYAGARLVKAGNTTFSDVFRVFFALTMAAIGISQSSSFAPDSSKAKSATASIFGMIDKKSKIDPSEESGTTLDSIKGEIELRHISFKYPSRPDIQIFRDLNLTIHSGKTVALVGESGSGKSTVIALLQRFYDPDSGEITLDGIEIRQLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKGGIATEAEIIAAAELANAHRFISGLQQGYDTIVGERGTQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGRHETLINVKDGFYASLVQLHTSAKTV >RHN42845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41428200:41430900:1 gene:gene49342 transcript:rna49342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-tyrosine-phosphatase MSESNDRAATGGGGSGYRSTFSRSVSWTDCSPSNRRPPTPSAAVKNKQRSLLPPLRPLSINKRSIGEWPSAGSDDLGVWPETPRGRGSITGSEFQFTRDRLAFYDKECSRIAEHVYLGSDTVAKNHEVLRKNGITHVLNCVGFVCPEYFKSEFVYKTLWLQDSPTEDITSILYDVFDYFEDVRLQGGRVLVHCCQGVSRSTSLVIAYLMWREGQSFEDAFHYVKNARGVTNPNMGFACQLLQCQKRVVNVNSNANANVNAMPSSPNSVLRMFRMAPHSPYDPLHLVPKMVNKPCAKELDSRGAFIVHVPSAIYVWIGKNCSSVMCCNARSAATQVVRYEKANAPILCICEDEEPMEFWVALSNQQLLLGSSDKKEVLGKDSDERMEIDGDGVVDMGIHPRKVDEYDLDFGIFHKALAGGVVPPFSVSNTGSETLLPARENSWGRLRRKLANGIMKGLFTSSKCCDTTSPKDELKVSVEEEEKQHSVVDSFPPSSKHLSGSSGYLDYIIDSSSGKARDGPVLVDRLVPSVGSSLPPTPRRKSDSFHCSLSNSPKFCSKSLTLSPSNSDCDSSFTFSPASTNWSDLSFMSSRPPSPSFGLDSAEQPFYVKDASFLERSSSLRKDAAVPSSSETILFNHTMVGRKGSNSKPSIAERRGSKPPPQMLVSSVSESSRAHKVLVRSQTFTLPARDDNLMK >RHN46955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36011258:36013401:1 gene:gene41497 transcript:rna41497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 3-beta-dioxygenase MMSDSKSHAEIPILDMSKTLDSSSLSSLIKASKVWGFFHIINHGISKELCSQLHSISKYLFSLPSETKLRVGPFSSLKSYTPHFIASPFFESLRVNGPNFYVSAKSSSEILFDKQDSKFSVILQEYGNKMEELSKKILRLVLMSLGEGIEKKFYDSEFKNCHGYLRINNYSCQEIMENDKVEGLGMHTDMSCITILYQDEIGGLQVRSNEGKWIDICPNEGTLVVNIGDMLQAWSNDKLRSSEHRVVLKHIVDRFSLAFFWCFEDEKVILAPDEVVGDGNKRKYKPFVCLDYLKFRENNERGRFEKVGFTVKDFAGINKAHL >RHN48443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47497430:47498437:-1 gene:gene43159 transcript:rna43159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor S1Fa-like family MADEFEFGDKIPPSFDRMKAGSQGFNPGLVVLLVIGGLLLTFLIGNYALYVYAQKALPPRKKKPISKKKMKKERLKQGVSAPGE >RHN55283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16798148:16802555:1 gene:gene30435 transcript:rna30435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MGLLGSDNVKMVESWDMSKSKGSKKMKKDKENEDVIDAGKTKTGCWVGLRFIGSCISSRSKVDSSVSGSGTSTHYAESKSTNDTSRGQRTAPVISSTTTSNAESNSSTTKLEEELKIASRLRKFSFNELKLATRNFRPESFLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLVHQNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSMPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMISGRRSMDKHRPNGEHNLVEWARPHLGERRRFYRLIDPRLEGHFSVKGAQKAAQLAHHCLSRDPKARPLMSEVVEALMPLPNLKDMASSSYYFQSMQAERFGASPNSRSVHAQGASLARNGQQRRSLSIPNGAHVSPYHHKYPQQSPKPNVKA >RHN72910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11772364:11774003:1 gene:gene8676 transcript:rna8676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MKEDARKSKSLSFKYTPNYSEIKKTEFKANHVCQRPKQEEYLGNYEEVFYQPKTDETRAAYDEFLNLIRQPLAGQPLSTLGFVAYIIVEILKNETGSKYPWNKRKHIDLILNPISDHVFDQLLSISKLLTDFHDDNVSSSSSPHGKEEPIPLQEGGVNTTVV >RHN55394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17907339:17909214:-1 gene:gene30567 transcript:rna30567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MTDALLRVVFKNLALLAQNEFATLSAIKSKAEKLSTTLELINAVLEDAEKKHLTDRSIQIWLQQLKDAVFVLDDILDECSIKSTQFKSSSSFINPKNFMFRRDIGSRLKEIASRLDYIAEGKKNFMLREGITVTEKLPSEVAEWRQTSPVIAESKVFGREDCGVGKTTLAQLVYNDDNVSEIFKTKIWVWVSKVFSVKGILCSVIESMTEQKFDEIGLEVIQRKVQEMLQRKRCLLVFDDVWNKSEEFEFGLNQKKWNRLKSVLSCGSKGTSILVSTRDMDVASIMGTCPTRPLEEPFELVKIGKEIVKKCGGLPLAAKALGCLMHSKKEWFEIKESELWALPHENSIFPALRLSYFHLSPTLKQCFAFCAIFPKEAEIMKEELIHLWMANKFISSRKNLEVEDVGNMIWNELYQKSFFQDIHIDDYSSVISFKMHDLVHDLAQSVAGHECVVLENASVTNLSKSTHYISFNHLCPVLLEEDSFKKPESLRTFYQHFREDFQLSFESVLPIKQTLRVLRTKTLELSLLVSLIHLRYLELHSFEIKIFPDSIYSLQKLEILKLKSVYKLSCLPKRLSLFTKS >RHN78868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19430306:19431467:-1 gene:gene2532 transcript:rna2532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 3-dioxygenase MENGENLSSFYTLDNAQEKSISHVPQNFVIPNMHRPNLETKYANLAVVDMAALKNGSASRSRVIQEIRDSCRRLGFFQVVNHGVSESVLEEALSVASKFFDLPTKEKVNLMSNDVYKPVRYCTSIKDGVDKVQFWRVFLKHYANPLKDWIHMWPENPSDYRYYIH >RHN73127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13636224:13636684:1 gene:gene8911 transcript:rna8911 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFISASFVLVLLVVTTMINGAYSAEREDDLVPLPPGEAEQLCDDWHQLCSDDPVSNSAYCDRYKLFCSIAPNGGDSPPQPESTLP >RHN77405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6637513:6639958:-1 gene:gene852 transcript:rna852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S9 MAVSLSSLTSSLSSLSFSSNISSKPTTLSLPRTFSLSRSPKFHSLTVTATTLASPAEPEIADLKTYVKSRLPGGFAAQTIIGTGRRKTAIARVVLQEGTGKIFINYRDAKEYLQGNPIWLQYIKVPLLTLGYETSFDVFVKAEGGGLSGQAQAITLGIARALLKVSEGHRVPLRQQGLLTRDSRSVERKKVGLKKARKAPQYSKR >RHN40941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24747295:24751455:-1 gene:gene47189 transcript:rna47189 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKVKGINWVGNIYHKFESVCHEVDGIVGQDTAKYLENRVQNVGDSVKKLYSGVVHELLPFPTLSSPTKYETHTFSLKNNVGLSVIKLAGGVEDNDKNNNRVEENPANNFIESLQDSNVIDLVNEQQVGNLPGKHELVNQVSGETCSDSLELEDSFVTQEEVADDSREIFVLENEILHARIEETANKSTSKLLNLMSLKEYEPVEFSMHSQFHSGSSDSACGVSMRMEVNVEQDSCLIVEEDNMSSSSAEVLDFTSVGETKLTKSYLFDESSDVDKGDTDILAYVSPAVSFASCKQPYITEIGTSYVESSVVSDGPYSESLESYPFEIESDKSNSGDVALCISDNSMAQVKESHDGIISSCRCQSMESNDESRSIKLKLEDIQLNYDTKREDSGIFVNDRELYAVSCRIQKLRSYKKRIQDAFSSKKRLAKDYEQLAIWFGDADIEPGQDLPPTLRRFNSRTYVNSTNLQVQQASETEWELL >RHN60664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29248258:29252552:1 gene:gene23014 transcript:rna23014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysine methyltransferase, S-adenosyl-L-methionine-dependent methyltransferase MEADRLNTPTTFEMPLEVLGHDLLFAQDPNSKHHGTTIWDASLVFAKFLERNCRKGRFSPAKLKGKRVIELGAGCGVSGFAMAMLGCDVIVTDQKEVLPLLQRNVDRNISRVMQKNPELFGSIKVSELQWGDESHIKAVGPPFDYIIGTDVVYVEHLLEPLLQTILALSGPRTTTVLGYEIRSTSVHEKMLQMWKKNFDMKTVSKSKMDETFQHPSIELFIMGFKPLAEGPENSSQAAAEKVDVEPDVEDKNTEENIVLEGSGLVEENSEDHSKSIPRNAKLSEWEARRYGSVAAKILRDVKIS >RHN60953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31803666:31804022:-1 gene:gene23358 transcript:rna23358 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIISLMDSGFLSVFTLFSRLVSLFFLFPVLFSPSPSFHNFFSFSHSLSRIISSYNILLYSSYSHNYSLLQMISIELDTYFLVSYSI >RHN77801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9512678:9523190:1 gene:gene1294 transcript:rna1294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MVSTSNFGVKARYARGVIVFLYLFLLPHKTYSQPCSSSCGKISNITYPFRLKHDPDHCGNNLYELNCLNNVTVLKLYDAEYLVQSINYNNYTIRVVDPNIQPTNCSSLPHFFLYRNNFTQKDTNSYKYSFRRSNSTFDYSDRIDLSRPVIYLKCTSPPSNVVDKYYTDTTSCLDEHTYVIVGDPQLGILQPQCRVKLVTLTSFWVPPPSVFSINNIIGNVSYIDIHKALGYGFEISWMQASCRCDYCYLKDPTSEIQCYDFYSRWMYLADILFGFVVGIIRGLRELAGMNNNSDYVNIGGEYVGAFTGRYVLPWITVRIMLGIILFSALLIYKYRRRHASIYENIEDFLQNNTLMPIRYSYKEIKQMAKGFKVKLGEGGYGDVYKGNLISGPFIAIKMLKIKSKTNGQDFISEVATIGRIYHSNVVRLIGFCVEGSKRALVYEYMPKGSLDKYIFNKEGVISLTNDQIYEISLGVARGISYLHQGCDMQILHFDIKPHNILLDENFIPKVSDFGLAKLYPIDNSIVALTAARGTIGYMAPELFYQNIGRISHKADVYSFGMLLIEIASRSRNLNSHAEHSSQLYIPFWIYDQLVKNTVTEMEDVIMEEINDVLKKMFIVGLWCIQLKPIDRPSMKKVVEMLEGDIENMEMPPKPLLYPHETIQENLDSNSNETESDWPTSYSEEIVTNPLLK >RHN48180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45613097:45616738:1 gene:gene42867 transcript:rna42867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal recognition particle, SRP19 subunit MEGELPSIKKWIVLYPVYINSKKTVAEGRRIGISKSCENPTCVEIGDCCNFLKLPFAIELDKAYPRDFMQRGRVRVLLKNEDGTLINPSIASRKQLMLRVAEMVPKHHGRTKKQETASTTTATAGPSNKSGKGGKKRR >RHN58693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4086281:4094401:-1 gene:gene20626 transcript:rna20626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MMILLVWIIALLGFLKVAASLLRRGQERRDGAGLIPEVEGVAEREMPNNTPQLKYDVFVSFRGEDIRHSFLSHLVKAFPRKQINAFVDDALTRGDDISHSLFEAIEGSPISLVIFSKNYASSHWCLDELVKIIECKEKYGQIVLPIFYGVKPTNVRHQKKSYENAFSKLEKMHNSSQVQIWRDALKISCNSSGITSSSFPNDAVLVEEITKVVLMRLSELKNSPVNSKELVGIDKPIADLNSLLKKESEQVRVIGIWGMGGIGKTTIAEEIFSQNRSDYDGCCFLEKVSERLKTPGGVGCLKESLLSELLKESVKELSGDIKRRISRMKVLIVLDDVKETDQLEMLFGTLDWFQSDSRIILTSRDKQVLRNNEVEDDDIYEVGVLDSSEALVLFNSNAFKQSHLEMEYYELSKSVVNYAKGIPLVLKVLAHMLRGKKKEVWESQLDKLRRLPVQKVYDAMRLSYDDLDRLEQKYFLDIACFFNGLDLKVDYMKHLLKDCDSDNYVAGGLETLKDKALITISEDNVISMHDILQEMGWEIVRQESSDLGKRSRLWNPDEIYDVLKNDKGTNAIRSISLPTMRELKLSRHVFDKMTNLRFLYFGGIEGFDCFPQGLQSFPLGIKYLHWTYCPLKSFPEKFSAKNLVILDLSDSLVEKLWCGVQDLINLKEVRLSYSMLLKELPDFSKAINLKVLNISSCYQLKSVHPSILSLNRLEQLGLSWCPINALPSSFGCQRKLEILVLRYSDIEIIPSSIKNLTRLRKLDIRGCLKLVALPELPSSVETLLVKDSFSLKTVLFPSTVAEQFKENKKSVEFWNCENLDESSLINVGLNVQINLMKYANFGSDEAMYVYPGSSIPEWLEYKTTKDDMIIDLSQPRLSPLLGFVFCIVFPKCLLNFSKFILKITTIEGDNEKDGVDINLRSMPLDIYLDHVCMIQDQRCSGYLTRIAKNQTSFKIKVTAMSGFIKVKLKGFGMSPINQSTYQNLIQQMEYECA >RHN78016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11266501:11274320:1 gene:gene1530 transcript:rna1530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MWQYQRGKKLALKTLEIAELKGLNFESVGRAGKLPGVEYHSSQDFIEFESRSSQHKQLLDAIKDDHNFMVGLHGMGGTGKTTLVQKVGNEVKRSNLFDEVIFTTVSHAPDIRKIQDNIAVPLGLKLEEGDQLQRAKRLWSRLTNGERILVILDDVWEELKFEDIGIPSSNNHSACRVLLTSRKMSVCNSMSCQSNIELELLSEEDAKILFEKHTGLRDDSSKSLKKLAQQIANECKRSPVAITAIAKSLKHQPPELWKAAFKSLKEFKQIRNVDEDLKIYKCFQVSYENLKDEKAKKLFMLCSLFPEDYEIRVEDLTRFGKGLGIFGDVDSYEAARIEMLTAKRKLLDACLLLKGQEGRVKMHDLVRDAAHWIKNYEIQVIMGCKIHATAKKGTMTYLYCHDVKRFSPLLNQLDCTKLKILIIHCIDKDGVVEMPQAFFEGTKGLEVLAIAKAENIRGKPSLVLPRSIEFLKNIHTLCLRGFNFGDISILLKLEILETLELSDCSIIRLPKGMVKLEKLRLLSLTCCVIDKNPFEVIGKLSQLEELYVMRSPDRFKWRFDKEVVATIFDKYNIIPTLQRYHIQIGHDLGLYHSVDDSISRALSIEYFDPTSNATIKDLVERAEILHLKRIRGDYTTVTPQLVEAIGGEMNDMIHLKLEICSKIECLIDTNNFSSSIGSIFSKLVKIEVRSMDNLKELCYGPPPSDFFGNMQEMSIHLCHQLHGRLFEGNLNLGRIKVLQVEYCRMLTSMFTPSTAASLVLLEELIIEGCKELRNIIGFEEEEENIQEHIVPHDDTDQKVYGTIFPKLKTFDLIMCDQLEFTITECVTSSPSNCLLRQSLILRHVREIRLKNCLKIKVLFSLSVARTMLLEELRIKECHSLKNIITDVGDDQNCVTHGSVFPRLKFLSVQDCSQMEYMLGQEHEEHNNNDTEVHIDLPELEHLTFSRVPKLVSTCSIRYNATYPSLKEFCLEGCPEFTVNSISDFMFHLGARQLVDTSTEDIGEIMKHFQTLEKLCIENSGIQGIFCLEEHPTIAQQMSSSLQSLKLNNLHDLRYTFMGPKKIMSLENVKTLQIEGCSKLKVVFSASVLRSLPQLTYLEISNCEGLHKIMEDNEENQRQTIPQSQLICFPKLVALIIKSCHGLKSLISVNTFQEFPKLELMIIKEASQLDDMFISEPHDEIPKQKLRLPNLKYLVLMQLPNLTDPSQHMELQNVTYSIVDHCPNLSFDSTTTLEDLKNILEDGNIDRDVHWELFEIFDTIVEEAENENPISETTHQLPIVQEVQDVQVQSAPQRELLCSQNIDEADNEIVAAHDSRMETSSTDLEVITLTRPCPTPSDIHQCEITQELVDEKVVRERSFLDQQEVLRKESFKLEIAVPLPYNVLTTKETVEQSLLECPEAEKATTKIWSTNSEPTKQSPRSLLIPLQKASSQNVNGNVEEVSTSDETLTSPQLVEKQSIDEQIPMEQGTLRENKSFNRTPDEHSNLTQNVKSSTNGSVSKVVSSKTASVVPSFQELDCWALAPVIALLNETSSKISPIIETNEKCSTSSSMQRMSSSLLKDEFNKNAKVDCFSPDTENSTSSLILELKTELSVYLNMSLETIIDNNVFNNVERIVNSLAGEITDAFKQNILKDFANRLKIFKESVPKAMSTLESSSGFMSTYENLNMELNVKLSEGQEKIENLETKLSETLAKEYTIDMEIQRLIDEKSEILAQKNFLESQLDKYTQVVSKDYEKWKGLGEEMKSCTDGWLKSKEDLAHANASWKILKEILLL >RHN41807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33156896:33158711:1 gene:gene48168 transcript:rna48168 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMSYNRVSNGSNKGFRLNPRKFYVLRLRKRFNFFLRLFNNLKLSYGDALQMLKKVFCRKIGFKRNNSSRRSLVRDEEVKGHDDYWKMRSSYGRSNSFYAEAIEDCLEFIKRTSISSKDQIQDS >RHN38704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1391898:1395508:-1 gene:gene44665 transcript:rna44665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Actin family MMSAYSNIPTTSYELPDGQTIEIGADRFKIPDVLFNPSLAQFSIPGMESFAEIALSVRGLPQMVIKSINECDVDIRRELFSSILLTGGTASMQKLKERLEKDLLEV >RHN46409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31462423:31463041:1 gene:gene40891 transcript:rna40891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S4/S9 MVHVAFYRNYGKTFKKPRRPYEKERLDAELKLVREYGLRCKRELWRVQYALSRIRNNSRTLLTLDEKNPRRIFEGEALLRRMFKHGLLDETQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGLPGRVKRKNLKAAAKKASGGDGDEEDED >RHN46595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33040648:33041322:-1 gene:gene41099 transcript:rna41099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MKARLIGDTRAEKNFGKETELVNWLNSKQNESVLYVSFGSLVWHPHAQLVEIAHGLENSGHSFIWVVRKYDRNEDEEGFLQVFEERMKESKKGYIIWNWAPQLLILDHPATGGIVTHCCWNSILESLNAGLPMITWPIFAEQFNNEKFLVDVLKIGVSVGSKENEFWNRISVEAIVRREEIAKAVEILMGNGQESKEMRKKAKNLGAASKRTIEDYCFIFYGQV >RHN69303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42445481:42447666:1 gene:gene17752 transcript:rna17752 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPPTKSTSIYDLDRSFAYYYYIISLSVIIILTSWVSWSVTIGEAVGLHTALQWISDLQFDNVDFALDSKQDVDSFHTGVDDDNELGCIITACRQLFQDSFQNSHVEFNRRQANGVAHELARVAPSFMMMYPRNVAHNAIELDLELFFPHSSRSNNIWLVFFICIIRFHWF >RHN56797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33819348:33821571:-1 gene:gene32251 transcript:rna32251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MDKTSNASEGDAKPRRLLDIVLSWPLEDVLNENLYKHKVHKIPETFKSATDYKNSFIPLLCEETRTDLSSSLSGVSRAPICEIKKVIKSKQLQLPKAQKHFKQFRHKIQLKSTFYSVEDGGDYEPGSGDLIAFTNIRPKSLDDLNTLKSPYHIGYVDRPKKRFSDMVSVLSSKCLKTDTEHDFGNREEPKLYAVYLMNMTTNLRISNALNSPSEGEHLNIIKTVLGPHLISGENCQNCLSEENCQASFTKEDMIIRSQKLNESQEDAVSSSANMINCNHSNVKLIWGPPGTGKTKTVACLLFSLLELKTRTLTCAPTNTAVLQVAIRLHRLVMDSLELETYGLGDIVLFGNSKRMKLSSHPGLVDIFLDNRVENLKRCFDSNIGWETNLRSMIRLLKSMEKFTLRKKYRAVFAFIYKQKFVEQREKLKLLMQTLYTHMPKSFISLETVKKMLQALDLLRSLGISLWQAKF >RHN54916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13513408:13520075:-1 gene:gene30015 transcript:rna30015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MQDPTFSAAVETTDHHHPQPPLKRNNRKRPVFKLLPGQIAFRLVCHSSTVGGLIGSSGSIVSQLRRETGCKIHCEDAVVGTDDRVILVIGSMSDRKGIVLGDREMEVSNAQEGVVRVFDRVWGLESEKGGNGEVYGKLLAHSSQVGAIVGKGGKNISNIRNNSGSNIRVCPAPHCAAKDEELILITGESLAVKKALIYVSYCLQDCPPMGKVSLNTPPTINSSDRSTSYTHEDLFPHLNSWLPSMEGLSINDASKQTTNANGNSSLDSKGSGHAVVFRLLCSNNVAGSVIGKKGSIVRTFEIRTGASIVFAPPLGQYEERIVTISAFENLESSNSPAQDAVILVFTRIAEDHIRNGFQPATAVESPVTARLLITTSTLHLLTGNEGQVISELRQVSGADIQLLHGEPIPNASDNDVVVQITGGYRCVENALRKITSIIRDNPLTNELLAEAKIKPSFPLNKDTVRSKFITRKKSSFPFARVPPQNAGVYQAKKVTENGESHTNLIENVEPGRGNIVATVTNTTVEIIVSEHVFGSVYGEDGGNLDRIRQISGADVTVFDPSSTGTSGGKVVISGTPDQTFAAQSLLQAFIQTAQAS >RHN45512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21847110:21849899:1 gene:gene39864 transcript:rna39864 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTMHIDHLVPQLSNANKTLSSSCCNGQFCRKQEITSNGSLYNPVFETVPSRREVEDAISALQEFMKAVSTTIIDQQIADSYDYDSRIVQSQGYNRLYNALQLLQADPAVKRLVISLSSDEAIWDAVIRNVLHQRLLELPDAAKPKRPQSSEQREISIEILNWIFHIMKGKVLELIQSFQSLMNDLFQSPGIENATGDTSQLDEKAPSIICKTSAATSYRYQLFQ >RHN41887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33888652:33889006:-1 gene:gene48251 transcript:rna48251 gene_biotype:protein_coding transcript_biotype:protein_coding MWVGSEVVVEGRVDGPEDLAYDKRRRLIYTVAKMDGSNELLWLTRWLKIGFTVVEDHLDLLWRKPVNS >RHN54114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7012208:7014594:1 gene:gene29102 transcript:rna29102 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCASCHNVKTTKKSTTSSSTRDASENGRVDFAATCSSESFRRPSSIMVMNISNGAIKEYKKPVLASLVVSENSDNNDCYISNAESMCIGECMPRVPDEDELLPGRIYFIVPLSHSNYPLSLQLLCDLVVKVNSALSNQLYPCSLSQPAPPSLPPAAKEFLESHNKA >RHN63398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50942586:50947877:-1 gene:gene26094 transcript:rna26094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase, cytosolic MGGAKIKIGINGFGRIGRLVARVALKRDDVELVAVNDPFITTDYMTYMFKYDSVHGQWKNDELTVKDSKTLLFGEKPVTVFAHRNPEEIPWASTGADIIVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPEFDIISNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKPATYDQIKAAIKEESEGNLKGILGYTEDDVVSTDFIGDTRSSIFDAKAGIALNDNFVKLVSWYDNELGYSTRVVDLIVHIAKQL >RHN62602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44612294:44613160:-1 gene:gene25195 transcript:rna25195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MNFTKFYHATQYFSKDNVIGVGVTGIMYKTTLSNGCIFAAKRLHDSQLCIKRFESEIKILGQYSHRNILPLIGFCIDEEKNERVLVYQYISNGKLSDWLNDDTKTLGWSRVIQIALGIARGLCCLHHSLHMVHLNISSECILLGNTFEPKISNFGGAMFMNNDVNQNIGSGKKDVYDFGCLLFELIKGKKFGQTSDCLSNTNVPFATYTYPNPMNLLEDHFGFYDAVNESLNKIEFEDEVSALLRIACDCIHPLFDKRPTMLEVYSKMGNIWERDDICEDPDLLSVDF >RHN42572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39451591:39458536:1 gene:gene49028 transcript:rna49028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAGVSLQCGDCGTLLKSVEEAQEHAELTSHSNFSESTEPVLNLVCSTCSKPCRSKTESDLHTKRTGHTEFSDKTAEAAKPISLEVPKGNSESQEGGTSDPNEEMVVPDVDKKLLEELESMGFSTARATRALHFSGNTSLEAAVTWIENHENTPDIDEMPLVSAVSKAKASKPSLTPEETNAILKDLREKARKKKEEEEKRTEREREKERIRVGKELLEAKRIEEDNERKRLLALRKAEKEEERRARERIKQKLEEDKAERRRKLGLAPEEPSTAKPSAVVEEKKSFLPIRPATKTEQMRECLRSLKQNHKEDDARVKRAFQTLLTYVGNVARNPAEEKFRKIRLSNAAFQERVGALKGGIEFLEICGFEKIDGGEVLFLPREKVDIAVLNSAGSELDSAIKNPFFGVL >RHN71450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:241597:247143:-1 gene:gene7054 transcript:rna7054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain, eukaryotic translation initiation factor 3 subunit C MTSRFFYQGGDHSDTDDEPTDIDDEPSDTEPAPTDPNGKSKYYVDGNADDSDDDDGQKRVVKSAKDKRFDEMASTVDQIKNAIKINDWVSLQESFDKINKQLEKVMRVIESQKIPNLYIKALVMLEDFLAQASANKDAKKKMSPSNAKAFNSMKQKLKKNNKQYEDLIIKCRESPESEGEKDDDDDDSDDYDSDDEIIEPDQLRKPEPISDSETSELGNDRPGDDGDAPWDQKLSKKDRLLEKMFMKKPSEITWDTVNKKFKEILEARGRKGTGRFEQVEQLTFLTKVAKTPAQKLQILFSVVSAQFDVNPGLSGHMPINVWKKCVQNMLVILDILVQHPNIKVDDSVELDENETKKGDDYDGPIHVWGNLVAFLEKIDAEFFKSLQCIDPHTREYVERLRDEPQFVVLAQNVQEYLESIGDFKASSKVALKRVELIYYKPHEVYEATRKLAEMTVEGDNGEMSEESKGFEDTRIPAPFVVTPELVARKPTFPENSRTLMDVLVSLIYKYGDERTKARAMLCDIYHHALLDEFTVARDLLLMSHLQENVHHMDISTQILFNRAMSQLGLCAFRVGLVSEAHGCLSELYSGGRVKELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINLELLESVHLTSAMLLEVPNMAANVHDAKRKIISKNFRRLLEVSEKQTFTGPPETVRDHVMAATRVLINGDFQKAFDIIASLEVWKFVKNRDTVLEMLKDKIKEEALRTYLFTFSSSYDSLSVDQLTNFFDLSLPRVHSIVSRMMINEELHASWDQPTGCIIFRNVEHSRVQALAFQLTEKLSILAESNERATEARLGGGGLDLPPRRRDGQDYAAAAAGGGSGTSSGGRWQDLSYSQTRQGSGRTGYGGGRALSFSQAGGSGGYSRGRGTGGGGYQNSGRTQGGSTLRGPHGDTSTRMVSLRGVRA >RHN64257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57711318:57714434:1 gene:gene27054 transcript:rna27054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MATEEPMDCDSSNYKPYTLSQTLTGHKRAISAVKFSSNGRLLASSSADKTLRTYGFTNSDSDSNSLTLSPMQQYEGHQHGVSDLAFSSDSRYLVSASDDKTIRLWDVPTGSLVKTLHGHTNYVFCVNFNPQSNVIVSGSFDETVRVWDVKSGKCLKVLPAHSDPVTAVDFNRDGTLIVSSSYDGLCRIWDASTGHCIKTLIDDENPPVSYVKFSPNAKFILVGTLDNNLRLWNYSTGKFLKTYTGHVNSKYCISSSFSITNGKYVVGGSEDNCIYLWGLQSRKIVQKLEGHTDSVVSVSCHPTENMIASGALGNDKTVKIWTQQKD >RHN51572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20118989:20119351:1 gene:gene36036 transcript:rna36036 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQNVTMMHVDHPESTNIQVLPGQSETEVIETHLPAAREIESSPNVENLFSYNMMMLQSDQPMSSNAQVYSNESQILPSRNKLIGNTDEDEEIMIPNMTGMSDDFLLDFNHLNGSFLFP >RHN53911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5260821:5261867:-1 gene:gene28876 transcript:rna28876 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIRVTRDARIGLSLMILENAITLLTELLTKLTRDNNKRQQRSTHEEQKSNAMFNSFGKCLSMHSQTWVYFSFL >RHN80185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35944518:35944739:1 gene:gene4083 transcript:rna4083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MQHAVFSYHKQYHDVMEVSHQDYIHCNINSAKAFYHSGSDSINLTNPGDFYFICSKNGHCQAGQKLHIKVHYT >RHN52542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36491082:36491357:1 gene:gene37231 transcript:rna37231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-phosphofructokinase MFMKIFSAAHFNHFDLPRQACTRMRSLKADISFLYYNPTYMILVVPSNASDNVYCTVIAQSSVYEAMTIPVTQVKLSMDDKIVYYLSMLVH >RHN80859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41345069:41352104:-1 gene:gene4834 transcript:rna4834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-KNOX family MEHNRSDLIRLDMTTDRIIKNQIATHPLYPNLLSAFLECQKVGAPTELASLLEEIGRESHPNNAFREIGDDPDLDHFMESYCEVLHRYKEELSKPLNEATLFLCNIESQLNELCKGTQTMSSDYNRSDHEAAGTSEDEMSCGKVEAVEGGHDELCGTSCPGDKELKEMLLRKYGGYLSNLRQEFLKKRKKGKLPKDARKALMDWWNVHYRWPYPTEEEKLQLSDMTGLDIKQINNWFINQRKRHWKPSEDMRFSIMEGVSSTGIAGPL >RHN50457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7279034:7280367:1 gene:gene34740 transcript:rna34740 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYTFFSSILYFFLLATLVSSSQFISDGIFGSGASSGRALLQAKKPCGINFETQNYTILTSQCKGPQYPPKVCCDAFKQFACPHVDEISDLTTDCSNVMFSYINLYGKYPPGLFANQCKEGKEGLDCENVKITNTTNPSSSVHVAAPHSMLIVSIVGFFGFIFHLF >RHN73825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20403283:20403513:1 gene:gene9699 transcript:rna9699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MGSGRLPKEKFENSSFMGTLQIIDSQYNEVDVKPEDMKPYRDTNSDCYDLIYNGFEGRLYRQAFLYGGPSGRNCGI >RHN71300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58044648:58044827:-1 gene:gene19963 transcript:rna19963 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRKIVNDGLVAEKKEDNNDQNVHVDSATALQQFLDHIPISSISGINNSHGTTTTFYN >RHN67802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30377980:30378466:-1 gene:gene16038 transcript:rna16038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative T-complex protein 1, beta subunit MSVEQFLNIDCFYEHMFLYYHTKLVYVLLLPCSLPLFMALISAFELDMYGTFCMHDHRVLYALCVLSQIVNDSRVLLGGGWPEMVMAKEIDALDRKTRGKKSLTMEAFTRALLAIPTTIADNAGLDSAELIS >RHN77356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6263679:6264927:-1 gene:gene802 transcript:rna802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MYDYYWTHALISDETHAGIEKNCGDFRNVTNLRECFLYEFKADDELVDIDVYNIYAPVCNSSATKNGASYFVSNIDPCAEDYTAAYLNLPEVQKALHVKPIKWSHCSGVGWTDSPTSILPTINQLISSGISIWIYSGDLDGRVPITSTKYSINSLKLPVHTAWRPWYTGKEVGGYVIGYKGLTLVTVRGAGHMVPTDQPYRALTMISSFLLGQLPPQLKS >RHN48221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45905100:45905898:1 gene:gene42911 transcript:rna42911 gene_biotype:protein_coding transcript_biotype:protein_coding MSCILINLIKFHLSKHLIIDWLQNITIILLKLAIALNFPSLCLNNGDRKEQEGCYH >RHN41520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30740023:30740975:-1 gene:gene47853 transcript:rna47853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MYAVQGMAPSFYTVSLFFLSFIFFTFPSNSIHFQITSFNPNDANIVYQGSAAPRDGKVDFNIYEKYPFQVGRAMYSRKVLLWDSKTGQVTDFKTHYTFIIDTQNNSRHGHGLAFFLAPFGFDIPPNSATAFMGLFNMTTMVSSSNQIVLVEFDSFPNGEWGETTEHVGINVNSIMSSVFTPWNASLHSGDIAEVWISFNSTTKNLTVSWKYQRTSNPEEKTSLSYEIDLMKVLPEWVTIGFSASIGNIRELNNLLSWEFNSNLEKSDDSNSKDTRLVVILTVSLGAVIIGVGALVAYVILKRKREEVKSKRKRLCI >RHN79763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31943666:31944142:1 gene:gene3598 transcript:rna3598 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFKIIGGEIEPVVEISVSELVFDLKSKIENELEVGVHRQNLWYKGIELDNEKRIGFYALRGDETETVTLIVDPLPSDLKLHVLVKFLGHGSNGYVRVKETDKVSDLCNKVSRYWGIPLDTFTLHRLNVEMMHDCPLHAYYINEASEIQLSVDIQPR >RHN82802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56501498:56502313:-1 gene:gene6990 transcript:rna6990 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKGTAFLKVIPIPSVMSISSGLRPSDKIQSKELRREPRASGSTREASGNAGHILRPEPKVSNHARVHGHVRNQKRKRWVKSQRLLDNCFEKLRHRPF >RHN44320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3516539:3519241:1 gene:gene38423 transcript:rna38423 gene_biotype:protein_coding transcript_biotype:protein_coding MDACFLSHSSFTKIRGGSLPYSKRFSHQQFNSRKVGIPFHVSCCNMSSSSPSDDEKPTLNTDWRSFRAKLVAGEQLLKPEFLSSATNPDTVVDHPPLITIGDKWAHVIHEPERGCLLIATEKLDGVHIFERTVILLLSNGPIGPSGIILNRPSLMSIKETRSTAFDVMGTFSNSPLYFGGPLEEGLFLVSPKDDVVGKSGVFDEVMKGLYYGTKESVGLAAEMVKRNVVEVGDFRFFDGYCGWEKEQLRDEIRDGYWTVAACSPSVVDLGNVGIVGLWDEVLGLMGKRKVK >RHN42167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36028541:36029379:-1 gene:gene48574 transcript:rna48574 gene_biotype:protein_coding transcript_biotype:protein_coding MVHCQLTFLLAVLTIFHVSTTSTATPPPPLSATAREFLQTHNQARASVGVEPLTWSEQLANTTSKLVRYQRDKLSCQFANLTAGKYGANQLMARGAAVTPRMVVEEWVKEKEFYNHSDNTCVVNHRCGVYTQVVWRKSVELGCAQTTCGKEDTSLSICFYYPPGNYVGESPY >RHN38993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3386892:3393127:1 gene:gene44977 transcript:rna44977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyltransferase MSRAPNYEFQEWWNKQREKENLDLFEDNNKSDQSQSSSPFVSVDVNGGGGGGGGGGGGSNNNRSDPSVKKERTRSARQLSWVCLLKFQQIAATVGFISNGLLYLVRTANRRVLSRDSSADSSSSRLYRVIRVFLIVVVGLLGFELVAYFKGWHFRPPSVGSADVLGLVAVFYARWIDIRANYLAPPLQSLTNMCIVLFIVQSVDRIILILGCFWIKFRRIRPVASVDYDDGSVESTMDYPMVLVQIPMCNEREVYHQSIAAVSILDWPKERMLVQVLDDSDEVDIQNLIKAEVHKWQQRGVRIIYRHRLIRTGYKAGNLKSAMSCDYVKDYDFVAIFDADFQPTPDFLKKTIPYFKGRDDLALVQARWAFVNKDENLLTRLQNINLSFHFEVEQQVNGIFIDFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLCGWKFIFLNDVKCLCELPETYEAYKKQQHRWHSGPMQLFRMCFVDVIRSKVSWAKKFNLIFLFFLLRKLILPFYSFTLFCIILPLTMFLPEAELPAWVVCYIPGVMSILSVLPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFRFGSSYEWVVTKKLGRSSETDLVAYEKESEPLMRSNSLHRSSSDSGIEELSKLELSKIAPQTKKNRLYRKELALAFILLTASVRSLLSAQGIHFYFLLFQGVSFLVVGLDLIGEQVS >RHN45954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27221609:27224836:-1 gene:gene40384 transcript:rna40384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MLVSKTEMPLTKVKLIDSICRLGVGYHFEKEIDEVLQHIHKSYVENGEITLEDSLCSLAMLFRVFRQQGLHVSPNVFNKFKDKQGNFNENLSTDVEGMLSLYEASHMMVHEDDILEEALNFTSTHLESIASQSSPSLAAQIEYTLKQALHKNIPRLEARHYISIYEKDPTCDEVLLTFAKLDFNLLQSLHQKEFGNISKWWKELDFSTKLPYARDRIAECSFWVLTAFFEPQYSQARKMMIKVITLLSIIDDTYDAYGTIDELELFTKAVERWDISSLDELPDYMKPIYRSFLTIYEEIEKEMRKEGRIYTLDYYKIEFKKSVQAFMTEARWLNENHIPTTEEYMRISKKSGAYPLLILTSYIGMGDIATKEIFNWVSNEPRIVNAAATLCRLMDEIVSSEFEQKRGHVCSLLDCYMKQFDMSREAAIQECKNRMTIVWKDINEECLRPTEVPMPFMTRVLNLSRFMDVIYKNKDNYTDSDGLMKTCIKEVLVDPVPI >RHN63982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55644341:55645048:-1 gene:gene26751 transcript:rna26751 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDAFESLQTLETMVAYILFDHDNLHKWLAEVVSQDPFDLVHPPATIAKDLPSVPRAFTGPASAHVSALRPLLSHVVCKMALTLFILCFLFRIKYFFFVEPSNMYLIILMYHELQMNEKYSSSTKILSYVVLSYFIIKILKLGGALNIKLSTQNCF >RHN46155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29259727:29260488:-1 gene:gene40598 transcript:rna40598 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWGKMDLTKEETEEGRVADETEGCGEEIFTGEHHPLAISSTSTKRPPLEPPPRVIMIIRKRRLKLQHLSILQKTEFVLLPLDLSLGRPPRKPPDEVRITLLPHISSLSRPPPKPPWVILDWVCLTLFNPFAFYRLISVQSDRVKKSETKYSRNVCGEAKKIIRNWIGKKTLDSHISFVTFRRWKMLMNGLLGCEL >RHN47605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40953379:40956369:1 gene:gene42225 transcript:rna42225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-reducing end alpha-L-arabinofuranosidase MIAQNILATMSFSHMFTAFLFSLIVCLVISECHADVNANASHISKLVIDARTRRPIPDTFFGAFFEEINHAGAGGLWAELVDNRGGSNVSSNINPWIIIGDNSSSIIVSTDRSSCFECNKVALRSDVLCQGQSCPLGGVGISNPGFWGMNIEQGKKYKVVFYVRSLGPINLQVSFIGSDDGVKLASTNISAFGVNVTKWSRMETILEANGTNHNSSLQITTSNRGVVWLDQVSAMPLDTYKGHGFRSDLYQMAADLKPKTFRFPGGCYVEGDYLRNAFRWKDTVGPWEERPGHFNDIWNYWTDDGFGYFEGLQLSEDLGAFPVWVFNSGISHHDEVNTSDISPFVQEALDGIEFARGSSTSQWGSLRASMGHPEPFDLRFVAIGNEDCHKYNYLGNYLKFYEAIKHDYPDIQIISNCDGSIHQLDHPADLYDFM >RHN54172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7551607:7553512:1 gene:gene29167 transcript:rna29167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MTPLLGRRVNKIEGLVSLLNSKQILSSWLITMRHFSFNDNFRGGNIKQAANGKSDDEFFRQRGDTNFNENGGNRNEEGFDIRQRLEESSQTRVLRGQKPINQPHSNSQEFNRSGRRPRFDGNRGNKSSQIDLGFQGRNADETGRDGGHRGNKSPRIDLGFQGRNVAETGRDGGQSGDSFLDKFKFDFDDKIGSQSDVEASSQLEEARAVNSSNFNQPAQESMPQDADAIFNKMKETGLIPNAVAMLDGLCKDGNFQEALKLFGLMREKGTIPDIVIYTAVVDGYTKAHKADDAIRIFRKMQSNSISPNAFSYTVLIQGLYKCSKLHDAVEFCVEMLEAGHSLNVTTFVGLVDGFCKENGIEEAKGVIKTLIGKGFAFDEKAVRVFLDKKAPFSPSIWEAIFGKKAPQIPF >RHN68991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40139219:40140854:1 gene:gene17417 transcript:rna17417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MSTAEIARTAVGIIGNVIAGCMFLSPVPTFVGICKKGSVEQYSPVPYLATLMNCMVWTLYGLPMVHPHSFLVVTINGAGCVVEIIYITLFLIYSDRKKRLKVFLGLLLELIFIFLLSFVSLTMLHTVNKRSAVVGTICMLFNIGMYASPLSIMKLVIKTKSVEFMPFFLSLASFGNGVSWTIYALIPFDPFIAIPNGIGTMFAVVQLILYASYYKSTQEQIAARKNNGKGEMNLSEVVVGMSNATVQDNKKITAIDHSSPSAK >RHN69561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44417961:44421597:1 gene:gene18044 transcript:rna18044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosome maturation factor RimP MDLIKSSTVQPRRIPPSSPLPTITRSMNCFYVPSTSRSTTKFSSQFCTLPHTPIKPLVILHVKNKNSDFEPFLEPTIAQEISEDEEVEVEEEEDVDDVEFEDETEMDEDSVEFYDEEEEDSVPYAGDGGAGGGISLAGTWWDKKALAIAKEVTMSFDGDLQIYAFKTLVNSTIQVRIEKLSNKSGSPTMEDIEAFSTAYRAKLDEAELAKFVPENLSLEVSSPGVERIVRIPDDLDRFKERAMYVKYVIDDDANNPPAEGEGVFKLESFDMVTEYCTWSLANVRVNREKAGKGRPLNKKQREWRLSTPFDSLLFVRLQSDI >RHN43998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:655516:658817:1 gene:gene38042 transcript:rna38042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxyvinyl-carboxyphosphonate phosphorylmutase MANPRESAATVLRRIISTPGCHQGPACFDALSAKLIESAGFSYCITSGFSISATRLGLPDAGFISYGEILDQGRLVTQAVNIPVIGDADNGYGNSMNVKRTVKGFIHAGFAGILLEDQMSPKACGLTRGRKVVSREEAVMRIKAAVDARSESGSDIVIVARSDARQGVSLEEALVRTKLFADAGADVCFIDALGSVEEMKAFCQVAPRVPKLANMLERGGKTPILNPQELDQVGYKLAVYPLSLMGVSIRAMQAYQYTSLTSVMLPDCWSIPCVMLLTWIFLKTKYRFKKITGVIVCIAGLVLVVFSDVHAGDRAEVSETRINTLLMLLKNLLQSDRTRKPVQQIIAKEMWLGAQKPG >RHN49549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55579937:55586075:-1 gene:gene44391 transcript:rna44391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S18 MMKMSSVALRSFKFKSNFSLSTTRNLSTWLFPGNGNANQQVNKSESPDEFEQRIFSPGGTSKTDFILDKLNQQTRGRDRSGGSSQLLDDLEQTFDTLSDGMDGKLNNAARYFEYDPDEIEKDDYSYRYDTTFHRGSTYNTKDLDLTKPAARKPPIRNEFAVSTKDVLSQADFRNVRFLANFITEAGILIKRSKTGISAKAQRKVAREIKTARAFGLMPFTTMGTKTFVYGRTMENLDDDFSYRSEIRNRPSELDLEGDSV >RHN52987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40859823:40866334:-1 gene:gene37729 transcript:rna37729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S1 MNPIISCSVGNSSVIPGVAYLTRKNNSLTRLNFSRSSLRHGSSTRRFLFPSFVVNGVFPQNKRIYSYRKKSRTFISATETEVSVEVQDSPVADEVSGESPSNEVGTSGDSSPKSDANTGSAKAKRSRRARKSEMPPVKNEDLVPGAAFTGKVKSIQPFGAFVDFGAFTDGLVHISMLSDSFVKDVSSVVSLGQEVTVKVIEVNAETKRISLSMRENTDTGKRNAPNNDEKSGYGRRDSSKSGPRKDMKKTKFVVGQELQGTVKNMTRSGTFISLPEGEEGFLPLAEEDDGGFGKIMGKSSLEIGREVSVRVLRITRGQATLTMKKEGAAAELDIAYAQVGDDVATNPFVLAFRRNKDIAKFLDQREKLQSEVKSSTTEIVEDSLVDSSTTVVDAEGNQEGSIINGAAEKETEAIAESLASEEDLDAVNSIIEEAIQTDIATSNVETDSPVEVADESLIETDSLVEVADQIVAEDEKLSETDNGKEEFVATTEADRDAVEPGPVVTESEITLSAPAPQETPDDNVAAVPENNEIDANLTGQNGDLSPEESLNKDLTEENNQVPSPESPATEEVQEQTPVSAQVEDEAVAIASETNSNLSASDEGSSKATISPALVKQLRDETGAGMMDCKNALSESEGDIIKAQELLRKKGLASADKKATRATAEGRIGSYIHDSRIGVLVEVNCETDFVSRGEIFKELVDDIAMQVAACPQVEYVVTEDVPEEFLKKETEIEMQKEDLASKPEQIRSRIVEGRIRKRLEDLALLEQPYIKNDKVTVKDMVKQTIATIGENMKVTRFVRFNLGEGLEKKSQDFAAEVAAQTSAKAVTTPVTEEPAAAEAKETEPKKSKVVVSASLVKQLREETGAGMMDCKKALAETEGDLEKAQAYLRKKGLSSADKKSGRLAAEGRIGTYIHDARIGVLIEVNCETDFVGRSEKFKELVDDLAMQVAACPQVQFVSIEDIPETIVTKEKELEMQREDLASKPENIREKIVEGRISKRLGELALLEQPFIKDDSVVVKDLVRQSIAAIGENIKVRRFVRFTLGETVQKETAIPA >RHN42516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38976442:38977095:1 gene:gene48964 transcript:rna48964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKRKRNDSLNSSDDFDLPDDCWRCIFRFLNDDDDNHKQRYMESLSVSSKHFLSVTNRHKFSLTISDQTLPSLPRLFQRFTNLTSLDFKRFCGDLDTLLCQISSFPLNLTSLNLSNHPIIPQNGLRVFSQNITTLTSFPICICLI >RHN79895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33316316:33320149:1 gene:gene3750 transcript:rna3750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived nuclease domain-containing protein MDNKRENKRRKYAFYWNIRSSAIGAIATYYYKYIYKEPCMTSLQRGQDWMNEILNGHPVRCMNAFRMDPTLFKQLCEDLQSKYGLQPSKRMTVEEKVGIFVYTLAMGASNRDVRERFQHSGETISRAFHEVLEAISGRSRGYRGLARDIIRPKDPTFQFIPLHISNDERYMPYFKDCIGCIDGTHIAACIPEADQMRYRVGWEGSAHDTRVFLHAINTPALNFPKPPDGRYYLVDKGYPDKEGYMVPYPRIRYHQSQFEHEPPTNAQEAFNRAHSSLRSCIERSFGVLKKRWKILNKMPQFSVKTQIDVIIAAFALHNYIRINSQDDAMFTILERHPNYIPNDELPDIVDGYQGSERQEGRSGRSTKTKEMRNNVAALLWNIRR >RHN53154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42385104:42385781:-1 gene:gene37924 transcript:rna37924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MTKKKRQKKSDRISELPDHVLLHIIEFMNIRQSVRTCVLSKRWKNVWKSLTNLKLHHTKKARADIFNKFVSQILSGRDGSLPLHSLEYVHDDAVYYCPKTTLLDIMELAASHNVQQVTIKVERWNIKDLELPTSIFNSQSMTFLKLDFRYTCPYGLGKMFPKSLNLPALKTLHLTDLIFTTSDNDNGCIEPFSTCNMLSNLFIVGWFLQDDAPKPSPYPIPRFLV >RHN52042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30759015:30764597:1 gene:gene36656 transcript:rna36656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MEEREIFGSGHAVNVNQAPPGFNLAQNTLNFAGSTGELPAPVPVAGGVEVKKKRGRPRKSESGSKPALSPMPISASIPLTGDFSGWKSGGGGGGGVVKPFESIKKPLKLNDFDEGNFDEDNGISPFGSNFKTHVLTVNSGEDVSMKIMSLSQQEYHTISILSATGTISNVTLRQSDACGGTSTYEGVFEILSLSGSFVPTENGLTKSRSGRMSVSLAGPNGRVFGGALAGLLVAAGSVQVVVASFFPEKENPKRQRVDHSAPTAPPTSSHINNHVSAEELRTDIGGMKPIMSPAGFNFASFGNGQGSGNSSSSADDEHV >RHN76789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1300664:1300864:1 gene:gene166 transcript:rna166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rossmann-like alpha/beta/alpha sandwich protein MWLQKNQWDRKDGVNGIVAVAIDTDKGSQNALKWAVDHLTTKGSTIVLIHVKVKQAPPSSNSTPSE >RHN82207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51964249:51965324:1 gene:gene6348 transcript:rna6348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MSCNGCRVLRKGCSEDCMLRDCLTWIQNPQAQANATVFVTKFFGRATLMSFLSPVHPNQRSCLFQSLMYEAVGRTINPVNGAVGLLWTGKWQFCQLGVEQVLRGNGGALTALPDQLLGVDHDSSSIHHQYHQQQSGLMSYSRVVKNQAEKQRAGTHESEESESSTLGSRTRTEDCSYVYANSQTQRNQLLTLFL >RHN75492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42700784:42701589:-1 gene:gene11710 transcript:rna11710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MEPQPPHTSTNASSRTPGSSTLGVVRRLTWTFILLFLTLTVIIAIAWNVMDPHKTHFRVSSISVSNFTVSDSELKGMFEVELNITNPNKKIEMIVDRFSVSVFYGSVGLSGAIVLQPIYLKKSSDQDVKIKFSLRNSSTKSAYKAVSHGLVNDWNKGIVNFDVKMLARIVFEAGIWPSREKFLDIYCGNLDVGFVPIKDMGKLLGIGKECHAES >RHN82746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56080567:56083680:1 gene:gene6930 transcript:rna6930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polar-amino-acid-transporting ATPase MSARIVAEDVPDSYFDFMEMDDFTRRRDAGDMPTLGQLLKHVGDVRKEAIGDGSETPVHHTLDINGMEVRSLPFVLSFNNLTYSVKIRNKMSFTDLFSRRRASPVAETPALGETAFSRSKILLNEISGEARDGEIMAFLGASGSGKSTLIDALANRIAKGKLKGTVKLNGESVESRLLKVISAYVMQDDLLFPMLTVEETLTFAAEFRLPRSLSKSKKNARVQALIDQLGLRNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVIMSIHQPSYRILGLLDRMIFLSRGQTVYSGSPSQLPSYFAEFGHPIPEGDNRTEFALDLIRELEGSPGGTKSLVEFNKSWQSMTKLHNNNDISQNATPSLSLKEAISASISRGKLVSGATAATNSNAIATSPSSSSSSIVPTYANPFWIELLTLSKRSITNSRRMPELFGIRLGAVMVTGFILATMFWQLDNSPKGVQERLGFFAFAMSTTFYTTADALPVFIQERFIFMRETSHNAYRRWSYVISHAIVALPALLFLSLAFACITFWAVGLDGGFSGFLFYFVIILASFWAGNSFVSFLSGVVPHVMLGYTIVVAILAYFLLFSGFFINRDRIPGYWIWFHYMSLVKYPYEAVLQNEFSDAAKCFVRGVQIFDNSPLASVSDALKLKLLDSMSDTLGMKITASTCLTTGTDLLKQNAVTDLSKWNCLWVTVAWGFFFRILFYLSLLLGSKNKRS >RHN42983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42360172:42365627:1 gene:gene49499 transcript:rna49499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MVLIYIPILLVSLASLWLWGSKKKAKKLPPGPKGLPILGSLLKLGPNPHRDLHKLSQKYGPIMHLRLGLVPIIVVSSPQAAELFLKTHDLVFASRPPIEAAKIIGWDRRNLSFGEYGSYWRNMRKMCTLELLSHAKINSFKTMREQELDLLIKFLREKANDRTKVDLSTKVSTLTADMSCRMVLGKKYTDDDLDEKGFKAIPYLGQFDLQGLTRRMKAIRKIFDDFFDKIIDEHIQSDNKDDKTKDFVDVMLGFVGTEESEYRIERNDIKAIMLDMLGGSMDTSATAIEWAISELLKNPRVMKNVQKELETVVGMKRKVEESDLDKLKYLEMVIKESLRLHPVAPLLIPYQSLEDCMVEDLFIPKKSRVIVNAWSIMRDPNAWTDPEKFWPERFEGNNIDVKGRDFQLIPFGSGRRGCPGLQLGLTVIRLVVAQLVHCFDWKLPNHMLPSDLDMTEDFGLTMPRANNLIAIPAYLSLAFLLLWGNKTKAKKLPPGPKGLPILGSLLKLGANPHRDLHQLSQKYGPIMHLRLGLIPTIVVSSPQAAELFLKTHDLVFASRPPHLAAKIISWDQRNLSFGEYGSYWRNMRKMCTLELLSHAKINSFKTMREQELDLLIMFLKANDGTKVDLSAKVSTLAADMSCRMVLGKKYIDKDLDEKGFKAVMQEGMHLAATPNIGDYIPYVGSLDLQGLTRRMKAIGKIFDDFFEKIIDEHIQSDYKDDKNKDFVDVMLGFLGTQESEYRIERPNIKAILLDMLAGSMDTSATAIEWAISELIKNPIVMKKVQNELETVVGMKRKVEESDLEKLEYLDMAIKESLRLHPVAPLLIPHQSLEDCMVEDFFIPKKARVIVNSWSVMRDPNAWTDPEMFWPERFEESNIDVRGRDFQLIPFGSGRRGCPGLQLGLTVIRLVVAQLVHCFDWKLPNHMLPSDLDMTEEFGLTMPRANHLTAIPTYRLSG >RHN47151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37525312:37528855:1 gene:gene41711 transcript:rna41711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEDSLENLISYMEMEDDVILNQSSTTTFDEQEFLKDIILEEPECIELSSYLCSNKTKDNSTTIINVEGDATSPTNSILSFDETSLFCGDYENVETNHKSNNSNSIKSLERSCVSSPATYLLSFGNSSIEPIIEPMSHKTKRRTDESRGVKEATKKVRRSCETVQDHLMAERKRRRELTENIIALSAMIPGLKKMDKCYVLSEAVNYTKQLQKRIKELENQNKDSKPNPAIFKWKSQVSSNKKKSSESLLEVEARVKEKEVLIRIHCEKQKDIVLKIHELLEKFNITITSSSMLPFGDSILVINICAQMDEEDSMTMDDLVENLRKYLLETHESYL >RHN71029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55905960:55909828:-1 gene:gene19670 transcript:rna19670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional nuclease domain-containing protein MDKMVCVKGSAMCSVAMPMIGPINAGCSRIELWGFSASNKIKPNSLSCHVNMRKCRTVMNCSFNSSSNGSGSMAENFNENDEDYVNSTILEAVEVKSGADGFIVKMRDGRHLRCVHNSPHGGLLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVQIARPTLYQVVKEMIDKMGYEVRAVRVTKRVQEAYFAQIYLSKVGNESECMSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIESGKLSTQSPGSDGPLFTELDRPNGKPCVETKEFNLLHNMLTAVVEERYQDAALWRDQLNQFRAEKKANNRSWTL >RHN54178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7582737:7586481:1 gene:gene29175 transcript:rna29175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-PERK-1 family MSSPPDSDNSSSTPSDSPPEESPQSPPPSPPSDSQPSPSGSPPPPPPSGSQPSPPPPTSNKPSPPSPNGSGNSPTPVAGRPPPASNRSGNSQSPPHKSPTTPTGHSNGNHNNNNNNNNNDDATKAIVGVVIGVAVALIILVIACFVCCRKKKRKYYYGERPPPGKGNSNYYTSGHHSNYYGDREHVVRVQNGMGPNGGGGGWGAPPPPPMGMTSTDMSSNYSGGPPPLPPPSPSLALGLKGGTFTYEELAAATDGFIDSNLIGQGGFGYVHKGVLPSGKEIAVKSLKSGSGQGEREFQAEIDIISRVHHRHLVSLVGYCISGGQRMLVYEFISNNTLEYHLHGKGRPTMDWPTRMRIAIGSAKGLAYLHEDCHPRIIHRDIKAANVLIDDSFEAKVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELVTGKRPVDASITMDDSLVDWARPLLTRGLEEDGNFSELVDPFLEGNYDPQELARMAACAAASIRHSARKRSKMSQIVRTLEGDVSLDDLKEAIKPGHTTVNTSSGSEYDTVQYNSDMQKMRKTVFSSHESNTSSFTSSGEMGQTPPKLKTPQQLP >RHN70730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53506329:53543134:-1 gene:gene19342 transcript:rna19342 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQHHRDATMGKETETLCRLVANHLHLAQFEPLRGVLLALRTRNRDLTRHILQSIVARSAQFPNIAWSSSCSSPALLTYLSTLELLQLDDASSVWNFDSESLRLRAEFLVLVQHLIDFVSEKEENDDDEVKLCTRVLDRVLELGFKRLRVDENGEIENEIEISVILIEEIELMSLRKLVLHCADVFEALSENIEKQIRRCDLEDNGLEVNVKDGDDDLKEDVDVKVLFGIQKMAQVVHLNAIRESLEGGDVEGAISHIRFVHFDYGLDQSEYRIVLKDLLKAVISRSEDFGESWLIMRNQILKIYSEALSSKCRDIVQMMQSIHDEVLSEEIEVDRVQTENFTPRPLVRLQNYLAQPKPGTNLDDKILSLNEVIRSCKTEMYHYARVSGVHVLECIMDTALSAVKREHLEEASSVLQLFPQLQPLVAAMGWDLLAGKIAARRKLMQLLWTSKSQVIRLEESSLYGNKSDEMSCVEHLCDTLCYQLDLASFVACVNSGQSWNSKLSLVRPGKEQVAFSDEDANSDHFVENFVLERLSVQTPIRVLFDVVPGIKFREAIELITMQPIASTLEAWKRKQDVELLHMRYALESSVLALGAMEKSMSDETETRQNVPLVHLKDLRSHLDAISNLPRKIFMVDVIISLLHMDNISANSMHCGSQESDSTLANASSSGNNSSARNEGGNKMVISFTSLLLDTLRQNIPSSVVELENTLDGDVRTTSRQALEWRMSISTRFIEEWEWRLSILQHLLPLSERQWRWKEALTVLRAAPSKLLNLCMQKAKFDIGEEAVHRFSLSAEDKATLELAEWVDSACKKSSVDDVVSRVQDLDFSSLRSQLGPLSTILLCIDVAATSAKSAGMSQQLLNQAQIMLSEIYPGGSPKAGSTYWDQILEVGVISVSRRLLKCLQEFLEQDKPPTLQAILSGEIVITSSKESHRQEQRERALALLHQMIEDAHVGKRQFLSGKLHNLARAVTDEETEPNATRGEGFYSERSFISNSDKDIALGLGLRVVKPIPLSSVGGETGPQSSGFDIKDSGKRIFSPLSSKPMTYLSQFILHVAAIGDIVDGTDTTHDFNFFSVVYEWPKDLLTRLVFERGSTDAAVKIAEIMCADFVHEVISACVPPVYTPRSGHGWACIPVVPSFPKSSSENKVLSPSSKDAKPNCYCRSSATPGVSLYPLELDVVKHLAKISPARAVLACVFGSCILYDSSSSSISSSLSDGLLQTPDADRLFYEFALDQSERFPTLNRWIQMQTNLHRVSEFAVTANQTADDGNLEARSSVKRVREHDTETESDADDINSSTIPGALTDLNSQGVEAADFWHNSSKSEASQLDTTIFLSFDWDNEEPYQKAVERLIGEGKLMDALALSDRFLRNGASDQLLQLVIERAEEIHSNSAQRQGHGGHNIWSNSWQYCLRLKDKQLAARLALRYVHTWELDAALDVLTMCSCHLPQNDYTREEVLQMKQALQRYSHILSADDHHTSWQEVEAECKEDPEGLALRLAGKSAVSAALEVAESAGLSIDLRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDTDDALPVAMGAMQLLPNLRSKQLLVHFFLKRREGNLSEAEISRLNSWALGLRVLSVLPVPWQQRCSSLHEHPHLILEVLLMRKQLQSAALILKEFPSLRDNHVVTTYATKAIAVSISSPPREHRISVSGSRPKQKARSGAPPKLSFTSSLSNLQKEARRAFSWAPKNAVEKNAPKDVYRKRKSSGLSPSDRVAWETMTGIQEDHISSFAADGQERLPAVSIVEEWMLSGDPLKDESIRTSHRYESAPDITLFKTLLALCSDESISAKIALDLCINQMKNVLSSLQMPENASMETIGRAYHATETFVQGLLYAKSLLRKLTGGSEFSSNWERSRDADDTSSDAGSSSVGSQSTDELSEILSQSDVWLGRAELLQSLLGSGIAASLDDIADGESSARLRDRLVVEERYSMAVYTCKKCKIDVFPVWNAWGHALIRMERYGHARVKFKQALQLYKGDPGPVVLEIINTIEGGPPVDVSAVRSMYEHLAKSAPTILDDSLSADSYLNILYMPSTFPRSERSRRSHVSANNNSTYNRDFEDGPRSNLDSIRYTECVNYLQDYGRQHLLRFMFRHGHYHDACYLFFPPDAVPPPPQPSIMSGMSSSSPQRLDSLATDYGTIDDLCELCIGYGAMPILEEVISTRISSTTSQDAAVNQYTVTALARICLYCETHKHFNYLYGFQVIKKDHVAAGLCCIQLFMNSSSQEEAIRHLEHAKMHFDEGLSARHKGGESTKLVTKGLRGKSASEKLTEEGLVKFSTRVSIQVEVVKSFNDSEGPQWNHSLFGNPNDPDTFRRRCKIAEVLVEKNFDLAFQVIYEFNLPAVDIYAGVAASLAERKRGSQLTEFFRNIKGTIDDDDWDQVLGASINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >RHN69417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43282055:43283195:-1 gene:gene17886 transcript:rna17886 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGARSAEIFVMQKRMKEKMKIMEEEKVRRGEVSGDDQNRKVQANSSTIGKNKVHPGVAPNSA >RHN47556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40577204:40581061:1 gene:gene42170 transcript:rna42170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MEDKMQHDPPNNTPTQHGSEKTEPVRSRWTPKPEQILILESIFNSGMVNPPKEETIKIRKLLEKFGNVGDANVFYWFQNRRSRSRRRQRQMQQATLDQQRNQMAMMQPQQVVNDGASAIPCDMVQTNPTMVFGGSSSCLNDSSGSSSSSCGGVLSGQQGMDGLFSVSSQMGFLGVDQTLAAPSLLCPSLSPNFNYHSGFGGASTVTGLATVFINGIATEIQAGPLDIKTVFGEDVMLVHSSGVPVPTNEHGILIQSLHHGESYFLVSKSAQV >RHN60257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24311533:24312203:-1 gene:gene22533 transcript:rna22533 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPVLIMQIVIGLIGLPSELLIRNLPFIIIRAGNTDQAHETTCSADSPRRLKSRAVDEKSEV >RHN58326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:818112:824098:1 gene:gene20228 transcript:rna20228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative XS domain-containing protein MDYSSEEESEISESEIEEYSEKPYEELRAGKYKVKNNNGTLRCPYCSGKKKQEFKYKDLLQHASGVGKGSANRSTKQKANHLALAKFLRTDLANEADQVPRPALTVAVVQPVQVENYVWPWTGILVNISKSHDSGYLQKEFAKYKPLAVHTFLMDGNSVAVIDFNNDWNGFMNASELEKCFETKRRGKKDWNSMDLQDSSEIYGWVAREDDYNCPGLIGEYLRNKGRLRTISDIVQEASQSRNSIVENLANEIDITNENLNKMQYRYNEKTMSLSRMLEEKDKLHSAFVEESRSMQRKARDEVRRILEEQEKLSNELDEKMRKLDTWSRDLNKREVLTDQERQKLEEDKKKKDVRNQSLQLASKEQKIADENVFRLVEEQKREKEEALNKILQLEKQLDAKQKLEMEIEELRGKLQVMKHLGDQDDTAIKKKMEEMNSELEDKIESLEDMESMNSTLIVKERQSNDELQEARKELIEGLNEMLTGAKTNIGTKRMGDLDQKVFVNACKKRFSSDEAGIKAVELCSLWQENVKNSAWHPFKVVSQNDNPVSVIDEEDEKLQKLKKEWGDEIYSAVVTALKEVNEYNPSGGYTVWELWNFKENRKATLKEVITYIVDHMKQLKRKRGG >RHN63965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55433045:55433743:-1 gene:gene26730 transcript:rna26730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNSDRLMKWMYGYNTTKSSADTNGFGSHAPGIIKAMQMLDTQFENLHASSPSSDRNDVINNNKMVLNTSSAFAHLDLLGGSSSHSQQPPSETNNIVATNGDEIRAFNNTNMKRKKEEIVDDGRTHSLSHNKNGPYTCPKCNKVLATSQKFASHASIHYKSESEEEKKKRYMSRIRKRPDLRFQKLNDGTTTFVPIASVDQSHAVSVSYNNHNMSAPAPPLSGVKVKLEPADN >RHN38566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:291232:291907:1 gene:gene44520 transcript:rna44520 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSLITALQESPSSNYHSLPDPPSCSDNATHFSTPRNQRLGMAHIENNCFSDIDEWLEHANKFCKSFFNHHHTEENLEAETRQNGNPQDLMRMSAVCNKDLTAQEHEFYNIVLPSVREESPLPRTITSCINRHGGCSSNPKLEADHEDVRNKAKHLQLMHRLDSKH >RHN46329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30792367:30795897:1 gene:gene40809 transcript:rna40809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase MVAMAAATASSQLIFSKPCSPSRLCPFQLCVFDTKSVLSSSRRKHVSGSGGVRCMAVGEVAAETKKRSSYELITLTSWLLKQEQTGVIDAELTIVLNSISLACKQIASLVQRANISNLTGVQGAVNIQGEDQKKLDVVSNEVFSNCLRSSGRTGIIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADVGNESDDPTLGTEEQRCIVNVCQPGSNLLAAGYCMYSSSVIFVLTIGKGVFVFTLDPMYGEFVLTQENLQIPKSGKIYSFNEGNYKLWDDNLKKYIDDLKEPGANGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRVLDIQPTEIHQRVPLYIGSTEEVEKVEKYLA >RHN79347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27839004:27841158:1 gene:gene3124 transcript:rna3124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MSTSSEYFEKFTWRIEDFSKKNCMKLKSKDFKIRGYTWKILVHPLRRDVDHFTLYLMVADSLPPYGWDRNTFFKLVLVNQLDRNKNIVKETQQKFNGGYRSWGAFFLNFNKFIDHKQGYLVRDTCIIEAHICVSNFAPKIYDVNSFNQNSTPTDQSSDERETISPRTSGSTSSPDEGEIQGTDLTLRQFIDLDGLKPEEKHFVPFLEEVCTWRPSLIQSQMKKSRLFRQWAFISLGKVLYFLKTKKVKDMSEDDIKNLKSLWEELAKSSEFDLSWLEPYVKSALGVKPYLERANKLKKLQDKVVSLDIKMKMLRDELAASQAEFEVARKGLSEIRNGFQEMNVNATIGYAMF >RHN78607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16657637:16658459:-1 gene:gene2232 transcript:rna2232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MDCSFIPFSSKSNFFPQSSFGSQDSISLNPKNHNEFLPFNENDPEEMLLYGMITSSPQEQTLSKTSNEKTTKSNKDKNNKSYRGVRRRPWGKFAAEIRDSTRHGIRVWLGTFDSAEAAALAYDQAAFSMRGSSATLNFSVEKVKESLRDMNYLLSNDNECSPVIALKRKHSMKRKMDEKKKKHDTDVRLDNLVVFEDLGADYLEQLLMSSSDDNQNIW >RHN64924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63025364:63026725:-1 gene:gene27803 transcript:rna27803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zeaxanthin epoxidase MAHYLYSVLFCYVLPCIYLFLPVLQHAMGEPEVVKGVDLERYMGRWYEIASFPSFFQPTNGENTRATYILNSNGTVDVLNETWNNGKRESIHGIAYKADPNSDEAKLKVRFLVPPFLPFIPAVGDYWILYLDQDYQFALIGGPTELFLWILSRQTYLDDESYNKLVQKAKDDGYDVTKLHKTPQSNPPPQ >RHN67544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28125645:28125965:-1 gene:gene15742 transcript:rna15742 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVTESDLDDFIEFTRVYGDQEHALLLRYLGGSLTKNSNLGRQQETSQAIALEDVEGDEEEKHHYLPVVTHLLEQDPWFFFFYSVFGWKESCQERDVKNIL >RHN66313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10702622:10704078:1 gene:gene14268 transcript:rna14268 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPQVDAIDVYLECVYMYGLFISNAPHDMSPSVDRSLFGMFALGYGRDPPLTL >RHN51762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24639714:24643510:1 gene:gene36280 transcript:rna36280 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDVWVLKECGNKESWTKFYSVPYMEDQGSFSYTKALYISEDDRILVDFRDLGSNEVKLVVYIPKMVLLIFLRF >RHN72328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6831891:6832397:1 gene:gene8026 transcript:rna8026 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSQVNFNCSSHQMLLICFVMLITNQIIMLCLLFWVLEEEEAPPELDIDEIENLLYRGDSAIPIFQVDEDSGVDGDDGIEDIVIPRVIIDYGATSLDDYND >RHN50740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10022896:10023996:-1 gene:gene35065 transcript:rna35065 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAGRYHMNNNVDNATLSIRNFSFSANDTTSFITAARYGRVLPLDLSVTSSPQQHVSSPNTTLLYSYHTNFARNSSSNVVGSHFANDTTSFIAATRYGRALPLGSSVTSSQQQHMSSPNTTLLSSCHANMARNSSSNFVGSHSANDTTPFITATRYGRALPLGSSVTNSPQQHMSSPNTTLLSSNHANLARNSSSNVVGSQGYANWSRNASRPIFQNQRNFRYLPYREGSNRRNNSFLFNNFNLRPSGNTNRFHSLNDGSIPSATPPPFYPINNNNLNMFLSSGNANQDATRDTSTSHRVFHIRDSEARNRSMTPLENGFEALQTEKKELLLFKDDKNTIPTSPMTEGDDANENDDEHLDLRLYF >RHN58394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1391381:1391518:1 gene:gene20301 transcript:rna20301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metallo-dependent phosphatase MADAEENTLRILIATDCHLGYMEKDEVRRHDSFQAFEEICSIAEK >RHN51098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13987252:13988142:1 gene:gene35474 transcript:rna35474 gene_biotype:protein_coding transcript_biotype:protein_coding MELEVRTQTNNAENENSSDQNLDVTAPVRVAHVHHQEIPISKNV >RHN64209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57280466:57282885:1 gene:gene26998 transcript:rna26998 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGMIIPQSDQDLILGLSLPVMGRMTGPLVPRMTEVPLVPRMAEGLLAPRMMEGPLVPRTTEGPLMPRTMEGLLVPRTTEGPLVSRTREGPPVPRRMAEVQLMRRIWCLADLQAPGVMIAVLQGLVHGHTVHADFLPSMRGSVVLKLVFPMTYWR >RHN81767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48734114:48737475:-1 gene:gene5854 transcript:rna5854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPPDE putative peptidase domain-containing protein MAKVTLHVYDLTNGSEKTNNTVVHINKIFKNGIGLGGIFHGAVQVYGDDEWSFGSCEEGTGVFSCPSRKNARYTYRQSLVLGKTNYNIFMVNQILRELSKEWPGNSYDLFSKNCNHFCDEFCARLGVPNPPGWVNRFANVGDITMEVAGCTASRFRQAKTEIVSASKSACRFLFCVTNNVKTDMDDSPRGESLGVQAVWMKKVITNGVKPSTSTSSEAGTSSEIEPQQQI >RHN81188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43924732:43928029:1 gene:gene5195 transcript:rna5195 gene_biotype:protein_coding transcript_biotype:protein_coding MWKMIYYLQVIQNDGDVASMFRLMVENYKLYLCVRFNYVCPNCQYRFDVIL >RHN75515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42893634:42895138:1 gene:gene11736 transcript:rna11736 gene_biotype:protein_coding transcript_biotype:protein_coding MVMHKLDIVTILGFESNRNGSQNSHLKVTSELDIWSSILNQKNKDEASHSKTPPYIHPLVKNSKNYLSEKSLKICTESLGSQSSSDGFSSYTSFEDNNSNYDEKLKEIVSMVKKPRSYPPSLPSLTSQSEHGQNQPP >RHN61020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32351847:32352354:-1 gene:gene23430 transcript:rna23430 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQIISINTDSNSTVLSCAVHIPLLLVIINIDSLLLEQATKYLPNLLRFLFYLSFFLLWFTHTVSWSCCLFSHSFVRNETPSLRLSSYGSNLHLWALILIRLLDCCSNL >RHN39203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4912121:4918452:-1 gene:gene45207 transcript:rna45207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate dehydrogenase (NADP(+)) MASVLGSYSNIVTSYGFKNEPQLCTKFTTLCLSCITQHGNKGRKHFQLKSSNGHPLNAVSSHDGLAESSLAKEDGQPQQVEGLFSLSDSESTGSNLSITVVGASGDLAKKKIFPALFALFYEDWLPENFIVFGYARTKMTDEELRNMISQTLTCRIDQRANCADKMDHFLKRCFYHSGLYNSEEDFLDLDSKLKEKEGGRLSNRLFYLSIPPNIFVDVVRCASLKASSKNGWTRVIVEKPFGRDSESSSELTRSLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLVQILALFAMEPPVSLDAEDIRNEKVKVLRSMRPIQLEDVVVGQYKGHSKGGRSYPAYIDDSTVPKGSLTPTFAAAALFIGNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKRNFGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLYRSRYAREIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPLLKEIENKKIAPELYPYGSRGPVGAHYLAARHNVRWGDLGGDD >RHN76229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48749058:48750635:-1 gene:gene12522 transcript:rna12522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MMGKKLPSIAQNCGCEEGLCCSEHGYCGNTDPYCGTGCKQGPCYAGQISPSTPGPSNDVNVADIVTQEFFNSIIDQADSSCAGKNFYSRAVFLDALGSYNQFGRVGSVDDSKREIAAAFAHFTHETGHFCYIEEKDGASKDYCDESNTEYPCAPNKGYYGRGPIQLSWNFNYGPAGKDSGFDELNSPETVANDPLVSFKTALWYWMNHVHNVMNQQGFGATVRAINGRLECDGVDPNTVKARVDYYTQYCSQLGVAPGDKLNC >RHN72106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5022434:5023387:-1 gene:gene7779 transcript:rna7779 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDFPNFLMKLFREVEDLKLLFEMLRNLRLFREGKSDGKLPFKELFERFKKESELKLFVWNKVVLNPETDWKAFDIRFLFSLLHEDDDCVRLISVRRIKNLKRCFIFFLPLLLGCTISILISCGIILERKKRQ >RHN54369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9046618:9053160:-1 gene:gene29389 transcript:rna29389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain-containing protein MEMIGNHSSNHSPDNLQSRRLPPWNQVVRGESESIAAVPAVSLSEESFPIVTAPVDDSTSAEVISDNADNGGERNGGTGKRPAWNRSSGNGGVSEVQPVMDAHSWPALSDSARGSTKSESSKGLLDGSSVSPWQGMESTPSSSMQRQVGDNVNVNNMAPTRQKSIKHNSSNASSNGGHTQQSAPQVSIAATGSHTSSSRDHTQSPRDHTQSPRDHAQSPRDHTQRSGFVPSDHPQQRNSFRHRNGGPHQRGDGSHHHHNYGNRRDQDWNSRRNYNGRDMHVPPRVSPRIIRPSLPPNSAPFIHPPPLRPFGGHMGFHELAAPVVLFAGPPPPIDSLRGVPFVPPMPLYYAGPDPQLHSKIVNQIDYYFSNENLVKDIFLRKNMDAQGWVPITLIAGFKKVMDLTDNIQLIIDAIRTSSVVEVQGDKIRRQNDWEKWIMPSPVQFPNVTSPEVLNQDMLAEKMRNIALETTIYDGAGGPVVLPDNSEHTPAFGDLSSPLQLSTSEITGEVDIHGSDFSTSRRN >RHN61550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36319172:36329685:1 gene:gene24024 transcript:rna24024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WW domain, FF domain-containing protein MSQPPLSAAETTPTSMPNSSSSSSSTPASSPAPPVSYAPHQNVNSSANSQQQQQASHSGMNPNSVVNPPFHTHTPRPAAPSFSYNFPQSAPPAFTGNQHGQSNTNMPDSVTQDFSKVPSASINLHSAPAPTSISAMAPRSDPNYRPTTLWMPTAPTFPIHPVMPGTPGTPGPPGLTKPVMIPSNPAAPSTTGFPSAAVPRQNMPTASDPNASHRGGLPYPPIPSMVAPPQGYWLQPPQMSGVLRPPFHQYPAAFPGPFPFPARGGALPAVPVPDSQPPGVTPVGAASISAPSSSNHLLRGTSGVQTEVISAHTDDKHKLNATVTQNEDAANDQLDAWTAHKTEAGIVYYYNALTGQSTYDKPAGFKGEAHQVSVQPTPVSMVDLPGTDWQLVSTSDGKKYYYNNRTKTSCWQIPNEVAELKKKQDSDVTKDHPTPVPNTNVLSERGSGMVALNAPAITTGGRDAVASKPFIVQSSPSALDLIKKKLQESGAPVTSSSIPTPSVQPGSESNGSKATDSTAKSLQNDNSKDKQKDANGDANVSDTSSDSEDEDSGPSKEECINQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSYSARRSLFEHYVKNRAEEERKEKRAAQKAAIEGFKQLLDEASEDIDDKTDSHTFRKKWGNDPRFEALDRKEREHLLNEREMISNDYA >RHN76459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50477019:50481350:1 gene:gene12786 transcript:rna12786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BAH domain-containing protein MAPSLTQACCVEEIVGDFKWGNKRGIGVKNKDTQFYDSFVYEGVEYFLHDCVYFYHTDHVETSIGMLVKMFENGRRKMIRVVWFFRPSEIRSFHRSYQPSWNELFLASGKGKGLTNVNSVESILGKCCIVCSSEDKRNPKPSETELKRANFFFKCTFDVDRLVIDDKFPDKIDGIEVEQFFNKKRDRKTGNSVHLEANKMSKDITKIKIKTITSENIKHEVKTKTAPSDILRCKVEGTASEIVSPKTLLDSSPFKKRKVFEEKSRLGHSSNSQKKKEFNEKKELRQDDSYNPTRKVTEVTERPNAEKRKWFKKMPWEERLQKAQELGTLVLLSDLDPSYTSFEVEDLVWHALKEKVEARMIELSPTSNTYYGRALVIFRSKDAAENAISELTSRCLVLEGGRYFIYCFVFLFYFVILFCSRTCITFNPPSTS >RHN61670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37329430:37330268:1 gene:gene24158 transcript:rna24158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine decarboxylase MTFEVSVLDWFAKLWEIEKDQYWGYVTTGGTEGNLHAILVAREQFPDGILYTSQDSHYSIFKIARMYRMQCVKVGSLLSGEIDCVELEASLLSHKDKPAIINLNIGTTLKGGIDDLDLVIQTLDKCGFTRDQFYIHCDGALFGIMLPFIQQVQRIIYVKRIMYLRGLCTREDDVP >RHN55053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14732175:14733370:1 gene:gene30179 transcript:rna30179 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFKKCMMLCCTSKIVSVCCVYLCTKVPIVNTLIELNSQYRVLQGTCLTKSRYILSYCFLYSLISTSQLSHHLPIT >RHN54770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12055822:12061035:-1 gene:gene29853 transcript:rna29853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MPTSFPSGTRSGFLGQIIYENLSVERSFNIEYDIPEIGNFVRKVTCVADAANERPQKISSAVHCGIGRKVLNFVVLIMLSGWRFCKLLVSIFLFWPVKLLGRLLCKIFHGVAYLLVQVFHYLDINGIRKIYDQKYTHYEVIGILSYFCRSVGKFNSSKLKEASAYEAMLHASQHGIIEFINAMRDANPNFLSAVDSCHRGIFSYAILHRKQNVFQLIHSVNGRKEILRHRIDSFGNNLLHLAAHLGPSSDRHSRSGAALQMQREIQWFKAVEKVLHPKFKEAENDDGKKPYEIFIESHEELVKEGEKWAKDTATSYTIVGTLITTIMFAAAFTVPGGNDDKTGLPIFLHDNIFTAFLMADAVSLFASATSVLIFIGILTSRYAEKDFLKSLPWKLLFGLLLLFLSVCSMIVAFSAAIIDMILKGYETHKWFIVVPIMALGSIPIIVLVISQVSFMYEIFRSTWKNPIRSINK >RHN51354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16611148:16611498:-1 gene:gene35780 transcript:rna35780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MLGFCANGFCRALVYDFFPHGSLQKCISPQNNKDDFLGWDKLQQIALGIADGIEYLHQGCDQRILHFDINTNNVLLDDNFTPKIIDFGLAKMCSKNQSRLIVFISFFASWWLAFAL >RHN56413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30691891:30698568:-1 gene:gene31810 transcript:rna31810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MKSFTDLGLSVQLVEACEKMRWYSPLKIQTEVIPLALQGKDDVIGISPPRSGKAGAFVLPILQALLEAGPNLNTSFACVLSPSRDLVFRIAEYFQVLGSQFGVKCATLVEANDIIDQTNQILQQPHLIVGTLRQVFYHLRLTQGFSLARLKYLVIHEADLLLNDQFEEQQLNDILSIIPSERRTFLFSSTMTEKVHMIQRLSLRNPLKIDVSSKYSTVVTQLQQSCFMPAMLKDCYLVYILTEMTGRKSTVFTQTCGSAFLLALILKNLDFRAIPIISYMSQAKKLGALNAFKSGKFNILLCSEAARRGLDIPAVDMVINYNIPRDPNDYMHRVGWTGHVNAAISFVNPYEAGQLEMIERHTGKKLPVYPAPCENVLLWRGTVREAERLARKEIKESGWKSGGYLGDEEVKKISSLR >RHN72351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6995340:7002184:1 gene:gene8053 transcript:rna8053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MTIEQHKDIENGETNGFQYLQEPFIQQGKDACKEVGSDKSMENGSIGMVLLSTFVAVCGSFSFGTCVGYSAPTQAAIRADLNLSLAEFSMFGSLVTIGAMLGAITSGRVTDIIGRKGAMRISTGFCIIGWLAVFFSKSSYTLDLGRFFTGYGIGVISYVVPVYIAEIAPKNLRGGLATTNQLMIVIGSSMSFLIGSIINWRQLALAGLVPCICLLVGLCFIPESPRWLAKVGREKEFQLALRKLRGKDIDISDEANEILDNIETLQSLPKTKFLDLFQSKYVRSVIIGVGLMAFQQSVGINGIGFYTAETFVAAGLSSAKAGTIAYACIQVPFTLLGAILMDKSGRKPLITVSASGTFLGCFITGVAFFFKNQSLWLEWVPTLAVAGVLIYIAAFSIGLGSVPWVMMSEVFPINVKGTAGSLVVLVAWLGAWIVSYTFNFLMSWSSPGTMFFYAGCSLLTILFVAKVVPETKGKTLEEIQACISS >RHN53039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41398848:41404170:-1 gene:gene37785 transcript:rna37785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexokinase MAKIVATVAVVAAAAAAVVVGQQRSKGGCEKWEKVEEILKVFGEECETSIEKLKSVAEAMVVEMHNGLENEGGSMLKMLISFVDNLPSGDEKGLFYALDLGGTNFRALRIQLGGKEKGVVKVETEEVSIPPHLMTGSSHELFDFIATSLAKFVSSEPEEFRPLPGRKRELGFTFSFPVRQTSIASGTLIKWSKGFNIEDVVGEDIVGELTKSLEKVGLHMRVTALINDTVGTVAKARFSNQDVIAGVILGTGTNAAYLEHVNAIPKWHGVVPKSGKMVINMEWGNFRSSHLPLTEYDQALDAASLNPGEQIFEKIISGMYLGDIVRRVLLKMAEEAEIFGDTVPPKLRIPFILRTPDMSAMHHDSSSDLKVVGKKLKDILEIDNTPLKVRKIAVELCDIVSVRGARLAAAAIFGILRKLGRDTVKDGDKQKSVIALDGGLFEHYAKFRTCMESTLRELLGDEASGTIGIEHSNDGSGIGAALLAASQSQYLGVEES >RHN59457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10937616:10937834:1 gene:gene21488 transcript:rna21488 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDQASLRTILMCLHYSTYICNLYIKDQRFEETTRLCNIFIVPKLCQNYIIIKHLEFLYNFHILEILKSRV >RHN54598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10703312:10704093:-1 gene:gene29663 transcript:rna29663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase III subunit Rpc5 MDFDDLEVPSQATSRVSRFAPKSSKLKPKKEPQLVPKIEPQEIDLTAKQNEDCIETISPTQMKSEHNGTVKVEAESKSEPEHDSRNVDSVDVEMTEAEKDSTQVNPMDEDNEEDTVVREIDVFFSPSIDDDIKLYVMEYPLRPSWRPYELDEQCEEVRLKPDSLDVAVDLSVDLESSTVDEEFSNRLKYTKQVFSLYLTLFDYTML >RHN81978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50307337:50310470:1 gene:gene6089 transcript:rna6089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S3Ae MAVGKNKRISKGKKGGKKKAADPFSKKDWYDIKAPSVFQVKNVGKTLVSRTQGTKIASDGLKHRVFEVSLADLQGDEEHAFRKIRLRAEDVQGKNLLTNFWGMNFTTDKLRSLVRKWQTLIEAHVDVKTTDNYTLRMFCIGFTKRRANQVKRTCYAQSSQIRQIRRKMVEIMINQASSCDLKGLVHKFIPEMIGKEIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYNEDVGTKVERPADEMVTEEPTEIVGA >RHN72665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9555614:9556897:-1 gene:gene8410 transcript:rna8410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MISEQGFKESEISLLGPSSDIVDSCQSNLNGSLHQGTSQYDWSQFEEIIPKLDLKEELIRCAQFVFDGDFQKAIGFMNKVLGKMVSVAGSPIQRLGAYMLEGLRARVESSGSAIYKALKCEEPTSIELMSAMHILYQICPYFQFAYISSNAVICEEMQNESRIHIIDFQIAQGSQWMLLLHALKHKPGGPPFIRVTGIDDSQSFHARGGKLDIVGKKLEDCAKTCKVPFEFNSVKMYGCEVQLEDFEVQHDEVLVVNFPFALHHIPDESVSMENHRDRLLRLVKILSPKVVLFVEQESNTNTSPFLPRFAETLNYYTAMFESIDVALPRDDKKRINAEQHCVARDIVNIIACEGDERFERHELFGKWKARFSMAGFVPLLLSPSVIDSVRTLLKDFNKDYRIEQTDVAINLAWKSKVMCTSSAWRCY >RHN77597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7994330:7996665:-1 gene:gene1067 transcript:rna1067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein disulfide-isomerase MTISCSLSLPQLHSSFLSSPLKSLSTTPLARNKFQPKPTFYPRIRALELDQNTVVAITVGVLSVAVGIGIPVFYESQIDNAAKRDNTQPCFPCNGSGAQKCRFCLGTGNVTVELGGAETEVSRCINCDGAGSLTCTTCQGSGIQPRYLDRREFKDDD >RHN67002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22647730:22652179:-1 gene:gene15131 transcript:rna15131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tryptophan synthase MASSITNLNSPKLLPISKEQTNPFSHFPSNTLKFASLSSRTFSNVSCSVIRDTSSVLPLQDANGSVVLQRPDSFGRFGKFGGKYVPETLMHALTLLEASFHSLAGDEDFQKELAGILKDYVGRETPLYFAERLTEHYKNANGEGPHIYLKREDLNHTGAHKINNAVAQALLAKKLGKKRIIAETGAGQHGVATATVCARFGLECIIYMGAQDMERQALNVFRMRLLGAEVRPVHAGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHAVIGKETRKQALEKWGGKPDILIACVGGGSNAMGLFHEFVDDSDVRLIGVEAAGFGLNSGKHAATLTKGEVGVLHGAMSYLLQDDDGQIVEPHSISAGLDYPGVGPEHSFLKDLGRAEYHSITDDEALEAFKRVSRLEGIIPALETSHALAYLEKICPTLPNGTKVVVNFSGRGDKDVQTVTKYLKL >RHN53140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42270606:42270812:1 gene:gene37910 transcript:rna37910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MHPGSFGGICIHCGQKVDGESGVSFGYIHKVQKISIDYLGGTNNIDLDSCCCHMSLFLNDLDQIEIFV >RHN59430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10668453:10671068:1 gene:gene21459 transcript:rna21459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MGNMKFACVVMICMAVLYAQHGEAITCPQVSSNLVPCVTYLQSGGAVSTRCCNGVRNIVSAARTTADRRVTCNCLKSAAAAYRRINQGYAAALPGKCRVYLPFKISASFNCASIR >RHN78474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15430457:15432585:1 gene:gene2043 transcript:rna2043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MVINFMEQFLPLSEIGNLTKVSALSLLSNDLTGNIPTEMNRLNKLKILQFGDNNFIGHLPPNICSSGKLTVFSARNTQFTGPIPKSLKNCSSLKRVRLEQNQLAGNITDSFGVCPNLYYMELSGNKYFGHISPNWGKRKNLISLKISNNNLTGSIPLELVGATNLHLLDLSSNQLTGEIPMELGNLSSLIQQLSISSNYFSGEVPVQIASLKEVAILELATNNLSGFVPKQLGRLSMLLHLNMSQNKFEGNIPDEIGQLKVIENLDLSGNSLNGTIPTMLGQLNRLETLNLFCTIPLTYGEMSGLTTVDISCNQLKGPIPKISSFLQAPFEALRNNKGLCGNVSGLEHCSTSGGNFHSLIILTQFWCMRISSKPLKILTARSISLGLEYMEVITKQSCLLVKCMDNILKDNEQASEFDWNRRVNVIKDIANALCYLHHDCSPPIVHRDISSKNVILDLEYVAHVSDFGTSKTCIHNGSNEKCDVYSFGVLTLEIHFGKHPGDIVTYLWQQPSQSATDLTIDTIPLMDMLDQRLPRPTNDIIVHKVASMIRIAVACLTESPRSRPTMEQVCKQFVMS >RHN47573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40718430:40721500:-1 gene:gene42191 transcript:rna42191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MMNMKPLIVPAGIMNTLCLVVLYCSIIITDNSVSGSRKCEFPAIFNFGDSNSDTGGLSAAFGQPGYPYGESFFHHPVGRYCDGRLLVDFIAEKLGLPYLNAYLDAVGSNFSHGANFATAGSTIRPQNTTLHQTGGFSPFSLDVQFTQFNDFQRRTQFFRNKGGIYKTLLPKAEYFSRALYTFDIGQNDLASGYFHNMSINQVKAYVPDVLDQFKNTIKNIYAHGGRSFWIHNTGPVGCLPYIIELHKVTSDKVDKAGCAIPYNEVAKFFNHELKQAVVQLRKKLPSAAITYVDVYSAKYSLISQAYRHGFKEPLRACCGHGGKYNYNLHIGCGAKVKIDGKEILIGKPCKDPSVVVNWDGVHLTQAANKWVFEQIVDGSLSDPPIPLNMACYKHS >RHN39163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4610346:4617001:1 gene:gene45164 transcript:rna45164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MKQTMMRMLLHFLLVLFGVLTTFVLIQAQDQSGFISIDCGLPKDINYSSLDTGINYISDAKFIDAGVSKKIAETDIKQELQYVRSFPSGVRNCYRINVTSGIKYLIRSSFYYGNYDDLNEPPEFDLHFGPNVWDTVKLTNISHITDSEIIYTPLLDYIQPCLVNTGKGTPFISVIELRTLNNEVYVTNSAKSVVSPLRRSDVGSIANEYRYKDDVYDRIWFPSNSSFKRLHISPGTASLLLGNNYELPAIVMNTAVTSETPSAPLNFSWEADNVNDQFYLYMHFKEVEELAANETRSFNITVNDKFWYGNVTPKSLYTTAFSTKPLTGATRYLFSLSKTENSTLPPILNAYEVYKVKLFSQLETHQDDVDTITNIKNTYGVTRNWQGDPCGPVNYMWEGLNCSIDGYSIPRITSLNLASSGLTGEIPSSISKLTMLEYLDLSNNSLNGPLPDFLMQLRSLKVLNVGKNKLVGLVPIEFLDRSKSGSLSLSVDDNPDLCMTESCKKKNVVVPLVASLSALAVILLISLGIWLFRRKTDEDTSPNSNNKGSMKSKHQKFSYTEILKITDNFKTIIGEGGFGKVYFGILKDQTQVAVKRLSPSSKQGYKEFQSEAQLLMVVHHRNLVPLLGYCDEGQTKALIYKYMANGNLQQLLVKNSNILSWNERLNIAVDTAHGLDYLHNGCKPPIMHRDLKPSNILLDENFHAKIADFGLSRAFGNDDDSHISTRPGGTFGYVDPEYQRTGNTNKKNDIYSFGIILFELITGRKALVKASGEKIHILQWAIPIIESGNIQNIVDMRLQGEFSIDSAWKVVEVAMACISQTATERPDISQILAELKECLSLSMVQRKRGSTRSRDELVSFATVSETTILAR >RHN48110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45116215:45118701:1 gene:gene42786 transcript:rna42786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pleckstrin-like, plant MEPITCLRPEFVPSGDTPLPESPRVPMEFLSRSWSASALEVTKALAPPHSSCMPSNGSIPEETTNHSLSEDLSIQSKNQFSFASSATSQLVLERIMSHSAREEVSPLTSGRLSHSSEPLNGGSSLTGTDSPISHSDEFDDVVKFFRTNNSIHPLFNGGRASAGVGNGTACSGPKTVGRWLKERREKKKEESRTQNAQLHATITVAAVASAIAAIAAATANSSTQNKDEKMAKTDVAVASAATLVAAQCVEAAEAMGAERDHLASVVSSAVNVRSHDDITTLTAAAATALRGAATLKARAVKDLWNGAAVTPLEKGMGGIPISGKGNNIKNMNNSSSDSGEIVNVDGFIGSCSQELLAKGSELLKRTRNGDLHWKIVSVYIHRTGHVMLKMKSKHVAGTITKKNKNIVLDVCTDLPAWPGRDLSDDGEKRCYFGLKTDSRGIVEFECRNQREYDIWTQGVSRLLSIVTQKQNKYSN >RHN40223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14361778:14364285:-1 gene:gene46346 transcript:rna46346 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYYYKIKKTVNFSFVVNKSSYLGNLFLIAIYIGNISFVKVHVEKYQLALLNMDFMFLKKFTLLFLLISGSLLTTSFAGRASNFIRISNEDVNAVHEVTTKMAMNEEEVRSIHERLLRANTKDYGRYDPSPTFSKPPFKLIPN >RHN81740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48609532:48611132:1 gene:gene5825 transcript:rna5825 gene_biotype:protein_coding transcript_biotype:protein_coding MDALNFLKFWKNNTTTSNITVPPHLVVETDSESDEDDSFFDLELTMSDDQHVKTKTENNVPQKTTLPMSPNEPISKRKVLPIEPISKPQSPISLLRSAPSFRIFTFRKQRRTGSEKTEEFRKTECEKNQKKETKVFSVKLNIEHDFHSTPMLSRDNSTRSFGSKIRNQGTEEPKTERVSKEILQKYLKLIKPLYVKVSKRYGDKVKFSGEGMTVTASPSSSPAVGSLRKEKQGSFPAGMRVVTKHLGKSRSSGTVAGASSPANRSDDTLLQQHDGIQSAILHCKRSFNSRDSSMGVDADGSMYSTRNSFEDEV >RHN63292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50134340:50134729:-1 gene:gene25976 transcript:rna25976 gene_biotype:protein_coding transcript_biotype:protein_coding MEFARADQTYYLLPTGRLTPMKVVNVPGGTTRGIGRCCFACFRLNNIAATIELED >RHN81254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44363573:44368273:-1 gene:gene5270 transcript:rna5270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Snf7 family protein MKRVFGVKAKKEPPPSIEDANERITKRGDTVDEKIKKLDVELNRYKDQIKKTRPGPMQDGIKARAMRVLKQKRMYEGQRDMLYNQTFNLDQVQFAAEGIKDAQQTMSALKSANKDLKGMMKTVKIQDIDNLQDEMMDLMDVSNEIQETLGRSYSVPDDIDEEDLMGELDALEADMENESEGVPSYLQPDKESDFDSELNLPSAPTGQTAVPHGRANAQTEDELGLPAVPRASLRG >RHN65892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6271244:6276349:-1 gene:gene13785 transcript:rna13785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MSQSTLPSISSFTCDWTYDVFLSFRGIDTRNNFTGNLYHSLHHQRGIQTFMDDEEIQKGEEITPTLLQAIKQSRIFIAIFSPNYASSTFCLTELVTILECSMLQGRLFLPVFYDVDPSQIRNLTGTYAEAFAKHEVRFGDEKDSKVQKWRDALRQAANVSGWHFKPGFESEYKIIEKIVEEVSVKINRVPLHVATNPIGLESQILEVTSLLGLDSNERVSMVGIYGIGGIGKSTTARAVHNLIADQFEGVCFLDDIRKREINHDLARLQEALLSDILGEKDIKVGDVYRGMSIIKRRLQRKKVLLILDNVDKVQQLQAFVGHGWFGFGSKVIVTTRDKHLLATHGIVKVYEVKQLKSEKALELFSWHAFKNKKIDPCYVDIAKRLVTYCHGLPLALEVIGSHLFGKSLGVWKSSLVKYKGVLRKDIHEILKVSYDDLEEDEKGIFLDIACFFNSYEISYVKELLYLHGFHAEDGIQVLIDKSLMKIDINGCVRMHDLIQSMGREIVRQESTLEPGRRSRLWFSDDIVQVLEENKGTDTVEVIIANLRKGRKVKWCGKAFGPMKNLKILIVRNAQFSNGPQILPNSLKVLDWSGYPSSSLPSKFNPKNLAILNLPESHLKWFQSLKVFEMLSFLDFEGCKFLTKLPSLSRVPYLGALCLDYCINLIRIHDSVGFLGSLVLFSAQGCSRLESLVPYINLPSLETLDLRGCSRLDNFPEVLGLMENIKDVYLDQTDLYQLPFTIGNLVGLQRLYLRGCQRMIQLPSYILPKVEIITTYGCRGFRSSENEEKVSPKVFANAMCVYNEYGKSFLNVYSRNISSNDVIEVSHPWWSQHGYDLSNFEFDLFCSKLELGKLKRSESSVCFWFRKKFPTIALWCLVKPGNHLNNMVLDFKLNVLINGTKQLTSSCQYIFYTHKKTDQFLCCDLQCKMEGVFSDNEWNVVEILCEMEHLMPGDSKRTMAHQEWTTKKILKWSLLYVYPENEEEDYKLFDNPDSPPPTKEIVAHKQILQEYLQIYNSSLAIGERQLRAYIDVTY >RHN60478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27220725:27223426:1 gene:gene22792 transcript:rna22792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rho GTPase activation protein MAEVLHSSDPIHIHTTDQEQQQQSSPPSSSSSSFSSLSVAVNKSFSTVTDCEAEEITVTERAKTEQELSFLAILVTLFRKSLVSCASDASAMEIGHPTNVRHLAHVTFDRFNGFLGLPLELVPQVPTTPPSASATVFGVSTESMKLSYDRRGNIVPTILLLIQEHLYAQGGLQAEGIFRINADNRQEEYLRDQLNKGVVPEDIDVHCLAGLIKAWFRELPRGVLDSLSPEQVMTCQTEEDCAELMSQLPHTEASLLNWTINLMADVVQEEHLNKMNARNIAMVFAPNMTRMADPLTAFMYAVQVMNFLKTLVSMTLKERNDSDVESSPEFYIEPFDENEEHSHCNSFQQDVATENEMLSNEAI >RHN59292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9152439:9153643:-1 gene:gene21297 transcript:rna21297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mRNA (2'-O-methyladenosine-N(6)-)-methyltransferase MDQLWDIHMELPYGTMTDDEMRALNVRLYKYIGLFFYGSMDVQWNLNEKVRETSGKRDEMYPLLERTSPITRKLELLAPNLPFTVECVRSVDGCGKGLKAAYLDVEVQPASPLRASSMEVDSSVAAQTRGPFAGTSKSTATQFAGLVAPATTSASEEQQWKLMWTPSFYQFNHMIFVGKILS >RHN48295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46410825:46420229:-1 gene:gene42997 transcript:rna42997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MESSIESEGRFKGSIKRTLSRFESSSLRMSSGMDNVFPNSVNREENDDEEALKWAAIQRLPTVARLRRGLLTTSKGQVCEIDVYNLGQQERRYLIDRLVRIADVDNEKLLLKLRDRIHRVGINLPTIEVRFEHLNIEAEVHVGKRALPTLTNYVLDMVEAPLNYILRRRRQHVNILKDISGIIKPGRMTLLLGPPSSGKTTLLLALAGKLDPKLKFTGKVTYNGHEMNEFVPQRTAAYVSQNDLHIGELTVRETLEFSARFQGVGPRCDMLEEISRREKERNIIPDPDIDVFMKILGLETCADTVVGNAMLRGISGGQRKRVTTGEMLVGTAKALFMDEISTGLDSSTTFQVVKSMKQYVHLLNGTAVISLLQPPPETYDLFDDIILLSEGHIVYQGPCEHVLEFFASLGFKCPERKSVADFLQEVTSMKDQQQYWVERDKPYRFVTPKAFAEVFESFHVGRSLGNELVTQFDKSKSHPAALTTNKYGIGKRELFKACLSRELLLMKRNSTLYKFKLCQIAFMAIVTMTVFLRTEMHHNSVLDGGIYAGALFFGNLVLMFNGFAELSMTVVRLPVFYKQRDLLFYPSWAYGLPSWILKIPVTFAEAAVWTFLTYYVIGYDPEVGRLLRQFLLLVLINQMGTSLFRLLGAVGREMTMATSLGSILLTFLIAMGGMALSKDNITKGWIWGFWISPVMYAQNGLVNNEFLGKTWRHVLPNSTKPLGVDVLESRGFFTQSYWYWICFAALLGYTLLFNLGYILALTYFNQIEKHQAVKSEQSQSNEENGGRKGGMVLPFEQHSITFDEVTYSVDMPPEMRIQGVLEDKLVLLNGVSGAFRPGVLTALMGVTGAGKTTLMDVLAGRKSGGYISGNITVSGHPKKQETFARISGYCEQNDIHSPHITVYESLLYSAWLRLPAEINTETRKMFVEEVMELVELNPLRDAYVGLPGINGLSTEQRKRLTIAVELVCNPSIIFMDEPTSGLDARAAAIVMRAVRNIVDTGRTIVCTIHQPSIDIFESFDELFLMRRGGQEIYVGPLGRHSSHLIKYFEGIQGVSKLKDGYNPATWMLEVTSSAKEMEMEINFAEVYKSSELYRRNKALIEDLSTTSHGSKSLYFPSKYSRSFFIQCMACLWKQHWSYWRNPLYNSIRFIFTIVVAVLLGSIYWKVASKIENQQDFFNSMGFLYTATLIIGVRNCNSVQPLIGIERVVFYRERAAGMYSALAYAVSQASIELIYILRGPMYALIEIPYNLVQAVVYGILVYAMIGYEWSVTKFVWYIFFMFFTFLYYTYFGMMTIALTPNLAMASILTSAFNSLFNLFSGFLIPQTRIPVWWRWFYWINPAAWSLNGLVTSQFGDITDSLDFNGRIVPIQDFLRDYFGFKYEFLGIVAVIVVGFTIVNNKKFNKYLYYNVELGCSWPPCLKLYGMKH >RHN39050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3726460:3727265:-1 gene:gene45042 transcript:rna45042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative defensin, plant MTSSASKFYTIFVFVCLALLLISICEVEAKVCQKRSKTWSGPCLNTGNCKRQCVDVENATFGACHRQGFGFACFCYKKC >RHN79712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31432961:31435912:-1 gene:gene3537 transcript:rna3537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(+) ADP-ribosyltransferase MAVPGRRNGLNEEDDEESNGLFGEEGLVAEESDTPPHLRDLSHAATHGDLNALRLAIDNLSGSIDEPVEDGDTALHLTCLYGHFECAQLLLERGANLEAMDEDGAIPLHDACAGGFINIVQLLLNRANNAEHIKRMLESVDSEGDTPLHHAARGEHADVIRLLLSNGASSTKQNLYGKTPAELPEPGTDARRLFEATVTAMEI >RHN47317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38813189:38814756:-1 gene:gene41900 transcript:rna41900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MAVDEKYSELVSEDGMKLPVGFRFDPTDHELVDYYLTRKVCNQPLPNLLLEFDVFQTEPWKLPRDNRTSSKHMRYYFFDIRNRRFENMDARRAGNGEWRIYERNEEFALSNNQLIGRKNTFVYRRIQGNQALMTQWRMHEFVIGTIFHQTKVYSAVGAYRIFKMKVAKAEKKAPPTVIDFTMEDASVSAPPPSP >RHN76658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:207651:215079:1 gene:gene21 transcript:rna21 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease PH, bacterial-type, exosome complex component Rrp43 MSVPNAAATEDLSSEMEVDAFRRLFPLRYFERHLAESIRSDGRPLREARDTSIFLGAVASANGSALVKIGSTTMLTAIKMEVMIPSLESPDEGCLAIDFHMPPICSPIVRPGRPAEAEPVVSKQLSDTITSSGMIDLRELSLVGGKAAWMAYLDIYCLDADGSLFDAALLSAVAALSHLQIPAVAMNDDGKIVLMSDEDGQKQAKEQVNKEKRKLTLKSIPLSLTCILHKNYILADPTAEEESIVETHVTIILDTSGQLISLYKPGGPVLAYTSAIQDCVALTRQRVKELKSFLDKENSAMEVE >RHN48737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49825954:49827970:1 gene:gene43485 transcript:rna43485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLSSLQQHCSATRSSACITTLLKACKRIQHLQQVHASIIQRGLEQDQVLISNFISLANTLSISTRSYSTAVFNRVLNPSTFLWNTFIRTHCQSSFFSDTISAFIRMKAEGAVPDSYTYPSVIKACSGTCKVLVGKSVHGSVFRCGLDQDLFMGTTLIDMYGKCGQISDARKVFNELTERNVVSWTAMVVGYVTAGDVVKAKKVFDGMPLRNVASWNAMIRGFVKVGDLSSARGVFDSMPEKNVVSFTTMVDGYAKAGDMESSRFLFEQAAEKDVVTWSALISGYVQNGEANEALKVFLEMESMNVIPDEFVLVGLMSAASQLGDLKLAQRVDSYVGNNSIDLQKDHVISALVDMNAKCGNMERALKLFQEMPERDLVSYCSMIHGFSIHGHGEDAVNLFNRMLMEGIVPDEAAFTIVLTACSHSGLIDKGWKYFNSMEENYGISPTPDHFACMVDLLGRSGQLRDAYELIKSMHIEPNAGAWGALIGACKLQGDTELGEIVANRLFELEPQNAANYVLLSNIYAAAGRWKDVSLVRSKMKERGVCKIPDCSKL >RHN61661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37263152:37265120:1 gene:gene24149 transcript:rna24149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MVNMVFLLLLLVLIGLETTGTAQSLGVCYGRVANNLPSAEEVIDLYKINGIGRMRIYDPDQATLEALRGSNIELVIGVRNEDIQSIAYSVSSATNWVQNNILKYSQDVKFRYIVVGNEINPSNDATSKFVLLAMQNIYTALASSNLQNQIKVSTAIQMNLLGSSYPPSQGVFSPSSISYIIPIVKFLVDNEAPLLANVYTYFSYISDTKDIDLSFALFTSTTIKVHDGQYAYQNLFDATLGALYAALEKIGGANLEVVVSESGWPSDGGVAASIENAQIYHENLIKHVITGTPNRPNQALETYLFAMFDENNKGPDETERHYGLFTPDKQIKYQIGQLFISSDSRSSSSHLWREGIIFSYCFTLIISLLYLINCYMGIVY >RHN46410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31464302:31464901:1 gene:gene40892 transcript:rna40892 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEIIEEKEEESHWFINTKEQKEKIMKIIQYQKSLYLSTSSSSSSSSAASSSSYSKSSSLLDLMKVGSTSMRRLFDMEHTSLSNHFDYYSGSPIIKPISLWDSDSEREFQDPWDLIKKIGSKKFHGIDRESELASKGSRMDEDFGSHNRNDIKVKHNKLTRKRKFRKLPGLGFWRCGRFRFALTLRRIKFRIWGRKIS >RHN79457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29086203:29086511:-1 gene:gene3250 transcript:rna3250 gene_biotype:protein_coding transcript_biotype:protein_coding MITADICLFPADPKILRKQLPTKVIWEPKFLAKAARNMFPEGFSTKTAGKLRVFSNVLNCVLHVSTSYLQKSKGLFPRLDDDKEFVNPLCLLCRTYAIYNTN >RHN64420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58909826:58910227:1 gene:gene27236 transcript:rna27236 gene_biotype:protein_coding transcript_biotype:protein_coding MKICIYIKIYLFKKIKITYRDLVNEITQESDRIKVRSRNIRVIYGDVDYNAQGLKCLTCDNLKMDQQCHCRSRKRMHRMQAFQCRQMQIQGSWKLHHIRDSPNGKLKTCQRLTRKDARVQCVTALKIRINFKS >RHN62574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44443397:44446333:-1 gene:gene25166 transcript:rna25166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (3S,6E)-nerolidol synthase MALHLESCLSSLKPQFVQTTAQPSQSSNNIKLDSLHVANKWSISAEEERRSTLIKHRDLSSSNNHSEKLELVKHELRNVGENSLKGLYMIDAMQRLNIDYHFEEEIESFLRRQYVTSACGGSNHHDLHETALHFRLLRQQGHFVPAEVFNKYTNEEEKFNPKLGENINGMIDLFEASHLNLAGEDILDEAGKFSRKILKERMTQFDHEAMFVRRTLEYPFHKNLPIFTARNFYGHLYSTNVWFGSMKEVAKMDFSLLQGLHHQEIVQVSKWWRELGLANELPYARNQPLKWYMWSLACLTDPTLSEERIELTKPISLIYIIDDIFDIYGTLDELTLFTEVISRWDIDTDMEKLPNYMKTSFRVLYDLTNELSSKIYKKHGWNPKDSLRKTWESLCKAFLVEAKWFGSGKLPSAEEYLKNGIVSSGVHIVLVHIFFLLGKGLTKENVHTIDTTPSIISSPATILRLWDDLGNAEDENQQGNDGSYVNCLMMDHPEYYCTRRRATVDVMNKVTNAWKSLNQECLFDTYFHKAFTKASLNLARMVPLMYSYDDKHSLRGLEGYVHSLLYDKVF >RHN69456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43570445:43572850:1 gene:gene17932 transcript:rna17932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome assembly chaperone 3 MNIDDNVASLTPKFPVSQLNFSIEIKGNKTEIIISSYEDHFMVVATQIGAMGTILHARKEEGMSISPTFNVSVLFGKRDEPMLVACARQLIEHMTLSGVSKPLVLSLGLKDHSVETLKGIVSAVIDKRLW >RHN38942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2942222:2943411:-1 gene:gene44919 transcript:rna44919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MEGPRVTNTGNSPWLLSPSGDFAFGFLPIQDTDHFLLSIWYANIYEKTVVWYANGDCPAPKGSKVELTANDGLVLTSPNGYKLWNTTEGLSSVGVSRGVFNDTGNFVLEDGEFKSRWETFNFPSDTLLPSQVLRKGGSLSSRLKETNFSKGRFELLLQNNGSLVMHSINLPSGYVNVENYYESETVGTQLVFDGSGDLYLLRENNEKYYVSKEKVKVSTTNFYLRATLNFDGVFTLLKHPKSSTDSGGWTIVWSQPENICHYFPKLGSGVCGYNSYCTLGENKRPTRRCRKSYSLVDPDDPFGSCKPDLIHGYAEDELSETKDLYYSKILNGTYWHQNDYTHLKPFIEVQCIIACMEDCMYYYLFIFWHIDI >RHN58550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2740833:2743940:-1 gene:gene20472 transcript:rna20472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MEVYERAIANVPLADENRYWQHYIYLCYALYEELNVGDIERTRDVYSELYKNNIQGTILLQLGNLKSLVSLYLCNNNIT >RHN78778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18254902:18259958:1 gene:gene2427 transcript:rna2427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L24 MGWKAAEKLIRHWKVLRGDNVMIIRGKDKGETGVIKRVVRSQNRVIVEGKNLVKKHIKQGQGHEGGIFTVEAPIHASNVQVLDPVTGKPCKVGTKYLEDGTKVRVSRGIGASGSIIPRPEILKIRTTPRPSVAGPKDTPMEIVLEKTYDSKTGRGMPEL >RHN40855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23254376:23255479:-1 gene:gene47090 transcript:rna47090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MQGVLLDGTIVAIKRLSSESKQGTREFTNEIGIMLSLQHPNIVKLHGLCEEDDQMLLIYEYMENSNLAHALFGNYFTVSNGYMAPEYDMHGYLTDKADVYSFGIVILEIVSGARSTQEEPFSLVDWEEDSLMELVDPRLGKDFKKEEVILMIDVALLCTNSSPSLRPSMSSVVSMLEGREKSTK >RHN76307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49287427:49288649:1 gene:gene12609 transcript:rna12609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MTGHLLRIVFLLSFALPFIVHAQNNQSGFISIDCGLVDEPNYTDEITSIYYTSDVNFTDTGVSNNISSKHKASLKRQFWNVRNFPEGTRNCYTLFVSQGSSKKYLLRASFVYGNYDGKDSLPEFDIYLGTKWWESVVFEDSSGVITKEIIYAASSDYVHVCMFNTGKGTPFISVLELRVLNSDAYLFNSLELLARFDVGTKGGKEIRLSWRSYGNKFKTACGHVINCSCSQVLTLFPFPKISR >RHN54994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14285470:14286237:1 gene:gene30110 transcript:rna30110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MHLCIFRAPMSFFDSTPSGRILNRASTDQRAVDTDIPDKIGTFAFSMIQLLGIIAVMSQVAWQVFIVFLPMIAVSIWYQRYYLPSARELSRLGGVCKAPIIQHFAETISGTLTIRSFDKQSRFHETNMKLIDGYSRPKFNIAAAMEWLCFRLDMLSLITFAFSLIFLISIPPGIINPGT >RHN56326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29857261:29866925:-1 gene:gene31710 transcript:rna31710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose/H+ symporter, plant, major facilitator superfamily domain-containing protein MPNPTTTNPHRSRTRSSTSTSTSRPVQPVQPRTPLRQLLRVASVASGIQFGWALQLSLLTPYVQQLGIPHKWASIIWLCGPVSGLFVQPLVGHLSDRCSSRFGRRRPFILVGAASIVVAVVIIGYAADIGYLIGDDITQNYRPFAIVVFVIGFWILDVANNVTQGPCRALLADLTCNDARRTRVANAYFSLFMAVGNILGYATGSYSGWYKIFTFTLTPACSISCANLKSAFFLDVAFIVVTTYLSIVSAHEVPLSSSGAGESGSAEEAFMWELFGTFKYFSMPVWIVLSVTALTWIGWFPFNLFDTDWMGREIYGGDPEGGLIYDTGVRMGALGLLLNSVVLAVTSLLMERLCRKRGAGFVWGISNIFMAICFIAMLVLTYAANSIGYVSKGQPPPTGIVIAALAIFTILGFPMAITYSVPYALISTHIEPLGLGQGLSMGVLNLAIVVPQIVVSLGSGPWDQLFGGGNSPAFAVAAVAALLSGLLALLAIPRTRTQKPRVRI >RHN81131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43521576:43522993:-1 gene:gene5131 transcript:rna5131 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MFPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVFCTSNDGDRFWYMPKCYIRGNTIKYLRLPPSLGRGRARGREEAEEGLEEAGVETEGQEEDEEV >RHN82235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52188978:52191055:-1 gene:gene6380 transcript:rna6380 gene_biotype:protein_coding transcript_biotype:protein_coding MLAITLSFILSLKLTPLTQSSFNPYSVNNTPYNINMDIWSWISELPNSVEWRNYEFDSPPKFELARDGHNDSTRSIYLKAERTSGSDSEALVTFMVFLQGFHPFNTQKPLWISEKCTLSSENPNFLPLLLQLLQEIISNSPTAHDSTCPRSQLQKLKPEPIAWIIDSHTPESLSIFFNLVFTIRLFWLCACDAPSEAGSLYFHSLLAPVLETALSKKLASVLRTFFITVGVDTELCFMRTLGYIIAKWCIIRELGVGLQTLVPSNPKFSYATESHGFWILKGYAPVMTMKLARNYGQNGKFQGIDAKESIIRYGLAHHQLEAHVQLEYTVGFYDGFIRVNTRVDNMRLHVARLGFKHGDDVDFVDEKHFPSRARVWVGPEIGASYVAGLSLGRSTENNEREVEIEKVVNGNFEKSDISKVKASAKSSRRMRTKSWRMDQDAEGNAAIFDVVLHDNMTGQEVGSWKPTGDDPIHGLRGRYVGANRPFSKSGSVVIAGDEYGEEVGWRLSKEMEGSVLKWRIGGEFWVSYLPDQAKGSHFETRCIEWCDEVDLPLIHGKLP >RHN70262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50097559:50103504:-1 gene:gene18824 transcript:rna18824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative uroporphyrinogen-III synthase MAQFCVSPFCSSPSPPCLQLHRQHQFFFISQPKIAPPPPSASATDSTFTIASTSSNLTPKVVVTRERGKNSKLITALAKHEINCLELPLIEHLRGPDFDKLPTLLTDYAFDWVIITSPEAGSVFLEAWRAAGMPRVRIGVVGSGTASIFKDALLSSNQSLDVAFSPSKATGKVLATELPRIGNKTTILYPASAKASNEIEEGLSSRGFEVTRMNTYTTVPVQHVDQMILKQALAAPVVTVASPSAIRAWKNLLSDSDWSNSVACIGETTAAMAGRLGFKNVYHPTQPGLEGWVESILEALGSYDDLSR >RHN48762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50043913:50044979:-1 gene:gene43514 transcript:rna43514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MADSKQPHLNGAYYGPAIPPPAQPRPQRHHSRSCCCCLFSFFWKLLVTLVFLAALVVLIFYLIVQPHAFKFYVNEANLTKFDYINNTLHYNMVLNFTARNPNKKLNFYYDKVEARAFYEGSRLANVDVITAMNSFRQFKKSSDPMSGVFSGQRLLMLDNNQVSEFNKDKSVEVYDIYVKLYFEIRFRLGNVIFGRINPKVKCDLKVPLNSKNTFTQLVPTKCDVDLY >RHN68595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36897841:36902602:1 gene:gene16966 transcript:rna16966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoglycerate mutase (2,3-diphosphoglycerate-dependent) MAAEVCHHAIGSLQSHSHLGNLNHHQNIRSGVLRLATKDFISNGLSKGISSCFGQRNCIVIRSSASHSQTSVVDPVLSPSKSNTGDTSKKSNEAALILIRHGESLWNEKNLFTGCVDVPLSKKGIDEAIEAGKRISSIPVDLIFTSALIRAQMTAMLAMTQHRRRKVPIVMHNESEQAKAWSQVFSEDTKKQSIPVIAAWQLNERMYGELQGLNKQETADRYGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFRDQIEPQLLSGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGRFIRRGSPIKPTEAGVYAYTKRLALYRQKLDEMF >RHN59876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14314460:14315163:-1 gene:gene22042 transcript:rna22042 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRCSLIWVVLILFMLSFSSKALARNIPDVPKLLEDSDEMGPNKRLLFQVPKCRRGRITSEIRADKPRCHHYF >RHN57095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36427185:36429934:-1 gene:gene32593 transcript:rna32593 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PIP1 MEAKEQDVSLGANKFPERQPLGIAAQSQDDPKDYQEPPPAPLFEPSELTSWSFYRAGIAEFVATFLFLYITVLTVMGVVRADSKCKTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYMVMQCLGAICGAGVVKGFEGKTRFGDLKGGANFVAPGYTKGDGLGAEIVGTFILVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDLGWDDHWIFWVGPFIGAALAALYHVVVIRAIPFKSK >RHN72472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7955590:7960894:1 gene:gene8187 transcript:rna8187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MELPFQLLLHVLFVLSFIFSIFMGSCGFVSLENNLSSSLFPRNFLFGTASSSYQFEGAFLSDGKGLNNWDVFTHKTGTILDGTNGDVAVDHYHRYQEDVDLMEHTGVNSYRFSLSWARILPKGRFGKVNRAGINYYNRLIDALVDKGIEPFVTITHYDIPQELEERYKSWLSPEIQEDFRYYADICFKYFGDRVKYWVTFNEPNVAVICGYRTGLYPPSRCSDSFGNCSYGNSEREPFIAASNIILSHLAAVDVYRAKYQKNQGGKIGIAMNAIWYEPFSNSTEDKLAAERAQSFYMNWFLDPIILGKYPAEMHEILGPDLLVFSKYDKEKFKNGLDFIGINHYTSYYVKDCIFSACEQGKGSSKTEGFALTSAQMNDKSIGEPTALAWFYVHPQGMENIVTYIKDRYNNIPMFITENGFGTSESSYPTTEYELNDVKRVEYLSSYLDSLATAIRKGADVKGYFVWSILDNFEWNHGYSIRFGLHHVDFATLNRTPRGSAFWYKNFISEHKNLAGISYAQV >RHN74291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31546652:31547437:-1 gene:gene10329 transcript:rna10329 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQFENLPENYVSWSHCQPHYMLENPAVFCELPTFNGHPSGRARYPSRSRNIPKRRNNNADTFENRKDSLKTNRFTRNFRQFNYSKRRFTDTKSISAPFTPHNTTSFIIRAKKSGGIASLVSPCAMTPTILTTPTLYPTTEVIVETAKEKWGLDAYGTMKGLIRLRKEKENSDQAGENNDLKNEVEKRLNNDLSRFEMIYPTSCGDKHNLENRVDEHDLKIKHLEEQNLTLKERIFLMERELGDLRQRVVCFETGGNWRW >RHN76093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47618313:47621958:-1 gene:gene12369 transcript:rna12369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl-phosphate beta-D-mannosyltransferase MQSLHHKQIRIALSSTRRKSPLELVTGCQNQDVDFEVIVVDDGSPDGTQDVVKQLQQVYGEDRILLRARPRKMGLGTAYIHGMKHASGNFVVIMDADLSHHPKYLPGFIRKQLETGADIVTGTRYVKGGGVHRWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYRKTVLEDIISCCVSKGSVFQMEMIVRASRKGYHIKEVPITFVDRVYGSSKLGGSEIVEYLKGLVYLLMTT >RHN65610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3773232:3775761:1 gene:gene13466 transcript:rna13466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S19e MATARTVQDVSSHEFVKAYSAHLKRSGKMELPEWTDIVKTARFKELAPYDPDWYYVRAASIARKIYLRGGLGVGAFQRIYGGSQRNGSRPPHFCKSSGSIARHILQQLQNMNLIEMDTKGGRKITSSGRRDLDQVAGRIVIAP >RHN82434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53776063:53778096:-1 gene:gene6601 transcript:rna6601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase, Very-long-chain 3-oxoacyl-CoA synthase MPPILPDFSSSVKLKYVKLGYQYLVNHIITLTLIPIMIGVSIELIRLGPNEILNLWNSLNLNLVQILCSSFLVIFIATVYFMSKPRTIYLVDYACFKPPVTCRVPFATFMEHSRLILKNNPKSVEFQMRILERSGLGEETCLPPSIHYIPPKPTMESARGEAELVIFSAMDSLFEKTGLKPKDIDILIVNCSLFSPTPSLSAMVINKYKLRSNIRSFNLSGMGCSAGLISIDLARDLLQVHPNSNAVVVSTEIITPNYYQGNERAMLLPNCLFRMGGAAIMLSNRTTEKRRAKYRLVHVVRTHKGGDDKAYKCVFEEEDKEGKVGISLQKDLMAIAGEALKSNITTMGPLVLPASEQLLFLITLIGRKIFNPKWKPYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSTEHVEASRMTLHRFGNTSSSSLWYELNYIESKGRMKKGDRVWQIAFGSGFKCNSAVWKCNRSIKTPIDGPWTDCIDRYPVHIPEIVKL >RHN81380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45382231:45382863:1 gene:gene5407 transcript:rna5407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQFLMFIYVLIIFLYLFYVEAAMFELTKSTIRCVTDADCPNVVKPLKPKCVDGFCEYT >RHN57403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38717714:38728040:1 gene:gene32943 transcript:rna32943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TLK family MSDDMLVHFSSNSSNQSDQSLPTKIAKLEARMVGKGSSTASQQQGWPSVSSAGKFSGVAAEEMVEPCSSSDSDDDNGADFLIQANTRKRLKPHEDNDDVFERAEVVTEGRQTSLETKNNVDANRKKSGRGRGSSGSSRGRGSKFNDQTRTQISSSTVSASNGQNENDGRLKDPFRNDNGASLEEEIASLRAKVVVLEEDLRKAKQEASQHQNICPQLEKELKELKDLEEQMKPKRTKIISDLLISVSKAERQEARLKVRQDSLRLGNVGVIRAGTVLSETWEDGQALKDLNAQLKQLLETKEAIERQRKLFKKKQSDKGDGIDAEAGLLEDILIHEEIYKSRLASIKREEEIVLRERDRYELEKGRLIREMKRIRDEDGSRFNNFQILNHRYALLNLLGKGGFSEVYKAFDLVEHRYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHRHIVRLWDIFEIDPNTFCTVLEYCSGKDLDAVLKATPILPEKEARVILVQIFQGLIYMNKRALKIIHYDLKPGNVLFDELGIAKVTDFGLSKIVENDVGSQGMELTSQGAGTYWYLPPECFELSKTPLISSKVDVWSAGILFYQMLFGRRPFGHDQTQERILREDTIIKARKVEFPSRPTVSNEAKEFIRRCLTYNQAERPDVLTIAQDPYLTYVKK >RHN70004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47919372:47920235:-1 gene:gene18542 transcript:rna18542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MWHRLSSSSSKLNLRTCASGLGFLTRSFSSEPGKRFAALWGNGDYGRLGLGNLNSQWKPAICTSFHNQNVQAIACGGAHTLFLTVFEIGDESGDF >RHN57975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42894823:42896167:1 gene:gene33572 transcript:rna33572 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEPKTEPVSPPYFHFTNPNNNLEDKSIHDLVLVLRGTCQWETFDSVEAVLESRDIRLREENQKLQQDFEMERLKLKEQLDMEILSRLHAEFEFRKREEICSKVQENYEALLKEVKVNRKSNEALEKKNNEVDVLRRKIVELENEVLELKKLKKKWEEDDIELGVLRKMIGELQSKIGELEETVKKNLATMNELRNESRKLTEEKCEVEILLKALKRNRKFIRVGERAATSEEDIILLTSLEEEVPMVDHFEENVGDNEFRNEDAYHTLGVAGSRRCLSSSAGGSLKLENEIEIINHDNDDDQSISRGVHEKKACSGITANPCSSVALATQQESKFSNAVDRVKRKSSFDGSLPFLHNLTIWSGAKKKNM >RHN54071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6729097:6733911:-1 gene:gene29051 transcript:rna29051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADPLSGIVVGKIVNQTFETVKNARDFGPTLEKSIETSKALDPLVEQIKGYNYSLGRPREEIERLEKYTDNKELIRKSKKLSLNLWKFLLFSGQRAKLLKQYEAFQRYLSLVQVENKRDLMEVLTHLKGNQVNQIRGLYGAPQEPEFIGMVKQFNELKVELMKDGASVLVLTGLGGSGKTTLAKKLCCDPLIKRKFGGNIFFVTISETPILKSIVKTLFEYCKHPVHDFINDEDAINRLGNLLREVGKNPILLVLDDVWPGSESLVEKFKFKLPDYKILVTSRVGFRRFDTLCQLSPLDHDPAVSLFCHYAKLNHSSSYMLDRDLVDEIVEACKGSPLVLKVIAGSLRNQPFEKWLDMKKRLNSQSILDSNSTDLLCRLQQSLDMLEDINEKECFLDMGLFPEDQRIPVTVLIDIWAEMYDLDEDGIKAMVIIHDLITRNFINVIATRQVATKTDMYYNNHYVMLHDLLRELAIHQSKGEPFEQRKRLIIDLKGDTRPDWWVVPNQQGIISNWYSFITGMLVKQKQLKVAARILCISTDEIFSSDWCDMQPDKAEVLVLNLRSDQYSLPDFTKKMRKLKVLIVTNYGFSRSELTKFELLGSLSNLKRIRLEKVSVPCLCILKNLRKLSLHMCSTNNAFESCSIQISDAMPNLVELSIDYCNDLIKLPGEFCKITTLKKLSITNCHKFSAMPQDIGKLVNLEVLRLCSCSDLKEIPESVADLNKLRCLDISDCVTLHILPNNIGNLQKLEKLYMKGCSNLSELPDSVINFGNLKHEMQVICDEEGSALWEHLSNIPKLKIYMPKVEHNLIWLHGIRS >RHN58763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4595811:4602690:1 gene:gene20705 transcript:rna20705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MFWLDVIKVCVLAFKFLLIGLIIFTLFCLIFWVLTTKSTQNIVKEIGSPAASKGRVSSKYKNLAQTTSPMSSPTSSFSDEDLFNIDSHSDSSDTEELHSPQVPFDDLDPDMNIDADDMFAPKDRFDIHSIRKYDVFLSFRGEDTRASFTSHLSTSLQSSGIIVFKDDHSLQRGHRISKTLLQAIQESRISVVVFSKNYADSQWCLQELMQIMECFRTTRQVVLPVFYDVHPSEVRSQTGDFGKAFQNLLNRVLKVDEFMVPKWRDALRNAAGIAGFVVLNSRNESEVIKDIVENVARLLDKTDLFIADHPVGVESRVQDMIQLLDTQLSNKVLLLGMWGMGGIGKTTIAKAIYNKIGRKFQGRSFLANIREVWEKDYGQVNLQEQLMYDIFKETTSKIQNIEAGKYILKDRLCHKRVLIVLDDVNKLDQLNILCGSRKWFAPGSRIIITTRDKHILRRDRVDKTYSMKEMDESESLELFSLHAFKQTSPTEDFSEISRNVVKYSGGLPLALEVLGSYLFDREILEWICVLEKLKIIPNDQVHKKLKISYDGLNDDTEKSIFLDIACFFIGMDRNDVIQILNGCGLFAEIGISVLVERSLVTVDGKNKLGMHDLLRDMGREIIREKSPMEPEERSRLWFHEDVLDVLSEHTGTKTVEGLTLKLPGRSAQRFSTKAFKKMKKLRLLQLSGAQLDGDFKYLSRKLRWLHWNGFPLTCIPSKFRQRNIVSIELENSNVKLVWQQMQRMEQLKILNLSHSHYLTQTPDFSYLPNLENLVLKDCPRLSEVSHTIGHLKKVLLINLKDCISLCNLPRNIYTLKSLKTLILSGCLKIDKLEEDLEQMESLTTLMADNTGITKVPFSVVKSKSIGYISLCGYEGFSRDVFPSIIWSWMVPTNNVSPAVQTAVGMSPHVSLNVSSVFKVLPKLQCLWFECGSELQLSQDTTRILNALCAANSKELKSTATTSQVSDVKTSLIECRSQVQDSPAKNCMKSLLIQMGTSCLISNILKERILQNVTVDGCGSFLLPSDDYPNWLAFNSKGYSVNFEVPHVEGRSLKTMMCIVYSSSPNDITSDGLQNVLVINHTKTTIQLFKREALSSFENEEWQRVVSNMEPGDKVEIVVVFGNSYIVMRTTVYLIYHDEKFEQCHAPDENVLVESGDKNECAAKRISLQVEPADDLKQKQKRRKLD >RHN40116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13422633:13426133:-1 gene:gene46219 transcript:rna46219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphopantothenoylcysteine decarboxylase MMASPEPVSSVGENMSVNAAAPRKPRILLATSGSVAAVKFANLCHCFSEWAEVRAVATKSSLHFIERTAIPKDVILYTDDDEWSSWKKLGDSVLHIELRKWADIMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYSKPFFVAPAMNTFMWNNPFTERHLISIDELGISLIPPVTKRLACGDYGNGAMAEPSTIYSTVRLFYESKAQQGNGDV >RHN70680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53159631:53164871:-1 gene:gene19290 transcript:rna19290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bicarbonate transporter MGAPFEGIIQDVKGRVKCYKQDWVCAICSGVSILAPTFYIFSASALPVIAFGEQLSRDTDGSLSTVETLASTAICGIIHSIIGGQPLLILGVAEPTVIMYTYLYTFCKNTPQLGTKLFLAWAGWVCVWTALMLILLAIFNACNIITRFTRIAGELFGMLITVLFFQEAIKGLVGEFSTPKVENPSSEELQFQWRYTNGLLAVIFSFGLIVTAVKSRKARTWRYGSRRLRGFIADYGVPVMVVLWTAVSYIMPDDIPNGVPRRLFCPLPWEPASLYHWTVVKDMWKVPVVYIFGAIIPALMIAGLYFFDHSVASQMAQQKEFNLQKPSAYHYDVFLLGIMTLICGLLGLPPSNGVLPQSPMHTKSLAVLRRQLIRKRVVKSAKECMEQQSTSSELYGKMQAVFIEMDTAPTVKELETLKEAVMKSDTKGCAMEPFDPEKYIDTYLPVRVNEQRMTNLLQSLLIGLSIIGISVIKRIPTSVLWGYFAYMAIDSLPGNQFWERILLLFVTPSRRFKILQDTHASFVETVPFKTIAGFTALQLAYFLFCFGVTWIPIGGILFPLPFFLLIILRERLLPKIFKPNHLQELDASEYEEIIGAPCAVRSMSLRDREPRDSDNDGSSEDYYDAEILDEMTTNRGELKLRTVSFNDRNRSNSFNDRNHSNSFNDRNHSNSFNEDRHN >RHN57068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36230983:36235732:-1 gene:gene32561 transcript:rna32561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylosuccinate lyase MLKEAMNAVMFPVMDKIIKALCTMAKDNANVPMLSRTHGQFVTSFTNNFGEEIAIFAVTLSRERKELSQIETHDYMAKIFHSFIQFNNILIDFDRDVWGYISLGYFKQTTKAGEIRSSTMPHKVNPIDFENSEGNLGVANGGFSHLSMKLPISRWQARLTLRDLTDSTVLRNMGVNFGHSLLAYKSTLQGIGKLQVNEARLSEDLDQCWEVLAEPIQTDGMLCGDTEPYEKLKELTRGKAITKESIRDFIEGLDIPQDAKAYLLKLTLHTYVG >RHN52073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31314105:31315138:1 gene:gene36691 transcript:rna36691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MNDRLLASLIDMYAKCGEIDSASSVFHEHKVKRKVWPWNAMIGGFAMHGKPEEAISLFEQMKVERVSPNKVTFIALLNACSHGYMIKEGKSYFELMSSDYGINPEIEHYGCMVDLLSRSELLKEAEEMILSMPMAPDVAIWGALLNACRIYKDMERGYRIGRIIKEIDPNHIGCNVLLGNIYSTSGRWNEARILRERNEIREIYSFLEEMIRKLKIAGYVPELGEVLLDFDDEEDKETTLSVHSEKLAIAFGLMNTAPGTPICIVKNLRVCGDCHEAIKFISKVYDRVIIVRDRMRYHHFKDGVCSCKDYW >RHN60503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27406288:27406971:1 gene:gene22824 transcript:rna22824 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYLSFNFVFNYDNSSQTYKVVMLLLDEAENRTRARVLNLRDNVWKPIQIFPAVLLYFSDSDPGVNDGGGTKTYTKLMPPCGFYDMSLIKPLVCILLDCLCFSNYYKKTDFDIFKMEEFGVEESWTQLIKIRYQNLQSIHRDFVDLKLSKWLPFHLSDHGDTLILAKMLNDPDLPMLFEKGERQVII >RHN59472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11068340:11069560:1 gene:gene21503 transcript:rna21503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAARVIKLEKKNHSSIKEESMSSAASTTMLPNELILEVISWLPVKHLMQFRCVNKFFNTLLSDPYFVQMHLKKSSRNPHLALMWQHNPSCRDCRFITFPISSLIQSDPNHTTLHDNPYHRFDENYQRWWVVGSCNGLLCLIDIHCSGSYDSLIFWNPATRTYSRRISISLPSNFKFAFGYDNSTETYKVVAFRGYIEGNIVRSVVNIFSLGNGHPRNIQCLPVIPLYWIYRDKNNGVYLNGTISWLALHDYFDSNYDFCWKDGSVTVEKYVIVSLDLSSETYTQLLLPRGFDEVPRYQPTLVMKNFGVHESWTQLFIISYQSFFSCYCDYAMVEKFIGLDLLPLYLSENGDTLILVNDEDEVTFIYNCRDNRVEKIEVDNSMLFL >RHN81034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42764141:42766011:1 gene:gene5028 transcript:rna5028 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLNFASEAKAAKEFESELKNDPDSTGEEPSEKSTVSEEEKQDTEVTSSKESV >RHN80088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35039693:35045433:1 gene:gene3968 transcript:rna3968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flagellum site-determining protein YlxH/ Fe-S cluster assembling factor NBP35 MRPRWLGSVRSYAKHLRIDGVKDTIAIASGKGGVGKSTTAVNLAVALASKFQLKVGLLDADVYGPNIPIMMNIDTKPEVTQDNKMIPIDSYGIKCMSIGFLVEKNAPIVWRGPMVSKALEKMTRGVDWGNLDILVIDMPPGTGDVQISMSQNLQLSGALIVSTPQDVALMDARRGVQMFNKVDIPILGIIENMSCFKCPHCGEPSYIFGKGGAHSTATEMGLNFLGEVPLEVEIREACDQGHPIVLAAPDSVVSRAYGNIAEKVVQKLKEKQFQPEILL >RHN47788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42528327:42528624:-1 gene:gene42429 transcript:rna42429 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVHVTLAISWFPRLKISLGLSSLVFFAKFGLSIFTSVRVFDYIFLFLLL >RHN82765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56196220:56199053:-1 gene:gene6950 transcript:rna6950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MVDSPACSRKRLLTRTDSIRFVPSRGGAMIFTYSFTFASILFMLFLVNAFHPSNRHHFSKVFSHIFNNSSSFSPPPSYTPQYSTNETTTHDKTSHSSNKDTELVVSSRSSSQISRKEGSGLAPKQSNQNDGQLFPQIASTIKPQQDKSFAPMPSPNARVDSKNVIQSDEHLRNNCDIYEGSWVLDDSFPLYKSGSCPHIDEPFNCFLNGRRDNKYEKFRWQPKNCNMPRLNGKYMLKMLRGKRLVFVGDSLNRNMWDSLVCILSNSVKNKSRIFEASGRQEFQTEDSYSFIFTDYNCSIEFFRSPFLVQEWEMPGKKGSKKETLRLDLVERSCDKYKNADVLIFNTGHWWTHEKTMEGKEYYQEGNHIHGQLNVEEAISKAFLTWSRWVDTNIDPKKTIVFFRGYSPSHFRGGEWDSGGRCESETEPMKTESTDLSENPPMMRTIESVIKGMKTPVVYLNISKMTDFRHDAHPSMYRNMNMSEETKKYMLKHQDCSHWCLPGVPDLWNEFVYAHLLYIMKRNRGNPKT >RHN44780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8400462:8401399:-1 gene:gene38942 transcript:rna38942 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLLTDSLGVPCDISCDGGQSSLGYLFGTGETTNNFQHANTQGEPLNGGRTQNDSVASPATVATPARVASPLIDKETPAGIYGCLKNNYHRIDEQNIGNFLSIPVQI >RHN70813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54263424:54265521:-1 gene:gene19435 transcript:rna19435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MKHLRRKVSNPKSARRSRRRKQAHLFELESQVGKLKLENATLYKQFTDASQQFHEADTNNQVLKSDVEALRAKVYGELML >RHN73782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19855119:19860763:1 gene:gene9644 transcript:rna9644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfur carrier protein ThiS adenylyltransferase MEIELNDLITDLQSLNQSLPDPSFRDAFLKIQSHVEHIADLVRSQPVRRTKVKDMSAEVVDNNPYSRLMALQRMGIVENYERIRDFSIAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPDQAGMTKTDAALQTLSDINPDVVLESFTLNITTVDGFETFMSSLKNKSFRPDKQGSGVDLVLSCVDNYEARMAVNQACNELSQTWMESGVSEDAVSGHIQLLIPGETACFACAPPLVVASGIDERTLKREGVCAASLPTTMGVIAGLLVQNTLKFLLGFGQVSPYLGYNSLKDFFPTMQMKPNPQCSNAACLKRQGEYILAKPTRDAAAKAKLEAEGPLIEEGPLHDDNEWNISVVDDCEPDGANTKSSDALPEGLTHELPTADEFQNLVTREAPRNDNDDLDELRKQLEAINSA >RHN49959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2691087:2695114:-1 gene:gene34197 transcript:rna34197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 16S rRNA (guanine(966)-N(2))-methyltransferase MSVLSPPTHSPLLLNTKTNHLSSSSSSPSSLLPLLTFSSKPSSSLTLFSSSKSGNGLASEEKKILLERYGYDVDADDYFPQSSSPKSKRKKEQQKTRGGKQVQDPPVEPKPPRTTHKLLQVIGGTARRTKLLSPKSMDVRPMMEVVKGAAFDILQAAGGSPASLRPGRWLDLYSGTGSVGIEALSRGCSEVHFVEMDPWVVSDVLRPNLEVTGFIDDSVIHTVRVEKFFERAEQFVGNNDTFDYISVTPPYAEVDYVVLMRLISESPFVGDDTFIVVEYPSKTDMLESCGPLVKITDRRFGRTLLAIYGPTWAQKKRKSKYEKHN >RHN55113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15242916:15244389:-1 gene:gene30242 transcript:rna30242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAEAVLEVVLHNLNSLIQKKLALFLGFDQDFKSLSSLLTTIKATLEDAEEKQFTDKAIKDWLLKLKDAVHVLDDILDECATQSLEMEYKGLSHKVQSSFVSSFHPKHVAFRYKIAKKMKSIRERLNEIAEERSKFHLIEMVKEKRDGVIDWRQTTSIISQPQVFGRDGDRDKMVDILVNGASGFEDLSVYPIVGLGGLGKTTLAQLIFNHESKWHKLKSLLACGGKGASVLVTTRLEKVAEIMGTIPPFEVSKLSDVDCWELFKQRAFGPNEVEQDELVVIGKEILKKCGGVPLAAIALGSLLRFKREVNEWHYVKESKLWSLQDEDYVMPTLRLSYLNLPVKLRQCFAFCALFIKDERISKKFLIELWMANGLVSSNEMLDEEDIGNGVWNELYLRSFFQDIETDIFGKITSFKMHDLLI >RHN77358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6271428:6274447:-1 gene:gene804 transcript:rna804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MLSQKVLLWSLIFLVCHFAISCKANQQSEYLYRFIRSKMFQQNPSHVESYSSTIVDEHVSKVHVNVEQHLRSRKSDKVKSLPGQPKGVNFDQYAGYITVDAKARRKLFYYFVESPSNSSTKPLVLWLNGGPGCSSFGYGAMQELGPFRVNSDGTTLSFIKDAWNVVANVIFLESPVGVGFSYSKKPLNQTNIGDKNTARDSYIFLLNWLERFPQYKIRDFFITGESYAGHYVPQLAHLILSNNKKRKNHKMINLKGIAVGNGWIDDNFCTKGMYDYFWMHALNSDQTHKGIEKHCDFRKFNVTNECVGYENIADDELGNIDVYNIYAPVCNSSATKYGASYSVSNVDPCAEDYTTTYLNLPEVQKALHVKRTKWSPCRYTILYYTTNYVIVFPELMCLMVFFSDLSWTDSPASILPTINGLISSGISIWMYSGDIDGRVPIISTKYSINSLKLHVRTAWRPWYTGKEVGGYVIGYKGLTLITVRGAGHMVPTDQPYRALTVISSFLLGQLPPQLKS >RHN72601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9075303:9076138:-1 gene:gene8343 transcript:rna8343 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRNKPILIKIILDEFQEICIMMKPRDVLHHQLYHMNRVEETHKLSITCLPT >RHN38726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1565236:1566648:1 gene:gene44691 transcript:rna44691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasaponin III rhamnosyltransferase MTEDTIHVVMLPWSAFGHLIPFFKLSIALAKAGVHVSYISTPKNIQRLPKIPSSLSHLIDFVEIPLPSLNEDLLPEGAEATMDIPFDKIQYLEQAYDKLKNPVKQLVSNWLPNWIICDYNPHWIVDIAQEFHINLIYYSVVSAATLAFLGPPSNMNGRFSPDSLTLPPKWMTFPSSVAYNRTEAVAFSKYVHQNNASEVSGIERLVKVIDAAKSIICCSCYEIEGEYLNLYKKLVGKPVIPIGLLPVEMPQRGLLDGLGSVTGLRTAIFQWLDKQATKSVVFVGFGSECKLSKEQVFEIAYGLEDSKLSFLWGLRKPNWAYNDEDFLPIGFSERSCDRGLVCMGWIPQQEILAHSSIGGSLFHSGWGSTIEALQFENKLVVLPFNVDQPLNARLLVDKGLAIEVKRNEDGTFTRYEIAKSLRQAMVLEEGKELRTKTREAVGIVGNLKLHQDHYIAAFVQFLKDGIRKAI >RHN81831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49198695:49204250:-1 gene:gene5922 transcript:rna5922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EEIG1/EHBP1 domain-containing protein MFKSWSKKNKIKAVFKLEFQATQVPKMKKNALMVSLVPDDVGKPTVKLEKTAVQDGTCLWENPIFESVKLVRDSKSGILHEKIYHFIVATGSSKSGYLGEASIDFADFLTETEPITVSLPLKFANSGVVLHVTIQNVEGYTAERNGEDNGAVEIYNDGSLKHQLSYGSTDESYNLDENSNLAKSRSDASNGISPGVASWDDPYSFRQNSMPPRGTVEANATQNQVHKRSNTGWSMGSASDGSLGDWTNGTEDNFPRERLQEPSGNGNATENLKSEIASLKRQAELSELELQALRKQVEKESSRGQNLSRQINGLRDERDSFKTKYEQLKSQQNFNNNNNETKTSKNLKSDIDNTRLQLDAIKEELVYEKEMSGNLQLQLQKTQNSNSELLLAVTDLEAMLEQKNKEILDLSSNVKSRKITKERDNDTELNDLRQKIADQNSEIENCYKQREELSELIKELTLEYDLLKNENVDISLRLKQDEAQHIMLQNEHSASLVTIQQLESQVRRLEEKIEMQEDEFSSSLVSIKELENQVKSLEKELRIQADKFEDELQAMQSEKTEQEERATQAEESLRKTRHNNAIASEQLQEEYKLLSVEMSCKVEENEKMITKAIEEADELRNHNKLMEEMLQKCNQELRQISDQHELKVEELLKQISTKEKTMEQMSQELDAKTKELEEAQRHRDEKDAAFSKKIQMLEIQHNEMKHSLQKEQVDKENMKEHISQLEGEFKKKEAELNAMEKKLKNNRGRGAAMTSRDNEAAKANAKKSKSEMHKGMDAASTGISKSEGSDAERLTKELLNEVAVLKERNTNMETELKEMEERYSEISLKFAEVEGERQQLVMAVRNLKNGKKN >RHN75601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43647413:43649124:1 gene:gene11831 transcript:rna11831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MGVHEIILRVSFVAMLIKLAMATNHIVGGPIGGWDTNSNLQSWTSSQQFSVGDNLIFQYPPNHDVVEVTKADYDSCQQTNPIQSYNDGATSIPLTSTGKRYFICGTIGHCSQGMKVEIDTLAAQVSPASPVAAAPSIADSPMISIIPSAAPAESTVSSAESPEASSPLFEAQVESPTLSPMIPSTEFLAPSSPIAQHSQDVSASSTEKGNLQAFISIVLSLVVVFMAF >RHN59297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9202518:9203403:-1 gene:gene21302 transcript:rna21302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MEQKFILTSLIIFLSLFIESSLAKHNTQTMTYIESSCNGTLYPDLCIRCLKKFSKSTINGPQHLANVALSVSLSRALQTRGYLLNAAKELKAIDHNNKRMYLTVQDCVNQINDSVDQLSQAIKELKRLNKFNTIINDKVLWHISNVETWVSTALTDASSCVQSFPGHRMSKRVATIKVKAKNVAEVTSNALALFQSYATRYRQAAARTVKKP >RHN71985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4166962:4176164:-1 gene:gene7650 transcript:rna7650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling DDT family MEAEAENNSQKGDDGGENSNENFNNNGSNNKIVSSSEGQSKPKRQMKTPFQLEMLEKAYALETYPSETTRIELSEKLGLSDRQLQMWFCHRRLKDKKELPVKKARKAPPLLDSPTHEPNPKLILEPCNEYGSGSGSGSSPFARTELRNVVPPPRSYYESPQTIMELRAIACVEAQLGEPLREDGPILGIEFDPLPPDAFGAPLAVAEQQKRPSLAYDSKIYERHEVRTNKAMARTFPEYPFVPNQPSIRSDMFGQLSQPHLYDPMEGPARTPPFPIGNEHLPRIHGTQSQSSRARLSSQHDKPVTPYTSPPPFLSQQDKQSIPYPSPPRDNDVVPKREPHPNIANTGINSQFTDHQIGGQENPLALPGGQVFHNDTVLRVEKKRKTDDARVVKEVEAYEIRMKKELEKQDILRRKNEERMRKEMERLDRERRKEEERMMRERQREEERLKREQRREIERREKYMIKEHLRAEKRKQKEEIRKEKEAERRKAALEKANARRIAKESTELIEDEQLELMELAAASKGLSSIIHIDLDTLQNLESFRDSLCVFPPKSVKLKKPFAIQPWINSEQDVGNLLMVWRFLITFADALELWPFTLDEFVQAFHDYDSRLLGEIHVAVLKMIIKDIEDVARTPSTGLGVNQNGAANPAGGHPEIVEGAYTWGFDIRNWQKNLNQLTWPEILRQLALSAGFGPQLKKRSITWSCANDKEEGRSGDDVISTLRNGSAAVSAVAKMREKGLLAPRRSRHRLTPGTVKFAAFHVLSLEGPKGLNVLELAEKIQKSGLRDLTTSKTPEASISVALTRDGKLFERIAPSTYRVRTAFRQDPADAESILSEARKKIQIFENGFLAGEDAVDVEREEESESDEVDEDPEDDDLVNPSSGNQNSVQYDNMDISLVNVKENLANDVDLIQNKLDTDLPCFPENGSKDADCPTSVTRQPVACENLNARNLDDNMEIDESKSGEPWVQGLTEGEYSDLSVEERLNALVALVGVANEGNSIRIILEDRLEAANALKKQMWAEAQIDKVRLKDDYISKLDFPSLAGNKFETQDTYPAVEGNQSPLLDININNIKNEASPSTAENQRGAPSAQSLLIEKPLVAHDFCPGTGPDNSQSQMHAQYSKRSRSQLKSYISHIAEEMYVYRSLPLGQDRRRNRYWQFVASASSNDPGSGRIFVEHHDGSWRLIDSEEAFDILLTSLDSRGIRESHLRLMLQKIEKSFKENVRKNTQCTKIGSKGEGSMKTEADETYPVPEHLSGSGSPSSTLHELNSGTSETSSSFKIELGKSENEKKAALRRYQDFQKWMWKECYNSSILCAIKFGVKRCKPQVDICEICLDPYFMEDSHCNSCHQTFPSNNEFNISKHTFQCVGNLSKDIMEHSLPLRTRLLKVLLSCMEASVLSEAFGTIWTTDFRKHWGVKLNKSSTVEELLQMLTLFEKALRRDFLSSNFSTTDELLGLSSMSKSAAHVSADPESVALLPWVPLTTAALSLRLFEFDSSISYVKLERLEPVEEKEATEYIRLPSRYTPFKPNREFEAAALDHNGFTKVKPSANKIARSGNKRGRGASDLGRGKKLSKRMYNSKQDIGRRNIKVTENLSQKLKQQGQGTQGQGGGRGRRTVRKRRVEKRAVEDLLLGHAAASHSSKGGREPLRNLDEEWDLEKLSPMTPVHIGVAENSNSAEEVESDDNAQAVESDDDALAVESDDDAQAVEYDHGNWEIGYNGVSPNRWDRDLVGMSDEDVDNFEDEDNGNDNDIGIGIEENEEEDSEEDVMSEGSDGMLNRVVNVGGSEDVMSEDSSD >RHN47433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39711973:39712194:1 gene:gene42032 transcript:rna42032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative apyrase MSVLENVVVELGNTLSVALGDIGGGITIDAVSREQAKKAPQVPQGEDPYIKKIVLKGKKYYLYVHRFYSYSWI >RHN42470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38591347:38602559:1 gene:gene48914 transcript:rna48914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MTGSGRTTARSRVRSSSEPDAGEGRPKFNRRRATSKYGGSIPLFDNVSIKIVLAISFIAFFIILFLIRHLVNSVAEPRLPRAVTPLPAPKIMDLDQFQGEHKESLYWGTYRPYLYLGIRARTPQSLMAGLMWIGVKDGRYHLRHVCRHEDDLSTYGWKKHNGRDYGHQVLVDHGMILTTEFLKSKGDDSGYGGDWAVRIDVQVDKSKWNEEFGRGAQLFFYLADEGGNVLDVSRENLDSRESSLLASGSRSDIGDWQLHLKSKDDLKLHYSGFHTPHFHNLSDLVEENLASQIRKHAQLQLSDSSDESSNVLAFQILGGFPFTTDIVLISGTDSGSSRVEERINSLTGASLSNELKYKEQAFDEKIEKVFNLTEKVDSESVSAGKAAIGSLLGGIGYFYGQSKIALSRTLNFREHVDYVSYWPAELYTAVPSRSFFPRGFLWDEGFHQLLIWRWDIRISLDIIGHWLDLMNVDGWIPREQILGAEALSRVPDEFVPQHPTNGNPPTMFLALSDIITGLKNNEFTAKDRSEISMFLERSFVRLEAWFQWFNTTQSGKQTSSYYWHGRDNRTMRELNPKSLSSGLDDYPRASHPSEDERHLDLRCWMLLAADCMHSIEKLLDKETRPGKNYGSTAKLLSDHELLNQMHFDDAYGAYFDFGNHTEKAQLKWKEMETGQNYASRQLVRDVSKRPVLRFVPHIGYVSLFPFMGRIIPPGSWILEKQLELISNNSLLWTDYGLRSLAKTSSMYMKRNTEHDPPYWRGPIWINMNYRILSALHHYSKENGPYQDKAKAIYTELRSNLIRNIVRNYQETGFFWEQYDQVKGKGKGARPFTGWTSLVVLIMAEAYGTI >RHN67948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31730766:31738852:1 gene:gene16212 transcript:rna16212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VIII-1 family MVISRMFFSKGYKHEVVFILWFCCYVLLVAAQENITNPTEVEALKAIKKRLIDPNRNLSNWNRGDPCTSHWTGVLCFNETLVDGYLHVQELQLMNLSLSGNLAPEIGSLVYMERLNFMWNKITGSIPKEIGNIKSLFLLLLNGNQLTGSLPEELGFLPKLDRIQIDQNNISGPLPKSFANLNKTKHFHMNNNSISGQIPPELARLPSLVHFLLDNNNLSGYLPPQLSQLPNLLILQLDNNNFEGNSIPDTYSDMSKLLKLSLKNCNLQGPIPDLSRIPHLLYLDLSSNQLNESLPSKLAENITTIDLSNNQLTGNIPSSFSSLSKLQRLSLANNSLNGSVPSTIWQDKKLNGSERFILELENNQFTTVSGSTDLPSKVTVLLRGNPLCSNNTLSQLCSSEGVNNTDVLVPTNNNGSCLVQSCPPPYEFSLDCFCAAPLLVGYRLKSPGFSDFLPFKNEFEEYLTTGLSINISQLNFTFRWVAGPRLRMDLKFFPLYVDHNSSHTFNETEVQRIRSMFTGWNIPDSDLFGPYELINFNMGLYQNATSTSSKSGISTGAIVGIVLGAIACAVTLSAIVTLLILRTKLKDYHAVSKRRHVSKIKIKMDGVRSFTYEELSSATNNFSSSAQVGQGGYGKVYKGVISGGTAVAIKRAQEGSLQGEKEFLTEISLLSRLHHRNLVSLIGYCDEEGEQMLVYEYMPNGTLRDHLSVSAKEPLTFIMRLKIALGSAKGLMYLHNEADPPIFHRDVKASNILLDSKLSAKVADFGLSRLAPVPDMEGIVPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLEILTGMHPISHGKNIVREVNLSYQSGVIFSIIDERMGSYPSEHVEKFLTLALKCVNDEPDNRPTMAEVVRELENIWNVMPESDTRRAESITSGSVSDSSKAMSTPSSSSAIRTAFVSGDVSGSDLVSGVIPSIKPR >RHN69375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42994494:42997899:-1 gene:gene17832 transcript:rna17832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TLDc domain-containing protein MKKKQSLRNKATNFVSVLLNPISDPPDSHKHPPPSSQEDVGELKKSDEEGNNHDVVDGPDTSSFTAFLYSLWSSSDSKENANSNGKNDDKGGLDNDNSSSSNSSLPDSSIKENGGRKSLFSRSKQSVGGAIRRVVRIGRFHHQGRKDNSNNVVEMKLQDKRKESVPVKEKSSSVALDDMPGISEPSVLVSKSIRNVLYASLPPLMHGRKWLLLYSTWRNGISLSTLYRRSMLWPGLSLLVVGDKKGAVFGSLVETPLKPSSKRKYQGTNSTYVFSNISGRPIIYHPTGVNRYFTLCNTDYIAIGGGGHFALYLDGDLLNGSSSVSETFGNPCLANSQDFEVKEVELWGFVHTSSYDEVLALSRTEAPGISRW >RHN78375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14540930:14541661:-1 gene:gene1922 transcript:rna1922 gene_biotype:protein_coding transcript_biotype:protein_coding MNIISRKGTMFFSLTKRFFLPSGPISLPLIILIFAKGNQINTIFPLSCIGPAILLLVLISALSFDNEADYKDIQYTFFAASTVSGILHASLYLDSIALAYYTGFDALMSSTLSGECATCVCRKEALAVGGKLVKYKGWSMTTFFVGSVLCLRIICTIFGENVGKFVSMIKVLMERFSWILISLDCVYLIAKSPPERVMLRVVAFGCIFLLIVLHVLKEACSQIHAMAYVAEKLRLVSMSTPIA >RHN69040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40525577:40529904:1 gene:gene17467 transcript:rna17467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nonaspanin (TM9SF) MELPTLRKPFIYWVFFSFTVFAQVSNGFYLPGSYMHTYSNGEYINAKVNSLTSIETELPFSYYSLPYCQPPGGIKKSAENLGELLMGDQIDNSPYRFRMNKNETLYLCTTAPLNEHEVKLLKQRTRDLYQVNMILDNLPVMRYTSQNGVKIQWTGYPVGYTPSDGGADYIINHLKFTVLVHEYEGRGVEIIGTGEEGLGIISEADKKKASGYEIVGFHVVPCSIKYDPEAMAKHKMYDSISSVSCPNELDKYQVIKEQERISFTYDVEFVKSDIRWPSRWDAYLKMEGSRVHWFSILNSLMVIIFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPECSKLLCVMVGDGVQILGMAGVTIVFAALGFMSPASRGMLLTGMIILYLILGIAAGYVSVRLWRIIKGTSEGWRSVSWSAACFFPGIAFVILTVLNFILWNSNSTGAIPISLYFELFFLWFCISVPLTLIGGFMGTKGEPIEYPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLIVVCAEVSVVLTYMHLCVEDWRWWWKAFYASGSVALYVFLYSINYLVFDLQSLSGPVSATLYLGYSLLMAIAIMLSTGTIGFLMSFYFVHYLFSSVKID >RHN52672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37596877:37601009:-1 gene:gene37370 transcript:rna37370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MQVGLWLNCEDPLINHGPWTCEEDKSLLIIVQEKGIRNWFDIAVSLATNRLPFQCLARFQRSLNPSIINSEWTGEEDAQLRDAVACFGQCDWQSVATYLERRTGTQCSNRWKKSICPVRKGAFTSEEDERLTVAVMLFGRKWKQIAKYVPGRIQSQCRDRYLNSLDPSLKWSEWTTEEDSRLEAAITKHGCCWSKVAEDVTPRTDCQCRKRWMVLHPEQAHMLKEAKKKQRSQLSRNFVDRESERPTLAVNDLIPLQMVVPRSDVGAENLRKKRKRKSSHQKERSKKHAKEAGLCIEEVQDADPKKERPKRHAKKKVPFCPEEQDIVPKKERPKRHSKKARICPEEGQFIAAYSDKMKTSARGVPLFEQSNVHNNMRSKRHAKKAQIHLKEVENIAFNDKVKTCSKISESQDGDDITLACWRNKLKKKLSNCTKNASQTSSFSMPKIVSKQVGNQIPSNEQDGLSLTCGTGGTEDLLIQTEVDSSEQVRKPESENAARKPEDAHNMNGDGNDKPLQVYVRRKTKKWSEDTEGCRACSPSKLKKGSMLLHGNKPAIISDGSEPSMSKVVVEETVLHGGVAEAEPTNINVVEEETDLHGSVADAEPTNINVEENDDDLPLSFLQNKTRRRKRRHTIQI >RHN61364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35185612:35186867:1 gene:gene23824 transcript:rna23824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MGDRSQIHSDPQSLEESHLDMLSPILGSKSAARESILYSYKHGFSGFAVVLSQSQAKLIADFPGVVRVIPNKILTLHTTRSWDFLHVKQDIVTAVLSKAQSGRGTIIGIIDTGIWPESDSFRDDDMDNPPPQWRGICQVGESFDRSHCNRSKK >RHN71202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57183292:57184132:-1 gene:gene19855 transcript:rna19855 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVRPNAAGAVDSKKKLTFFANAMKRKDSFIQLFAMTGILLLSMKSLGQKYRIHGLQEDTHDLREEHDSLTDRMKNIKTSLLHEASQDSTGLFASRLRSLFNE >RHN44959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10282212:10283126:1 gene:gene39147 transcript:rna39147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MAFTTSTLAEKKNKVLFILGATGTGKTKLSINLGTHFPAEIINSDKIQVYKGLDIATNKVPESERCSIPHHILGIIDDPEYDFTMNDFCNHVLESLDLITGKGHLPIIVGGSNSYLKKLVEDPAISFLSKYDCCFIWVDVSLPTLYQYVGKRVDEMVQAGMVDEIREYFVPGADNTKGIRRAIGVPELDSFFAIEKKSCIGDAIKEKILKEAIENTKQNTCILAKNQLSKIQNMARMLGSMVYKIDSTEVFEALLRGEDYKHVHQESVIKPSKEIVKRFLEETTDEFGYEKYSNENGKHAPNVV >RHN48725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49760643:49767306:-1 gene:gene43472 transcript:rna43472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysosomal Pro-Xaa carboxypeptidase MQHSFICTLNFHQKEEKKMAIIFFLSLFSLLFFTLSSSHIIPRFPYSVISPEQQLNSGSVQNGLYRTKFFTQILDHFNYNPQSYQKFQQRYLINDTYWGGAKKKAPIFVYTGNEGNIEWFTQNTGFMFEQAPYFNALLVFIEHRFYGKSIPFGGKKKVAYANSSTLGYLSSAQALADYATLIIDLKKNLSATESPVVVFGGSYGGMLAAWFRMKYPHVAIGALASSAPILQFDDLVSPYAFDNIITQDFRSESENCYKVIKGSWNLIEETAKKHGGLELLRKSFKICNNYIGVDALEGWLGQAWIYTAMTDYPTPTNFLSPLPAYPVRKMCEAIDSSVTGKNTLEKLYDAASIYYNYSGTSKCFDLNDHSDPHDLGGWQWQACSEMIMPEGASSKESIFPESKWSVKDRNAYCKFAYNVVPRPNWITSEFGGHNIEIVLKRSVGNIIFFNGLRDPWSGGGVLKNISKTIIAIVAKEGAHHVDLRYSTKEDPKWLKDVRKQEVKIIESWISQYHQDLATK >RHN58411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1537935:1543037:1 gene:gene20321 transcript:rna20321 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRIPSHQLSNGLYVSGRPEQPKERVPTMTATAMPYTGGDIKKSGELGKMFDIPTDGSKSRKSGPITGAPSRTTSFGGAGSHSGPIHPNSAARAIYTTSGSMSIGGAAGSNSQKKSNSGPLSKHGEPIKKSSGPQSGGVTPTGRQHSGPLPPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLEATGSMKLQGSAVPVHNQAVTVLNQVAASFTWNTYWGRRAIMGFIANYPDSELRTAKNGQFVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPTHRRLSWGLRLLERRVVDFYISDFQSGLRALVKTGHGARVTPYVDDSVLINVNPTKEELSPEFIRWLGERNLSSDDRITRLEEGYVKEGSTVSVMGVVHRNENVLMIVPPPEPITTGCHWLKCIFPASLEGIVLRCEDTSKNDVIPV >RHN74988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38508405:38510381:-1 gene:gene11133 transcript:rna11133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polar-amino-acid-transporting ATPase MYASHNLGNTCLSSLTLHETNTRMESSFNPISCNRKPLYRLETKNVSYKLCSQLDELRTLCFGSNPRRGSKFILKDVNCEARPGEVTAIAGPSGAGKTTLLEILAGRIPSCKVSGQVIVNQKQMDVNRFRRDSGHVTQEDALFPSLTVRETLMYSALLRLPGGRKVAAMRVAELMKELGLDSIADSRIGNGSDHGISGGERRRVSIGVDLVHDPAIILIDEPTSGLDSASALNVISLLRLMAFNQGKTVVLTIHQPGFRILEQLDSLILLSDGFVMHNGSLNLLEARLTLSGHRIPNHVNVLEFALDVMQSLVIHTSEPGNNQFLLNDDKGHQDHKMMMQCSMIVKEKAILYSNSPMEEILILGQRFCSNIFRTKQLFVTRVIQALVAGFILGTIFLNVGNKQSKVALQTRSGFFAFSLTFLLSSTTEGLPIFLEERRTFMRETARGAYRVSSYVLANTLVFLPFLLMVGLLYTTPVYWLVGLRKDIGGFLYFSLVVWLVLLMSNSLVACFSALVPNFILGSSVIAGLMGSFFLFSGYFISKEKIPSYWIFMHYISLFKYPFECLMINEYGGEQGKSRCIEVDNGECILYGVEFLRQQGLKESHKWTNLAVMLSFIIGYRMLNFIILWSRCYKSRK >RHN62321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42613404:42613829:-1 gene:gene24883 transcript:rna24883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb domain-containing protein MQGRTENSIKNHWNATKRRHNTKRKNRSNSSKGKLLLKYIMEVNDAKEAEKELMTNSTSVMKFGNHPNFESSESDFSSEGLVNPNEEIDGYAPMMFNGDDGMASGSGTTTSYDFGTYGMEFFPEVPMKQEIDFMGMIYRNP >RHN81979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50310024:50311093:-1 gene:gene6090 transcript:rna6090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diamine N-acetyltransferase transcription regulator GNAT family MEKTATYSKSDAKEECSVVDLSQISLRPISLSDLDDVLVWTSDEKVAKYCTWEPYTNKEDCIDFIQNIASKSLWFRAICLCNQAIGCIDFCLSSDRCRNKSAELGYAMSSKYWGKGIATLVVKQVVEAAFKEFPYLERLEAQVDVENMASQRVLEKAGFQREGVLRKYLFSKGKSRDMVMFSILSGEVQI >RHN44872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9327880:9328935:1 gene:gene39039 transcript:rna39039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MHYQTASWGNYHMTPTMMCDPLERIERLASENAVVIFSISTCCMCHAIKRLFCGMGVNPAVHELDEDPRGKELERALMRLLGTSNVVPVVFIGGKLIGTMDRVMACHINGSLVPLLKQAGALWL >RHN69659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45306110:45310315:-1 gene:gene18156 transcript:rna18156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentapeptide MANLSIQLPRTSLSIRNFSTKRPCFTTSALPFTITCSVVGEAELDGTENKPRLLSLNKIKGVACGILAAYAVTSASFPVTAATQRLPPLSTDPNRCERAFVGNTIGQANGVYDKALDLRKCDFTNEKSNLKGKTLSAALMSDAKFDGADMTEVVMSKAYAVGGSFKGVDFSNAVLDRVNFGKADLQGAVFRNTVLSGSTFDDAKLEGAVFEDTIIGYIDLQKICRNTTIGDEGRAELGCR >RHN80488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38526257:38529228:1 gene:gene4422 transcript:rna4422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MDTTQWPQEIMVKPLIEGNIIATNTCEKPMLERKPKQQKQEAINCPRCNSINTKFCYYNNYSLTQPRYFCKTCRRYWTQGGSIRNIPVGGGSRKNNKNRSSSSFVSNPSPSTKNILDENQNHKIHHEEGQDLNLGFPHISDLVQQNNNTSSSTSSALELLTSGFSSSSRGLHSFMPAQVQGCGFPLQDFKQVPMNFCLDGIGGSGYASLDHGVQETISEGRVLFPFEDLKHVSSTNNPTILDLDQNNNKEDQQGYSTGIWTGMLGGEGGGSWFWGVSVAEKYMSALKQVSP >RHN65657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4163814:4165719:-1 gene:gene13525 transcript:rna13525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFMRDLPNLQSFSMDDLPISLKELIVYNVGMILWNTTWELHTSLSVLGILGADNVKALMKMDAPRLPASLVSLYIHNFGDITFLDGKWLQHLTSLQKLFINDAPKLMSFPEEGLPSSLQELHITDCPLLEASLLKKRGKERCKISHIPLIFINNQIISFI >RHN59084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7370300:7372248:1 gene:gene21071 transcript:rna21071 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATMSRRPKTMPEPETLGILAFDAGKTMCRLISLYSSLSDEEITKLLDEVVKSKGVTYLNSNQENFLLTLAAAERLEELDNIAVTVSRIGEKCCDLGLARFDLVYADLKQGVIDLRKLPYNSRSSIKIIEKAEKLISATSSLYSAMEYMAELEAAEKKRQQQQRYWNTTTKPSLKPNMEYFNEKLVFQRKQVQNFKETSLWKQTFDKTVGIMARLVCIVYARICSVFGAYINEEQDENNNSMLFGFGFDHCCLLEHRELYHNSNHGVSEWYEEALQKRVVKSGPISKVATKPNVIRFLNNPMPMDFASGRDGTEKMMNGKHDKVLKLAPPSTVGGVGLALRYANLILLAERCLHAPATVGEDAREALYEMLPGRLRMKVKAKLRGRWAKEGDEGNDGHSLAEGWREAVEELMEWLSPVAHDTVRWHGERHLEKTRFETKPTAMLLQTLHYSDLEKAETAIVEVLVGLSCVYWCERRL >RHN53976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5909310:5909951:-1 gene:gene28951 transcript:rna28951 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSILLPIVIIVLAVTRPNTVNCRGYPSQVKAIDEPINELKLKVIDEPIKELKIMKEKANNVFRVLIGGQVHTMTSGPSEKGPGH >RHN76686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:431538:435413:1 gene:gene51 transcript:rna51 gene_biotype:protein_coding transcript_biotype:protein_coding MFGASSILFPLSTQASPYIFPNLTTSTYQECFKLKQNLVKVKKKNRLIVLSSSSSSDTSYEVGGGFPLNELLNRNKNSEEDTSAQREALLKGGDQVISVLQEMITLLEDMDMDEESEKVAVELAAQGVIGKRVDQMESDFMMALDYMIELAEKDQDDKRKSLLEVIKETVLSHLTKKCPPQVQVIGLLCRTPKKESRYELLRRVAAGGGLFKGENDLKIHIPGANLNDIANQADDLLETMETRPVVPDRKLLARLVLIREEARDMMGGGILDERNDRGLYTLPPAEVNFLTKLVALKPGKVVLDMIRNVMLGKDEGADNSGNDDDDRVSIGITGRASVTGRKPHPVRPGMFLETVSKVLSGIYAGSDNGITAQHLEWVHQKTLQVLQEIAFN >RHN80565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39099093:39103731:-1 gene:gene4505 transcript:rna4505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MGAFEELPEGCIAAVLSLTTPADAGRLAVVSKTFRSAADSDAVWNHFLRSDPQFFDFIISHSPSFANIPTKKSLYLALSNHPIIIDDGKKSVQLDRKSGKKCVMLAARSLDIDWDDDDEYRNWTAMPDSRFPEVVELLNMCRLEICGKINTLTLSPNTLYATYLVFKMIDGFGFENENYPVELSIGVEGGHCLTKIVILVDPDVECKRLNRILGSQDNKVFRLKRPSMRSDEWLETEMGEFFISGLPDEEVQMSITGIKDGYYWKRGFFVEGIEVRPKEDNKQHTLNYAGVLCLGFQNSA >RHN64780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61767086:61768325:-1 gene:gene27637 transcript:rna27637 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHLLLLCFFIFVTFTTKTLSTSPQPSSSSSSPTQIYNQYLSQQKKPDNESIYKVSKQLCWNCMQESLEFLFRHNLVRASKWELPLMWDYQLEQYARWWASQRKPDCKVEHSFPEDGFKLGENIYWGSGSDWTPTDAVKAWADEEKYYTYVTNSCVSGQMCGHYTQIVWKSTRRIGCARVVCDDGDVFMTCNYDPVGNYVGERPY >RHN77376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6434778:6441542:1 gene:gene823 transcript:rna823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MGGTQNSSSRSWEEDIYWTHFQFIRFTQFLQPTHLEQQLALPKTFSDNLKNKLPANVTLKGPSGVVWDIGLTTRDDTIYSTDGWQQFVKDHSLKQNDFLVFKYNGESLFEVLIFHGESFCEKAASYFILERGQAHTGQRGRKAKDTNTSVEEVNTPSNGSVECGLPEKSWEEDIYWTHFQFIHFTQFLRADFEQQLALPKTFSDNLKKKLPENVTLKGPSGVVWNIGLTTRDDIVYFVDGWKRFIKDHSLKQNDFLVFKYNGKSLFEVLIFDGDSFCEKAASYFVGKCGNAQIEQGGRKAKDTNKSVSPEQFLADAVPSQTNGKRTKKRPVNEVTPLQTKRGRRPKAEAALSKLSSSHAEKKIAESFTSSFPYFVKMIKTFNVDGPRILNVPHQFSKAHLPNRKIKIILHNLKGEQWTVNSVPTTRVNTDHTLCGGWVNFVRGNNIKVGDVCIFELIHECELRVRVAEVGKDDSRLEN >RHN64459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59242640:59245202:1 gene:gene27278 transcript:rna27278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA pseudouridine(38-40) synthase MMSLALAAATSSVVTPIPSPTSLLSLNSAASDVVEVKPNQDGYKWRLLLSYDGTRYAGWQYQESPPTVQCAVEKALIRATKLQRKDLQLVGASRTDAGVHAWGQVAHFLTPFNYDNLDSVHAALNGLLPSDIRVREISPASAEFHARFSVKSKIYHYKIYSDTIMDPFQRHFAYHNMYKLNSAAMREAARYFIGKHDFTAFENASHNDRIPDPVKHIFRFDVKEMGALLQLEVEGSGFLYRQVRNMVALLLQIGKEATPPDIVPHILASRDRKELAKYCYYLPPHGLCLVSINYNESHLLPPPGCPAKSFGMHHTIRKCKVVF >RHN45471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21250122:21251093:1 gene:gene39816 transcript:rna39816 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin MVLYNFGEKLYSGLVTTMTSHLREIARSLEDTQGISFLEEFNTKWNDYNKSLAFLRDILRYMERTYIPSTKKTPVYELGLNLWRENVIYSNQIRTRLSNTLLEFVFKERAGEDVNRELIRNVTKMLIDLGPSVYEQEFETPFLQVLAESYKAESEKYIECCDCEDYLKKVERCLTEETDRIHYLDPKTEKKIINAIEKEMIENPMLRLINMENSGFVNMLCGNKYEDLERMYNLFRRVPDGLLKIQEAMISHIRVSVDKLVTDPKRLEDPVEFLQRLLDDKDKYDKIINMAFSNDKFLRNAFNSLFEFFTNLKLEKALKLEAF >RHN73011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12571413:12593004:-1 gene:gene8780 transcript:rna8780 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNTDPSNPSISKERDWKNILQQTQLEENSDDLRIQVKYMFFDGDHLNHKCVDLGGCSCKEIDRKNLLEQTQLERNKRLWLREQNSAALQIQKCFRAKKVVKTEQSKLRQKFLNIYGKNCLNVDRKAFGPDSDFLRQFLYFFNAENHDDFLVLVQICRLLLQFVQESGDAVKLFAGESYSSVCAFVNYRVKKLAYNCIRVVHHNRNQLKDQQLLLTPKESNASAFPLLEIIVLLIDLKLPWSCKIVGYFSQNNGFVLLRQIILTGKDNAEKCIGSSLERVLTGVMCHIGQKPCICSDIDPSISYSSQILTIPLLWHCFPNLRQVFARQGMIQHHIHQMATFVPNLISFLPKDVSDEFPTYACLLGNILEIGGIALSQPDCSFDMAIDLAAVTTVLLEAHPSLTRSHSRENSMIAEDDMTGDDEVMEVALDRKLDQQICNSIDTRFLLQLTNILFRDISAANGPDDMEVAAVGAVCGLLYVILNTLPLESIMTVLAYRTDLVPMLWKFMKRCQANKKWSSLSKQLSYLSGDAPGWLLPLGVFCPVYKHMLMMVGNEKFYEQEKPLSLKDMSSLIILLKQALWQLLQVNHTSSANSVRSIPVRTSSKKLSIEAIQQRVSIVISELLSQLHDWNNRRQFTSPSDFHADGVNGFFISQASIANTRANKIVKKAPFLIPLAKPQQAAVRQTHGFHSVAAVRQRHAQSSIFRKQFRIRRDHISEDAYNSKSQPAAARQRHGSQTVFAGNWFMARYDHTLEDSVEQYIVRQVHEGSERIDTPVMVQHREENSPILEPMSQHTSPPPPPMHVMNVENDDPQHETSGENQNQSNILDEPIVETYDDA >RHN72162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5487981:5489792:1 gene:gene7843 transcript:rna7843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MATRKSKAIGIDLGTSYSCIAVWRNNRVEIIPNDQGNRVTPSYVAFTDTERLIGDAAKNQLAKYPHNTVFDAKRLIGRRFSDQTVQQDIKLWPFKVVPNHKDKPMIVVNYKGQEKHFSPQEISSMVLSKLKEVAETYLGHEVNNAVITVPAYFNNSQRQATNDAGKIAGFNVMRIINEPTAAAIAYGLDKKMWREGEKNVLVFDLGGGTFDVSLVTIDEGMFQVKATLGDTHLGGIDFDNNLVNRLVELFHRKYKKDLKISENFKALGRLRSACEKAKRLLSSSSQTVIELDSLCGGIDLHFTVTRAFFEEINKDLFKKCMETVEKCLVEVKISKSQVHEFVLVGGSTRIPKIQQLLKNMFRVNDEVKEPCKSINPDEAVAYGAAVQAAILNTEGDKKIEDLLLLDVMPFSLGVETKGGVMSVLIPKNTMIPTKKENVFSIACDNQDSLLIKVYEGEHAKTEDNFLLGKFELSGCSLVPRKVPNINVCFDVDVNGILEVTAEDKTIGLKQKITITNKEGRLSPEEMRRMMRDAERYKAEDEEVRKKVKAKNLFENYVYEMRERVKKLEKVVEESIEWFERNQLAEIDEFEFKKQELENSMKFL >RHN61558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36376331:36377152:1 gene:gene24033 transcript:rna24033 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVYARGSFFSDCLNVCAKGGLLDTGSHYIQCWKQNERADPGWANSHDLYAIEQKFMENCALNYFDKNDYRSMMKFVRAFHSIDLKRGFLQSLNLPDELLELEEESGNFMEAAVNIAKTMGDILREADLLGKAGEFLDAYELVFFYVFAKSLWSGGSKAWPLKQFTQKAGLLGKALTFAKEVSSSFYELASTKVELSNKHDNIFEIVNQLKSSRIHSSIRGEILCLWELLDSHFRLNSSKYVWQDSMFDVSVEGMIMKNQLSVETLFCCWC >RHN58576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2967182:2969827:-1 gene:gene20498 transcript:rna20498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTKTFKFIHVMILFLSLFFVAESFFVDTPCKIDEDCPQFQRPWSQIVKYYCIADQCFYYIKHIK >RHN54438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9595235:9595828:-1 gene:gene29478 transcript:rna29478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MERWTSLPPLWEIYCLKSNYWRKLDIDMPTKYNDRVDVQVYTDGVCHWWGETETHDEVYLVSFNLSNEVFVKTSIPSSMDGIDSRPVFRHLSVLNGLIGWILNYEGTTILHISVLDKVGMKESWTKLFIVDPLFYVKHPIGVGKKGDIFFRKKDNQLARFNLITQKIVELGVKGDRCYCPIMVYFEESVLPIEGINS >RHN43062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42932261:42936911:-1 gene:gene49585 transcript:rna49585 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCATKPKVTKDGGVDVKAPEPEPEPEQVKEDSVETKVEAEKQEQLLQSSLNLDVNEIVDDDQANKRRSLSLLFNKENEDAKDSTENEKNKAEETVKEETLETEKPLEDAKSNEPTLEKPSQETKNNEPSTEQECAVVEEKPSLQNKITDSAAEEIVKTETHEEAKKTSQETSKINEPAVVKQNKNDVAAEVKIETPEAETKSNELNEPIKLEKSSETVVVPPAEEKVIEVVLTNAESVDNKVVEATSSIEDISKASDVGWQQ >RHN61495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35900311:35904281:-1 gene:gene23967 transcript:rna23967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MRREIAFLAQPRFLILLLVLSIFIIFAFSSSKLADEKTEEEPEITHRVFLDIDIDKQRLGCRIVIGLYGQVVPKTVENFRALCTGEKGESASGVKLHYKGTPFHRIVSGFVIQGGDIVHRDGKASESIYGGTFPDENFRIKHSHAGVVSMANSGPDSNGSQFFFTTVKASWLDGDHVVFGKVVQGMDTVFAIEGGAGTYSGKPRKKVVIADSGEIPKSKWDEES >RHN43511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46417582:46418365:1 gene:gene50103 transcript:rna50103 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKAILSNKYSLPKLLICAKCQECMVYLVRKVGPDDFKEREAVQVVESLISLDGKLSNTEYLTKCIILKALDQICQCPKVSVDKFIDKIMPMLIACAQPLLNLTGEESKDDSLSNEDKRLVETMRAQACNTMFIRFPPHCQVIASDKSNDTKRDTTFIIVQALTQVLKTEIDRDLSTLVLRLLGRCIQTSSSFFTDQLIKIVNDEINDTIRRIIKFEIEKAQEAGTSEDVFRSLSV >RHN47343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39019284:39019475:1 gene:gene41933 transcript:rna41933 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVQTQHFLNLLCFPKLFCLENLSLMLLNNKPLFTLFMLLLFPVLQTFLINPFNQGSILLFF >RHN66406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11949163:11954626:-1 gene:gene14377 transcript:rna14377 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDYASSSDDDDVPEPTEEQRNQKQKPQLPQQPQPPQNLTKSGSSSNQRLENKPQSSSSSVEKLPDASLLLNSPAVPSSLMNASDHSSRVAAAQAENASRKRDSNGKASSSNRRKVPRANPPRSRNIPETAAGMLVPPQLSGRKNVVTEDISKLFVRKH >RHN71178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56983497:56986702:1 gene:gene19828 transcript:rna19828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MAFIKSRVNFHLHHSLSPFLPHTFRLCSSSPLVSEHDTNDNNTTPQNTNLSPEDTHIVDKFHTIIKDHYRKNPNPNAVSPSLNLSIPDLSIEFSKISTVYSVSPSITRRAIEKCSGVRHGIPFLQSLAFFNWATTLEGFPSSPEPYNEMIDLAGKLRHFDLAWHLIDLMKSRGVRITVSTFSVLVRRYVRAGLAAEAVHAFNRMEDYGCKPDKVSFSIVISSLCKKRRASEAELFFDSLKHKFEPDVIVYTSLVHGWCRAGDIAKAEEVFSDMKEAGVKPNVYTYSIVIDSLCRCGQITRAHDVFSEMIDAGCDPNAVTFNSLMRVHVKAGRTEKVLQVYNQMKRLGCAADTISYNFLIESHCKDENLDEAVKVLDTMVKKGVAPNASTFNSIFGCIAELHDVNGAHRMYAKMKELKCMPNTLTYNILMRMFADSKSIDMVLKLKKEMDESEVEPNVNTYRILILMFCEKGHWNNAYNLMKEMVEEKCLKPNLSIYETVLELLRNAGQLKKHEELVEKMVARGFVSPRPL >RHN72992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12410099:12411295:-1 gene:gene8761 transcript:rna8761 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLRERYPTEAMSNMFIIMNETSVANFTTAYKVAVVSENNKELCICVCAMITYVSAEFQNPALTALGAIGIKPNAWRSTIDNYTRWGIQTYAGYQTMNFDWPSILVYFGCCIIVLFKEFTTEESYNIFMTNSIRELRVRAKCDPDATFGVPFDYNKATAIRTMIGGCSLLCKEAIKFLFKYMNCESSAIGPICHYLGNLLAWVDMRHLVLMNNFLIMPKSPVLEDPRVSREVENLEAAITKVMQHPYPQFFMCMVSNVERYKVEPSLFPTLLAVAQELERADYNNNVANFKSISTTDANPAIVQSLVTLHQTAFPQHGSSSSNVRRSQVKQEKPQKRSRTSNVQMPQVKRETPQNHSRTSNVQMRPVKQENRRKRSRTSNVQMPPVKQERIHVIVLD >RHN81915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49790461:49791682:-1 gene:gene6013 transcript:rna6013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific protein-tyrosine kinase RLK-Pelle-RLCK-XV family MWGKVSESCDVYSFGILLLEIISAKKPIEKLPGGIKRDIVQWVTPYVQKGVFKHIADPKLKGNFDLEQLKSVIMIAVRCTDSSPDKRPSMIEVVEWLKDGVSKRKKEIPNLSNNKGHDEENDENYEEFVTMQSNNLKILSDNDRRKMR >RHN45257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13815577:13816290:1 gene:gene39495 transcript:rna39495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSKTETMKLYLQTCDGSIQQVEQEIAMFCPFICQEILQKGMGFSESSAICLPKEVSSAMLNSILEYCQFHRVRGCSDKERKLFDEKFVTIPTERLYELASAANSLKLRSLVELTCRAIARTLERSSPEEICDTFNLPKEKLEPFINITCNPSIGLLDRLKRNKLKERGRVLENVGVQEKEEHVVDERPIDELLSFINGSNDGETKEKKTGKNIIKNKTKKKHQQKNSSLTSSNSLSA >RHN60007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16374881:16376556:1 gene:gene22189 transcript:rna22189 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMLGSFVSAILQVLLDRIAHRDFIDFFRGNHLDEALLDKLKMFLLSVTTVLNDAEEKQFFDPFVKEWVDKLKNAAYDADDVLDEIATKAIQDKMDPRFNTTIHQVKDYASSLDPFSERVQSKIGRVVERLKSILEHKNLLGLKEAGVGKPLSLGLETTFLVDEHRVYGRHGDKEDYRLLVGWRLQWRVGAGSCDCWNGRGRKDYSCSSFVQ >RHN55929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25618384:25619577:-1 gene:gene31223 transcript:rna31223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MANKAVKRNDTVSSPILTEKTITTKPQQLIGTLISSSLHSSPLPTIPFDLIPEILHRLPVKPLMQFRCVCKWWNSLISDPKFAKKHFRFSTTCLIHILTYSSLSHKYIIKSYPLNSLFTKDVAYNKIAQHEIASSHCVYNVGSCNGIICVAEYHIYERFAIYRLWNPSIRKFKELPPLELQHAGYNFQMHGFGHDPISDNYKVVVVFRDNNKTDVKVVHNVGTNFWKDIKETFQYDRFIVEQKSGKYVNGTINWLASKDYSKGQRFIASFDLGNESYKKVLLPDYDYREIGSRTLHLSVFGNCLCWICSNDVWIMKEYGNKASWTKLFTIPFMPSYYHLFANVMHIFEDGLVTWKSTQDLTRNLVFYNSINGSVKFSYFQFRYILEICVESLISPCS >RHN76467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50605038:50610359:1 gene:gene12799 transcript:rna12799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bicarbonate transporter MNADMKSFKTPFKGIVDDFTGRAVHYKDDWISGLTSGTGILAPTMYIFFASALPVIAFGAQLSRETYGSLSTVETLASTAICGIIHSIFGGQPLLILGVAEPTILMYTYLYNYAKNKEGLGRELFLAWVGWVCVWTALLLFLLAIFNAAIIINRFTRIAGELFGMLITVLFIQEAIKGMVSEFEVPKEGDPTLDKYQFHWLYANGLLGIIFTFCLLYTSLKSRKARSWLYGTGWLRSFIADYGVPFLVVVWTALSFTVVASKVPSGVPRRLVAPLAWESASLHHWTVIKDMGKVSLEHIFAAFVPALMIAGLYFFDHSVASQLAQQKEFNLKKSSAYHYDILLLGFMTLLCGLIGLPPSNGVLPQSPMHTKSLAVLKKQLIRRKMVKSAKESIGKKASNSEIYGMMQAVFIEMDNDPNNHSVVKELEDLKDFVLNGEDKGDNNKSTFDLEKHIDTYLPVRVKEQRVSNLLQSLFVGVAVFAMPAIKMIPTSVLWGYFAYMAIDSLPGNQFWERILLLFVRPSRWYKLLEGDHASFVESVPFKHIVLFTLFQCVYFLVCFGVTWIPIAGMLFPLPFFLLITLRQYILPKLFSPNHLMELDAAEYEEIPGAPRLSFNISYKEVESPKVGSKEIGNAEILDELTTNRGELKVRTIYEL >RHN82222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52095161:52095520:-1 gene:gene6367 transcript:rna6367 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNVDSGSSGSKRFYDDSVGSSARPMGREAAKKKGKKKSKDETLEKVEKEWVQFKELKEQEIEQLKELTLVKQQKNKLLQEKTEAKKMKMYLKLSSEEHLDDRKKELLGKLERELFEN >RHN73149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13826987:13831279:-1 gene:gene8935 transcript:rna8935 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQHQLSIPPLAPRASLQRATLFLTSPPFLSLLKTHHHRQRISLSAMSSSPPTFTELNSDSDFSSLLSPDDHISICGFGSLLSERSARSTFPDLINFRTAKLNHFRRVFAHVAPVFFERGIAKPETMEISSLSVEQCEGETLVVTVFEIRKSEIPDFIKREVEFRFLAVLPETLDGKSFDFPAVLCARYSDEEFFNIRCKGNKEMLFQQYGRWNIDKIWRDDIFPCRVYLRHCVLAAKNLGDTAYNNFLDHTYLADRKTTIREYLETAGSGIMEEEPPESLKFRYGG >RHN55838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24649255:24653153:1 gene:gene31108 transcript:rna31108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MQSFKTAQSNSQLYCHQPFLLRGEDINRNTMRFSDIGDFHHSSPFFPQQDVVDLTSNCMFSSVKSNNVVIGGSNMQYGTTINMNVGSAEIASNGAGCSDAGLQQHQQQHQLMYNKGIASLPLGNCHVENWADSGVADNNSQQTDDTSTDIDIDTDDKHQSNGGNAHSNNQTKFRAEDHKTLRRLAQNREAARKSRLRKKAYVQQLESSRVKLMQLEQELQRVREQGMFIANPGDQGHSSVGNGALAFDMEYTHWVDEHQRMLNDLRSALNSQMGDNELHLLVDGVMSHHNELFRLKSIGAKTDVFHMLYGLWKTPVERFFIWHGAFRSSEILKIVKNHLEPLTENQLMGICSLQQSTQQAEDALSHGMEALKQSLLETLSSTPSVSGTGSGNVSDYMGQMAFAMNKLASLEDFLHKADLLKQETLQQLQRILTTRQTARALLVRNDYISRLRALSSLWLARPRE >RHN60049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17734591:17735741:-1 gene:gene22248 transcript:rna22248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MASSVAVKRIFSSGLLSRSLRPVASSASRSFNTNAMRQYDERSDDSNVADSRRADRSFPRTRRDDFLSDVFDPFSPSRSLSQVLNMVDQLMDNPFLSASRGIGAGGARRGWDAKETEDSLLLRLDMPGLGKEDVKISVEQNTLTIKGEGAKESEEDEEGARRFSSRIDLPEKLYKIDQIKAEMKNGVLKVVVPKMKEEERNDVINVNVE >RHN39841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10975238:10977716:-1 gene:gene45916 transcript:rna45916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MEVDWDLHAVVRGYTNLTSSSSSSTTTSTTTLATSSTHSYNNNNNNNNFFSIYPTEQTSQVFSLSNPFETKSSIEELHELCKPFFFKSSSSSQPLSSGSFSYSSPSPKSPHIQPKQLLVNNKLHHHAASAITPRSKRRKIQHKKVCEVQAEKLSSDIWAWRKYGQKPIKGSPYPRGYYRCSSSKGCLARKQVERNKSDPSMFIVTYTGEHSHPAPTHRNSLAGSTRQKPLSPQTVTAEDSSQQPFTKQVSSSTSEAEEEDVTTLLSVKSESKEDLEEMMNDDEEENEFELSDMVVTDDFFEGLDELTGFAGKTVASSGGDCFGDPFAASIALPACGGQ >RHN49930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2387937:2395969:-1 gene:gene34166 transcript:rna34166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MAFSSRRGGNWAQSILPSSNPKSKQPRKSKRRTLVKDFIFSNFFIIGLIISLLFFLIVLLRFGVPKPITTHFRTRTSRFRKPKKLSLNGSSTIFGGFASVDLTTKGLYDKIEFLDVDGGAWKQGWSVSYRGDEWDNEKLKVFVVPHSHNDPGWKLTVEEYYDRQSRHILDTIVETLSKDPRRKFIWEEMSYLERWWRDTTDVMKETFINLVKNGQLEIVGGGWVMNDEANSHYYAIIEQIAEGNMWLNDTIGFVPRNNWAIDPFGYSSTMAYLLRRMGFDNMLIQRTHYELKKELAWHKNLEYVWRQSWDAEETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMQGFVYEQCPWGQYPVETTQENVQERALKLLDQYKKKSTLYRTNTLLVPLGDDFRYINVEEAEAQFRNYQMLFDYINSNPSLNTEAKFGTLEDYFTVVRDEAERINYSSPGVVGSGLVEGFPSLSGDFFTYADRQQDYWSGYYVSRPFFKAVDRVLEQTLRATEMMVALTLGCCRRAHCEKFAMAFSYKLTAARRNLALFQHHDGVTGTAKDHVVMDYGTRMHTSLQDLQIFMSKGIEALLGIRYDKLDQSPSQYEPAIVRSKYDAQPVHKVISIRDGTYQSVVFYNPLEQTREEVVMVVVDRPDITVVDSNMTCVQSQISPELRYHNSKIFTGKHRVYWKVLVPAMGLETYYISNGFVGCEKAEPAKLKLFSKASSVTCPSPYSCGKIEGDVAEIENQHQKLTFNVRYGLLQKITLKNSSPSIVNEEVGLYASSGGAYLFKPSGEAQPIIEGDGLLLISEGPLLQEVFSYPKTAWDKSPISHSTRIYNSEDAVQGFVVEKEYHVELIDRHFNDRELIVRYKTDVDSKKVFYSDLNGFQMSRRETYDKIPLQGNYYPMPSLAFIQASNGRRFSVHSRQSLGVASLQNGWLEIMLDRRLVRDDGRGLGQGVMDNRVMNVVFHLTVESNISTTSNSVSSSYPLNPSLLSHRVGSHLNYPLHAFISKKSQELSVKPPPRSFSPLATPLPCDLHIVNFKVPKPLKFLQQPHESSRFVLILHRRHYDSSYCRKGRSSQCTRLANDPVNLFSMFKDITASKVKATSLNLLHEDPEIIGFTEQFADVAQEGHVSISPMEIQAYRLELRPQ >RHN41188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27981925:27982164:-1 gene:gene47480 transcript:rna47480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type MVNLLSCLLLIVLLSLHCFVTCFAANTKNITTDQSALLAFKSLITSDPYDMLANNWSTSSSVCSWLVSLVMSDTEESIV >RHN48585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48588070:48593385:1 gene:gene43318 transcript:rna43318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MRVPLLVALAAMAVTVTVVYGGFPGSYLSLERTIPLNHQVELTTLKARDRARHGGRILQDGGGGILDFSVQGTSDPYLVGLYFTKVKMGSPAKEFYVQIDTGSDILWLNCNTCNNCPKSSGLGIDLNYFDTASSSTAALVSCSDPVCSYAVQTATSQCSSQANQCSYTFQYGDGSGTSGYYVYDAMYFDVIMGQSVFSNSSSTVVFGCSTYQSGDLARTEKAVDGIFGFGPGALSVVSQVSSQGMAPKVFSHCLKGQGSGGGILVLGEILEPNIVYTPLVPLQPHYNLNLQSIAVNGQILPIDQDVFATGNNRGTIVDSGTTLAYLVQEAYDPFLNAITTTVSQFSKPIISKGNQCYLVPTSLGDIFPLVSLNFMGGASMVLKPEQYLIHYGFLDGAAMWCIGFQKVQKGYTILGDLVLKDKIFVYDLANQRIGWTDYDCSLAVNVSVATSKSKDAYLSAGQMSVSSSHVSILSKLQLVRIVAFLVHIIVFMEPQFL >RHN76123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47864959:47865324:1 gene:gene12403 transcript:rna12403 gene_biotype:protein_coding transcript_biotype:protein_coding MENKGDLLVKRNRPPTRLQRHAPTSLDIDKVHDDRPSNPFGDSSKAIPLLSPLILSPKPLIYADITFQALKSENSNYRDEGSRSSSLTSGSGWEHPAIASYPNPSSLCNFFQKQCVFANHA >RHN49967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2754446:2755273:1 gene:gene34211 transcript:rna34211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MDPNKKEEIINDLVKFKTGKEYYTKVGKAWKRGYLLFGPPGTGKSTMISAIANFMNYDVYDLELTTIKNNNELKRLLIETSSKSIIVIEDIDCSLDLTGQRKKKEEKPKYEKESMVTLSGLLNFIDGIWSACGGERIIIFTTNFVDKLDPALIRRGRMDKHIEMSYCSYQAFKVLAKNYWDVESHDDLFPIIEKLLEKTNMTPADVAENLMPKSIDEDFETCLKSLIQSLENAKKKDEEEAKKKIEDEEAKLKAEKEKQELTQEEEKVKENGVIY >RHN71590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1193601:1194814:-1 gene:gene7204 transcript:rna7204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MLWLYYGIQTNAIFIVSINAFGCVIEIIYCIMYIAYATKDARKLTIKLCAALNVVSFVLIFLIIQFSIPENHRVQVLGWICTSISISVFAAPLSIVVRVVKTKSVEFMPFNLSLFLTLSAVVWFLYGFVKRDICIYLPNVVGFILGIIQMVLYGYYSKYSVEKEKEQAVINIVVVNPLGSSEVFPIPLDENKESIEDVINQQFQVKKVGEEDAKEKHDNNVEAIEFQCVV >RHN45970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27402443:27405902:-1 gene:gene40400 transcript:rna40400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin-dependent kinase inhibitor MRLYTLTKGERRDSRVHKLRCPQKLVSFSLFPLSSQFLNSMGKYMRKSKITGDVAAVIMESPSHSTAVGVRTRAKTLALQKSPPNHPDSSSFLQLRSRRLRKVPPPLPPRKESGSPGKSRLRECSVEKLGNFCAEEENENRDGDFAVEGSFGENFAEIDGRDRSTRESTPCSLIRDSSVIHTPGSTTRQRTNHIIQEHMQRNTPTTNEVDEFFALAEKQQQALFMEKYNFDVVNDVPLPGRYEWVPVLH >RHN66870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20570603:20575175:1 gene:gene14981 transcript:rna14981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MAGPISSTDVHKIQRHNMDDMIDMISDLPEAVLLHILSLLPTKDVVRTSILAKKWKHLWTYLSAFHFETFRRLNESSLQNQKNKANCLLDLVGRLMHKSTRIERLGVQIFRSSIDTDKVSSIISSAANHKLQYLDLSLGDRNDNFVLPHSFPAFESLNELRLGLQFTLHIPSGICFPILKTLVVTNVTFANENSAQQLFSGCPVLQELELDNCYWEHINQISLSISTLRKLTISYLMLCVDYDHIMKLKIDAVNLLSLYCICNPIIEVIPVNLTSLVDAFIFLGYVYPHDEPYAAQCSIELLKGLGSVKSLKLNDIILMSFYHTLDNLHLHLLPLPTFYNLTHLYVCSVSPGNACALVMDILRKTPKLEDLTIPGVVLNYLDSDDLILNSLPCCFKSSLKRLCFLNFHGNVHEIKFVTFILENAPYLEEIKIHCSRHLSADMEKLDDVRNQLQDVCLESCVIKFCNSYYEPSNNDEVLNAVV >RHN72602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9075456:9075836:1 gene:gene8344 transcript:rna8344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MVSWQHGDEDTLILNDDGSALNNSGKANYGGLIRKHDGSFLRGLFGSVGISNILHAEIQTLIGIKLCWETGYRKLMCFFDSIHVVELVMKDISRFHHYANLLELIQNYLDKDWFISIQHILWDGNS >RHN59499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11398126:11404428:1 gene:gene21537 transcript:rna21537 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKKQLMSSAPWRGEEDTTEQFPDANLKVTSQSDGTSTMHVPRSKSNTHNHDDDDGIEIDPELRYSFQRNYQFLQRVFTIDTMVKPLPPVMAYNVSRNLNFFTRIFTQFFDPEGIAAAQKSMGIGQEDRDRRVR >RHN39441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7144221:7145489:1 gene:gene45463 transcript:rna45463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVRSPKEVNKGAWSREEDDILSKYVVIHGEGKWQKVAQNAGLKRCGKSCRQRWLNYLKPGIKRGHISTDEEDMIIRLHRLLGNRWSLIAKRLPGRTDNEIKNYWNTNLSKKLQKQPTSSSSLPSPSSVSLRHNHGKCGHVAPEAPKPRRLKAVHQYKILEKNSGSEYDQGSDETSIADFFIDFDHQDQLMVGDDESNSKIPQMEDHKVSSTNSTHSSSSPSDHCHLLAEKFDPQEILLDVELKKMASFLGLEND >RHN61328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34845047:34849916:1 gene:gene23781 transcript:rna23781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Nop domain-containing protein MLLLFETPAGFAIFKVLNEGKLSKVEDLQNEFTTADAARKVVKLKAFNKFANTSEALEAATLLIDSKASKGLRKFLRVHCENETLGVADSKLGNIIKEKLKIECVHNNAVMELMRGVRYQLNELIAGLAVQDMAPMSLGLSHSLSRYRLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIIVDNIQYARSVKLMGNRINAAKLDFSEILSEEVEAEVKEASVISMGTEIGELDLSNIRELCDQVLSLSEYRAQLYDYLKSRMNTIAPNLTAMVGELVGARLIAHGGSLINLAKQPGSTVQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKFKGKISRSLAAKTALAIRCDALGDGQDNTMGLENRAKLEARLRNLEGKELGRFAGSAKGKPKIEAYDKDRKKGAGGLITPAKTYNTAADSVIEPMSNSAMDEDTPEPPVTDKKKEKKEKKEKKKKEKKEEEVEDVEEPEEEVVKKEKKKKKKDSTEKAEVQNGDHTSNGGEKKKKRKKQAEEEDSAEMPPSKKKDKKKKRAE >RHN51680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22652786:22658943:-1 gene:gene36174 transcript:rna36174 gene_biotype:protein_coding transcript_biotype:protein_coding MEESERVDECKNAKEVCDTLKIHHEGTSHVKETRIDIGVRKFEVFEMSENETIDEMYARFTTIVNEMRSLEKAYYTHDRIRKILRCLPSVWRPMVTAITQAKDLKSMNLEDLIGSLRAHDVVLQGDKPVKKVKTLTLKASQQSSSVADDDVQEQ >RHN52632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37260009:37273963:1 gene:gene37326 transcript:rna37326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MPRSGRISYALELVDEMHDRGQPPNIITYNSILDVLCKNYDVDKAIVFLTKLKDQDIQPSMYTYNILIYGLCKVGRLKDARKVFEYLLVKGCSLDAYTYTIMIHGFCSKGLFGEAFTLLSKMK >RHN39901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11546278:11551719:-1 gene:gene45984 transcript:rna45984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lipoprotein lipase MSFICGLPILECVYCLGCTRWAWKKCLYTAGYESENWGLATAEEFEPIPRICRTILAVYEDDIRNPQWAPEGGYGINPDWIVLRKDYGDNQRCVTPYMIYLDHDRSEIILAVSGLNLAKESDYIVLLDNKLGQTEFHGGYVHNGLLKAADWVFDAEWEVLRKLIAENPTYMLTFIGHSLGAGVVALLTMIALHNRDKLGIQRDRVKCYSIAPARCMSLNLAVRYADVINSIVLQDDFLPRTTTALESVYKSLLCWPCLLCLMCLKDTCTLEEKKLRDPRRLYAPGRLYHIVERKPFRLGRFPPIVRTAVPVDGRFDHIVLSCNATSDHAILWIEKESRRALDLMLEKDRHMEIPAAQKMVRQESLAREHSEEYRAALRRAVALDIPQAYSPSSYGTFHEIDAEEDSGRSSGKDSSLSHNKRSESWNEFVGRMFDVDDTGHMVFKKTPP >RHN66820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19303546:19305438:1 gene:gene14914 transcript:rna14914 gene_biotype:protein_coding transcript_biotype:protein_coding MFIMSNTINGAINNVAPSKLNLPCSYCFTLSLSTALFDKVNFPLFNPNHFFVASIIAKKHPIDFTIQLLCSSHQILEEPPLEITSI >RHN55664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20840629:20841043:-1 gene:gene30882 transcript:rna30882 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLHGGINILFPACGSSSPSPPFARFFRHRPWISSPPVAFLTWLDLFWLLLLTVVGLVRLLVVKTEEVATMCKVLGSGLFILVLSFGARSWLFRFRRLQVVASHSSTFNYPSSY >RHN77455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6956736:6959895:-1 gene:gene915 transcript:rna915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MTKMVSSFLLLFLAFNLAKSDPFLGVNYGQVADNLPPASATAKLLQTTAFEKVRLYGTDPAIIKSLANTGIGIVIGAANGDIPSLASDPSFAKTWISTNVLPYYPASNIILITVGNEVITSNDTNLINGMLPAIQNIQKALDEASLGGKIRVSTVHTMSVLKNSEPPSAGSFHDEYSTVLQGLLSFNKDTGSPFAINPYPYFAYKSDPGRADNLAFCLFQPNAGRVDANTKLNYMNMFDAQVDAVRSALDSMGFKDVEIVVAETGWPYKGDNDEAGPSIENAKAYNGNLIKHLRSKVGTPLMPGKSVDTYIFALYDEDLKPGAGSEKAFGLYNTDQSMIYDAGLSKQQSTTPTPTSSPVVSPTPEVSKTPVIPAPTGPSSTPKGHNHTPNKAEFLNGHEASILQSLIMLTYLILMLF >RHN81501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46508596:46513983:1 gene:gene5552 transcript:rna5552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A(2) MDSSDQFEMQEPSIETDKLSYEIFSILESKFLFGYDDQKLWFPKQIPDVDSQQQPTVATAAVDSVSAVKNQRGKICILAIDGGGMRGILAGKALAYLENALKKKSGDQNAKIADYFDVAAGAGVGGIFTAMLFATKDQRKPIFSADDTWRFLAEQGKKFYRQGASSGGRGFLKRLFGSGGSSSVETATAGMEKTVKEAFTAENGRCLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETDSFDFRLWEVCRATSAEPGLLEPVQMRSIDGVTKCVAVDGGLAMNNPTGAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQLLEVNYDYDRVTRWKAKDWARPMARISSDASADLVDQAVAMAFGHCRSTNYVRVQASGSSMGQCGPNVDTDASPSNVKMLMGIADEMLKQENVESVLFGGKKIGEQSNFQKLDWLAGELVQEHQRRSCRIAPTVAFKQATPKPT >RHN56247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29239075:29240980:1 gene:gene31617 transcript:rna31617 gene_biotype:protein_coding transcript_biotype:protein_coding MPIITRQIPPSTPYKKTTYTDALSGFSTIRKISMIELLAYSNSNSTREP >RHN67201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24871882:24874614:-1 gene:gene15359 transcript:rna15359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spermine oxidase transcription regulator Homeodomain-LIKE family MASSSSESVSRRMSLRKKANYRSYEGLLDDERIDKKLHSTLKKMGKNTDFQKETNTEAMITFSLGFPMNALLEHEVQSGVVTKLGVKEQNDYVVVRNHILARWRGNVMSWLTKEQIKETVSKEFEYLINSAYDYLLHNGHINFGVLRSFTSHFPEATKGTVVVIGAGIAGLTAARQLLLFGYKVVVLEGRNRPGGRVYTQRIGNEDKFAALDLGGSVITGIHANPLAVLARQLSIPLHTVRPDCPLFKPNGDPVDKEIDSKVHFVFNKLLDHSMDLREIMGGFASDTSLGSVLETLKNLYVVAQTTNEKQMFDWHLANLEYANAGCLSNLSAANWNQDDPYEMKGDHCFLAGGNCRLIKAMCEGIPIFYGKTVNTIRYGNEGVEIIAGDQVFQADFALCTVPLGVLKKKVINFEPELPARKLESIERMGFGLLNKVAMVFPHVFWGEDLDTFGCLKENSHDRGEFFLFYGYHTVSGGPALIALVAGEAAHAFETTDPSILLNRVLTTLKGIFQPKGINVPDPIQSICTRWGSDPFSYGSYSHVSVQSSGKDYDILAENVGNRLFFAGEATSRQYPATMHGAFMSGLREASCIYQLTSVQQPCPKKSLSKNIGPNSGILVNLFKRPNLEFGNFSFISDPLSDDPQSKAIMQFTFGGNEESYKEIVRCYPDTKALPLKVYTILSIEQVDRMQEITGGDETMLSYLTKNLGLKLMGLSALLIAGNDVIASVAASRKGRSRNRKIPHNPKKK >RHN49070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52225577:52236308:-1 gene:gene43859 transcript:rna43859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MEAFQLYGNGGGSSDLRAMGKNSKEWDLNNWKWDSHLFIATSKLTPVPEHRQFLPIPVGGGGGGGGSNSNSSSSCSEQLDLGICQVKEGERKRRVIVVEDELGLGLNKEGGNLSLNLGGGVATWEGNNGKKSRVAGGGSSSRAFCQVEDCRADLNNAKDYHRRHKVCEIHSKASKALVGNAMQRFCQQCSRFHLLQEFDEGKRSCRRRLAGHNKRRRKTNQDAVPNGSSPNDDQTSSYLLISLLKILSNMQPDRSNQTADQDLLTHLLRSLANQNGEQGGRNLSNLLREPENLLKEGSLSGKSEMVSTLVTNGSQGSPTVTVQNQTVSISEIQHQVMHSHDARVADQQTTFSAKPGVSNSPPAYSEARDSTAGQTKMNDFDLNDIYIDSDDGIEDIERLPVTTNLGASSLDYPWMQQDSHQSSPPQTSGNSDSASAQSPSSSTGETQNRTDRIVFKLFGKGPGDFPLVLKAQILDWLSHSPTDIEGYIRPGCVVLTIYLRQAEVVWEELCFDLTSSLNRLLGVSDDDFWRTGWVHIRVQHQMAFIFNGQIVIDTPLPFRSNNYGKILSVSPIAIPSSKTAQFSVKGINLTRPATRLLCALEGNYLDCEDTDEPMDQCSKDLDELQCIQFSCSVPAMNGRGFIEIEDQGLSSSFFPFIVVEEDVCSEICVLEPLLESSDTYPDNEGAGKIQAKNQAMDFIHEMGWLLHRRQIKSSVRLNSSMDLFPLDRFKWLMEFSVDHDWCAVVKKLLNLMLDGTVSTGDHTSLYLALSELGLLHRAVRRNSRQLVELLLRFVPQNISDKLGPEDKALVNGENQNFLFRPDAVGPAGLTPLHIAAGKDGSEDVLDALTNDPCMVGIEAWNSARDSTGSTPEDYARLRGHYTYIHLVQKKINKSQGGAHVVVDIPSIPTKFDTSQKKDESCTTFQIGNAEVKKVRKDCKLCDHKLSCRTAVRKSFVYRPAMLSMVAIAAVCVCVALLFKSSPEVLYIFRPFRWESLDYGTS >RHN56523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31595014:31599270:1 gene:gene31931 transcript:rna31931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MSLSFSVFASFFSLLFLLSLHCQIVSSFHYHHHHHHHHHEQVYHQKPSLKANNNQSSCALFVGSWVFDESYPFYQSSSCEIIDPEFNCQMNGRPDSDYLKYRWKPLNCELPRFNGVEFLLKMKGKSVMFVGDSLGRNQWQSLICMISAAAPNVETQLVRGDPLSTFTFLDYDVNISFHRVPYLVDIDVVQGKRILRLDEADKNGDTWKNVDVLVFNTGHWWSHQGSLQGWDYVELGGNFYPDMDRLVALERGMKTWANWVDANIDRSRTHVLFQAISPTHYDENEWNSAVGRATSVTTTKNCYGETAPISGTTTDFGGGETYTDQQMRVVNMVIREMRDPAYLLDITMLSEMRKDGHPSIYSGELSSQQKTDPDHSADCSHWCLPGLPDTWNQLLYVALFY >RHN48809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50430010:50438125:1 gene:gene43562 transcript:rna43562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MPRATTVECPGCPPLRALTFDTLGLIKVIESREIQGGPKVVDRWGQPDSTKSVNAVSLIHRQSNPLLAVARKDGQIEVLSPVTGVSLATISNANDLDLQSQENNVIGLHLFAKQNLELESRAYNLLTCTGKGSASIRSIEVPDSATGSSSIDSSKTWNVCNSGNVLCCKVDKNEKFALFGGKGVEVNIWDLDNCTKIWNAKSPPKNSLDIFTPTWFTSVSYLSKDDHRKFVAGTNNHQVRLYDISAQRRPVLSIDFRETPIKVLAEDIDGNTIYLGNGSGDMASVDIRTGKMLGCFTGKCSGSIRSIVRHPELPVVASCGLDGYLRLWDTKTRQLLSSVFLKQHILHVLFDSNFIVEDTPKGADSLPIKEETIKEITDGEEIEATPLKRKKSSRNKENVIDGSKKKRAKESEEHKKSKGNDEHEKTASRDKGSKSTSKKKNKSSKYEIPDEGL >RHN39511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7763662:7764234:1 gene:gene45538 transcript:rna45538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MATLALAFQSLGVVYGDIGTSPLYVLASTFPKGIDHTDDILGVLSVIYYTILALPLLKYVFIVLKANDNGNGGAFALYSLLCRHANVSLIPNQQPEDMELSNYKLETPSSNQQLKKKLENSHFARVLLLFMTILGTTMVIGDGVFTPPMSG >RHN58273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:496225:500282:1 gene:gene20165 transcript:rna20165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyltransferase MSPSFDFSNWGWVKNSSNSTSTSKKGGNPVVVTMGNPNYSVLQINGPDSAFQPVEKDRTRNAKQFTWVLLLKAHKAIGFIAWFGNCVCSLLSSVKKRVFFDAVESENESDKSMKAKLLFRVIATFLVMALAFLLFELVAHFKGLYYFHNHNLHIPQNWEIKGLFHEVYVSWLRFRVDYIASTIQYLSNFCIVLFLIQSVDRMVLCLGCFWIKYKKIKPLIADGNVEDDLEGSNHGFPLVLVQIPMCNEKEVYEQSISAVCQLDWPKDRLLVQVLDDSDDESIQWLIKAEVTKWSQKGVNIIYRHRKYRTGYKAGNLKSAMNCDYVKDYEFVAIFDADFQPCPDFLKQTVPHFKGNPELALVQARWTFVNKEENLLTRLQNINLCFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIYLNDVKVLCELPESYEAYKKQQHRWHSGPMQLFRLCLPAIITSKIAFWKKTNLIFLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPIWVICYIPVFMSFLNILPAPKSFPFLVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWIVTKKSGRASEPDLLAAEERDSKAMSLQLHRGTSDSGLSELNKIKEFQEIVPPKKMNKIYKKELALAFLLLTAAIRSLLSAQGMHFYYLLFQGVSFLLVGLDLIGEQMS >RHN49376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54385167:54389031:-1 gene:gene44198 transcript:rna44198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase WNK-NRBP family MNCVTQLETDDSDFVEVDPTGRYGRYHEILGKGASKTVYRAFDEYQGIEVAWNQIKLYDFLQNPEDLERLYCEIHLLKTLKHKNIMKFYTSWVDTANRNINFVTELFTSGTLRQYRLKHKRVNIRAVKHWCRQILQGLHYLHSHDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECSHPAQIYKKVSSGKKPDALYKVKDPEVREFVEKCLATASLRLSARELLDDPFLRIDDDEYDLGSVDVGEFGDLGPLVSQSFFSIDRSYSNISTEYSNGFGYEVDWYSQPTEIEHGIELFECHDDEPSKDVDISIKGKRKDDGGIFLRLRIADKEDHIRNIYFPFDIELDTALSVATEMVAELDITDQDVTSIAHMIDGEIASLVPEWRPGPGIDETINYSNQSFCDNCVSNHTTDTDSLLRNQSAKNLHLLQCCMHGCASMHGRFEEITFQSEDYDDIHVSEDAPNISSKSDFLQYQESWNQHESRELSPVESTRSHSDEQYELLDKSFLIEDKREGIRENSFAPNAGNSLKDLSGNHYLSTIGSLYCGVENDYEKEIQHELRWLKAKYQMELWELKDKQLGVEAKSPHIEHKTPPETETVNGFDNGIHFHNYKDCDSSYNSREQKSHPNLDTRRVQNCGVICSKEEDMATAKSFYTRLFLPDTLHRTISLPVDAVDV >RHN40565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17624946:17633004:-1 gene:gene46728 transcript:rna46728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, EAG/ELK/ERG MPFSYVNRFFNMFWVDDFQTGMLPSLGARVHQETKLRSYIISPFNRNYWAWDKWLIILVLYSAWICPFQFAFLPQKHDTLFIIDNIVNGFFAIDIVMTFFVAYLDNHSYLLIDDHKKIAKRYLCTWFIFDVCSTAPLQAISSIFTDHSSEFGFRILDMLRLWRLRRVSSLFASLEKNIRWNYFWIRCIKLIAVTLFAVHCAGCFNYLLADRYHDSKRTWIGSVYPDFKEMSLWDRYVIAMYWSIVTLTTTGYGDLHANNTQEMLFDIGYMLFNLGLTAYIIGNMTNLVVQWTSHTRNFRDTVRAATEFASKNHLPHETQDQILSHLCLKFKTEGLKQQETINGMPKAIRASIAHHLFYPVVQKSYLFQRVSHDFLFQLVTEIEAEYFPPKVDVMLQNESPTDLYMLVSGSVDLIRSVDGRDQVLMKASAEDTFGEIGVLYNKQQPFTVRTKELSQILRLSRTSLMNAIQANPEAAPIIMSNLFMRLSGQEDLGFGHPPTRLSLDDIQVGNNTRRNSHDEFTKNSHGEDILHDMIPNMIENQPEKEANEKKLSPVRWKQKDQEDKQQNKSHENGQTLDEHIIDFMETDNLSHVRSDQISLGASNHQIERKKPIFVDKRVTIHYVGQDRTTLQGQHGKLIILPDSLEELLKIVGEKFGGPKPTIILNSENAEIDELCVIRDGDHLFFLSGDNETLS >RHN49025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51864840:51867413:1 gene:gene43807 transcript:rna43807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MDSNASPKLESETSLELKPESQVSKKRKMVEKTVVTVRIGENVSKVKNEGLPSDFWSWRKYGQKPIKGSPYPRGYYRCSTCKGCSAKKQVERSSTDASLLIITYTSTHNHPDPTALSSTTNLAQQPKESKTETTKDLPVTSKEENQEQKQVEEEINSNKSTVSSSDQVTNEENFHYLQSTTHCSEDIIIDQEDPFKLNIEKSHIDKIDLLLEEEPLCYAQLKNMSASKSEEFDFFDELEELPMSSSILHFTRSIFSDERIPVAPS >RHN72932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11934725:11935894:1 gene:gene8698 transcript:rna8698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK family MVGLWLLHKDMKKRMIKKRKEKFFKRNGGFLLKQRMSSGEVNIDRTTLFTLKDLKKATDNFNKNRVLGKGGQGTVYKGMLVDGKIVAVKKFKVEGKVEEFINEFVILSQINNRNVVKILGCCLETEIPLLVYEFIPNGNLFQYLHAQNEDIPMTWDMRLRIATEIAGALFYLHSIASQPIYHRDIKSTNILLDEKYRAKLADFGTSRIISIEATHLTTVVQGTFGYLDPEYFHTSQFTEKSDVFSFGVVLAELLTGKKPVSSIGSGEYQSLASYFIECIDENMLFDIIDKRVTKEGEKEHVVAVANLAYRCLELNGRKRPTMKEVTLKLEGIRGLNRKLSAQQNHEEIELPEIEEHRPWDEFSTSSSLQIVDSQTYSTDSEVMHVIELK >RHN60567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28316689:28320284:-1 gene:gene22894 transcript:rna22894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MECFVLLNRCMRCGTADNITLYFLPSSVHFSNISTFPSLSLTHSLTQSIKQHFNSFETRLAQITQTLLRRVPVQQRSLLFVAEPVTSLKGVIACSDEQHDRLSNLSDDLICHILSFLSTKQCYRTCVLSTRWESICTKIPNLHFQLPEISDPVLSKEEFQSLNLAVLRRNENIKKLMLDSEQGCQPHDVQMWVSKASVNFVVLRRTENIRKLRLESEKGCQPNDVHMWVSKAFDLKVQELDLDLFLHEKILLPLRLSMCESLVVLKLRGRIQPTLNSSFHVYLPSLKILHLRETVRYSMFDDGKEYDLNNFLSGCPHLEELLLHETFALPINTSFHLLKRLFLSLYMPTSVKCCPLQINAPSLEVLSIVDSSLAPRKYDFTNLSNLDEAAIFICKRVDFNNLYTVLKGLSNVKSLALGSKIFHFLSMEDKFYNLHLLTFHNLLLLWVEISENCSWNMLVSFLQNAPKLKHLVMARNIEINSRRKEVGNSSWVEPSISPACLTSSLITFEFVGIQNIKTDLDFTRYIINHSSKLQKVKIFTPSSKKRRVEKSLRKGSKKSSVLVWDINSIEDHMDAFKDSMNTRHFSNPLY >RHN70483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51737519:51741871:-1 gene:gene19064 transcript:rna19064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (S)-coclaurine-N-methyltransferase MEKMEEMMHLPYNTTVKLMLGSLERNLLPDTVIRRLTRLLLSTRLRSSYKPSSELQLSDLLQFAHSLQDMPIAVSTDVPKSQHYELPTSFFKLVLGNNLKYSSCYFSSASKTLEDAEEEMLKLYCERSNLKDGHTVLDVGCGWGSLTLFIAKNYSSSRVTGICNSTTQKAFIEEKCRELQLQNVDIIVADISTFEMEASYDRIFSIEMFEHMKNYKDLLKKISIWMKEDGLLFVHHFCHKAFAYHFEDKNEDDWITRYFFTGGTMPAANLLLYFQDDVTVINHWLVNGKHYAQTSEEWLKRMDKNKTSIKPIMESTYGKDSATKWTVYWRTFFIAVAELFGYNNGEEWMVAHFLFKKK >RHN50553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8066162:8069020:1 gene:gene34852 transcript:rna34852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MVGILFQFLGFYKLATSINNVIAHNHLHFFRRQKKVMERKTLASLCFFLIVLLAAQVVAQIVPCKTRNRNFKSACIAVSGDDEECDHDCRRVGGWYGGSCKNQKCVCDC >RHN78096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11855899:11856925:-1 gene:gene1612 transcript:rna1612 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGICSSMVVEEMVKVGEETCNSKVVVERVTVVGEIYSSMVVEETVKGVGEICSSMVVEEMVKGVGEICSSKVFWE >RHN75594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43604423:43606544:1 gene:gene11824 transcript:rna11824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MIFPSSGAGGSTNLMQGFNNSANCALMPPSCNRSMPLEFPQSQTHSGISIQLPNINGESNVAELLDCGLPPVFHPGESHWESNLEGACPQARDKAKMRYQEKKKTRTFGKQIRYASRKARADTRKRVKGRFVKAGEAYDYDPLLSDH >RHN50589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8345929:8351858:-1 gene:gene34892 transcript:rna34892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c1 MAGGVFQQLLRRKLKSHSPNPSSMSSIITKIDGAGSTSNRSFRALALIGAGVSGLLGFATTASADEAEHGLAAPSYPWPHEGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEAEVKAMATEIEVVDGPNDEGEMFTRPGKLSDRFPQPYSNESAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVVIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRKLVLDVVN >RHN64941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63161590:63164226:1 gene:gene27822 transcript:rna27822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triose phosphate/phosphoenolpyruvate translocator, sugar phosphate transporter MISQVKYTSSLPFTNFGSSNFIPRPKLCTLPIVQHVGKNTNNLSLSSLKPLYISSTENFSFSTTKLTRRETECHAYEADRSQPLEINIDIAGEQAAQKLKIGLYFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLAAGSLIMLISWATRVAEAPKVNLEFWKALFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSKFLLGEAFPLQVYLSLLPIIGGCALAAVTELNFNMIGFMGAMISNVAFVFRNIFSKKGMKGMSVSGMNYYACLSILSLLLLTPFAIAVEGPTMWAAGWQTAVSQIGPNFVWWVAAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFHTPIQPNNALGAAIAILGTFLYSQAKQ >RHN54098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6911913:6918456:-1 gene:gene29082 transcript:rna29082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanine nucleotide binding protein (G-protein), alpha subunit MSPAPEYSFAVEYDGPPLTYDLPRAVPITVDNIPVAAVVSQVPLSETLSLPVVQPLLPPHHAKELRTLNSGESRVSKELELASERTVSPTSVIAFDHRGSQINVCELSGELSSSGPFDFSNGIENEISGEFSDLGDSSRLLEESTSSELPSSRTRSSSTMELNQQDWGSTESVLSLEYPSTRVSSLKAEDCDGKRVPAVTFDVESDEDGDDDLNEEFEVEETVTRPVKREPLTKGKKGSCYRCFKGNRFTDKEVCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGFAIDESNRVNLGKCSRMLKRLLNQLEVRQIMKAERFCEANQLPPNYICVNGKPLSYEELVTLQNCSNPPKKLKPGNYWYDKVSGFWGKEGQKPSSIISAHLNVGSPIQPDASNGNTQVFVNGREITKVELRMLQLAGVQTAGNPHFWVNEDGSYQEEGQKNTRGYIWGKAGTKLVCAFLSLPVPSKSSSSLGEQHSNMASRSIPDYLEHGIVQKLLLVGCSGSGTSTIFKQAKILYKSIPFSEDEHENIILTIQSNVYTYLGILLEGRERFEDEILADLTKRQSSMLDTTGTNPKPDDKTVYSIGPRLKAFSDWLLKTMASGKLEAIFPAATREYAPLIEELWNDTAIEATYERRSELEMLPSVATYFLERAVKILRTDYEPSDLDILYAEGVTSSNGLACVEFSFPQSAPEETVDTTDQYDSLARYQLIRVHARGLGENCKWLEMFEDVEMVIFCVSLSDYDQFSVDGNGSLTNKMILSMKFFETIVTHPTFEQMEFLLILNKFDLFEEKVEQVPLTKCDWFSDFHPITSRNRTNNNSNSINNNPSLGQLASHYIAVKFKRLFSSLTGRKLYVSVVKGLEPDSVDASLKYAKEILKWSEEKPNFNASEYSMYSTEASSFSH >RHN47837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42924333:42930444:1 gene:gene42483 transcript:rna42483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP-binding protein, orthogonal bundle MGALKGLLKKGLGEMDFNTGGGLINWFPGHMAAATRAIRNRLKLADLVIEVRDARIPISSINSDLQPHLSLKRRVVALNKKDLANPNIMHKWVNYFESCKQDCIPINAHSKSSVTKLLELVELKLKEAISKEPTLLVMVVGVPNVGKSCLINSIHQIAHSRFPVQEKMKRAAVGPLPGVTQDIAGFKIANKPSIYVLDTPGVLVPSISDIETGLKLALAGSVKDSVVGEERIAQYLLAVLNTRGTPLHWKHINNRRVDGITYEAKENDRYNLKNLKQRRGSPNRSDIVYVEDVVVGVQNALYSTLAEFDGNVEDESDLENLIDQQFGTLQKALKIPHKASEARLMVSKKFLTLFRAGKLGPFILDDVPDAKPV >RHN66943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21975775:21979480:1 gene:gene15066 transcript:rna15066 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCDAHLSVDQSDLVYEDDENDDDLAAEFNHDDLKQEADEEIKEELNHMTGEENIDELHAATEIHQDLRPCDHIMIDDSQFCDVSHDINNTTLSDDADDINHTHFGDPDEVDPLEINPTEDFVNAQLSHVNDISEPPTSDMAEMEVSQHSSIETHGDISQKGDCSVAV >RHN56120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27940913:27943599:-1 gene:gene31467 transcript:rna31467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MSTLSVPHPLPPVSDDVEQLRKAFSGWGTNENLIISILGHRNEVQRKVIREAYAKTYEEDLIKALNKELTSDFERLVHLWTLESAERDAFLANEATKRWTSSNQVLVELACTRSSDQLFFAKKAYHALHKKSLEEDVAYHTTGDFRKLLLPLVSSYRYEGDEVNLTIAKAEAKILHEKISKKAYNDDDFIRILATRSKAQINATLNHYKDAFGKDINKDLKEDPKNEYLSLLRSTVKCLVFPERYFAKIIREAINKRGTDEGALTRVVATRAEIDLKIIAEEYQRRNSIPLDRAIVKDTTGDYEKMLLAILGHNDA >RHN39974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11987305:11987943:-1 gene:gene46060 transcript:rna46060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MSRSYAFKYIIIGDTGVGKSCLLLQFTDNRFQRFHDVTIGVEFGIRTININNNSVKLQIWDTAGQERFRSITRSYYRKAAGALLVYDITRRETFDHMATWLEDARQHASSDMIIMLVGNKSDLAGNRLVSTEEGEKFAKENGLMFMEVSAKSRENIEEAFVKTAESIYKNIQVRVSDLLDETHEIKVGYGGLPAEGRDNRESSYDLGRRCCS >RHN64011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55908280:55915788:1 gene:gene26784 transcript:rna26784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, synaptotagmin-like mitochondrial-lipid-binding domain-containing protein MGFVFGVVVGIIVGLAIIIAFVRSENSRSARRSQLATTIAAFARMTVEDSRKLLPSQFYPSWVVFSNRQKLTWLNSHLTKIWPYVNEAASELIKTSAEPILEEYRPMILSALKFSKFTLGTVAPQFTGVSIIEDGGDGVTMELEVQWDGNPSIILDIKTLVGLALPVQVKNVGFTGVFRLIFKPLVNEFPGFGAVCYSLRQKKKLDFTLKVIGGDISTIPGLYDAIEGAIRDAVEDSITWPVRKIVPILPGDYSDLELKPVGILEVKLVQAKELTNKDIIGKSDPYAVLYIRPLRNRTKKSKTINNDLNPIWNEHFEFIVEDASTQHLFVKVYDDEGLQSSELIGCTDIKLSELEPGKIKDVWLKLVKDLEIQRDNKNRGQVHLELLYCPYGTENSFTNPFARNYSMTSLEKVLKGSSNGIDSNGNESEAAQRKKEVIIRGVLSVTVISAEDLPAVDFMGKSDPFVVLTLKKAETKNKTRVVNNSLNPVWNQTFDFVVEDGLHDMLLVEVYDHDTFGKDYMGRVILTLTRAILEGEYKERFELDGAKSGFLNLHLKWMPQSIYRDS >RHN79145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24500232:24504340:1 gene:gene2871 transcript:rna2871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative processing peptidase MKLQQTMVEMFPLNSADAAYTKISGMLSTLGDPFTRIISPKEYQGFRIGSDGNLQGVGLFINVEPRTGHLVVLSCIENSPAARAGIHQGDELVEINGERLNGIDSEGAAKRLRGNAGTTVTVKVKDSSTNSYIREVKLPREYIKLSPISSAIIPHRSSEGQVIKTGYVKLSTFSQSAADDMRNAIQEMKNQGVHSYILDLRNNPGGLVKAGLDVAQMWLDGDETLVNTIDRDGNMSPINMIDGHAITHDPLVVIVNEGSASASEILAGALHDNGRAILVGNKTFGKGKIQSVTQLHDGSALFVTVAKYVSPALHDIDQVGITPDVQCTTEMLNSPKELSTKDKASISSLEADSCIMVAEHELDMKDSKGTVS >RHN63272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49950996:49956125:1 gene:gene25953 transcript:rna25953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid beta-fructofuranosidase MRNNDSPYTPLLNGPHNNNRKELLLIFCGLFLLASLIAFSGYKASDNVSVPHAHVSSSSSILSHEETKPNMVVSPSVSAGVSEKSNVFLSGPNKVGETESFPWNNTMLSWQRTSFHFQPEKNWMNDPNGPMYYKGWYHFFYQYNPNGAVWGDIVWGHAVSRDMIHWLHLPLAMVADQWYDSNGVWTGSATILPDGQVIMLYTGSTNETVQVQNLAYPADLNDPLLVDWIKYPANPVLVPPPGILPKDFRDPTTAWLTSEGKWRITIGSKINKTGVALVYDTVDFKTYERKEDLLDAVPGTGMWECVDFFPVSMKSENGLDTSVNGEEVKHVMKVSLDDDRHDYYSLGNYDEKKVKFTADDLKNDVGIGLRYDYGIFYASKTFYDQKKDRRVLWGWIGESDSEYADVAKGWASVQSIPRIVKLDKKTGSNLLQWPVAEVESLRLKSDEFKNLKVKPGSVVSLDIDTATQLDILAEFEIEKEALEKTAHSNVEYECSNSGGASKRGALGPFGLYVLADGALSEYTPVYFYVVKGSDGKLKTSFCSDQSRSSLANDVHKQIYGSVVPVLEGEKLSLRILVDHSIVESFAQGGRTCITSRVYPTRAIYGAARLFLFNNAIETNVTASLKVWQMNSAFIRPYHPDQKS >RHN40230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14413575:14417977:-1 gene:gene46353 transcript:rna46353 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTLMDKRISQLKEAQLKFEQNSKISIPKIQRVPKFLRQNERFYKYCSPKIISFGPIHHNNKCLKEGEQYKLLWTSTFLEEYGKEINQDGNQACKLLLNKIEDNIEELKNMFTEDAIEGFNDNDLAWILFVDGCSLLHFMGNVDDQYPEGLNLKFDQLMYIWRDVSLLENQLPYQMLEIICNERGIDLNFLVNNYQGMGACKRYGMTMIPLKNPKPFHILDSSRLMYLTSDLGIDVEEQGQMETQSEGNEPNQTGENTTLNQEDDDDEEVLSCNWNTYKSIRDLKIIGIRVKPNKTDAWTWSNVSFKSKWLSGELRLPIFLFNDVTPYFFRNLIAYEMCPDVRYNYECCSFFTFMDSLVDNAEDVKELRLAGVFQNLLGSDEELAKLFNDLGDDLPTKMYCNNSYTDAVAYSRRYLLIKVQIEKHYTNKWKTWLAQAYNTHFNTPWAMIAFLAAMLALVLTFIQTWCAIYPK >RHN46430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31660162:31660830:-1 gene:gene40914 transcript:rna40914 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFGSSNSDKALKVSVDDVNNGEYALKVTTVKANNTTAEQAHTAAVLLSQPEAAVLRELGEKLLSHIRSRI >RHN75545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43173579:43173860:1 gene:gene11769 transcript:rna11769 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEPKRVYYSEVKARLWWGWCLSLGFLAIPPVIKLEFRHGFFASFHRFFPAYLCIACGLGSLGLSVLSKSCKGDDLLFCNNSATCTACAWPI >RHN48119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45164929:45167895:-1 gene:gene42796 transcript:rna42796 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRDVESGERTLYPTMLESPELRWSFIRKVYSIITFQLLLTVAVASVVVFVPPIPRFFVSTTPGLVLYIVLIFIPLITLCPLYYYHNKHPLNYFLLLIFTVTMAFPVGLSCAFVSGKVILEAVILTTVVVFSLTLYTFWAAKRGHDFSFLGPFLFAALLVLLVFAFIQILFPLGKLSHMIYGCLAAIIFSGYIVYDTDNLIKRYSYDEYIWASVSLYLDVINLFLSLLTVFRAAN >RHN62626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44782756:44785405:1 gene:gene25221 transcript:rna25221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MASAVLANRNEPNWPQHRGGGAGFMGKVPYANPNPNPKFKRNQSPSDDASSINRRSNDVTRIESGELKSRSSHNGGGSAKKSANKKFSGNKRPFPAEKELKRSKSEVGSAMKACGQILQKLMKTKIGWIFSSPVDPVALNLHDYFDIIKHPMDLGTVKSKLAKNAYSTPAEFADDVKLTFKNALTYNPKGHDVNTAAMQLLEKFEELYRPIQEKFDEKSFDDELQASSWNHVEPERERERVKKKDNPIPIPPPVAKRQELLPEPASTSNQPSTSNPPPLAQSPVRTPSPTRALPVKPLKQPKPKARDPNKREMNVEEKHKLGLGLQILPPEKMEQVVQIIRKRNGHLEQDGDEIELDMEAVDTETLWELDRLVTNWKKMVSKIKRQALMDNNNVPSNKGNGELPDREKVDATPPSEGKKQKKIDTVDEDVDIGDDMPANDFPPVEIEKDKDMGGTGGRASSSSSGSSSSGSGDSSSSDSDSGSSSGSDSEAGNGHL >RHN74769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36554744:36555111:-1 gene:gene10882 transcript:rna10882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine permease, plant MIGFLCTFGPSAGYALLLCLMQLSFEKVLKKESFYVLLQTLIWTCILGASVSLICLFAIGHEELDGVKIVAMLLAILGYNL >RHN69298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42426675:42434638:1 gene:gene17747 transcript:rna17747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative six-bladed beta-propeller, TolB MSENNHLSERIPDLDSQSNGVNTESNIDTYQNQVTHVDLKDEVLGESEDVKSTEDNMFVDCPDELITFDGKQKEEEAVAADENEEESQILHQQQSHFGELDNGVAGELEQLRVKLENAVAEKESVVNEYQELLSARDREIENLNEKVSQLMISNESLQASSEAQLEKDGDIDNVIDRMISSLASVVNQGQLLDDSRSGKIVYIEESTAVLIEKYNQMLSDIYQLGQSFSEVGSDTGELEYGNILVDARGGLLELKRKEDQLVDKLSHLESENQKLVEELDKERTVIGTLNTELGNIKVELEQEKVKSANTKEKLSMAVTKGKALVQQRDSLKTSLAGKSSELEKCLTELQEKSAALEAAELTKEELARSENMVASLNTSLQQNDSIFVQVEEILSHAELDQPEMLDLPERLRWLVDDRNKLQGAFLELRKLKESLSLVDLPEPVSSSDLESQMNWLIVSSHKARNDIYVLQEEISTIKEASVNCIDDLSILLLVDSQEKDYLRSELTDLRFEYGELVGKNHQISLEKDQIVKMLVDFSGLNMEDEGIDQFSSNTLMIIDLCFQKVKGQNGTLTRASHIDPELFERVQSLLYVRDQGLNLYEDILEEDILIRSDVNKLANELKVVSNEVIALKEEKSSLLKDLERSEEKTGMLRDKLSMAVKKGKGLVQDRDNLKGLINEKNSEIEQLKVDLEKQESVVSEYRDEINRLSSDLERDKDNLQSLINEKNSEIEQLKVDLQKQESMVSEYKDEINRLSSDSERDRNNQQGLINEKNSEIDQLKVDLQKQESVISEYKDEINRLSSDSERDRDSLQGLINEKNSEIEQLKVDLQKQDSVVSEYNDEINRLSSDLEIIPKLEADLLEIKRERNQFEQFLMESNNMLQRVMECIDGIALPVDPVFGEPMEKVKWLAGYVNECQEAKVHVEQQLQLVKEEASILEAKLAEAHETVNSHGQRLSSSEGSVSQLAEEKAELEQEKEKVVEELQKVKEKVAEVCSTSKSLEDALSQAEKDISVLSEEKEQAQVSRVAAETELERVRDEADRQTRELSEASITIKDLEVELSQVESKVNLLTEKNNADQAVKTELENELKKLQDEAANNASKLVGSSETIKSMEDALLKAQDDISTLEDANKIAKQEISSLSLKLNSYMDELAGKNGSLENKSLELIGFLNDLQVLMKDDTLFLRIKQCFEKKCETLKNVDLIVSKVRNHISLSAKDSVGHLEMEEDPPVRKSFSDGLEKFEVELDNREINGIDIDTIVSSFGKIVKGFQMRNEHIADKFDEFSDSIDAFISPLHGKLLETESNIMAIVEHVEGMKEKANSVTKLNEEKDNIIAALENDISLLLSACTDSTSELQKEVHQNLGQLGSTFEVEKLNHNADEQVEHYKNNAYADASRKLINASGEVQTLIRQFKFKSEQVDATVRDLQTKLNETTVAFELATEEKDLNMNKVLQLESDIQSLENACTELKDKVEHYHILEEKLKDKEAEISSMHSASLKKEESSILSTSQLRDIFDKIDRIEIPIVESEDSMESHTSDPVKKLFYIIDSVTRLHHQINSLSHDKKEMQSILETKALENKDLKEEVKQLNSHCEDSKMIKNELSELTSVLEKILDILGANNWVVDRQSKGFRELLPPLEKHIIAILSESENSKSKAHELGIKLIGSQKVIDDLTTKVKLLEDTIQDRISQPEIVQERSIYEAPSLPAGSEITEVEEGSLGKKALSPVPLAAHVRNMRKGSSDHLALDIGGESDQLINSADTDDDKGHAFKSLNTSGFVPKQGKLIADRIDGIWVSGSRVLMNRPRARLGLIGYLLIMHLWLLGTIL >RHN60266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24401147:24408104:1 gene:gene22546 transcript:rna22546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial import protein TIM15 MASGTVYNFPTLFTCSSSSAHKNPQPNLSLRFNPPSRSRFCVAAIVPQKLPCRRRVLRVHGLLGDDSVTSPLPESNNSQPGASIDLNLPRRSLLVQFTCDLCGERTERLVNRLAYERGAVFVQCAGCQRHHKLVDNLGLITEYDFREKTNTDPEIDQI >RHN50422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6992156:7000614:1 gene:gene34704 transcript:rna34704 gene_biotype:protein_coding transcript_biotype:protein_coding MNEICRSFLHSVLNLFGSNYMWSRLVLVANIDSLREWAFVVQIVLTSTVMTILMLTKPPQTSCCICTARLFTMNGRFQNYIYYKLSVSLDETKFRSKRVKEMRE >RHN41060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26520103:26521245:1 gene:gene47336 transcript:rna47336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDPNHASKVNITYIPNDFVFSILSKLPVKSLRRFSCVRKSWTRLLENHNFINIFCKNLYSGSHSLDDDICVILNQVVLDPFHCTFYLLSGDKFENKVKLDLPPPFHIQHNRVSVIRILGSATNGIICIYNCDNHTIAVLWNPATQEVMVIPPSLAEFKREFITKITLHGFGYDHVRDDYSVIQHVCYTKFKEHRLDNVIPHPFWEIYSLKNSCWRKIDIDMPTRNWIYNSDLYLNGMCHWWGKNNNLVSFNLCKEEHFITPSPFEDVHDTLDIHLIALNGHVAMISYSKKAISFQISILGEFGVKESWIRLFYFRCLSCIEQSIGAGEKGNIFFTRKDGQLVCFDLTTGMVENVGLKGEILWSQTVIYKKNLQLIGAIDK >RHN45247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13654101:13654786:-1 gene:gene39485 transcript:rna39485 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGYMLAPYISQFPYIVAYQCIGYISQYPYIRKKYNRTGNIYNINNSVTINISGNGGRGGRGRRGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGRRRRSVGKT >RHN73680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18792497:18796764:1 gene:gene9526 transcript:rna9526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TIFY family MSTSSEYSEVSGNKPPAKSPEKTTFSQTCSLLSQYIKEKGCFKDLSLGITCNNNNTDPSGSSETSSQSATTMNLFPTMENNLSQKNLTTMDLLTPQAALNNSNAIKGPKAAQLTMFYNGQVIVFDDFPADKAQELMAFANKGISQSQNNSVYTYTQSQPSFPPNLVRTSVNTTTPIVPTVNIIPSTATGTGSMNEHLQVPSRPNLCDLPIMRKASLHRFLEKRKDRIAANAPYQINKPAESMSWLVGAKSTQI >RHN40064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12938541:12940120:-1 gene:gene46160 transcript:rna46160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSGTEATKYASATNNITKSKKPNMRRFIGVRQRPSGRWVAEIKDSSQHVRLWLGTYDTPEEAARAYDEAARALRGENARTNFALAVSTDGTTPCSDDQGLVSSPQTNEAKNSLTFASLKAKLSKNLQSIMARTSDHNNNKFSKSRVSDHFTFASIFNRRNHNYNQISALDMKNIEKVVQPSIIVPSNDGDPSRVSDCSSEWFGFQNLGLDSDGSDIGEINNNANINVGDQGFLEQLMGWIDDDSSDICEGSSSKRFKVSSSVLVPPTFSSSPYDCGSPYSGYASPYNSCGSPCNGYASPYYGSKK >RHN78426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14987340:14993627:-1 gene:gene1978 transcript:rna1978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inosine nucleosidase MATQTEPKKIIIDTDPGIDDAMAIFLALRSPEVQVIGLTTIYGNVYTTLATRNALHLLEVAGRTDIPVAEGSHVTLTKGTKLRIADFVHGADGLGNQNFPPPNGKPIEESAASFLVNQAKANPGKITVVALGPLTNIALAIQMDPEFAKNIGQIVLLGGSFAVNGNVNPAAEANIFGDPDAADVVFTSGADILAVGINVTHQVVLSGSDREKLASSKGKFAQYLTQILEVYFSYHHDAYNTKGVYLHDPTTLLAAVDPSLVTCTEGSVRVQTSGITRGLTVLYNKQKRFGETTEWCNMPTVKVAVTVDAPRVVKLVMDRLLV >RHN46344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30895119:30895580:-1 gene:gene40825 transcript:rna40825 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQVDKVTLRVLVDKEKNKVLFTEADKDFVDVLLSFLTLPLGTIAGIVDKESNIEAVRFGSISSLYQSVSVLDQQYLHSQICKEMLLNPINRSGAYCRNMKLNIDNTEPLKSFYLCENVACKIENRSCLSYYMNQKCICGKLLNREKNPDFE >RHN54583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10601593:10602589:1 gene:gene29648 transcript:rna29648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGSEVSACGDMYSFGILMLEMLTGRRPTDHAFEDGQNLHNFVAISFPANLKKILDPHLLSRDAEVEMEDGNLENLIPAAKECLVSLFRIGLMCSMESPKERLNIEDVCIELSIIRKAFLAGAQTCN >RHN59686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12645179:12646108:1 gene:gene21771 transcript:rna21771 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 5 MNKKQNSSRIKIFFILYFFCMEYIHQYSWIIPFIPLPVPIFIGVGLLLFPTATKKIRRMWAFPSILLLTIVMIFSIDLSIHQIENSSIYQYVWSWTINNDLSLEFGYLIDSLTSIMSILITTVGILVLIYSDSYMSHDQGYLRFFTYLSFFNTSMLGLVTSSNLIQVYIFWELVGMCSYLLIGFWFTRPIAANACQKAFVTNRVGDFGLLLGILGFYWITGSLEFRDLFQIFNNLIYKNEVNLFFVTLCALLLFCGSVAKSAQFPLHVWLPDAMEGPTPISALIHAATMVAAGIFLVARLLPLFIVIPP >RHN45906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26733679:26735028:1 gene:gene40333 transcript:rna40333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVCYSVNVVLCHVLLYDGIETLISKDGNFTFGFFSPKNSTNRYVGIWWKSQSTIIWVANRNQPLNDSNGIVTISEDGNLVVLNGQKRVIWSSNVSNIATNTSSCFSGFGNLVLLENTTGKNLWQSILQPSDTFLPGMKISNNKRTGKNEKLTSWKSPSDPSVGNFSASTVERLSILEVFIWNGTRPFWRSGPWNGRVFTGIQKMEFVYTNTFQGGDDGEGNNVIFYTQPNDTEIRIYHLNSKGIFEKMWWDDQNKEMKVRWKSQNAECDVYGLCGDFTSCNSLSSPVCSCLKGFEPRNTREWSRNNWTGGCVRQRPLLCKRVTNKTTSRKEDGFLKLQMVKVPDFAEGLAVTPDTCRILCLENCSCVAYSHHDVIGCMSWTGNLLDIEQFEIGGLDLYVRVSYLELGILLFVKAF >RHN49514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55308089:55312907:-1 gene:gene44354 transcript:rna44354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exosome complex component Rrp42, archaea, PNPase/RNase PH domain-containing protein MEYVSPEGLRSDGRRPMEMRQIRAEIGAVSKADGSAIFEMGNTKVIAAVYGPREVQNRSQQMSDKALVRCEYSMANFSTGDRMRKPKGDRRSTEISLVIRQTMEECILTHLMPRSQIDIYVQVLQADGGTRSACINAATLALADAGIPMLDLVTSCSAGYLNSTPLLDLNYVEDSAGGPDVTVGILPKLDKVTLLQMDSKLPIDILENVMQLATEGCKAIANYIREILLENTKQLEYRRGS >RHN67299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25790490:25791029:-1 gene:gene15479 transcript:rna15479 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGGFGYTNQRKMKETKSSPSMDESMNIITLKCHGERVSVVRVAGIESIFRREFFSCPFSKDHKYNLFFFFVWVDEAEALGLLNGTLDQDVYKAGLLRKKDNLKKRNACNYWQNCSDIWKLHLMEKIQILKSR >RHN69481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43747255:43754386:-1 gene:gene17959 transcript:rna17959 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(+) kinase MLACNSLCACHVAFSYNSTVLRLKKNPQLILQRTSHLVTAASSSLHFALDSQSFKSIESHDASRLPWIGPVPGDIAEVEAYCRIFRNSETLHYALMDALCNPFTGECSVSDDVPSHEKPVLEDKIVSVLGCMVALVKSGREDVLSGRFSFMSPSCASGVGMMEESLPPLAIFRGEMKRCCESLHVALENYLIPGDDRSLDVWRKLQRFKNVCYDSGFLHREEDPCPTLFANWSPVYLSSSKEDSESNESEVDFWTGGQVTEEGLKWLLDRGYKTIVDLREETVIDNFYQAALSDAISSGSIELVKIPVEVGSAPKMEQVERFASLVSDNSRRPIYLHSKEGVWRTSAMVSRWREYMAHSASPALQYVSNQAVISNDILSDYTNGSGNLQDSKPAERSSLEKDTALLKEGLGAIHSSVDSFDQCTSPNKNNEKTQRNGALSGIVSNDKTSSQDTADDGERYFPTFSSKTNPLDAQVPPQDAFSKKEMSRFLGSKKIARPSCSSNQVKRLECLQDSRSMLNRRLQGQVIIDSGHNPEPKIVGPAESSYGSAQIAVGNKWKLANMNTSSSVRTTVNAFSEREMYHMTDVNDIDNTTNSQRILTDDDKVREDLGFTAGDMCASSTGVVRLQSRKKAEMFLVRTDGFSCVREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKPGEHLMEEAKEVASFLYNQEKMNVFVEPDVHDIFARIPGFGFIQTFYTQDACDLHEKVDFVACLGGDGVILHASNLFIGAVPPVVSFNLGSLGFLTSHSFEDYKQDLQHVIHGNNKQDGVYITLRMRLRCEIFRKGKAMPGKVFDILNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIIATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSAQLELKIPQDARSNAWVSFDGKKRQQLSRGDSVRISMSQHPLPTVNKFDQTGDWFHSLIRCLNWNERLDQKAL >RHN70593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52585367:52589836:1 gene:gene19189 transcript:rna19189 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNDEWMRAAMKDDTVVVELLLRLKQPVETKSRGLSFSWGHKQRRSRSRTTASVSRCDRAVSTRCSPTTPLSWSGGASPSVTAEGYEDSSRYNHAARSKATATSGYTSNSASTKRCKRKKSFAELKEEETTLLKERIHLEREIATRNANFEVMRTTNESLKRMKLGFGSKSHSKPSCSTSVELRGTLAGQPHRRIVPSEVLTCATQDDTHSQASESRPNRIESTGESVFMIPDLNMMPSDDCMS >RHN43285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44630279:44632094:1 gene:gene49833 transcript:rna49833 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFVISSHCSVPFQPPSSHNNCRRTVTVASESQSRPCSLTQIVGNLCHNLVEN >RHN39195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4866831:4867277:1 gene:gene45199 transcript:rna45199 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHTTTPTTPIQQVPPPPNISKLKWNGRSGFAAGRNVFARVQGSHFPFPLTDLQQDIPIAE >RHN68569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36629978:36630511:1 gene:gene16937 transcript:rna16937 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKVQKLLPSFNNLIKEIIKLSNNIISTRSKNTKRASQIINSFSNSNFIFPFHH >RHN53010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41130759:41131710:-1 gene:gene37753 transcript:rna37753 gene_biotype:protein_coding transcript_biotype:protein_coding MEIETNQAMVVTKKVWNTLRIILFMFTKNIAKSKMVAQLNLLLKRSKLAAIKAIANTLALRHHSTASFVSPHDYEFSCSNSPTAIKFHSKNNNYHRGRHHNDVSTIQKVLEILNDIDVSSFSSPSPLVAFPGFGKSPIGKKIRVTDSPFPLKEEEGDDHSHVDVAAEEFIKRFYKNLKLQQKLVAIQSPYNNSHNR >RHN78213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12861093:12861533:1 gene:gene1747 transcript:rna1747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MCLQMIPRNFAERYWKDVSNPISLRLPNEFECKMFWVRRGYDIWLVNWKRFARSLRCGDLLVFQYKGGSDFHVIILDDSKLEIDYSSMRCNDEQDSNKHFKQEEECDDDCVEILSDIATPQGTNIDKNFFLTAAQQKNTGKYIYFI >RHN79580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30258510:30259363:-1 gene:gene3393 transcript:rna3393 gene_biotype:protein_coding transcript_biotype:protein_coding MARWSGTCWPIDVHWNRERVELGAGACGGSESGPVKACEYVSLDYVVVDGGECRCFSLL >RHN72737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10286416:10294910:1 gene:gene8489 transcript:rna8489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MYHPTNVPDSSLVGSNPLVHGQHIDSGRSAMDPGSGGNSLANNSNLNSKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDCSSDEGKKTDKKETGDMLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLSEAPGSGVSAPTPGDMFQQELDNKTEPATPDPEKAAKEHAPAKSLSAESFSSHHEPMTPDSGCQVGSPADSPNGERSTKKQRVSVEGAYLKSDMVLPHQILESSMPSYQQPNAIFLTQDHFDPSLGLSTRSGEELDKLGGRNM >RHN57004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35649135:35649440:-1 gene:gene32487 transcript:rna32487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribosylformylglycinamidine synthase MKEANGEKEMDVAFHPAGFEPWDFTMSYLLKEVISLQEFYGIVFVGGFCYAGQLDSAIAVVESNLKPWVQQPSFDTISEVAQSALSNNSYNIVKFEKTAIV >RHN75384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41788990:41791174:1 gene:gene11574 transcript:rna11574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylinositol N-acetylglucosaminyltransferase MESPRSVNSPRRTLSLSRKRRATVSFLDSDDKNNSASALSADHGPKPSEVYGFVGSITTVVATVIFLVWAYVPESWLHSIGISYYPSRYWALAVPTYLMVTIVLMLVFYIGLNFMSTPSPASINTVYDEFSRDPLTLDSSVEGDEKPIDPISDIGIDRINDAMFNNSA >RHN58863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5512240:5515709:1 gene:gene20817 transcript:rna20817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MNPSETMKLLRKKLSLPIIITTICILLFSIVLFAERLSFISSTSIFKFKTCPRKHIKSKSNDRKIEDVVLNASWIDDRFDFDPEECNVANGKWIFNSSIKPLYSDKSCPYIDKQFSCVKNGRNDSDYLHWEWQPEDCTLPQFNPEIALKKLEGKRLLFVGDSLQRNQWESFVCLVQGIIPEKEKSMKRGRVRSVFKAKEYNATIEFYWAPFLVESNTDIHIIGDPKKRIIKVDEITERAKNWTGADILVFNTYVWWMSGLRVKALWGSFSNGEEGYEELDTSIAYNLGLRTWANWVDSTINPNKTRVFFTTMSPAHTKSADWGNKNGTKCFNETRPVKKKNHWGSGSNKGMMSVVAKVIKKMKVPVTVINITQISEYRIDGHSSVYTETGGKLLNEEERTNPLNADCIHWCLPGVPDTWNQIFFAML >RHN53489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2019975:2020769:1 gene:gene28399 transcript:rna28399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MFKFLCGCPNLEYLDARHLTIVSSDIPPRAGEIAEALPKLVRAKINHSSILCPVLGNARFLLELKGLCLHSHVSQSLPVSTLTAMSCGTGLHKCSTIVPSFKILPSARFEFHFNNTLIYFKPYSGIFFLTKTIAYYKQEHTYTHAIGKCHWKDQQTVVPECLSSQIRTFKFKSYNGFGCEVQFAKYIMQNSKVLQNMTMHTKAVDKHQMLETFSLCPRGSANCILHFDTTPS >RHN49171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53015666:53017251:-1 gene:gene43973 transcript:rna43973 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLKRVRGGNKSGALHIHLKMTCSSLSLYKPYLSIDSYINTHLSHQTSGITLTTLNVINKSIFPKKKNQLQSLCNF >RHN52596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36987195:36988268:-1 gene:gene37290 transcript:rna37290 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHNTQSETDMRAFYASLKPSDTTSQSHAVTLKSSENTKKSLHILQDLFSKDFSLLIHPGRSIQMKESLRYLLNLPQNEGFCLTTKSEIRKLLQCFERWSLEYHNASGLSAAAETELSKASEVMNDLDTNVQEFRNIEKEETCLSNKLVCLQEEKRMLEEKIKTLDADIKVSTKRRDMFCKRKMELYQKGREVKAKRDDLMINVPRLKTEQDLAGKTRDNIEAEWSKLREQFIRSTGIKELI >RHN72949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12078779:12079240:1 gene:gene8717 transcript:rna8717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKNVAELVYMRNNIGLLGLFNRANPVSIRSIAPYYDSVIVASKGNKMTWVKIPNILVIIDLSRNKFEGEIPNVIDELQALIGLNLSHNRLIGPIPKSMGNLTNLEWLDLSSNMLTDVIPAKLTNLGFLAVLDFSNNHLVGEIPRGKQFRDIFK >RHN69955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47550437:47551856:1 gene:gene18488 transcript:rna18488 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSHSLLLKTTITFLLFSLVSKTMSYSTFQTHTPENTDEGSKEFSFWTSPNTIIKSLEKCDGHDIQLRSNETQELNNHHQCSIVSEPSRSKDILEGKKELMEMIQDMPESSYELSFQDMVVEQHQVPETETEPVYSKFQQPQQKKLNKNKKKNKKENKSNRHGKMLRVESMDSETFLLKMFFPISFDWMKKTTKVQNGSEVEQKDKEWRIKRFFSEDRQNTSSSSTSRSNSNDKSRYVDRSFSLSEGCFPFLYDIKSKVKKLGGGWIA >RHN61667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37294968:37295635:-1 gene:gene24155 transcript:rna24155 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFQSKLNSLSLYNNLVNSCFDPLQFVISPNICAGIETVQDWNEKVGQNSPLNTACKPDLTDLSQCDVCVAAGLEVKDKLVSISGNSSQSLSCFYFVILYATGIVNEFGPESNGGVSCAFSLPVSQVGSGSVNIILRKHIIFRTGDVEFELDCSYF >RHN73442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16464653:16473205:1 gene:gene9256 transcript:rna9256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAMQINIGASSSSTLEVASNSFDVFISFRGDDTRRKFTSHLNEALKKSGLKTFIDDNELKKGDEISSALIKAIEESCASIVILSENYASSKWCLNELVKILECKKDNGQIVIPIFYEIDPSHVRYQIGSYGQAFAKYEKNLRHKKDNLQKWKDALTEVSKLSGWDSKNSRIESDFIKDIVKDVLEKLNHGRPFEANKELVGIEEKYEEIELLTNNGSNDVRTLGLWGMGGIGKTALAKSLYGNYCSQFEYHCFLENVREESTRCGLNVVRKKLFSTLLKLGLDAPYFETPTFKKRLERAKCLIVLDDVATLEQAENLKIGLGLGSRVIVTTRDRKICHQFEGFVVYEVKELNEDESLQLFCCNAFQEKHAKEGYEELSKSAIGYCRGNPLALKVLGANFRAKSKEACESELEKIKEIPYAGIHDVLKLSFYDLDRTQRDIFLDIACFFYPKINHFYCYGRREYIIDLFNACKFYPATSIEVLLHKSLMTFGYRDQIEMHDLVVEMGREIVKQEAPKDPGKRSRLWDPELIYEVFKYNKGTDAVEVILFDTSKIGDVYLSSRSFESMINLRLLHIANECNNVHLQEGLEWLSDKLRYLHWESFPLESLPSTFCAQNLVQLSMTHSKLRKLWDRIQKLDNLTIIKLDNSEDLIEIPDLSRAPNLKILSLAYCVSLHQLHPSIFSAPKLRELCLKGCKKIESLVTDIHSKSLQRLDLTDCSSLVQFCVTSEEMKWLSLRGTTIHEFSSLMLRNSKLDYLDLGDCKKLNFVGKKLSNDRGLESLSILNLSGCTQINTLSMSFILDSARFLKYLNLRNCCNLETLPDNIQNCLMLRSLHLDGCINLNSLPKLPASLEELSAINCTYLDTNSIQREMLENMLYRLRTGNHFGSPFISPEGFFNLLLPVAEVPCGFDFFTTEASIIIPPISKYEFYHIVLCVFLSEGLNLTSSGVNCTIYNHGDRSGGWNISFEHVSGAMISDHVMLFSSSGGIYHQTRADNDHYRLSFEVELYGKDWEQLSSTKGIKGCGVILVSSLEHYCLRLDGSSSRSKVEIVELPFNAQVSDEFDQHSNIDDDENEDAQQQLLITPKENEEDLNYKSSCDCSIGLLLQQLLEVSKRLFLLCVKLNDKTKS >RHN55551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19469307:19469878:1 gene:gene30752 transcript:rna30752 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCKRNKEARKKQIFNHTCGTMTFARKRHILVCLFITICS >RHN63382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50819113:50824192:-1 gene:gene26076 transcript:rna26076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative argininosuccinate synthase MAQLKAFPSHPCATPTPSLHATENILFNRVWMANPCSFKKLKPRAGVAAGRLQVVKAVSHSDTDVEVSEAKKGSGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCDVVCFTADVGQGIKELDGLEAKAKASGASQLVVKDLKEEFVKDYVFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAKEVGADAVSHGCTGKGNDQVRFELTFFALNPKLNIVAPWREWDITGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKKDMYMMSVDPEDAPDQAEYLEIGIESGLPVSLNGKTLSPATLLAELNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTILFKAARELESLTLDRETIQVKDTLALKYAELVYAGRWFDPLRESMDAFMQKITATTTGSVTLKLYKGSVTVAGMKSPFSLYRQDISSFEGSEIYDQADAAGFIRLYGLPLKVRAMLEQGL >RHN45805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25788905:25790071:-1 gene:gene40213 transcript:rna40213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MMDSPPPKSLHSNSCSPTSVLFDELITEILSWLPVKTLMQFKCVCKSWTTLISHDPSFTKLHLYRSRRNTHLALFSDQLISDIRVQIIPVSRLLENMLRNIAIPNDPYYSISNTDCFFVVGSCNGLLFLQGNSLPIEPHNVWLRFWNPATKTLSEKIGYSTKFFKLTFGYDISNDTYKVVSYNANEVKVFSLSDNVWRDIPSLPIVPHQSMRDGVYVSGSINWLAIQNITKYKWNDIRIEQFVIISLDLGTETYQQFLPPRGFVEVPPAEPSVTVLMDCLCFSHNLKRTHFVLWQMMEFGVEESWTPFLKISFQDLHIDYNDQLFVLPLCVSKISDTIIMVSNQDPYDNQHLFVYNWRDKRVEHIKSVNNRIWWFFARNYVESLVSTS >RHN46239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29871605:29872868:1 gene:gene40697 transcript:rna40697 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTINNLLQHTYINKLSCWSEVTHEKSKMNVQHTSQSRAERVSIRKVQNKFLYNSFGKFNQHDVVPTC >RHN60506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27435588:27438455:1 gene:gene22827 transcript:rna22827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronate decarboxylase MDRVNLDGKPIVPISICLIGGGGFIGSHLTEKLMSETSHKAIVIDVSSEKVNHLLDKSHPWANRIEFHQMNIKNDSRLETLVKASDLTINLAAICTPADYNTRPLDTIYSNFIDAIPVIKFCTENNKRLIHFSTCEVFGKTIGSFLPEEYRKEPQYYKLKEDVSPCIFGPVHKQRWSYACAKQMTDRLIYAEHAENGLKFTIVRPYNWIGPRMDFIPGVDGPSDGVPRVLACFSNNLLRGEPLKLVDGGHSQRTFLYIKDAIEAVMLMIDNPDRANGHIFNVGNPDNEVSVKQLAELMIKVYAKVAGVPESSLSTLDVSSEVFYGKGYDDSDRRIPDMTIITKQLGWKPKTSLDDLLDSTLQYQHQTYSHAIKKELSKPST >RHN73218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14486555:14488347:-1 gene:gene9011 transcript:rna9011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MKFKNISYGYTAIKPYIYGTKIHCYRRNSSETEIHHQSPPTQSISTEKVFIPSTPSPGKFSNPTQVFLFLHSSFSIFTMLAAHRYTPFLYLKALTFLPTPKPYPLFHRYQLPTFSLPFCTNTSDSTSFAVSYLINNFGFSPQFASKLCSTYKVCFKTNQKPDSVVNFFRNHGFSDTQLCHIIIKEPRLLSCKPCKTLLPKFQFCLSKGASTSDIIKIVCKSPHFLYSSLENQIVPTYELVYRFLQSDNDIIACSIQNPALLSHRLVPHNIQFLIDNGVTHSNIAKLLRYWSPTFQTHHMLKLVEELKVLGFNPSKVTFGVALRAKTSVSKTLWKEKVDAFKKWGWSDEDIMKAFKKRPDSMLTSIDKVNLVMGFWVNQLGWDAMAIAKTPLILSLSLEKTIIPRALVVQYLLSKGLRNKSASLTYPFVVTEKRFLDRFIKHFENEASNMLKLYEDKLNLAYTRDKTCMS >RHN78957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20270447:20271143:-1 gene:gene2626 transcript:rna2626 gene_biotype:protein_coding transcript_biotype:protein_coding MIFYILVIWLFVYLYMVVCLCNNLGDKILAIVPAHVVDLFINVLAEDYVYMFAFFHVLCDTARLKAAYNDHRMVIHPQTVVRKTYDFLVHGNGLTPLSSHILARPSLGVGHLIDVIGLLTAISYHNVEDVSYVFFFKNLLFFGDVFHWLMCGHFVDVIGLLTAISYHNAEDSTGTMTTVLKFELTDLMCVSL >RHN71541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:876133:879439:-1 gene:gene7153 transcript:rna7153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MRTIEPTEIESLPDVILQYILSHVSNGRDVAYCNCVSKRWKNSMACIRSLYFTRNAFDNAPHRENSDIIVKRMVSAVERLEELVVYCPFSVYGLASWLSLAGPSLSHLELRMDNLGDNEIIHESPSKLDCIGAAVNVETLKLWGVLIKLIPKWETFHNLRILEVVGARVEDAAVNAMIQACPNLTRLLLLGCEGVRSISITLPFLEQCKLDFYGLGNCSLSLTSPKIESLEVQGCSWIRVPETKHLKNLSISNSAGRVYMIDFGNLASLEFLSMRGIQWCWDAICKMLKLASDVKHLYMKVEFTGDYDALQPFPEIDFVDFFNSHPKLRKFDIHGAMFAALCQKNSLKHVDSEFVIPCLEEVFITVRSPLNAEQKMSTLESLVKYGKNLRTMVIKILQMKGSHNSADDFFDEICRFRYMHRGIIRIE >RHN59624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12546234:12546983:1 gene:gene21682 transcript:rna21682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A2 MLAFVTPEKQILIEPIFAQWIQSAHGKTSYGFDVLLSSTNSPALSAARSIWLPGWLNAINENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGLGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLI >RHN56807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33875891:33876271:1 gene:gene32261 transcript:rna32261 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHYSDLLSVFYLSDKFVGFYYLLVVTLHLLITLVCTCVHCPPTVSCNLTPRFLHGSVFRIVSTIRRYTSTRKGYVSSLLSHRYLNQ >RHN72856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11267480:11269139:-1 gene:gene8618 transcript:rna8618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MNYLDPTNKLLIFIGCVVSCADILALGANISSVLAHGPDWEVPLGRRDSLNANQTLANLNLPRPQLNLTQLIFSFSKQGLNITDLVALSGAHTIGRGQCGFFVDRLYDLNNTKNPDPTLNTTYLQTLRTRCPNGVPMVEQDVTSYYSNLRIQKGLFQSDQELFSTPGADTIAIVNSFSSNQTLFFEAFKASMIKMGNIGVLTGTQGEVRTHCNFVNTVSLATKVTKDSAEDGIVSSYQVI >RHN65886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6223435:6227115:-1 gene:gene13779 transcript:rna13779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain, hAT-like transposase, RNase-H MAEVFAIRKGINKHMSSTNKYTMETANKMKIKFNKYWGDPDTLNILLLISFVLDPRAKLHFAEFYIDLLYASTGKAKHLKDKLMSDFRKIYVQYGGTDYSQSSLLETRHEKDDDDIWCHYNQETGHVSDSKDEIEEYLKEA >RHN63717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53474229:53479102:1 gene:gene26453 transcript:rna26453 gene_biotype:protein_coding transcript_biotype:protein_coding METLNSEPTSPLRIIDSKLQISSPLPSSMLRLWRQAAQRNLRNQWSQLAPLKDKWSSISSSARSYATALVNSHLFQRYMPNMKLGVLSDMPDIRKRACFKLFKQQELQRSQLLQSYKDMVGVVSKMVNVSRSMKCYSRGSNNSPLLQFSNYPKDQSNSGDGGGIPVFAFLSISSHEQLVEELVQMFRFELCLKRLLVLQFISIGYDASQVNKLHWSAQLYADEFKDLSDCNLFCEVTCVPVPPRLRDGKSDMGALRFDNQPNPEVLQVYLTSWLAEVNINTLRVNEIFAVVGEEMHVSIG >RHN72451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7771432:7772746:-1 gene:gene8163 transcript:rna8163 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTTRLVGSFYKIDFPETESFSNSVCHISFNSISTCNTNNCFSAFSLLCLFQT >RHN48165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45527579:45530918:1 gene:gene42852 transcript:rna42852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MAGEWFCKNEEDKAMLESVLGNEAFAFFSSAVSKHVFSDVIVPPNLDVTIHQRLCHIVKGSKWNYAILWQVAGLKSGGYVLKYGEGHCQDPKGGPRNEQEREKDDVRRKVLGRIHGCWGGSSSVENIYKKLDSVSDLYMLYLTSVYYVFGFNSQYGPGNSFKCAKPSWSSDAGSCLNQYESRSFLAKSAGFQSVAFVPLKAGVIELGSTEMVPEEQGFLDMVKATFGESISGQAKVAPKIFGHELSLGDTKSQSITISFSPKVEDDSGFTSDSYEVQALGANHAYGNSSNGGVGDSNEAKKYPQLGQMIPGNFTSQARVSSIDLGNEDSSSPLGEERKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITHITDLQKKIKLMETEKNMADNKGQQLPLQDIDFQARQDDAVVRVSCPMDIHPVSGIVKVFREHQIVAQEANVSTSQDKVIHTFSIRTQGGEASAIQLKEKLEASLAKN >RHN70776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53929991:53934394:-1 gene:gene19393 transcript:rna19393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H2, subunit B MSWWEGVQESRVLVAPDLGANGNALGRMILLRNPKSGNATQYLFVNGVLQEFQWFKNLYGSWFLGDYTSEDGRMYLSTPVDPVFIMLPLFEKARMKKGDDLGKFRQLDEILFIDGYPGYQQLMSLVENCMQVVCEVKEVGSLKFFRLDDVKVLRWLCYKVCQLKQTLPKLDKNYAAQSEKDTVVDAVSILAEYLNEEHWLQPLCNHLKLNILEVTGKAQANAEESNLGLYNNAPQEQSDDKKPTIVKKGRQAKKMKVETESHNIKDMFTRASRRRN >RHN56217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28902928:28904040:-1 gene:gene31584 transcript:rna31584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-galactosidase MYGLEDHQKKPGNHDFNLLKKLVLPDGSTLRAKLLGRPTKDCLFSDPARDGKSLLKIWNMNDYSGVVGVFNCQGAGWCKVGKKNLIHDENPGTVTDIIRAKDIDHLSTVADDKWTGDAIIFSHLCGEVVYLPKDVSIPITMKSGEYEVFTFYDSNYQMVPNVLLLV >RHN51220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15159750:15161566:1 gene:gene35617 transcript:rna35617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MSTLSNSNPETKQRNLADYHPNIWGEYFIQYASESMELDQNIVTQIDTLKSHVRNMLVAKSEKPFEKVKLIDSICRLGLSYHFEKEIDEVLQHIHKSYVENGEIILEDNLFSLAVLFRVLRQHGFYVSPNVFTKFKDEQGNFNETLIMDVEGMLSLYEASHLIVHGEDILEEALAFTSTHLEFIATESSHSLAAQVKYALRQALHKSLPRLEARRYISIYEQDPSHDEILLTFSKLDFNLLQSLHQKEFGNISKWWKELDFSSKLPYARDRIVECCFWTLTVYFEPQYSRARKMLPKINVMLSLIDDTYDSYGTIDELERFTEAIERWDVIVSDDLPDYMKLLYKSFWNVYEEIEQAMIEEGREYILNYYKKEV >RHN53908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5242037:5244765:-1 gene:gene28873 transcript:rna28873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MPMYQPSKRDMVVGYEGGGDGQILDLDTAVKDGVLGGVDCGGIVGTGVVGEKLDLRKMIQELELCEVPSVFICPISLEPMQDPVTLCTGQTYERNNILKWFNMGHFTCPTTMQELWDDSITPNTTLYRLIYTWFSQKYLLMKKRSEDVQGRASELVETLKKVKGQARVHALKELHQVVSVHATARKSVIDGGGVSVLSSLLGPFTSHAVGSEVIGILVSLTLDSESKKNLMQPAKISLMVDILNEGSIETKINCTRLIETLIEEKDFRSEIISSHSLLVGLMRLVKDKRHSNGICPGLSLLRTVCFYKEVKILLVSIGAVSQLVELLSGMDHDCLELALCVLDSLSSIPEGRVALKECVNTIPIMVRLLMRISESCTQYALSILWSVCKLAPEECSSIAVDAGLAAKLLLVIQSGCNPILKQQSAELLKLCSLNYSDTIFISKCKLTRTIQ >RHN61535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36219874:36231930:-1 gene:gene24008 transcript:rna24008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RING/FYVE/PHD-type, isopenicillin N synthase MVVIMQEQHQQQQQQQQNPNPSALLPQLTTNQNSSSIATSLRSPTSTTATAAAQSQLYSPLHITSHRQPLLPAPVAAVLPSGEPVSTAPVNFQLARVRLSDIAPYDGAPAGPYARAVEMLCSSLLKYNAAVIELGSEDTALMRCGLEGARLFFRSRAHLGVGKGSRGVYMYRAGRALEDCDSSPPCMADIFRCMGKASRAALSAIARHLRLRSDVFNQLLDDAPLPANEVSASVLVGTYSHASLQNGKGAIGGGKPTVNGEVEKGLLTLISSDTPGLQVCDPNGRWYLADSGSGPGDLLLITGQALSHATAGLHPAASYRASPDCFMSPNSGGRTSLAYRLMPQGNAILDCSPIAAAGHVIPQRYVPISVSQFMDDLAAEELIGSRCDNDDVAQRNVNKDPSLRSVLSDPLSGSFLEDAMLVSCGHSFGGLTLRRVIETSRCTLCNVDIDPSSLIPNHALRAAAAAVKHEDDRRLFRNAALRKRRKEMGEPMDPIRRVNRENGDFTSPDGLQRGVQYPFSVNEKVIIKGNRRTPEKFVGKEAVITSQCLNGWYLLKIIGSGENVRLQYRSLRKLLNSPTMEDQVQLQPVQNSSS >RHN79697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31337383:31342839:1 gene:gene3522 transcript:rna3522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamine synthetase MSLTKMPRKVSDRCYLVTRKPVRIANKNLIDYIFLQSLDVAQSYDLPMQIHTGFGDKDLDMRLANPLHLRSVFEDKRYSKSRIVLLHASYPFSREASYLASVYPQVYLDFGLAIPKLSVHGMISSLKELLELAPINKVMFSTDGCIFPETFYLGAKKSREVVFSVLRDSCLDGDLTVPEAVEAAKGILARNSIHFYKINLANSVISSDNNLQLNVIDDDLETDVSFIRIMWVDNSGQHRCRAVPRKRFNDFVSKNGVGLAFATMGMTSFLDGPAAGSGLGAVGETRLTPDLSTKRRIPWSKEDEMVLGDLNVKPGQAWEYCPRDALRRVSKILKDEFNLVMNAGFENEFFLLKSITREGKEEWIPFDSSPYCSSSAFDAATPVLREVASALHSMGIPVEQLHAEAGKGQFELVLGHTICTKSADNLVYTRETVRAIARKHGLLATFIPKYKLDDLGSGCHVHLSLWQNGKNVFMASDGSSKYGISTLGKEFMAGVLYHLPSILPFVAPLPSSYDRLQPNTWSGAYLFWGNENKEAPLRATSPPGTPGGLTSNFEVKSFDGSANPYLGLAAIISAGIDGLRRHLSLPEPVDTDPNPENLQRLPISLSESLEALHKADFLEEFIGDKLLTCIKAIRKAEIDHYSENKEAYKQLIHRY >RHN71933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3688050:3695185:1 gene:gene7587 transcript:rna7587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MHMRQRPTAMRGGPVNQQRPPPPPLNSVFNIIPVHDLLIDHPSLRYPEVRAAAAALRTVGDLPKHRFMAWQPDMDLLDWLRLLFGFQIDNARNQREHLVLHLSNAQMRLEPPPAIPDALDAGVLQRFRRKLLHNYTSWCSYLGLKSSVNTRRRDPTDLRRELLYVSLYLLIWGEAGNLRFVPECLCYIYHFMAKELNMVLDGFIDPDTGSPFLPTVSGEYGFLKSVVMPIYNTIKIEVDSSRNGKAPHSAWRNYDDINEYFWSRRCLKKLRWPLNFESSFFGTTPKDKRVGKTGYVEQRSFWNIYKSFDRLWVMLILFMQGAIIVAWEGTTYPWQALERKDVQVKMFTLFITWGGLRVLQSVLDAGTQYSLVTRETAWRGVRMVAKGLAAITWTVLFGVFYGLIWIEKGSKRNWSDAANQRIYTFLKIVFCFLLPEMLACVLFVLPCIRNFIEESDWRIVYWLTWWFHTRIFVGRGVRQGLMDNVKYSFFWIGVLAAKFSFSYFLQFKPLVAPTKALLKLRGIGYRWHEFFNNTNRVAVVLLWLPVVLVYFMDLQIWYSIFSSFIGGTIGLFSHLGEIRNISQLRLRFQHFASAMQFNLMPEEKLLSQQATMLRKVRDAIHRLKLRYGLGQPFTKIESSQVDATRFALIWNEIIITFREEDIISDRELELLELPPNCWDIRVIRWPCFLLSNELLRALSQAKELENEPDRSLWLKMCKNEYRRCAVIEAYDSIKYLFCMILKVDKVEFSIVTNIFRDIDYYIQVGKLTEAYKMSLLPELHAKVTELVKISIQPDKDLNKAVNLLQALYELCIRRFSKVKKTAAQLIEEGLALQGPTTEGGLLFENAIEFPDAGDEVFTRQLRRLSTILTSRDAMHNVPLNLEARRRIAFFSNSLFMNIPRAPYVEKMMAFSVLTPYYDEEVLYSKESLRKENEDGITTLFYLQKIYEDEWNNFMERMHREGLKDEDDIWTTKSLDLRLWVSYRGQTLSRTVRGMMYYYSALKMLAFLDSASEMDVRQGSEHITSYGSTNANNRLNTLRSDVHPSLRKLRRADSSVTLLFKGDEYGSAMMKFSYVVACQMYGRHKAEKNPRADDILYLMKNNEALRVAYVDEVSLGREETEFYSVLVKFDQQLQSEVEIFRVRLPGPLKLGEGKPENQNHAMIFTRGDAIQTIDMNQDNYFEEALKMRNLLEEFNVYHGIKKPTILGVRENIFTGSVSSLAWFMSSQETSFVTLGQRFLANPLKVRMHYGHPDVFDRFWFLCRGGVSKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSVFYTTIGFYFNSMVVVMTVYAFLWGRLYMALSGIEKEAQNNASNNKALGAIVNQQFIIQLGIFTALPMVVENTLEHGFLPAVWDFLTMQLQLGSLFFTFSLGTRTHFFGRTILHGGAKYRATGRGFVVEHKSFAENYRLYARSHFVKAIELGIILIVYASHSPLPKATFVYIAMTLSNWFLVVSWIMSPFVFNPSGFDWLKTVYDFEDFMNWIWYPGGPFKKAEYSWETWWYEEQDHLKTTGIWGKLLEIILDLRFFFFQYGIVYQLGIANHNTSIAVYLLSWIFMVAVVAIYISIAYARDKYGTNEHIYYRLVQLLVIMVTVLVIVLLLEFTRFSFVDLLTSSLAFIPTGWGMILIAQVLRPFLQSTVVWDTVVSLARLYDLLFGIIVMAPMAVFSWLPGFQSMQTRILFNEAFSRGLQISRIVSGKKSA >RHN47675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41447182:41452033:-1 gene:gene42300 transcript:rna42300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 98A2 MALFLTIPLSFIAIFLFYTLFQRLRFKLPPGPRPWPVVGNLYDIKPVRFRCFAEWAQSYGPIISVWFGSTLNVIVSNSKLAKEVLKENDQQLADRHRSRSAAKFSRDGQDLIWADYGPHYVKVRKVCTLELFSPKRIEALRPIREDEVTAMVESIFNDSTNSENLGKGILMRKYIGAVAFNNITRLAFGKRFVNSEGVMDEQGVEFKAIVANGLKLGASLAMAEHIPWLRWMFPLEEEAFAKHGARRDRLTRAIMEEHTQARQKSGGAKQHFVDALLTLQEKYDLSEDTIIGLLWDMITAGMDTTAISVEWAMAELIKNPRVQQKAQEELDKVIGFERVMTETDFSSLPYLQCVAKEALRLHPPTPLMLPHRANTNVKIGGYDIPKGSNVHVNVWAVARDPAVWKDATEFRPERFLEEDVDMKGHDFRLLPFGAGRRVCPGAQLGINMVTSMLGHLLHHFCWAPPEGVNPAEIDMAENPGMVTYMRTPLQVVASPRLPSELYKRVTADI >RHN79505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29682003:29685069:1 gene:gene3304 transcript:rna3304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MNRDLAYALSFLFVLLSSLFYFNLPNFDPKTLFRFDFLSHTALNNSQKSLPIEACDFSKGQWVWDETYYSHKLYDENCPFLDPGFRCRQNGRNDEGYRQWRWQPNDCNLPRFNASDLLERSRNGRIVFAGDSVGRNQWESLLCMLSKGVSNLSKIYEVNGNPISKHKGYLVMKFEEYNMTVEYYRAPFLSIIGHPPPNSSTDIKMTIRLDELHWYSNNWKGANVLVFNNGHWWNLDKTIKSNGTWNEGGNCDKDKEPENDPAKLEADPYYNVFISDVVKEMQYGSWKVNFLNITYLSELRKDGHPSKYREPGTPPDAPQDCSHWCLPGVPDTWNELIYAQLLSKKHGFNKSFSESEERS >RHN82059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50970100:50973087:1 gene:gene6176 transcript:rna6176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase, 2-hydroxyisoflavanone dehydratase MATTDVPKHIISEIPTYITVYSDGTVDRPRQPPTVPPNPNHPNSPSKDIIISQNPNISARIYLPKNPTTKLPILVFFHGGGFFFESAFSKVHHEHFNIFIPLANSIVVSVEYRLAPEHPLPACYNDCWNSLQWVASNSAKNPVNPEPWLINHGDFNRVFIGGASAGGNIVHNIAMRAGSEALPNDVKLLGAILQHPLFYSSYPVGLENVKLKDFYSYLWNFVYPSAPGGIDNPMVNPVGIGAPSLDGLGCDRMIVCVAGKDKLRERGVWYYELIKKSGWKGKLELFEEEDEDHVYHIFHPESESGQKLIKHLASFLHEYSLSNSSNFRPFLLPPLCSSSQDVEGDSALRRIR >RHN80872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41470863:41471712:1 gene:gene4848 transcript:rna4848 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTIYDTTLHPSNIIHNQVSNSSFDTKKEKMNLLTDEIRGKSEVYNGDEICQAKSKELLLEINLPNGLLPLKDIEECGYHRESGFVWLKQKASYTHKFEKVDRLVTYGTEVTATVEVGKIKKLTGVKVKELLVWLPLHEIQLDDPPTGKITFRAITGLFRTFPASAFEIEEEQVKDVKEENKDQVKEAEAPAATAAAVEVKEV >RHN60148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21758193:21758686:-1 gene:gene22386 transcript:rna22386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MLGTRGTIGYISPEVFSRAFGAVSSKSDVYSYGMLILEMTGGKKNYDTGGSLAAETYFSDWIFKDLEQGNSLLNSMAISEEENDMLKKITMVGLWCIQTNPSDRPSMSKVIEMLQGPLHSIQYPPKPILFSPKVQSLSL >RHN77060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3630551:3631222:1 gene:gene470 transcript:rna470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MNTHMTCSNPTSSINVTTNDHNTPCSNNKPLDKMYKGVRKRKWGKWVSEIRLPNSRERIWLGSYDTQEKAARAFDAALYCLRGPHASFNFPNTPLTINLVFHHSVSHNSLSPQEIQEIAAKFANELPIELTQEEDQQVPSESQNDANSNSYSYPLDNDIGDLRRMDWRFEDMFDDMNRVANCSNFYGLQNMQYSTQLFEEDNVDQIECEDTFSNHSILWNWNF >RHN54080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6785627:6789481:1 gene:gene29062 transcript:rna29062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLKKAFETPRFFGFRRNHNNSLTTSSVSSDQRGIRFCIWVALKFENLSYEPYCLVNRIIFHKNWYSIYWEALELLKKNGVLVTSDSVRALVRSYSHMGYTEKAIESFSRMREFGIEPDAHMYNTILRDVLNEKLLELALALYTTMLKSNVEPNFYTYNMLIDGFCKRGEVKGAQEMLDEMKRVGIVPCVLSTTSILYGCCQANNVDEAHKLFNDMKETSYPPDMISCNVVLNGFCKMGRLEEALSFVWMIKNDGFSLNRNSYASLINAFFKARRYREAHACYTKMFKEGIVPDVVLYAIMIRGLSKEGRVGEAAKMLEEMTQIGLTPDSYCYNAVIQGLCDVDLLNRAQSLSLEISEHNVCTHTILICEMCKRGMVAEAQELFNQMEKLGCEPSVVTFNTLINGLCKAKNLEKAKNLFCKLEVGRRHSLHLSLSQGSGQVSDSARLLKKAKEMCEAGQILRAYKLITDLAGEVKPDIITYNILLNALCMDREVNAAYNFFEFLQKKGYPSPDNVTYGTIIKGLFMVDREDEAFKVFQRMQKTGSEPTLSVYRTLMTCLCRKSKVSRAFTLYLEHLKSLPSRDNDSISTLEKYLFGEKLEQVIRGLLELDFKARDFKLAPYTILLIGFCQAGKVSEALIILSVLDEFNIKINATSCVHLIRGLCKEQRLHDAVKIFLYSLEKGFMLKPMICNHLLTCLLYSRDYKECAVDLIDRMESFGYRLNSEEFATTLTLLHHYQKGRKRKITIRKD >RHN39498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7594801:7598438:1 gene:gene45525 transcript:rna45525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Lung seven transmembrane receptor MSHLTVLTILLLTLSLQTEASVHDYRSETFSPKGNAFVVHGGSEGIYSSTVNETSFSPSIPDSFIRFDKVTFRRNKELSNFSSWPIQAVVFEVEDRETIGGSAYGGQRAVCCTGDLAKLGVCNEGQVIYRPSTVNSDWPQVFGVAFNIDDEEAELPLKSIQITKTGMYNLYFIHCDPRLKDLVVEGKTVWKNPSGYLPGRMAPMKIFFQFMSFAYVLLGIFWFFQYVRFWKEVFPLQNCITLVITLGMFEMAFWYFDYAEFSETGIRPTGTTVWAVTFGTIKRTVARLIILIVSMGYGVVRPTLGGLTSKVIMLGGTFFVASEVLELVEHVGAISDLSGKAKLFLVLPAAVLDVFFILWIFTSLSATLNKLQARRMMIKLDMYRKFTNALAVAVVVSVGWICYELYFKANDVYNEQWQNAWIIPAFWQVLSYSLLCVICVVWAPSQNSTRYAYRDDGSEEFDRDDTTLTLIKPSILPKDVSVPDARPVQGNNGNSNDDLEEDKRE >RHN70857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54531963:54535360:1 gene:gene19486 transcript:rna19486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosylhomocysteine nucleosidase MAATHGKIILFMFLAAFMLFNTQNAFVSCALTSELQNKIAKINEEGPYLGLIIPNSFELNPLLQNPGYTPSDSIIDFAGRRFRFGSIGEKPVILVMTGLSVINAAITTQLLLSFFKVDGVVHYGIAGNANPSLHIGDVAIPHYWAHLALWSWQRYGQDADDTLPLEINGDYTRDVGFLKFSDFTSNISAADSVTVDNHLNNLWYQPEEIFPVDGIPEQRQHALWVPVDSEYYRIAKKLEQMKLDACIDSDTCLTTTPKVVLVERGTSAGFYLDNAAYRTFIFNKFNVSPVDMESASVALICLQQRIPFIAIRALSDLAGGGTAESNEADTFSPLAATNSVAVVIEFVKLLSSHHSKW >RHN41890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33908877:33920318:-1 gene:gene48254 transcript:rna48254 gene_biotype:protein_coding transcript_biotype:protein_coding MCCKTEYHLLPAPLSVETKFMAVNGLASWPCNASLEACKNLMVRDSISR >RHN53898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5160312:5161242:-1 gene:gene28861 transcript:rna28861 gene_biotype:protein_coding transcript_biotype:protein_coding MESDILVVTLAFCLIILFVWKFHGKTYEFQLICYLYTRDIYRYLILPFEVA >RHN52323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33909650:33913266:-1 gene:gene36986 transcript:rna36986 gene_biotype:protein_coding transcript_biotype:protein_coding MANESSLDHLDLVNHKSDGNLLDAKSQNNKCEGVQNFNGDGNEDGNEKFSTGVEDDLATSSVETSENEFHSRKRKRESLSGMINWTKNIAIHPFDPEEYKGGQDFLDQMLWARDVLSVRKHAEPDSGSSSKKVKKMHPSMYEDPVVKLRYSQRQPVPSKPRCSCCISLSVAGNKLHGFITEKKKSTAKAVVNKKKKSKPSVGHRFQAELPQCTGVVYESDSKCLGTQVWPVNEDSKPTTETDLVGRERRGMCSCKVQGSADCVRFHIGANRTELKLELGSAFYHMGFDKMGEEVKFGSFGDGFGRKAIKHPSTDFMECSENTQCFDFE >RHN41155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27640352:27641401:-1 gene:gene47445 transcript:rna47445 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKVIQTLPRRLDSSVEWEGAQVKLKTRYGNFLRGNGGLLPWRNSVRLRFIIEVLLRIGFFGMLMFLRFMLEILLLLLQFLILILLILDLLLLLLFPSNLIGFLDKYV >RHN41177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27836020:27838440:-1 gene:gene47468 transcript:rna47468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MSSLIDFEVDQNSLSGTIPSNTGYSLPNLQYLLLNDNNFVGNIPNNIFNSSNLIEFQLKDNAFSGTLPSISFRDLGFLEYFLIDDNNLTIEDSHQFFTSLTNCRYLTYLDLSGNHILPTNLPKSIGNITSEYIRAESCGIDGNIPQEVGNMSNLLYFNLHGNNITGPIPGTFKELQKLQYLNLGNNGLQGSFIEELCEMKSLGELYLQNNKLSGVLPTCMGNMISLRRINVGSNSLNSRIPLSLWSLRDILEINFSSNSLIGNLPPEIGNMRAIILLDLSRNQISSNIPTTINSLLTLQNLSLADNKLNGSIPKSFGQMVSLISLDLSQNMLTGVIPKSLESLVYLQNINFSYNRLQGEIPDGGHFKNFTAQSFMHNEALCGDPRLQVPTCGKQVKKWSMEKKLIFKCILPIVVSVILVVACIILLKHNKRKMNETTLERGLSTLGAPRRISYYELVQATNGFNESNFLGRGAFGSVYQGKLLDGEMIAVKVIDLQSEAKSKSFDAECNAMRNLRHRNLVKIISSCSNLDFKSLVMEFMSNGSVDKWLYSNNYCLNFLQRLNIMIDVASALEYLHHGSSIPVVHCDLKPSNVLLDENMVAHVSDFGIAKLMGEGQSKTHTQTLATIGYLAPEYGSKGIVSVKGDVYNYGIMLMEIFTRRKPIDDMFVAELSLKTWISRSLPNSIMEVMDSNLVQRTGDQIDDILTHMSSIFSLALNCCEDSPEARINMADVIATLIKIKTLVVGANTV >RHN45062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11271423:11271817:-1 gene:gene39261 transcript:rna39261 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKKFERVFLGPKSGYNSCPYLSFFVRRVKRRSPWLDGTKILKY >RHN48442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47492177:47496708:1 gene:gene43158 transcript:rna43158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MAEEKKLNHEQEANPLLKQQPPQQPPKAEQTTEQQDRYLGWTADGLPISHGSVMGQPIPRSPWNSSACACLGQNDHFCSSDLEVCLLGSVAPCVLYGSNMERLHSNPGTFGNHCLHYSGLYVIGNSCFGWNCLAPWLSYHSRTEIRRRFNLEGSCEALNRSCGCCGSYLENEEQREHYELACDFATHVFCHVCALCQEGRELRRRVPHPGFNAQPVLVMIPPGEQTMGRGA >RHN45850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26277169:26281944:-1 gene:gene40271 transcript:rna40271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ER lumen protein retaining receptor MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGVSLKTQELYALVFAARYLDIFTNYVSLYNTVMKLIFLGSSFSIVWYMRYHKIVRRSYDKDQDTFRHYFLVLPCLLLALLINEKFTFKEVMWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGGYRALYILNWVYRYFTEPHFVHWITWVSGLVQTLLYADFFYYYFQSWKNNQKLHLPA >RHN74156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29459443:29461257:-1 gene:gene10157 transcript:rna10157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MAVSEGVMKKVLLSYTYVAIWIFLSFSVIVYNKYILDQKMYNWPFPISLTMIHMAFCSSLAYILVNIFKVVDPISMSLDTYLKSVVPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVSFKKESFKKETMINMVSISLGVAVAAYGEAKFDVFGVTLQLMAVAFEATRLVLIQILLNSKGIKLNPITSLYYIAPCCLVFLSFPWFVMEYPLLRDKASLSFDFWVFGSNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPLNLIGYGLAFLGVAYYNHCKLVALKASEAQKVRVQQEDDSEAGKLLEERDGKDDEESTKKNENRI >RHN80146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35541011:35549881:1 gene:gene4036 transcript:rna4036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MEDCIKIRRYEEVLTSNDFESLIEAQNVPAVLCGCTKNWTAFSLWNPRNDGLNYLQDRVGSSVVEAMISSSAPVFYGDLGSHQRVPLPFSTFLDLCKKRMHMQTQQQQHLDNDHCVASQTDSSQHDCLSFEDIPEQIYLAQVPIMNSNRQEKVQLETLREDIQTPPILGAKDLSSINLWMNNAQSRSSTHYDPHHNLLCIVSGRKQVVLWPPSASSSLYPMPIYGEASNHSSVALENPDYSIYPRAEDLMEFGQKVVLEAGDALFIPEGWFHQVDSDDFTIAINFWWRSNTMSCMMEHMDAYYLRRILRRLIDKEMDQQLLKLGMGKTRMCANALPKNGRANHADENCSQMLKGMDLKEKRLKEGNTLLELEPAAVQVLHELVSLVHNSVSASQDQQSLSTSINDYDLIGSDKYEKIATSELKDDPVAKILWNVKPQTLQNVFLAMANNFPRTLEALVLHVLSPVGAEVLTRKFDEMDELTIEEDRNRFYEVFYSAFDDQSAAMNSILKGKELFTQQAFKNVLDKFVGVNLECSKPGVR >RHN55734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22072961:22073242:1 gene:gene30965 transcript:rna30965 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRYDMRGPVISNDMHKQTPLTGPAPQNPQNPTAPGVIRVSNPKSASTQRQYMEFIPTPGFPKH >RHN38533.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:967882:968409:1 gene:gene50655 transcript:rna50655 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEYSSAVSLNILLLFEQEEYDRQLAEAISQGSTIDHSLTFNTWKKVVGDKKKGKLYGLGNLAANYRKGSVASTLRLTLNQGEGTSQQPQLTPEMRELIHRLSQEQFSQQMASQAALVQELINRQRLYEEQLTRLMQAQDQAPSHTQAPVLSPNVEPYPVYKEDDVDDADDVED >RHN53752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3856018:3856818:-1 gene:gene28695 transcript:rna28695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MQCQMERYKCKLCSRTFINGKALGGHMKAHFATLRLSLPNPQPQTQPHHTPTNLFSFFSSSENEQNQQTVEQRDSNEKSLMYRLRENPKKSFKLSDPKFYFSTTETIVHDRESETESKNPTQKRKLTFGQNSVQKKLKQTLTNSHSPLTEAEPEPVTSLFNFSPEEEAAITLMMLSRDKWKINVAVKEEEQEVCGKYKSHKSICLQNETNLALTSSSDHKIFQCVFCPKVFGSYQALGGHKKSHLYPSWKKKKKLCFFDLNLPPSS >RHN59741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12735484:12736487:-1 gene:gene21851 transcript:rna21851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MIREKVKVSARTLQWKCVESRVDSKRLYYGRFILSPLRKGQADTIGIAMRRILLGEIEGTCITRAKSEKIPHEYSTIVEIVLKSNLYGTRDASICFKGPGYVTAQDIILPPSVEIRGYRIKTLNNIQDGSYTIDAVFMPVRNANHSIHSYVNGNEKQEILFLEIWTNGSLTPKEALYEASRNLIDLFIPFLHAEEENLNFENNQHKVTLPLFTFHDRLLKDKLRKNKKEIALKSIFIDQLELSPRIYNCLKKSNIHTLLELLNKSQEDLMKIEHFRVEDVKNILNILQIEKHFA >RHN76003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46910859:46915997:-1 gene:gene12273 transcript:rna12273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP-dependent synthetase/ligase, AMP-binding enzyme domain-containing protein MQKSGYGSDGIYRSLRPSLILPKNPNLSLVTHLFNKVTSSPNKTALIDADSSQTLTFAQLKSLTIKLAHSLIKIGLTKNDVVLFLAPNSYLYPVFFLAVASIGAVASTVNPQYTTVEVSKQAKDSNPKLIITVAELWEKVNHLNIPAVFLNSSGVATPDTVTSFESFVKLGESATEFPKIDVKQTDTAALLYSSGTTGVSKGVILTHQNFIASAAMISMDDELNGEINDVYLVVLPMFHVFGLAVITYSQLQRGNAIVSLKRFEFEVVLKTIEKFRVTRLWIVPPIVLALAKHGLVDKYDLSSLKYIGSGAAPLGKELMEECAKRFPHATVSQGYGMTETCGIVSVENTRMGIRHTGSAGMLVAGVEAQIVSVDTLKPLPPGQLGEIWVRGPNMMPGYYNNPQASRLTIDKKGWVHTGDLGYFDEDGNLFVVDRIKELIKYKGFQVAPAELEGLLVSHPEILDAVVIPFPDAEAGEVPVAYVVRSPNSSLTEEDIQKFIADQVAPFKRLRRVTFINTVPKTASGKILRRELIDKARSKI >RHN45588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23328523:23330115:1 gene:gene39964 transcript:rna39964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MTKTKPSSSTTNPKHKPFQVSNRNFNERALLFKNVSTRRLLSKVQSNTETPIKKLHLKRRCEDATSGNMPSLTSDDSRRKKVKTTLGNFRALCGKLGNEFTGDALPIKVSGILRQRGKFIHDGKKIIGKVSGIEVGDKFLYWQELNVVGLHRQNLSLIDHVLKNQNLIATSVVSCHFDDMDDTNVFVYTGEGSKVSNFGKLALMNSYHVKNPIRVIINFNSKNGGDGEVYCYYGLYKVESIWKKKKGKLDFKFCLLRLPDQKSFVKDISNGKEAIPICVVNHIDSGKVPYFQYITRNIYPECVFNDSSVGHKCADQCSDSLKCSCALKSGGKITRVKKNEIKFKLQIFKTKAKGWGVRSENAIPSGNFICEYIGEIIEDEEALKIVDDDDSREEDSYMVDSKEMSSYQPVEASGSKEVIDDRCDSGRFNIDAAKYGNVGRFINHSCSPNLFARNVLYDDDVPRIPHIMLYAAENIPSMKELTLDYNYKINQVTDSNGNLKTKVCYCEASACIHRLY >RHN57950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42710203:42713507:-1 gene:gene33542 transcript:rna33542 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQPKTEPGSPPCFHSTNPNNNLEDKSIHDLVMVLRQTCQWETFDSVETVLENRYMRLREELHLEKLSRLYAESEFKKREEICEKGKKVQESYEALLKEVKVNRLANSEKKNELEEEVEKLKKKYVDGSYEIDVLRRKNGELEAKILELRKLNEKRMEDNTQLGVLRKMIGKLEHEASELRKSKKKWLDDSNAFDALRNKVRVLEGDKNVLAGVEVRNGEVKETVKKILETINRLGKEKSKLADEKRKIEILLGSMYKKFRGLVGRLSRLEDDTNLLKSVGVSGGGNNEGKSPVDPVAANIEDRDEDDFLDDEFGNDTVVEVAPLQTNEDANHTLGVAASTQPQSKGNKDVQGSSSASGRVKLDKNIEIIYLDDDDDDDNDDDGGSMSRGVHEKKAVFGIAVKNEVPSPSVATQQKSKFPNAVDTFKRKFSLSDIEISSASSSSSDDSSFLDDLTIRSVVSLERSKKSRQTEQD >RHN56102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27764086:27764802:1 gene:gene31440 transcript:rna31440 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKLNVQDFLLAILIDGLGHLLSPVKYRTDLRYRFMISLFPIDEVCPVCRKACLDTFGEHVVYCVGPFIVGMAVLKVASSKVAKHEKAYSDDQHAFIPFVFYPFSFLAPEAINLLHRVQNVMYGNIMSPKSMNVVFMRIGFAFRKDLVTQYIARLLSIQV >RHN43536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46633046:46639864:1 gene:gene50134 transcript:rna50134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA pseudouridine(38-40) synthase MHSSNTKHMLMGSLLFRHNNISLYPSSRSRRCNYWMEHQSERDSHHVHYNHTHPSKYARWNARESFEFMYARPWQRVNHFYSNTVRGNFSLPLLFQSQTLPVHDSRVPEISEFQSGASKDRSGKWARFNFKILLSYHGGSFDGWQKQPNLNTVQRQLLLLLLAKYYVLYTLLKDKGLPIQGCAAVAGRTDKGVTALQQVCSFYTWKKDIKPREIEDAINDAAPGKLRVVSVYEVSRAFHPNFSAKWRRYLYIFPLTDGQDKDQSGGNGESCDSFIYNEICDSDSKDELEKENKSYVFGVRKVNRLLQKLEGKMLSYKMFARDTKASRNDGPPTECFVHHARAMEAKLPITENGEETRVMCIELVANRFLRKMVRVLVATTIREAAAGAEDDALLKLMDATCRRATAPPAPPDGLCLVDVGYAEFEREKCFIMKD >RHN42850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41444539:41444859:-1 gene:gene49347 transcript:rna49347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MIEDDCADNAIPVQNVAGMILAKVIEYCKKHVDGDFVKVDQGTLFDLILASNYLDIKSLLDLTCETVVNMIKGKTPEQIRETFHIKNDFTPEEEEEVRRENQWVFE >RHN72337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6891844:6893493:-1 gene:gene8037 transcript:rna8037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MAEIEFESLFNHAMRGQWREVLESYEKTPEVLEAKITEAEDTVLHIAVYVSQTCFVTALLDNICQDVCMNILRTQNSKGNTPLHVAAELGNVDICNNIAKRCPILISYRNFEGETPLFLAAVHGKRDAFFCLHGHQQNKDDDSLSIKNNGDTILHSTISSEYFGLAIQIIGMYPKLVNAVNHDGLSPLHILARKPNCFRSCTTMVLIERIIYTCSIVDEDKEERYDHINEAYTQTSRHYPLNYGTCMTFLSLLNRFFKVTTTRKDTNAAATSDEENNCSRTSGIIISSCKLLMLVLPSKPPGLAQLVGVQYVQFILKKKSPTFRT >RHN80329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37229396:37234642:-1 gene:gene4240 transcript:rna4240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zeta toxin domain, P-loop containing nucleoside triphosphate hydrolase MTVTSICDSQSSNNIGTTSSVGNVMSNLTHVVAASIVGSMVVDSKIIPHLHQTPSGRVAQIEIFSHYVARQIGFVDASEVPELCRLAQDYLRNSEGCKESIFQYLANGEDPNPLYAKLIDEFERCILSYFAFHWSQASYIISQVLSTESQPKIHLKNILLAATREHRFKRVAKNLKVTRVFSTLVEEIKAIKGDSQSCVVKDSVVHTERSPVLLLMGGGMGSGKSYVLKDILKESFWSEASNVVVVEADAFKESDVIYKALNSRGHHDDMLETAELVHQSSTDAASSLLVTALNKGRDVVMDGTLSWEPFVEQTIAMARNIHKYRYRMGPGYREAKDGTITENYWEQVNEAEEHQSEENYKRELLTRKPYRIVLVGVVCDGYLAVVRGIRRAIMTKRAVRVKSQLESHKRFANAFPKYCELVDSARLYYTSDVRGPPKLIQWKNDSHNLQVKREVLKCLKMIRSLNTEADSIYELYNETDATMGPGSVWNDIVLSPSRSNDVEKLRESIQKIEKIIRKQ >RHN42791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41017965:41018659:1 gene:gene49278 transcript:rna49278 gene_biotype:protein_coding transcript_biotype:protein_coding MYLDCSSAPGFNSFTSKRNYSENKRRRVNVVVLHMGFVILGLLHMCFKFENINVMEEKKNELERSEYMNYCVLFQALPKHSHSITFVSSPYRSFMTSLLDCQEAPLFY >RHN40066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12955268:12957898:-1 gene:gene46162 transcript:rna46162 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMCLKTEDKNRKVQDLETRSFDSILQRNMNIFIMTNIRNISSTILPSPLSLSDTTSIPNRSIQIHSLFRKHITLFPLILSQQLPINPPLNQPITPLDPILMKPIRRIKTKLVNLFINITVRVIVGLNDGAIFAEKLKINLILQFITFERGKVEVEVEAICVTFWSLNFGGEGSV >RHN75361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41624230:41625757:1 gene:gene11548 transcript:rna11548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MMSRTTPLGFEIENQGLQMFPMVTEDFMPLPNSMEGISSSTSPSNSLLFNLSTLKDKLNQVQTLVGVILSPNQQDSSTSMALSTMNSTIQEIIVTSTSMMFTCQQIALSFPQGTTSIKCTNQEFQKQQSNIQSNFGNNDTSIDLRGQSIFSNNESEPLDWFGESYNSNINYRLKDDIDDQRVDHEISETNNSIRGSNEDSSTKNNSDRDDTCLKFGSSYNWVGPECDNEIVELDAADLLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKSSAALSNPINKNRDYLMSMKNRKYSCPQAGCRWNQKHAKFQPLKSLICAKNHYKRSHCPKMYVCKRCNLKQFSVLSDLRTHEKHCGDLRWQCSCGTTFSRKDKLMGHVGLFVGHHPVINGLSYSSTHTS >RHN65251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:574580:575110:-1 gene:gene13071 transcript:rna13071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MKKLSVGDALSLLAAVKRRFGYEKREKYGSFLQIMKDFKAERIDARDVKLRVYELLDGHEDLISRFNIFLPTEYEIKLPLDRDDDDDEQQEGRMLETKDAFAFLEKVKDVFHDKNREKYDEFLEIVKDFKFRRIDISVVAARVNELFQGHTDLIFGVNAFLPKKYRITTSVQLDTG >RHN64206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57252124:57261802:-1 gene:gene26995 transcript:rna26995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MKMQSRHQIYISINSASREHHQSKLSKQRFKTRQQRSFFLGLRMLLRRVAAAVTVLLFIASVVEGKPQRIVVDTDVDTDDLFALLYLLKLNTSQFQLEAVTISANSWTSAGHAVNQIYDLLYMMGRDDVAVGIGGEGGILSNGTILPNVGGYLPIIEQGMTTIGGCRYRQAIPVGLGGRLDIDANYGIRKSFLPQGKRKYTPLEQPTAQQVLIEKVSAGPTTLFMMGAHTNVAIFLMNNPHLKKNVEHIYIMGGGVRSSNPTGCCPKNASSNCVPRQCGDHGNMFTDYNTNPYAEFNIFGDPFAAYQVIHSGIPVTLVPLDASNTIPITAQFFDAFEKSQDTHEAQYCFKSLKISRDTWFDNEFYSSYFMWDSFMTGVAVSIMSKPNNHKGDNEFAEMEYMNITVITSNKPYGISDGSNPLFNGLKVPKFNLEKGGVHSGHIQQGLRDPLCFVENGKGKCQDGYTKEEGGPGSVRVLVATKAKPNRDVGSSLDREYFIRFLDVLKQPRQAGRYNFTTQFPYYKEVTYKPNFQNKKLGKPVVFDMDMSAGDFLALFYLLKVPVQVIDLKAIIVSPTGWANAATIDIIYDILHMMGRDDIPVGLGDVFAMNQRDPIFGAVGGCKYVKAIPHGNGGYIDSDTLYGLARYLPRSPRRYTGENSVKFGAPRDTDHPELRQPLAMEVWESVLQTMKPGSNITVLTNGPLTNLANVVSVKNISSRIQEVFVVGGHISSNAEDKGNVFSVPSNQYAEFNMFLDPLAAKTVFESEVKITLIPLSTQRQVSSFATIIGRLEGTRKTSEVVFTKSLLSSLNRLKQTNNRYYHMDTFLGEILGAVVLADRSSSLNPKFEVKPIKVLASGIESTDGKIVVDEKHGKLVRILSNVEEKAYYNMYVNKLGDLYQSAKVGSFEEQMRNWSHPHDGKPNEEKVAQIHG >RHN63318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50341508:50343236:-1 gene:gene26004 transcript:rna26004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MIPLTFSLFKNMKDWYNCDTTTLLIPLLTISTIIWYMYLYFFKSKPQNNLPPGPSGLPIIGNLLSLEPELHTYFTGLAQAHGPIFKLRLGSKLGIVLTSPSMAREVLKDYDTIFANRDPPAAGKAATYGGSDISWSPYGPQWRMLRKVCVVKMLSNKSLDSVYELRRGEVRKMVEYIHNCAGSTVSVGEQVFLTVLNVITNMMWGAAMEDGERESLGAEFRKTAAEMVQLIGKPNLSDFFPALARFDLQGIVKDMNLLVPRFDGIFEKMIGERMVKDVEGKESESKDFLQFLLNLKEEGDSKTPFTSTHVKALLLDMVLGGSDTSSNTVEFAMAEMMNKPGVMRKVQEELEAVVGKDNLVEESHIHKLPYLQAVMKETLRLHPSLPLLMPHCPSETTNVGGYTIPKGSCVFVNVWAIHRDPSIWEKPLEFDPTRFLDGKWDYKGNDFNYFPFGSGRRICAGIAMAERNVLYFIATLMHSFDWTIPQGEKLDVLEKYGIILKKKTPLLAIPTPRLSNPDLYK >RHN55859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24786559:24787406:-1 gene:gene31136 transcript:rna31136 gene_biotype:protein_coding transcript_biotype:protein_coding MALKERLASSSETTSSQDEQKRYVTWEEKFVSMDKGRREVRYFLKKKNGELDLALIGKEKSSRHMSYHYAIRNSSFAPFFRLKSRREVVNWLDSIVQGQFHFYLFVLSTF >RHN50538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7994152:7994552:-1 gene:gene34835 transcript:rna34835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MGQGVLLLFWRFASLYNKLKCPNSILEWNTTNLRSCTILNFEGSENDLRFAKYVLKNAYLLQEMTIGFSTERMVLEKSAIIEELSSYPRISQGCKLSFEGAVTI >RHN57310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38041436:38041692:1 gene:gene32835 transcript:rna32835 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKLKDRLLGYPFQCEENFPFQINANNTFSLFFWWWKSKKMESNNTFSNRQIYFHCS >RHN48504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48008198:48008618:1 gene:gene43225 transcript:rna43225 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAIANYIFAAHYIRHKEIKGVNAVKILAKGLEDAIAGTDLQVLKRGDDSIDIISLEQESKDDSEDNILKEILEAMKAEL >RHN67534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28035147:28037420:1 gene:gene15730 transcript:rna15730 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVRRPNRSDTHLSAEEEASIEAKTRHHFEEVAPKRHTKPQRSEYASQYVDSNVSDNSVPEMLQFQRLENDPQEKKLVYDGNEVSEEFVETEYYKDLNSVDKHHHTTGKGFIQVEKSDTSFHIEPDNDTHDSHHSTKGNPATNDWVPAPFTEEDTNSDKPNRSDN >RHN71619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1421179:1423952:-1 gene:gene7237 transcript:rna7237 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MSYDSSQMMVSNKFKTLNFFALSILYVYLIHTANGKVHHHKFVVKSSSFTRLCSTKNILTVNGEFPGPTLKAHRGDTLIVKVYNQADYNITIHWHGARQVRNPWSDGPEYITQCPIKSGNMFKQIIHLTTEEGTIWWHAHNGWARATVHGAVIIYPKHGHTYPFPKPHAEVPIILGEWWKEQVMEIPNVANKTGGEPILSNAYTINGQPGYLYPCSKKGPPPSYAINHILTNQRVCVKGCAVNIPLYTFKMIVDYGKTYLLRIINGVMDEELFFAIKNHKLTVVGKDGLYLKPIKTDYIMITPGQSMDILMEANQPLGHYFMAARSYSSTFGAGFDNTTTTALLIYTDSHHHHHKKKPILPQLPPYNKTQASTSFTKKFRSLATKTHPINVPTEVDTHLLFTISVNLLNCTQDKPCTGPFGKRFAASVNNISLVHPYIDFLSAYYYKIPGVFEMDFPRIPTREFNYTADKLPEYFLSTSFGTKVLVLDYDASVELVLQGTNVLASDNHPVHLHGYSFYVIGWGFGNFDPKNDPKNYNLIDPPEETTVGVPNNGWVAIRFRADNPGMWLLHCHIERHATWGMSMVFLVKDGPNPQTQMLPPPRDLPKC >RHN46834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35220230:35224262:1 gene:gene41365 transcript:rna41365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MSLLNMVLQKLIKSFPFLLLQLLLILLSNASVDGVEKKNFYIVFFGVQPVNRDIALETQLNVLSSVKGSYHEAKESIVYSYTKSFNAFAAKLSEDEVNKLSAMDEVLLVFKNQYRKLHTTRSWNFIGLPLTAKRRLKLERDIVVALLDTGITPESKSFKDDGLGPPPAKWKGTCKHYANFSGCNNKIIGAKYFKADGNPDPADILSPIDVDGHGTHTASTAAGDLVQNANLFGLANGTSRGAVPSARLAIYKVCWSSTGCADMDILAAFEAAIHDGVDVISISIGGGSPDYVHDSISIGAFHAMRKGIITVASAGNDGPSMGTVTNTAPWIVTAAASGIDRAFKSTVQLGSGKNVSGVGISCFDPKQNRYPIINGIDAAKDSKSKEDAKFCNSGSLQANKVKGKLVYCIGSWGTEATVKEIGGIGSVIEYDNYPDVAQISIAPAAIVNHSIGETITNYIKSTRSPSAVIYKSHEEKVLAPFTATFSSRGPNPGSKHLLKPDIAAPGIDILASYTLRKSLTGLAGDTQFSEFSIISGTSMACPHVAGVAAYVKSFHPKWTPAAIRSAIITTAKPMSKRINNEAEFAFGSGQLNPTRAVSPGLIYDMDDLGYIQFLCHEGYKGSSLSALIGSPINCSSLIPGLGYDAINYPTMQLSLESKKETQIGVFRRTVTNVGPVPITYNATIRSPKGVEITVKPSVLSFDKKMQKRSFKVIVKVKSIITSMEILSGSLIWRSPRYIVRSPIVIYKP >RHN51700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23088987:23090277:1 gene:gene36200 transcript:rna36200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, NAC domain-containing protein MKRKEGSITPIRASRRCNKAKGKVEEAESHECCYFDILPSHLTTHIFLQLPIKSLLICKCVCKIWKAMISEPHFAKLHFERSPISLMIRTRYYRRVSRTLYLLECDPKKFEIGSNNHVKLEPIFRLTLRGDVKSLRMKYKSKHPYIACNRDRDNFSIVNSCNGLLCLSQPTTGNPLVICNPFMGEFIRLPEANTVRMPHDTVRVIGQEAGFGFYPTTNEYKVIHIWRRSVIRVNSSSDVEHVERLQSFPSPPVAFGSHVQDQIDKYDFLPSNIHISMGELKGFLYICDSNSLKYVTMWVMNEYGIGESWTKVYHINTLYNPLAWRHPLYFPVKHFEEGAAVLLHHSYDRFTYYGLMNIESSFFEFMGILKDILK >RHN42881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41626315:41627187:1 gene:gene49381 transcript:rna49381 gene_biotype:protein_coding transcript_biotype:protein_coding MVMELSLSFTTNLVQLITKIAGSIDVIKRVVCGKGTESSSHIRAGDEEILIGEAEFSCILFMEGYWCGDWSMTKAACLRL >RHN74851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37150948:37154338:-1 gene:gene10977 transcript:rna10977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MSLTFHQLCLLLLASAFLWTLSLSLQQPCDYSQGNWVNDDDSTTFSYPLYDASKDCPFIGQGFDCLGNGRTDKDYIKYRWKPSRCNLPRFDGGKFLERYKGKKILFVGDSISNNMWQSLTCLLHIAIPNANYTLTKQTNQLTVFSIPEYEASIMWLKNGFLVDLVHDKEKGRILRLDTISSGNQWKGFDVLIFNTYHWWTHTGKSQTWDYFQVGNELIKEMDHLEAFKIGLSTWAKWVDSNIEPSKTRVLFQGIAASHVDGKGCLRKTKPDQGPMPPYPGVDTVKTIISKMEKPVQLLDITLLTQLRRDGHPSIYTGRGESYVDCSHWCLAGVPDTWNEMLYAALLED >RHN54769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12050700:12051763:1 gene:gene29852 transcript:rna29852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MWFWSGNIDRMLILLHDTFTLIFALTIFSTHLPSYHVTFMQAVEKIVHPTMKENKNKYGKKPYDLFTENHEELLKAGEKLTKETATSYIGVAYIIITIMFAAVFTIPGGLNQNTGSPTFLHYKIFNIFLLADALSIIASASSLLVFIGIHTSNYTAKDFLKVLPIKLMVGLMLLLFSICSMLIAFYAALNMILKGNHASSRWSILGPIVSLGSVPITILLVSRVRLIYKIFHSTIKNPISSI >RHN56347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30170377:30172857:1 gene:gene31732 transcript:rna31732 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDCPWLLTDPFVFEVLGKGDFHLSSEAFMECKTQQHEWSYRVLIKKYLKKQHRMDQIFWN >RHN53362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1204608:1206536:-1 gene:gene28263 transcript:rna28263 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSSDSDDEDDDTSSEEEKKPVAAKKEDKMNADKDSSDSDESEDEPSKRPQKKIMVSLISATIGRVQF >RHN72825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11043167:11045634:-1 gene:gene8586 transcript:rna8586 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIYSFCWFVYISHMGLLFVYNVFHLSHAFTYVALWEHVITDPAYM >RHN71477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:417821:419421:1 gene:gene7083 transcript:rna7083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSEHNMSMAVDRIGSLPDEILIHILSFVPTKQAFVTSILSKRWTHLWCFVPNLDFSDTKLKNGEDSSRFSNFVNTVFHSQDCFGSHAINSFILDIDHFFSGLLPCGTYYINGWVDILVERKVQYLNLYLHAPVNWEETIPPTLPTAIFTSTTLVVLKLCWFFMGVDFPFPFTFPSLKTLHLKDFYFHQQSDFFMLLDGCPVLQDLQLSNINRGHFDFASLLYLSSSRLKNLNRADIIDCHCIFPMKSLSNLEFLRIQLLEYDQPNDFPTFHNLIHLVINYDGDIVVQVLHHCPKLQNLELYRKLQGCNWEDEFIEEDDQENWVDSEFVPPCFSLNLTTCTIRDFAFAGLQHCHIMLAKFILKNARVLRTMTILCNKKQSKVERLLSSCPRASTTCQLSIY >RHN75038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38892579:38894108:-1 gene:gene11186 transcript:rna11186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MDHRESEPENTITQTISSSSFSHTSFRSVILAANTFPFDFNQRIRDGSNLQYNFYRDSCPQAEDIVRSAVTDIYFDHRDLAPSLLRLFFHDCFIQGCDASLLLEDNGDRNGSYEKQAIPNQTLKGFDKVDLIKEEVEQACPGVVSCADILALAARDFVLLGGGPFYPVLTGRRDSQQSFFQEATDQIPRPDDNIKRTLHLFNLRGFNARETVSLLGKLLYIYAFMNIRFIRRTQHWKNRCDFIQQRLYDFQGTGQPDPSIPLDFLSQMRLDCPDNSKNNISSNDTLSTFTASKPMNVHHSSSDKGMSYMQALSSAVPSGASFDTHYYQSLLRG >RHN63163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48991351:48992091:-1 gene:gene25833 transcript:rna25833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MSLLFVVFILVEFISPIVSIEDHARDLLAATDEMQRANYFTFVMLIKMSPPDTRLEGNVTFLMPNDRMLANVTLQEESVSKFLLRHSIPSPLLFDTLKQFPSGTTVPSLLPNCIMRISNNGRKNFVVNNVKIISPNICVSGSSIRCHGIDGVLSQTCTLENNHNHGVPIPPPQYNNTNTSCEASPPIPSPSFPSPPYTGDNINPPIWIAPSPTISNGERHEYSGSPRWFFYDAYLIFIVCLMFSFL >RHN80773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40761657:40763961:1 gene:gene4739 transcript:rna4739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, LysM domain-containing protein MGCCCDEDDGDILRHLMNSSSSTSSPPPPPPPTSTIISPMNSHFSALSSTDTLQIIFQNLPIPDLARASCVCRVWNSVASQRDLVTRAFLAPWKLKDVVGNPISRSFWRDNSLAKFAISHRIVRGDSVASLAVKYSVQVMDIKRLNNMMSDHGIYSRERLLIPISNPNILVKRTCFIELDDNAKREVAVLYPDDVPDIKSSYVSNRISSEESNKKVLDSLKRSMQVDSETAQYYWSVSNGDPRAALAEFSADLQWGRQVGHS >RHN57650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40794381:40796391:1 gene:gene33228 transcript:rna33228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MVVKVYGPHCASAKRVLVCLVEKEIEFEVVPINVLKGEHKDPEYLKLQPFGNVPVIKDGDYTLYESRAIMRYYAEKYRSQGVELLGKTIEEKGLVEQWLEVEAHNFNPPAYNLVIHVLFPSLLVDGTPDPKVIEESEAKLVKVLNIYEERLSKNKYLAGDFFSLADISHLPFTDYIVNNMGKDYLIKERKNVSAWWDDISSRPSWNKILESYRPPV >RHN58746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4454736:4458779:-1 gene:gene20687 transcript:rna20687 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQRLFNRKRTLHEILGSGQVADLILWRRKNQTVMILLVTLAAFVVFERSGYTLLSLVSNVLLLLVVILFLWAKSAAILNRPAPPLPQLHLSDEMTNEMAAFIQTKVNNLFSVSQDIALGKDSRLFLKVAVYLWLIAVVGGLTDFLTLAYTGLFILLTIPALYERYEDYIDTFVLKCYNKLCQLYRKINEKYISRVQNWILEKKKLS >RHN52327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33986809:33990768:1 gene:gene36990 transcript:rna36990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MKSLLSFLLLIAISLLSFSKPSLTIFVSINCGSSKSFTDKNNIRWSGDDDYIQNGVSQEVISSSSNPLSTLRYFPTRKKNCYSIKVPKGEKILARASFYYGNYDNKLSPPVFDLQFDGNYWATVNTTNYYYVDYEAIYVTKGNFTSICVAQTKPTQFPFISSLEIRSLDPTMYSHFDTNHALILQWRYAFGGNETIRYPDDIYDRIWTPAYGILLSEVKSEESTFDISTAEDRPPEAALKNAIVSSSTNEYMQFINRLPAEENDVYINAYFSEIMESAFGKRSIQMYIDDKPFLSAIVPPLGSVKEVYITNITASANTTFVLQASESSTLPPILNALEVFTISDAFNAGTDSRDVEGLLQLKLAFEVLVDWSGDPCLPYPYSWDWIQCTTDAKPRVTALYLSGYELQGTLPDFSSMTALETIDLQNNTIEGPIPNFLGLLPNLKTLNLSYNRFNGSIPASLVNKKIEIDTTNNCLSGTKCQPLKALSPKFRSGDASVGNGSLKNNKLNILFILAAQTLLPMIFTKFI >RHN74066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:26141528:26141887:-1 gene:gene10023 transcript:rna10023 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNVGSGSSGSKRSHEDSVGSSARPMGREAAKKKGKMKSKGETLEKVEKEWVQFKELKEQEIEQLKELNLVKQQKNKLLQEKTQAKKMKMYLKLRDEEHLDDRKKELLEKLERELFEN >RHN43435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45865844:45869415:1 gene:gene50011 transcript:rna50011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MKFLYILCILLCIWQGNCDPVEDKEVLLDFVNKFPPSRTLNWNQSSSVCDNWTGVTCNEDRSRVIAIRLPGVGFHGNIPPNTISNLSALEILSLRSNLISGFFPSDFSNLKNLSFLYLQSNNLSGPLPDFSVWKNLTVVNLSNNKFNGTIPLSLSNLTQLAGLNLANNSLSGEIPDIHFSRLQVLNLSNNDLHGTVPKSLQRFPDSAFVGNNITLRNFTAVSPVLSPVYEPSSRSEKRGRLSETALLGISIVGSLLGLVAFGFLMFVCCCSRKKYEFDDDAFVGKSNKGKMSPEKAVSRNMDANNKLTFFEGCNYAFDLEDLLRASAEVLGKGTFGTAYKAILEDATAVVVKRLKEVAFGKKDFEQYMEIVGSLKHENVVELKAYYYSKDEKLMVYDYYSRGSVSSLLHGKRGEDKVPLDWDTRLRIALGAARGIAQIHVENGGKLVHGNIKSSNIFLNTKQYGCVSDLGLATISTSLALPISRAAGYRAPEVTDTRKAAQPSDVYSFGVVLLELLTGKSPIHTTGGDEIIHLVRWVHSVVREEWTAEVFDLELMRYPNIEEEMVEMLQIAMSCVVRMPDQRPKMSEVVKMIENVRQIDNTQTQPSSENQGGVKLSSQTDYDNINSPSSTSSPLPKGSE >RHN52551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36569996:36572458:1 gene:gene37241 transcript:rna37241 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTWHDTDFTFPRLDDTRTVWSYQSSFGLSVECCFNSYHIMLRNPFSNTNNKIQLSFNRFHNSSSSKRRRNINNRSIRFRFSFRFRNRIENRKP >RHN67992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32000453:32006215:-1 gene:gene16265 transcript:rna16265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TRAM/LAG1/CLN8 domain-containing protein MEISFSFGFLATQSKEAQWLLSVFSGIIFSLIVYQLTAAFSSRLFIGYRKLSSAGKVEWNNRGFSTFHAFFASFTSLYLLILSDLFKDDSQEKLVVNRTSTFSNSVLSFSTGYFLTDLAFIIWNFPALGGLEYVLHHGLSLFSIIQSLLSGQAHIYILMVLFTEGTTPFVNLRWYLDTAGLKSSKLYIWNGVALFFGWLVARIFLFMFLFTHMWTHFDEVKQVFPMGFYSLLVVPPVLSMMNLFWFWKIAKGMVKTLSKAKHSK >RHN77643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8383034:8385089:1 gene:gene1116 transcript:rna1116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MEAGSSKVHKSTQMASVIEEDTINSKLPESLINRVLSFLPTKDVVRTCVLSKRWMNRWTSSITKLDLDDIDLSYNYNPLCSYCEEICTDYCYDYDKYKLTVCPRCHVCGNHKANLRDAEVYERRVEIDFESGMKIESGKKEQQFVNFVGRALLLTSISSMELERFSLLINNKRDISLQNTWISSILNRRVKILRIHSSFYQLPFSALTSHYLFNCTSLEELELVLHVSSTIKFPSISVHFGHLKLLKLYGIFFKIDTSSDCLTLNLPLLRKFDIKNCNWSGGKDLIVEAPLLEIVSIEQDIEFYNAASHDLHSQSIKFNALHLKQFTYSGYGTAQLIHLFDHGFLSFDSAEIICKPSFPETERIPFLVHLLKQFHRVKSIKIEGLDLNIEVLKKANVPVFSLLSNLELGLVTVEVLITLLQNSPILKTLVLKGIHSFAEEFLNSAVLPHCVVSSLQVVKFEKVNGAKHEMFLAKFFMENGMMLEELGFTIASQRPDISKVVEEFKEMVYPFKTRSLFIYCFSY >RHN51541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19554084:19554494:-1 gene:gene35998 transcript:rna35998 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIDIVVTVQSKFHPTFTAITPSPSDKVYNSRCGYHVTADGKLTWKDHLKKVHIDCERYGGPSHLLRNIDVIGSMGDSIANAIDVDAEDCSRDSHVSEHSGGKLRLPTPCRCSKGKNPATMVKYENVDFFACKYY >RHN63760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53880979:53882707:1 gene:gene26504 transcript:rna26504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MADVTYLRRHHHHEPDDDQTLIPLPYWPSTSDLDFDFDLYSSDPEFPSNHNHRFSRENFVMDLFQQRVEQSQITNHTDPINQSLNDSVFDSLSFDLGFNHSDLHLDLNIAAEEDEDDFPDITVSRSDPISGLRIVEIDSDSECEEVDGNGNGDNVFCGICMHSDADEDDFNNVIEEEEIGSFPLRWDSLHLEDDPQIYEDFEWEEVDGGGFDDREILGLMFAADDDDNNNNRSVNGSRARGTSFIEQEEEHVDEDGEEVTMLRVDGEEVNMMRVGGGTENLDWQVLLNSASPETYHVISEPDFGDNDDYIYTAEYEMMFGQFADNVNSFTNKPPASAAFVENLPSVVVTKEDVDNNNALCAVCKDEFAVVEEVKLLPCSHRYHGDCIMPWLGIRNTCPVCRCEFPTDDADYERRKAPMLARSA >RHN56096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27655677:27656609:1 gene:gene31432 transcript:rna31432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MQQNYSFPITRSRSAYYGRPITRSLTAAAAANHGPPMSFSHSSTRKQNSTKRKSRSENHDDGKKKKKKQATTSTNNKLIQNKPEEETNKTNTVMEQGESSKSFNCGICFDSVKNTNMFTASSCNHPFCTNCISKYVAVQREKDVVKVNCPEPECIVELKLETLQYFLPKKVIADWEYAIFESSIYTKQIFYCPYNNCSLFPSKKKKNCSRLMVEEGVTSCECPSCHGLICAQCKVPWHSDMNCQEFMDEKHMDMKFLELAKREKWQRCPRCSMYVQRRDGCKQMTCRLYILPSLAHHKSFSLMLFLIKSV >RHN43528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46534154:46538582:-1 gene:gene50123 transcript:rna50123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase TKL-Pl-4 family MLDGGAKFPIDLNKNNNNFYDFSQGFYHKLGEGTNMSIDSVGSLQTSNGGGSVAMSIDNSSVGSNDSHTRMLDHQGLKRRANDNYSVAHSANRRGRVTHALSDDALAQALMDNNSPTEGLENFEEWTIDLRKLNMGEAFAQGAFGKLYRGTYNGEDVAIKILERPENDTSKAQLMEQQFQQEVMMLATLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTKRQNRSVPLKLAVKQALDVARGMAYVHGLGLIHRDLKSDNLLIFGDKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKNMTAVQAAFAVVNRNVRPILPNDCLPVLREIMTRCWDPNPDVRPPFAEIVAMLESAEIEVMTTVRKARFRCCITQPMTAE >RHN55426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18200973:18202846:-1 gene:gene30605 transcript:rna30605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MAIIELYPKFLFSQNPIPKPFLNPNFNPKSYQIFNFKPISQFSHQSTTTTPNRGLIFREKVLYLEKLKVNPEKAFKQNPNLRSCPLRTLKSVEQCLSSIGIHRSQMGRILDMLPELLTCEPYSDIYPLLDFLLNEVEIPYHDVHKSILRCPRLLVSSVENRLRPALCFLRELGFVGPHSLTCQTTLLLVSSVEDTLLPKVEFLMGLGFTRVEVSNMVVRSPGLLTFSVDKNLAPKFEFFLKEMNGDVAELKRFPQYFSFSLEGRIKPRHAMLVRLGLSLSLQEMLQVSDGGFDSRLLELRLKELEGR >RHN67532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28008819:28011298:-1 gene:gene15728 transcript:rna15728 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKVSNFSDLIQRVTASCLLNPLVPVRKIVEDDSPYESEENRDEEQEHYHSDDVDDDDEDEDENGDPLEENDEFNEDKMMGMKILKVKQMEALMEEIFETVSSMKRAYVKLQEAHSPWDAEKMRVADVAVVTELRKLAVLRERFRRNGGGRKGGRRRGFGVASVREVVAPYEAVVEELKNEVKVKDLEVKDLKEKLEGVVALSSGEKKPGRSQSKRKLGIQAIASVPTPELFETTMVHVREASKSFTSMLLSLMHNAHWDITAAVRSIEAATASTDKYQNVSTSSIVSSHHAKYALDSYISRKIFQGFDHETFYMDGSLSSLLNPDQFRRDCFTQYKDMKSMDPAELLGILPTCHFGKFCFKKYLAIVHPKMEESLFGNLEQHGQVQAGNHPRSEFYNEFLGLAKTVWLLHLLAFSLNPPPSQFEASRGAEFHLQYMDSVVKFSGGRVPAGQVVGFPVSPGFKLGNGSVIKSRVYLIART >RHN54247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8048730:8051648:1 gene:gene29250 transcript:rna29250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative salicylate carboxymethyltransferase MSEVGKRETRMKVEQVLHMNGGIGETSYSNNSLLQKKVISLTKEMRDEAIKNLYCKTFPKRLGIADLGCSSGPNTLLVISEVIKLVDKLCQEHNHESPEYQVFMNDLQGNDFNNIFRLLDRFTEKLNDEVEDGIGGPIFFYGAPGSFYGRIFPTKTMHFIHSSYSLQWLSQVPKGVENNKGNIYMATTSPANVLNAYHEQFQRDFSLFLKCRAEELVDGGRMVLTILGRKSDDKYSKECCYIWELLAVALNDMVLEGIIMEEQMDTFNIPQYTPSPSEVKLEVLREGSFTIDRLEVTEVHWNAYNDWNEVDFRSSLSKSLIDGAYNVTKCMRAVAEPLLVSHFGETIIEEVFGRYLEILVDRMSKERTEFINVSISLTKKV >RHN50141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4448061:4450049:-1 gene:gene34396 transcript:rna34396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MSNFAKRKPHAVLIPYPTQGHINPLFKLAKLLHLRGFHITFVNTEYNHKRLLKSRGENAFDGFTDFNFETLPDGLTPMDGDGDVNPDLKSIRESIRKKFIYPFRELLARLDDSAKSGLVPPVTCLVSDCLLSFTIRVAEEFALPIVLLVPFSACSFMSVLHFRTLIEKGLVPLKDESYLTNGYLDTKVDWIPGLRNFRLKDLPDFIRTTDPNDLRIEFIIEAAETFHRASSIVLNTSNELESNVLNALDIMFPSLYTIGPLTSFVNQSPQNQFATLDSNLWKEDTKCLEWLESKEPASVVYVNFGSITIMSPEKFLEFAWGLANSKKPFLWIIRPDLVIGGSVVLSSEFANEISDRSLIASWCSQEKVLNHPSIGGFLTHCGWNSTTESICAGVPMLCWPFFGDQPTNCRFICNELEIGIEIDTNVNRENVEKLVDEIMVGEKGNKMRKKVMELKKRAKEDTRPGGCSFMNLDKVIKEVLLKQN >RHN41414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29796575:29797744:-1 gene:gene47732 transcript:rna47732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MTPTSEKKKKVSCSYIHDDIAISILSKLAIKSLKRFTCVSKSWSLLFQNPNFINLFRNNLVSKSHDDDDDDDVCFLFVSYAFMSFFYLVSGERFQNVVNRDPLLPFEDQICRLFRPRIFCSAINGIVCVYNHCDHSEVALWNPVTQEVKVIPPGLVECLSNIHVYGTLCLHGFGYDHVKDDYKLIRHVGYRYIPDIYPRKEDFPMLPNSFWEIYSLRSNSWRKIIVDIPIPHFRCLGSKVYLNGVCHWLAVSDNGTTFVVSFNLTNDVFFTTPIDWHHRSSFRLAVLNGFIAMITRYHATESYTISILGEIGVKESWTRLFDIGPLSYVNDIIAVGKKGNIFLSIENGKIACFDLTTKVIEEIGFKREIHICQIVHYKKNLGPIGGINS >RHN60341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25574361:25580464:1 gene:gene22642 transcript:rna22642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trafficking protein particle complex subunit MQFFGGSEISPSPPAPTASGNNGHMLYVFNRNGICLLYREWNRPLHTLNAQQDHKLMFGLLFSLKSLTAKMDPTSAEKGNLGVPQLPGQGCSFHSFRTNTYKLSFMESPSGIKIILVTHPRTGDLRDSLKYIYNLYVEYVVKNPLYTPGSPIRSELFNTTLDQYVRGIA >RHN68715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37986089:37991135:-1 gene:gene17101 transcript:rna17101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thymidylate synthase (FAD), Anthranilate synthase MMLSATLPISPITAKSSSSMQSLSFSHRVILPSGSRVTPAMFTGVSKGGSSSLSPVKLKASSMTTAAIAQEVHERKKFIEASKNGNLIPLYQCIFSDQLTPVLAYRSLVTENDREAPSFLFESAEPNFQGSNVGRYSVVGAQPAMEIVAKENKVTVMNHESGQLTEEIVDDPMEIPRKISQDWRPCLSDELPDAFCDAPKDDRHLADIHLSLYETVIVFDHVEKKAYVILWVRTDQYSSVESAYVDGTVRLKKLVAKLQDNKLPRLAPGAVDLQTHHFGRPLKESNMTAEAYKDAVLQAKEHIKAGDIFQIVLSQRFERRTFADPFEVYRALRVVNPSPYMTYFQARGCILVASSPEILARIKNNKIVNRPLAGTSKRGNTAEEDESLSAKLLKDEKQCAEHVMLVDLGRNDVGKVAKSGSVKVEKLMNVERYSHVMHISSTVTGELQDHLTCWDALRAALPVGTVSGAPKVRAMQLIDELEVARRGPYSGGFGYISFSGDMDIALALRTIVFPTGTRYDTMYSYKDLNKRQEWIAYLQAGAGIVADSDPADEHQECQNKAAGLARSIDLAESAFVHK >RHN67471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27504392:27504906:1 gene:gene15664 transcript:rna15664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MDPGLVYDLNIVDYLNFLCAHGYNQTQMKMFSRKPYICPKSYNMLDFNYPSITVPNLGKHFVQEVTRTVTNVGSPGTYRVQVNEPHGIFVLIKPRSLTFNEVGEKKTFKIIFKVTKPTSSGYVFGHLLWSDGRHKVMSPLVVKHN >RHN52610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37080514:37080723:-1 gene:gene37304 transcript:rna37304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain-containing protein MKDHINVLVEKSLIKIDGFGYVALHDLLEDMGKEIVRQESPNNPGERSRLWDPKDIQKVLEENKVSYYC >RHN64562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60063703:60065707:1 gene:gene27390 transcript:rna27390 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLRAIIDILISTMMMMSTKLCYAKCRYRVMWLWKVWDRKEFSENVYI >RHN66332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10961458:10965966:-1 gene:gene14292 transcript:rna14292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAATMIGGAFLSATVQTLVEKLASTEFLDYIKNTKLNDSLLRQLQTTLLTLQVVLDDAEEKQINNPAVKQWLDGLKDAVFDAEDLLHEISYDSLRCTMESKQAGNRSNQVWNFLLSPFNSFYREINSQMKIMCESLQHFEKRKDILRLQTKSTRVSRRTPSSSVVNESVMVGRKDDKETIMNMLLSKRETTDNNIGVVAILGMGGLGKTTLAQLVYNDKEVQQHFDLKAWVCVSEDFDIMRVTKSLLESATSITSESNNLDVLRVELKKISREKRYLFVLDDLWNDNYNDWGELVSPFIDGKPGSMVIITTRQEKVAEVAHTFPIHKLDLLSNEDCWTLLSKHALGNDEFHNSTNTTLEEIGRKIARKCGGLPIAAKTLGGLLRSKVDITEWTSILNSNIWNLRNDNILPALHLSYQYLPSHLKRCFAYCSIFPKDCPLDRKQLVLLWMAEGFLDCSQGGKKLEELGDDCFAELLSRSLIQQLSNDDRGEKFVMHDLVNDLATFVSGKSCCRLECGDILENVRHFSYNQEYYDIFMKFEKLHNFKCLRSFLCICSMTWTDNYLSFKLIDDFLPSQKRLRVLSLSGYVNITKLPDSIGNLVQLRYLDISFSKIKSLPDTTCNLYNLQTLNLSSCWSLTELPVHIGNLVSLRHLDISRTNINEFPVEIGGLENLQTLTLFIVGKRHVGLSIKELRKFPNLQGKLTIKNLDNVVDAKEAHDANLKSKEKIQELELIWGKQSEESQKVKVVLDMLQPPINLKSLNICHGGTSFPSWLGNSSFSNMVSLRITNCEYCVILPPLGQLPSLKVLKICGMNMLETIGLEFYYVQIEDGSNSSFQPFPSLERINFDNMPNWNEWIPFEGIKCAFPQLRAMELHNCPELRGHLPSNLPCIEEIVIQGCSHLLETEPTLHWLSSIKNFKIDGLDGRTQLSFLGSDSPCMMQHAVIQKCAMLSSVPKLILRSTCLTLLGLGNLSSLTAFPSSGLPTSLQSLHIENCENLSFLPPETWSNYTSLVTLHLDHSCGSLTSFPLDGFPALRTLTIRDCRSLDSIYISERSSPRSSSLESLIIISHDSIELFEVKLKMDTLAALERLTLDWPELSFCEGVCLPPKLQSIMIQSKRTALPVTEWGLQYLTALSNLGIGKGDDIVNTLMKESLLPVSLVSLEIHHLSEMKSFDGNGLRHLSSLQHLVFFECRQLESLPENCLPSSLKSLTFYGCEKLKSLPEDSLPDSLKELDIYDCPLLEERYKRKEHCSKIAHIPVIKINDQVTI >RHN46533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32566009:32567054:1 gene:gene41028 transcript:rna41028 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWKGWRCFKSLTIYPFKSLLCKVSSNFRLKSQGHRNGLVSLYKDMESCGEYEDIQVMWKMIESSSPQHACKKKRNNRSSWVICSRPT >RHN42252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36730113:36733461:-1 gene:gene48669 transcript:rna48669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MVVQDMFYFNKDILAIKAQKKSPMLLRMSLLMFSMVCGVFICYVCLKQTSIHARTILLELQQIEMPSRTRFNLVDIPYLHFPNPVSFNRSECARNPVRLFAILTNQRSGSGWFETLLNSHMNVSSHGEILSVPERRVNVSTIVKTLDKIYNLDWFNSASKNECSAAIGLKWMLNQGLMEHPKEIVNYFNCRGVSVIFLFRRNLLRRMVSTLANSYDRYAKLLNGTHKSHVHSTEEADTLSRYKPTINSTSLLADLKDMEKRAAAALQYFNTTRHMILYYEDLIRNRTKLKEVQEFLGLPVMELTSRQVKIHKGPLSEHIKNWDEVANTLKGTSYESFLQADYSS >RHN50103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4081989:4084122:-1 gene:gene34356 transcript:rna34356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MKNSRVLLMVSVVLGVLSLWPMVVMGGPKLHKVGGSKGWKENVNYTTWSSQEHVYVGDWLKFVFDKRYYNVLEVNKTGYDYCIDMTFIRNLTRGGRDVVQLTEAKTYYFITGGGYCFHGMKVAVDVQEHPTPAPSPSLSDTAKSGGDSILPSMYTCFGIIVANVVYVSLVLVGIL >RHN77241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5351527:5353848:1 gene:gene677 transcript:rna677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MALEALNSPTSTTPKFTFDEPNLPWTKRKRSKRSRSCTEEEYLALCLIMLARGHTNRHDFNPLNPPPTTIDNNNNNTKLSYKCSVCNKEFSSYQALGGHKASHRKNSVGGGGDDHPSTSSAATTSSANTNGGGVRSHECSICHRSFPTGQALGGHKRCHYEGVVGGGASAVTVSEGMGSTHSHQRDFDLNIPAFPEFANKVGEDEVESPHPVMMKKKARVFVVPKIEIPNFQ >RHN44225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2551611:2554303:1 gene:gene38317 transcript:rna38317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MNLIKYLVSNFYGQKMWMVFLLIWPFIVGTQSASVTSQPHMEANAILNSGWWNTSDAYFNITFLCTWKEIVCNKAGSIKRIFIDSATTSEIHFETLNLSVFHNLEILFVYGIGLQGTIPEEIGLLTKLTDIDLSHNSLEGKIPPSIGNLRQLKNLDISYNNLQVSIPHELGFIKNLTSLDLSHNRIKGQIPSSLGNLKQLDYLDISCNNIQGSIPHELGFLKNITTLHLSDNRLNGNFPISLTDLTQLLYLDISNNFLTGGLPSNFGKLSNLKIFRLNNNSIGGTFPISLNSISQLGFLNISNNLLQGKLPSDFFPMINYAISIDLSDNLITGVIPTQFGNIEQLFLRNNKISGTIPQSICNARFLDYDISYNYLRGPIPFCIDDPSPLIGNNNICTNKLYDKIEFQPCPSRYNTKIGKSNKVELHVAIVLPILIILILTFSLIICLKLNHNSIKNKQADKSTKKNGDFFSIWNYDGQIAYDDIIRATEDFDIRYCIGTGAYGSVYKAQLPCGKVVALKKLHGYEAELPAFDESFRNEVRILSEIKHRNIVKLYGFCLHKRIMFLIYHYMERGSLFSVLYDDAEAMEFNWRKRLNVVKGVAFGLSYLHHDCTPPIVHRDVSTSNILLNSEWHPSVSDFGTARLLQYDSSNRTIVAGTIGYIAPELAYTMVVSEKCDVYSFGVVALETLMGRHPGDILSSLQLASTQGIKLCEVLDQRLLLPNNVMVLLDIIRVATIAFACLNLNPFSRPTMKCASQSFSTELTPLSIPLSEISVQQLMSQELKALFHIGNL >RHN53863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4795875:4804998:-1 gene:gene28823 transcript:rna28823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative clathrin adaptor, mu subunit MPVAASAVYFLNLRGDVLINRLYRDDVGGNMVDAFRTHIMQTKELGTCPVKQIGGCSFFYMRISNVYIVIVVSTNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKASERPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGVVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFKVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVVKIPVPKQTAKTSFTVTSGRAKYNAAIDCLVWKIRKFPGQTEPTLSAEIELISTMTEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >RHN70001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47877674:47885300:1 gene:gene18538 transcript:rna18538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain, CBS domain-containing protein MKKTTTKRPSKKSHAAENGNGKPPSPSSQPGDGGERTVKKVKLSKALTIPDGTTVTDACRRMAARRVDAVLLTDSSALLSGILTDKDVATRVVAEGLSPDETHVSKVMTRNPIFVTSDTLAIDALQKMIQGKFRHLPVVENGEVIAILDITKCLYDAIARVEKASQQGSAIAAAAVEGVEHQRAPNAFIDTLRERMFKPSLSTILGENTKVAITSASDPVHVAAKRMQELRVSSSVIVTENKIQGILTSKDILMRVMAPNLSPESTLVEKVMTPNPQCATLETTIIDALHMMHDGKFLHLPVVDKDGNVVACVDVLQITHAAISLVESSSSGNVNDVASTIMQKFWDSAFALEPPEDYDTNSEVSGQLTLDGADTTKSTYQSAGFGNSFTFKFEDLNGQVHRFTSGSENQDELVSAVMQRIGPVNDGERPRLLYEDDEGDKIIIATNNDLAAAVSYARSAGLKALKLNLEFADSTKLKPNTDITTKQKTSIVSLRSGIFAGAVVLTSISILVYLKRANQ >RHN41357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29405756:29408279:-1 gene:gene47672 transcript:rna47672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MTHLHQNLPFSFLLIFSLTTLVSSHSRPYSPPSVTHITNSFPHVTIESAFSNAFGASNVKFLSNGSMATLALDKISGSGLVSQSRYSYGFFSAAIKLPAGLSPGVVVAFYLSNADKFPHNHDEIDIELLGHDKRNDWVIQTNIYANGSVRTGREEKFYLWFDPTQQHHYYSILWNSYHTVFFVDNIPVREFIHKNTPSFIYPLKPMSLYATIWDGSEWATHGGKYPVNYKYGPFVVSLAEMELSGCINDPKSPISSCSKSNPSGLDPVDGAEFTKLSQQQIGAMDWARRKLMFYSYCNDRTRYKVLPPECQ >RHN71237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57521601:57523306:-1 gene:gene19893 transcript:rna19893 gene_biotype:protein_coding transcript_biotype:protein_coding MKTETSSSLEWSKSEMEVAEIILDFHCHVGLLSSIPFSWGCKRKRTASPSPATQNYRAVNAGSDTVVKVEACSSATESDAKLKRSSNKKPSLKKKKETPLTSQTSPKKRPCRNVNGTAEKPPPKKHSLRVVYASTAALSVSSTPALGKGCGGRAVKGAAAVKVEAPETPPLSPAAVKSSKGKYAPKSHDINAVAVKAEISNFETPKLSKRKPSVKLDIGKVKQDFERMQYDNLWLNAKKQEKELCFCLLVYENNNDIWTPQSLSLISITLKEYKPLKYLTNNFLIKIVIYLQLRQSKAENAMNKKQQQKFQSHHQNRNYGSSQMHKGKGVVHQTTSFYVGFSSTAWGQNINNNGPIALPDLNLPVEESINVASFQWLARSAQHIRRCDVNSTRKLI >RHN64662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60819104:60819987:-1 gene:gene27501 transcript:rna27501 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEMLEEFLSIKQRVPQARIFTTLNQHTEEKEVSSDLERRKEVQEEEHYYYHTPPTSPSKNSFDLVCPPPPKKRQRLAVTTRRTSTQSQERKFFQVPDDLTSIFLLRTKPSHQLN >RHN81928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49874043:49876428:-1 gene:gene6026 transcript:rna6026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MFPLQRCNELAKPLSNSLNHHQHHKISEDLILDDCDSLVIDFSLKKMDTNRPPNKLFYIDRANHGSNRNSIEDKKKMVHREIEKQRRQEMATLHTSLRSLLPLHFIKGKRSLSDQMNEAVNYINHLKKNMKELSYKRDELKKLSNPSLKNKSHVSCSFTIHKNNRTVGIEISTKTGFIEEGAPLSKFLEQLMRYGLDVVSCFSIQVNGKLLHSVQCEVINSDSVDLTELRRNLSNLNPSFSCSD >RHN61698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37606862:37608922:1 gene:gene24187 transcript:rna24187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MSFSDLGLSEWTVQNCKKLGMQTPRRVQQHCIPKVLEGRDVVGIDETGSGKTAAFALPILQRLAEHPKPFGVYVFALVLTPTRELAIQLADQFLALGSSLLPSLTVVFLVLDEADQLLDVGFRDELNVIVQCLPENRQNLFFSETMTSNLKKMMYDRYRDNMYAFEACEGLKLLEHLKIDQSPTVELCEMDSKPGSRTWISPITTSYCNTTDCDNAGNDKHMSFSDLGLSEWMVRGCDKLGMQSPRPVQRHCIPKVLEGRHVIGIDKTGSGKTAAFALPILQRLGETPFGVFALVLTPTRELAVQLAHQFWILGSSLRLILTVVVGGLDKRIQAKQLVARPNLVIATPERLKILLQDNPEIAPIFAATKFLVLDEADQLLDVGFQEELKVIFQCLPENRQNLFFSATMTSNLQKMCDCY >RHN80455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38240217:38242634:-1 gene:gene4384 transcript:rna4384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stizolobate synthase MNIITLRNENTREIVNSISKNIQKMALKETFYISHGSPTLAIDETIPAWKFLTSWKEVFPERPSAILVISGHWDTSVPTVNVVNHNETIHDFGGFPRSMYKLKYPAPGAPKLAKRVKELIEASGLSRVDEDKKRGLDHGTWVPLMLMYPEADIPVCQLSVSSNRNGTYHYNLGKAIAPLKDEGVLIIGSGSATHNMRAIGPRESPPPPWALAFDSWLKESLVEGRYEDINHYEEKAPYAKVAHPWPDHFFPLHVAMGAAGENSKAKVIHESWDGGAFSYASFGFTAASS >RHN38770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1889841:1892726:-1 gene:gene44736 transcript:rna44736 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVSRVQSEIIKFGLALNHVADYKLHVTLIATCIASMTPCCRPTFPKI >RHN40450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16420502:16423007:-1 gene:gene46602 transcript:rna46602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MQNLYPLLAYASELFREEHQARGLSTVSAAWGVGLIVGPAIGGYLAQPVEKYPQIFPKDSFWDKFPYFLPCFIISVLAFTVVIACIWIPETLHNHNGSEESKGDAEALENGSSKERTVQKNENLFMNWPLMSSIIAYSVFSLHDIAYHEVFSLWATSPLRLGGLNFTTDDVGDVLIISGVALCIYQFFIYPSVEKACGPIGFARITAIFSMPLLQSYPFIAMLSGITLYIVISIASILKNIMSETIQTGLFLIQNRVVEQHQRGAANGIAMTSMSLFKAIGPAAGGTILTWSQKRMDASFLPGMMLNYKQSSFINA >RHN51442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17862167:17863544:-1 gene:gene35876 transcript:rna35876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MTYLKLTPLAVFFLATLIILPMKKVEASRCLMALCSATSQTCGEGCFCFPTDPWQGIYDCQPASYKDLVKISGKNPNFCQSHVECKEKGSGSFCARYPSPNVDYGLCVASLSEGEDFFKIASKLTVAKDFLTMLEIA >RHN67239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25091201:25095305:-1 gene:gene15407 transcript:rna15407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubulin GTPase MSMFSLQNPNKLLSSSSIPTPISHTTLRNCISLNPRKTLRHRLKPVSCSFESIDNAKIKVVGVGGGGNNAVNRMIGCGLQGVDFYAINTDAQALLHSAAENPIKIGELLTRGLGTGGNPLLGEQAAEESKETIANALHGSDLVFVTAGMGGGTGSGAAPVVARISKEAGYLTVGVVTYPFSFEGRKRSLQALEAIERLQQNVDTLIVIPNDRLLDIADDQTPLTDAFRLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSGKNRAEEAAEQATLAPLIGSSIQSATGIVYNITGGKDITLQEVNRVSQVVTSLADPSANIIFGAVVDERYSGEIHVTLIATGFSQSFQKMLLTDPRAAKLLDRLPMGQESKQTSTPLKASNFSSTIASKASPRKLFF >RHN54758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11920029:11922780:-1 gene:gene29840 transcript:rna29840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MASKRDCFDISGPLHLTYVNWDDACNRKSVAASLVQGVYILEKDRQEQREGPNALALPWWTFFHFQLHHTLIDDVDHSIFGAIYEFKPPSSICNDTLHRSPRYVIAFRGTIKEPDTLIRDFHLDFEYCRNGLHRTSRPKIAIEAVRNMVDIVGGSKIWLAGHSLGSGIALLGGKAMAKKDIFIESFLFNPPFPSAPIERIKNKKWKERLRVAGSMFTAGLAVATMDIKKLSFDSFTALSAWVPCLFVNPCDKICLEYVGYFEHRGKMEDIGAGIIEQIATQTSLVSLMMNVFGKEPEDSEPLHLIPSATLTVNYNPTGNFKEDHEIHQWWKPDLHLKSELYKYQ >RHN47342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39014944:39015249:-1 gene:gene41931 transcript:rna41931 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQVSSKGWRSYKDDTGGERDGTDKNRSVISSYLSRPLSISFSVPVDFPTKRLNLFFFAHFLGCGFGGSDGGFNDYGGSLVLEVSYNPEVLVQLAKCRNC >RHN44770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8301360:8303558:1 gene:gene38931 transcript:rna38931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAKKYEGRAVGIDLGTTYSCVAVWLDEHNRVEIIHNEQGNRTTPSFVAFTNDQRLIGDAAKNQAASNPSNTVFDAKRLIGRKYSDSVIQNDILLWPFKVVAGSDDKPDIIVKYKGEEKHFCAEEISSMILTKMREIAEKFLESPVKNAVVTVPAYFNDSQRKATKDAGAVAGLNVMRIINEPTAAALAYGLQKRINNIGKRNVFIIDLGGGTFDVSLLTLKDDSFDVIATAGDTHLGGEDFDNRMLNHLVMEFKRKNKVDIGGNPRALRRLRTACERAKRTLSFDTETTIDIDAIHDGIDFNMLLSRAKFEQLNMDLFENCLAIVKSCLTDAMMDKNNVDDVVLVGGSSRILKVQQLLQDFFKGKDICSSINPDEAVAYGAAVQAALLCDGIKNVPNLVLQDVTPLSLGTSVLDDIMDVVIPKNTSFPIKRKRTFCTLEDDQSDVKIDVYEGERMVVGENNLLGLFDLEVRRAPRGHIIQVCFDIDADGILNVSAKEETSGNKKEITITNENGRLSREEIERKIKEAEYFKSEDMKFMEKVRAVNALEDYIYRMRKVMKDDSVTSKLTPVEKLEINSAIIKGENFIDDKQKYETLVFVDFLRELESTCESALRSDSE >RHN71040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56009990:56014035:-1 gene:gene19682 transcript:rna19682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-BEL family MYPNQAFSSGSYMDMFTSSPLLPYNYYNESVAEQNGIKFNMPIGDTVTMQSIDEHSNAYNSHVDEQSIQCQELSLRLGTLLPSNASVPPFQYQYNDTGFVSLMNACRLPKGTTIANEDELKSSECMESISSRGFHDTIKRDCFRNPHSSDQCLPGSQGFSNIFLNTQYLKAIQELLDEIVNVRKQRGMEKQETIRNIGLDNPKDSDGKSISQSMQITSGGPNDPNSNPSCEISSAERQNLLDKKTKLLSMLDEVDKRYRQYCHRMQIVVSSLDMVAGGGAAEPYTTLALRTISRQFRCLRDAISNQVQVIQRNLGEQEGIPRLRYVDQQLRQQKALQHLGVMRQAWRPQRGLPENSVSILRAWLFEHFLNPYPKDSEKIMLARQTGLTRNQVANWFINARVRLWKPMVEEIYKEEFGGSEMNCNFSSGNTLQELGNFTTVESGGHNNVSLALELRNCESDGLATSDDDAIHKRHNNNNNQTLVSSSQSTDLLDYHFTDSGKQQHMFGNTHLLHEFVV >RHN80863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41401214:41407486:-1 gene:gene4838 transcript:rna4838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MVIASPTFNLIHFTIPSSFSHQPSSRLRYRCKRLNLNYYPSISHSHRFACISSRCSITNTDLQLELDHVNEDKSEGGGCSIVEQECPVPIKINTDILVENDSLNLLSETAFVDTVLTSLPVLSEEEQHVLAATPAHPAGLYAFYSSCIVANFVEQLWTFAWPSAIALIHSSLLPVAVVGFFTKVAIIVGGPLVGKLMDHLPRVPAYNYLTVIQAATQLLSAAMIIHAHSVPPTSVSTLLLRPWFVILVSAGAIEKLCGVALGVANERDWVVLLTGVNRPVALAQANAILNRIDLLSEIAGALLFGVLLSKFHPVTCLKVASGLMIGLLPVTIILTCLTNKLSTGVLDRPKPSCRSFNEDSTSDDDSIVVKGLEAIKLGWKEYLGQPVLPASIAWVLLYFNIVLTPGSLMTAFLTQHGLHPSIIGGFSGMCAFMGVAATFVSSTLVEQFGILKAGAVGLVFQALLLSMAVAVYMSGSISHQSPLLLFLFLIILSRLGHMSYDVVGAQIIQTGIPSSKANLIGTTEVAVASLAESLMLGVAIIANDPSHFGCLAMLSLLSVVGAAWMFCRWLSNPTDEQKSLFSYHPQF >RHN54597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10699589:10702626:-1 gene:gene29662 transcript:rna29662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase III subunit Rpc5 MQNVLYLFIKLSQGSIIHRRLYVTYEFATIFLFCQTLSTTWKPSPANGCAVGLLMGDKLQLHPIHAVVQLRPSRHYLDSGGSEKNNVATSNMQNKQMEPSKSDGDECWVPLKYHSCKSDISAKYFQQMVAHESFPVNFEMSAYDYIAALCGGVSHNSLTKGPSTRHLLSLPVEKRLETLLIEGPPLHRFSAIKYFAPEYSNEELLSFLQKHAILLQGYWVPRGSLLYPNGGVESLARNYVLVLFHKSLKVRFADVRIWSDRVKHFVKQFAFEQVDLKRVKYWKFKELSDESFIKEFPNVVIQQEEIFKILEEEVTKVVYDIGKHKLSKVR >RHN50183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4908097:4909900:1 gene:gene34445 transcript:rna34445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein CHLORORESPIRATORY REDUCTION 7 MVVVLQPLTFNVFLHTTKIYHVQNAAIHITSPCLIQCFSSSIHQRPSQVACDCRSKTKVFAMRRRRANERTDTYVLLEPGKDERFVSEEELKDTLKEWLTNWPGKTLPPDLARYETIDDAVSFLAKSFCELEIDGEVGSVQWYEVRLE >RHN58312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:697368:701470:1 gene:gene20211 transcript:rna20211 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSGRRWLRFVQFKLYPTTSLCNKSAQRSSFCTSAKNINNNNNNKVVITDERYQQLENLNMMTALKMLFTDPPKKKKFGFDFHLVQFFFACMPSLAVYLVAQYTRYAIRRMEAEVEEKKKQKEEEEAKEKEKELELNPPEEKDKPDPQLSEMKERLEKLEETVKEIAVVKKKQSSSNIDTNQVTGDEKKAINSSAPSNTSGGSVTIKPVEHNSVGKDNSLKSRTELDEESKGSVTTPSSFLRLCLRVWRGRERRALEKREE >RHN54912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13456035:13456349:1 gene:gene30011 transcript:rna30011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MYLGFKEELKELLGEGYYGKGGLPKVFIEKKYIGRVEEIQKLHDDKKLEKLLDCCERIDDIEGGGSGCEACGDIKFVPSEPCYGSCKIYYEDDYEKDDNCEVGG >RHN59966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15394375:15399235:-1 gene:gene22140 transcript:rna22140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MNLGTPRREFYVQIDTGIDVLWVSCASCIGCPQTSGLQIQLNYFGSRSSSTSSFIACSDQRCKNGVQSSDSSCSGWNNQCTYIFKYGDGSGTSGYYVSDFMHFASITEESLFSNSSAPVVFG >RHN66166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9399815:9407878:1 gene:gene14095 transcript:rna14095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PWI domain, nucleotide-binding alpha-beta plait domain-containing protein MGSVVERDDNRIIKMNFTMDGAAKLRESVTEKLKEFMGEYTDDTLVEYVIVILRNGKSKEQAKNELNVFLGDDSDSFVSWLWDHLHLNLALYVKPEKLQDEAPKRKLISEIKAGDDGLQHSKSELERGNSNKFSRSRHNKDWKGLVKGEAEAPTIRSFEVDNAHVEEKVRSNVNRSRRSPSPKPAVQRKRGRADEQQRPKRDVVSQVNIAAPRRLLQFAVRDAVGSPRTSGVGTSVEPYLKRLRSVVSTSSADSSVVEHYQRVQPTSRAPNAMARVIKAVAEAAEDVKSKSSGSVFDRLGCGMDSSADNSQLDYQHQEQNQSMYLQRTDYNGQYAANTTMVERETVFLSDSNSDNEGCHDVNVIGRGVTGTSEISSSVGNKGSDSLMVQYSVAKKADDSLRLKQSQEQEQPTAACNPSRKIVNISVNVNTWKPDQYQEPREVVELDGHKISDNEIGDSRSNMQLVKENANALKISNGNVNLAPDVQKESSKAHCTPGSSVAGRPTEDVDSRTIFVSNVHFAATKDALSRHFNKFGEVLKVIIVTDAATGQPKGAAYVEFMLKEAADNALSLDGTSFMSRILKVVKKSAAPAQQESAPTTTWPHVRGSPFPTARFPRPPFARGIPGSFRPRPPMKLGARSMQWKRDAQGSPADSGSSVNSGNFAMPATRGLTYIRTPSKPEGLGTT >RHN49807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1271425:1272728:-1 gene:gene34028 transcript:rna34028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M48 MGMLRYFTLAAASFASIAISPRILFRLQNPGTIPYINRTRFIDPTKASTERISGQREFEEWKQQFQGMTLPSTHSHSVRVTRIAKNIVGSMHSEINKLRSISEDISQYGFLHRVWLRMTRKLPPSLSHLDGLNWEVLIVTGVPVRYFPALVCPGGKIIASTAFIELHPSDVELATMLAHEIAHIMARHGCERRTKVELISMIHRVLNRFVTIDFYQTVRNWIDHRFEFEADYIGLLLMAAVGYDPRQAPKYYEKMAMFDVPVKYPVLARFLVSHPSGRERAKAVARPEIMKEALLLYNDYRGRRGVE >RHN68383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35018865:35022049:-1 gene:gene16726 transcript:rna16726 gene_biotype:protein_coding transcript_biotype:protein_coding MISLVSPRFLQQLHRTNRHTHRFMGRLFVINLEGKIYSCRYCRTHLALYEDIVSKTFHSRHGKAYLFNKVVNVSLGETEERPMMTGLHTVADIFCVGCGSIVGWKYEIAHEKDQKYKEGKSVLERYKVSGPDGSNYRINNGAHVGGSDADDL >RHN70036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48232762:48235133:-1 gene:gene18576 transcript:rna18576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA hydrolase MSIPSRLLNSGKYVLARWCHHYFGGCDRRSCNSRRWISVGVGPMSTFEEIEIDAKVLRAGKGMAVVSVEFRKKKTGQIFAQGRHTKYISFITKM >RHN61505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36005088:36008147:-1 gene:gene23978 transcript:rna23978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MKDADVSKQIQQMIRFIRQEAEEKANEISVAAEEEFNIEKLQLLEAEKRKIRQEYERKAKQIDVRRKIEYSMQLNASRIKVLQAQDEVVNSMKDDAKKALLHISNDEQVYKKLIKEIIVQGLLRLREPSVLLRCREGDSKLVESLLEDVKKEYSEKANVQPPKIALDDRVYLPPQPKDGNVDTHEPFCSGGVVLASEDGKIVLENTLDARLDVIFRQKLPEACSLPYTHYIDFNQNLKFYLNC >RHN52335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34054572:34058313:1 gene:gene36998 transcript:rna36998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative annexin MATLILPPIPPSPRDDAMQLYRAFKGFGCDTTAVINILAHRDATQRAYLQQEYKATYSEELSKRLISELKGKLETAVLLWLPDPAARDAEIIRKSLVVDRNLEAATEVICSRTPSQLQYLKQLYHSKFGVYLEHEIELNTSGDHQKILLRYLTTPRHEGLEVNREIAQKDAKVLYKAGEKKLGTDEKTFVQIFSERSSAHLAAVSSYYHDMYGHSLKKAVKNEASGNFGLALLTITECATNPAKYFAKVLYKAMKGMGTNDSTLIRVIVTRTEIDMQYIKAEYAKKYKKTLNDAVHSETSGNYRDFLLALLGPNH >RHN72795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10734165:10737513:1 gene:gene8551 transcript:rna8551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain-containing protein MRRIVDRECKKNSVSFFSDAFSVMSPSKLELNPSVKNILLLDSDGRRVAAKYFSDDWPTNSAKEAFEKLVFNKTQKTNARTEAEITMFENNIIVYKFVQDLHFFVTGSDDENELILSSVLQAFFDSVGLLLRGNVDKKEALENLDLILLCIDEIIDAGIILETTPNTIAGKVGSNSTESGAPLSEQTLSQALASAREHLARSLLK >RHN57750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41483412:41484587:-1 gene:gene33334 transcript:rna33334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MYIALFFLIAEVSLFKHPSLHYYGVSGTTSIYNPKCSIAQASSTHIFIQNGEGDGTNIIYVGWQVFPHVYGDDKTHLYLAWTSDNFKKTGCYDMQYQGFVQTGDHHHVGEVIQNISVYGGPMVEMSISIPSYIQFMQIKNTLLRSQRFFILFICNTFFFFYFQFKFFIFKNVHKIIYSLR >RHN52723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38122765:38126331:-1 gene:gene37424 transcript:rna37424 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKMVIKLQMDCDKCRNKALKTAAEVQGVTSVSLEGNDKDSICVIGDDVDTICLANQLKKKFNSVTILSVEEVKKKSDADKKKEEEKKKEEEKKKMIEACRSVLQGTCIKCHDMTCNGKCDKCPKCESLKCDGKHCVTICFKCEDSKCDGKCKANCCNCDNKKCDGCTLPAPPKKPPTPPEKPPTPPIQQCPQWCTCPKCYAPYRPYPPCYNPYPPYCKVVYEQNPETCSIM >RHN76795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1333340:1337664:-1 gene:gene172 transcript:rna172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MALHTLTDRNYVTVNNRVLSPEFRGRMVSAFLSHHFSEVTDYSFTADIETELDNVSAGITKWKGLLGDYWMRFKSNCDHASDIPIYQKNGLLIAFIIYCLICLSDILLITSYLTCFSCTEGTLIFKVTRFGSGYFIGCDQHPRCKFHILYGDEEKEDTPQPNITIGEEPKLLGFNSSNEKVLLKRGSYAIYVQLGEDRKGHTPKRTTVPYVKDLESTTLEDALALLQYPFTLAKHPEDGRPVIIKAAKDGYSIRHRSTIAHVPKVLL >RHN59065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7254535:7254930:1 gene:gene21051 transcript:rna21051 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFGEGFIVEKTTVSLLYDKPIEEEDVIEYGDEDNNVCAYGGDNIDVPADVNVTGPNEDENISEDNKHCHAVNENAIVSGDDAVESNKNYAVSGCGNVPDKKKNWDAVNKDVIVSGDVDKNAVGNKNVSE >RHN51251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15396583:15400347:-1 gene:gene35659 transcript:rna35659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyadenylate binding protein MAAVVSSPKIVVPAALTGTGRFETASLYVGDLERNVNEGQLYDLFSQIAPVLSARVCRDQMTQSSLGYGYVNYSNARDAADAMENLNYYTLNGKPIRIMFSHRDPLIRKTGFANLFIKNLETSIDNKALHETFSVFGNVLSCKVAMDSNGHSKGHGFVQFDNDQSAKNAIEKLDGMLMNDKKVYVGYFVRRQERSSPKFTNVYVKNLSESYTDEDLKQLFNPFGVITSAVIMKHENGNSKCFGFVNFQSSDSAATAVEKLNGSTTNDGKVLFVGRAQKKSEREAELKAFFEQEKLKRYEKFQGANLYLKNIDKSLNEEKLKEQFSEFGTITSCKVMSDARGRSKGVGFVAFTTPEEASKAINEMNGKMIGQKPLYVSVAQRKEDRKAQLQAYFSAIQVSGGNAGYHSSVQRLAPQQIYYEQGTFGLMAPQPNGYGFQPQFMSGVGTGFVTPNYLLPYHLQRQGHPGNRMGGRAAGNFQQVRQKKNQMRPHNPNQGLQNGVGMSVDPGNQMMDPSGSAATSTGNHHHGPLSNNSLASALASASQENQHRMLEEHLHPLVGRLAPTNQTAKVTRMLLEMDQSEVIHLIESPEELKMKVAEAMRFLREASQGPAVGDKIDS >RHN76162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48135143:48136144:1 gene:gene12447 transcript:rna12447 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFFPIMSATKSLNLFWDRKTFTDDHRVGNYYDPAGDHRLISAKTYEPWKNNTVLQPRRKSSTQHNTVL >RHN44929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10060810:10061061:-1 gene:gene39109 transcript:rna39109 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDMKEQKLSTEAVKAQLMEVTLAKEQVELEKKQDQTNDEIDVILEMMKKQQQP >RHN47897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43395362:43398352:1 gene:gene42550 transcript:rna42550 gene_biotype:protein_coding transcript_biotype:protein_coding MDASVIESFNNCDYVDCINTTRSRASKDGNQHMFFQREWPRVQRKRPCCKRYLNWFCGKCCSHKFPKWQGNNLSRDRSDFQRVGSVA >RHN38562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:262073:264055:-1 gene:gene44515 transcript:rna44515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb/SANT-like domain-containing protein MATQRKVSADPPSSNDKVQRSKASWTDLKVNEIFIQTCLDQVIKGERIGTSFTKKGWKNIVCQFHESTGRDYDKNQLKNRYDTLKMEWRVWFDLFGKVTGMGWNNERNTFDAPDEWWENKQLENPLYGKFREKGLPFAHELTTLFKGVVANGEHAWAPSSGILPNEDGGIDVGDFGPGQNNIDLDVGEGSGDSEDASIGATGEFANINLNISQGDASRSSGQKRKRVRGVDKKTKKKTTPALAIAEAVKEIAETCKARNDVINNASIGVVMAELHSMDEITSDIDLFMKCCQLMMYKPAREMFVSFEGFKDRRLDWLKHAANNPLPFMKM >RHN48751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49965576:49966701:-1 gene:gene43501 transcript:rna43501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor OFP family MSGSRKKLLLNTVSVKLGCGSCRRLKLSNIFSPKPKSKNPTYQKHKLYNNQYSSSGPWTEDKYDSTSTPNTTTTNTATTFSPYYSSNFSDSDAYAKDQRRVGGLGRAGGEGVAVEKDSEDPYLDFRHSMLQMILENEIYSKDDLRELLNCFLQLNAPYHHGVIVRAFTEIWNGVSIMRPSSPSLHTSRTHTRTRKPRQH >RHN53336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1059345:1060335:1 gene:gene28234 transcript:rna28234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 12-oxophytodienoate reductase MEIMVGDPIPLLTPYKMGKFNLSHRVVLAPLTRQRSYNNIPQQHAVLYYSQRASEGGLLISEATGVSETAQGSSNTPGIWTKEQVEAWKPIVDAVHAKGATFFDQIWLVVYQPNGQAPISSTDKLLTPRIGGNGIEISQFTPPRRLRSEEIPNIVNDFRLAARNAIEAGNFTYLYQSLQKFKMLQYKRGRNNNIN >RHN56430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30809155:30809774:1 gene:gene31831 transcript:rna31831 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRAFRSQSVSTQGAYNLAHKTTEEHVPSKVAQSTVACFYKAIVAGFWRNVSVLWCKNLMNHTLHVMVDSVGDDSQFSCKIDVKPWPFWSKKGYKTFEVEGNHVEVYWHLRNAKFTVVVLLLGDYKKKAYKRTKSRPALVEAMLLLKKENIFGKKSFSTKARFDEKLENKYYIFIRSKHTTNSKGVNC >RHN42365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37733727:37735706:1 gene:gene48798 transcript:rna48798 gene_biotype:protein_coding transcript_biotype:protein_coding MALNNTSSDSDDEIGKSRAMIFPHEKPLHEILGGGKVADVLLWRDRNVSAAFLLGITLIWFLFEVVEYNIVTLLCHISITTMLVIYLWSTLADILKWNGPQFLETVLQESFFQELAFIVHRRLNQLLRMFLHISCGTDLPIFLLINVCLYILSVIGTYFNFINLLYIGFLCLQTLPIVYDRYEEEINNLAGHVIVDLRRKYRRFKKSYLNKIPRGPVKEKKIT >RHN40321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15307826:15308639:-1 gene:gene46464 transcript:rna46464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MAVSSVQPNWLELPRDVTANILQRLGAIEILASACQVCPLWWNIFKDPHMWHTVHITNFRYSPCSPYNYGDNLTKICRNAVARSCVLVIYDACNFRCVRGLSHEGFSETLRKLPLLEELEISQNKQLSNDSLEIVGQCCPLLKSLKYCRHPLDNIEMNDAAFGIAKIMPGLHYLKMSLDELTNDDVLAILDGCPLLETLDLRACKYVEKMPGTN >RHN69747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46000360:46000748:-1 gene:gene18260 transcript:rna18260 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIHVLVVFLSFTSLSILMGCEHDEDNTYAQEIVTSAQKEQDWLVSVRREIHQHPELAFQENTSTFIRKELDIPYTYPVCQNSYSCPNWLWFSTHHFYSC >RHN80615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39461267:39461750:1 gene:gene4560 transcript:rna4560 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSFMKTEFWRQKRVSQNCFFSHPNSMKRAKRCFHKATHVLRRKKWCQTHT >RHN77043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3484401:3485638:-1 gene:gene450 transcript:rna450 gene_biotype:protein_coding transcript_biotype:protein_coding MKIILGSLVVRKSKVALPIYIYIYSESISSISSLFSCTFFCPLPFKDHNKICSSFH >RHN66345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11120469:11123527:1 gene:gene14309 transcript:rna14309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLQPPINLKSLNICLYGGTSFPSWLGSSSFYNMVSLSISNCENCVTLPSLGQLPSLKDLEICGMEMLETIGPEFYYAQIEEGSNSSFQPFPSLERIMFDNMLNWNEWIPFEGIKFAFPQLKAIKLRNCPELRGHLPTNLPSIEEIVIKGCVHLLETPSTLHWLSSIKKMNINGLGESSQLSLLESDSPCMMQDVEIKKCVKLLAVPKLILKSTCLTHLGLDSLSSLTAFPSSGLPTSLQSLNIQCCENLSFLPPETWINYTSLVSLKFYRSCDTLTSFPLDGFPALQTLTICECRSLDSIYISERSSPRSSSLESLEIISPDSIELFEVKLKMDMLTALERLTLDCVELSFCEGVCLPPKLQSIKISTQKTAPPVTEWGLQYLTALSDLGIVKGDDIFNTLMKESLLPISLVTLTIRDLSEMKSFDGKGLRHLSSLQRLRFWDCEQLETLPENCLPSSLKLLDLWKCEKLKSLPEDSLPDSLKRLLIWECPLLEERYKRKEHWSKIAHIPVISINYQVTI >RHN61866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38989280:38991987:-1 gene:gene24373 transcript:rna24373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MGGIGKTTLATALYDRISHQFDACCFIDDISKVYRNEGRLVLKSKHYIKLLANSTFRYAIFTTKTNLIRLKLGHIRALLVLDNVDQVEQQEKLAVSSECLGAGSRIVITSRDVHILKEYEVDEIVN >RHN71235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57511223:57512074:1 gene:gene19891 transcript:rna19891 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDLFVDVIEVLDCYLELLMMILLLIVRKMNFWKIRTLFLD >RHN52547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36542584:36548904:-1 gene:gene37236 transcript:rna37236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl-diphosphooligosaccharide--protein glycotransferase MAVTASPPSVTQDLLNSLPKTLKLKTKQQELLLRITTLGLIYILAFITRLFSVLRYESMIHEFDPYFNYRTTLYLTEHGFSEFWNWFDSDSWYPLGRIVGGTLYPGLMLTAAGLYKILHFLRFAVHIREVCVLTAPFFASNTTIVAYFFGKEIWDSGAGIVAAALIAICPGYISRSVAGSYDNEGVAIFALLLTFYLFVKAVNTGSLSWALASAFGYFYMVSAWGGYVFIINLVPLYVLVLLVTGRYSLRLYVAYNCMYVLGMLLAMQIRFVGFQHVQSGEHMASMGVFFLLQVFFFLDWVKHLLGDVKLFQAFLRITVTSAVGVGAVALGVGMASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLTDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATVKNLTRVVRAKVQPVQGGSGKGTGASKGSSKGVIDNSQPFQKNGAMMLLFGAFYLLSRYAIHCTWVTSEAYSSPSIVLAARGAHGQRVIFDDYREAYFWLRQNTPPDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYDIMKSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLSYYRFGELTTEYGKPPGYDRARGVEIGNKDIKLEYLEEAFTTQNWIVRIYKVKPPKNRW >RHN75553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43232487:43234859:1 gene:gene11779 transcript:rna11779 gene_biotype:protein_coding transcript_biotype:protein_coding MLESMCFSSTGEKVTEGQFGCGSDKVKQRIFSRHVRNSNQMDKYR >RHN76004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46924236:46925971:-1 gene:gene12274 transcript:rna12274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRAPCCDKANVKKGPWSPEEDTKLKEYIEKHGTGGNWISLPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFSDEEDRIICTLYANIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMNLLPQSHNTVLPYLTSSSFPSHCTSYFNQTPTNTSFTTSLEQQFSVPSSSSSISLPFYHNQESLLNGFSSNTNTNISMQYQLQNPMMKESLVMFGSEGSCCSSSDGSLGKQEEIMGFQNFMQINKFNLSHGSDVVDVNNQWEREKVNLCFSQNHEKQITSTTPLDYDLEYIKQLISSNSGSCNNGYLSIDENKMEEKTMYYY >RHN58527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2512414:2512824:1 gene:gene20448 transcript:rna20448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKYLTSLTCFGMNSVHKSDLFFIADCFPLLEELDLSYPMNRSKYDFILDYDKRQLLALPKLRKINLSGNCIKDHQSINYLFKNCNLLEEVIMNDLISMDEADSDSDSGSGSGSGSDSDSDSDSDSDSDSTSDDDDA >RHN65097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64149568:64150050:1 gene:gene27994 transcript:rna27994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MEKMMRDSLGECERVPARGETKKCVGSIEDMIDFAASVLGRNVVVRTTENVNGSKKDLMVGHVSGINNGGKMTRTVSCHQSLFQYLLYYCHSVPKVRVYQAELLDPKIKDKINQGVAVCHLDTSDWSPTHGAFVSLGSGPGQIEVCHWIFENDMSWAVAD >RHN68198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33596413:33597541:-1 gene:gene16513 transcript:rna16513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MAYVKIAPFAVFLLAAFLMFSMKKIEGAKCGEACDTQFNFCNAGDGCRCFITDAYLTLPGFCAQLTSIEKKVEELPNLCWSHAECIRKGSGNYCAHLPNSDIKYGFCFASISEAEDVLLKRDFLKMSVSA >RHN48425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47394909:47395289:1 gene:gene43139 transcript:rna43139 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLSKHIKAKYVEPIYVFKGSMNNIPLKLGYLSVELCKLVSIFVDDDNTCSRIEGDINGTLCEILKTLSCKKQVSAFEFVHSGIIGSLVKYSVHGQCVKENGRLVIGVGDFDVLMEKQFEGLAKV >RHN53203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42822031:42837078:-1 gene:gene37983 transcript:rna37983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoglucan, water dikinase MNYYSLHRHCTYTIIHPPSPRRNSNPNRNRNHNQPRGGFILLPSLSSSSSSSSSSSIHHRLVSVAASSSTQTQPRNNTNNKKEEDINVHLHLRLDHQVQFGDHVVLLGSTKQLGSWTTHVPLNWTPNGWVCDFHFNAGDHLEFKFIIVHQDGTLHWESGDNRVLNLPNAGHFQTIAKWNKTHQTMELLPLNFNEQQQHQSHDHDQNNNNNDDDEKEAAASAPLSDAAGPSPFVGEWQGKSVSFMRSNDHQTHETQRTWDTSGIQGLPLKFVQGDQSARNWWRKLDLVRDIVGSVHGEDQLEALIYSSIYLKWINTGQIPCFEDGGHHRPNRHAEISRLIFRELEQHTSRKDISPQEVLVIRKIHPCLPSFKAEFTASVPLTRIRDIAHRNDIPHDLKTQIKHTIQNKLHRNAGPEDLVATEAMLAKITKNPGEYSETFVEQFKIFHRELKDFFNAGSLAEQLESIYESMDEYGMSALNSFFECKKNMDGAVESTASKEQGIKLLFKTMESLNALRDIIVKGLESGLRNDAPDSAIAMRQKWRLCEIGLEDYSFVLLSRFLNVLEVMGGASWLAANLESKNVNSWNDPLGALIIGVHQMKLSNWKPEECGAIENELIAWSARGISESEGNEDGKKIWTLRLKATLDRSKRLTEEYTEELLQIFPQKVEILGKALGIPENSVKTYTEAEIRAGVIFQVSKLCTLLLKAVRSTLGSQGWDVIVPGAVLGTLVQVERIVPGLLPSPVEGPIILIVNKADGDEEVTAAGRNIVGAILKQELPHLSHLGVRARQEKVVFVTCEDDEKIADIQRLIGSCVRLEASAAGVNLTLASSVDLDGNSSVESAFDDNISGVDVPAFSAGRISKYSQGASSTEVILLPDAETQNSGAKAAACGHLSSLSSVSGKVYSDQGVPASFQVPSGAVLPFGSMELELEKSNSAEIFKSLLDKIETAKLEGGELDGLCHQLQELISSLKLSKDIIENIGRMFPSNARLIVRSSANVEDLAGMSAAGLYESIPNVSPSNPTVFADAIGQVWASLYTRRAVLSRRAAGVPQKEASMAILIQEMLSPDLSFVLHTMSPTDQDNNSVEAEIASGLGETLASGTRGTPWRISCGKFDGLVQTLAFANFSEELLVRGAGPADGEVIHLTVDYSKKPLSVDPVFRRQLGQRLCAVGFFLERKFGCPQDVEGCLVGKDIYIVQTRPQPQ >RHN51992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29729589:29730805:1 gene:gene36591 transcript:rna36591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTQFLLFIYSLIIFLSLFLGEAALERTRTTMLTSYNIGCKSDADCPKAIEPHYTRCVDGHCWLYFGEGPKLHN >RHN51979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29464736:29467415:-1 gene:gene36567 transcript:rna36567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAT/LH2 domain-containing protein MMKMVLLLFCLATALTFKVSESKSAVSLQPHALESFDVGYIQMKGAENCSYLVMITTSCSSPKFTTDKISIAFGDASGNQVYAARLDDPKSGTFEQCSSDSFQLDGPCASPICFAYLYRSGSTDNKGWEPESVKIYGYNSDAVTFTFNSSIPSDTWYGYNYCDTPSPPSSSIQLSIQKWVLFVMFLGSVFSVWM >RHN58183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44407138:44410340:1 gene:gene33800 transcript:rna33800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase class 3 MATINPQNVSSNLIIDSDPLNWNSAANSLKGSHLDEVKRMLAEYKKPVICLGGVGTLTISQVAAVSNSSSHVKVELSESARAGVEASCDWISENIVKGTPIYGVTTGFGAASHRRTEQGFALQKEMVRFLNCAIFGRESELSHTLPSSATRAAMLVRVNTLLQGYSGIRFEILEAITKLLNHNVTPILPLRGTVTASGDLIPLSYIAALLTGRRNSRAVGPSKESLNAKEAFHLAGLSSGFFELKPKEGLALVNGTAVGSGVASMVLFEANILALFSVVLSAVFTEVMQGKPEFTHHLIHKLKFHPGQIEAAAIMEHILDGSSYIKNAKQQQPDPLQKPRKDRYALVTSPQWLGPQIEVIRFSTKSIEREINSVNDNPLIDVATDKALNGGNFQGTPIGVSMDNVRLAVTSIGKLVFAQFTELVNDLYNNGLPSNLSAGRNPSLDYGFKASEVAMAAYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISAMKTVEAIEILKLMSSTYLVALCQAIDLRHLEEIFKNSVKKTVSRVFKKTLIIDDREEIDPFRHCEENLLKVVDREYVFSYIDDPFNVTYPLMPKLKQVLYEHAHISAINNKDAKSSTFEKIGAFEDELKSLLPKEVESARVAFEKGNSEIPNRIKECRSYPLYKFVREELKIGLLTGEKDVTPDEEFEKVFTAMCQAKIVDPILECLGDWKGVPIPI >RHN62698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45226563:45226760:1 gene:gene25298 transcript:rna25298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC transporter, P-loop containing nucleoside triphosphate hydrolase MGGDLWVTISNGRKPILQGLKGYAKPGQFLAIMGLSGSGKSTLLDALANNEWYLFKISCLTLLLS >RHN68121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33059589:33061583:1 gene:gene16421 transcript:rna16421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MLHTLHFLLPNPNSLPPLSPKSIRLGFFSMDSDDMHYSNDVESLHDDDYSDDYDDDNNNNDAADDYVDGADDSDAKITEINFSILNESDIREQQEDDISSVAAVLSIPPVAASILLRHYNWNVSNVNEAWFADEDGVRRKVGLLEKPVCENHDAKKLTCGICFEAYRLSKIHTASCGHPYCFSCWRGYIGTSINDGPGCLMLRCPDPACGAAVDQDTINLLASAEDKEKYDRYLVRSYIENNKKTKWCPAPGCEHAVNFDAGGENYDVSCLCSYSFCWNCTEDAHRPVDCDTVSKWILKNSAESENTTWILAYTKPCPKCKRPIEKNNGCMHMTCTQPCRFEFCWLCLGSWSNHLNCNAYGTAKQDETVIRREMAKKLLEKYAHYYERWASNNSSRQKALADLHQMQTVHMKKLSVIQCQPESQLKFITEAWLQIVECRRVLKWTYAYGYYLAEHEHAKKQLFEYLQGVAESGLERLHQCAEKELQAFLSAEAPSEGFNNFRRKLAGLTSVTKNFFENLVRALENGLCDVDSNEAGSSKGRSGRGKGTNRATVLTYDNEWYCERCTYANVGSATECAMCDEWSCEKCTYANVGSVTECVMCNQQRQ >RHN60982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32033744:32040650:1 gene:gene23388 transcript:rna23388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxysterol-binding protein MGTPQKENESKGFFSAMSSRFSVFSNAMTRSVNGLLGYEGVEVINPDGGKDDVDDEVQRGRWKPEERDGYWKMMQNYIGADVTSLVTLPVIIFEPMTMLQKMAELMEYSHLLDQADQSEDPYMQLVYASSWAISVYFAYQRTWKPFNPILGETYELTNHNGITFLAEQVSHHPPMSAGHAENEHFTYDVTSKLKTKFLGNSVDVYPVGRTRVTLKKHGVVLDLVPPPTKVNNLIFGRTWIDSPGEMIMTNLTTGDKAVLYFQQCGWFGAGRYEVDGYVYNSSEEPKILMTGKWNQSMNYQPCDSEGEPLPNTELKEVWHIADVPPNDKFQYTHFAHKLNSFDTAPRKLLASDSRLRPDRYALEMGDLSKSGTEKSSLEERQRAEKRAREEKGQKFAPRWFELTEEVTSTPWGDLEIYQYNGKYAEYRAAADNSGSIDNVDVKSIEFNPWQFADLATE >RHN40801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21371262:21377185:-1 gene:gene47015 transcript:rna47015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MLPCISIYTRTRLMIMLPHFLSVLSSVLTILVLIQAQDQSGFISLDCGRPEDANYSSLETGINYISDAKFILDKGVSKSIQPTKEFSRQELEKVRSFPSGVRNCYKINVTSGTKYLIRASFYYGNYDNLNEPPQFDLHFGANVWDTVKLFSNASHITIKEIIYTPSVDYIKPCLVNTGKGTPFISAIELRAMNNTYTAYGTYEPKSVLSLIKRCNLGSTTDIKYRYRKDVYDRNWMPCKLPSVCRRLNTSVKNVEKLAGNETREPNITINDNVLKGDFNKFLKVRTVAGATPLTGATRYQISLSRTQNSTLPPILNAFEIYMGKVFSQSETQQDDVDAITNVKDAYKVARNWQGDPCGPGNYMWEGLNCSIDGYSIPRITSLNLSSSGLRGNISSYISKLTMLQNLDLSNNSLNGPLPDFLVQLQSLQVLNVRKNKLRGFVPSELLERHKTGSLSLSVDDNPDLCMTKSCGKNDFLVPLIALVVMVSIFLVCWIFRTLKATCSNSKKRRWMKSKHQTFSYTEILNITDNFKTVIGEGGFGKVYIGILHDRTQVAVKMLSKSSKQGYKEFQSEMILLKMQAQLLMIVHHRNLVSLIGYCDEGEKKALIYEYMGNGNVQQHLLDYANVLKWNERLKIAVDAAYGLEYLHNGCKPAIMHRDLKLTNILLDENMQAKIADFGLSRAFGNDIDSHISTQPAGTLGYVDPAYQRTGNTNKKNDIYSFGIILFVLITGHEAIIRAAGENIHILEWVIPVIEEGDIEKLFDPKLEGEFSINSAQKVVEIAMSCISPNATERPDISQILAELRECLSFEMDHHL >RHN58849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5415229:5422780:-1 gene:gene20803 transcript:rna20803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MTDTTNKTVATWRNIPNLTTISDDAVSPNHLFNNSTFTFTDATTTIPSKFATVSASQTKPNNEFAPTPAQLLNHPIAVVALVPKDAALFLAGAVAGAAAKTVTAPLDRIKLLMQTHGVRIRQESAKKTIGFIEAISMIGKEEGIRGYWKGNLPQVIRVIPYSAVQLFAYEIYKKIFKGKNDELSVVGRLAAGAFAGMTSTFVTYPLDVLRLRLAVEPGCRTMTEVALSMVREEGFASFYYGLGPSLIGIAPYIAVNFCVFDLLKKSLPEKYQKRTETSLVTAVLSASLATLTCYPLDTVRRQMQLNGTPYKTVLDAISGIVAQDGVIGLYRGFVPNALKNLPNSSIRLTTYDIVKRLIAASEKEFQTIAEENRNKLTKCP >RHN40202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14178601:14187453:-1 gene:gene46324 transcript:rna46324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) chromatin regulator PHD family MMEPEGEAGGKPMTTLGDQVCKICGENIGKTVDGEPFIACDACAFPVCRLCYEYERKDGKQSCLQCKTRYKKHKGSPAVIGDSEEEVGGDDVALEFNYDLENLNQKQKQKISERMLGWQLTLGRSGELGTLNYDKEVSHNHIPRLTSGQEVSGEFSAGSPERLSMSSPVAGGGKRVHSLPYSSDVNQSPNTRIVDAKLGNVAWRERVDGWKMKPEKNAAPMSTGQAASERGAGDIDGRSDVLADDSLLNDEARQPLSRKVSIPSSRINPYRLVIVLRLVVLCIFLHYRLTNPVRNAYALWLVSVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILSVDYPVDKVSCYVSDDGAAMLTFEALAETSEFARKWVPFTKKYNIEPRAPEWYFAQKIDYLKDKVQTSFVKDRRAMKREYEEFKIRINGLVAKATKVPEEGWVMQDGTPWPGNNVRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKHVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGEEPPLKLKHKKPGFLSSLCGGSRKKSSKSSKKGSDKKNYNKHVDPTVPIFSLEDIEEGVEGSGFDDERAQRMSREDHEKRFGQSTVFVDSTLMENGGVPQSATPETLLKEAIHVISCGYEDKSEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKWLERFAYINTTIYPVTAIPLLMYCTLPAVCLLTNKFIIPQISNLASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDSAELYMIKWTTLLIPPTTLLIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPKAEECGINC >RHN40587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17905781:17926556:-1 gene:gene46752 transcript:rna46752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MTSQPSIPSPPPPLPPPPASTAPPSEGGVRVRCAGCRMILTVAPGLTEFACPTCRMPQMLPPELMARVHQTAPPVTPPTQNLPAHGIDPTKIQLPCAKCKAILNVPHGLSRFSCPQCNVDLAVDLSKVKQFLPPPTLEEVNEVAVEVERDEDEGGMAGETFTDYRPPKVSIGPPHPDPVVETSSLSAVQPPDPTYDPKTKDNLESSKALSCLQIETLVYACQRHLQHLPSGARAGFFIGDGAGVGKGRTIAGLIWENWHHGRRKALWISVGSDLKFDARRDLDDAGATCIEVHALNKLPYSKLDSKSVGIKEGVVFLTYNSLIASSEKGRSRLQQLVQWCGPGFDGLVIFDECHKAKNLVPEAGSQPTRTGEAVLEIQDKLPEARVVYCSATGASEPRNMGYMVRLGLWGDGTSFSEFREFLGALDRGGVGALELVAMDMKARGMYLCRTLSYEGAEFEVIEAPLEDKMMDMYKKAAEFWAELRVELLSASAFLNDKPNTSQLWRLYWASHQRFFRHMCMSAKVPATVRLAKQALVDGKCVVIGLQSTGEARTEEAVTKYGSELDDFVSGPRELLLKFVEENYPLPEKPQLLPGEDGVKELQRKRHSATPDVSLKGRVRKSAKLQPPSDVESDEESETDSGIESNDSDEEFQICEICTTEEERKKMLQCSCCGKLVHSACLMPPIGDVVPEEWSCHLCKEKTDEYLQARQAYIAEIQKRYDAALERRTKILEIIRSLDLPNNPLDDITDQLGGPDKVAEITGRRGMLVRGPAGKGVTYQARNTKEVTMEMVNMHEKQLFMDGKKFVAIISEAGSAGVSLQADRRAANQKRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRILFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAYNYDSAYGKRALMIMYKGIMEQDSLPVVPPGCSSDRPDTVQDFIIQAKAALVSVGIVRDSVLGNGKDSGRLSGRIIDSDMHEVGRFLNRLLGLPPDIQNGLFELFVSILDLLVRNARIEGNLDTGIVDLKANVIELQGTPKTVHVDQLTAASTVLFTFILDRGITWESASNMLNEKQKDGLGSANDGFYESKREWLGKRHFILAFESSASGMYKIVRPPVGESNREMPLSELKSKYRKVSSLEKAQTGWEEEYEASSKQCMHGPNCKIGNFCTVGRRLQEVNVLGGLILPVWGTIEKALAKQARLSHRRLRVVRIETTVDNKRIVGLLVPNAAVETVLQGLAWVQEIDD >RHN77087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3948621:3948886:1 gene:gene505 transcript:rna505 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLDVRFCPKISSTSMGRFHAACSSLKRKYSSLSTSSA >RHN71809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2752952:2754752:-1 gene:gene7446 transcript:rna7446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MLTATDRDGKKNVTKIIISMGVVGAIIFATAAYFLWSWTSKYAARRKIEKMLVSSTRQIHPENRNASLIGNVKQLQQIEDLPLFEFQKISSATNNFCSPNKIGQGGFGSVYKGELQDGLAIAVKRLSKASGQGLEEFMNEVIVISKLQHRNLVRLLGCCIEGEEKMLVYEYMPNNSLDFYLFDPIKNKILDWQKRLYIIEGISRGLLYLHRDSRLRIIHRDLKPSNILLDGELNPKISNFGMARIFGGSENEGNTRRIVGTYGYMSPEYAMEGLFSEKSDVFSFGVLLLEIISGRKNTSFYNHQALTLLGYTWKLWNEDEVVALIDQEICNADYVGNILRCIHIGLLCVQEIAKERPTMATVVSMLNSEIVKLPHPSQPAFLLSQTEHRADSGQQNNDSNNSVTVTSLQG >RHN61186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33730660:33734228:1 gene:gene23624 transcript:rna23624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arginine decarboxylase MVYSSLPLPVLSFPFIPKRRPKCFSERSTALQEYEQEESIGTVNTASITNNTVLFSNSPVLQQSGLPPLVSALKASAEENAASFHFPGHNRGHAAPSSLTQLIGVRPYAHDLSSIPELDNLFCPQGPILEAQREASKLFGSSETWFLVNGTTCGVQAAIMATCSPGEYLILPRNSHLSAISAMVLSGASPKYIIPDYKNDWDIAGGVTPLQVLNAIQELELEGKKAAAVFITSPTYHGVCSNLSDISALCHSRKIPLIVDEAHGAHLGLHSELPSSALQQGADLTVQSTHKVLSSLTQSSMLHMSGDIVDKEKISRCLQTLQSTSPSYLLLASLDAARAQLSESPAIVFKQAIELANEAKFLLKRIPGVSVLENSSFPNFPAFDPFRLTVGFWELGLSGYQANEILCRDFGIVRELVGYKSITYVLNLGTCRDHVQRLLLGAKHLAAVYSSIQQRKDKVLTDHAPFDDIIMSLTPRDAFFASKRKVMVKESIGKVSGELICPYPPGIPVLIPGEVITEKAVDYLLHVRSEGADISGASDPLLSSIVVCNV >RHN67679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29231195:29236314:1 gene:gene15890 transcript:rna15890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 2-beta-dioxygenase MNNLDSYPPILRQQNNQQQNPYEPNDTIEDSDPIPTIDLKCLEHKNLDEACKEWGLFRLINHGVPITLLEQLQDLTKQLFSLSFESKQEACNESPIKYFWGTPALTPSGTAITKGPQNINWVEGFDVPLCQLSNFQHQLPSLESIRLLLMEYATHLLRIATTLFEAMVKNLELNLKTTKSYLSENNGNIRVYRYPPTDVGWGMEVHTDSSVLSILNPDDHVSGLQVLKDDQWLTVKPVSNTLVVNLGDMMQAISSDRYKSVSHRVKVEKDIERISLCYFVFPGEDVVIESNKYKPFTYNEFRAQVQQDIKTLGNKVGLSRFQKKQG >RHN80533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38859572:38860411:1 gene:gene4471 transcript:rna4471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MTFELKNDSHLTGIKKILGFSYDDLPCYLKSCLLYFGMYPKGYEVKSKRVILQWIAEGFVKEESGKTLEEVAKGYLTELIRRSLVQVSSVRIDGKPKSCCVHDLIRMMILEKCEDLSFCKHFNEDGHSSLSGTIRRLSIATSDLNACNENSHIRSLFLFTDKSNNAKESSVNRILKKYRTLKVLDFQDARFFRDLKHFRSLIHLKYLSFKNLYIQHSQHGFPKWIGILLNLETLDLRASPKSSDCFN >RHN63348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50566340:50573493:1 gene:gene26035 transcript:rna26035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GPI transamidase subunit PIG-U MSTKTETPVKKKNQKKIQCFWSWVIGSVILRLIIIYFPKNLNLSSRPEVSTPLTSIRRLAEGYWLKQSSMSPYAGSMYHGSPLLLTLLGPLTVKRIEGQPDHLLCSLVFVIADVVTAMLICAAGKKLQAAYSSSLQSIGLHHLSEDSDILPSGDFAALLYLWNPFTIVACVGLSTSAIENLMVVVTLYGACARLAPLAAFGWVMATHLSLYPAILIIPVILLFGYGPDAPPRKLFRQRTNLEVDDSASGDRSCLEDELVNEKRMLNVFSWRPVVLFLFWALLWSSYVLVLCGIYVQQHSGLQEMFKSTYGFILTIQDLSPNIGVFWYFFAEVFDFFRSFFLIVFHGNILLMILPLALRLNHRPCFLAFVYIVLSSMLKSYPSVGDSALYLGLLGLFAYELKDMQFSFFLFSGYVGVSLLSPVMHNLWIWRGTGNANFYFATAIGYACLQIILVVESVSAMLNHDRMITKLTTAKLQNVKS >RHN56392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30538757:30542072:-1 gene:gene31784 transcript:rna31784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MSSRGQAKKFNWRVWFWLFLNGFVGMTMALGLFYYGLRDTTATYSVNFLNLVPILTFLTSIICRMENLKIGTWGGRAKCIGAIMCVGGALATSLYKGKEFYIGHHHHHHHHSHHSAEISAVAAHKTHMFRGTFFLVGACCSYTAWFILQVKLVKVFPLRYWGIMLSCVMAAIQSATIGACLNQSKEAWRLDWNLQLITILYSGALATAATFCLLTWAIKIKGPTFPPMFNPLALVFVAISDTIILGEPLKVGTLLGMVLIIIGLYYFLWGKRNEMPRLPQTSVAAAELSTSMDDQPIVSQSKAVVVPTSSPNESVHLDVDKSDKN >RHN65463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2426393:2430537:-1 gene:gene13304 transcript:rna13304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MRTSLVFLLLLTCLLVVENVQCKPNYREALAKSLLFFQGQRSGRLPPDQQIKWRSNSGMSDGRLANVDLTGGYYDAGDNVKFNFPMAFTTTMLSWSTIEYGKRMGPQMKEARAAIRHATDYLLKCATSTPGRLYVGVGDPNVDHKCWERPEDMDTVRTVYFVSSKNPGSDVAAETAAALAAASIVFRKVDPTYSKLLLRTAQKVYQFALQYQGSYSDSLGSAVCPFYCSYSGFKDELLWGAAWLFRATNAVKYYNLVKSLGADDQPDIFSWDNKYAGAHVLLSRRALLNGDKNFDQYRQEADNFMCKILPNSPSSTTQYTQGGLMFKLPASNLQYVTSITFLLTTYSKYMAATKHTFNCGGVFVTPNTLRTVAKRQVDYILGENPLRMSYMVGYGPYFPKRIHHRGSSLPSLSVHPQTIGCDGGFNPFFHSMNQNPNILVGAIVGGPNQNDGFPDDRGDYSHSEPATYINGGIVGPLAYFAGNN >RHN41372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29587643:29590305:-1 gene:gene47689 transcript:rna47689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MHKHTLFLFYVFLSTLTVGLAVDHAGDKNQNPFTAKAFAIRYWDRVIKNKLPKPTFILNKASPLSATETAAFAKHAAANTLSTKLPEFCSAAHLLCFPEVTPNLAKHSQDGKFSVYNDGQNFTNYGTERPGGLDSFKNYSNGFENNPINEFRQYSRSSAGHNDSFTNYALETNVADQNFNTYGSGAAGGSGDFKAYAKGTNVPNLRFTTYSVGVAGRQQEFTSYSEAGNAGDQSFGNYGKDSAGAENKFTAYGTDSNVASSGFSSYADQGTGNKDTFVNYGVNMNNPTENFKNYASGSLGAAEKFSNYRDQANVGADSFTSYAKDSTGGTHVDFDNYGKSFNEGTDSFKGYAKGADADHKITFKGYGVNNTFKDYDKKGISFAGYTKKSSSSTNSVSESVSLAKKWVQPGKFFREKMLKQGVVMPMPDIKDKLPPRSFLPRTILTKLPFASSKLNELKQVFKVSENSSMDKMIVDSLGECERAPSMGETKRCVGSLEDMIDFATSVLGHDVTVRSTESVNGSGKNVMVGRVKGINGGKVTESVSCHQSLFPYLLYYCHSVPKVRVYEADLLDPESKVKINHGVAICHLDTTAWSPTHGAFMALGSGPGKIEVCHWIFENDMTWTTTD >RHN66512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13238526:13248343:-1 gene:gene14515 transcript:rna14515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-disulfide reductase MSRVPTNPSPPPSPPSMPSIIKAYALPLLLFSAAMFYQLFLIPNAFPPSHYDVLRIKMYSSIDEVKEAYVNLESKWNSDVEVSDVCEFLKIRYAYELLTNPLWKRDYDLFGIDEQLHIIESANKRYAGKSISELEFPLLHTPSSESIDHSTKVITASDFKSIFPDSKPWLIQLYSSGSNRCAEFSKSWNKIASLLDGFANTGVVELGEKEVAIYFADKRPTGKPFFRNGIPSLFAIPPGCRSAKCFSRFDGEPTIDAVTNWFATTVLALPQINYYTKESLVANFFGKSSHHKVKVIFFSKSGERASPYIRQVAKDYWAHASFAFILWREEESSYWLGAFGVESAPAIVFLKDPGVKPVVHHGSVDNSLFLSMMEKNKDQELPQLRSVTSMELGCDPRGYSRAGYDTVIWYCAIAVGRPSVELNRMRETICRVQDTLAKQSELDASSENESLAPVVDAFKRKRLTFAWLDSEKQKDYCQFYLGEGASEATCGQRKGMTDIPRLLVIRYLRNSSAIDTRTQDLSKWKSLLVQDLIDDTDQAGQFVAGYKGTADDSEITQWLSNIIKDGDSRDLPFFTLRTPKLVPDDTEPIWSKTAQQIPLKNIKQHILGVIGGLSVYLDDPRIGPFLLLAALISLGTIWLRRSQQVHLSQSKQPTQPSSTEPPSHDERKPRPTDRVRRPSGKKAPPSMTDFEPSDAYQMPLPDSDSD >RHN70618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52757400:52768605:-1 gene:gene19221 transcript:rna19221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MKCSSEAVSSLSLSSVPSSSFTDQSQPATSSSSSSSAAAAEDLAIGSRDGGSALETVVVDRRNEYSAVCKWTVNNFPKVKARALWSKYFEVGGYDCRLLIYPKGDSQALPGYISVYLKIMDPRGTSSSKWDCFASYRLAFVNVVDDSKTIHRDSWHRFSTKKQSHGWCDFTPASTIFDPKLGYLFNNDSVLITADILILNESVNFTRENNELLSSSLSSSTLSSSVVAGPVSDVLSGKFTWKVHNFSLFKEMIRTQKIMSPIFPAGECNLRISVYQSTVSGVEYLSMCLESKDTDKNAMLSDRSCWCLFRMSVLNQKPGSNHMHRDSYGRFAADNKSGDNTSLGWNDYMKMSDFVGTDSGFVVDDTAVFSTSFHVIKEFSSFSKNGAVIGGRSGGSARKSDGHIGKFTWRIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNSSSDWSCFVSHRLSVVNQKTEDKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVIFSAEVLILKETSIMQDFTEHDSESNSSSSLLDSTGKRSSFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQAVGSDPDKNFWVRYRMAVVNQKNPAKTVWKESSICTKTWNNSVLQFMKVSDMLEADAGFLLRDTVVFVCEILDCCPWFDFSDLEVFASEDDQDALTTDPDELIDSEGSEGISGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSGSCDGKKATKADESSPSLMNMLMGVKVLQQAIIDLLLDIMVECCQPSEVGPVSDSVEECSKPSPDSSGTASPLHCDNENRAVESAQVLVHERLDSVVEESCSTSSVQSSDLNGHCIQEKALPGQPICPPETCATVSENTSFRSKTKWPDQSEELLGLIVNSLRALDGAVPQGCPEPRRRPQSAQKIALVLDKAPKHLQADLVTLVPKLVEQSEHPLAAYALIERLQQPDAEPALRIPVFGALSQLECGSEVWERILFQSFELLTDSNDEPLVATIDFIFKAASQCQHLPEAVRTVRVRLKSLGLDVSPCVLDFLSKTINSWGDVAETILRDIDCDEDYGESCTALPCGIFLFGEHGAAATGLHMIDEQAFRASRHFSDIYILLEMLSIPCLAVEASQTFERAVARGAIGAQSVALVLESLFSQRLNNNARTENFQHPDGATEEDACEQFGVQRDDFTSVLGLAETLALSRDLCVKEFVKLLYMIIFRWYANESYRGRMLKRLVDRATSTTDNGREVDFDLDILVTLVCEEQEYIRPVLSMMRGVAELANVDRAALWHQLCASEDEIIHIREENKTDISNMASEKAVLSQKLSESEATNNRLKSEMKAEVDQFSREKKELAEHIQEIESQLEWHRSERDDEILKLSSEKKVLHDRLHDAEAQLSQLKSRKRDELKKVVKEKNALAERLKNAEAARKRFDEELKRFATENVTREEIRQSLEDEVRRLTQTVGQTEGEKREKEEQVARCEAYIDGMESKLQACQQYIHTLEASLQEEMSRHAPLYGAGLEALSMKELETISRIHEEGLRQIHALQQRKGSPAGSPLLSPHALPHSHGLYPAGSVGLPPSVIPNGVGIHSNGHVNGAVGPWFNHP >RHN51734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23848752:23850793:1 gene:gene36244 transcript:rna36244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MVLLGIFALLAILALVLFLKLMFSWWISPIQRYRKFQRCGFQGPPPHFPFGNIKEMKKKNGVDSSFESSMLTHDIHSTVFPYFSRWQKSHGKVFIYWLGTEPFLYIANPEFLKKMSNEVIAKRWGKPNVFRNDREPMFGKGLVMAEGNEWVHHRHVIAPTFSPLNLKATSSMMVESTKQMMDRWITRINSGNPEIDIEREIIATAGEIIARISFGLKDENARKICEKLRTLQMALFKTTRYVGVPYIKCIDMKKTIEAKKLGKEIDKLLLCVIETRKESREKKHGREDLLDLLLNENQVDGKYGKRLSTKQLVDECKTFFFAGHETTALAISWTLMLLAMHEDWQNQLRDEIREVVGDKEVDINVLSGLKKVMFKT >RHN61025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32374553:32378216:1 gene:gene23435 transcript:rna23435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MHQTCLCATLPLQSKRNSSITTSFKLTKPSQSTHTRTIRSQSMLHSHTPTNHKWSLNGMTALVTGGTRGIGYAIVEELMGFGAKVHTCARNEDDLNKCLKDWNHLGFEVTGSICDVSVPQQREVLMEDVSSVFNGKLNILINNVGTNIRKPMIDFTAAEFSRLIDTNLGSTFHMCQLAYPLLKASGVGSVVFISSVSGFVSLKSMSVQGATKGAINQLTRNLACEWAKDNIRSNAVAPWYIRTSMVEQVLSNKDYLEEVYSRTPLRRLGDPAEVSSLVAFLCLPASSYITGQIICVDGGMSINGFSPTHI >RHN40956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24980743:24981632:1 gene:gene47207 transcript:rna47207 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCYERSRQTMASFFQDPWRDNKTNFTDYHVRLDHVEFITIISYFCVFYELCTFVCFWKDQHKSQTSVISLIKYGYTCRHKTRCC >RHN62492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43832450:43835496:-1 gene:gene25073 transcript:rna25073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3'(2'),5'-bisphosphate nucleotidase MSLYCSIFRANISHIFRHGKRSGYFTLSHIEAKTSSSFLSFNHHKNLSSHIGFVSKFEQNYSSPLMEEDRQMVGLVSQSEEYSKELDVAVRAVQMACSLCQKVQETLISKDCNSSVTVAGWSVKAIVSWILSECLGGDNISILAEEEVQTLSNTNASELLETVVKIVNDCLSEAPLFGAEAPKSALGTSEVLEIISHCNSVGGHSGRFWALSPLDGKLGFVNGDQYAVALSLVEDGEVVVGVLGCPNYPMRKDWLGYQQSYHRIMSKLIPPTSETWNRGCVIYAKKDSGKAWIQPLIHHANKKFVWPNHAKLVSLSSVDNLGLATLCQTVEEANSSHSFTDGLAHSVGFSNKPLGVKSIMKYVALACGDAEVFMKFARTGYKEKIWDHAAGFIIIQEAGGTVTDVRGRSLDFSKGSYLRGLDRGIVACAGSTLHEKIIDAVDASWSSSSL >RHN67408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26947864:26949488:1 gene:gene15597 transcript:rna15597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fasciclin-like arabinogalactan protein MHPFLRPPTMAAFTAALLIFTTILTTTTAHNITRILAKHPELSTFNHYLSLTHLASQIDSRTTITVCAVDNAAMEDLLSKHLSINTIKNILSLHVLLDYFGAKKLHQITNGTALAATMYQATGTAPGSSGFVNITDLRGGKVGFGAENNDGALTASYVKSVEEIPYNISVIQISSVLPSAAAEAPTPAPSQQNLTVIMSKHGCKIFAETLSTFHEAFNTFTDAIDGGLSVFCPADDAFKAFLPKFKNLTMSGKIDLLEFHGVPIYNSIPMLKSNNGLMHTLATEGASNYDFTVQNDGEEITLKTKRVTAKIIDTIIDEQPLAIYTISKVLLPQELFKGEAPSPSPSPAPEPAAADAPEPPKKGKKKKKKVAADAPADDSDAPADSPDDDAADDNADDSDGAVRFNGIVGMVLALVFGFLFL >RHN72809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10873715:10877761:-1 gene:gene8568 transcript:rna8568 gene_biotype:protein_coding transcript_biotype:protein_coding MELHTSTLPLRSSLPSSFFSSSSSSSPHSTHSSPIKITSLPFSRFISFTKLQTLTRRRGFRPCNYVASNEDNASSDVVDESKMIGVCDKLIGVFMVDKSTPTDWRRLLAFSREWDNIRPHFFARCNDKAVSETDPVLKEKLLRLARKLKEIDEDVQRHNDLLDVIRKDPSEISNIVSKRRKDFTNEFFVHLHTVTQSYYKDAEKQNELTKLGEACFAAVQAYDGATESIEQINAAQLKFQDILNSPTLDAACRKIDNLAEKKELDSTLVLMITKAWAAAKDSNMTKDEVKDILFHLYKTSVANLQRLVPKEIRIVKYILRIEDPEEQLSALKDAFTPGEELEGNNEDSLYTTPEKLHTWIKTVVDAYHLSKEGTLIREARDLLNPEIIEKLEMLKKVVERNFM >RHN65849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5781736:5787220:-1 gene:gene13741 transcript:rna13741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MEKHNKLIMLMVCFFNFGDPQRQYFGIWYKNISPRTIVWVANRNTPVQNSTAMLKLNDQGSLVILDGSKGVIWNTNSSRIVAVKSVVVQLLDSGNLVVKDADSTQNFLWESFDYPGNTFLAGMKLKSNLVTGPYRYLTSWRNPDDPAEGECSYKIDTHGFPQLLTAKGAIILYRAGSWNGFLFTGVSWQRMHRVLNFSVMFTDKEISYEYETLNSSIITRVVLDPNGLSQRLQWTDRTQNWEALANRPADQCDAYAFCGINSNCNINDFPICECLEGFMPKFQPKWESSDWSGGCVRKTHLNCLHGDGFLPYTNMKLPDTSASWFDKTLSLEECKTMCLKNCTCNAYATLDIRDDGSGCILWFHNIVDMRKHQDQGQDIYIRMASSELDHKKNKQKLKLAGTLAGVIAFTIGLIVLVLVTSAYKKKIGYIKKLFLWKHKKEKEDGELATIFDFSTITNATNNFSVRNKLGEGGFGPVYKAVLVDGQEIAVKRLSKTSGQGTEEFKNEVKLMATLQHRNLVKLLGCSIQQDEKLLIYEFMPNRSLDCFIFDTTRSKLLDWTKRLEIIDGIARGLLYLHQDSTLRIIHRDLKTSNILLDIHMIPKISDFGLARSFMGDQAEANTNRVMGTYGYMPPEYAVHGSFSIKSDVFSFGVVVLEIISGRKNRGFCDPLHHRNLLGHAWRLWIEGRPEELIADMLYDEAICSEIIRFIHVGLLCVQQKPENRPNMSSVVFMLKGEKLLPKPSEPGFYGGSDNNINNNTISTGSSSKGCSVNEASISLLEAR >RHN71509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:648205:649783:-1 gene:gene7118 transcript:rna7118 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSNSSINGFYNMLNQGLIDLHQTFISHNFMSFQFLSQVISSLQSFHSQLTLLVRKLRLPVGGKWLDEYMDESSRLWDSCHVLKSAISGIDNYYSSATNIVSSLHGYYHHVTPEFSRQVIRAINVCQREILGMEEENKNLTETRIQQLSQCLNQNTNICSNSNSKLNGFSGFRGVLFAMRSVSSLLLMILLSGLAYCWSSSCFHHEGQMVSMEMLQQKVAEEIDNNDGQGILLFEFQKAKIAMEELKVELENITYGGNEIQEKVENVKNCFGLLRCGVDTITGQLDDFFDEIVEGRKKLFGHV >RHN59933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14965061:14968327:1 gene:gene22104 transcript:rna22104 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMEVKFISTFFITLLFAVSAFANVHLRSPEVYFQNGNFEEKPNPRFIKETRLIGKHSLPKWEINGLVEYISGGPQPGGMFFPVSHGVHAVRLGNDASISQTIKVKPGQWYALILGASRTCAQDEVLRISVPPQSGEVPLQTLYSLNGDVIAWGFKASSSLAKVTFHNPGVQEDPTCGPLLDAVAIREFYPPMPTRDNLVRNPGFEEGPFPIFNTTNGVLLPPKQQDLVSPLPGWIIESLKAIKFIDSKNFQVPFGNGAVELVAGRESAIAQILRTVPNKVYNLKFTIGDGRNGCHGSMMVEAFAAKETLKVPFKSLGKGTFKTASFNFKADSDTTRITFYSSFYHTRIDDIGSMCGPVLDQIIVSPVA >RHN56079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27456881:27457317:1 gene:gene31406 transcript:rna31406 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNTNFKSNFTLSTSFHRHTLKPYQLFYWISISTFLWLHKQQHRMLPSHASSICNSQCDRN >RHN51375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16945781:16947158:-1 gene:gene35804 transcript:rna35804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MASRYEAEVKLSSAYNLKNVNWRNGSNKPYGVVWIDPKNKFSTKVDENGDTEANWDQTLIIPLPPQPIEDLTLYIDIVHAGSEPDTKPLIGSARLKLVEVLDDVGIGERASRSLTLKRPSGRPHGKVDVKVIIREPGYRGSGEYYAPPYGVPPPQASSRDYNYNPSAPGYGNPYGAPPQNYGYSAAPPGGYPYNAGPQTAPPGGYPYNAGPQTTGYEPGYGSSYGQGSSYGYGQVEEKKKSKFGGMGTGLAVGAVAGVLGGVALVEGAEYLEDKIADDAADKVEDDLGYDDDDGGYDGDDF >RHN45854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26302451:26304344:-1 gene:gene40275 transcript:rna40275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, isopenicillin N synthase MSYDRQAEVDEFEQSKAGVQGLVEAGVRRIPRMFYCDTPDQYPTSGCNFSITTIDLKGHGIPADLLEKIIDGVRCFNQQDVKVRRKFYNRDNQKFYYHSNTNLATDKFANWRDTIGFAMAPDPPKPEELPEIFRHLMTEYYRKITVLGSTLFKLLSEALGLDPSHLLKLGCSEGVFVQGNFYPPCPEPELTLGATKHTDPSFITVLLQDNLSGLQVLHKDEWFDVPPQHRALVVNIGDLLQLISNDAFVSVFHRVLASKKGPRISVASFFVHSGDTTEDSAKSYGPIKELLSDTNPAHYRDTTIKELIEHHFKKGLDGNSTLEHFKVVK >RHN76887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2108448:2110681:-1 gene:gene272 transcript:rna272 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LB1 MGFTEKQEALVNSSWELFKQNPGNSVLFYTIILEKAPAAKGMFSFLKDTAGVQDSPKLQSHAEKVFGMVRDSAVQLRATGGVVLGDATLGAIHIQKGVVDPHFVVVKEALLKTIKEVSGDKWSEELSTAWEVAYDALAAAIKKAMG >RHN65107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64238780:64239513:-1 gene:gene28005 transcript:rna28005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MMNHLRFYLPEIFPKLKKVVFLDDDDVVVQKDLTDLWSINLEGNINGAVETCAGSFHRFDGYLNFSNPLVAKNFDPRACGWAYGIQLWKLGTLPPGLMTFWKHTFPLNRSWHVLGLGYNPDVNQKDIESAAVIHTIYNGNMKPWLEISIQKFQGYWTKYIHYDSVYLRECNIHP >RHN76335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49429254:49430870:-1 gene:gene12642 transcript:rna12642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding, P-loop containing nucleoside triphosphate hydrolase MGKASRWLKGLFGMKKEKEYSNKSGPLVLDKKEKKRSGKNDNHIDHQTSAPAFDDAWYKSYVAEKQKQNEHNKNAIFVRSLSHGSGRKSLLFGSKEMLAAVKIQTFFRGYLARKARRALKGLVRIQALVRGFLVRKRVAATLHSMQALMRAQAVVQSRRARNSIDKENMCQPEIRGRKHVQMFDETRNRQHNKWLPNSSSRFAQNPKVVLIDPHKSGSRSAMSEYGDDLYDSYEATSLPCQIPRRISVHDCQYSQDFDWCNNNVNDERRLYTAHSTPRLVNSSQANPLAKSVSEDTSLFMPYSNFPNYMANTHSSKGRVVRSHSAPKQRPDLKKRAPLDEIMATRNSISCVRMHW >RHN49722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:598082:599859:1 gene:gene33929 transcript:rna33929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MASSYSNSPCAACKFLRRKCNQDCIFAPYFPPEEPHKFANVHKIFGASNVSKILNEVLPHQREDTVNSLAYEAEARIKDPVYGCVGAISVLQRQLLKLQKELDATNADLIRLNHQGSSSLGLGHVHSDGFFNCPSNLSNDSCGDS >RHN42971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42258350:42268378:-1 gene:gene49484 transcript:rna49484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MHFHSFKCLFSVDLKKGLINLDSKSEVNNPKLATTLSWRHAANNGSSHASTDLERNGDGKAQDSEPPTPHSVLKMGLRDRSSSMEDPDGTLASVAQCIEQLRQSSSSVHEKEYSLRQLLDLIDSRENAFSAVGSHSQAVPVLVSLLRSGSLNVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSNSTEGQIAAAKTIYAVSQGGARDHVGSKIFSTEGVVPVLWQQLRTGLKTGNVVESLLTGTLKNLSSNAEGFWNATIQAGGVDILVKLLATGQPSTLANVCFLLASVMMEDASVCSKVLNAEVTKQLLKLLGPGNDDLVRAEAAGALKSLSAQCKEARREIASSNGIPALINATIAPSKEYMQGECAQALQENAMCALANISGGLSYVISSLGQSLESCSSPTQTADTLGAIASALMIYDNKAESTKPSDPLVVEQTLLKQFKPRLPFLVQERTIEALASLYGNPILSTKLANSDGKHLLVGLITMAADEVQDELIKALLSLCKNEGSLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSARILKNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKDIAAKTINHLIHKSDTTTISQLTALLTSDLPDSKVYVLDALRSMLCVAPLSDILREGSASGDAFDTMIMLLSATKEETQAKSASALAEIFEARKDLRGSSIAVKALCSSMKLLDFESENILMESSNCLAAIFLSINENKDVAAVAKDTLTPLVALANSSVFEVAERAIGAVANLILDIEIAKKVVAEEVILPATRVLHEGTISGKTHAAAAIARLLHSQKVNNAVIDCVNRAGTVLALISFLDSSASGSVDTSEALEALAILSRPEETGANIKPACAVLAEFPESIRPIVLCIANSTPTLQDKIIEILSRLCEDQPVVLGDTVASASECISSIAKRIISSTNVKVKIGGVALLICAAKENPQRLVEDLNISNLSANLTQSLVDILISAQPSLGNHGDDDNDKESISICRHTKEEANNHESKTGTSIIRGVDLAIWLLSILACHDEKNKIAIMKAGAIDVLADRISNCYSQYSQIDYKEDNSMWICALLLAILFQDRDIIRAHSTIKSVPALANLLKSEESANKYFAAQSIASLVCNGSRGTLLSVTNSGAASGLISLLGCADTDIQELLELSEEFSLVRYPDQVALEKLFRVDDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLTQLGRDCPPNKTVMVESGALEALTKYLSLGPQDATEEAATDLLGILFSSADIRKHDSAFGAVNQLVAVLRLGGRGARYSAAKALESLFSADHIRNADIARQAVQPLVEILNTGSEREQHAAIAALVGLLSENPSRALAVADVEMNAVDVLYRILSSNCSMDLKGDAAELCCALFGNTRIRSTAAAARCVEPLVSLLATEFSPAHHSVVRALDRLVDDEQLAELVAAHGAVIPLVSLLSGRNYVLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILQEAPDYLLAAFAELLRILTNNATIAKGPRAAKVVEPLFLLLARHDFVPDGQHSAMQVLVNILEHPQCRADYSLTPHKVIEPLIPLLDSPISVVQQLAAELLSHLLLEEHLQKDPVTPKVIAPLIRILGSGIPLLQQRALKALVSIALTWPNEIAKEGGVVEISRVILQADPSLPHALWESAASVLSSILQFSSEFYLEVPVAVLVRLLRSGSESTVIGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRETKVTKSAILPLSQYLLDPQTQAQHARLLATLALGDLFQNEALARSGDAVSACRALVNVLEDQPTEEMKVVAICALQNLVMYSRPNRRAVAEASGVQVVLDLIGSSNPETSVQAAMFIKLLFSNNTIQEYASSETVRAITATIEKDLWASGTVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTALKTGSEACQEAALEALFLLRQAWSACPAEVSRAQSIAAADAIPFLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIVKRGNNMRQSVGIPSVYCKITLGNSPPKLTKVVSTGPNPEWEESFTWSFESPPKGQKLHISCKNKSKVGKSKFGKVTIQIDRVVMLGAVAGEYTLLPASKSGPPRNLEIEFQWSNKVSDTTTDTVQQ >RHN41744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32588528:32592512:-1 gene:gene48097 transcript:rna48097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MIMWQVTECVAKSKGPKARNCCHYIILNAPQTHSITLLLLLFIYRSPQTLSVYDNEMASKRINKELKDLQKDPPASCSAGPVADDMFHWQATIMGPGDSPFAGGVFLVQIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKSDKTKYEATARSWTQKYAMG >RHN75000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38626690:38627689:1 gene:gene11146 transcript:rna11146 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSKGVLNFMQMMIGMKRPYPFSMDFPQAPALNYRMPHSGEISTNARTSYDRGSGFHFDAGSSTSRESQSCSASNSDPNSKRRDKGIKDFDASFLTLAPPTPTSCQPSKPLALNNQESPEGNIEDQFHAPPGYRFHQQRQHMYNFIPPAETQNGQTSRTQNGHEMGDTLDLNLKL >RHN57385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38598178:38601420:1 gene:gene32924 transcript:rna32924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MGSFFKFHLFLLLLITHFTSYTFSLCNHHDTSALLQFKNSFFVDTSSKPDPFFISYSGPSCSSFSFKTESWENSTDCCEWDGVTCDTMSDHVIGLDLSCNKLKGELHPNSIIFQLRHLQQLNLAFNNFSGSSMPIGVGDLVKLTHLNTSYCNLNGNIPSTISHLSKLVSLDLSFNFVELDSLTWKKLIHNATNLRELHLNIVNMSSLRESSLSMLKNLSSSLVSLSLSETELQGNLSSDILSLPNLQRLDLSFNQNLSGQLPKSNWSTPLRYLVLSSSAFSGEIPYSIGQLKYLTRLDFSRCNLDGMVPLSLWNLTQLTYLDLSFNKLNGEISPLLSNLKHLIHCDLGFNNFSSSIPIVYGNLIKLEYLALSSNNLTGQVPSSLFHLPHLSHLYLSSNKLVGPIPIEITKRSKLSYVFLGDNMLNGTIPHWCYSLPSLLELYLSNNNLTGFIGEFSTYSLQYLDLSNNHLTGFIGEFSTYSLQYLLLSNNNLQGHFPNSIFELQNLTYLDLSSTNLSGVVDFHQFSKLNKLWFLHLSHNSFLSINIDSSADSILPNLFLLDLSSANINSFPKFPARNLKRLYLSNNNIRGKIPKWFHKKLLNSWKDIQYLDLSFNKLQGDLPIPPSGIEYFSLSNNNFTGYISSTFCNASSLRTLNLAHNNFQGDLPIPPSGIQYFSLSNNNFTGYISSTFCNASSLYVLDLAHNNLTGMIPQCLGTLTSLNVLDMQMNNLYGSIPRTFTKGNAFETIKLNGNQLEGPLPQSLANCSYLEVLDLGDNNVEDTFPDWLETLPELQVISLRSNNLHGAITCSSTKHTFPKLRIFDVSNNNFSGPLPTSCIKNFQGMMNVNDNNTGLQYMGDSYYYNDSVVVTVKGFFIELTRILTAFTTIDLSNNMFEGEIPQVIGELNSLKGLNLSNNGITGSIPQSLSHLRNLEWLDLSCNQLTGEIPEALTNLNFLSVLNLSQNHLEGIIPKGQQFNTFENDSFEGNTMLCGFQLSKSCKNEEDLPPHSTSEDEEESGFGWKAVAIGYGCGAISGFLLGYNVFFFTGKPQWLVRIVENMFNIRLKRTNNRYCANRRRMN >RHN56029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26991569:26993395:-1 gene:gene31347 transcript:rna31347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MSSPPATMSVPPPRRVKSKIWQTCAGPSVNVPKVRSKVYYFPHGHLEHACPSPNPQTITVIDGYGPSFPCIITAVDLLADPHTDEVFAKLLLSPVTEGQEFPEVVDEEDDGGDKFVSFVKTLTKSDSNNGGGFSVPRICADLIFPKLDLNSPFPSQQLSVTDVHDRVWKFAHVYRGRPKRHLFTTGWTPFVNTKKLVAGDSIVFMKNTAGDIVVGIRRNIKFAAAETKAVNNKKEEGKENGLEVKREGFSRGGRRGMLTEKAVIEAVELAEKNLAFEVIYYPRANWCNFVVDANVVDDAMKIGWASGMRVKLPLKIDESSNSKMTFFQPQGTISNVSSVPNWRMLQVNWDELEILQNQNRVNPWQVELISHTPAVHLPFLSTKKPRLVQDSALFCDDKGDPFIPMIEFPKRSLNQTLLNCGYFPAGMQGARHDHLSLSGFSNSLNDNSYSFFVNNLNIDMPTTNDLSPNNLDSLNTFETDFVETHNSNIKGVGSGSIKLFGKIIKPVESDVHDSGTKGEDSSKGSNEI >RHN79193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25499790:25501539:1 gene:gene2928 transcript:rna2928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3,9-dihydroxypterocarpan 6A-monooxygenase MAEMLDYIRLLFVWLLSTIAIQAILTRKKNKNHPSTPPTPPALPIIGHFHLIAKLPPHQSFHKLSIRYGPIMQLFIGSIPCVVISSPDIVKEFLKTHESYFSNRFISSVIHNLTYGSKDFIFAPYGEYWKFIKKICMSELLGGRTLDKFLPLRQKETMRFLRLLQTKGEAREAVNVGGELLNLTNRIITTMAMSKTCAENDSDVEDIRKMVQDSVELSGRFNLSDFIWFFKNWDMQGFNKRLKVVMERFDTLMERVIREHQVEMKKRKEKGEGDHVRDLLDILLEMHENENTEIKLTRENVKALILDIFMAGTETSATTIEWALAELINNPHVMEKARKEIDSQIGRSRLIQESDLPNLPYLRATLKETLRLHPTVPVVVRESSENCNVCGFEIPAKTILFSNLWSMGRDPKLWENPYEFKPERFMSEENKFDVRGQNFQFMPFGTGRRSCPGASLALQAVPTNLAAMIQCFEWKVGGNGKVNMDEKAATSLPRAHPLICVPIPRFNCFPFGE >RHN73492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17035233:17037543:1 gene:gene9310 transcript:rna9310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MKFKGDIGLFLLLVATFLVYASAGNFMKDFEITWGESRAKVLENGQSLSLTLDKSSGSGFRSKYEYLFGKIDMQLKLVAGNSAGIVTAYYLSSLGSTHDEIDFEFLGNLSGDPYILHTNVFTQGKGNREQQFYLWFDPTKDFHTYSLRWNPKSIIFSVDGRPIREFKNLESKGVPFPKNQAMRIYSSLWNADNWATRGGIVKTDWTNAPFIASYRNFNAKACIWTSSGSSCSSNKSPLSSSTSQSWLRESLDSKGIRKIRWVQKNYMIYNYCTDYKRFPQGFPAECITSKPHKL >RHN80871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41454181:41464701:1 gene:gene4847 transcript:rna4847 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xaa-Pro aminopeptidase MQGIVRKLTKTFSHRQVLGFRSYSNHKVSVDVGQPTPASHPQLLKDGEITPGISSEEYILRREKLLELLPEKSLAIIAAAPVKMMTDVVPYTFRQDADYSYITGCQQPGGVAILGHDIGLCMFMPEAKPYDVIWQGHVAGVDAALNTFKANEAYPMRKLNEILPDMIRGSPKLYHNVQTATSAYTELEAFKKLAYCNNVKDLSVYTHQLRWIKSPSELKLMKESASIACQALLSTMMHSKTYPFEGMLAAKVEYECKMRGAQRMGFNPVVGGGPNGSVIHYSRNDQKIQDGDLVLMDIGCELHGYLSDLTRTWPPCGSFSSAQEELYELILETNKHCVELCKPGASIRQIHNHSVEMLQKGLKEVGILKDVGSSSYHKLNPTSIGHYLGMDIHDCSAISFDCPLKPGVVITIEPGVYIPSSFNCPERYQGIGIRIEDEILITETGYEVLTASIPKEVKQIQSLLNNFSHGIGVDSQNNLRATSS >RHN79129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23697387:23697674:1 gene:gene2846 transcript:rna2846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MTVVDYLGYSWKCPLKLHLTADMTCSLSGDWRKICKARKLKIGDTIKFGVTQQCNSTVLYMSPPPMMVLRTNLPPSKDSTLSRHVFTVEKFFWMN >RHN67952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31751947:31756151:-1 gene:gene16216 transcript:rna16216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative KH domain containing protein, SPIN1 MRSNFDAESQYLMELLSEHQKLGPFMQVLPLCSRLLNQEILRVSGKNGLLQNHQGFNDFDRMQFMNQSHMASSDLKPNFTGWNSLSHDMLAEVKGLNMDWQTAPVVPNSHIVKKILRLDIPKDGYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDLDKEELLRGRPGYEHLSDELHILIEAELPANIVDVRLRHAQEIIEELLKPVDESQDLYKRQQLRELAMLNSNFREESPQLSGSVSPFTSNEIKRPKTEQ >RHN72186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5617068:5619815:-1 gene:gene7870 transcript:rna7870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MACEIKTWLMVLILIFSANYLQQFVNGKSQVPCLFIFGDSLSDSGNNNNLPTSAKSNYKPYGIDFPMGPTGRFTNGRTSIDIITQLLGFEKFIPPFANINGSDILKGVNYASGAAGIRIETSITTGFVISLGLQLENHKVIVSRIASRLEGIDKAQEYLSKCLYYVNIGSNDYINNYFRPQFYPTSQIYSPEQYAEALIQELSLNLLTLHDIGARKYVLVGLGLLGCTPSAIFTHGTNGSCVDEENAPALIFNFKLKFLVDHFNNKFSADSKFIFVNTTLESDAQNSDGFLVSNVPCCPSGCIPDERPCYNRSEYAFWDEVHPTEASNQLYAIRSYNSHNSGFTYPMDIKNLVEQEIEMELDFTSQLSASS >RHN47147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37497672:37501802:-1 gene:gene41707 transcript:rna41707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription initiation factor TFIID, 23-30kDa subunit MNQNQPQSSSSEGRADDDSALSDFLASLVDYTPTIPDELVEHYLAKSGFQCPDVRLTRLVAVATQKFVAEVAGDALQHCKARQATIPKDKRDRQQKDRRLVLTMEDLSKALREYGVNIRHQEYFADSPSTGMDPATRDE >RHN72574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8797770:8803851:1 gene:gene8301 transcript:rna8301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-V family MNEPHFSCLFTSQTTMAHTIFLFLASFSFFLAAFPLSLANTDPTDVQALEVMYNAVNNPTELTGWTIGGGDPCGDSWKGITCEGSSVVSIDLSGLGLHGTLGYLLSDLMSLRKLDLSDNKIHDQIPYQLPPNLTSLNLARNNLTGNLPYSFSAMVSLTYLNVSNNALSLPIGEVFANHSHLDTLDLSFNNFSGDLPPSFATLSSLSSLFLQKNQLTGSLGVLVGLPLDTLNVAKNNFSGSIPPELKSIKNFIYDGNSIDDGPAPPSTESTSPPPREPDKSNPHSGSGSHPKRHGSDDKKSDDHKGTSPGAIVGIVLGSVLVSSVVLVAIVFCIRKLKGKEKGARTSNGSLPPGIINVTPQMQEQRVKSAAVITDLKPRPPAENVTMDRMPMKSGSVKQMRSPITSTSYTVASLQSATNSFSQEFIIGEGSLGRVYKAEFPNGKIMAVKKIDNAALSLQEEDNFLEAISNMSRLRHPNVVTLAGYCAEHGQRLLIYEYIGNGNLHDMLHFAEESSKALPWNARVRIALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQVSSTQMVGSFGYSAPEFALSGVYTVKSDVYSFGVVMLELLTGRKPLDSSRVRAEQSLVRWATPQLHDIDALSKMVDPCLNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLVQRASVVKRRPSDESGFGHKTPDHEAMDISF >RHN61408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35422517:35423376:1 gene:gene23872 transcript:rna23872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPGIIRRSSSSKAVDEVPKGYLAVYVGEKMKRFVIPTSLLNQPSFQESLSQSEEEFEYDHRMDGLSIPCSEDVFLEHTSCFNVKSH >RHN47011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36431357:36431618:-1 gene:gene41556 transcript:rna41556 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHLQLEPLYLYSRLCFNFQANERKLLESMIIDQCSKVSLLDFVSSSRLVSFCITSFIFLYVIFLLKKMVCS >RHN45074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11403837:11405102:1 gene:gene39273 transcript:rna39273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived nuclease domain-containing protein MSYLVHTDKCRDIIRMGPEAFMQLCLKLRGTGIVKDTIKYTVEEKVAKFLHIVGHNVKNRTISFFFYRSGETVSRHFHTVLHVILALGEEFIAQPSVADVPPQILNNSRFYPFFKDCIGAIDGTHIRVKVPRAEAPRFRGRKEHPTQNVLAACNFDMKFTYVLAGWEGTAFDSRILKDALSKEDPLIIPEGKFYLGDAGFMLKQGLLTPYRGVRYHLKEYSSRGPQNPRELFNLRHSSLRNVIERTFGVLKKRFPIIASGTEPHYSFEVMIDIVLACCILHNFLMGVDIDEALIAEVDHELVQNDIDRSQTQQRDEDYRLGSLLREAITTEMGNLYGA >RHN51725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23601057:23601767:1 gene:gene36233 transcript:rna36233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MKNGSLEQWLHPETLNANPPITLNLGHRLNIIIDVASALHYLHRECELLVLHCDLKPSNVLLDDDMVAHVSDFGIARLVSTISGTSNKNTSTIGIKGTAGYAPPEYGMGLEVSTCGDMYSFGILMLEMLTGRRPTDELFEDGQNLHNFVTISFPNNLVKIFDPHLLPRSEDGNHEILIPTVEECLVSLFRIGLLCSLESPKEKKE >RHN53625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2920348:2921386:1 gene:gene28552 transcript:rna28552 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKSQYCVSVLKVRGCNHFLLLHICLDIGIKNSPITCLGELKGFLYICDRSSSENVTMWVMNEYGIGESWTKIYNIDTYLTHSGLHDPWRCGLCWLVKHYEPEKYGFKAFRIDVKTLKGVEVIQHIPSLISLKDVVNGDNVEEVLNIYSRIMSVSKNRVCHPYILCTDLSNELYQRTYRFEEPKFIFWMEQLYFFMAFRFVTTCVC >RHN54601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10721278:10722634:1 gene:gene29667 transcript:rna29667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTKTLGIVYAIILFISLFLVLQNTEFEDYYYIECQRDFDCPQLNSEIFAFKCIEKLCKLEFIYQQAPFLLGQKKSIVWFSAQDKIE >RHN56988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35465798:35466439:1 gene:gene32461 transcript:rna32461 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKLKIEEGCRTPKHSGCRIPPSTMCPSAPKKKPVVYSSKKNVPPKDGYFNHPDLELIFRVLPIRERKSKF >RHN48297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46432828:46433818:1 gene:gene42999 transcript:rna42999 gene_biotype:protein_coding transcript_biotype:protein_coding MKRALAGPTSISPVFIDSQSQEKCGLSRTWRSQKQCHPGYMF >RHN60378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26008771:26009730:1 gene:gene22685 transcript:rna22685 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKKHNDNLGLNKIGKNIRNGYFQQTISFGNDIISSSRHLYPDSDFYVVPQEEFKSFVQNITGKQSNQPKSQAKVTRLQKNRPPPLSIVRPPIPVQDSVPAPPPMGAYNSMSGHPVQPITGPPFVYNSENNLVESPISAFMRKFQDSMMKYDNSRGSQFQPFPHQPQVVNNLDVQYQPIIPYQEHHYPMNGSNQLVNGFHASQTNDNNQVVNGFPSTQENVSNPSMSPIATNSNFLVNNSNQLVNGFLSSQTNGPRSPTSEFLLSSPNSNMNFLSPSPSSPEYPFYLQNGILIPDPPSPLSSGIFPSFTSPKRSGFQ >RHN48671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49388653:49394896:-1 gene:gene43413 transcript:rna43413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MERLELKEVQKLEGHTDRVWSLDWNPATGHAGIPLVFASCSGDKTVRIWEQNLSTNLFSCKATLEETHTRTVRSCAWSPSGKLLATASFDATTAIWENVGGEFECVSTLEGHENEVKSVSWNASGTLLATCSRDKSVWIWEVQPGNEFECVSVLQGHTQDVKMVRWHPTEDILFSCSYDNNIKVWADEGDSDDWQCVQTLGEPNNGHTSTVWALSFNASGDKMVTCSDDLTLKVWETEHVGMQSGGGFAPWRHVCTLTGYHDRTIFSVHWSRGGIFASGAADDAIRLFVENNESQVDGPLYKLLLKKEKAHDMDINYVQWSHGEKPLLASASDDGTIKVWDLVS >RHN47752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42271992:42274087:1 gene:gene42389 transcript:rna42389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lycopene beta-cyclase MIKMDTLLKTPNKLQFLHPLHGYSEKLSHFSASDKLQNHVVRFSSKKKPVLRASSSALLELVPEFKKENLDFELPLYDSTKGTVVDLVVVGGGPAGLAVAQQVSEAGLSVCAIDPNPRLIWPNNYGVWVDEFEAMDLLDCLDKTWSGAVVYIDDKTKKDLDRPYGRVNRKLLKSKMLQKCIANGVKFHQAKVIKVIHEESKSMLICNDGVTVQATVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVEEHPFDVDKMLFMDWRDSHLDNDLKLKERNSKIPTFLYAMPFSSTKIFLEETSLVARPGLRMDDIQDRMVARLKHLGINVKSIEEDEQCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIVANAIVQYLGSDRGLLGDEVSARVWKDLWPIERRRQREFFCFGMDVLLKLDLPGTRRFFDAFFNLEPHYWHGFLSSRLYLPELFTFGLSLFSYASNTSRLEIMAKGTLPLVNMVNNLIQDKE >RHN45904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26712250:26717836:-1 gene:gene40331 transcript:rna40331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MASLSTTTTARFPPLPPPNPTRLRTRAQSIPQPDPPPSSLTGTVKLAVNDERTKRIAKEVEKMKRKEVKERKEMKNAKVASQKAVSVILRREATKAVIDKRRRKGPVNSKKLLPRTVLEALNERISAFRWESALKVFELLREQLWYRPYPGVYIKLIVMLGKCKQPEKAFELFQAMVDEGCVLDCESYTALLSAYGRSGLLDKALSLLEEMKSTPGCQPDIQTYSILIKSCLQVFAFDKVQSLLSDMATHGIKPNTVTYNTLIDAYGKAKRFSEMESTLLEMLAEQNCEPDVWTMNSTLRAFGNLGQIETMERCYDKFQTSGIQPNVQTFNILLDSYGKAHDYTKMSAVMEYMQKYHYSWTIVTYNIVIDAFGKAGDLKQMEYLFRLMRSERIKPSCVTLCSLVRAYAHAGKPEKIGGVLRFVDNSDVTLDTVFFNCLVDAYMRLDCLDEMRRVLEIMEHKGCKPDFITYRTMIKAYSSKGMDSHVKELKELLATVKRPPLERNKPDF >RHN56381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30466225:30467465:-1 gene:gene31772 transcript:rna31772 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAAIDKKNNNKQKKQSEPHDPKSGSYWFHNHECVTHDIALSTSIMQSFQSIQES >RHN68164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33291837:33292977:1 gene:gene16476 transcript:rna16476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MASSSKPVMEMEVESTPKPNWLELPRDLTTNILPRLDSFEILTTARNVCPYWWNICKDSRMWRTIHMSDIHMLRCDSSNLLKICCYAVDKSCGLLEDIYIKYFGSDDLLKYVADRASNLRCLKIEECLRSTSKEGFCEAVKKLPLLEELVISNCHFLYRVSFDIIGQHCPLLKSLILHYGSFDARQTCDQQAFDVARTMSGLRHLKLLNNNLTNDGLLAILDGCPLLESLDLRGCFHLDLSGSIGKRCKQQVKNLCLPTDFMDVSNNTCDDPFLVSLQLHRICSDLFEGYDFSHLGPDDLDLSDDLDFSDDLDF >RHN76133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47914769:47917447:1 gene:gene12414 transcript:rna12414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MIEDQMLVAVKRFNKSAWPDSHQFLFTWLVGCCWEGEERLLVAEFMPNETLYKHLFHWEPQPMKWAVRLRVVLYLAQALEYCIILFDQNGNPRLFFFGLMKSNRYGNRKVTPESVIYSFGTLLLVLLRGKHIPPSHALNLIRARNFPLLMDSCLEGRFSNDDGTELVRLALHYLQYVPRERPNAKSLVSSLVLLGILDESEPSIETVSLTPFGQACSRKDLITIHKILERVEYKDEDVANEVNQSCSTLFLSAADFVKRIFL >RHN73854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20680359:20681283:-1 gene:gene9736 transcript:rna9736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKRGVNMAEIVKYVYVIIIFPSLILFATNIEAIIRCFHDADCVHKICHPPQIRKCVSKICKCRLMITQKDYVLT >RHN82035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50756498:50760112:1 gene:gene6151 transcript:rna6151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative primary-amine oxidase MIISSRFGKATTMIAQCLVLAFFLKFSFINSYSHPLDPLSPTEINKTRQIVQQSYLGAIPNITYHFVDVEEPNKNNVLKWLSSSTTKQKPSIPRQAKVVVRAKGETHELVVDLTKGLIVSDKIYKGHGYPPFTFIELFKASKLPLTYPKFKESIAKRGLNLSEISCVPFTIGWYGEKITRRALKVSCFYRDESVNIWARPVEGITLLVDVDLIKIIMYNDRYRVPMPKAEGTNFQSSSKESKIFATCNISNIGFTIKGNEVKWGNWIFHVGFNARAGMIISTASIFDDKKQKYRRVMYRGHVSETFVPYMDPTLEWYFRTFMDVGEFGFGRSADSLQPKVDCPGNAVYMDGFMVGPNGEVQQVPRAICMFERNSGNVAWRHMEINNPTKLIRDGEADITLVVRMIATVGNYDYILDWEFLKSGSIKVGVALTGVLEMKAVPYTHKNQIKERVFGTLVAENTIANYHDHLVTYYLDLDIDDNANSFINAKLQKVKASGFGTPRKSYWTVNKEAVKREAEARITLGLEPNELLIVNPNKMTKLGNQVGYRLISGQPVSSLLDDDDYPQRRASYTKYQVWVTPYNKSERWAGGFYADRSRGDDGLAVWSQRNREIENTDIVLWHTVGIHHVPYQEDFPVMPTVQGGFELRPANFFESNPLL >RHN39035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3662934:3663751:1 gene:gene45025 transcript:rna45025 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSMYGKGAWIFPLLIEIIFQVIHCRGGQVQKVQVENRQSNLNVGGRIQLPSSPLSSSSNAQTRLNRCIISLFLYISYSLE >RHN75308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41130700:41133001:1 gene:gene11489 transcript:rna11489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucoside 2''-O-glucosyltransferase MGSLPPLHIAMFPWFAMGHFTPYLHLSNKLAKKGHKISFFIPKKTQTKLQHLNLNPNLITFYPLNVPHIDGLPDGAETSSDVPFSLVPLIATAMDQTEKQIEHLLKELNPQIVFFDFQYWLPNLTQKLGIKSLQYWITSPFSISYFWNGPRHSQGKGLTVDDLKKPPSGYPDGSIKLYQHELQFLSSTRKLVFGSGVFLYDRLHIGTSLADAIGFKGCKELDEPYAEFLGNFYGKPYLLSGPLLPETPKTTLDEKWESWLKGFKPGSVVFCAYGSEGPLEKNQFQELLLGLELTGFPFLAALKPPNGFESIEEALPEGFNERVKGKGIVYGSWIQQQLILEHPSVGCFITHCGAASITEALVNTCQLVLLPRVGVDHIMNARMMSEKMKVGVEVEKGDEDGLFTKESVCEAVKIVMDDENKVGREVRKNHSELRKFLLSENLESSCVDNFCEKLQGLI >RHN41715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32305899:32309253:1 gene:gene48067 transcript:rna48067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Glycosyltransferase family 92 MAIKDKEKKLFLWSSRNYAAEHKLFLTTLLLLCTIATLFCFIPSSFTISASDLRLCISRISQTPPTPTATPPSPSPPPPSSIVHEKLITNTNTTTIIKRFFNPYGSAAYNFITMSAYRGGLNTFAITGLSSKPLHVYGNPTYECEWIPNTNTNTNSSKNITTIGYKMLPDWGYGHVYTVVIVNCTFNESINVDNSGGKLMLYASTSGGGDTKFNITDRMEVLVEQPKVLDITLFNSKPKLDYFYCGSSLFGNLNPQRVREWIAYHVRLFGPNSHFVLHDAGGVHEEVFEVLKPWIELGYVTLQDIRDQERFDGYYHNQFMVLNDCLHRYKFMAKWMFFFDVDEYIYVPPKSTIKTVLDSLSEYSQFTIEQMAMSVKVCLSHDYGKTYRKWGFEKLVYRDAITGIRRDRKYAVQPRSLFANGIHMSENLDGKTTHNTEGRIKYFHYHGAISQRRETCKLLVNSTKITYEKTPYVMDTTLRDIAGSIKKFELKMIGTRLQNTRQ >RHN58024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43167741:43174872:-1 gene:gene33629 transcript:rna33629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 16S rRNA (cytosine(967)-C(5))-methyltransferase MAKVVYMGVPFLTQPHKTTITSSKPFKLSHRTHSTNISILSSTNNGSANRSVKPQKLNSDISPHRAVSAVRLMRIELGGAFADLLNEKGKGSGENEMGYVQRTLGFRTRELNNQDLRLVTEIVGGTIRWRRYLDHLISSLCHDKDISSMEPLLLQILRIGFYEIVKLDMPPYAVVDENVQLAKAALRPGAGNMVNGVLRKLVVLKENETLPLPKVEGDDRSQARALATLYSHPVWIVRRWTKYLGQEEAIKLMIWNNIEPSYSLRANRAKGFSRDDLVTELNALKVPHKLSLHLDDFVRIKTGLQIIIHAGLFKEGLCSVQDESAGLIVSIVDPQPGETIVDCCAAPGGKTLYMAAHLSGQGMVYAVDVNSGRLRILKETAKLHQVDGVVTTVHADLRTLTDGEPLKSNKVLLDAPCSGLGVLSKRADLRWNRKLEDMEQLKKLQDELLDAASTLVKPGGVLVYSTCSIDPEENDDRVAAFLERHADFHIDPVDRYVPPDFVTPKGFYSSNPVKHSLDGSFAARLVRTL >RHN70360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50868919:50870456:-1 gene:gene18930 transcript:rna18930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MERHSPSPRPHQSRRSISPLPQDKQHHSPPPPSYNRSRSPVPRDRPQPHSHSLTNRRDMNHDLIKVSMTMANHLLSKQELKEKNVVFSPLLIHSVLIIIAAGSEGTTHQKLLDFLGSKSIDHLNSFASHLLSAILNDPSPASGPCVSFVNGLWVEQSLSLKPSFKQIVYPDYKAALSSVDFKNKADKVTNDVNSWANKETNGLIEKILPPGSVNKFTKLIFANALYFKGVPFMTNGEKQFIGAFDDFKVLCLPYNKGEDTRKFSMYIFLPNTRDGLSALVEKVASESELLHHKLHLSKVKVGDFRIPRFKVSFEQEISDILKELGVGLDFSLTKMVDSLPDQDQDHFVSQIFHKSFIEVNEEGTEAAAVTACTVEIRGISIISHRLDFVADHPFLFLIREDSTETILFVGHVLNPLV >RHN61626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36939086:36945094:1 gene:gene24110 transcript:rna24110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MGGVKMHRKTDSEETTNSMEQSSSTPNRSPPRRPLYYVQSPSNHDVEKMSYGSSPAGSPPHQNFHYYLSSPIHHSRESSTSRYSASLKNPRISSSWKKLNNRNGGDDLDEEDEDDEGVYDSGRNVRLCFCFFLLFVLLFTMFSLILWGASKSYKPRVILKSIVFENLNVQSGNDGTGVPTDMLSLNSTVRILYRNPATFFGVHVTSTPMQLSYYQLRLASGQIQEFYQSRKSQRKLAVVVHGYQVPLYGGVSVLGNSNTEHINTVALPMNLTFEVRSRAYILGRLVKSTFYHRIRCSITFHGNKLGNHLDFTDSCVYK >RHN74809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36819392:36819655:-1 gene:gene10929 transcript:rna10929 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGSKYHHIAKLCAFACLFLLLEVNALLNDQDYISAIGDPGMKRDGLRVAIESWNQCNEVGKEAPNMGSPRMADCFDVKYGKHQSK >RHN44397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4221963:4222579:-1 gene:gene38515 transcript:rna38515 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKVIWVLMLTLVVLATISQAICPDGNYGQPCNGFDKTCCDKSLVCNGGFVGTCLCRNGNILNCDKPKKCKTFSEKCNGFDKKCCVGLLCISGLCRFPTSPPLRL >RHN65148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64524937:64525893:1 gene:gene28052 transcript:rna28052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 3 MGAGKNTAEFFKRRDEWRKHPMLGNQMRHATPGLGIALVAFGVYLVAEQVYNKINSSSHSHHHVKAGDH >RHN62316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42578600:42582578:-1 gene:gene24878 transcript:rna24878 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVSSTSKIWRTIASNARKNLFHFNDPCYRSFTTVSPALTSQRLHQPELPCDFRKWGSLGFCRTSRFATGFNPLQLKPLDSIVDIERLKDRDPENITSIWDDYHIGRGHIGASMKASLYHLLEHRAKECRYFVIPLWRGSGYITMFVQVQTPHMLFTGLEDYKARGTQASPYYTVSFYTEFAESKDLVLIRGDVVFTSKLTDSEAKWLVETAQSFYLNDVRYKLVERFNKDPSEFEFKDVLRALNMPIL >RHN68236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33928755:33937530:1 gene:gene16558 transcript:rna16558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative XS domain-containing protein MSQSSEEDTDISDSEIGEYEEKCYEELKSGSQNVKTSDEKFTCPYCPKKRKRDYLYNELLQHASGVGQSSSQKRKPREKATHLALVKYLEKDLMNIDTPSKPTENCADNGDTDTSIDSDEQFVWPWIGIIVNIPTSRAQDGRAVGASGSKLRDEYRRRGFNPYRVNPLWNFRGHSGTALVEFYKNWPGLDNALAFEKAYASDHHGKKDWFVNTEPKSGLYAWVARADDYKKNNIIGEYLRKMGDIKTIPELQEEEARRQDKLVSNLTNIIQVKNKHLHEIEARCTETTAKMNSAMTEKDQLIQAYNEEIKKIQSSAKDHFQRIFNDHEKLKSQLETQKSELESRRITLEKREAHNESERRKLLEEMEENATRNSSLQMASLEQQKADINVMKLAEDQKKQKEQLHAKIIQLERQLDMKQKLELEIQQLKGSLSVLKHIEDDEDVEVLKKVDDLHMGLREKEQSLQDLDALNQTLIIKERKSNDELQDARKELISGIKDIGTRVHIGVKRMGELDTGPFLEAMKKRYNEEEAEDKASELCSLWEEYLKDPDWHPFKVVMNEGKEKEIIKDDDEKLNGLRKEVGEKAYSAVVASLTEINEYNPSGRYVTSELWNYKAGRRATLQEGVKFLLDYWKRKKKGML >RHN61863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38972692:38977174:-1 gene:gene24369 transcript:rna24369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MASSSNSSSSALMALPRRKNYYDVFVTFRGEDTRFNFIDHLFAALQRKGIFAFRDDANLQKGESIPPELIRAIEGSQVFIAVLSKNYSSSTWCLRELVHILDCSQVSGRRVLPVFYDVDPSEVRHQKGIYGEAFSKHEQTFQHDSHVVQSWREALTQVGNISGWDLRDKPQYAEIKKIVEEILNILGHNFSSLPKELVGMNPHIEKVVNLLLLDSVDDVRVVGICGMGGIGKTTLATALYGQISHQFDARCFIDDLSKIYRHDGQVGAQKQILHQTLGKEHFQICNLFDTDDSIRRRLRRLRALIILDNVDKVEQLDKLALNRECLGVGSRIIIISRDEHILNEYGVDEVYKVPLLNETNSLQLFCQKAFKLDHIMSGYDKLALDTLSYANGLPLAIKVLGSFLFGRDISEWRSALARLKESPNKDIMDVLRLSFDGLENLEKEIFLDIACFFERYDKECLTNILNCCGFHPDIGLRILIDKSLISFYHGGCVMHSLLVELGRKIVQENSTKDLKKWSRLWFPEHFDNVMLENMEKNVQAIVLAYHSPRQIKKFAAETLSNMNHIRLLILENTYFSGSLNYLSNELRYVEWNRYPFTYLPKSFQPNQLVELHLSYSSIKQLWKGKKYLPNLRIMDLMHSRNLIKLPDFGEVPNLEMLNLAGCVNLISIPNSIFVLTSLKYLNLSGCSKVFNYPKHLKKLDSSETVLHSQSKTSSLILTTIGLHSLYQNAHKGLVSRLLSSLPSFFFLRELDISFCGLSQIPDAIGCIRWLGRLVLSGNNFVTLPSLRELSKLVYLDLQYCKQLNFLPELPLPHSSTVGQNCVVGLYIFNCPELGERGHCSRMTLSWLIQFLHANQESFACFLETDIGIVIPGSEIPRWLNNQSLGNSMSINLSSIVHDKDFIGLVACVVFSVKLDYPNITTNELENNICISLDEDHTRTGYGFNFSCPVICYADLFTPESDHTWLLYLPWDRLNPDKTFRGFDHITMTTFIDEREGLHGEVKKCGYRCIFKQDQQQFNSTMMHHRNSSSQKRKFLAIED >RHN75132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39626158:39636968:1 gene:gene11292 transcript:rna11292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDRLLKSARSSGSLNLSNRSLSEVPVEVYRNLDGIGGDDDKWWEAVELQKLILAHNSIELLKEDIRNLSCLVVLNLSHNSLSQLPAAIGELPELKMLDVSHNLIVRIPEEIGSAASLVKFDCSNNQLTELPSELGRCLALSDLKGSNNLIASLPEDLAKCSKLSKLDMEGNKLTVISENLISSWTMLTELNAAKNMLNGIPVGIGGLSRLIRLDLHQNRISSIPSSIIGCHSLAEFYLGNNNISTIPVEIGELSRLGTFDLHSNQLKDYPVEACKLSLLVLNLSNNSLSGLPPEMGKMTSLRKLLLSGNPLRTLRSSLVTGPTPALLRFLRSRLSQGEDSETATTSKKDIIAMATRLSISSKELSMGGLELSAIPSQVWESEEVIRLDLSKNSIQELPVELSSCVSLQTLILSKNQIKDWPGSVLKSLSSLSCLKLDYNPLRQIPSNGFEAVPKLQILDLSGNEASLLDGPSFSSLPNLQELYLRKMRLTKVPSDILGLHQLRILDLSQNSLQSIPEGLKNITSLVELDLSNNNISSLPPELGLLEPSLQALRLDGNPLRSIRRTVLDKGTKAVLKYLKDKLPEE >RHN41095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26929674:26930867:1 gene:gene47383 transcript:rna47383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MHTSHIVVSTFLVVACIICFRLKRKRIKITLERGLSALGALRRISYYELLQATNGFNERKLLGRGSFGSVYQGELPDGEIIAVKVFDLQSEAKSKSFDAECNAMRNLRHRNLVKIISSCSNLDFKSLVMEFMSNGSVDSWLYSNNYCLSFLQRLNIMIDAASSIPVVHCDLKPSNVLLDENMVAHVSDFGIAKLMDEGQSETHTQTLATIGYLAPKYGSKGIVSVKGDVYSYGIMLMEIFTRKRPTDDMFVAELSLKTWISGSLPNSIMEVMDSNLVQITGDQIDDISTHMSSIFSLALSCCEDSPEARINTADVIASLIKIKALVLGANRV >RHN62575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44447394:44453299:-1 gene:gene25167 transcript:rna25167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MLAKIGLPPKPSLRGNNWVVDASNCQGCSAQFTFINRKHHCRRCGGLFCGSCTQQRMALRGQGDSPVRICEPCKKLEEAARFELRQGRRPGRGSLKSAPRDEDEILNQILGQNEELLSSGKQSTSEKGRSGQRSVSVASSSSTTGFSIQDEEDLQKIISTETTNSMAVDVGSTTPDELRQQALAEKSKYKILKGDGKSEEALKAFKRGKELERQADALEIQLRKAARKKLLPSGNLSDMHNKDVSIESGRKTKSLPQTGKDNDDLTSELRELGWSDLELNKEDRKSANLSLEGELSSLIVETFAKTGEEKGSRIDKTEVVAMKKKALTLKREGKLVEAKEELKRAKILEKQLEEQELLAGAEDSDDELSALIHGMDDDDKEFSNLHDHEHGFDFDNLLAISDNLDGNLEVTDEDLMDPELAGALESLGWTEPENTFSKSQTFDKEALLGEIQSLKREALNQKQAGNTEEAMVILKKAKLLERDLDNIGSDDDNTMLQKVTHVGKSLSSEITGNNRNNNVSSTVAPKNRLMIQRELLNSKKKVLALRREGKMDEAEEEMRKSAVLEHQLMEMDNAPSHKSSSTNTNNVLHAASKSPLVEEGSEDDVTDKDMSDPTYLSLLTDLGWNDDNDKPSNSSNKPSKKFDDNFVPVDDTFLSKHSTTILVEAPRKSKAEIQRELLSLKRKALALRREGKAEDAEEVLKMAKTLEAKIEEMDALKNKVQVEAPKKKELFNSPVDVAVDEERDVVVLEEDMHDPALNSMLTDLGWKDEEFEPVAIKEESVKEATSTVTTSRNKGEIQRELLALKRKALTLRRKGEIEEAEEILKKAKNLEAQLEDFESQNKDLLLNVSKDKQSVPSESSHGKSPANSHFEDDKHPLSAEVSASSENLTKRMKVENITAHSSSTGHSMHMPDLLAGNGCRSSEILSQKQKEEYKAGSVNSSQAGPTIPLDSSVNLSQDQIYKNNIPTQRRKEVTDVDEKPNTNQSNVVPDYASQEDLSLRQEILAHKRKAVALKREGKLTEARDELRQAKLLEKRLEDGSMQPNTASTSNVSNTSNVVQKKQDSPNAAAKPLTSRDRFKLQQESLAHKRQALKLRRDGRTEEAEAELERAKAIEAQLEELAAQDADKSDAVDDVSVEDFLDPQLLSALKAAGLADLTVVSNKSPEKQETVKPVAKIENPNQEKIQLEERIKEEKLKAVSLKRSGKQAEALDALRRAKMYEKKLNSLLTSG >RHN55858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24782246:24786557:-1 gene:gene31134 transcript:rna31134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BAH domain, Agenet-like domain, Agenet domain, plant type MAVREHGYEPEIGALKDNQLLSLNPCSKEFTWLGLPWTCRKRRNHYQAFRKDGFQISVYDFVFVLAEENKRLVAYLEDMYEGSKGNKMVVVRWFHRIDEHYAKFRNKARHARPEPYVCSKKFGKDDVKDFDITQMEGYWKQEILKQMYPHVESNSSGSSGKSDDGPELEENLHSSSAIRPKKKQRCTKVDGKDAVELGSLQLEKLSNCKIDAKTSSGNKLEGSVKLVGTTKLATIKETNEASQYLAVGSNVEVLSQDSGIRGCWFRASVIKRHKDKVKVQYHDIQDAEDEANNLEEWILASRPVVPDDLGLRVEERTKIRPLLEKRGISFVGDVGYIVDAWWHDGWWEGIVVQKESDDKYHVYFPGEKKMSIFGPCNLRHSRDWTGNGWVKVRERPDIVTCKLSSLKAKQSSCKSEEDSKSTVASIGDGIQSKQADAYSGSSERDKLRKNEEVPDLVKDVLSLQLRWKSSRKRNRSGTSQQKQQSNDIHRKLSPKFLQSDATDSFVVPASLKVDHDDYKYQGDPSIFGSSVVPSLTNMVMCR >RHN73696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19002418:19010159:-1 gene:gene9544 transcript:rna9544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative receptor protein-tyrosine kinase RLK-Pelle-C-LEC family MEINPMLLQFFLLLLPLFAVPGITSNATLNETCGYFDGSFNRDTKAPCPNGWVMDPNKTKCFLHVGRPQSWNDSETCCNKYGGHLASLASLQELHFAQSLCGESINSCWIGGRRLNNTISGFQWTWSDDSQWNKSLFPLANVPLNCTGTGQSCLRNITEYLCAVMSNNSKSLLSERCDNPHASLCILDLDTKCNHMHCHREYLIILAVVSGLILLTTLAVVVWLLVYKQGKKRRRSRKLTDPAATLPSWKIFTKEELRSITKNFSEGNRLVGDAKTGGTYSGVQPDGSKVAVKRLKRASFKRKKEFYSEIGRVARLRHPNLVVVMGCCYDHGDRYIVYEFVANGPLDKWLHHIPRGGRSLDWAMRMKIATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGAHLMGVGLSKFVPYEAMHERTVMAGGTYGYLAPEFVYRNELTTKSDVYSFGVLLLEIVSGRRPAQAVDSVGWQSIFEWATPLVQAHRYPELLDPYISSSSTSIIPETSTIQKVVDLVYSCTQHVPSMRPRMSHVVHQLQQFTQPPSK >RHN53595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2759743:2763968:1 gene:gene28519 transcript:rna28519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain, AT hook, DNA-binding protein MDEREAMSFSDGSGSYYMHKERVFQQPPPGFRALSNPHGGSDGSTFSVEHEHGSFSHGAVVPYSGEQSVKKKRGRPRKYGPDVPVSLRLSPMSATANSTPDSEKRPRGRPPGSGRKQQLAALGEWMNSSAGQAFSPHVITIGPQEDIVEKLLLFSQHRPRALCVLSGTGTVSSVTLRQPASTSVSVTYEGRFQILCLSGSYLVAEDGGPHNRTGGISVSLSSMDGHVIGGGVARLIAASPVQVVVCSFVYGGSKPKTTKQETAVKDDDDSEPQSSDKLASPGSEPPNQNYTASGTGTMWHGSRTVDVKSTQPHTGIDLMNG >RHN45151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12352745:12354290:-1 gene:gene39368 transcript:rna39368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MQCTCVTRFPIDIYNYIYLDSINTLYIFLTPTSNNHQSYYTKMERKSIFLLLLFLPSLTFSLISSPPRPNLCHPDDEKVLLKIKDYFHNTSLFSTWIPHTDCCKWRIVSCKKIPKTTIHRVNFLEIDGADDLVGTIPPLIADLPYLETLIFRLLPNLTGPIPQAIARLPHLKFVLLNWNNLTGPIPDYFSKLTNLATLGLNNNQLTGPIPAYLGRLPKLQGLDLYDNHLTGPIPDSFGSFKAGSQVTLSNNMLSGPIPRSLGKVNFSIFEAAGNQLTGDASFLFGESKTELAHLDLSRNKLSFDLSKVVMPVGQLESNLLVLRLENNMIYGKLPAWLGQASLLYDFNVSYNQLFGPIPTVGGKLQEFDPSSFSHNKGLCGSPLPPCKQGRPGSIELFALAQERASL >RHN78672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17352568:17353631:-1 gene:gene2309 transcript:rna2309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MMASSSIPPLEVERESTATEPNWLELPRDLTINILQRLDTIEIVTSVCQVCPLWWNICKDPHMWHTISMILCSHYDYWQWLRMDLATICRYSVERSCGQLEGIDIDFSLTDDLFKYISDCASHLRRIRVVTFDAADSLSEKGFIEGIKKLSMIEELEISYPFKLSRNSIEVVGGSCPLLKSLTCMLTSDMKTGKSDDELFAIAKSMPRLRHLKIAGNNLSSDGVLAILNECSLLESLDLGLCFRLDWSERLRKRCYDQIKDFKLPVDYQLMKMTNEISSLRLLTNVLE >RHN80051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34630911:34636808:1 gene:gene3927 transcript:rna3927 gene_biotype:protein_coding transcript_biotype:protein_coding MENGRRFRTVLGCGVAAPSITFATSVNLPPQSPGHFQVSVQVHCVEVPLVFQCLSLSASAGEDPFLQSY >RHN80847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41270127:41274484:-1 gene:gene4820 transcript:rna4820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calreticulin/calnexin, concanavalin A-like lectin/glucanase domain-containing protein MAIRVRNPNLLSLVLFSLLSIASAKVFFEERFQDGWESRWVKSEWKKDENLAGEWNYTSGQWNGDANDKGIQTSEDYRFYAISAEFPEFSNKDNTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKNFGGDTPYSIMFGPDICGYSTKKVHAILTYNDTNHLIKKDVPCETDQLTHVYTFIIRPDATYSILIDNVEKQTGSLYSDWSLLPPKKIKDPEAKKPEDWDDKEFIPDPEDKKPEGYDDIPKEVADPDAKKPEDWDDEEDGEWTAPTIANPEYKGPWKPKKIKNPNYSGKWKAPLIDNPDFKDDPDIYVFPKLKYVGIELWQVKSGTLFDNVVITDDPEYAKQVAEETWGKQKDAEKAAFEEAEKKKEEEETKDDPVDSDAEEDEEDANEVSHDSDDESKAEAGEDSDETNKDDVHDEL >RHN56396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30551439:30552929:-1 gene:gene31788 transcript:rna31788 gene_biotype:protein_coding transcript_biotype:protein_coding MFMCIKHKFVNVAFKKFYPFCFFISFSTFFLLEGLGLCHHFIIYDHDFIVDDPSVSH >RHN49844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1633259:1634151:1 gene:gene34068 transcript:rna34068 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPRCTHSFLKAIWFWKDRNNHIFENAALHPYVLIEKVELDSFLWVKAKQPSFMYCFYDWWKHPILCMDVHG >RHN75845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45497837:45500051:-1 gene:gene12099 transcript:rna12099 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQLSRTIGGTSLQLDTSEKTGGVESLYKRILVSMRESSDPSKLVLDMILNPVIPLCQKVDNSVIIADYQIHMLEQLMRTSPKIETCVKKEALKLARDLKANMKENTENSLAVLGFLLLLSIYGLLDYFDEDEVLELFAFVAMHEIAVELFGSLGFANRVSDFVKHLIKRKQFVEAVRFSCAYNLDDKNRLVGMLREHIQNARLICESSCLKTNSIEIKDKARDQEIASLGTVLQCISDYKLEVEDLLLYEIEYRILELKAHKGK >RHN69524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44126444:44129962:-1 gene:gene18003 transcript:rna18003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S8e/ribosomal biogenesis NSA2 MPQNEYMERHRREHGRRFDHEERIRKKEARKAHKHSKQAQQAIGIKGKQIAKKNYAEKAQMKRTLAMHDESTSRRKADDNVQEGAVPAYLLDRENTTRAKILSNTIKQKRKEKAGKWDVPLPKVRPVAEDEMFKVVRTGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLEIIGVKKNPNGPMYTSLGVITRGSIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >RHN81387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45472633:45476440:-1 gene:gene5416 transcript:rna5416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MSWWSGFFELKPLFHLLLPLSIHWIAEAMTVSVLVDVTTTALCPQQSSCSKAIYINGLQQTITGIFKMAVLPLLGQLSDEHGRKPLLLLTISTSIIPFALLAWNQSKEFVYAYYVLRTFSHIISQGSIFCISVAYVADVVHESKRVAVFSWITGLSSAAHVIANVFARFLPQNYIFVVSITLLTFCPLYMHFFLVETVKLDPGKNQELGFCTRVIYVLSRRYKSMRNAAEIVIFSPTLRGVALVSFFYKLGMTGIHSVLLYYLKAVFGFNKNQFSELLMMVGIGSIFSQIVLLPILNPLVGEKVILCSALLASIAYAWLSGLAWAPWVPYLGGSFGIIYILEKPATYGIISKASSSTNQGKAQTFIAGANSISGLLSPIVMSPLTSLFLSSDAPFECKGFSIICASVCMIISLIFACMLNPNTGSRDDDLEGNQQDPLLNYN >RHN72071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4764323:4772069:-1 gene:gene7742 transcript:rna7742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LPPG:FO 2-phospho-L-lactate transferase CofD/UPF0052 MVDTFTLLGPTFSYTPLPLTITFPTSNRIFHSSSTMASIPIPSSPNRNHRRCYSNPNHSHPPHPQPALLVFSGGTAFNGVVEDLKNFTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDQSTAEALAVRNLLGHRLPLDSLQAKSEWYSIVEGDHVLWKGVSKPYRETIRAFLVYFQNQILLRSEGSFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSDIPPESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPTSGTMEPIKKESFSAPALPSKIKRVFYMSSEGKNLLHEVFPSANAAVLEQLHNVDCIVYGMGSLFTSICPSLVLLGIGEIISSRSCLKVLMLNGTHDRETNGFSASCFVTAITDALNRTYGEPCNRLQNLPSEYINTLLVPRNSKISADVDCLASQGIFDVIVVDSLLDPKVGIIYDPKSLIRALADLIERYIKSHVNSLIDTR >RHN48697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49554474:49555792:-1 gene:gene43440 transcript:rna43440 gene_biotype:protein_coding transcript_biotype:protein_coding MINPLSTNFRIVCRRKDRGGCGCTHRWPWRFRFDLFGARPLRGHYMFRYYYYFDVVFYFVCWWCCVVVPLLEGLWDCLGEVWMDSCGCFGLGLVSERFADGSYIRG >RHN67147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24327403:24328961:1 gene:gene15296 transcript:rna15296 gene_biotype:protein_coding transcript_biotype:protein_coding MTETTLDNLLSFVDIFHGCKLDSVKPLKLRICECLQTSLSLRHKLRLKLEEASSIIRW >RHN59283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9091138:9091350:1 gene:gene21288 transcript:rna21288 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPHQFHFNHTHIFITIAPLRNPFIEIVLVNFFIAISFCSNR >RHN60084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:19363276:19365303:1 gene:gene22303 transcript:rna22303 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFEAFGQVVVQMPLDDIGHCKGFGFVQVDLIVTYLTKLFVSIFYLFINILMLISCQYLLW >RHN57224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37396682:37398070:1 gene:gene32734 transcript:rna32734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MEPCEDRISNLPDDILCHILSFNPTKNAVTTSILSKRWTHLWRCVPILDFTDIKLRDCESILLFNQFVDYFMLSREATGNHSIDSFIVDVEYAASRNHVTSLSIPNLAKWVNLVVQRKVKNLHLLLNLLNDPIPPGFLLPKLPNTIFSCKTLVTLRLSWFHVKGFSFSSVGFGFPLLKTLHLDRVMFVDEREILLLLDGCPVLQDFKSSDVYTSNVVTEESINQVFHNLSLSKLIRADIIDVNCDIPMKALFNSEFLRIQLWEAYTPYDLPTFNNLTHLVINYYLDMFIEVLHHCPKLQILELYQKTQVDWDEENTEGGKEQENWVDPKSTPQFLSLYLRTCTIRDFAFVDLQHDLMLARYILNNARVLQTMTIWSDKEQPQIEKELSLIPMASKTCQLSVY >RHN74490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33932504:33935310:-1 gene:gene10575 transcript:rna10575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MRRYKLPRLIYINKLDHNGANPWEVLDQARSKLKHHTAAVQVPIGLKKDFKGLVDLVQLKAYYFHGLNREKEVAVDEVFEEVPADMKALVSEKRRELIETVSKVDEKLAEAFCSDKPISATDLENAVRRATIAHKFIPAFMGNSFQYNKGLQLLLDGVINYLPCPIEASNYTLDQSKHGEKVPDLKKLHPLLS >RHN53073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41754491:41755696:1 gene:gene37824 transcript:rna37824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEKNNSVVAATNEKVSTAYISDDIAFSILSKLPLKSFKRFECLRKSWSTLCKNHHFMDMFRCNFLSNSHCEGASLLLFDNENCNEVLYCVSGERFKNKIKLDFSNAFKKYLYFDIFSSINGTICLHQNEQNNYRKIVLWNPTTKIIKLLPCSKVESENFSDIYVPSRLHGFGYNHVTNDYNVIQLIKVCIKEKPSYDYSGDVKEFVSYRTVPKWEIYSLRSNSWRELDVDMPSSVDCTEGTQIYMDGVCHWLCEKHKDNPIGPCLVSFYLSNEVSFTTAIPPDVDDCFDVKAKWKNLVVLNGYIALISYRKETSTFRVSILGQLGFKESWIKLFMVGPLPYVERPIGVGTKGEIFFIRKDKEVAWFDLSTQMIDVLGYTTEGFHSLCRMINYKESIVPFEE >RHN74727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36168969:36171083:1 gene:gene10835 transcript:rna10835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKFHHARNIHQQITNLTQNQTNIANGSKFITECNVKISENGRNGNVNAAETIFNKMSQKNIVTWTAMLVVYAKNGQIINARKLFDKMPERTTATYNAMISGYIRNGCNARKFHLAEELYREVPCEFRDPVCSNALMNGYLKIGETNEALRVFENVGESKRDVVSWSAVVVGLCRDGRIGYARKLFDRMPERNVVSWSAMIDGYMEKGLFENGFGFFLEMRREGVVEVNSTTMTIMIKGCGNCGGVKDGMQIHGLVSRLGFEFVDAAYEVFERMPEKDLISWTAMIRRFVTDGRMGKPVELFDTLKEKDDFVWTVLISGFVSNEEYEEALCWYVRMNREGCKPNPLTISSVLAASASLVALNEGLQIHSHVLKMNLEYDLSIQNSLISFYAKCGNVTDAYKIFVDVVELNVVSNNSVINGFTQNGFGEEALNMYKRMQNESLEPNRVTFLAVLSACTHAGLIEEGRNLFDTMKSRYRNEPDADHYACMVDLLGRAGLLDEANDLIRSITVKPHSGVWGALLAASSAHLRLDLAKLAAQHITKLEPANATPYVVLSNLYSAAGQKIEGDLVRNTKNLKGIKKSPGCSWITIKDKLHLFLAGDQSHMNIEEIKAIILTIDKGMQWLYYCEL >RHN77673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8552592:8553465:1 gene:gene1151 transcript:rna1151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prolyl oligopeptidase MKIFREIVVPGFDRSEFHVNQVFAPSKDGTKIPMFIVARKDIILDGSHPCLLYGYGGYNISLTPSFSVSHIVLARYLGFVFCIANIRGGGEYGEEWHKAASLSNKQNCFDDFISLAEYLVSTGYTQPKKLCIEGGSNGGLLVGACINQRPDLFGCALAHVGVMDMLQFHKFI >RHN53668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3230970:3233231:-1 gene:gene28599 transcript:rna28599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MELTPILLLGFILLLSICQTSANKYQKLAAANEGLEDQSSLLTYIVHVEKPSLQSKESLDGWYNSLLPAATIKTQNQQRVIFSYQNVMNGFAVKLTPEEAKALEEKEEVLSIRPENILSLHTTHTPSFLGLQQSQGLWINSNLGKGIIIGILDTGISLSHPSFSDEGMPSPPAKWNGHCEFTGERICNKKLIGARNFVTDTNLSLPFDDVGHGTHTASTAAGRLVQGANVFGNAKGTATGMAPDAHLAIYKVCSSSGCPESATLAGMDAAVEDGVDVLSISLNGPTNPFFEDVIALGAFSANQKGIFVSCSAGNFGPDYGTTSNEAPWILTVGASTTDRKIEAIAKLGNGEKYIGESVFQPKEFASTLLPLVYAGSVNISDNSIAFCGPISMKNIDVKGKVVLCEEGGLVSQAAKAQAVKDAGGSAMILMNSKLQGFDPKSDVQDNLPAALVSYSAGLSIKDYINSTSTPMATILFNGTVIGNPNAPQVAYFSSRGPNQESPGILKPDIIGPGVNILAAWHVSLDNNIPPYNIISGTSMSCPHLSGIAALLKNSHPDWSPAAIKSAIMTTAYEVNLQGKAILDQRLKPADLFATGAGHVNPSKANDPGLVYDIEPNDYVPYLCGLNYTDRHVGIILQQKVKCSDIKSIPQAQLNYPSFSILLGSTSQFYTRTVTNVGPINMTYNVEIDVPLAVDISIKPAQITFTEKKQKVTYSVAFTPENIVNRGDKEISQGSIKWVSGKYTVRIPISVIFV >RHN63938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55177821:55180311:1 gene:gene26697 transcript:rna26697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MVMDASYTTTFTFLLFMSLPLSNVGAQTQSQLLARIAPGSSLSPGSSDYKSMWLSPSGQFAFGFYSQGNNGFAIGIWLVGKNKMNSTIVWTANRDDPPVTSTVKLQFTMKGTIILTDQQGQQKLIVNANTRASSASMLDSGNFVLYDNNNISSIIWQSFDHPTDTLLESQSLPCGGKLSSSLSETNHSTGRFQLNMQVDGNLVLYPAYIAETSWDAYWASDTVSANVKHHLYLKSTGLLQILDDSSDSSLIKILNDADEDQQETGGNQTIYRATLDFDGVFRLHARHVNNGSDKIIASFPGNNPCEVKGFCSLNSYCTFKDDKPLCNCLTGYKFIDANEKTLGCERNYSKAECRAEKDGLAFYDMVPMNNIVWKDHPYFETEDILSEKECSFACLVDCNCWAALYEEERCKKQGLPLRYVTRTHEADDSPAAAYIKVGNGSIENWKGNDTLFYPQPPLITSTKAVVHIIIVTSIFTALLCSAILISIHYVYKIRVLRYKRLTDTGNLGLNEEVTLRRFSYNELKRATNHFKEELGKGAFGSVYKGALNKGKRLIAVKRLEKVVEEGEKEFQAEVRSIGKTHHRNLVRLLGFCVEGSKRLLVYEYMSNGSLGKLLFGDQRRPDWNERVRIALDIARGILYLHEECDAPIIHCDLKPQNILMDKFWTAKISDFGLAKLLMPDQTRTFTMVRGTRGYMAPEWNKNVAISVKTDVYSYGIVLLEILCCRRNLDVNVLEPEEILLAGWTYKCFIAGDVNKLVPSEAIDKNVMENMVKVALWCIQDDPFLRPTMKGVVLMLEGITDIAIPPCPNSNFA >RHN61871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39008492:39014127:1 gene:gene24379 transcript:rna24379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sec1-like protein MASVNLIKSCIDSITQISEDIEGAIVYLDAGVTESFQFIEAFPVLLELGARAVCSLENMTALDVVGDWNSSSDPARKLVVITSRLLSDAHRYILRCLTTHQVVRHCIIFTSISEMAHSVFPDSPLGPGAYSDYGSLLVQDYEELNKSGKKPRQIGSMLQEKLNFVDGGRFQFPSSGEDVPHLEASSSGRDFYDHNPLDLIADTVQELVISVHHFPMILCPISPKAFVLPSEGLVAESYLSAKHEDSITPGLPPFSTGLISDTDDVPPGATLTAHFLYHLAAKMDLKMEIFSLGDMSKTVGKILTDMSSLYDIGRRKRSVGLLLIDRTLDLLTPCCHGDSLIDRIFSALPRRERTTSHILGKGSGSQLKLGSSCLQRASLDVQIPLAKILNEEDWKLDNFRLLESVEAFLCGWNSGDSDSQVADLINLSQKIYDKPSHSGVDILTGSFVSSDNFRGVPFLEAILDEETKRGAVLVKKWLQEAMRREIVTVNVKSRSSVVTTPELQAMIKALSKSQSSLLRNKGIILLASATLSALEESNCTKWDAFSSAVKTLSVSSGETSQSLAAQIGDLINQSALLGSHINKGKKDISKGLISLQDALLLMIIGYILAGQNFPTAGSDGPFSWQEERLLKEAVVDALLENSSVVNLKFLDGLKKELEANISKSKSEEATKEPEIDDFDDDQWGKWGDEDGEDDNKNEQVYGDMQLKLELRDRVDNFFKFLHKLSNLKRKNLPLRDGSLTVEGSFDEDAYAGKGLVYKVLARVLGKYDVPGLEYHSSTVGRIINRGFGRLLGHSQAKPSLADQNVILVFVIGGINGLEVRQARQALVDSGRPDIELLVGGTTLLTPDDMLDLLLGDSSYF >RHN70054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48367494:48369340:-1 gene:gene18595 transcript:rna18595 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPLVYKAIKKNRTRRQYEVISLGGSYNISMAEIHPQTQQPASDDYNIGHRRHKSVGDSFGIWWHSLSSEQ >RHN42018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34803155:34804871:-1 gene:gene48403 transcript:rna48403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MECVKAEAALKSSFNISTAVKLTPQNLLEDLSSFNVQDIAPIDDFFVDDFLNFSNEEQEHEHEQDFLVEKQQNHTPQYTTQNQNQISHPILNNQFVSLPTTELTVPVEEAADLEWLSYFVEDSFSEFPKTENLQLQQKAHEPNPTFSTPCFKTPVPAKARSKRTRTGIRVWPISLANSSSTSSSATLSSSNLEECSKPAEKKAKRMVSPDGEARGVPRRCSHCGVQKTPQWRTGPGGPKTLCNACGVRYKSGRLLPEYRPACSPTFSSELHSNHHRKVIEMRRKKEVVPGF >RHN74483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33891892:33892182:-1 gene:gene10568 transcript:rna10568 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQVPHLFRKCNDVFKVIEEANAGEIVAVFNAPGSYSCHSRRNVFRETAPM >RHN60451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26960588:26963245:1 gene:gene22763 transcript:rna22763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MANYLKNIVLTKITLLYTVLFQQLLFPLVVNSYDNLALNCGHSIDTTFENRTWVGDMDDTKLFSIIEPQTEFSSIKSKPNPNSDSVNQIPFASARISFSNFTFSFPSVANSPIFLRLHFYPTSYQNFETFDALFTVKVGTNVTLLNDFNPALWLQNDNKTITKEYCLKMKPTEKLNITFIPNTINQPKAYAFINGIEIVSMPSFLYYTNLNDSNHHLKLLGLENMKYKISSDKVLETVYRVSVGDNQVPRSNDTGMFRYWDNDFPRYLEKQYPLSVSNDFTHNLNYINNTIPNYTAPEVVYLTARSYGMDATKDYNVTWNFEVDSAFTYLVRLHFCEFDWHIKNQGDRVFQIFIDDNLAERNADVIHWSGARMVPVHKDYVVTMYSREGSSQIKRVNLSIKLQRAPENIYSKYRNVTLNGIEILKISDKNNNLAGSISKSNDLSHPHQVLSTKTSKKYKIVLVSLEITLASVSVLMVGFTIYWQWRYRDEDSMEDNSSETKSEGLPSLPPHLCRYFTIAEIKAATNNFDDDLIIGVGGFGNVYKGFIDKSTPVAIKRLKPGSQQGANEFMNEIELLSQLRHIHLVSLVGYCNGNKEMILIYEFMQRGTLCEYLYGSNNQPLLWKQRLEIVLGAARGLNYLHAEVKHKIIHRDVKSTNILLDEKWVAKVSDFGLSKVGPTGISTTHVSTMVKGSLGYLDPEYYMLQRLTLKSDVYSFGVVLLEVLCARPPLVRDLDKKTASLVCWFQRCYDEGVPIKQIVDPFLRDSITNECLKYYCKLALSCLHDDGTQRPSMNQVVVSLESVLQLVVSDEDSQFGMTKKERTCMKGLCFSKFMSDKGSELHFARSHTLKESNVSARASTHEHPFSEIGNQRPRSYSCQNLRVYI >RHN80730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40479777:40480160:-1 gene:gene4690 transcript:rna4690 gene_biotype:protein_coding transcript_biotype:protein_coding MMYLNNLKRREKQLAVTGNAIENIGGGFTFRKAIKESPKPFTSFFNNLHTLLNLEVTKILFPYTRLFSDADHALLGERSTDFTECGSGTGVRRDVEVETPVRGAALAGNETVEIGAGVAGLGDAGWS >RHN68129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33116434:33117958:-1 gene:gene16430 transcript:rna16430 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLDISLDDRIKSRSNRGRGRGRGKALSGRGSGTLGGGRRTGAVNGRRTSNGGRTGAVRRGPLNVNTRASSYAIAKASSKIVDAYTCS >RHN55356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17601689:17602603:-1 gene:gene30526 transcript:rna30526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin MKLEHAYYMVLYNFGEKLYSGLVATMTSHLQEIARSLEATQVSSFMEELNTKWNDYYKSLPFLSDILRYMERTYIPSTKKTPVYELGLNLWRENVIYSNQIRNRLSNMLLEFVFKERAGEDVNRELIRNVTKMLIDLGPSVYEQVFETPFLQVLAESYKAESQKYIKCFDCGDYLKKVERCLNEETDRVHYLDPKTEKKIINAIEKEMIENPMPRLINMENSGFVNMICGTKYEDLERMYNLFRRVPNGSCSIFVEALG >RHN68601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36970224:36970472:-1 gene:gene16973 transcript:rna16973 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHRDLYFQYVHGHLLYIFLPQTTSSSFFTSTQTLQWGFFLHGFLSSFVFNACELSENYGCQRTTSSKEDGLKDGECTVM >RHN63021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47669501:47670559:1 gene:gene25669 transcript:rna25669 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPEIMLKSTRLSSTAKPVGPTAFDHPAKMLTPGAIRSGFKICGVIELGPRELNAATTGEGSTPTLVP >RHN43620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47284796:47292720:1 gene:gene50227 transcript:rna50227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ceramide glucosyltransferase MSSLDMFLFSLSRTFCSPFAVYVQIQGCFICLTLALGWALASYVRNREIKQMKEAMQNGNSLSFLCHDINELEHFYQANLPRVTVVMPLKGFGEHNLHNWRSQITSLYGGPLEFLFVVESTEDPAYHAISRLISEFEDDVDVKVVVAGLSTTCSQKIHNQLVGVEKMHKDTKYVLFLDDDVRIHPGSIGALTREMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGRTFFLWGGCMMMHADDFRQDRYGVVSGLKDGGYSDDMTLAAIAGAHKRLITSPPVAVFPHPLATDLNFGRYWNYLRKQTFVLESYTTNINWVMNRALFGVHCYLSWGFVAPFFIATIHLAAAFRFYSNGYSLEETAYTSAGLSLVTFLAICTLVELLSMWNLTRIEVQLCNMLSPEAPQLSLATYNWCLVFIALLVDNFLYPLSAIKSHFSQSINWSGIRYYLKHGKIIKIERTERSKDMSPVFTDLGGKHLYGKKGMPARGSFLGSLSRSLAQWHQPKKFDN >RHN44478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5172349:5175257:1 gene:gene38601 transcript:rna38601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MLAKYSKRRSSSKKQCSTFINELCHEFSLDELKKATNNFDENRKIGKVMGDIVYKGYVKYNGENDYPIALLRITDVFRGQGFKNEIEHLCQLCHPNLISFIGFCDQKNKKILVYKKDEMVNGTLQDHLGSRDMESLSWKKRLEICIGAAKGLHYLHTGTKRPIFHRDVIPQNILLDNNMAPKLSQFGLSLQGKLSKSESIPIVVRICGPYGFCAPEYLQTRTYTDKCDVYSFGMVLLHVILCMNNFLTIYGKMKMLEKRRNEILEPNKRRLEYELDLSNRDDWNLFNPTYFLERFPADEIIDPILTRLISPECLAVFVNIMKRCLNREEPNERPSMGEVEVELEHALALQEEAERETSITFFPPTNGEI >RHN67648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28956788:28957337:1 gene:gene15856 transcript:rna15856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MLRKKIISLSMLVMVLGMLVATLDARQIDDVSCPSALFSLLPCLPFLQGVGPATPTSYCCAGITDLNQKANTTQIRRDVCNCLKPAASRFGVNPDRSKQLPTLCNITLNVPFDPSVDCNTVQ >RHN65615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3800219:3806680:-1 gene:gene13475 transcript:rna13475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribosylaminoimidazolecarboxamide formyltransferase, IMP cyclohydrolase MFGLAAAAAATRVLCATLYSPSSSFTTTHHFSSHHLPSTLVSLSSLRFRCIPIKAMSETDAPKSASSSHGNKQALISLSDKKDLAFVGNGLQELGFTIVSTGGTASALESAGVAVTKVEQLTQFPEMLDGHVKTLHPNIHGGILARRDQKHHMEALNTHGIGTFDVVIVNLYPFYDKVTSAGGIEFKDGIENIDIGGPAMIRAAAKNHKDVLVVVDSEDYPALLEFLKGNQDGQFRLKLAWKAFQHVASYDSAVAEWFWKQTGGDKFPPSLTVPLSLKSSLRYGENLHQNAAIYFDRRLAEVNAGGIATAIQHHGKEMSYNNNLDADAAWNCVCEFRNPTCVVVKHTNPCGVASRDDILEAYRRAVKADPVSAFGGIVAFNVEVDEVLAKEIREFRSPTDGETRMFYEIVVAPSYTEKGLEILRGKSKTLRILEAKKNEPGKLSLRQVGGGWLAQDSDDLTPSDIKFNVVSEKTPQDGELHDAEFAWLCVKHVKSNAIVIAKDNCMLGMGSGQPNRVESLRIAMRKAGAAIKGAALASDAFFPFAWKDAVEEACESGIGVIAEPGGSIRDQDAVDCCNKYGVSLLFTDVRHFRH >RHN53723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3578217:3579219:-1 gene:gene28662 transcript:rna28662 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTLARVPHSSIFKLFFVDIRKVSGVDWVLFYVLKIGIDNSWKEIVARPKVLNEKYFFKKPVYSGGNDLYWILKESVIVMDVDKEVIVREYPLPPLRVCRYLDVEYLWMGNHLSCIVHEDPIKTFQIYILDINSGKWSLYHEMVLFDYVVACGQLSVNDTKMILISILFGMNEQIIFQLCFPTKEKSATYFKKSILVTMSRLDD >RHN49745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:784244:791636:1 gene:gene33953 transcript:rna33953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isocitrate dehydrogenase (NADP(+)) MLRASSSSSLFRLTSTLTMLSSSCSLRNPNNLLFHSTPSSSLFLPHPPNSLSFHNHNHNPFIPLRCFASSTPIHVANPIVEMDGDEMTRIIWKMIKDKLIFPYLDLNIKYFDLGVLNRDATEDRVTVESAEATLKYNVAVKCATITPDETRVKEFGLKSMWRSPNGTIRNILNGTVFREPIICRNIPRIIPGWKKPICIGRHAFGDQYRATDTVINGPGKLKLVFVPEDGDTPVELDVHDFKGPGVALAMYNVDESIRAFAESSMSLAFTKKWPLYLSTKNTILKKYDGRFKDIFQEVYEERWRQKFEEHSIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRFHQKGQETSTNSIASIFAWTRGLEHRAKLDKNEKLLDFADKLEAACVETVESGKMTKDLALLIHGPKVSREFYLNTEEFIDAVASNLERKLREPATV >RHN49970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2767123:2768963:1 gene:gene34214 transcript:rna34214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MGIREIWSNLGSIMASIMFVYAMYEKFFPPALRRYLRKYTHKFTNFMYPYIKITFYEKSGDNLKHNKTYTTIQTYLSANSSQRARRLKAEVIKDSQNPLVLSMDDNQEITDEFNGVKVWWSANHITSRTQSFSIYPSSDEKRFLTLTFHKRHRELITTSYIQHVLEQGKAITMKNRQLKIYTNNPSNDWFRYRSTKWSHTTFEHPASFETLALEPKKKEEILNDLVKFKKGKEYYAKVGKAWKRGYLLFGPPGTGKSTMISAIANFMNYDVYDLELTIVKDNNELKRLLIETSSKSIIVIEDIDCSLDLTGQRKKKKEKDDVENDEKKDPIKKAEKEEKNESKVTLSGLLNFIDGIWSACGSERIIIFTTNFVDKLDPALIRRGRMDKHIEMSYCSYQAFKVLARNYLDVEFHDDLFPIIEKLLEETNMTPADVAENLMPKSITEDFESCLKNLIQSLEIAKKKDEEEAKKKIEDEEVKLKAEKEKLELAQEEEKVKADEKLEENVKENGVKENGVIH >RHN64852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62466903:62468104:1 gene:gene27718 transcript:rna27718 gene_biotype:protein_coding transcript_biotype:protein_coding MFCYNFVSKFNSHLDQPSLLLYLAEPLIKKFYIFFLAKGLRIKSN >RHN80942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42069212:42076380:-1 gene:gene4927 transcript:rna4927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGNGTSRVVGCLVPFNGKNGVDLEFLEPLDEGLGHSFCYVRPTMFESPAISPSNSERFTVDSSTLDSETLSGSFRHDSMEHSSSSGLHKQGKNFPETTFKTISGASVSANVSTARTGGGNQSALFAGDFLEPAASFEGTASFAAIPLQPVPRGSGPLNGFMSGPLERGFASGPLDRSGGFMSGPIEKGVMSGPLDDTDKSNFSAPLARGRRRPRLQHLMRSVSGPVKNTFSRTFSKHSIGGSWMQRLFFQPVTQLAWPSRDTKCRPDISRNCGIDVGPYEAEYKHTHNLQWAQGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSHLYRFLDKELEGLLWDYEDNPVDSLKPEVPETVKAAVAPECSRSEMSDTHTNSNQECFEACSGPELIKDQSFNNEIVEEKDEVNVNVEHQLSNCGSNLSTVSNSVQHEKLTGKGKRSLRLYELLQMESWDDQCNDSSVPTESKLDEHTRPCSSTVREDGSKHQDEGPSTSGENGSTGFGSTNKEHEAVFPASVSRQNSKKSFIGAKIKKMYRKPKSLCKKLFPWSYDWHREESSVDEKILDASGPIRKCRSGVDHNAVLTAMARALERTEEAYMETAENNLDKNPELAIMGSCVLVMLMKDQDVYVMNLGDSRVILAQERSNDRHPNSSSVKDDMRHRNRSRESLVRMELDRISEESPIHNHNNHVIKMNKNREISFCKLKMRALQLSTDHSTSIEEEVSRIRAEHPDDSQAILNDRVKGHLKVTRAFGAGFLKRPSFNEALLEVFQVKYIGHAPYLSCTPSILHHRLSSSDRFLVLSSDGLYQYFSNEEVVAHVTWFMENVPEGDPAQYLIAELLFCAAKKNGMDFHELLDIPHGDRRKYHDDVSVMVVSLEGRIWRSSG >RHN75326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41300012:41306190:1 gene:gene11508 transcript:rna11508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein Rab11E MAGYRADDEYDYLFKLVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATKSLTVDAKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFENAARWLKELRDHTDPNIVVMLIGNKSDLRHLVAVPTEDGKSFAERESLYFMETSALEATNVENAFTEVLSQIYRIVSKKAVEAGEGGSSSSVPSVGQTINVKEDSSVLKRFGCCSN >RHN79839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32712231:32718264:-1 gene:gene3686 transcript:rna3686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MEPFFLFSLSFILLLFFPFSYAQMPGFVSLDCGGDKNFTDEIGLKWTPDDKISYGEISNISVANETRKQYTTLRHFPADSRKYCYTLDVVTRTRYLLRATFLYGNFDNNNVYPKFDISFGATHWSTIVISDENTIEVRELIFLASSPTVSVCLSNATTGEPFISTLELRQFNGSVYFTFYEEHFYLSVSARINFGAESDAPVRYPDDPFDRIWESDSVKKANYLVDVADGTKKISTNVSIDVRGNGDEMPPVKVMQTAVVGTNGSLTYRLNLDGFPATGWAYSYLAEIEEFPRNESRKFRLVLPGQADISKAVVNIEENALRKYRLYEPGFYNLSLPFVMSFKLGKTADSSKGPLLNAMEINKYLEKNDGSPDGEAISSVLSHYSSENWAQEGGDPCLPVPWSWVRCSSDQQPRIVSILLSRKNMTGDIPLDITRLTGLVELWLDGNMLTGPIPDFTGCMDLKIIHLENNQLTGVLPATLGNLPNLRELYVQNNMLSGTVPSELLSKDLVLNYSGNNGLHKGRRKKNQLYVIIGSALGAAILLLATIISCWCMHKGKKKYHDQDHLISHSTQNLESKSDGHAEIAHCFSFSEIESSTNNFEKKIGSGGFGVVYYGKQKDGKEIAVKVLTSNSYQGKKEFSNEVILLSRIHHRNLVQLLGYCREEGNSILIYEFMHNGTLKEHLYRPLTRGQSINWIKRLEIAEDSAKGIEYLHTGCVPAVIHRDLKSSNILLDKDMRAKVSDFGLSKLAVDGASHVSSVVRGTVGYLDPEYYISQQLTDKSDIYSFGVILLELISGQEAISNDNFGANCRNLVQWAKLHIESGDIQGIIDPALRGEYDLQSMWKIAEKALMCVAAHAHMRPSISEVVKEIQDAIGIERERESSISDEMMTRNSVHSSINMGSMDLAATENYLAIDDSIARPTAR >RHN65471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2485625:2486816:-1 gene:gene13312 transcript:rna13312 gene_biotype:protein_coding transcript_biotype:protein_coding MFALHLCAVLYVDMLPSSKHASKMYVQCYNLFSIIIKFRFRQECSNSFFFLI >RHN59653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12592116:12592334:-1 gene:gene21724 transcript:rna21724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase Clp MIHQPATSLYEGQVGECMLEAEELLKMRKTITNIYAQRTGKASWQIYRDMERDLFMSAEEAEAHGIVDTVAA >RHN66425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12210091:12210447:-1 gene:gene14409 transcript:rna14409 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLTILLYLLSCSAGSVAQNLWSLTGPNEKNDGITSYRLLYNDSDLVHGLLEIEGALLGSSQTGSPFENDGVTFLLRPEPRNPINMIQNGSRSILDHRFLYEKNESGFEEGKESSTR >RHN71327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58261474:58264990:1 gene:gene19995 transcript:rna19995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [histone H3]-lysine-36 demethylase chromatin regulator PHD family MNSDMSFREGDCVRMRSSKPLIPTYVAIIEEMKADSRDVRVRWYYWPEETKKGRRHFHGSKELILSDHFDVQSVDTIEGKCTVHSLKKYMKLDVVGDDDFFCRFNYNSATGALTPDIVQVYCKCEMPYNPDEVMVQCDHCTDWFHPACIDMTVEEAERIDNFSCESCSLEVQKKLRGSHSATRLPDTNVDTKRRQR >RHN66757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18320586:18323855:1 gene:gene14835 transcript:rna14835 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLYFNCYYLAYPQKQPSHSLGSPKVWLQFLSPYLPDLPEPNFPKPPERLELLNPPPPYLSVLPENSSNPPPDFLELEPPKPLDFP >RHN40441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16336083:16338076:-1 gene:gene46590 transcript:rna46590 gene_biotype:protein_coding transcript_biotype:protein_coding MMIFNFLFSLSLSFLRLYQLRSSCLPRHRLEYFVVEVIFSHHFYSCAIPFLLKIVGLSSIVDLEWLKWIIDFVRGTNCFLNLL >RHN45762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25361820:25366072:1 gene:gene40157 transcript:rna40157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MSELDNIMKVSRREGRMVIPVFYEVDPSEVRHQTGMFGDGFEKLISRIPVDKYTKMNWKTALLEVGSTAGVVILNSRNESEDIRKVVAHVTKLLDRTELFVADHPVGVDSRVQDVVQLLNCHESKDPLLLGIWGMGGIGKTTIAKAAYNKIRHDFDAKSFLLNVREDWEHDNGQVSLQQRLLSDIYKTTEIKIRTLESGKMILKERLQKKKIFLVLDDVNKEDQLNALCGSHEWFGEGSRIIITTRDDDLLSRLKVHYVYRMKEMDDNESLELFSWHAFKQPNPIKGFGNLSTDVVKYSGGLPLALQVIGSFLLTRRRKKEWTSLLEKLKLIPNDKVLEKLQLSFDGLSDDDMKEIFLDIAFFFIGMNQEEVTTILEHCGHHPDIGISVLVQQSLITVD >RHN56507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31433888:31437171:1 gene:gene31915 transcript:rna31915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MCGDSKKKTCQKDLIMGCEFVNGNKEGQYHEVSYLLEFSAADDVIGFKNAIENEGCDVDGVGLWYGRNVGSNKFGYEERTPLMVAAMYGSLDVSAYILGTGRVDVNRSSGSDGATALHCAVVGGSAASPKIIKILLDASADASAVDANGSRPVDLIVSLANSIFNQRKRMLQALLEGTGGADQTHLLFPETIDDIDEYQRQDVNTPRVSKDYAVDVSLPDIKNGIYSTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGSCSKGDSCDYAHGIFECWLHPAQYKTRLCKDESLCMRRVCFFAHKVEELRPLYASTGSAIPSPRSYYSTASTLEMGSISPMSLGSPSVLIPPSSTPPLTSSGASSPVAATAMWQTPSNVSIPTLQLPKSRLKTGMTARDINSDIAMLRVETQRRKQQLLMDEMSGLSSPSNWNHSMPNSPSFPVSSTNHTTGELNRFSGVNPTNLEDFFGSLDPSMLHKFHGISLDSAGSQLQSPTGIQMRPNMNQHLQQNYSSGHSTSSVIGSPTYRFQPSGELSASALNARAAAFSKRSQSFIERGVTNRHSELHSPAKPYAFSNWGSPDGNLDWTSHGEELNKLRKSSSFAFRTTSTPLTPAAARAQENDYEPDVSWVNTLVKDATPQESHQFSVEDQKRKLQRHLNNGTDSIPAWLEQLYMDQEQIVQ >RHN56701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33007436:33009400:1 gene:gene32139 transcript:rna32139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative catechol O-methyltransferase MESHNSSTLLKAQSHIWNHICNFINSMSLKCVVDLGIPDIIHNYGKPMPLSKLISSLPIHPSKKSCIYRLMQIMTQSGFFYEHDVTENELEIDYILTDESILLLKDHPMSVTPFLQAVLHPILANPWHEMSGWLKTDDPSTFGTTHGMSIVVIEKCGGVFNGLESLVDVGGGTGTMAKALAKSFPQLECTVFDLPHVVDGLQGGDNLNYVGGDMFKRIPPADAILLKWILHDWNDEECVKILRNCKDAIAKKGKEGKVIIIDMVVEKEKGNSESAKTQLFFDMLMMVLATGKERTKKEWVKLISSAGFNDYKITPVLGLRSVIEIYP >RHN54413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9371165:9372132:-1 gene:gene29446 transcript:rna29446 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKYHVRSNSFPSQSHPNSTRIEQELCKIKTWEATSTSTSDSITNGLFLLEDLYISLEDLLNMSSTQKAFAHHQGEKFVEELLDGSVKVLDICGITRDIMLQINENVQSIHSSLRRRKGESSIEKSVAEYKFFTKKMKKNVTKLITSLKHMESKFGASSLLNKLDQDLVAVITVLREVIAMNLSIFRSLFSFLVGSSSKSKAAKWLKVTKLMQKRVTCEENMESFNEFQCVEASLRTLISEGSNVAHEGFEALENAIESIENGLENIFRRLVKTRVCLLNIMTLS >RHN38384.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000031.1:7315:9387:1 gene:gene50801 transcript:rna50801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQMKKMATILKFVYLIILLIYPLLVVTEESHYMKFSICKDDTDCPTLFCVLPNVPKCIGSKCHCKLMVN >RHN54528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10258665:10260404:-1 gene:gene29592 transcript:rna29592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MVPKPIIIIACKQPPTTYIPQFNTASVRTKEKPQTSQPKTLRTTPTKTLLALLTTTTQKLKNTNKTLQTSSISALILNTLDDIINTFIDPPIKPSVDPRHVLSQNFAPVLDELPPTQCKVIKGTLPPSLNGVYIRNGPNPQFLPRGPYHLFDGDGMLHAVKITNGKATLCSRYVQTYKYKIENEAGYQILPNVFSGFNSLIGLAARGSVAVARVLTGQYNPINGIGLINTSLALFGNRLFALGESDLPYEIKVTPNGDIQTIGRYDFNGKLFMNMTAHPKIDSDTGECFAYRYGLIRPFLTYFRFDSNGVKHNDVPVFSMKRPSFLHDFAITKKYALFADIQLEINPLDMIFGGSPVRSDPSKVPRIGILPRYADNESKMKWFDVPGLNIMHLINAWDEEDGKTVTIVAPNILCVEHMMERLELIHEMIEKVRINVETGIVTRQPLSARNLDLAVINNEFLGKKHRFIYAAIGNPMPKFSGVVKIDVLKGEEVGCRLYGEDCYGGEPFFVAREDGEEEDDGYLVSYVHDEKKGESRFLVMDAKSPEFEIVAEVKLPRRVPYGFHGLFVKESDITRLSVS >RHN69819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46510095:46515511:1 gene:gene18337 transcript:rna18337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polynucleotide adenylyltransferase MGTIQRNVLPFSLFNQKLFLMDEELWFMAEERAQEVLYTIQPNVVSEVNRKRIIDFVQRLIGGYYGGEVFVFGSVPLKTYLPDGDIDLTVLSHESVEDDLVQAVCNLLESREEVKDVQQIRAQVRVVKCTVKNIAVDISFNQMAGLCALRFLEQVDQLVGKNHVFKRSIILVKAWCYYESRILGAHHGLLSTYAVEILVLYIINCFHSSVRGPLEVLYRFLDYYSKFDWKKNYVTIYGPQALSSLPEIVEKPECDGGEFLLSKELLKHYKDMCFVPKASVTSPREFSIKFINILDPLKNDNNLGRSVNIGNLHRITFALRFGARQLKEILKLPGQRMGGALEVFFMNTLNRNGKGQRPDIDFPIPAFGTGKSEEPVLVGDCDSYYGGLKYVQWYRNYAMPLVAQPIPPSPQFDVDMLGPQFDADMLAPQQNWYMYHHRSADYYVPSQTFFHPNVPPQPTYGLDEIRKSRGTGTYIPDMTLTTNWNARARGSRPRRSSRANNNNNNASSKRKQIEEVPPETVMNDKNSKSFELSKEDFPVLSSIGNSSLSASHQSEQDQNSSSPQIYIEFGTYSASKSLKELSLGTKDQKKDSGVSSSKTLGTKDRKKDSGGSSSKCATTPVVPSRAVQTKEKCTRMEKKMG >RHN67792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30318497:30322214:-1 gene:gene16025 transcript:rna16025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-Pl-4 family MGTETESSDSSLILIKQGAEARVFETSFVGRRSVVKERFSKKYRHPTLDAKLTLKRLNAEARCTTKARRLGVCTPVLYAVDPVSHTLTFEFVEGPSVKDVFLEFGSCGVNEERLGKIASQIGDVIAKLHDGGLVHGDLTTSNMLLKNDTDQLVLIDFGLSFTSTLPEDKAVDLYVLERALVSMHSSCGNVMDQILAAYRKSSKQWSSTMNKLADVRQRGRKRTMVG >RHN43366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45205190:45205701:1 gene:gene49927 transcript:rna49927 gene_biotype:protein_coding transcript_biotype:protein_coding MANYTLFIGLSLLISLADLVNDVYSFSWIQRYNVYSFLCIISDLGLCELLLVVYLHN >RHN82594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55020682:55021777:-1 gene:gene6769 transcript:rna6769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MRKRTRVLQSEKTTEAMFRAAKSGNIMVLKFIFNYNPNLFMEVNPQGQNLLHITISNRQISVFRLILHKGAYKNMLVLHVDREGYNILHLAGMLAAEERFGSPIHQFLIHSEELWFREVEKIVPPIYKTMENEKLMTPKKVFYMEHKELSEKAITELKGIASNFLVVAALLVSIGMSALLTIKTNNTSGKHLIFEENIWYIIFLLSVGVGVSLCVVSMHCFTSVILPSSWSPNITCVNSSLARITFGYLFIYASIGILGIFSTISGVILVYTFLPNWIFYVIVACCGISTAMFYVFLYCSLLLTVRLTLALCQKYGVMMLTKLGFEISWEPFFLD >RHN56348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30172420:30172836:-1 gene:gene31733 transcript:rna31733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MAWRSWREETAANIIDPLLYNSSRNEIMRCIHIGLLCVQDNVAKRPTMATIALMLSSSYSLTLPIPAEPAFFMDSRVRSFPEMRLWEENSSKSTIKSVNEASFTEPYPR >RHN57295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37908230:37908990:1 gene:gene32818 transcript:rna32818 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFTSTSTSTTNSLSNSTTSTTTNSRNPGNNRRRRRTNKLNNPRPTERLNGTTHTA >RHN76891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2141583:2152782:-1 gene:gene278 transcript:rna278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQPQPQQSPHQQQQQQQQHQMQMQQMLLQRQHQQQQQQQQQQQQQQQQQQQQPQHQQQQQQQQQQQQQQGRDRAHLLNGGGANGLVGNPSTANAIATKMYEERLKLPLQRDSLEDAAMKQRFGDQLLDPNHASILKSSAATGQPSGQVLHGAAGAMSPQVQARSQQLPGSTLDIKTEINPVLNPRAAGPEGSLMAVPGSNQGGNNLTLKGWPLTGLEHLRSGLLQQQKPFIQSPQPFHQLPMLTQQHQQQLMLAQQNLASPSASDDSRRLRMLLNPRNMGVSKDGLSNPVGDVVSNVGSPLQAGGPPFPRGDPDMLMKLKLAQLQHQQQQNANPQQQQLQQQHSLSNQQSQSANHNMHQQDNKVGGGGGSVTGDGSMSNSFRGNDQVSKSQPGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSGSTHTPGDVISMPSLPHNGSSSKPLMMFSTDGNTSPSNQLWDDKDIELQADVDRFVADGSLDDNVESFLSHDDTDPRDPVGRMDVSKGFTFSELNSVRASTNKVVCSHFSSDGKLLASGGHDKKVVLWYTDSLKQKATLEEHSLLITDVRFSPSMPRLATSSYDKTVRVWDVDNPGYSLRTFTGHSAAVMSLDFHPNKDDLICSCDCDGEIRYWSINNGSCARVSKGGMAQMRFQPRLGRFLAAAAENVVSILDVETQACRYSLKGHTKSIHSVCWDPSGEFLASVSEDSVRVWTLGSGSEGECVHELSCNGNKFHSCVFHPTYSSLLVIGCYQSLELWNMTENKTMTLSAHDGLIAALAVSTVNGLVASASHDRFVKLWK >RHN65775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5229665:5230976:-1 gene:gene13663 transcript:rna13663 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGVCNPPGGNDSNSLQSHILILLRNERLFSDASSAINIDFRFLHPSINRLLRTGRAPKVNDIIELQL >RHN64135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56857285:56860053:1 gene:gene26921 transcript:rna26921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S8 MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >RHN65891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6265961:6271242:-1 gene:gene13784 transcript:rna13784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MSQSTLPSISSFTCDWTYDVFLSFRGIDTRNNFTGNLYHSLHHQRGIQTFMDDEEIQKGEEITPTLLQAIKQSRIFIAIFSPNYASSTFCLTELVTILECSMLQGRLFLPVFYDVDPSQIRNLTGTYAEAFAKHEVRFGDEKDSKVQKWRDALRQAANVSGWHFKPGFESEYKFIEKIVEEVSVKINRIPLHVATNPVGLESQILEVTSLLGFDSNERVNMVGIYGIGGIGKSTTARAVHNLIADQFEGVCFLDDIRKREINHDLAQLQETLLADILGEKDIKVGDVYRGMSIVKRRLQRKKVLLILDNVDKVQQLQAFVGGHDWFGFGSKVIVTTRDKHLLATHGIVKVYEVKQLKSEKALELFSWHAFKNKKIDPCYVDIAKRLVSYCHGLPLALEVIGSHLFGKSLGVWKSSLVKYKRVLRKDIHEILKVSYDDLEEDEKGIFLDIACFFNSYEISYVKELLYLHGFQAEDGIQVLIDKSLMKIDINGCVRMHDLIQGMGREIVRRESTSEPGRRSRLWFSDDIVRVLEENKGTDTIEVIIADLRKGRKVKWCGKAFGQMKNLRILIIRNAGFSRGPQILPNSLSVLDWSGYQLSSLPSDFYPKNLVILNLPESCLKWFESLKVFETLSFLDFEGCKLLTEMPSLSRVPNLGALCLDYCTNLNKIHDSVGFLERLVLLSAQGCTQLEILVPYINLPSLETLDLRGCSRLESFPEVVGVMENIKDVYLDQTALKQLPFTIGNLIGLRRLFLRGCQGMIMLPSYILPKFEIITSYGCRGFRSSEDEEKVSPKVFTNAMCVYNENRKCFLNVYSRNIFSNDVIEICSPQWSQSLFDPANLERFHYGISHRIVNVDKLRSNESSVCFWFKKNFPRVGLWCFAEPEKHFDNMVLDFKLNLLINGTNKLTSSCKYIFYTCNKMDQILCCELQSKVEGAFSENEWNQVEISCEMEHLMPCDSKIVTAYQDWTNKRILQWTLIYVYPENEKVAFRFFNNCDSSFSTEQHIQKQQIILKILRFVIPHSPMLTLRLNNREAKFLVM >RHN70470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51637488:51640985:-1 gene:gene19050 transcript:rna19050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding protein MGASGKWVKALIGFKKPDKDEQHVKEGGKSKKWRLWRSSPGDNSSWKGFKTNHHKAASEGSESPTAAEAYTAAVATVVRAQPKDFRLVRQEWAVIRIQTTFRAFLARRALRALKAVVRIQALVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRMSMEGQAVQNMLNERRSKLELLKEAEEGWCDSIGTLDDVKSKIQMRQEGAFKRERALAYSLAQKQCRPTSSTNSRTNTSFSTLRNHEMNRANGGWSWLERWMAAKPWETRLMEQSHAESLEKTPPPPPKKFAEPFVSSNLKPCSVKVKKNNVTTRISAKPPPHIGQATRSSSSPSSEFRYDESSASSSICTSTTPMSGNTCERTEDSCNSTRPNYMNLTKSTKAKLKSGSNQMYNRAQRQQSMDEFQFMKRAAVFSNGDSKSIAASDHSLNFSRPLRLPTQSDKSSVRPW >RHN49712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:522992:525198:1 gene:gene33919 transcript:rna33919 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNYNTIWYNSKLIAAVDSSTHNNERIKCDNIYDSLKNIYDSQPIPSLSDSSTPSNSKSHSSLHTFEPAKVAAENDRSVVDATISLEIDQTMKKRMDSLHLVPEGVLACLT >RHN48957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51362021:51362768:1 gene:gene43733 transcript:rna43733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MIITIRLILLLVFLSLSTNTSQIVYAKGNNNNNVKEACSVTRYQNLCIHTLAQFSNTAGRTPSKWARAGVSVTISEVKNVQAYLTKLKKNGKMKGRNRVALSDCIETFGYAVDELHKSLGVLRKLSKNTFSTQMGDLNTWISAALTNEDTCLDGFEGKTEKKIKLLQNKVKNVSYITSNALALVNKLASTGLEFIGN >RHN50991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12722749:12723721:-1 gene:gene35351 transcript:rna35351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (+)-abscisic acid 8'-hydroxylase MLNFAGYQIKKGWSVNLDVVSIHHDPNVFPDPEKFNPSRFDEHLRPFSFLGFGSGPRMCPGMNLAKVEICVFIHHLVTRYKWRALEKDDSVQPTLVRMPKNKYPVIVESL >RHN50929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11952389:11956134:1 gene:gene35285 transcript:rna35285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MDQIFECVVHHAGDFSSFMDPNYVGPVETLDCEPDVFSYFALLATLKRLGYVSLNSLWYFDPSMEDGMIPLNSDVGCRRMQSIAYEFDKVHLYAVHPMSQPDVVALDPLIEYPCMAPPVPPVVNETNIGPNDVGPTGEGKDADCGVSGPTSEGKDADDGVSGPNSDVNGNGPELDLNDLGPMIEEDDLFGEHENGPGLDEGGHNGLREDEYGLGNEEGDVNVGSDREDSALNVHFNDSDVEVCIEGNLDSEVGESTAGINAETVNVETVFGEGSGARENEATVNEANAQSEGVVGEGPGKKGNKKKCGSGKKKGGSGKRRGRGRPKKKKTNEEHVFEDDIIETIRRSVNTEGEVGESSVVGEKGLSDVEEYNSDELDSGSDSDEDGVGNPKFPTFKMPVSMKGYKWEVGTLFMTKVEFQDAVRSFAVENCKDLKFKKNDKRRVVVVCKGEGCEWKMYCAPIPGEETWQLRKVTADDHTCGTEFRVKLMNSKWLGSKLHTRVREDRDIKVTTIMDRAQLKWGVGVNRSKAYRAKNYAVQFVEGSFRDQYRRLHDYGGELLRSNPHSSVTITSTPYIGTEADLENPEAVVCPHFQRMYVCFKGCRDSFFKCRPIIGLDGCFLKTPYGGMLLTAIGMDPNDQILPIAYAVVEGENKESWMWFLEFLIADLGGTRLCNTYTIISDQQKGLLPAIDTLLPQAEQRFCVRHLYNNFRKKFPGKKLKELMWRAAKSTYRNAFEREMMAIKAISEEAFDYLCQIEPRHWTKAMFKGDSKCDTLVNNISEAFNSVIVVPRSKPIVSMLEDIRVYVMERWQKNREKIAKYVDGEVLPNIKKRLEKESSYTNNWLVRQADDNDFQVTHISNTGDKYAVNLLDKVCDCRKWLLTGLPCCHAIACMKHKNYRIADYVPPIFKKEQYAACYSSIILPVNGQDLWKRTECTDLQPPPVKRQPGRPKKKRRLDASELMRNNSEMKRATYGSKCSSCKQTGHNKSTCPLPTPAINQTAPSAHAQATSQAAPSAHAHASSQPAPSAHAQATSHPAPSAHASSQPTPSAHAPSAHAHAHTSSQPAPSKTQASQQPTLKKKRSPRKRKNVDHVAATQPTQAKKKGKSVPKKNAVSASQP >RHN50638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8716492:8722686:-1 gene:gene34948 transcript:rna34948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfite oxidase MPGLTAPSDYSKEPPRHPSLQINSKEPFNAEPKRSALIASYVTPSDFFYKRNHGPIPIVEDINRYCVEISGLVQHSKQLFMKDIRNLPKYNVTATLQCAGNRRTAMSKTRTVKGVGWDVSAIGNAVWGGAKLADVLELVGIPKLTSVTQFGGKHVEFVSIDRCKEEKGGPYKASIPLSQAANPEADVLLAYEMNGEPLNRDHGYPLRVIVPGVIGARSVKWLEAINIIEEECQGFFMQKDYKMFPPSVNWDNIDWSTRRPQMDFPVQCVICSLEDVSTVKPGKVKISGYAASGGGRGIERVDVSVDGGKTWMEASRSQKRGIQYISDAADSDKWAWVLFEVTADIQHSTEIIAKAVDSAANVQPEKVEDVWNLRGILNTSWHRVKVQASYSNL >RHN63231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49548760:49551795:1 gene:gene25908 transcript:rna25908 gene_biotype:protein_coding transcript_biotype:protein_coding MASACSRIAQRASISSIKSAIKSNIRTSSIPKPATTATSSPLRRSFASRIAPELGCLQSMLPLHSAVAAARMTSRLSVTSRNCQSLSQGT >RHN50394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6771636:6773175:-1 gene:gene34672 transcript:rna34672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase MYVQEFGSECGGNPNQRCVYISYLDSVKYFRPKQRTKSGEALRIFQCSKRLPKKILSLVTNVYHHFFLPTEKGNSKVTASRLPYFDGDRWCGNAVIMAANSDPTNNAVSQNRKIGELSKDVNSKIGYNTGKRWSDDKNNALKSFLDAKSRKDINWKDIRDEEKLFGRDLNAIQDKARKFFKKEIQDPKIRYNIGKPWSDDENNALKPFLYAISWKEINWEDIRDEEKLFGRDLNAIQDKARKNFKKERQDPKIRYNIGKPWSDDENNALKSFLDAKSWKDINWKDIRDEEKLFGRDLNAIQDKAMKISNIRLNTFRHKISFQPFLKFTT >RHN79502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29613569:29616319:-1 gene:gene3299 transcript:rna3299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S17e MGRVRTKTVKKSSRQVIERYYSKMTLDFHTNKKILEEVALIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDVSAIRTDHIEVDKETLDMLAALGMSEIPGVVQVDPVPVQQVPFGRGAGVAAGGGRRF >RHN47867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43139801:43141245:1 gene:gene42514 transcript:rna42514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MPYYLKDCFALFSLYPNDRVFDSFEVTYLWRALGLLPPPIRNQTLKYSAIQLLLELLSISFLQDFIDYGIGFTFKIHDSVHTCAEIVAWEECKRAPYSSEDRFPVFVRHLTFPENKELDKFPIKRSKNVRSILFPNGGIGANSDVFLNACISKCTHLRFLDLSDSTYETLPQSIGKLKHLRYLSLANNRNI >RHN69694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45596482:45604691:1 gene:gene18200 transcript:rna18200 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAAAAAARGVALQLQTPPRKEWRAVAEHHHSARNPDDEELENPKLGQSDERTIYEVQQGREPLDVDFCSMTMDGTLDIDILQQQILSAVSQRREILQMEIELKAQIIARSELLKMRNTYDAQLKEHANNASKFQEQLCERERAIHELERKMEEKDRELHKIKLDNEAAWAKQDLLREQNKELASFRRERDHSEAERAQHIQQIHDLQEHIQEKDRQLIELQEQNRVAQETIMFKEEQIREAQAWITRVREMDVFQSTTNQSLQAELRERTEQYNQLWMNVQRQFAEMERHHLHAMQQLQLELADARERTGTYNDDSRMSQVNSKSNVAPYGQENGNQFDLNGGNASGGNTGLLTNENSDNGPPFSTSGNPSIQTDHTHGVAIAPSSLIVPHPYLPPGQVTALHPFVMHQQGVPNSVASHVPQSHVGHFHPVPTMSPMQQWQNQQAVSEGLQVSMQDNAASSQADQNLIRSDAKFNYDMSVNGQTLTRDYLDAHVHQGEEAHTVVSSSTGETQVLQSVDKDLLIASQQSLQQISSQFSEALRLNSFKPNGEMKNPVTSSNDEGPASQILLAEQASSAVNTSSVASHSVGEMIQNNSDIVLPEAFASTVQTTSTTIAKAPDAALLDERSLLACIVRTIPAAGRIRISSTLPNRLGKMLAPLHWHDYKRKFGKLEDFVTSHPELFLIEGDFIQLRDGAHKMIAATAAVAKVAAAAAASSPYSSYMPTVAVTPMAQSHRLKKSPSTDSNGACFSVAGGLTNVKILSKPKDSPENSYVQPSAQLSVGNGGSLDRLSMISAQNSVPVNGRSTAAAYPSRR >RHN45433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20693053:20693376:-1 gene:gene39772 transcript:rna39772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SPX domain-containing protein MCEVLNFEGLVKILKKYDRKTGGLRRLPFLQKILEHPFLSTDLISELVRECENIIDEAYQAGEAAERVNAKAVFDGKGSLERIVEALLKKQENMMIVKEGTTSMQNE >RHN45375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:18219806:18224754:-1 gene:gene39667 transcript:rna39667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP12, ATP synthase F1-assembly protein MAHSLIKNSIKSINPKFLSTLSAHRIVRRFGSAAAADEEQSSSFTFSSEGDSIQLKSPSAARRKLSSSVTMPISFMTGSIVGKRFYKEVKTKEADDGNGWTVMLDYRTLKTPAKRPLKLPTVALAKAIAAEWDYQQIDGIRPFTMPLMRLACTALERVPVTRPKIIEHLVKKFNQDLVFCRAPDDNELASLVYERQVDKIDPLLHWLESEFGFKPVVYSSFFGGKQEDGLVMAIEKLLKKTDDCELAAIDAIAASAQSLTIAIALVHGRLQIEEAIELIRLEEDLQVDRWGLVEGGHDIDIADIRVQISSPVVFLGLSRNM >RHN53760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3933985:3936403:1 gene:gene28704 transcript:rna28704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MVKIGFGTFDDSFSAASLKAYLSEFIATLIFVFAGVGSAIAYNDLTSDAALDPAGLVAVAVAHAFALFVGVAIAANISGGHLNPAVTFGLAIGGNITILTGLFYWIAQLLGSIVASLLLNYVTAKSVPTHGVAAGLNPIAGLVFEIIITFGLVYTVYATAADPKKGSIGTIAPIAIGFVVGANILAAGPFSGGSMNPARSFGPAVVSGNFADNWIYWVGPLIGGGLAGLIYGDVFIGSYTPAPASEF >RHN48869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50785018:50785345:1 gene:gene43633 transcript:rna43633 gene_biotype:protein_coding transcript_biotype:protein_coding MKHDLKKTYKKYPHFAGIVLPCSHILDNFSRKGVQQFLECNRSVEYCLPIIHQCGLWTTTISIDINAYSNLTNEDPQLPEIRLEVE >RHN65791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5382642:5383594:-1 gene:gene13681 transcript:rna13681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Rcd1-like family MTISSTLMNIFLLFKVQEYFTKMFIDFHTLIELCYFFFQEIISIYPTLSPPNLSPSQSTRVCHVLALLQCVASHPDTRISFLNANMPLYLYPFLKTTSKLAHFEKLRVASLGVIGGSMKVFHSSNFCFLM >RHN42973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42291044:42295845:1 gene:gene49486 transcript:rna49486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosome biogenesis protein MGGNNRQHRFRNHRPNHAQSSRHNQLSLDNENQSCVQEPCEGDNEESDELAQPKIKLAMWDFGQCDAKKCTGRKLSRLGFLKELRVTNGFGGIALSPVGTQCVSREDYSLIQNKGLAVVDCSWARLDDVPFVKLRCAAPRLLPWLVAANPINYGRPCQLSCVEALAAALTICGEEETANLLLGKFNWGHAFSSLNKELLKAYSKCQNSAEIISVQNDWLSQARQVPKAPTDSEVVKQENEDNDQNSSDSDDGLPPLERNMNHLTIENSDEESE >RHN61184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33726546:33729480:1 gene:gene23622 transcript:rna23622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arginine decarboxylase MVYISFSLLLPLSFPLNPKNPKRFSECPYKFNFRISLTIPSYSSQERSIALPEYGKYESVGTVSTESITNNPNECSNSPEIRQSGLPPLVSALKASAEENAATFHFPGHNRGHAAPASMTRLIGRRPYVHDLPELPELDNLFCPQGPILEAQTEASKLFGSSETWFLVGGTTCGIQAAIMATCSPGEFLILPRNCHLSAISAMVLSGAVPKYIVPDYKNDWDIAGGVTPLQVLSAIQELELEGKKAAAVFITSPTYHGICSNLSDISELCHSRKIPLIVDEAHGAHLGFHSELPSSALQQGADLTVQSTHKVLCSLTQSSMLHMSGDIVDKEKISRCLQTLQSTSPSYLLLASLDAARAQLSESPDTVFNQAIELANEAKSSLKRFPGILVLENSSFLTIRAIDPLRLTVGFWELGLSGYEADEILYRDFGIVCELVGNKSITYALNLGTCRDHVQRLLSGIKHLAATYPSIQQPKDEVLTEHTPFDDIITSLTPRDAFFASKRKVTIKESIGKVSGELICPYPPGIPVLIPGEVITERAVNYLLHVRSKGADISGASDPLLSSIVVCNVHKKQLVS >RHN43245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44286645:44294825:-1 gene:gene49790 transcript:rna49790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif 2, nucleotide-binding alpha-beta plait domain-containing protein MPFQVMDQRGVSDPSNFFDDISFHSERNIGLRKPKYMNAQHPQGMNGMVAPPGSTLSASSPFEAKSGFPMSQTSLSEESVQKLPFGGEQGIADVLKGSNRSFHHNPQSWSDVFRQSEPTSYRIIGNKVVATNALPRETSLFSSSLSDMFSHKLNILGNDVLSDQPTAASSLLEEEPYKSLEQMEADYIHNLLPDEDDLFSGVADGLEYNSHARTNDDSEYTDVFSSGGGMELEGDEHLSSLRRTSGLDGDHGFFGGSKGKLPFVEQPSRTLFVRNINSSVEDFELKTLFEQYGDIRTMYTACKHRGFVMISYFDLRAAQRAMQALQSKPLRSRKLDIHYSIPKVNAPEKDIGHGTLMLSGLDSSVSNDEFKRIFGFYGEIKDIYEYPEMKHLKFIEFYDVRAAEAALRALNRIEIAGKQIKLEPGHPRFATCLMQQSHKVQDERDIGHSIIDNLSLRQKPTLSSGVIDSAGSENGYNQRFQSAMRQQPLNGFIDNALFHVNSGINNTARGGSIGKFSGVSESNNLVDAMKFASSPTTFHPHSLPEFHGSLANGSPYTFSSTISNKAGNIGAGVTEASNGRHIHGISSVGNLAEFNGGGSSGNGINAHHGLNHIWSGSNLHQQSSPSNMLWQKTPSFVNGSPGLPQMSSFARTPPHMLRTQHLDHHVGSAPVVTASPWERKNSYLGESPETSAFHLGSPGNGGFHGSWQMRPMEFSAHNNMFSHVGGNGTELSSSAGQSSPNPLSHILYGRQSTTAMSKFDPTNERMRNLYSRKTEANTNGNADKKLYELDLGRILRGEDSRTTLMIKNIPNKYTSKMLLVAIDEQCRGTYDFLYLPIDFKNKCNVGYAFINMIDPAQIIPFHQAFHGKKWEKFNSEKVASLAYARIQGRASLVSHFQNSSLMNEDKRCRPILFQTEGPNAGDMEPFPVGANVRVRPGKSRNAGNEENRIQATPSTLASGEETANGNSD >RHN80242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36434663:36438707:1 gene:gene4143 transcript:rna4143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MDVRDLAYRYLTQLNHVSTTQIIARAVHAHILTSGFKPNTFILNRLINIYCKSSNITYARKLFDKIPKPDIVARTTLLSAYSSSGNVKLAQQLFNATPLTIRDTVSYNAMITAYSHGNDGHAALNLFVQMKRYGFLPDPFTFSSVLSALSLIADEERHCQMLHCEVIKLGTLLIPSVTNALLSCYVCCASSPLVKSSQLMASARKVFDETPKNQIYEPSWTTMIAGYVRNDDLVAARELLDGLTYPIDVAWNAMISGYVRRGLYEEAFDTFRRMHSMGIQEDEYTYTSLISACGSCNEKMGMFNCGRQVHGYILRTVVEPSHHFVLSVNNALITFYTKYDRMIEARRVFDKMPVRDIISWNAVLSGYVNAQRIEEANSIFSEMPERNVLTWTVMISGLAQNGFGEEGLKLFNQMKSEGLEPCDYAFAGAITACSVLGSLDNGQQIHSQVIRLGHDSGLSAGNALITMYSRCGVVESAESVFLTMPYVDSVSWNAMIAALAQHGHGVKAIELFEQMMKEDILPDRITFLTILTACNHAGLIKEGRHYFDTMCTRYGITPGEDHYARLIDLLCRAGMFLKAQSVIKSMPFEAGAPIWEALLAGCRIHGNMELGIQAADRLLELIPGQDGTYIILSNMYAALGQWDEVARVRLLMRERGVKKEPGCSWVEVENMVHVFLVDDARHPEVQAVYTYLQQLVNEMKKLGYVPDTKFVLHDMESEHKEHSLSTHSEKLAVVYGIMKLPLGATIRVFKNLRICGDCHNAFKYISKVVEREIVVRDRKRFHHFKNGECSCGNYW >RHN55382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17815502:17815720:-1 gene:gene30555 transcript:rna30555 gene_biotype:protein_coding transcript_biotype:protein_coding MNISGKSNKLIELEGHMMHGVFHSNGFGHLLCVNGLETGSNLGGNQIMEFWNRLCNGLQARLVHFIHFVMIL >RHN46937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35897481:35897792:-1 gene:gene41474 transcript:rna41474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I12, Bowman-Birk MKVVLLLFILGFATTIDAHFDPSSLVTQVLPNGDASYYVKKSTTTATACCDKCYCTKSRPPQCHCADLNKTCNSACKLCACLPSPPVLCRCVDITNFCYPPCN >RHN49317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53992664:53993773:-1 gene:gene44132 transcript:rna44132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MGQEWWSRSCKINTTNPCHPAPTSLTFHRCKSLKVRNLTVVNSQKMHIAFTRCMRVVVSRLNVSAPSSSPNTDGIHISATKGIEISHSDVKTGDDCISIVRNSSQVWIRNFSCGPGHGISIGSLGKSKAWEKIENVNVYMELILRTRKMG >RHN54533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10298143:10303977:-1 gene:gene29597 transcript:rna29597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MAMGGDMWKAHMSMALVQLLYGGYHVITKVALNVGVNQLVFCFYRDLLALFIISPIAFFKERQTRPPITKKLLMSFFFLGLTGIFGNQLLFLIGLSYTNPTYAAALQPAIPVFTFLFAVIIGVERVNLKSSEGLAKVGGTLICVSGAVLMVLYRGPSLIGYTELVVIPQNDISVGGQPEPSGWLISGLQNLGLDNFELGVVFLIGNTMCMAAFLTILAPVLKKYPANLSVTAYSFFFGVVLMAIVSLFMTDLSSDWILTQPEILAVVYAGTIASAFNYAVISWCNKILGPALVSLYNPLQPGFSALLSQIFLGSPIYLGSIIGGSLIIAGLYIVTWASYKEKQGIVEITSHDSWFSEPLIHEKSAHQIDHILPGSSSASSNTKIV >RHN78471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15419585:15419806:1 gene:gene2039 transcript:rna2039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-CTR1-DRK-2 family MSDQHIVDSFCRCDIFSYGVILWELFTKQEPWKEVHLYRVIYHVGEEDGRLDIPDNMAPDITNIIRQCLKNQV >RHN61818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38570682:38570906:-1 gene:gene24317 transcript:rna24317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucoside 2''-O-glucosyltransferase MYPLFAMGHLTAFLHLANKLAKKGHKITFFTPKSAQSKLEPFNLHSQSQFLMLKAFLPMQKQQLMFLTLYIHTS >RHN43575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46972850:46973583:1 gene:gene50178 transcript:rna50178 gene_biotype:protein_coding transcript_biotype:protein_coding MESSFRKVSCENGTRMKTLKELVAHIVVVEIEFTHAFIFSVLDKCNLLGDVSLFIHGHADSCVAGNLISVCRSKFTGVRWRWGIWKEGIKDTLVSDGI >RHN58072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43511669:43519668:-1 gene:gene33679 transcript:rna33679 gene_biotype:protein_coding transcript_biotype:protein_coding MCCPGKVCMLCVCLILVVITIGMLFGFGVFKNGFHKVKDTVHYSESYGGGGGGRPFLGYAPPPLF >RHN41701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32169078:32171018:1 gene:gene48051 transcript:rna48051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MIPFFPLIEALFLVCLVLLKSVCSFSIPFAILKIKVFEIYSIISRHPHHFELNGKICWLLVHCCVVMKDFECAYKMVNELQKKYFKYKTTMYNAIMAGYFFEKNKIGGLRVLKQMRDANIKLDSYTFSYLIENCETKEEIKKYYEEMEQSGIHLTKEVFVALIHAYVPCGEYYEEQRVLLLLKELSGQDWVHGCRWAIRYSVQNKNLRYDGSFFPTLNYDFILPFVYVHCIFHSSTIELFKQLMDYYKNDAPERSDPLYFGRKKLVFNINEAYFPILESGSTTYLQFGLDLLNLIKKRA >RHN50505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7737844:7738344:1 gene:gene34797 transcript:rna34797 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTQVILISLPTLFTTPSFSCSIKSMTNFEFPTNFSNELPNDVVFCGKVITRKTEPQANKDNTTVVAGIRSLSVKENRNRRSSSKSYTGMFGMVKFPLQMELSDIKMRQERRDPMKLPKFTEEEDRDGGSCWEMVRPLRRRWTIMRALKTSFGCIHIV >RHN39795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10552540:10558380:1 gene:gene45858 transcript:rna45858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MSSFKMLENAIFKLLLNCIMISSFIRSSYSKCDFQGIFNFGDSNSDTGGFYSAFPAQPIPYGMTYFKTPVGRSSDGRLIVDFLAEALGLPYLSPYLQSIGSDYTHGANFATSASTVLLPTTSLFVSGLSPFALQIQLRQMQQFRAKVHDFHKRDPLKPSTCASKIKIPSPDIFGKSIYMFYIGQNDFTSKIAASGGINGLKNYLPQIIYQIASAIKELYYAQGGRTFMVLNLGPVGCYPGYLVELPHTSSDLDEHGCIITYNNAVDDYNKLLKETLTQTRKSLSDASLIYVDTNSALMELFRHPTSYGLKHSTKACCGHGGGDYNFDPKALCGNMLASACEDPQNYVSWDGIHFTEAANKIIAMAILNGSLSDPPFLLHKLCDLQPID >RHN41115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27110895:27113115:-1 gene:gene47404 transcript:rna47404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MASISPPPSFTPKIPQQIHDVFLSFRGEDTRYTFTSHLYAALTRLQIKTYIDNELERGDEISPSLLKAIDDAKLSVIIFSENYASSRWCLEELVKILECKKNNGQILVPIFYHVNPTNVRNRTGSYALALAEHEKRRDKNKVQTWRLALKEAANFSGWDCLGTRNESELVEQIAMDILQKLDTITSGGLERRINTYNQNAQQKLEKSLRTGNLADMLELITTLYQLAELKLEKATKSNDSSDWDDVLATYERIKQLKQDKWMRKFFSKKNKIKKKWMRKLNAQDLEELKAARNHVLHIQREQGTMYCIFRGSNSIVKWVSVVYRAIHHEQVPSF >RHN72551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8567338:8569587:-1 gene:gene8277 transcript:rna8277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MATLINFIILLHLISLCLILPTLAKNSDSKNDYNNDLLDNIPTPSYSNNIPNSNTPQNVAVMNTIDSCWRSNSNWVSNRQSLADCAIGFGKDAIGGKYGEIYEVTDPSDDPINPKKGTLRYGVIQAEPVWIIFSNDMVIKLKNELIVNSYKTIDGRGAKVEIGNGPCITIQGVSHVIVHGISIHDCKPSNPGMVRSSIEHVGYRQGSDGDGISVFASSNIWIDHCYLARCTDGLTDVTHASTLVTISNNYFTQHDKVMLLGHNDDYSADRVMKVTVAFNRFASGLIERMPRVRFGYAHVVNNRYDEWIMYAIGGSADPTIFSQANYFIASKNSNAKQVTKRETDGKWKNWKWRTYGDEFLNGAYFVPSGYGSCTPLYSSSQNFVAAKASMVPLLTLNAGPLDCVADKAC >RHN73391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15914082:15915530:1 gene:gene9195 transcript:rna9195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MENENNSKRKNTTNTINDFHDDILTHIISFLPIKDAFRTTLLSKRWVLLCRSLPVLHINDDGVKNEKDLIQFRQMLDAVMFSPRSQDSTHKSFKLTCCSILWDANVDCFNMDKWIEAATGLRVEYLYLHLFENPLTLTIFCCKTLVVLHLTDIHVPNMFDCSLHLPLLKILYLFSVRFQDSVDFTKLLYGCPKLDCLSTLFVEPAVTTFEANAGITAEGYFNPLSNLISAVVDVPYKAVSNVKFLSVFGILDTEEINSYNNGFPVFGNLIELQLCWIHGIHDYVEVVKMLQNCPKLQALRIEKVCLSHQRYALSTTIENWEYPDHVPKCVSSHLTTCRIELYEAMEADFRFASYILKNARLLQVMTICRTLTPKPIESPKNLEDLSSCPKISPTCKLELI >RHN75339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41426631:41427850:-1 gene:gene11524 transcript:rna11524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MATFTKLFVTLSIFSLLACSTNAQLVNNFYGRTCPSLQTIVRREMANAIKTEARIGASILRLFFHDCFVNGCDGSILLDDTATFTGEKNAAPNKNSARGFEVIDTIKTSVEASCNATVSCADILALAARDGVFLLGGPTWVVPLGRRDARTASQSAANSQIPSPFSDLSTLTKMFTDKGLTASDLTVLSGAHTIGQGECQFFRNRIYNETNIDTNFATLRKLNCPLSGGDTNLAPLDTLTPTNFDNNYYKNLVASKGLFHSDQALFNNGSQDNLVRSYSTNGATFRRDFAVAMVKLSKINPLTGTNGEIRKNCRLVN >RHN74411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32942779:32951560:1 gene:gene10472 transcript:rna10472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative APO domain-containing protein MKDEPRRKPMPAPKNGLLVKNLIPEAYDVYNARITLINNLKKLLKVVPVHACGWCSEIHVGPVGHPFKSCKGTQSNIRKGIHEWTNAHVEDILIPIDAFHLYDRLGKRITHEQRFSIPRIPAVVELCIQAGVKIREFPTKRRRKPIIRIGKKEFVDADESELPDEVPDNPTQPLIAEIPDSDIVAPVNKEEVVHLAEETLQAWEQMRKGTKRLMRMYHVRVCGYCPEIHVGPSGHKAQNCGAHKHQQRNGQHGWQSAVLDDLIPPRFVWHVPDVNGPPLERELKNFYGQAPAVVEMCIQAGAALPEQYKSTMRLDVGIPSTLREAEMVV >RHN74668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35593505:35593822:1 gene:gene10775 transcript:rna10775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MCDCIDKNMVKGKLVLCGSPISGELAYANGAIGSILNLTKSQLDVSFVTQKPSLNLETNDFVHIQSYTNSTKYPVAEILKSEILRDNDAPRIVSFSSRGPNLLVP >RHN67363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26459586:26464928:1 gene:gene15544 transcript:rna15544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BAH domain, Agenet-like domain, Agenet domain, plant type MSSVGILFKMSIDDPCFVEWKEHFVSQERGHRIVHYYLKDSAGESFLAVVGTERSVRHMCYVIAEEFLEICGMEIPPGFKWRSRREVVDWLTSMLSKQHLEEDRSVWPVHNLALAHKIANGSVKEVSAQMVDDKDIPKSNSKLSNSDIVWSGLAWTCGKQLKHYPAFCRNGIQIGIQSFVFVMGNGENHYVAYLEDMYEDRRGQKKVKVRWFHHNQEVKGAIPVRNTHPREVFITSYSQVISAECVDGPAAVLTREHFEKCTPYFSPSSTDRIHLCFRQLKGNKVKPFDLSKLRGYYTQPALSSLRVDTIHNTESHSNSLTGEDEDLDVGDDAKRGAKRSRSVTNGREGVRKLIRSNPMMGYQTFQVVNYARPDRRLLSLKKVDCKPWFNPTYKVDDKIEVLSQDSGIRGCWFRCTIVQVARKQLKVQYDDVQDEDGSGNLEEWIPAFKLAKPDKLEMRQPGRSTIRPAPPLEEQELIVEVGTAVDAWWSDGWWEGVITTIDNCGDDNVQVYFPGESLQMTVHKKDLRISRDWLGGTWVNIKAKPDITPTILTSISFNTNLTLSPSLEKDADSVGFANSCHEVPAEKSNKPDIVEEKLVCCGVAENGVCVQDDKPPSEKSTQVGDIEDDGGNNDNGESGDNKNVNNENSDAKVIRTSSVECKSVELMEVTV >RHN75395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41922429:41930077:-1 gene:gene11587 transcript:rna11587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling DDT family MAPPSGDFASEPPKRRGRPRKPRRKGKETVTVELKKPIVLIGRCVLKEFSETVQIGKVMSYEIGFYRVEYENGVFEDLDSSAIRRILIEDCDFDDDLIRRKNELEQSLLNKIIEESRELNAEDQEDSRDVMDDDSRDLCSDAEIPVELLVASELSPPLELPPSSGTIGVPEKYVSYLFSVYGFLRSFSIRLFLYPFSLDDFVGALNCRVPNTLVDAVHNSLMRALRRHLEHLASEGSTVASQCLRCSEWSLLDTLTWPVFLIEYLAVNGYIKGSEWNGFYNEIFNGDYYSLPASRKLVILQILCENVLESEELKAEMNMRKASELGKNYDAEDSPIAENGPKRVHRRYAKTTNCQDEEPTKFVSKLDAVNLPANSEDEVDKNGDECRLCGMDGTLLCCDGCPAAYHSRCIGVYKMYIPEGPWHCPECKINMIGPTIAPGTSLKGAETFGNDLYGQLFIGTCDHLLVLNVNSAEFCHKYYNQNDVRKVIQVLYDSVQHRPLYSSICMAVLQYWNIPESSSHLCVPNGNITSDFVYMGCSYKPQSYINKYMNGDFAASAAAKLAILSSEDSRSEGHVSDLKKATPDNPSLVAKAFSLTASRFFWPNSDKKLGEVIRERCCWCLSCKSSVSSKKGCMLNHAAITATKSAAKILSGLAPVRSGEGISPSISAYVIYLEESLNGLVDGPFRSENCRKLWRKQMERVTSFSNIKSLLLKLEENIRTIAFSGDWVNPTDEWLVESLTIHSDASTLGTTQERASCGRCRKQLPIKYTANKCRENFGWLNDKFTKSVFQKAALPKFMVRKAARQGGLRKILGIVYPDVSEIPKRSRQLVWRAAVEMSRNASQLALQVRYLDFYIRWIDLIRPEYNLQDGKGQDTEVSAFRNANIYDKKFAKGKTSYAIAFGSQKIIPSRVRKIAEIEEVPEGEKLWFSEKRIPLYLVKEYEVRNKKEPSHKDNLNIASQWHKKRLNAIWKDIFSYLTCKRDNLELLSCSVCEQCVSFRSALKCSVCQGHCHEGCLIDSTFSTYTNIEFLTTCKQCYQKETVNKSSNSTLLLNGGSLTILMEPVPKCDDQIPRSTRENDCRPDMKKVASHSPLETKSSGKKSSWGIVWKKNNNENTGIDFRLKKVLLKGRSSLPQFEPVCHLCHKRYRSDLMYIGCETCTRWYHAEALELEESKIFSVLGFKCCRCRKIKSPVCPYSDLVCKEQVGEQSGSRASKRKHSGAGSSSEILADIRACEPVNPVFPSEAVSKQENNPFPYSLSNVELITEPQLELDAEKSHSQASKKNHSGEDFGSETLTDTRENEFPAEDVSIPENNPPPFPFSDAELITEPELDAGIERNTISGSGLQKIPTTRHFKPEGDNNSSSGGEVRHAEISTRDEMGNMPAEFLSPFVEHDFVFADYNLLSDFESVDNEFIDIESETHLSSAELLHLDSSSHFEEADLFVDLSGFVNNSCTLGVPEECATTSLQNNLRPTTSSNVHNCWQCSQTEPAPDLSCQICRMWIHSKCSPWMESSSRLGEWKCGNCREWQ >RHN72732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10247606:10247854:1 gene:gene8484 transcript:rna8484 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNDSSLCKSWSWSSCVTGIPTHAQLLISRTMPDEVTFMGLLLAFSHAGLINQGRRVFGSIKGTYNLNRKVDHYSCLVDIL >RHN81506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46578833:46579518:1 gene:gene5562 transcript:rna5562 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVGWISLYVEIGKRLRREREKQWIKNGNGKLYRMECLEGTRVGILLSEFSFICIGFVHSATPFLHYLQSLCSCVSVSIVLSLFLCVFIYLNCLSR >RHN44797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8578862:8582107:1 gene:gene38961 transcript:rna38961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casparian strip membrane protein MENNTNSATATSTATTITSAPSSSTVSRIVLLLLRVLTFVFLLIALIVIVLTKETLETSFGESEIKFNDIHAFRYMISTIVIGFAYNLLQMALSIFTVVSGNRVLSGDGGYMFDFFGDKIISYFLLSGSAAGFGASEDLHRIFKAGELPLNSFFGKANASTSLLLLGFLTTAIASIFTSFALPRRAK >RHN69102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41046804:41056156:-1 gene:gene17531 transcript:rna17531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative post-transcriptional gene silencing PAZ-Argonaute family protein MVSVPHFEEAVEPPALPPSPPDVLSSMEAEPIALPTYSIISRPSVGTTGKRIHLLANFFKAAADATDATFSQYNVAVTSEDKRTVESKGIRRKLINRLHQTYSSELGGKSFAYDGERTLYTVGPLPDNKFEFNVFLEETFARCSTESFGANGSPREENKRSKRSFQSKTFTVEISFAAKIPLQSIVLSLKGIESYANSQDALRVLDTVLRQQAANRGCLLVRQSFFHNDLRNFIDVGGGVEGVRGIHSSFRLTEGGLSLNMDVSTTTIVKPGPVIDFLLSNQSVREPRYIDWAKAKRILKNLRVRATHRNQEFKISGMSEKPCIQQLFSMKMKIGEDNNTEQTVDITVYEYFAKHRGIELTSSAYFPCLDVGKPNRPNFLPLELCSLVPLQRYTKALSPVQRASLVEKSRQKPQEKIEVLTNAIGNSGYDDDAVLAACGISIDKQFTPVEGRVLEAPKLKVGKNEDCFPNNGRWNFKTKKFLQPSHIGYWAVVNFSKQCDTSYITRELIKCGMSKGMNIERPFTLLEEEAQMRKSNPVARVEKMFSLLQSKLTDDPKLILCVLPERKNCDIYGPWKRKCLSDVGVVTQCISPLKITDQYLTNVLLKINSKLGGINSLLAIEHSGHLPLIKDTPTMILGMDVSHGSPGRSDIPSIAAVVGSRCWPLISRYRASVRSQSPKVEMIDSLFKLVDKMNDDGILEKKDDGIIRELLLDFYSSSGNRRPTQIILFRDGVGESQFQHVLDIELNQIIKAYKHIDGDVPKFTVIVAQKNHHTKLFQANALEKNVPPGTVVDTNIVHPRNYDFYMCAHAGMIGTSRPVHYHVLLDEIGFSSDGLQNLINSLSYVNQRSTAATSIVAPIYYAHHAAAQMRKFMNFDDLSEASPSPDSEGNIPIQELPKLHSDVRDSMFFC >RHN71893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3409968:3410526:1 gene:gene7541 transcript:rna7541 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSFFFGFPLLVNDFTIIVWAIKFFVERKKEDVMDAQNRKNPTE >RHN61869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39001703:39003963:-1 gene:gene24377 transcript:rna24377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylic ester hydrolase MGISHKKTPLDMATDTQTSSSTQPTTINQETWNQLLGNNNWETLLNPLDLNLRNLILRCGDFIQTTYDSFNNDQNSIYCGSSRYGKTSFFNKVMLENPTHYTVVSFLYATARVSVPEAFILHSLSRESWDRESNWIGYIAVSSDERSRELGRREIYVVWRGTTRDLEWINVFGAAPESASGLLSAKSLREFNLPNNNNKDDGSSSSDDEDDKSVPKIMKGWLTIYTSDDPKSPFTKTSVRTQVLNIVKSLLNKYKNENPSVVLVGHSLGASLSIVSAFDLVENGVTDIPVTAFVFGSPQVGNKAFNDRFKKFQNLKVLHVRNVIDLIPHYPGKLLGYEYTGTELVIDTRKSTSLKDSKNPSDWHNLQAMLHIVAGWNGSDGEFEVKVKRSLALVNKSCDYLKEECHVPASWWVATNKGMVRREDEEWVDAPEKEDLPVPEEY >RHN44678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7378950:7379315:-1 gene:gene38826 transcript:rna38826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase large chain MHAVIDRQKNHGMHFRVLAKALRMSGGDHIHAGTVVGKLEGERDITLGFVDLLRDDFVEKDRSRGIFFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHLGEMHLVP >RHN58016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43124791:43127403:1 gene:gene33621 transcript:rna33621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAAVAVGEAFLSAFIEVVLDRLASPEVIDLIRGKKVDVNLIQRLKNTLYAVEAVLNDAEQKQFKDSAVNKWLDDLKDAVYVADDILDHISTKAAATSWKNKEKQVSTLNYFSRFFNFEERDMFCKLENIAARLESILKFKDILGLQHIASDHHSSWRTPSTSLDAGESSIFGRDKDKEAILKLLLDDDHVDDKTCVSVIPIVGMGGVGKTTLAQSVYNHDNIKQKFDVQAWACVSDHFDEFKVTKAIMEAVTRSACNINNIELLHLDLKEKLSGKKFLIVLDDFWTEDYDAWNSLLRPLQYGTKGSKILVTTHIKKVASMVQTFQGYSLEQLSEEDCWSVFANHACLPPEESFEKMDLQKIGKEIVRKCQGLPLAAQSLGGLLRSKRNLKDWDDILNSNIWENESKIIPALRISYHYLLPYLKRCFVYCSLYPKDYEFHKDNLILLWMAEGLLQPKRSGMTLEEVGNEYFNDLASRSFFQCSGNENKSFVMHDLVHDLATLLGGEFYYRTEELGNETKISTKTRHLSFSTFTDPISENFDIFGRAKHLRTFLTINFDHPPFKNEKAPCTILSNLKCLRVLSFSHFPYLDALPDSIGELIHLCYFLDISKTTIKTLPKSLCNLYNLQTLKLCYCNYLKRLPNGMQNLVNLRHLSFIGTRLEEMTGEMSKLKNLQYLSCFVVGKPEEKGIKELGALSNLHGSLSIEKLENVTNNFEASEAKIMDKHLEKLLLSWSLDAMNNFTDSQSEMDILCKLQPAKYLEKLGIDGYRGTRFPEWVGDPSYHNLTKLSLSHCQNCCILPPLGQLRSLKKLVIYRMSMLKIIGSEFFKIGDSFSETPFPSLECLVFSNMPCWEMWQHPEDSYDSFPVLKSL >RHN61442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35525958:35527142:-1 gene:gene23911 transcript:rna23911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cathepsin F MMNRNKTLMLFSVLFLFFSVDLAFSTPNDREDPIIQQVVDKGGAEHQFNEFKQRFGKVYSSKDEHDYRFNVFKSNLHRAKRHVIMDPSATHGVTRFSDLTPREFRNSILGLKGVGLPRHAKAAPILSSENLPRDFDWREKGAVTPVRNQGFCGSSWSFSTIGALEGANFLSTGELVSLSDQQHVDCDHEYIKKSGGLMRVEDYTYYKTNIARSVAANFSSVLVDDDQIAANLLKYGPLAVAINAAYMQTYVGGVSCPYTCTRRLDHGVLLVGYGSGAYTKEKPYWIVKSSWGETWGENGYYKICRGRNICGVDSMVSTVAAAQTTTH >RHN75859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45585956:45586879:-1 gene:gene12114 transcript:rna12114 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEASDKPNFCVGDDEEHLNFKWLTSFDKSTLHSPFRQDFESEDDDNVPLIAWFPKKRGTITLPVKRVFDNNSSLCGLMKKSKVSPTSYDDDDDVPAMSTDKSFSSLKKELAFVEKSFEDCQRTTQIEKERLHSIKRDIEECSEELENKKKKISHVGRMNEAHKKMQGKIEECVKDFVAKDGQLYLVEELIQERKQELNTKDMELCQVMDNISKQKEFESQVKELVNDLVSKQKHFESRMEKLKSKEKQLDGRVEEHKSKQREFESQVKGLESKKKNLEMQVEDLKSEERQLKGQVKELESDKEAT >RHN62990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47407810:47413947:-1 gene:gene25634 transcript:rna25634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation CHROMO-DOMAIN family MGNSSKDADSANSGDGPSGDVPSSDSRVYSAGEKVLAYHGPRIYEAKVQKAEIRKNEWRYFVHYLGWNKNWDEWVGESRLMKHNDENVVKQRALDKKQGVDKNVKSGRSAQVKAKSSADAKVEKEDIKNIASKGKKRKIDSGVEKGSGNDEKLFKIQIPAPLKKQLVDDWDFVNQQDKLVKLPRSPTVDEILTKYLEYKSKKDSVEADSTGEILKGIRLYFDKALPMMLVYKKERKQCNEAVVDNVSPSTIYGAEHLLRLFVKLPELLSYVNIEEETLSRLQQKLLDFLRFLQKNQSTFFLSAYEGTKVSGGKGKGKDE >RHN52325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33917687:33927196:-1 gene:gene36988 transcript:rna36988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanine nucleotide binding protein (G-protein), alpha subunit MASESTEEDKNNNSNKSWEHILRRMLPAGAPLPDEDHLDYSIAVEYEGPPVPYDVPRIDPVEISASSSPIRTASVLSDRGHRGDEANSSIPVAMPVYSRFSRFNRVPNNGFGFNREIRSPVDSQRSSSVSRSQSQFEADRFDFSDEVAATADRHSVSVSRTQSQFETDRSDFSGEVAAVDNGSDDGNDGENGEGSYVSGSSPPVPNSAPVPSQVVEGKRPTVVTFNEPRVYDSDGGDSYSSPRSVATEPVGSPAEARKNSLVKRGVCSRCGNKNRLKEKEACLVCDARYCNNCVLKAMGSMPEGRKCVSCIGKAIDEIKRSSLGKCSRMLSRVCSPLEINQIMRAEKECAANQLRPEQLVVNGRQLRQEELAEILGCSIPPQKLKPGRYWYDKDSGLWGKEGEKPDKIISSKLNIGGKLQQEASNGNTRVYMNGREITKIELRMLKLANVQCPRDTHFWVYDDGSYEEEGQNNIKGNIWGKASTRFICSLFSLPVPSTNPPGVRDNPSNYSTRSVPEYLDHGRVQKLLLFGMEGSGTATLFKQAKFLYGNKFSAEESQNIKLMIQSSMYRYLSILLEGREQFEEEALAEKESTSLEVEGSGPETANDENKPCIYSINQRFKHFSDWLLDIMATGDLEAFFPAATREYAPMVDEIWKDPAVQETYKRREELHNLPDVAKYFLDRAIEISSNEYEPSDKDILYAEGVTQSNGLAFMEFSFDDRSPMSEIYSENLNNPAPQTKFQLIRINSKGLRDGCKWLEMFEDVRAVIFCVALSDYDQMWPTSTGKLQNKLLASRDLFESLVRHPCFKDTPFVLLLNKYDAFEDKINKAPLSTCEWFSDFAPVRPHHNNHVLAHQAYYYIAVRFKELYYSLTGQKLFVGQTRGRDRVSVDEAFKYIREIIKWEDEKDELYEINPEESFYSTEMSSAFIRQE >RHN68287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34282770:34286065:1 gene:gene16621 transcript:rna16621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAAVEECSSNGVSRWSLKGKTALVTGGTRGIGHAIVEDLCGFGATVHTCSRNQDELNNCLNQWRSKGFLVSGSVCDVSSREQREKLIQEVASIFNGKLHIYVNNVGANFRKPTIEYTAEVYSEIMAINLDSAYHLCQLTHPLLKAYGMGSIVFISSIAGVVSLGTGSVYAASKAAITQLTKNLACEWAKDGIRSNCVVPATTNTPLVEHLLRNKQYMDEMLSRTPLGRIAESHEVSSLVAFLCLPAASYITGQVICVDGGLTVNGFQPSMRIT >RHN58497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2264116:2264685:-1 gene:gene20416 transcript:rna20416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MTGLDLSSNNLSGSIPAEIGELREIIALNLSHNRLSGSIPESFSNLINIESLDLSNNNLSGKIPQNLNDLYSLAIFNVSYNKLSGKIPTTMQFANFDENNYRGNSDLCGSVLNISCNDTIFSTLETMQNQTAMDMESFYWGFAASYVTLVIGLAIIILWVNSHWCMAWFYYVDMCIFYCFSRCFKNAFH >RHN56655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32601586:32603954:1 gene:gene32090 transcript:rna32090 gene_biotype:protein_coding transcript_biotype:protein_coding MVTILENVSNFSTAIGELYLVDKPQSAKVWTAMFVMEKMLLVDLSTRGRIACHESS >RHN45428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20667879:20668229:-1 gene:gene39766 transcript:rna39766 gene_biotype:protein_coding transcript_biotype:protein_coding MENNPSIHILVPVIFSQSCPLAINMTEIEILKLVQSFLYRLSAQACKGRTIADSDNRKQMKSTSTIFYFIRVSFLLHCVDTIFCSGSIKRNLVKPSKLMVHQIKYYTSFMLFGHRL >RHN70894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54820338:54827252:1 gene:gene19526 transcript:rna19526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CK1-CK1-Pl family MDEYDSGGRSADKAPGAEEEGSATPLPEKIQVGGSPLYRLDRKLGKGGFGQVYVGRRVGAGSGAVEVALKFEHRNSKGCNYGPPHEWQVYSTLGGSHGVPRVHYKGRQGDYYIMVMDMLGSSLWDVWNNNSHTMSTEMVACIAIEAISILEKMHCRGYVHGDVKPENFLLGPSGTPDEKKLFLVDLGLATRWRDSSTGLHVDYDQRPDVFRGTVRYASVHAHLGRTSSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPEALCCFCPAPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPLNTEGAQKLICQVGQKRGRLTMEEDDDEQPKKKVRMGQPATQWISVYNARRPMKQRYHYNVADERLSQHIVKGNEDGLFISSVASCASLWALIMDAGTGFTSQVYELSSSFLHKEWIMEQWDKNYYISAIAGASNGSSLVVMSKGTQYMQQSYKVSDSFPFKWINKKWREGFFVTAMATAGTRWAIVMSRGAGFTEQVVELDFLYPSEGIHRRWENNYRITATAATPDQAAFILSVPRRKPPDETQETLRTSDFPSTHVKEKWAKNLYIASISYGRTVS >RHN75043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38918790:38925740:-1 gene:gene11191 transcript:rna11191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 SUMO-protein ligase Nse2 (Mms21) MASSSHGGVSGRIKNLTSTYGTDIQPIISDIRSTVGVMKGIAVQLEKDKLFDKVKEMEDAVVELIGLNELSVHFSSSVQAFGNRYKPGEQLTDFHKVFEDEISQFQANRNSDVQRHTLVRQFKEAVWNVHHEGQPMPGEENDDIVMTGTQSNILNFKCPLSGKPITELQEPVRSMQCRHIYEKQVITQYIQSEGGRTQCPISGCPKALDVNVLVQDPSLAVDIDEMRKMDKETNVEDFTMLDED >RHN40275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14755186:14755552:1 gene:gene46409 transcript:rna46409 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTGYKLTYTKKRKSECVVTHEDGKFQMKDDVAAIFVQSADLRYDDSGAARKVDSRSLDLELEFQEKIGFVMLQVFVTAHFFSLCIYC >RHN63821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54240835:54248230:1 gene:gene26574 transcript:rna26574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEINLGKLAFDIDFHPSENLVASGLIDGDLHLYRYSSDNTNSDPVRVLEVHAHTESCRAARFINGGRAVLTGSPDFSILATDVETGSTIARLDNAHEAAVNRLINLTESTVASGDDDGCIKVWDTRERSCCNSFEAHEDYISDITFASDAMKILATSGDGTLSVCNLRRNKVQAQSEFSEDELLSVVLMKNGRKVVCGSQTGILLLYSWGCFKDCSDRFVDLASNSIDTMLKLDEDRIITGSENGMINLVGILPNRIIEPIAEHSEYPVERLAFSHDRKFLGSIGHDQMLKLWDLDNILQGSRSTQRNETGVVANDGDSDDGDEMDVDNSASKFSKGNKRKNASNGHAVGDSNNFFADL >RHN47502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40188404:40189178:-1 gene:gene42113 transcript:rna42113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MASSRVVLILSISMVLLSSVAIATDHIVGDDKGWTVDFDYTQWAQDKVFRVGDNLVFNYDPARHNVFKVNGTLFQSCTFPPKNEALSTGKDIIQLKTEGRKWYVCGVADHCSARQMKLVITVLAEGAPAPSPPPSSDAHSVVSSLFGVVMAIMVAIAVIFA >RHN42813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41197465:41205769:-1 gene:gene49305 transcript:rna49305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I, cationic amino acid transporter MAVGEVADGGGGVRRRGCTFQTNDFFPEESFKSWENYGKSVMETPYRLKDRLLKRSSDHAELVEIKARSGNEMKKTLNWWDLMWFGIGAVVGSGIFVLTGLEAKQHAGPAVVLSFVISGISALLSVFCYTEFAVEIPVAGGSFAYLRVEMGDFVAFIAAGNILLEYVIGNAAVARSWTSYFATLCNKNPDDFRIIVHNMNPDYGHLDPIAIGALVAITALAVYSTKGSSIFNYIATMFHMAVIIFIVIAGLIKAKPENFNDFTPFGLHGMVSSSAVLFFAYIGFDAVSTMAEETKNPGRDIPIGLVGSMTITTAIYCLLGATLCLMQNYKELDTDAPFSVAFSAVGMDWAKYIVSLGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWFALVDERTGTPMNATISMLIATAIVAFFTNLSILSSLLSISTLFIFSLVALALLVRRYYSSGVTTKRNQVNLIVCILLIIGSSIGISAYWANSSEHKWIGYTIFVPLWFLGTGALWLFVPMAKKPKLWGVPLVPWLPSLSIAINIFLLGTIDKKSYIRFTIWTGFLLVYYVLLGLHASYDTAKEFDQSIHSHGSDQKVAKQWNKMEQGAVEQDSSFAPVSN >RHN39989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12089046:12089401:-1 gene:gene46076 transcript:rna46076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ZF-HD family MMRMLLKKFVTRYKRFSTKFTHEQRKKVLDFAMILGWKIKTNYQNVVEEFCNNIVVKCHVFKVCIDV >RHN51691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22891342:22892262:-1 gene:gene36189 transcript:rna36189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MSSFCFRFRCCCCCCSSSSSFYIPSFHFPLLSTRLYSQSQFVISTVDVDNAVSSFNHMLCVNPPPPIIEFNKILGSLVKSKNNHYPTAISLFNQLELNRVTPDIVTFNIVINCYCHLGEINFAFSLLGKILKFGFQPNIVTLTTLIKGMCLNSNVKEALHFHNHVLAHGFHLDQVSYGTLIYGLCKIGETRAALQMLRKIEGKLVNTDVVMYTAIIDSLCKNKDVNDAYELYSEMITKRISPNVVTFSALIYGFCMVGQFKTSIWFVP >RHN65398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1797784:1801960:-1 gene:gene13231 transcript:rna13231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan 1,3-beta-glucosidase MGNPPYKAVNLGNWLLAEGWMKPSLFEGIVNKDLLDGTQVQFKSTKFQKYLCAEDGGGTAIVANRGSPSGWETFKLWRVNDSSFNFRVFNKKFVGLNNIGGGNTIVSFSDSPGNRETFQIIRNNDDPLKIRIKASNGLFLQAQSETLVTANYQGTNWEESDPSVFKMTIVRTLEGEYQLTNGYGPDRAPQVLREHWNSYITEDDFRFMSQNGLDAVRIPVGWWIAYDPNPPKPFVGGSLAALDNAFTWAQNHEMKVIVDLHAVEGSQNGNEHSGTRDGYTEWGDSYIPQTVAVIDFLAQRYGNKPSLGGIELMNEPQGVNLDSLKKYYKAAYDAVRKYNPEAYVIMSNPLDGDSKALLSFVSGFNKVVLDVHYYNMFWEKFNGMNVQQNIDFIRNERASDLAGVSSTNALTFIGEWTGEWTIQNASKQDFQNFAQAQLDVYSRATFGWAYWSYKCQFNRWSLKWMIENGYIKLSKKSVPRDSFRQDVRDFK >RHN41399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29715620:29716013:-1 gene:gene47717 transcript:rna47717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-dependent RNA polymerase, eukaryotic-type MSNALNGDRLNSNEEANEVIKMYKQKFDDAINVEDGSKGITDIYNEALAVYHVTYDYAIFKKDVGKCGFAWKVAGSVLVRFYAEKQNQKPLICSSSALREIFGS >RHN62308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42531937:42532622:-1 gene:gene24869 transcript:rna24869 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSFCLFTLLLLLSFSVSETRPLRNHGPFSFHFPATSSLFLGTVKHYLYSGSKGRSLAKIDHKILKTSAKVSFSIGFRESNATRNRYFKPLRVSPGGPDAHHHFKVTATGGHGRR >RHN47542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40470268:40473148:1 gene:gene42155 transcript:rna42155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein DnaJ, cysteine-rich MKPNIGAIIHVHKASMASPTIQMATSKFVLSQNSSALKISSLPNKPITFVGSNFKVHVLSSNNNGAASSCSSHGSASSLKKDENRRRSNLESLFCYDKAIPEEIIEKPVGLSLAEKAIGNNTRCNDCHAKGAVLCATCAGSGLYVDSIMESQGIIVKVRCLGCGGTGNIMCTECGGRGHLGSK >RHN48309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46521515:46522490:1 gene:gene43011 transcript:rna43011 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKEEVEPNEEIPTSPMRVVLQQISEGAFRVAGEALHSMYSGAGGSSLPQIGPSVAHRRSKSEIVTKGFQRSHSFQKLKVHVQKAWGWGGKSREEGLPPIFNPEVMANQKRQWYQLHPKSMDCFNYKEPTSLFEHFVIVGLHPDANLESVEQAFARRKKWEREKEMSELLNYKMMQQQRPPEPILEPQVC >RHN51246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15363189:15363555:1 gene:gene35653 transcript:rna35653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAIVSSPKTAVPAVLLNGTGLFENASLYVGDLEGNVNEAQLYELFNQIGQVVSIRVCRDQTMRLSLGYAYVNFSNARDVFRKVICFVC >RHN82256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52351004:52352457:-1 gene:gene6402 transcript:rna6402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gallate 1-beta-glucosyltransferase MASEASIHILLVSFPAQGHINPLLRLGKCLAAKGASVIFITTEKGGKNMRITNKLATPIGDGSLMFQFFDDGLPDYAHPLDHHKKLELVGRQFISQMIKNHADSNKPISCIINNPFFPWVSDIAFEHNIPSALLWTNSSAVFTICYDYVHKLLPFPSNEEPYIDVQLNSSIVLKYNEIPDFIHPFCRYPILGTLTTAQIKDMSKVFCVLVDTFEELEHDFIDYISEKSIAIRPVGPLFKNPKANGASNNILGDFTKSNDDCNIIEWLNTKPKGSVVYISFGTVVYLPQELVYEIAYGLLDSQVTFLWAKKQHDDLPYGFLEETSGRGKVVNWSPQEQVLAHPSVACFITHCGWNSSMEALTLGVPMLTFPTFGDQLTNAKFLVDVYGVGIRLARGERKLVRRDDLKKCLLEVTTGEKAETLKKNATKLKKAAEEAVAVGGSSDRHLDAFMEDIKKHKRC >RHN76699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:516591:523073:-1 gene:gene65 transcript:rna65 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MDPPNQKPLEIHFVTTTDSPEFTHLTRSLTQTSLVGLDAEWKPVRTHQNSFPTVSLLQIACQLGDDEVVFLLDLISLPLSSIWEPLREMLVSADILKLGFRFKQDLVYLSSTFCEQGCNPGFDKVEPYLDITSVYNHLQFKKNGRIASKQNKSLSTICGELLGITLSKELQCSDWSQRPLTEEQMTYAAMDAHCLLGIFKVFQATVAKEGELVNKTNILSIRSANLGLKELFRKHDTSDKVHSTQFCEALAIVQATSCSDVVRVISSAGAVIQKSSCRDTKPMDEFLLKIVRKHGDRILLKESDRAPKTSKKKRKKQLPINGIPKEKHLENFDEWQGTAPWDPLVGGDGFPKFLCDVMVEGLAKHLRCVGIDAAVPSSKKPEPRELITKAQKEKRVLLTRDAKLLRHDYQIYKVKSLLKNEQLLEIIETFQLNINEDQLMSRCTKCNGRFIQKPLSTEEAIEAAKGFQKIPNCLFNKNLEFWQCMDCHQLYWEGTQYHNAVQKFVDICKLSD >RHN53346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1096560:1101624:1 gene:gene28245 transcript:rna28245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol-polyphosphate 5-phosphatase MRDENTKKSKLSWSKKMVRKFFNIKCKTEDTTQQRDGFASGGGGLEYRSRSSLSEREPSTIKKSKTEKFSRNSSQVRRARMNLDHPRIIDVHNYSIFVGTWNVAGRSPPSNLSINDWLHASPPADIYVLGFQEIVPLNAGNILGAEDNGPAKKWLALIGNALNSLPGTSGGNGYYTPSPIPQPVVELNADFEGSARQKNSSFFHRRSFQTTSSSWGMDNDPSTVQPRLDRRFSVCDRVIFGNRKSDFDPSLRWGYRPSDYSRASDSRPSDYYTRPSDSRPSDYYSRASDYSRPSDYYSRASDYSRPSDYSRWGSSEDDNGLEDSPSTVLFSPMSYGGPAASGEDGYSMPGRSRYSLVASKQMVGIFLTVWVRGELKDHVKNMKVSCVGRGLMGYLGNKGSISISMSLHETSFCFICSHLTSGQKEGDELRRNSDVLEILKKTRFPRVHGSDSVKSPETILEHDRIIWLGDLNYRIALNYRSAKALIEMQNWRALLENDQLRIEQKRGRAFAGWKEGKIYFPPTYKYSTNSDRYAGDDMHPKEKRRTPAWCDRILWHGEGLRQISYVRGESRFSDHRPVYGLFWAEVESNHGKLKKSMSCSRSRIQVEELLPYSHGYTELNFF >RHN72083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4840662:4841512:-1 gene:gene7755 transcript:rna7755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MAEVRKGEENQGIKLFGTTIKLHGEELKEGEKESEDQTVEKKIEKIIPCPRCKSMETKFCYFNNYNVNQPRHFCKSCQRYWTAGGALRNVPVGAGRRKAKPPGHEDSGSPESCLYEAASSDDGHNYLGLEQFMPPQSDFREVFSGKRRRKTSGGYSLASSL >RHN50267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5660298:5660861:-1 gene:gene34539 transcript:rna34539 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 5 MLFSMLVLVLFTFFVGSIGISFSQEPIDLDILSKLLIPSIDLLHHNSKNSVDWYEFFTNATFSVSIAFFGIFIASFFYKLVFSSLQNLNLFNLFQKSVPKKMIADKIIDVIYDWSYNRGYIDAFFEVSLIASVRKVAKFNYFFDRQVIDGIPNGIGISSFFIGEAIKYVGGGGGGGDEFLRIFSFLY >RHN68547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36395486:36400574:1 gene:gene16914 transcript:rna16914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NFU1 iron-sulfur cluster scaffold protein MTVVRGSVFRLGRRLRLGILNSLKPETNHNTLNTNCSRCLGTTPSFQPRNHNAFFSSPASPFPSPFSTGQRRTMFIQTQSTPNPESLMFHPGKPVMDVGSADFPNPRSAMNSPLAKSIFTIDGITRVFFGSDFVTVTKSEDASWEFLKPEIFAAIMDFYSSGEPLFLDSQAASSKDTAIHDDDSETVAMIKELLETRIRPTVQDDGGDIVYCGFDPDTGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQELDGEEEEAALSGQTE >RHN64007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55854991:55855536:1 gene:gene26779 transcript:rna26779 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPQPKYEIFDDYVLLNQTNQASIFPVSASHRTKSLSSKTMP >RHN77154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4490037:4490594:-1 gene:gene579 transcript:rna579 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYLGDICIFKRRPYAINRIGKTITVGLDDLNAWLVAEPPESDSEPLLGGNKKFLVESEGKLLLVVIYEFLGFASGDSVFWIKVFRLDEKARKWVKLTSLGDRVLFLGNGCLFSASASELSVTKGNCVIFIDDAFLHFNCKNMQYGNCVFDLDQRQLTPLSDCPEYFNLFWPPTEWIGECCVPK >RHN59609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12482786:12483138:-1 gene:gene21662 transcript:rna21662 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIGSLVLILFVVAIINVYSAEGLETDCPHLYKMCTIFHDPNACSLYHEFCTPNSS >RHN74011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23478237:23484884:-1 gene:gene9936 transcript:rna9936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAD/NAD(P)-binding domain-containing protein MNIIACRSHPNPTILPYAPHSSLTHQYPLRFAVLGAGFAGLSVVWHLLNQSPKELNLNIHLYDEFGIGGGASGISGGLLHPYSPKVKPLWEGAQCWEETINLFTIAQQATQHHIKPFLPHKRGILRPAMDIKNMTKLNHNAKTSLPCCRVQTLNNQAAQTLLPGLCLPFDTAFFMPQALNINPRHYLEALFLACENLVKESSSLYSGEKQLILHKKSIHGLSEFEGEYDAVIVCLGAKVNMLPEISGRLPLRTCRGVIVHLEPPDNTRCYPEHGPSILSDAWISVQGSRSLNVGSTWEWKSINSSSNVSTDEASKALHQLLPKASAIYPGIKDWVFTGAKAGLRAMPPLTPHGSLPLLGCINDFIGRNHSCKYWLFGGLGSRGLLYHGWLGSLMAHAVLSCNEEVIPSELTSWKNIKPKF >RHN73228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14553078:14555974:1 gene:gene9022 transcript:rna9022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MLKKLFLSQNVALGIITTKSTTPKFNNSLLHQYFSFPISLTRFCTTTTHPFAVSYLINNFGFSPLSALKAFNNNQVLFKSAENPNSVINFFKNRDFSHSDIRIIIRKAPWLLSLQPHNIILPKFEFFLSKGATSSDIVSFITENPRILRSSLEKRIIPLFQLLSSFLKTNKDVILCLLRHSTYVTFNSYHLVAANINLMTDFGVSDSVIASLLQKRPSIFGSTDLIKSLEEVKCLGFDPSTATFGAALFAKKCMSKTRWDEKVAVFKKWGWSDETFFQAFRLHPSLMLTSIEKINFVMHFWVNQLGWDSLELTKCPHMFGYSLHQRIIPRASVLQFLLMKGLQDKNESLVTPFTYSEKFFLSKFVFKEECDYLLKLYVEKMKPAYTKENNGMPFTN >RHN67806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30396781:30398449:-1 gene:gene16042 transcript:rna16042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative taxadien-5-alpha-ol O-acetyltransferase MADQKAPLKLEMKDVVLVKSSKSIPSCILSLSTLDNIYINNSLFLFVHVYRSSTIHDSDSGFDPCHVFKEALSKALDYYYPLAGRLVKHAGDGRLRVEFGVGDAEYGVPFLEAYANCTLSSLHYLDNTDTEIAKDLVLDIPSPKDKSYPLVLMVTKFLCGGFTIGMGLSHAICDGFGASQIFRAIVELARGRTEPSVKPVWEREKQVGSIAIQTFPQCPMDRESVSLSPFVNQNNTTIIKQYCFKVEGEMIRRLKLSLMNENENIRFTTFEVLAGYVWRSRARALKLKSNGLTALTIAVGSRRNLKDYDTLPKGYYGNSIIDVNVVLKVSVLNEMPLYETIKLIKETKNIAFTADYVTNSINSLELEASGAVTSLSELKHLGFQENLNFEGYELVNFLTAPCKMLGTLDTCIFSSPNKLDDHDLSMEGGVRIFTSLPEAVGDPHSRCMHESHAYIYTLR >RHN61455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35565831:35566220:1 gene:gene23925 transcript:rna23925 gene_biotype:protein_coding transcript_biotype:protein_coding MSENKRAHEVSLKDEETKKKVNNMQEKLVDNVGSVQMLSSDNEEGEGEGEGEEEGEDDD >RHN66796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18970391:18971257:1 gene:gene14884 transcript:rna14884 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFNMLNGLTYKSLVRHFWVRAQVYDRKATQLEMDEKVLIDPTLKGKTREEMRLEPFTCTEIRSSIMGIPVFISQEVIAYVIRRPSEGSFKDGLGNIKKSPWNEVVNKSMFNNTKRGAYSDLSMEKKLLLKIQNENLLPKGGGGDQPSMEHRVFLHYFITKEKENVPKYIFKHMIKELRESQDNRRIWIPYGRLISEILHQGGILKVLKEDNNFTDDQLGTETCKVINGKTLRKMSLIEKDAYTMLNTYLKESNAVSNLMDNFPPICKQDPLDVKMNFIKDQYEETG >RHN45636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23993251:23997513:-1 gene:gene40017 transcript:rna40017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine alpha-hairpin motif superfamily MASKDSTPPYQSAARISDSQCFPQYTASLKCLEEFNTDKSKCQEHFDVYKECKKKEVIGRQDWNVIKLGPYSHEILAEFHLLYNCLQSYWFTSTSGSYMVVLAIKVMNLCEAMTRRCCNKFVNGTLHCRRFCINTYFRFQ >RHN73241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14677243:14678864:-1 gene:gene9037 transcript:rna9037 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHSSIRQYIRTGIFLIQSKLQSISRALTKCSFRGMCLQIILTLRHWHLI >RHN77040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3450491:3451912:1 gene:gene447 transcript:rna447 gene_biotype:protein_coding transcript_biotype:protein_coding MISGCPLLEDLALLEVDGFTQINAHAPNLKVFKIGGKFEDINFGNNFQLTNVFVDLNIYLSSEINQRRLHGRSSNLLKFFVHRPHMQSLEIGDVSVKLPTPCIDLSYLSLCINFNVLKEISAALCLLRSSPNLKKLEIFARFEELSVILTPTFYCWEVIFSRPVNPIRVRHVTIDDISGIKSELDFIRFLLLYSPMLEKMIVKHVVDVQPKLMTELIRFKRASGEAEVIYLKKDSS >RHN65321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1204968:1205255:1 gene:gene13146 transcript:rna13146 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGKRPTNSIFCENLSLYEFCKMKISEGILEIVDQRLLMPFVEDQTEIVENKIKKCLVMFARIGVACTEEFPAHRMLIKHVIVKLNEIKSKIPC >RHN41291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28919621:28923267:-1 gene:gene47596 transcript:rna47596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MIEEQLPAILMPLSSTSDCELLCGEDSSEVLTGDLPECSSDLDSSSSSQLPSSSLFAEEEEESIAVFIEHEFKFVPGFDYVSRFQSRSLESSTREEAIAWILKVHEYYGFQPLTAYLSVNYMDRFLDSRPLPESNGWPLQLLSVACLSLAAKMEEPLVPSLLDFQIEGAKYIFQPRTILRMELLVLTILDWRLRSITPLSFLSFFACKLDSTGTFTHFIISRATEIILSNIQDASFLTYRPSCIAAAAILSAANEIPNWSFVNPEHAESWCEGLSKEKIIGCYELIQEIVSSNNQRNAPKVLPQLRVTARTRRWSTVSSSSSSPSSSSSPSFSLSYKKRKLNSCFWVDVDKGNSE >RHN38780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1917913:1919204:1 gene:gene44746 transcript:rna44746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MNLGTLLFELLSEALGLNPNHLKDMGCAEGLIALCHYYPSYPEPELTVGTTKHSDNDFLTMLLQDHIGGLQVLYEDKWIDITPVPGALLVNLITNDKFKSVVHRVIANQVGPRISVACFFSTGLKASSKLYGPMKELLSEDNPPKYRETSVADYVACFRAKRLDGTSALTHHMI >RHN55836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24607362:24611869:1 gene:gene31106 transcript:rna31106 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase Clp MKSAICRSMGLSPNHLFISGDRYSFCKGPYSNLCVPMAVLTKGSGKGGGILEKPVIEKATPGRESEFDLKKSRKTAPPYRVILHNDNFNKREYVVQVLMKVIPGMTLDNAVNIMQEAHHNGMSVVIICAQADAEDHCMQLRGNGLLSSIEPAGDGC >RHN54659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11100323:11101203:-1 gene:gene29731 transcript:rna29731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKPKAKNCILFEGHGQNQEALNAAINAILLEPNHVPSKILMSALIHKIGSKALPAAARSMLSDALRIEPTNPMAWYYLGLIHKHDGRMGDAADCFQAASMLEEFDPIESFSTIL >RHN59868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14192438:14192959:1 gene:gene22033 transcript:rna22033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MAPSTNLSLLLTISMIFISHAISRTSSPNLYKSVCKEIGKEPYEQPCLKLLETYPQITSAIDYLTFSRLFLRIVAIDNATKVQHKVKEMTKKYPSSQAIKGCNIHYDVIVDELTGALDEDKIYISLDVVYAFDALEKCSLVNEKIFNISSISTMNSEMELILAIISSAANHVL >RHN69300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42437427:42442566:1 gene:gene17749 transcript:rna17749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RGS domain-containing protein MANFKCAVKGGCPTDYVAVTVSILSFILLLIWSIFPFIVHKVPRTKGSGFWIPVIQVVASFNLLLSIMMSHNFFKFEKSHWWQSCYLWAVWGEGPLGFGLLLSSRITQAFQLYFIFVKRRLPLIRSFLLIPLILLPWIIGAAVIHIKKPLSNRCHMSVQWTIPVVCLHALYVATLVGVTAAVHHIEFRFDELRDLWRGILVSSVSVAVWVTAYILNEIHDNISWLQVVSRFLLLVLASILVLAFFSISSSQPLLSQISLRRRESREFRTMGQALGIPDSGVLTQSEPISRVDPNEPLDKLLLNKKFRQSFMGFADSCLAGESVHFFDEVHELSKISEHDCVRRIYMARHIIEKYMVAGAAMEINISHRSKQEILSTSDLARADLFHNALNEIVHLMKTNLAKDYWSSMFFLKFQEECDMRCNGYELEQMTGWNYSPRLSSVHGTDDPFHHDHLLKNSECGNDTDS >RHN81957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50127201:50128408:1 gene:gene6063 transcript:rna6063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein MAVSSYQSIMSSSMTSRPRVTRLNNIPSVYMPSFRRNANLKVRSMAEEAQKEQSKVTPQPASTTRSPKISTKFSDLMAFGGAAPERINGRLAMIGFVSAMGVELAKGQGLFDQISGGGIPWFLYTSVLLTVASLVPLFEGVSVESKSKGIMSSNAELWNGRIAMLGLIALAVTEYVKGTALV >RHN65653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4113827:4115002:-1 gene:gene13521 transcript:rna13521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin transcription factor ZF-HD family MQAKDTTRLQFLDSLVARKSVVPIASGRQIIQSPTYIVRAKIGTPPQTLLLAMDTSNDAAWIPCTACDGCASTLFAPEKSTTFKNVSCAAPECKQVFTSLTKTHFFVMVFVTRYIFILACI >RHN57208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37307014:37308036:-1 gene:gene32718 transcript:rna32718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MANVVEVKVGLHCDECIKKILKAIKKIEDIETYNVDKQLNKVIVTGNVTNEEVIGVLHKIGKNATVWENVQENVQC >RHN76324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49365988:49367883:1 gene:gene12626 transcript:rna12626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MKMNSREAFFVVFAFVFLGWGNAQDDTVVPAIVTFGDSAVDVGNNDYLFTLFKANYPPYGRDFVSHKPTGRFCNGKLATDITAETLGFKSYAPAYLSPQATGKNLLIGANFASAASGYDEKAAILNHAIPLSQQLKYYKEYQSKLSKIAGSKKAASIIKGALYLLSGGSSDFIQNYYVNPLINKVVTPDQYSAYLVDTYSSFVKDLYKLGARKIGVTSLPPLGCLPATRTLFGFHEKGCVTRINNDAQGFNKKINSATVKLQKQLPGLKIVVFNIYKPLYELVQSPSKFGFAEARKGCCGTGIVETTSLLCNQKSLGTCSNATQYVFWDSVHPSEAANQILADALIVQGISLIG >RHN61238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34067280:34070958:1 gene:gene23679 transcript:rna23679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-hydroxy-3-methylbut-2-enyl diphosphate reductase MAFCSNLCLRLPATRIDVPSPTTFRCRKLFSVRCSADADFDPKLFRKNLTRSENYNRKGFGHKEETLKLMNREYTSDVIKTLKENGFEYTWGNVTVMLAESFGFCWGVERAVQIAYEARKQFPDEKIWITNEIIHNPTVNKRLEDMEVQNIPIGEGKKQFEVVNKGDVVILPAFGAAVDEMLTLSEKNVQIVDTTCPWVSKVWNVVEKHKKGDYTSIIHGKYAHEETVATASFAGKYIIVKNMAEAEYVCDYILGGEFNGSSSTREAFFEKFKFALSKGFDPDSDLIKLGIANQTTMLKGETEEIGRLVERTMMRKYGVENVTEHFISFNTICDATQERQDAIYKLVEKDMDLMLVVGGWNSSNTSHLQEIAEERGIPSYWIDSEQRIGPGNRIAYKLNHGELVEKENFLPKGPLRIGVTSGASTPDKVVEDALIKVFDLKREEALQLA >RHN82097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51250756:51251454:1 gene:gene6221 transcript:rna6221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSSDISLFHKIIDTGDNPTTQTLNHLLVGFCRRRQTDKAMLFYNDIILKNGFLLNHDSYLILINGLCEIGETQLAINMLRQALLIDKEPKDDCDVTDTLKCCYNSIFRLCKDRLVNQAYDLYSEMIHVNNIEPNYTTYQNLTYGYCILGQFKQAMELLRYRIVTEQEVKSAKCAAALMIKGSVKPNAASYHSVIGRLYEEGARSVVMNNIAQRVEKLLRAKHFFNLEINKYD >RHN47636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41171453:41175617:1 gene:gene42258 transcript:rna42258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MERQSSLVLVSDFVLSFMWVWSGVLVRLFVFKILGFSHTPIGEIVKILFSILNMFLFAFLAKVSRGGVYNPLTVLADAISRGVLNFLFCVAFRIPAQVFGSIVGVKLLIDTIPEVGQGPRLNVDIHQGALTEGLLTFAIVSISLGLATTKIYGNFFMKTWISSLSKLTLHILGSDLTGGCMNPAAVMGWAYARGDHITKEHILVYWLAPIEATIFAVWTFKFIVRPVIEQKAVSKTKSD >RHN42172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36087253:36087450:-1 gene:gene48582 transcript:rna48582 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIETLNRPAYKNESGFHSSASYQSQHSSFIRRFLCFFT >RHN39685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9558700:9560928:-1 gene:gene45732 transcript:rna45732 gene_biotype:protein_coding transcript_biotype:protein_coding MADLMGSRAYCCFKCQNLVAFHDDIVSKDFQASNGRAFLFSHAMNIFLGPKEDRQLMTGLHTVADVFCSDCGEELGWKYLKAYEETQKYKEGKCVLEKFKIVLGNG >RHN49521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55358428:55362590:1 gene:gene44362 transcript:rna44362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MSSQAHSDAQLTIVERALSAAGAAFISAVIVNPLDVAKTRLQAQAAGVPYHDVYQIHPSFQTNTVLNNIKCTTPAYKGTIDVLYKVTRQEGFTRLWRGTNASLALAMPSVGIYMPCYDIFRNFMEEYTTQNSPDLTPYVPLVAGSLARSLACISCYPVELARTRMQAFRVTQGAKPPGVWKTLLGVINPDKGTSILQNLHRYRFWWTGLGAQLSRDVPYSAICWSTLEPIRKKLLGFVGEEANATTVLGANFSAGFIAGTLASAATCPLDVAKTRRQIEKDPERALKMTTRTTLLEIWRDGGLRGLFTGIAPRVGRAGPSVGIVVSFYEVVKYALNDRHSTSS >RHN46892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35598747:35603219:-1 gene:gene41427 transcript:rna41427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylenetetrahydrofolate dehydrogenase (NADP(+)), Methenyltetrahydrofolate cyclohydrolase MASSLTHCYSSPSSTTTLLLRRRHPINVGPTSLRFFTIHSSPSSSRVLSIAASATEASSAKVIDGKTVAKQIRDEIAVEVSRMREAAGVIPGLAVILVGDRKDSATYVRNKKKACETVGINSLEVNLPEDSTEEEVLNHIAGYNDDPSVHGILVQLPLPSHMNEQNVLNAVRIEKDVDGFHPLNIGRLAMRGREPLFVPCTPKGCIELLHRYGVSIKGKRAVVIGRSNIVGMPAALLLQREDATVSIVHSRTTNPEEIIRQADIIIAAVGQPNMVKGSWIKPGAVIIDVGINPVDDPSSSRGYKLVGDVCYEEAVKVASAITPVPGGVGPMTIAMLLQNTLISAKRIHSFE >RHN62282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42313481:42315196:-1 gene:gene24839 transcript:rna24839 gene_biotype:protein_coding transcript_biotype:protein_coding MCHVCKSSQKWGQGLFSKTKNFARTKTIFFFTGTKTKTRHAGTKTIFYMVDFALKFFKRCCNNLLILLWILLKFNDKILFIEILLIIIAHDLLSNGGGLQNWSWRLGEC >RHN53224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:218612:219218:-1 gene:gene28112 transcript:rna28112 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQGITLNIINALFLMIFSFLLLSHSIQVEGTRPLKDQSAPSVISLIINQAYSGPSHKGRGH >RHN73993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23298091:23309081:-1 gene:gene9914 transcript:rna9914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-mRNA polyadenylation factor Fip1 MDDDDEFGDLYTDVLRPFATESTPPSSVIHTSPPPPSSIDLNQIPCATSHSIHDTPHQIDPPETAPTQDDPPVEIEKEPESADGLRVLLEPPDSKPVAVEVVVEGNDPMDQDDVKFDIEEENEEGGGTEPLIPGLSGGGGGGGGNDDDWDSDSDDDLQIVLNDDNHMAMAMEKGGVVGDDDDEDDDEDGGLVIVAGEPNQGLEDQEWGESANIPVDGERKDAVEPGKPVAGPAAGGIPVVPKVGYGNHAHGYHPFHSQFKYIRPGATTIPGAPGAAQGGPPGQIRPLANMIGRGRGDWRPPGIKGAIGMQKGFHTGPGQPSWGNNAAGRGFGGGLEFTLPSHKTIFDVDIESFEEKLWKYPNVDASDFFNFGLNEETWKDYCKQLEQLRLESTMQSKIRVYESGRTEHDYDPDLPPELAAATGLHDGAVENANSVKSDVGQSDVMKGSGRMRPPMPTGRAIQVEGGYGERLPTIDTRPPRLRDSDAIIEIVLQGAEDDDSSVGIGVQDQSEDGEPQRESFREDVEAGDEPSLEPEYSDGIPQDYNRRKKEHAGRKMPFATSVSSNVANEDESLFVSQDEPIEYSGSRGQNPRSYGGNSSSSQEERKMQKTVRSQSPISPIRKLNTDDNKKEDSVESMEVKDTTLSSSPVIEDVKQSSLEDKDGELEDTGTADGSPRLGKKETDLNAVDKVDVLKDGIDKKQNLTSQVEQPLLDESDDWEDIKAARSSDNSKARSASSRDNQKRREGLDEEVVQDPRSTRLASIRQHPDENEQGFYRKEHDGKQDPERNHMVLRGREGSYPYKDRHRSLAHQLHTNTDGFDRQKDRDSSDMDWARRDDDVYNRKVRTNEPRKRDRAKLRENERNDKEDSFHSRKQLDNGSYRIPYEKDVGSRDSRHRERDEGVRVRYEAVEDYHIKRRKDEEYLRREHMDKEEIPHGYRENASRRRRERDEVQRSRDYPDDQYTNRQKDDAWLMQERGDRQRDREEWHRLKLSHDGPLPKREREEGRSSGRNVRGAEEKAWVGRVSAKDEHKLSEKDYQSRESVRHNDQLKRRDRIPEESSHHKGRDDAYSRGNQYTAEERRSRQERSSSRSDRVANASDNQRLHERKHKEGSRKSKERDISDLNSLGLSKKSLENPNGPSNEKGLKESGDQERAEHEIPGHRLSRKHQDGISSDDEQQDSYRGRSKLERWTSHKERDFSINKPSSSLKFKDIDKNNNGGSSEAGKPVDESAKTVDLDNQQPLMPEARDSVDTESRDGDSKESGDRHLDTVERLKKRSERFKLPMPSEKETLVIKKLETEPLPSAKTENPVESEVKQERPPRKRRWISN >RHN58696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4122912:4124128:-1 gene:gene20629 transcript:rna20629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MNTSQNLFFFLLLSFYTLTIVIGQDETGFVSSINPKLLTKKVAASHFRFYWQDIVGGENATSIPIIQPLPKFNNSFSAFGLVRIIDNPLTLTPNLTSKLLGRAQGFYAATSQTELDFLMVQNFALFEGKYNGSTITISGRNVANDKVRELPVIGGSGVFRFAKGYAEARTISFDFITGDTVVEYNVYVTHHK >RHN64341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58353884:58354692:-1 gene:gene27149 transcript:rna27149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endo-1,4-beta-xylanase MKFLVSRYSGQLIAWDVVNENVHNRFFEDKLGKNASAVYYLTAYYLDSNTSMFMNVFNTIEFSQDQVASPANYIRNYWNAIGYMGARPFVKRHAKHCLHETMYFEEILREAYSHPAVEGIIMFVGPAQADFINTQLADANFKNTPTGDVVDKLIGEWGT >RHN69740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45964064:45965929:-1 gene:gene18252 transcript:rna18252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MNPKKLNYAIIVLVIYFLNGNAHSQLEVGFYTYSCGMAEFIVKDEVRKSFNKNPGIAAGLVRMHFHDCFIRGCDASVLLDSTLSNIAEKDSPANKPSLRGFEVIDNAKAKLEEECKGIVSCADIVAFAARDSVELAGGLGYDVPAGRRDGKISLASDTRTELPPPTFNVNQLTQLFAKKGLTQDEMVTLSGAHTIGRSHCSAFSKRLYNFSSTSIQDPSLDPSYAALLKRQCPQGNTNQNLVVPMDPSSPGTADVGYYNDILANRGLFTSDQTLLTNTGTARKVHQNARNPYLWSNKFADAMVKMGQVGVLTGNAGEIRTNCRVVNS >RHN41396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29706178:29707137:-1 gene:gene47714 transcript:rna47714 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTGSNNIEILRVQALSILCTPHPFLEFSGFAPIPDKVDSIRSRKQQIKNDTLFDFDGSSPVQDKVDPFCSTKQQIDNDAFLDFDGFSPAEDKDIYNRSKEQRQDNDEENIEEGEFSFACTKVRGLHIFADEIFENGKIRQIPHTFDQSLFIYPTSNNNVSHLRPPLKKIFIKNSVNRHSMLGGISKESQNESLQNMTMVEIKASNECYEKSNSTGSSNLWKFRQNMNLRSNSDHKDSLVLLNASDPKNSRKPKVENIVNKKRKDEKHKNGLSAYEKLYVSNKTRKDSNKRRSFLPYKRQLFGLFTNMNGLSRNLHPF >RHN52537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36468961:36472457:1 gene:gene37226 transcript:rna37226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFSSSSSSSMLPRYCVVPDSHFVPKKFQSFQFLKNTHFNFIPYSSSKINFISYSSTSTTFHSNNDVDDAVSLFNRLLRRNTTPPAFEFNKILGSLVKSKHYHTVLYLSQKMEFRGIKPNLVNCNILINCFCQLGLIPFAFSVFAKILKMGYVPDTITFTTLSKGLCLKGQIQQAFLFHDKVVALGFHFDQISYGTLIHGLCKVGETRAALDLLQRVDGNLVQPNVVMYNTIIDSMCKVKLVNEAFDLFSEMVSKGISPDVVTYSALISGFCILGKLKDAIDLFNKMILENIKPDVYTFNILVNAFCKDGKMKEGKTVFDMMMKQGIKPNFVTYNSLMDGYCLVKEVNKAKSIFNTMAQGGVNPDIQSYSIMINGFCKIKKFDEAMNLFKEMHRKNIIPDVVTYSSLIDGLSKSGRISYALQLVDQMHDRGVPPNICTYNSILDALCKTHQVDKAIALLTKFKDKGFQPDISTYSILIKGLCQSGKLEDARKVFEDLLVKGYNLDVYAYTIMIQGFCVEGLFNEALALLSKMEDNGCIPDAKTYEIIILSLFKKDENDMAEKLLREMIARGLP >RHN55299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16999478:17003842:1 gene:gene30463 transcript:rna30463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative yqgF/RNase H-like domain-containing protein MKYMLPKQLYQCVCKALPGRVMGINVGTEYLGVAMSDLENKGLEDSRTSLLRLNDKSGKPKSDAVLASELKDLIDRNNVKGMVIGKLDLDELDSRLPSSDVEAAKEFVQKLDKTDMFSDMNYTYFPPRSELILEKFLDGYRGKSSS >RHN45114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11939508:11945723:-1 gene:gene39323 transcript:rna39323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGGRSSKEESWRQSSNSWREYIDPQSSYGQGSYAYEYDPHPSYSSSQLYYNPPPPSYYGAGEFYESRRVAFDEGTKLQRKYSRIADNYNSIDEVTEALGRAGLESSNLIVGVDFTKSNEWTGKNSFRRQSLHHTGSDLNPYEQAISIIGKTMAEFDDDNLIPCFGFGDASTHDQDVFSFYPDGSFCNGFEDVLSRYREIVPHLRLAGPTSFGPIVEMAMTIVEQSGGQYHVLVIIADGQVTRSVDTEYGKLSPQEQKTMDAIVRASKLPLSIILVGVGDGPWDMMKEFDDNIPSRAFDNFQFVNFTEIMSKNTPPSRKEAAFALAALMEIPSQYKAAIELNLLGKKEVNASQRVPLPPPYMRTSKPSHAARFEPIIPRQYGNSLPMGTAPSAPSSTYDNQLCPICLTNTKDMAFGCGHQTCCECGKELKSCPICRSCINTRIKLY >RHN46074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28275356:28276254:-1 gene:gene40514 transcript:rna40514 gene_biotype:protein_coding transcript_biotype:protein_coding MMESQTTLEKKIVELKNSNQIPQNSRPKIQRVAEYLRNRTNLEKHYSPKLVSIGPIHHGNINLKLGEKYKLMWSAKYIENSGFILEDLHKKIADNIDELKGHFGDDVLTLTGQSLEGFGSLEEKLSWMLFVDGCSLLYILETVMWFDEPGHVDIKLDQLVLLITDVLLLENQLPYKVLKLLWEDNNEGELIKIMRNFPNCLRLVIPVSEKEKEAPADYIQEEEHSVSITNEWQSETPTHLLDVQRKLMLPTSNSKVK >RHN66747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18249896:18250227:-1 gene:gene14823 transcript:rna14823 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTILASSPHYFSAVEDYHACLMKNWLALATLRSKQNLPQSHCLYEQTNFVQPAYVEKIRYSMPIDS >RHN74149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29226088:29236297:-1 gene:gene10149 transcript:rna10149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ethanolamine kinase MGGKVKIWNPVEIAEQAKNDYASQIFPSLLSIDPSLSLPQMTPLVINLCKDMFKTWSSLGDSCFKVEKISGGITNLLLKVSVKQGDSIDDIITVRLYGPNTEHIIDRFRELQAIKYITAAGFGAEWLGIFGNGIVQSFINAHTLAPSDMREPKLVAKIAKQLQKFHGVEIPGSKEPQLWNDIWKFFEKASVLEFGDSEKQKTYKTVSFKEVHDEIYELQVLSDRLKSPVIFSHNDLLSGNIMINHEEDKLYFIDYEYASYNYRGYDIGNHFAEYAGFECDYSLYPNMNEQYHFFRHYLQPDRPQEVSENDLKTLYVEVNTYALASHLFWSLWGLIQAKMSPIDFDYLGYFFLRYNEYKRQKEKLVSMALSYLLERNE >RHN70575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52406784:52408999:-1 gene:gene19168 transcript:rna19168 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHRYSLLQQVLLQIPISCSAGISNGYFTIDDGIITPCMFLLCLNNVHLLHTPVASS >RHN68439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35553843:35556711:1 gene:gene16796 transcript:rna16796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitotic spindle checkpoint protein Bub1/Mad3 MANVDELLSSSFSDINSYTGKDPLLPWLRGIKKMKDTLPSKTLKEKLPEFLQQCAKTFELDRRYRNDLRYLRVWLHLMDFVDDPSALLRTMEVNQIGTKRCQFYQAYALYYEKSKNYDEAEKMYHMGVKNLAEPVDELQKSYEQFLQRMKRKKKIQEMKAADRRVKAKNDESKKLRGDHTVVINKFVDTAMDGKSEAENACHHGLVDPTINMKEAMNAINSMFSEPLETVPLGKKLHKNNSKENCSSMNFEVFVDENLDKENKPSGSASLQHRNEGGKPQQESLQIHIDDEGHSEGSTSSVSKVNGFVFPRPKDVPSEKSRDMDAQKSHNSKFREDTVVRRFVGSAILDEPKVENACHHGLVDPTINMKEAMDDINNMFGKPIDFVRKKRSLKQENAPENNSGKEFGGFSILADDDDDLKQKQGGKPIDFVRKKRLMKQEKAPGNNSGKELSGFSILADDDLEQKQRPPLPKSRGKSKESDLFEPTLHTKEAMDDINMMFNMPLDF >RHN62777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45762375:45767369:-1 gene:gene25386 transcript:rna25386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small-subunit processome, Utp11 protein MSSLRNAVPRPAHKERPQPSSRKKFGILEKHKDYVERAKAFHVKEDTLRVLREKAANRNEDEFYFKMVNSKTVDGVHRPVNEDNKYTQDELILMKTQDMGYVLQKLQSEKKKVEKLSANLHLIDHKPANKHVYFAEDREEAKELKQQLSKRKVPSTSDNIPDNLKRKTERSYKELEARKARVSQLEKVYMDMALKKELQKNGRKRKLREEEIVNPTGRPVYKWRAERKR >RHN43737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48071553:48079421:1 gene:gene50358 transcript:rna50358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MSSKKGLMIPNNLEEASVKLLNQFVKVKENSRISYFLILVFIAWFIHKWIFSFSNCLPVILLLFASTQYGNYQRKILEEDLNKKWNRIIVNTSPVTPLEQCEWLNLLLSQIWSNYFNPKLSTRLSAIVEKRLKLRKPRFIERVEVQEFSLGSRPPSLGLQGIRWSTSGDQRLLKMGFDWDTSEMSILMVAKLSVGTARIVINSLHIKGDLLVTPILDGKALLYSFVSTPEVRIGIAFGSGGSQSATELPGVSPWLVKLFTDTLVKTMVEPRRRCFSLPAVDLRKYAVGGTIYVSVISANKLSRSCFKGRQQNGTSDGCLEDNLSDKDLQTFIELEAEELTRRTGVRLGSTPRWDTTFNMVLHDNTGIVRFNLYQCPSDSVKYDYLASCEIKKMRHVEDDSTIMWAVGTDSGVIAKHAKFCGEEVEMLVPFEGANSAELKVRIVVKEWQFSDGSHSLTNLHASPQKSLKGSSNLLSKTGRKLKITVVEAKDLDAKDRFGKFDPYIKLQYGKVVMKTKIAPPPATLTAVWNDTFEVDENSGDEYLIVKCFSEEIFGDENIGSAHVNLEGLVQGSIRDVWIPLEGVSSGELRLKIEAIWVENQEGSKGPPSGVTNGWIELVLIEARDLIAADLRGTSDPFVRVNYGNLKKRTKVVHKTINPRWDQTLEFLDDGSPLTLHVKDHNALLPTSSIGECVVEYQSLPPNQTSDKWIPLQGVKSGEIHIQIARKVPEIQTRQSPDFEPSLTKLHQSPSQIKEMTKKVRYLIEDGNLEELSTTLSELETLEDTQEGYIAQLETEQMLLISKINELGQEIINSSPSLNGSGN >RHN76470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50649191:50652915:1 gene:gene12802 transcript:rna12802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endonuclease/exonuclease/phosphatase MIALYWNVRGFGNSDTKIALKNFYLSHKPTFIFLAEPMISFSHVPSWYWHGIGVNKCCLNNRGPRLPNLWALWNDEVPVVVIFNSDQCIALEVTWQNSSVFIAVIYANTSYLYRRLLWADLTHLQGCFLGPWLFIGDFNAVMGAHEKRGRWPPTTASCSDFGSWSNANLLTHLPSSGPLITWSNGRMGSAYVALRLDRSICNEEWLAFWRVTSCCTLIRHQSDHHPLLLSADVASVKYAIPFKFYKAWSSHVDCRKLVLENWAKNVRGVGMVRLQGKLQNLKMVFK >RHN73918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21593576:21593914:-1 gene:gene9817 transcript:rna9817 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLHVLVLFLAVVFSLADVAKYQIHAQHDPCVDDRIHDQQNQVLNGKGPPKICIPRIPGAVRTRSTIRPPPKML >RHN43891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49278638:49279767:-1 gene:gene50526 transcript:rna50526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MGCVSSHLLNHEDEFGTSALGVGHHIVSLTSTTYGLLNTLDPPTTTTPPPPPPEIINSWELMEGLDTESFRFSPLTPPSSKPSHKENTNPNLNLNLNLNPALKKPGWTLSDRFERICPPNGEKKVVIYTTTLRGVRRTFEACNAVRAAFDAFGVQICERDVSMDSGFKEELRELLKEKMVVPPRVFVKGYYIGGAEEMLKVVEEGLLGEVIQGLPRKAVGGGGVCEGCGDMRFLPCFRCNGSCKMVNKQKQGNTVVVKCGDCNENGLVQCPICS >RHN74217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30354876:30355499:1 gene:gene10240 transcript:rna10240 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPHHIFLASEEECHSSESGWTMYIGSPINHEDENFDHDYKDNNKVHYYQEIHQTQVDAEIESDDSMASDASSGPSHHHAVNFHHPLGIYEGGYDLRHFKKNVEEGDKVYEYYCFDHQKKGNRKKENQVGEKNGEKKQKTQVQGGGKVKVLVYVTIVAIAICTVLLFRIIVVDHD >RHN76943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2561883:2567461:1 gene:gene337 transcript:rna337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative N-acetyl-gamma-glutamyl-phosphate reductase MSATAFRSIFFNRPRSSNKDLIKPQNVKLSPIKCSIKSSQNNVRVGVLGASGYTGSEILRLLANHPQFGVALMTADRKAGQAISSVFPHLGTQDLPDLIAIKDANFSDVDAVFCCLPHGTTQEIIKGLPKHLKIVDLSADFRLRDVSEYEEWYGQPHRAPDLQKEAIYGLTEVLREEIKNARLVANPGCYPTSIQLPLVPLIKANLIQTTNIIVDAKSGVSGAGRSAKENLLFTEVTEGMSSYGVTRHRHAPEIEQGLADAAGSKVTISFTPHLIPMSRGMQSTIYVEMAPGVRIDDLRHQLQLSYEDEEFVVLLENGVIPRTHSVKGSNYCLFNVFPDRIPGRAIIISVIDNLVKGASGQALQNLNLIMGFPENLGLQYLPLFP >RHN43660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47538789:47547022:-1 gene:gene50270 transcript:rna50270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adrenodoxin-NADP(+) reductase, Biotin synthase MLKRRIFQSRRWLCRSFSSIIHSEPVRVCVVGSGPAGCYTAEKMLKASQQAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAQHERCSFFGNVTLGSNVSLAELRKLYHVVVLAYGAESDRSLGIPGENLKGILSAREFVWWYNGHPDGRNLDPDLKSIDTAVILGQGNVALDVARILLRPTTELATTDIASHALSTLEESSIRVVYLVGRRGPAQAACTAKELREVLGIKNLDVSIQESDLHLTPTDEEELKSNRIHRRIFELLSKAATSRPIHAGLNQRQLRFVFFRKPDSFQESKDSTGHVSGVLFEKTVLKGVGPGKQIAVGTGEFEDLKCGMVLKSIGYKSVPVDGLPFDHKKGIVPNDKGRVLSDTSDTAVLEEGLYVCGWLKRGPTGIVATNLYCAEETVSSILEDLEKGGLISSTAAPKPGRDGLLNLLHDRNVRTVSFNDWEKIDSEEKRLGSLRNKPREKLATWNELLTATSEGTDHST >RHN70121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48914928:48918923:1 gene:gene18673 transcript:rna18673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MVISSDPEKTNNPHMGFKKDNALNVKEMAEKMILQKDFGVARLLARRARSLDPNNDDLPQLLETIDVYLAAEERVGAEVDWYKILGAQPLDDDETIRKCYKKMAFKLHPDKNKSVGADGAFSLVAEAWTILSDKDKRATYDQKYRLAIRGIPVGIPPNPIPASQNSLFNTVNLGERPSVPGGQNGLFNAVNRNDRDRMSAAHTSPTPRPPAPASHNGLFNAAIQKNRDHMSAAHTNSSPRAPPKSDTFWTVCSLCSTKYEYLATYRNCNLVCGRCKKPFYASEIPAPSVRKNASSTSRPSQMKQQSFNSTGLDRNCHVPSRTPMSAVNSSLGSGAFSMPGGLSSVPTSVSTAGGVPGLFMRPSANLKRKHEDSAPVMREEIHFGKTHAVERTVAGSAFQSSGKKRCTGEHKVDGARRDVETEMASKKGMNSTNGFGSLKISFDAGKVSAAGNSRRNGISYMSQQQMKNILAEKAQKLIRKKLDEWKESRRKLDEWKEIRKKLDERYPSSISKNTVPEVRVKPGPKEIVKSENKNKPISADSEANVSVSMTVPDPDFHDFDGDRIEDSFGENQVWAVYDDEDGMPRYYVFIHSVISKDPFQMKISWLSSKTNDELAPIEWVSNGFPKTTGDLRLGKRATSNTLNSFSHRVKWTKGSRGLIHIYPKKGDVWALFRNWSLDWDVTTNDDIIHQYNMVEVLEDYSEEHGVNVAPLVKVAGFKTVFRQNADPRKIRNIPRAEMFRFSHQVPSYLLTGQEGDNAPKGCLELDPASTPMELLQVITDAPTQEDSAEDVWRKKVDGAQEGKAWPENLVVYKRQRLKEKREQ >RHN42041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35023069:35024019:-1 gene:gene48430 transcript:rna48430 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSRGLRTAIRGRMTKPKPPLNSSLLPLQKSPIHFLDL >RHN81665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47922141:47923778:-1 gene:gene5738 transcript:rna5738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-Xb-1 family MDHAALAVLAAIASFFVVTVILAAIILICQHRKTTQTRRNNQIRTRPLRNPNHYPTSSSSLPVDASWSWDPNLKISMEELSRATKNFSNTLIVGDGSFGYVYKASLSTGATVAVKKLSPDAFQGFREFAAEMETLSKLRHHNIVKILGYWASGAERLLVYEFIEKGNLDQWLHESSPTSSSTHQNDEVSISIDFIRSPLPWETRVKIIRGVAHGLCYLHGLEKPIIHRDIKASNVLLDSEFEAHIADFGLARRMDKSHSHVSTQVAGTIGYMPPEYRDGSNVANPKVDVYSFGVLMIETVSGHRPNLAVKLEGNDIGLVNWARKMKERNTELEMLDGNIPREEGLKEESVREYVRIACMCTGELQKDRPEMPEVVKLLDSMPL >RHN61026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32378218:32378822:1 gene:gene23436 transcript:rna23436 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNFLFSIFFLNEAWRSLKVLHRPLQGFGIPWSFLYVKCSNMSIIMKGGV >RHN47452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39879146:39879907:-1 gene:gene42056 transcript:rna42056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal-recognition-particle GTPase MQGGNCDLIIVDVSGGHKQEEDALIEEIHQVSKATKPDLVILVIDGNIGQHAFDHRSLKQATAFTQSVDIGAVIVSKMDGYAMGGGSLSAVAATKSSVIFIGTGEHMDEFEVFEVKPFVSSLLGMDDWYEFIDRVYEVPELPLNLPERKIWMPPRAFFPPSLHHLFDD >RHN39290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5613722:5615004:-1 gene:gene45300 transcript:rna45300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin synthase MFQCCLLLSMLPPKIVGEMVEPERLYDSVNFGKTGGLSAWEPAGGQEWLELFNPSESFSDIVVEHEYVECTGSAIQALVLFKKLYPEYKTKEIDNFIANAVRFIESSQTIDGSWYGNWGICFIYGSFFALGGLEDPGKTYTNCPAIAKATKFLFQIRREDGGWGESYLFCSQKVRY >RHN52131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31859860:31861764:1 gene:gene36760 transcript:rna36760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MHLASYICYTTQLYILIHLLIFLEHLKMANIVSLLFIMGMAMTCFEIGVGNAALTMNYYKFSCPLVDSVVKKNVQRALNDDPTLAAGLVRMHFHDCFIQGCDGSVLIDSTADNTAEKDSVPNLSLRGFEIIDTIKEDLENKCPGVVSCADLLAMAAREAISFVGGPKYHIPNGRKDARRSKLEDTFNLPSPALNASHLIRNFRKQGFSANEMVTLSGAHTLGVAQCMFFKQRLIKKDPTLDSQLAKTLSETCRLGDNAEHPLDASGMHFDNSYFKSLTSNRGVLASDQTLYTSLKTKNIVQNYAINQTLFFSEFKKAMIKMSLLNVKEGSEGEVRKNCRKIN >RHN42246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36690883:36691344:-1 gene:gene48663 transcript:rna48663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative encoded peptide MAHLARICLFYVLLFLSHELLLTTTEGRSLRQSIQPPNIASTKMMSTSQLYHRSNRSLEGDVEAFRPTTPGHSPGIGHSINN >RHN69517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44102685:44105148:1 gene:gene17996 transcript:rna17996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MSDRRLPIRSYGSKMSLLLRRTNSPTTNHHHPPTTTTITFTTATQVEVIQSILNCLKSGHIQKAASILFDFPLPFPHSLYAHFFRHCRSPKSIAAAHKVEFHLVATTRNPPIFLRNRALEAYAKCSSLHDAQELFDEMPQRDGGSWNALITAYSRLRYPDEAISLFLWMNKDGVRANNITFASVLGSCADVYELSLSQQVHGLVVKFGFSSNVIIGSALVDVYAKCGIMVYARRMFHEIPRPNAVTWNVIVRRYLDVGDAKEAVLLFTRMFSDGVKPLNFTFSNALVACSSMHALEEGMQIHGGVVKWGLHEDTVVSSSLINMYVKCGELENGFRVFHQLGSKDLVCWTCIVSGYAMSGKTWDARKLFDQMPVRNVISWNAMLAGYTRFFKWSEALDFVCLMLDTVKDLDHVTLGLMINVSAGLLDHEMGKQLHGFVYRHGFHSNLMVGNAILDMYGKCGNLNSARVWFNLMSNWRDRVSWNALLASCGLHHSSEQTLTMFSEMQWEAKPSKYTFGTLLAACANTYSLHLGKQIHGFIIRHEFQIDSVIRTALIYMYCKCYCLEYAVEILKGAVSRDVIMWNTLILGCCHNHRGRDALELFGIMEAEGIKPDRVTFEGILLACVEEGLVEFGTQCFESMSNEYGVLPWLEHYGCMIELYSRHGYMDELESFMKTMTIEPTLPMLERALDACQKNDSPILGKWIAKKIHEFEH >RHN77018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3198244:3198696:1 gene:gene425 transcript:rna425 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKLMVRKSTREFLFTEAQEDFIDFVFSFLTLPLGAVVHMLQGISSLNCTDNLYKSMTELCPRRYLISQELKDKLTKPQCAPHFRDRSQMLPIDTASLPVYYCHTYYNSYNEVYCADLTKEKARSKNNNGVPDKFVPYKLKSVGLKYSH >RHN69383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43018553:43019507:-1 gene:gene17843 transcript:rna17843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MDPSHITAYVNFSCELRIIQARNIEFIKSTKNLFARLYLPTGNDKRIQLNSKSVSTKSVPFWDESFNLDCSCPQEFLENLNQQSLVLELRQRKIWGSQLIGKGDIPWKVILEAQNMELKKWLKMDLVSGSECKEGMFSTPEVEVEIKIRVASVVEMEKQNKRRLNNWNECGCKNGHDHQAWCSAEECDIFAFGAALEAF >RHN60202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23427029:23427361:-1 gene:gene22460 transcript:rna22460 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDYMFGHANWMNEVSDQEYWNHTRFRQEFTWAVCLNRRAMTGSFERFDGSEEAMDHYFDVTRGRAQDREQEIRTDFAAGSRQSRYHLGEDTFAEENPATWIPEDQMQD >RHN76708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:600175:600692:1 gene:gene75 transcript:rna75 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHSKTLLPNPNFNCVISVSCVEDLIRCMNKQPLKQSHKGTHPRPGYRLCSIDIYGCSCHVA >RHN48743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49863243:49867803:-1 gene:gene43493 transcript:rna43493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TLDc domain, EF-hand domain pair protein MGNAQSPPTDPRYLSASRAFAQKGLENLKSLFDSLASQSHSNGKYITPSVFQSYFKLNGPIGERMFDLVTQERKDQKLTFEDLVITKATYEKGTKDEIEEFIFRLLDLSGDNFVGRSDLESVLIAIFNDILCIEGSEHGSSSHQDIVNIFLSAANFSIHDEKCTEEAMSFDDFRSWCTHLPTVRKLLGSLLLPPDSGRPGTQIPNLLTPKDIDSNIVLLRKEYAWHIGGALSQHELEDWMLLYHSSVNGLSFNTFLGNISNHEGPTVLILKDKDGYIYGGYASQQWERHAEFYGDMKCYIFQLNPKASIFRPTGANTNLQWCAVNFSSEEIPNGIGFGGRENHFGLFISANFDQGHTFSCSTFGSPCLSKTNRIVPEVIECWGVTQGVVQGKNDAVKGTVLERFKEDRHMLNMVGLANSSE >RHN55607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19976471:19978819:-1 gene:gene30810 transcript:rna30810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol dehydrogenase MSSTSQIITCKAAICWGLGKAVTIEDIQVDPPKSKEVRVKMLCASLCHTDFSSTQGFPHNKFPLALGHEGVGVIESVGDEVTNMKEGDIIIPTYVGECQECVNCVSRKTNLCLTYPVRFTGLMPDNTSRLSVRGQRLYHVLSCATWSEYVVVDVNYLLKVDPTINLAYASFISCGFSTGYGAAWKEAKVKSGSSVAVFGLGAVGLGVIGGAKMMGASKIIGVDKNEMKREKGEAFGMTHFINPSGSYKSASDLVKELSGGMGVDYSFECTGVPSLLNVSVEATKLGTGKTIAIGSGVENIVPFDLIAILFGRTLKGSVFGGLKTASDLPIIADKCQNEEFPLQELFTHEVPLADINKAFEIMKQPNCVKVVIKM >RHN68495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35977989:35982516:-1 gene:gene16857 transcript:rna16857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative uroporphyrinogen decarboxylase MFCGVVSTSFTSILPRKQHHSTPFSPFKSIQCSLPGAVAEPKTNNATEPLLLNAVRGLDVERPPVWLMRQAGRYMKSYQIICEKYPSFRERSENVDLVVEISLQPWKVFQPDGVILFSDILTPLSGMNIPFDIVKGKGPVIFDPVHSAGQVDQVREFIPEESVPYVGEALSILRKEVDNKSAVLGFVGAPFTLASYVVEGGSSKHFSKIKRLAFSEPKILHSLLQKFTTSMARYIQYQADNGAQAVQIFDSWATELSPVDFERFSLPYLKQIIDTVKKSHPELPLILYASGSGGLLERIALTGVDVVSLDWTVDMADGRRRLGPNVAIQGNVDPGVLFGSKELITDRIHDTVRKAGRGKHILNLGHGIVVGTPEENVAHFFEVAKGIKY >RHN67545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28125669:28128253:1 gene:gene15743 transcript:rna15743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IBR domain, E3 ubiquitin ligase RBR family MNCQEFQDLKRDKNEIDLDDKFLELAKINKWKRCPNCSIYVKRSSGCEHMKCRCKCNFCYLCGKKWKHGHLCKKRKHF >RHN73111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13543638:13548839:-1 gene:gene8893 transcript:rna8893 gene_biotype:protein_coding transcript_biotype:protein_coding MCFTVHNFHLTNTYTHRVTKLCLLFCLDSIKTLDLPLHTSQRRRFIIAGNTMSVSGGAVIVGRRNTRHHVENRFYCPPALRKQRQQQQQEQEQQEEHELTLIENNNTPCSSSDNSVSSTSIETTVDAASNLCRFLEHTTPHVPAHSFPLKSKRRWKTVEPELQPYFVLGDLWESFKEWSVYGAGVPLVLSGNESVKQYYNVSLSAIQLYIDPSKPSLRLRKPSKESDSESARDTSSDSSSGYHHERGSKSVVNGSSNLPNLSDASNLGLERLSLASKPFTGSSSDENGSCSALGQLVYEYFEHESPYNREPLVDKIHDLAKQFPDLKTYRSCDLSSSSWVSLAWYPIYRIPVGPTLQDLGACFLTFHSLSTPLQSPTTINCSRKDKDISSKLSLPIFGLAFTKFKISVWDPEGDSEDQKANSLRRAADNWIRQLQVNHPDYKFFSTNYSYCR >RHN78532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15987190:15996182:-1 gene:gene2112 transcript:rna2112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SPX domain-containing protein MVKFSKELEAQLIPEWKDAFVNYKMLKKHIKKIKLSRVPKKEEQAPKGDFGYSIFDSIRFVTNKLFCSSDNNKPNIIQVRRKMMEDSEEEVYETELAQLFSEEDEVHVFFARLDEELNKVNQFYRKQESEFLERRDMLSKQLQILLDLKQLLTDRRRKNNLPPRNSNAEIFSRSPDQSSNYSESCEESDETNSETSQMDEVISTLAKNGVNFVNSATRVKTKKGKPKMAMRIDIPATTPTKAITAVTSILWEDLVNSPIKEGYGEFINKRKIQYAEKMIRSAFVELYKGLGLLKTYSSLNIVAFSKILKKFDKVACQTASASYLKTVKRSHFISSDKVVRLMDEVESIFTKHFASNDRKKAMKFLKPQVQKGSHMVTFFVGLCTGCFVSLFCVYAILAHLCGIFSPNTEPAYMEAVYPVFSVFALLSLHLFMYGCNLYMWKATRINHNFIFEFSPSTALKHRDAFLMCTVFMTAVVGSMVVHLLLRAAGFFPGNVDAIPGILLLFFIALLICPLDIFYRPTRFCFIRVIRNIVCSPFYKVLLVDFFMADQLTSQIPLLRHLETTGCHILSRVFKTHHPETCHSGRLYMEITYIISFLPYFWRALQCIRRWFDDNDVAHLANMGKYVSAMVAAGARVTYGRQDNNIMFVIVIITSVMATMYQLYWDFVKDWGFLNPNSRNAWLRDDLVLKNKSIYYMSMALNVVLRVTWTETVMHFKVGPVQTKLLEFLLASLEVIRRGHWNFYRLENEHLNNVGHYRAVKTVPLPFRDADSDN >RHN79860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32916652:32917564:1 gene:gene3708 transcript:rna3708 gene_biotype:protein_coding transcript_biotype:protein_coding MHTFSFFIVLLIFIFFNVVTATQSQQSLSPPPPHGKSHHGHHGHHRCNAAKFFGYVRTSPTAFLNNQTELINEFLHAHNWVRSEYKLPALKWDENLASFARKYLMERYNDCKLVHSTANYGENMFWGKKLHWTPSDAVYYWYKEKTWYSFETLKCEPPPKMCEHFTQIVWRDSTHVGCALQHCKNNGTGMLIACEYNPAGNYVNENPLVHAAK >RHN54673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11205705:11207676:-1 gene:gene29747 transcript:rna29747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative brevis radix (BRX) domain-containing protein MGGVSLGSTPPWDLPVNFTGGRSDQRFIGGTSGNQTPTVQEPVVAEPEAVVEENREWVAEVEPGVDVTFLSLPDGGNDLKRIRFNREIFDKWQARVWWGENFDRLRELYNVRSFNSQALSTALPSEDEQREASYSMHETGSGSNVAAWENNDPMVGNQYFNPSGFTMGEGSSSNQNMHAALRSSSMNEISFSNSSDPDSEWIEQVEPGIFVTVRQYPDGNNELRRIKFSRQRFGDAEARKWWDENRDRLREQYLQ >RHN62090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40650171:40655162:1 gene:gene24624 transcript:rna24624 gene_biotype:protein_coding transcript_biotype:protein_coding MIEWNNKEEEGEEGKAEICEEKNKKEEEQQTCRFGMNLLC >RHN75097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39345708:39346421:1 gene:gene11256 transcript:rna11256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MSGAKKTRGRQKIEMKKMSNESNLQVTFSKRRSGLFKKASELCTLCGAYIALIIFSPSEKVFSFGYPNVETVIDRYLSLIPPQNDGIMEFMEDFRRAKVRELNGILTRMNDAIDIDKNRENELNQQRKMNGGQFWWTRPIDEMNMVQLDLLKKALEDLQKLVRQHADRVEMQGTSTQALPFFVGNGSSSNMPIEHQPNPQQESNFSADFFHNHMLQPHLFGFNIMGGQDGHGPHGFV >RHN59039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6952886:6957821:-1 gene:gene21022 transcript:rna21022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar import/degradation protein Vid24 MPVRVALENITTPSHVSGANSRRNSFQSCTLLTVGQAFSGTQNVSSLQKDEAWRVNVRIQGCDLEHGYLCGTMEALNVPMADTPVVTFWEGEIVDTKNYTFFTGKWEAAPEDDIRHWTKFQSFGPLLSQVEVDGGKSVDLSNYPYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCTDGSISGFYYDPNSSPFQKLELKATNDGRSGFSFSSYELQ >RHN81498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46455212:46456152:1 gene:gene5547 transcript:rna5547 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMIVGHMADQFHVIRLGVKITVLKVHSKPEIQSQSTNIAFSFHLWLFLSF >RHN74835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37024384:37041452:-1 gene:gene10960 transcript:rna10960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha,alpha-trehalose-phosphate synthase (UDP-forming) MVGFQSEHMPGNKCNGNYNSGSRVERLVRVREQRKSIKASSPDIVVINNNNNINSNNNNNNNVNNNYNDSEKHVVTESFEHDLSLKECNNSGASHFERFLEGAAAAKALSNGYEREDGKPVRQRLLVVANRLPVSAVRKGEDSWSLEISAGGLVSALLGVKDFEARWIGWAGVNVADAVGQKTLTEALAEKRCIPVFLTEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRGFQSQFDSYQVANQMFADVVNQVYEEGDIVWCHDYHLMFLPQCLKKFNSKMKVGWFLHTPFPSSEIHRTLPSRSELLRAVLAADLVGFHTYDYARHFVSACTRILGLEATPEGVEDQGKLTRVAAFPIGIDSDRFIRALDHPQVQAPFKDLVERFKGRKVMLGVDRLDMIKGIPQKLLAFEKFLEENEDWREKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRTLDFNALCALYAVTDVALVTSLRDGMNLVSYEFVACQEKKKGVLILSEFAGAAQSLGAGALLVNPWNISKVAEAIAKALNMPSEEREKRHRHNFHHVTTHTAQEWAETFVSELNDTVVEAQLRTKQVPPRLDTRKTIQQYLKSTNRLLILGFNGTLTEQVERKGDQLKEMELSVHPELKQPLTQLCNDPNTTVVVLSGSGRTLLDENFKEYNTWLAAENGMFLNPSNGEWMTTMPEQLNMEWVDSVKHIFEYFTERTPRSYFEEREASLVWNYRHADVEFGKLQARDMLQHLCTGPISNASVEVVQGSRSVEVRAAGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLAKDEDIYDFFEPEPSSFGASLQRSKLSDAAKFPNEKVSPMKIPSIKNGFKSANQSKGQRPISNSEKKTANNVNRTVRKCKSPLPEKISWNVLDLKKENYFSCAVGRTQTNARFTLPSSDEVAEFLKKLAHASSRNY >RHN52845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39461112:39468040:1 gene:gene37567 transcript:rna37567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MMQRLVDHAIGVSKESVKTITCESLNNIVRIINGVSALLLALLPGNAKILEGIHGWELRPTFRGPRLPRWMENGASSFNQFIHELSVDSDNSSVEYSSSGEEDSDRYECPPSPASHSSRASEAAFARYNRHQMNWIQYILLWILLPVKLLLRIPLRLLQLAYFVVSKVLCISREKRPSHLHAYKRMQSIKEQFVHRATDRRRGIVEDLHLGTEICIEAVFDVVHKAAHLVLSPSKAFGALCGLFSSNENGIKEIRNPVVDASVSAATLGGEGPGSSERKINYESLNTDTRTCQDVITDLGYPYEAINVITADGYILLLERIPRRDARKALFLQHGVFDSSMGWVSNGVVGSPAFAAYDQGYDVYLGNFRGLVSREHVNKNISSRQYWQYSINEHGTEDIPAMIEKIHQVKTAELKLSKPDIEEETDDDQLYKLCAISHSLGGAAMIMYVVTRRIEEKPHRLSRLILLSPAGFHDDSNIVFSAAEILLTFMAPVMSHVVPAFYIPTRFFRMLVFKLARDLHNLPAVGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPGVSFRVALHLAQIMRVGKFRMFDYGNASANRMAYNSPEPLDLGKHYGLIDIPVDLVAGHKDKVIRPSMVKRHYRLMKSADVNVSYNQFEYAHLDFTFSHREELLSYVMSRLLLVDPNSKHQVNQRVEKSEQKGQDAADK >RHN39671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9476346:9477236:1 gene:gene45717 transcript:rna45717 gene_biotype:protein_coding transcript_biotype:protein_coding MANNHQPSLRPWSRFASLRSVTEAKGRTPEPSSAQNVADPSLETSQSQQKNTSFILNNSNSNAAKSQPTTTEHIHIPNSKIENSNVNGNDSLQKVPNKNCSSDSEEYSGIRKITIGGENKGACMKIIQTRKKPNQLHKMENLKIKGYDDQSKKVRTISSPPMVGVYMNSNVQCVNNSLLLHASCTHHDPGVQLTLSKKPFGKGYIKW >RHN81732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48528079:48532883:-1 gene:gene5817 transcript:rna5817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MKDDSMHSKPCHEMTDMDGDFTMVVSNDTHVSALDVKPLNSEPPSKPPLEPIEGMEFKSFEEAKSYYTRYAQNKGFSFRMGRVTKSRTNGMIIGQEILCSKEGFRAKKYVKQGNSSLITHDETRVGCKARLYLKKNNDIWIVSRFVSDHNHQLFSPRSAQSLRVHRKKTKVQKTLTDVLDESGLGKTTSILCTESGGIDNFGSSQQDVINYLSVQRQKQFENRDAQLMLSYFKNCQLKNTGFFYAFQMDAEGKLTNCFWVDSRSRVAYKYFGDVVTFDPTYLKNKYKTPFVPITGVNHHQQSILFGCALLWDEAVESFDWLLSTWLEAMSGVCPKTVITDQHTAITNAVARVFPKVNHHYCMWHIEEKVPEHMDHIYHGHSEFKNHFYKCIHQSITIEEFDSEWEAMVDKYGLQDNQWLEKIYSIRSKWIPAYVHHNFCAGMSTTQRSESMNKFFKDFLNSSTPLSKFLTQYEKALDARYNEEREKTVKTMNSKPLLRTLYPMEEEASKIYTGKLFEIFQDELVGSQMFTTEKVEFSDEVATYKVHEIYKEKPNYHVAFHVTSKEATCTCHKFESFGILCRHILTVFLKKKVHYLPSQYVLQRWTRNAKKEKFEGLTIEEFQEGGNEASSTSLFNSVMVRSLEFSERASRSKKHHDIAIQCLQNAIAKLDLIELEESNEEFVNSTS >RHN39410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6896343:6901090:1 gene:gene45431 transcript:rna45431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative brevis radix (BRX) domain, transcription factor BREVIS RADIX domain-containing protein MLTCIACSKQLNNGSLHQQEEDEAVQTPSTKQAIKALTAQIKDMAVKASGAYKNCKPCSGSSNGNKNKKYADSDMGSDSARFNWAYRRTGSASSTPRMWGKEMEARLKGISSGEGTPTSVSGRTESVVFMEEEDEPKEWVAQVEPGVLITFVSLPEGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNQEAVPLPTPPRSEDESSKIESARDSPVTPPLTKERLPRHLHHPMTMGYSSSDSLDHHHMQPQPCYETSGLPSKSNLSNIGVPKTERSSIDASVRTSSSEEEDHSGELSISNASDMETEWVEQDEPGVYITIRALPGGTRELRRVRFSREKFGEMHARLWWEENRARIQEQYL >RHN58597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3110878:3114467:1 gene:gene20521 transcript:rna20521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP-dependent synthetase/ligase MTSSFKTLNKQFPLLPPFHHPHKFPHHHSSSSSSINYSYTPIFSSSQFRSSSCSTSQSTTYMEVFKAIAKQEAAAHGSVAIRADQQSYSYKQLISSAQKISNLLCGNDVKGNLGGARIGIVAKPSAEFVAGVLATWFSGGVAVPLALSYPEVELLYVMNNSDVSAILSTEDHSELMQSIANKTSSQFFHIPPVPNNSSEKSRNGHSKNGEIDADSIFPENIERSSEDPALILYTSGTTGKPKGVVHTHRSILAQVQTLTKAWGYTSADQFLHCLPLHHIHEKKIICIIQYFCS >RHN51447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17919607:17925138:-1 gene:gene35882 transcript:rna35882 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLKHPPVTVLSPPQPIPSRHVSLRHSLPTRFSNILNSCPNLVLQPQHTTMTITSYLSKEPRITRSVVAVKSQLRYPIISSDDHWGVWSAIFSIGAFGIWSEKTKIGSMVSAALVSTLVGLAASNLGILPHDAPAYSIVLEFLLPLTIPLLLFGANLQQVVRSTGMLLVAFLLGSVATVIGTLVAFLLVPMRSLGPDNWKIAAALMGSYIGGSVNYVAISEALGLSASVLAAGVAADNVITALYFMVLFALASKIPAETAPPTTDKEMHMKFENQEMPVLQTATAVATSFLICRAATYFTKLYGIQGGTLPGVTAIIVVLATLAPKLISPLIPAGHTVALVLMQVFFVVVGASGSILNVIQTAPSIFMFALVQVTIHILIVLGLGKLFKLDLKLLLLASNANIGGPTTACGMAKAKGWESLVVPGILTGIFGVSIATFLGIGFGLMVLKHL >RHN57365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38394384:38398789:1 gene:gene32895 transcript:rna32895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LysM family MIHHTPKFLSYVPIILYTMKPIKFRLSFLFMLLASKSFIAESKCSKTCNIALASYYLQDDTNLTYVSNIMQSNLVTKPEDIVSYNTDTITNKDFVQSFTRVNVPFPCDCIHDEFLGHIFQYQVATKDTYLSVASNNYSNLTTSEWLQNFNSYPSNDIPDTGTLNVTVNCSCGNSDVSKDYGLFITYPLRPEDSLELISNKTEIDAELLQKYNPGVNFSQGSGLVYIPGKDQNRNYVPFHTSTGGLSGGVITGISVGAVAGLILLSFCIYVTYYRKKKIRKQEFLSEESSAIFGQVKNDEVSGNATYGTSDSASPANMIGIRVEKSGEFSYEELANATNNFNMANKIGQGGFGEVYYAELNGEKAAIKKMDMKATKEFLAELKVLTRVHHVNLVRLIGYCVEGSLFLVYEYIDNGNLGQHLRSSDGEPLSWSIRVKIALDSARGLEYIHEHTVPTYIHRDIKSENILLDKNFCAKVADFGLTKLIDAGISSVPTVNMAGTFGYMPPEYAYGSVSSKIDVYAFGVVLYELISAKAAVIMGEDSGADLKGLVVLFEEVFDQPHPIEGLKKLVDPRLGDNYPIDHVFKMAQLAKVCTNSDPQQRPNMSSVVVALTTLTSTTEDWDITSIFKNPNLVNLMSGR >RHN59293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9154668:9157017:-1 gene:gene21298 transcript:rna21298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mRNA (2'-O-methyladenosine-N(6)-)-methyltransferase MDPKGVLNFHDLEGLHIYQCGSLEHVLPLSVVTSCSKLNSLCISDCKEIVAVIENEDSVFIPPQFELNALKTLSFKALPQLKGFYGGNHTLACPSLRVMTVLGCAKLTVFKTQESLMLLQEPLFVVEEVIPHLERLDIMIKDANLMISQTENIGSLVTNLKHIGLYRSENEEEAFPRELLQSACSLESCSFEKIFLDDRLLNEEIRLKSLKLSHLPKIYEGPHLLLEFIGHLAVEYCPSLTNLIPSCASFNSLISLEITNCNGLISLITSSMGEILGKLEVMKVKGCNSLEAIITAEENLDFGLLNLEVLVLESLPKLNKFSSCKSRIYLPLLVEVEVNECPLLEIFSEGMPSTPNLLDIKRGELYYPLAGNLNDSIRSIFISEGMRRKINPGKIITIPTTLKPLLLLHIQKQRKLVFCPLFDQWMVFVFNRVPFTLISFSTVLRNLENNQMVLQDKAALQELAGDSREPTLAVEIALRSMADNDGAVELEELL >RHN56718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33147715:33152009:1 gene:gene32157 transcript:rna32157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MALSSSKVSLNLFCFVLFMFFLIDGSFSQLSENFYAKKCPNVFKAVNSVVHSAVAREPRMGGSLLRLHFHDCFVNGCDGSVLLDDTPSNKGEKTALPNKDSLRGFEVIDAIKSKVESVCPGVVSCADIVAIAARDSVVNLGGPFWKVKLGRRDSKTASLNDANSGVIPPPFSTLNNLINRFKAQGLSTKDMVALSGAHTIGKARCTVYRDRIYNDTNIDSLFAKSRQRNCPRKSGTIKDNNVAVLDFKTPNHFDNLYYKNLINKKGLLHSDQELFNGGSTDSLVKSYSNNQNAFESDFAIAMIKMGNNKPLTGSNGEIRKQCRRAN >RHN57900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42499015:42500004:1 gene:gene33491 transcript:rna33491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MEKVHKEIYMILQDIIDDHKSIRKEDSNDEDLVDVLLKIKQENYHSEHPLTDDNMKSIIQDMFTAGTETSSEMVKNPKVMEEAQVEFVIKETLRLHPVAPLLVPRESRERCQINRHEIPIKTRVVIIAWAIGRDPRYWVEAERFKPERFVNSTIEFKGTDFEYIPFGAGRRMCPGIAFALPNIELPLAQLLYRFDWKLPNKMKNEELDMAESFGITVGRKHDLYLIAINRLCNMYYLSKQVQKRCS >RHN49262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53632579:53643047:-1 gene:gene44075 transcript:rna44075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FERM/acyl-CoA-binding protein, 3-helical bundle MSMAMARASSGLQYPERFYAAASYVGLDGSNSPTKSLTSKFPKSTALLLYSLYQQASVGPCNIPEPSSWKIVEHSKWASWNQLGNMSSTEAMRLFVKILEEEDPGWYSRASNSFAEPVIDVQMNHNSKVEPVIENGNTYPETKTISSENGSQVGTQDKDVVVESFGSVGVYDQWIAPPISGQRPKARYEHGAAAMQDKLYIYGGNHNGRYLSDLHVLDLRSWTWSKLEVKAGDESSTTLDPCAGHSLIAWGNKLLSIAGHTKDPSESIQVREFDLQRATWSTLKTYGKPPISRGGQSVSLVGNTLVIFGGQDAKRTLLNDLHILDLETMTWDEIDAVGVPPSPRSDHTAAVHVDRYLLIFGGGSHATCYNDLHVLDLQTMEWSRPTQQGEIPTPRAGHAGVTVGENWFIVGGGDNKSGASETVVLNMSTLTWSVVTSVQGRVSVASEGLSLVVSSYNGEDVLVSFGGYNGRYNSEVYVLKPSHKSTLQSKIIENSIPDSVSAIPNATNATRDMGSEFGAGHEGKILEIAMDNSYTTKSKGDLISVLKAEREELESSLSKEKLHTLQLKQELADAESSNSDLYKELQSVRGQLAAEQSRCFKLEVEVAELGQKLQNFGTLQKELELLQRQKAASEQAALSAKQRQGSGGVWGWLAGTPGQNADDE >RHN61167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33591340:33601868:-1 gene:gene23603 transcript:rna23603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MTPPAKPMRPQHEGSGRYSEDSSTPSNNLYVANLSPDVTDSDLMDLFVQYGALDSVTSYSARNYAFVFFKRIDDAKAAKNALQGFNFRGNSLRIEFARPAKTCKQLWVGGISPAVTKEDLEADFRKFGKVEDFKFFRDRNTACVEFFNLDDAIQAMKIMNGKHIGGENIRVDFLRSNYAKRDQGLDYGQFQGKSFGPSDSYSGHKRPLNSQPLMRRKGDGQPNNILWIGYPPNVQIDEQMLHNAMILFGEIERIKSVPSRNFSFVEFRSVDEARRAKEGLQGRLFNDPHITINYSNADQVQGKDYPGFYPGSNGPRPDLFLNEHPYRPAQMDLFGHNRPMIPNSFPGQLPSGGNVGPNIPMRPFGPNGGPESVVSGPEFNENSTLHKGPNWKRPSPPAQGLLSSPVPGARLPARSSSGAWDVLDINHIPRDSKRSRIDGALPNDDPYAGRGILGSASTRITGGVHAVQPDHIWRGLIAKGGTPVCRARCIPVGKGIGTELPEVVDCSARTGLDTLAAHYADAIDFEIVFFLPDSENDFGSYTEFLRYLGAKNRAGVAKFENTTLFLVPPSDFLTDVLKVTGPERLYGVVLKFAPVQSGVPVHQSSHLPVPSNQYMQQMPPSQAEYDMNPAKEEQVLAMNYNRMLHEDSKLPAKQVYPPTGGPSSVQPAASDYALNTAASGSQAGVALTPELIATLASFLPTNVQSPAIDGAKSGAGSSTVKPPFPPVAPNDGNQSQIWKQDHQIADQSIHPSQQFRNMYNSHNAHHQPYPPASAPGHTAQAFSGSSHIQDNAVNQQQQGVVSSRLVSNFVTPTQSGQVAASPHFSHQYQAEVPPNTQKGFPGSDVSVLYNSQAFQQPNNNHHPFQQPNNNPQHFQQSNNNPQPFQQPNNSIALSSQVNSANPQHQPVMQYTADQVNSNPPIQQHPAFGVGQGPPELEADKNQRYQSTLQFAANLLLQIQQQQTQGAHGPGVQQ >RHN48126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45220878:45230098:-1 gene:gene42810 transcript:rna42810 gene_biotype:protein_coding transcript_biotype:protein_coding MANDATEDSTKFEDEYSPSSTVIKFDRPIPLLRGPLPASPSDDPSAGPYVLAFKDSHAWASSFIACERKIVQQCEQGARIGCAINASSKCKPPWWKVLSGVKLEDLKEREACEVREMEECFAVAKEKCVGFAKEKCLVPFRDARIKVGKGVFNLKEAVKLISWGSRPMNGMNSNCLIGGEFGVTNRRASELLGSDDCVRRILDERQRGTEN >RHN42070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35202782:35203893:-1 gene:gene48461 transcript:rna48461 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKPNAIRTHFAAISKTIRIFELCIVFLILSWTLTRLPLAVSISAEYLRKLAANPLFIFAVSNAIIAALFAQSGRFSDENSEDHSGAGKLYRELMNCRIAVSDRDIQPPSSTVDPPSATVDLPPVTAEVTCQDKEVISETVPAPVIPDREIGVYSDFVNYRRTQSEKWKGEAGKMKQRKQLRRSETAKLLETSKENLYPQDKLSNEEFQRTIDAFIAKQMKFLREESSAIVVHNSSTV >RHN79769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31984288:31989248:-1 gene:gene3605 transcript:rna3605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MALHEHSDVVQWGMNLFDVDPRYSPGYYGDITQHDTGDVYNEHYFHSHYDTSESNQVENDEIIARTLQEEFSQLEIAEHSSYSQADQEHFHTSESSYDWHNTPMMNYCSGGHDYAHEGVCDTETSSSCCSPSEVEGVSSELLDNYPFDDDIGRRLNEITPIPHIPKINGEIPSIDEATSDHERLLERLQLYDFVEHKVQGDGNCQFRALSDQLYNTPDHHKFVRRKVVNQLKSHPDIYEGYVPMEYNEYLDKMSRSGEWGDHVTLQAAADSYGVRIFVMTSFKDTCCIEILPSFEKPKGVIFISFWAEVHYNSIYPQGDITSSESRKKKRWWSFESKH >RHN74923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37836718:37842001:1 gene:gene11058 transcript:rna11058 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEEYSGPPGPKLMRLVYFVGAAVAVTVAINKWREFESKSIIQQQQQQKGVKVVAEIPNSSDSVAVHKALK >RHN61267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34323975:34326311:-1 gene:gene23708 transcript:rna23708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MATTLTLQFLTLVLFFFMIGKSINARDLKVELRDQNQVDSNGAYITAYNHEAKQLESNKPYITGYGKHEAKQPESNEPYITGYGKHEAKEPNYITGYKTHNHESNGPYITQYGKHETKQQNYITGYRTHNHESNGPYITQYGKHETKQQNYITGYRTHNHESNGPYITQYGKHETKQPNYISGYKYVIDPKGLPSTNSVEDLPIPNLDHTEAFKTGYFSFDDLHVGNVMTLQFPVQEVSPYLSKKEADSIPFSMSQLPSILQLFSIPEESPQAKSMRGALEECEGETVTGETKICANSLESMLEFVDTIIGSNVKYSILTTSNPSPRTTPLQKYTVLEVSHDIDVPKWVACHPLPYPYAIYYCHYIATKTKVFKVSLVSDENGDKMEALGVCHFDTSEWNPNHIIFKQLGIKAGKNSPVCHFFPVNHLMWIPI >RHN80582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39178902:39183703:-1 gene:gene4522 transcript:rna4522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative U11/U12 small nuclear ribonucleoprotein 48 kDa protein MNPPPAQLPPPSQLPPPLSPPPQQHPPPLLPPPPPLNLNTTLSSLTNLLTFSTQILSTTPQPQTPTTNLIPCLFNPNHLIPPPSLFLHHLRCPSSPRPLPHIDHLLTSLSYPKTLQNPTSIHLSSYLDFTNFFYNNCPGVVTFSDANSVAQTATFHLPDFLSRECSTVCSPTPNHKPSPIVPSEYYYITREIESWNNFPITYSNSVFRAIFGIGIAKESEMVNWLLSNSSRYGIVIDTSMQKHIFLLFCLGFKAILRDAFLLNNVLMWLESQVSILYGVSGKLFVLNFVKKCILVGASALLLFPLGNEGGESVGSKEGKSDTNCIRERKILMPQVVAAVAALHERALLERKIKEFWFSHPSNNYQLKAEHCYLSDKAKEERNKRADYRPIIEYDWVHQQHSHHQETQKEKTREELLAEERDYKRRRMSYRGKKRNQSTIQVMRDLIGEYMEEIKLAAGVKSPVNVSEDSGMPPPPPKLLSSHTISTEANNSREVSHDSPAVTISNPDHHEQQSHTNYSDKSKVVHDATSRDYEQRKQGHQGSHHHGGDERGTDQENHRSHASTSPERHRSRSRSHEHRVHHEKQDYSGRKKYNNSSRTKDRWQNDTHKNHTSDSFSRSDPSESRGVGEHDISSDDKYIKPDKF >RHN47062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36875815:36877414:-1 gene:gene41617 transcript:rna41617 gene_biotype:protein_coding transcript_biotype:protein_coding MVWVLWCNPEGTLQPTKVLIADVSATKMTGAPTTFVRDLFRWSFVIYWDGGRIFP >RHN56968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35342181:35343248:1 gene:gene32440 transcript:rna32440 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVEWVNLPLELWLVIAKNMNTTIDVIRFRSICNLLRSIIPPPLQSPSYRLRTLDDKFWQLVQTKVYRLEPLIPTCSSNSFSNIGWIIKVEESKSGKFRHLDVLTNTSITHTYPFNVLDFMNLRVRELFQAYNFYSSKYVCHWTTFQPGNDVNKVVLFPVEGRGQMAFVLNKDKKLRVCNVGYNNLIIVGDGNRVYDDLILYMGKVYVVDTNGIIFRINCSSFTLVQSSPPLNSGGKKKYLVESNGRLFVVDMYYERKRLNAYDLNIDTSVLIVDNDLSRWFRVSDLGDDLFVLGKDSNFSLSAKDYYGFERNCIYFHCRNRIVRISLNNSEIKYVDHISWLCPTLFNFNVCH >RHN56184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28574235:28578780:-1 gene:gene31545 transcript:rna31545 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKFGSHMQTLVQTGKMDRLEQEVHELRGEVTTLRAEVEKLTSLVSSLMAIKDPPLVQQGPQALCQPICMKRSRQQGSQWFIPQNQSPLPLIPQNQAQKASQCDPFPVKYADLLPILLKTNLVQTLSPPHVPNTLPPGYRPDRNCAFHQGAPDHDTKQYYPLKEKVQKLIENNAWSFEDPDIKMLLQQQHLASHSVAAVTPITNVQDPGYQPQFQPSQQQYMAPLSVSAVRPIMNAVQDLGYQSQFQQYQQQPRLQAPRIKFDPIPIEYAKLLPYLLERNLVQTRPPPPIPKKLPARWRPDLFCVFHQGAQGHDVERCFSLKIAVQKLIEDDLIPFEEFGSECAS >RHN78347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14307580:14310270:-1 gene:gene1891 transcript:rna1891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MHDENSTPPCSGENQKQFVCPEIGCGKVFRYASQLQKHEDSHVRLETIEVVCLEPGCMKFFTNSECLKAHVKSCHQYVTCDTCGTKQLKKNMKRHLRTHEASNSSEAFKCEFEGCDSTFSTKSNLHKHEKAVHFQVKPFVCGFPNCGMRFAYKHVRDNHEKTAKHAFALGDFEEADEEFRSRPRGGAKRKCPPTVEMLVRKRVAPPSQLENLLFVQDCR >RHN44553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6113604:6114121:1 gene:gene38685 transcript:rna38685 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIPLISHSSIENIACVCTNIIMKLGRSIILFVVALFVLFSLTYGSDVVHPDMNFCPRVLKGLCGPCLDSCSFKTCEEEFNSRYKGAQPRSCRCDTTGNTHMCSCWIRCG >RHN64596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60277372:60279382:1 gene:gene27424 transcript:rna27424 gene_biotype:protein_coding transcript_biotype:protein_coding MISSNSCMNMIMQKPSSAMKELISKQVVQTKQMISKQAVKIVKRAEEHEKLIAKVTHLVGVLGYGSFCFLLGARPQDIPYVYCLFYVFFVPLRWIYYKFKKWHYYLLDFCYYANTIFLVDLLFYPRNEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKIVGVLLHLLPGIVIFTIRWWNPATFEAMHPEGSLQRVTWPYVEDKSYLWTWLFLVPLVAYILWQVLYFLIVNVLRRQRLLRDPEVMTSYRELSKKAKKANNIWWRLSGLFGDQNRMLMYIFLQGIFTIATMALAVPIFLSYELHVIFQILKVSASVWNGGSFLLEQKYTAGSIQFCKVK >RHN38862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2491957:2492823:1 gene:gene44832 transcript:rna44832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MAWKTLFVLCFFLIAALSSQEGVVKVEECEKPSALFSGVCVDKPANQQCDYLCRKGEKLLSGSCKNKKCVCVC >RHN77029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3363660:3365695:-1 gene:gene436 transcript:rna436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MEEVKAHMLFGKYEMGRVLGKGTFAKVYYAKEISTGEGVAIKVVDKDKVKKEGMMEQIKREISVMRLVKHPNIVNLKEVMATKTKILFVMEYARGGELFEKVAKGKFKEELARKYFQQLISAVDYCHSRGVSHRDLKPENLLLDENENLKVSDFGLSALPEHLRQDGLLHTQCGTPAYVAPEVVRKRGYSGFKADTWSCGVILYALLAGFLPFQHENLISMYNKVFKEEYQFPPWFSPESKRLISKILVADPERRITISSIMNVPWFQKGLCLSTTTTANDDFELESKVNLINSTPQFFNAFEFISSMSSGFDLSGLFEEKKKRGSVFTSKCSVSEIVTKIESAAKNLRFKVKKVKDFKLRLQGLIEGRKGKLAVTAEIYEVAPELAVVEFSKCSGDTFEYVKLFEEDVRPALKDIVWSWQGE >RHN46666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33904602:33904955:1 gene:gene41185 transcript:rna41185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MASSMLLKVTCLAMICLVLGIPLANAAPSCPAVAQTLTPCLPHVSNPGPSPPQPCCNRVKTLNSQAKTTQDRHHVCGCLKSLMAGIPGLNLPAFASVAKDCGVDIGYIISPNTDCSK >RHN63646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52907668:52908810:1 gene:gene26371 transcript:rna26371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase, beta-propeller MSRKSSEILDDPKEREKQKNLENHADDSSLLISQLDRDASIHCLLRVSRSDYGSIAALNRSFRSLITTGELYQLRRKMGIVEHWVYFSCDVLKWEAYDPNRDRLMQLPKMSSNICFMLSDKESLAVGTELLVFGREITGLAIYKYSILTNSWLKGMKMNTPRCLFGSASLGEIAILAGGCDQHGNILSSSELYNSDTGTWEVLPDMNTPRRMCSAVFMDEKFYVLGGVGVDKTTQLTCGEEFDLKTRKWRKIPNMCPPRNGGDGANETPVSGEAPPLIAVVKDVLYAADYSQQEVKRYVKEENSWVTIGSLPERVTSVNGWGMAFRSCGDKLVVIGGPSLYGGMVTEVNAWVANEGAPQWNLLAIIQSGSFVYNCAVMGC >RHN44933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10091319:10092911:-1 gene:gene39113 transcript:rna39113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MHFLLNSAFKRYWLQNTSTHKFQLLSVSLFSTLHPISTPPLLQDLCDIVTSTVGGLDDLESCLNKFKGSLTSPLVAQVIDSVKHEAHTRRLLRFFLWSNKNLSNDLEDKDYNYALRVFIEKKDYTAMDILLGDFKKQGRVMEAQTFGVVAETYVKLGKEDEALGIFKNLDKYKCLIDEFTVTAIINALCSKGHAKRAEGVAWHHKDKIKGALPCVYRSLLYGWSLERNVKESRRIIQEMKTNGVTPDLVCYNTFLRCLCERNLRNNPSGLVLEALNVMMEMRSYKVFPTSISYNILLSCLGKTRRVKESCQILEAMNKSGVAPDWVSYYLVSRVLFLSGRFGKGKEIVDQMIEKGLVPNHKFYYSLIGILCGVERVNHALDLFEKMKGSSVGGYGPVYDVLIPKLCRGGDFEKGRELWDEGTYMGITLQCSKDVLDPSITEVYIPKRPEKINVVDSPKAKSQQKLSNYFERMKMRKAAAWKKKTKKKSEAS >RHN75134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39647053:39651269:1 gene:gene11294 transcript:rna11294 gene_biotype:protein_coding transcript_biotype:protein_coding MEANATSNDEDEYVLLDLDGVYGLIDIPPNANYVLKGLDTLNPILIIDDKFKLIGEYEETIGTCIAFSEQHAPVVHKETGPSETNLFSGTRLIDSSQPPTKQVEPVCQLHKVLKFKLSPDSAIQCRTDEEAS >RHN79792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32241712:32244020:-1 gene:gene3630 transcript:rna3630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MALLKLGSKSDIFRRDGHTWVCTTGLTSDVTIAVGETSFFLHKFPLLSRSGLLKKLFADSTNEDGSNSILQLHDVPGGDKTFEIITKFCYGVKLEITPLNVVSLRCAAEYLQMNENYGERNLIEVTKKILDEVFSNWPDSIKALQTCEEVKSYAEDLHIISRCIDSLTIKACSEDFSAETKSQASSDDWWYEDLCLISLPLYKRLIVSIESKGMKPENVAASLICYLRRYIPLMNRQSSFNDKTSVSQGTTPSRSSEGNQRALLEEIVGLIPNKKSVIPSKYLLRLLRTAMILHASSSCIENLEKRVGSQLDEVELVDILIPNMGYSVETLYDIDCIQRIIDHFMSMYNPGTTSTTPLCITGEGSLIGGVDALTPMTIVANLLDAYLTEVALDVNLKLPKFQSLAASIPDYARPLDDGLYHAIDVYLKAHPWLIDSEREQFCRLMNCQKLSLEASTHAAQNERIPLRVIVQVLFFEQLRLRTSISSWLFVSDNLETSQSANFGFSRSNGNGQVDPTQGGENLRDRVSELEKECSSIRNELKKLTKTKKGWSIFPRIFLRRKSS >RHN44892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9538365:9539983:-1 gene:gene39066 transcript:rna39066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQKEKSMARILYFFFAFLIFVSLFMVATNESIPEVLPCLFSNECPPDLCPIDLFPKCINLSCQCSAEFYNID >RHN59379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10081093:10086114:-1 gene:gene21405 transcript:rna21405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-16S rRNA nuclease, ribonuclease H-like domain-containing protein MWTQQQLVQPVPPLKLFHSFQPSNHAKIQSLSKLNSTQNLKTPLTLEELPPNALRRKKDAEWRGGFSLGVDLGMARTGIALSKGFTFRPLTVLKLRGQKLEVRIMNIAEEEEADEFIIGLPKSSDGEETIQSNIVRSVAGRLAIRAAERGWRVYLHDEYGTTNAAIDRMINMGVNRSQQKKQDAYAAVMLLERYFSTSGQKTELVVPKNLELQGKLRTGPPRDDDYFSDED >RHN40746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20185558:20186824:1 gene:gene46943 transcript:rna46943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MISFEIKKQVVLIYLWLWWSTTTSICGNATKDSLKPGDTLNSNSTLCSKQDKYCLCLNSSIGHLIIRTLDGAVVWMYDRNQPIDIDSSVLLSLDYSGVLKIEFQNRNLPIIIYSSPQPTNDTVATMLDTGNFVLQQLHPNGTKSILWQSFDYPTYILISTMKLGVNRKTGHNWSLVSWLTPSLPTPGKFSLVWEPKERELNIRKSGKVHWKSGKLKSNGIFENIPTKVQRIYQYIIVSNKNEDSFAFEVKDGKFARWQLTSKGRLVGHDGEIGNADMCYGYNSNGGCQKWEEIPNCRENGEVFQKIAGTPNVDNATTFEQDVTYSYSDCKIRCWRNCNCNGFQEFYGNGTGCIFYSWNSTQDVDLVSQNNFYVLVNSTKSAPNSHGT >RHN71898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3446348:3453756:-1 gene:gene7546 transcript:rna7546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative allantoinase MDQLLWRVLPLLTLLISFSLFFYLQSPIIKLPGDECSLLPYSHYWISSKRILTSQGFISGSVEINEGKIVSIVEGYGKKDNSVHQVIDYGDAVVMPGLIDVHVHLDEPGRTDWEGFVTGTQAAAAGGVTTVVDMPLNNHPTTMSKETLELKLEAAEDKIYVDVGFWGGLVPENAQNTSILEGLLDAGVLGLKSFMCPSGIDDFPMTTIEHIKEGLSVLAKYRRPLLVHSEIQQDSESSSELEGNGDPHSYKTYLDTRPPSWEEAAIKELVDATKDTRIGGSLEGAHVHIVHLSDSSASLDLIKEAKRRGDSISIETCPHYLTFSSEEIPDKDTRYKCSPPIRDASNREKLWEALLDGHIDLLSSDHSPTVPKLKLLKEGDFLKAWGGISSLQFDLPVTWSYGKKHGLTLEKLSLLWSQKPAKLAGLESKGAIAVGNHADIVVWQPEVEFDLNDDYPVFIKHPSLSAYMGSRLAGKVLDTFVRGNLVFKDGKHAPAACGVPILAK >RHN54971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14085911:14090274:-1 gene:gene30085 transcript:rna30085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 3-dioxygenase MSVRDIRFSFNKCRCYKEHKRPHLSEVTFSDSIPIIDLSHLDVVHKISKACEEFGFFQIVNHGVPDQVCTKMMKAITNFFELAPEERKHLSSTDHTKNVRLVNYLLRVDGGETVKLWSENFVHPWYPMDDIISLLPENIGTQYREAFTEYAKEISSLVRRLLSLISIGLGLEEDFLLKKLGEQPRQRAQANFYPPCSNPELTMGLTEHTDINALTVLLQSEVSGLQVNKDGKWISIPCIPNAFVINLADQIEVLSNGRYKSVLHRAVTNKVHSRMSMAMFYGPNSDTIIGPIQELIDEEHPQKYRNYRFSDFVEEFYCHGTKKTIVATPSNQDDYYPSF >RHN49650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:62800:64756:-1 gene:gene33853 transcript:rna33853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Actin family MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYMMKILTERGYSFTTSAEREIVRDMKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLYQPSMVGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >RHN69806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46401867:46404358:1 gene:gene18322 transcript:rna18322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chalcone isomerase MKSLTLQRSLLTNPFLSLVMVRITDMEIHFLQVKFYSIGVYLEPEVVNHLQQWKGKPAKELEDNDDFFDALISSPVEKAIRLVVIKEIKGAQYGVQIETAVRDRLAADDKYEDEEEEALEKVIEFFQSKYFKKHSVITYHFPANSPTAEIVVSLEGKEDSKYVIENANVVETIKKWYLAGSRAISPSTISSLANHLSEELSK >RHN44208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2416726:2416875:1 gene:gene38298 transcript:rna38298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldolase-type TIM barrel MEEYIMRGASAVVLSDAIFDKEAISQCNFSKIYKLAQSATLLGNQAVNR >RHN66352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11284472:11286842:-1 gene:gene14318 transcript:rna14318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase sigma-70 like domain-containing protein MSLTSLPTFPSLKTHHSLQQNLPTITPSKFGTNLVYGDALVIVAATEAVALANDAVNAARNAAAAGIGIDDMRRKRRRKRRKNLGCMVEEENIQNNFLRQKVVVGSVTSGFLSSTDEAELCLCLKEGAKIEVAKQRMTEQEGNSAISRFTLENTSVDKVLINTRKSKERLARDYRGLVASIAAHYQGKGLSIQDLIQEGTIGLLHGAEKFDPNRGCKLSTYVYWWIKQGIIKALAKKSRLIRLPGEKYGMVAKIAEANNVLRRRLRRKPTYNEIAEVLNVNVSTVKLVSERSRQPISLDRSITDQSNLILKEIIPGPVEMIPEKMVERQLMKQGVVKLLNTLDKREEEIVRLYFGLNGETPLSFEEIGKLLKLSRERIRQIHGIALSKLEQNTLVDSLKFYVV >RHN68014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32121361:32125122:-1 gene:gene16290 transcript:rna16290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MLSPWCCSTPFTTVTLTSTTTRRYSFTSHTPQFPYSSRFSITPSYLHFRIPCSNKTTGKSTESTDLELVDCVGTGQDVECLLTEEDEGKTKDESSSKRLCLGEALWEGAVLISPFFFWGTAMVAMKEVIPNYGPFFVASFRLIPAGFLLVAFAAFRGRALPSGFNAWLSIAIFALVDAACFQGFLAEGLQKTSAGLGSVIIDSQPLTVAVLAALLFGESIGVVGAAGLVLGVVGLVLLELPALSFDGSNFSLWGSGEWWMLLAAQSMAVGTVMVRWVSKYSDPIMATGWHMVIGGLPLVAFAILNNDPAVSGSLKEYSSTDVLALLYTSIFGSAVSYGVFFYSATKGSLTKLSSLTFLTPMFASIFGFLYLGETFSPVQLVGASVTVAAIYMVNFRNTSE >RHN45213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13091660:13092349:-1 gene:gene39443 transcript:rna39443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MFGTPNNLTQDPPLLRFTFGYDNSTDTYKVVAFSSKEVKVCSLRDNVWRNISFHVVIYLGIMFNEGVYLNGTVYWFSNPNKSFKYSEKDFTVEQLMIISLDLSTEIYTRLLPPREVDEVPVVQQSLAVLRDRLCFSHMLKRTYFVVWQMTEFGVEQSWTQFLKISCQNLQRYRELFPLCLSENGHTLILLCFGGNDVILYNLRENRVEKSSGYTWCDAKDYVESLASIC >RHN77027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3348671:3351468:-1 gene:gene434 transcript:rna434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MDLLIVCILILSIASCNSWVVNGEDTLNVIHYGAKGDGRTDDSKAFVDAFKALCGGRYGNTLVVPNGHSFFVRPTLNFSGPCYSKNINIKIMGNILAPKRSDWGRECSLMWLHFFNISGLTLDGSGVINGNGEGWESREKGIGGCPRIPTALQFDKCDGLQINGLTHINGPGAHIAVIDSQDITISHIHINSPKKSHNTDGIDLTRTIGVNIHDIQIESGDDCIAVKGGSQFVNVSNVTCGPGHGISVGSLGGHGSEEFVQHFSVKNCTFNGADSAVKIKTWPGGKGYAKHIIFEDIIINQTNYPVFIDQHYMRTPEQHQAVKISNITFSNIYGTCIGEDAVVLDCAKIGCYNITLNQINITSINRKKPASVKCKNVHGTANDIIAPHGLCVTN >RHN39011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3544056:3549809:1 gene:gene44996 transcript:rna44996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-deoxy-D-xylulose-5-phosphate reductoisomerase MALNLPSPAQVKPSFFSDIINPVITPTKLPGSFALKRKEGDTKVVKRRVYCSAAHSPQPAWPGTAIPEPSDFKTWDGPKPISVLGSTGSIGTQTLNIVAEFPERFRVVGLAAGSNVTLLAEQVRIFKPELVALRDESKIDELKEALAGIDHKPEIIPGEQGVIEVARHPDAATVVTGIVGCAGLKPTVAAIEAGKDIALANKETMIAGGAFVLPLAHKNNIKILPADSEHSAIFQCIQGLPKGALRKIQLTGSGGAFREWPAEKMKDIKLKDALKHPIWSLGRKITIDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSLVETQDSSVIAQLGLPDMRLPLLYTLSWPERVYCSEITWPRLDLTKYGTLTFQAPDTKKFPSVNLCYAAGRAGGTMTGVLSAANEIAVELFVAEKISYLDIFKIAELTCNEHQKELVTSPTLEEILHYDQWARQYAAGLQKALSV >RHN64973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63379891:63380214:-1 gene:gene27857 transcript:rna27857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative coatomer beta subunit (COPB1) protein MILFCEGLRRNIQSPNEFMRGVTLRFLSRINEYKILEHHHPFVRRNAILAVMSVYKLPQGEQLLINAPEIVEKFLEAEQDPSCKRIAFLMPFSCAQDRAIKYLFSNI >RHN57953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42728848:42729258:1 gene:gene33546 transcript:rna33546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (+)-pulegone reductase MYTIFCSVSIVDRYFPEGIDIYFDNVGGHMLEAALLNMRRRGRIVVAGMISQYELDEPQGIKNLINIIYKQIHVDAFTVYDYYHLYPKFLDTILPYVREGKIAYVEDIAIGIESGPAALEAMFTGKSADEQVVLVC >RHN64886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62683561:62683888:1 gene:gene27758 transcript:rna27758 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNENQAMKETPPRPHSTDVLHQRKKVPFCPMRMAIGGFAAISVLGYFVLYANKKPEASALDVAKVSTGMAHPENTHPRN >RHN57618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40576207:40577787:-1 gene:gene33194 transcript:rna33194 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRLITSCTRYLIRCKTSIGRWILVCLAKSPREISKERSLNRVMFM >RHN48955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51344837:51348508:-1 gene:gene43730 transcript:rna43730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MASGWGITGNKGRCYDFWIDFSECMSTCREPKDCVLLREDYFECLHHSKEFQRRNRIYKEEQRKLRAAARKGQDDGKVAEHH >RHN51228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15230550:15231806:1 gene:gene35627 transcript:rna35627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:matK MGAKRLKICTGSQIVASQVIDIRIPYPIHLEILVQILRYWVKDAPFFHLLRLFLYNFSNWNSFITTKNSISTFSKSNPRLFLFLYNFYVCEYESIFLFLRNKSSHLRLKSFNVFFERIFCYAKREHLVEVFAKDFSYTLTFFKDPLIHYVRYQGKYILASKNSPFLMNKWKHYFIHLWQGFFYVWSQPRTMNINQLSEHSFQLLGYFLNVRVNRSVVRSQMLQNTFLIEIFNKKLDIIVPIIPLIRSLAKAKFCNVLGHPISKPVWADSSDFDIIDRFLRICRNLSHYYNGSSKKKSLYRIKYILRLSCIKTLACKHKSTVRAFLKRSGSEELLEEFFTEEEEILSLIFPRDSSTLHRLNRNRIWYLDILFSNDLVNDE >RHN66865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20464680:20466898:1 gene:gene14976 transcript:rna14976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MEKKATPSRRKRKRMKFQYIPQEEESVTITNQTNVSLNIVKHLFSKQSDNNIMFSPLSLQVVLSLIAAGSEGPTQQQLLHFLRSESTNNLNSFVAKLVSITLSDAAPAGGPRLSFFNGLWFDQALSLKPSFEQIVSNDYKATLDSVDFQNKAVEVTNDVNLWAKKETNGLIEEILPSDSVNNLTKLIFANALYFKGVWDQQFDASETKDYDFHILNGNVIKVPFMTSNEDQFICAFDDFKVLRLPYRQDGLSALIERVASQSEVLHQNLRRFSQKRVGDFRIPRFKVSFGFETSDMLKELGVVLPFSPGGLTKMVDFLEHQDLFVSRIFHKSFIEVNEEGTEVAAVTAAVFGVKGVSPRVDFVADHPFLFLIREDLTETILFVGQVLNPFVE >RHN39590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8499831:8507595:-1 gene:gene45629 transcript:rna45629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-V family MSVDPWLMLMLMFIISSSCTFVINGDTDPNDVSALMALFQSMNSPSQLNWNGNDPCGQSWTGITCSGNRITEIKLPGRQLTGTLGFQLQSLSSVTNLDLSNNNLAGTLPYQFPPNLQHLNLANNNFNGGIPYSFSDTPSLISLNFGHNQFQQALNLNFQKLTSLTTFDVSFNSLTGDLPQTMNSLSSISTMNLQNNQFTGTIDILADLPLDNLNIENNHFTGWIPEQLKNINLQKNGNSWSSGPAPPPPPGTPPVTKNKGNHKSGGGHSPSSDSGSSDGSKKSGIGGGAIAGIVISILVVGAIVAFFLVKKRSKKKSFGDVEKLDNQPLAPLTSNEVHGRNSTQTSSVIDLKTFDTSAAAISLKPPPFDRNKSFDEDELPKKPIVVKKTVAPPANLKSYSIADLQIATGSFSVDHLLGEGSFGRVYRAQFDDGQVLAVKKIDSSVLPNNLSDDFMEIVSNLSRLHHPNVTELIGYCSEHGQHLLVYEYHKNGSLHDFLHLPDDYIKPLIWNSRVKVALGIARALEYLHEICSPSVVHKNIKAANILLDADLNPHLSDSGLASYIPNTNQVLNNNSGSGYDAPEVGLTGQYTLKSDVYSFGVVMLELLSGRKPFDSSRSRFEQSLVRWATPQLHDIDALAKMVDPALEGMYPVKSLSRFADVIALCVQSEPEFRPPMSEVVQALVRLVQRTNMSKRTFGADQGGSNRGGDDQDSLDM >RHN56963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35287274:35295764:1 gene:gene32435 transcript:rna32435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyridoxal kinase MIHLPLPKTATLLHSFYTLNPNPFQIFPRNSLFSDSRKRNSSMAPPILSLALPSETGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPINSVQFSNHTGYPSFKGQVLNGQQLWDLIEGLEANDLLYYTHLLTGYIGSVSFLNTVLDVVSKLRSINPKLTYVCDPVLGDEGKLYVPQELVTVYRERVVPIASMLTPNQFEAELLTGLRIQSEEDGREACNSIHAAGPSKVVITSINVDGNLLLIGSHLKEKGNPPKQFKIAIPKIPAYFTGTGDLMTALLLGWSNKHPDNLEKAAELAVSSLQALLQRTLDDYKSAGHDPKSSSLEIRLIQSQDDIRSPQITFKAEIYS >RHN82745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56062928:56064227:-1 gene:gene6929 transcript:rna6929 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTPINDRKEREGRDASIYLPNPNMDERDKSDRRNRDRHSERDRDRSHHRSRHDSDDPRHHRSDRNHREDREGSRDRDRVYDIDEREGSKGRSKSKRDEEREDSLERYSSHKRKDREHSEDRELDDKRIRVSEAKKERRRFGDKVKKEDDYYDNEARVKEEVTNGDSKDSIDSLHNGVAVGSPAVVPASVAVTSLAPPPSFPIKMGHLQLLENLEASLVVMP >RHN56221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28928070:28928625:1 gene:gene31588 transcript:rna31588 gene_biotype:protein_coding transcript_biotype:protein_coding MAQELENKLEEEQVRSIGELQKLSIFLTLVTAIFLTGMITITSSSATSAVDARDSLYSMMFSFGIFLHLTFVSFSLRFSLFRFCKTIISCLIAYGLLSGSFLLFHSLHTLLSIIIVGGGTNSYLSLLTFTMILVLCFVIIAAYVFCLVFSIFPLKNGGYSLPTNQ >RHN57129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36717796:36718164:1 gene:gene32629 transcript:rna32629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoinositide phospholipase C MFKRFNRNISFSEQEQLPPSDVKEVFSEFSNGGSALSADQLRQFLVEHQSETNCTEDDSNRIVQNAIQSRKSGVAGDGISGTGEELTLEEFFQFLFYDEFNGPIKSQVCVFPFLHSLLFCFL >RHN81573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47173293:47180821:1 gene:gene5641 transcript:rna5641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polar-amino-acid-transporting ATPase MPTTKEAFGGVESPNVDSSNTHTPPKHSSRESCDLPTLLSSSYPITLKFMDVGYRIKIENKSAKGGCIKKLFTPLESSPSDQRSTQERIILNNVTGIAYPGEILAILGPSGSGKSTLLNSLAGRLHTHNLTGTILANSSKLDRTILRRTGFVTQDDILYPHLTVRETLVFCSMLRLPRQLTRETKVAAAESAIAELGLTKCENTIIGNSFIRGVSGGERKRVSIAHEMLVDPALLILDEPTSGLDSTAAHRLVSTLGSLARKGKTVVTSVHQPSSRVFQMFDRVLVLSEGNCMYYGKGAEAMRYFESVGFAPSFPVNPADFMLDLANGVCHVDGVGEKDRPNIKQNLINSYNTVLGPKVKSLCMDTTNASTKDNHHPSRTSSSKERRYNDRVNIFDWFYQFSILLQRSLKERKYESFNTLRVFQVVAAALLAGLMWWHSDYKNVQDRLGLLFFISIFWGVFPSFNSVFAFPQERAIFVKERASGMYTLSSYFMARIVGDLPMELLLPTIFLVITYWMGGLKPDLLSFLLTLLVVLGFVLVSQGLGLALGAAIMDAKQASTVAAVTMLAFVLTGGYYVHKVPSCVAWIKYISTTFYSYRLLTRIQYGDGKKIANLIGCNHVGSTSDGANCKFLDEDVVGQIGTMGCIGVLFFMFVFYRLLAYLALRRIKS >RHN66652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15741167:15742925:1 gene:gene14690 transcript:rna14690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TLK family MANRYNKYLILNHRYALPNLLGKGGFSEVYKAFDLVEHRYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLIHRHIVRLWDIFEIDPNTFCTVLEYCSGKDLDAVLKATPILPEKEARVILVQIFQGLIYMNKRALKIIHYDLKPGNVLFDELGIAKVTDFGLSKIVENDVGSQGMELTSQGAGTYWYLPPECFELSKTPLISSKSFWSMDISF >RHN64074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56380400:56381169:1 gene:gene26854 transcript:rna26854 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDSTLESPHSLKYKLKSSLCFSCCFSHHRVKPRIVRSSSLRTHNRNHNNNSRSIDLPHLKEKCTNFISRIVHHRRRHSADFHYDALSYALNFEDDANDEKSVDDLRSFSARLPASPPLSPKAAVNDTVKIAAIS >RHN60033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17286029:17286993:-1 gene:gene22228 transcript:rna22228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MTGKLMPDASLNPTSLVVGAIASAFALSSVLYIAWDISGGHVNPAVTFAMAVGGHISVPTALFYWVAQLIASVIACLFLKVIVVGMHVPTYSIAEEMTGFGASILEGILTFVLVYTIYAARDTRRGQVSSTGTLVIGLIAGASVLAAGPFSGGSINPACAFGSASIAGTFRNQAVYWVGPLIGAVVAGLLYDNVLFPSQNSDCIRGVSDGTVRV >RHN57924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42607218:42610543:1 gene:gene33515 transcript:rna33515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MMTMSLRRRSTSYFRNLTTETTTKQDPKDQNFTQTLNEICTITRSKPRWENTLISQYPSFNFSNPKFFLSYLKHQNNTFLSLRFLHWLTSHCGFKPDQSSCNALFDALVDAGAVKAAKSLLEYPDFVPKNDSLEGYVRLLGENGMVEEVFDVFVSLKKVGFLPSASSFNVCLLACLKVGRTDLVWKLYELMIESGVGVNIDVETVGCLIKAFCAENKVFNGYELLRQVLEKGLCVDNTVFNALINGFCKQKQYDRVSEILHIMIAMKCNPSIYTYQEIINGLLKRRKNDEAFRVFNDLKDRGYFPDRVMYTTVIKGFCDMGLLAEARKLWFEMIQKGLVPNEYTYNVMIYGYCKVRDFAEARKLYDDMCGRGYAENVVSYSTMISGLYLHGKTDEALSLFHEMSRKGIARDLISYNSLIKGLCQEGELAKATNLLNKLLIQGLEPSVSSFTPLIKCLCKVGDTEGAMRLLKDMHDRHLEPIASTHDYMIIGLSKKGDFAQGMEWLLKMLSWKLKPNMQTFEHLIDCLKRENRLDDILIVLDLMFREGYRLEKSRICSLVTKFSKKNFPFPHLCLEELL >RHN66408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11981803:11982024:1 gene:gene14379 transcript:rna14379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I MPRCVCISSNINCPRHFVATFQTKNVEALTCPNSGSLCSPFEVPACGNTIDCRCVPYFLFGGICHSPTSSLNE >RHN63367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50718228:50723463:-1 gene:gene26059 transcript:rna26059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MRKPMSSSSIDHTLLLLLLCFLLQKPTQASKKSYIVYLGPQSYGTGLTALDIESVTNSHYNLLGSYVGSTDKAKEAIFYSYSKYFNGFAAVLDEDEAAMVAKHPNVASIFLNKPRKLHTTHSWDFLGLERNGVIPKGSLWSKSKGEDIIIGNLDTGVWPESKSFSDEGVGPVPTRWRGICDVDIDNTDKFKCNRKLIGARYFYKGYLADAGKSTNVTFHSARDFDGHGSHTLSTAGGNFVANASVFGNGLGTASGGSPNARVAAYKVCWPPLAVGGGCYEADILAGFEAAILDGVDVISASVGGDPVEFYESSIAIGSFHAVANGIVVVSSAGNTGPKPKTASNLEPWSITVAASTTDREFTSYVTLGNKKILKGASLSESHLPPHKFYPLISAVDAKADRASSDDALLCKKGTLDSKKAKGKIVVCLRGDNDRTDKGVQAARAGAVGMILANNIESGNDVLSDPHVLPASHLGYDDGSYIFSYLNNTKSPKASISKVETKLGQSPSPIMASFSSRGPNIIDPSILKPDITGPGVDIVAAYSEAASPSQQKSDKRRSPFITLSGTSMSTPHVSGIVGIIKSLHPDWSPAAIKSAIMTTARIKDNTGKPILDSTRINANPFAYGAGQVQPNHAVDPGLVYDLNITDYTNYLCNRGYKGSRLTIFYGKRYICPKSFNLLDFNYPSISIPNLKIRDFLNVTRTLTNVGSPSTYKVHIQAPHEVLVSVEPKVLNFKEKGEKKEFRVTFSLKTLTNNSTDYLFGSLDWSDCKHHVRSSIVIKLQN >RHN81097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43306841:43307305:-1 gene:gene5094 transcript:rna5094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MASISKTVLFVSMLLTILFAFHFKDGESIFFVVPKVTVYVTNNLTNYVQLGVHCKDKNNDIGFQSLHFAESYTFTFRPAYMSYRSLYFCGFSFNNEFHRFDIYVQKRDQTKCEHECHWQIKESGPCKINDGSTECFPWNPNVVEDRQLGHTLNV >RHN65340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1301650:1302635:1 gene:gene13166 transcript:rna13166 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSEDEQIQKQEQRLLLSCIGDLEENPVLNGSLDNFTSCCQPNGVSCCQENGNYSFCQSQVSVDERMSSDVIETEAKLSADNNKSSKASVSRINSGKGASCRSRSMTSWLDGWEQEDTYAALAVVCAAVSVTIAYNCCKQLT >RHN50080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3914472:3917329:1 gene:gene34332 transcript:rna34332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MAPFVLTVSQNGTGNYRTVQEAIDAVPLRNTRRTIIRISPGIYRQPLYVAKTKNFITFVGLCPEDTVLTWNNTANKIDHHQGSKVIGNGTFGCGSTIVEGEDFIAENITFENFSPEGSGQAVAVRVSGDRCAFYNCRFLGWQDTLYLHSGKQYLRDCYIEGSVDFIFGNSTALLEHCHIHCKSAGFITAQSRKSPHEKTGYVFLRCAITGNGGSSYAYLGRPWGPFGRVVFAFTYMDNCIKPAGWNNWGKVENEKSACFYEHRCFGPGFCPSKRVKWARVLKDKEAEEFLMHSFIDPEPQKPWLAQRMALRIPYSA >RHN52172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32341610:32342711:-1 gene:gene36808 transcript:rna36808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKNMAQFLLFIYSLIIFLSPFLGEAVFKRTETGEIIWTLPCATDTDCPKMGEPMYFKCLNGFCLEHIRELHD >RHN73991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23274921:23275997:-1 gene:gene9911 transcript:rna9911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQGGEYMDKRLKFVYVIVHFLALFLAVMNVEAHFQCHIDSECENQIKCVLPRVAKCVRYKCDCVRFDAEQDPWSART >RHN72900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11643258:11650892:1 gene:gene8666 transcript:rna8666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small monomeric GTPase MDNEGEKERGDVGVVEESVVGSNEVKNLEGEEVFEEAIDPLKHFDNQGAGAVGSLPSDLVDVAATVSSLPSDSVDEIGDNVEELDNFHESVGVVDEFVEHLNEEGVEVIDNQEESVDQQVKLYSAFLDGTEETEDGVSCEESNGTKDDCSGGKELADLNTDGSTVFQEGRELVNGNSGLSSEEIENEDVEFVTPRQNGGMVLENGSTDKVGYAVDELHTEFGSDEEMRNQGAEAGYLKESGLDPDVGDDKIEEQFNASGDLSSEILDDTGEKAHRHSAHEDLEPRHKIFTEVEDETIDTDIIHKDTNDKETGISDSQSTECKVYSNDETEDDDAGSNSEHLETIGEIVGSSLAVDERKVIETSGISSLSENSFASETPTVQATAADSGEESTKVYQSQISNDENHENLSVVERSEVIETGKSSPALDERKVTETVGSSFPSENSFANEMPSVQATAADSEEGSTKVYLSQISNEENQGDNEKSSVVVEPEKIPENNVKEKQTTQITKEQNSELDSSSGKSVATSTPLVRPVGLGPAAPLLEPAPRVAQQPRVNYTVSNTQSQRMEDSSSGEPEATSTPVVRPVGLGPAAPLLEPAPRVAQQPRVNYTVSNTQSQRMEDNSSGEPEENDETREKLQMIRVKFLRLANRFGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLESAGQEPLDFSCTIMVLGKSGVGKSSTINSIFDEVKFNTDAFHMGTKKVQDVVGMVQGIKVRVIDTPGLLPSWSDQPHNEKILHSVKRFIKKTPPDIVLYLDRLDMQSRDFSDMPLLRTITDIFGPPIWFNAIVVLTHAASAPPDGPNGTPSSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNTAGQRVLPNGQVWKPQLLLLSFASKILAEANALLKLQDNPREKPYTARARAPPLPFLLSSLLQSRPQLKLPEDQFSDEDSLNDDLDEPSDSGDETDPDDLPPFKPLTKAQIRNLSRAQKKAYLDEVEYREKLFMKKQLKYEKKQRKMMKEMAESVKDLPSDYVENVEEESGGAASVPVPMPDMSLPASFDSDTPTHRYRHLDSSNQWLVRPVLETHGWDHDVGYEGLNVERLFVLKDKIPVSFSGQVTKDKKDANVQMEMTSSVKYGEGKATSLGFDMQTVGKDLAYTLRSETKFCNFLRNKATAGLSFTLLGDALSAGVKVEDKLIANKRFKLVIAGGAMTGRDDVAYGGSLEAQLRDKNYPLGRSLSTLGLSVMDWHGDLAVGCNLQSQIPIGRYTNLVARANLNNRGAGQISIRLNSSEQLQIALIGLIPLLKKVIGYSQKLQFGQDY >RHN75949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46395210:46399591:1 gene:gene12213 transcript:rna12213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative double-stranded RNA-binding domain-containing protein MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNGEIFESPHYCSTLRQAEHSAAEVALNSLSHRGPSHSLAAKILDETGVYKNLLQEIAQRVGAPLPQYTTYRSGLGHLPVFTGIVELAGITFTGEPAKNKKQAEKNAAMAAWSSLKQLAKETASSSTEPENNDELEQITIARALLNYRLKEKMSMSNPNARIPFQKKFQIQNIRPTSSQSPATTSKILPLICQKTGPRNRPSSATPNENPRSRHPQAAATSDKSILQQPQSSAIESRVTRPLRFPAAGAPPYVPIRQMRPCHGIAPAVSVRTVIPVFSAPPLPPPTSVHQIIRAPPVRIAPPVSIRQAVPVFAAPPLRKDEPAPIQKDLPASSTPVEQDKLPAKILEMDKTENNSPPQPETLQSLEQLKI >RHN74528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34406258:34407561:1 gene:gene10615 transcript:rna10615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MKNLILITIFFTFWTCAYQAMSMTVLESSVTAETRHEQWMKEFGRSYEDDAEKEKRFKIFAEKLEYIERFNRDGNETYELGLNQFSDLTYEEFVSAYGCSRLENHLLESSIFNSSEIPTIELPKVPPKRKRSPPSRPPRPPKRRTPPSTPPTTGIPGRVNWREKGAVTIVKNQDKPKQCGSCWAFAVTATVEGIMKIKQNRPLVALSAQELIDCDQGNNGCDGGKASIAFEYIVKNGITRDVDYPYRAQKLGCNRGSKRSFANIKGYAKVEAGEQNLLEAVARQPVAVYISADKHFEDYKGGIYGSGPCKPQTNLKVNHLVTVVGYEEDYWLIKNSYGTGWGETGYMKLKRQGSSPNPVCGIAMVASFYPTTF >RHN75401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42015576:42015951:-1 gene:gene11595 transcript:rna11595 gene_biotype:protein_coding transcript_biotype:protein_coding MISEIITNIKTFNITILRQFIKQILIKLFKMILNFPWINRLSMNIDTRSNHIRTLVHVGEEDSRAYAWLSVETRTSIAVTTCSDLEVEWTVNAVFLCAEN >RHN59523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11659584:11660111:1 gene:gene21565 transcript:rna21565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSSSKEHHPESLATKEDLLDSSAKKITLKSYDGETFDIEEAVALESQTIKHLIDDVSDDTGIPIPNVTGKILAKVIEYCKKHVEYARSNEKPPEDELKKWDAEFVQVDQETLFDLILAANYLNIKSLLDLTCKSVADMMLAAKTPEAIRETFNIKNDYSPEEEQKIRSENQWAFE >RHN74332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31917519:31917997:1 gene:gene10373 transcript:rna10373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MSEIGVEVNGTELWNVQNVDVHYWKHKVIASILDGNNVLHFPRRVAENCLYINQSKILLFDEDGKSYDCDVHTTSKNMHQKYIGRGWYNFVLEKDLKVGDVILFTVNNPPNQVYATVVNWDWDWD >RHN64725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61301987:61309921:1 gene:gene27574 transcript:rna27574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKGGQSYVSCSPSFSNSGDRLLVGSGTSVAIFSTTTALQVSSLDGHTDTVTSVIVVPGSNIVTYCWTSSLDGTIRHWDFSLLKCIKIIDLKLPIFSMVIPSLLSPEEEISEKKHFAYVCMQTGNEKDNRPKLCSAQIRKCNLTDFHKLSTITLKETKKPHPLIVSPCGKFLGIKEKRTLHIWKVPKVDSNSAVSKKISLHHTKTFSVLAFHPTERIVAAGDVTGRILIFRGFGAKNFQENDVLLNRTSMTDEECKPGVRQNDDAESCSTWHWHSDAVNLLSFSSDGVYLYSGGKEGVLVLWQLDTGKKKFLPRIGSPLRYFVDSLDPSFASISCADNQIHILKISSVEIMRSISGIKPPLSSQETCESISSQAAFDRSSGLVAVQTDNYGIQFYSLFANRGLYEVQVCERNYQPVDEVTVVVTMVELSTDGSMMGTVEVKLPEEGIGGLICLKFWDLDSDTKRFSMSTLVYEPHRDAHISAITFHPTCRMAVSTSYGGDFKIWVCREETQQKDQTHRNFSWMCHAVGSYKNKAMRAAAFSADGSVLAVAADTVITLWDHDKNELIAVVGETPSPIGRLIFVGNSEYLLSVSYGSTPQLSVWSMSKLAASWSYRIQIEAVSCALDLSYFAIIALIPKSKERLFKGDGIILVFNATDPIPVASWSVTKAKGGSIAFIKGKTSQTLLAYLNGDREYVLFDPYDKEAHELNMTMEDDLVGLEENGQFGYTSIYPELPAFELKRNKTSSVFSGVSNRPWETIFSGSSHMLPPLTKLCSEFMESLMEKRTSMVE >RHN56383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30469161:30469640:-1 gene:gene31775 transcript:rna31775 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIFPLHTDSEFIGLILVLKDLKKYFILLHAHLIITVGAIYNFSAGGLRARCSFMQLV >RHN64450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59179896:59183303:1 gene:gene27269 transcript:rna27269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIII family MGCFGFCKENDAYTTADKGIFMQTNPNGGNTSYHGRHTAVTVPRHINLQPISVPSITVDELRSLTDNFGTKTFVGEGAYGKVYRATLKNGREVAIKKLDSSKQPDQEFLSQVSIVSRLKHENVVELVNYCVDGPLRALAYEYAPNGSLHDILHGRKGVKGAEPGQVLSWAERVKIAVGAARGLEYLHEKAEVHIVHRYIKSSNILLFEDGVAKIADFDLSNQAPDAAARLHSTRVLGTFGYHAPEYAMTGNLSSKSDVYSFGVILLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVKQCVDVRLKGEYPSKSVAKLAAVAALCVQYEAEFRPNMSIIVKALQPLMNNTRSSQPREPRNL >RHN41671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31978544:31985847:1 gene:gene48016 transcript:rna48016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ceramide synthase component Lag1/Lac1 MDFIDRFNSIDFHHESYPAYQDFYLLPFFALFFPSIRFLLDRFLFEKVARRLIFGKGNEKLDYQTDDRRKRINKFKESAWKCIYYLSAEVLALSVTYDEPWFTNTRYFWVGPGSQVWPDQKIKLKLKTVYMYTAGFYSYSIFALIFWETRRSDFGVSMSHHVATVILIVLSYIFRFARVGSVVLAIHDASDVFLEIGKMSKYSGAETMASSAFILFVLSWVILRLIYYPFWILWSTSYEVLLTLDKEKHQVEGPIYYYIFNSLLYCLLVMHIYWWVLIYRMLVKQIQARGKLSEDVRSDSEDEHED >RHN48764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50047726:50050339:1 gene:gene43516 transcript:rna43516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MLSKFSFRGTLFSKSVRLSGLKNVTTIVFDGLLIENLSTHILPHLFSECLQLEDVTFTNCLLISSIKITSRKLRHLNMIGCGWANDSPSELAIDALNLSSFEYSAYTTRIISFTAPRLLKVFWNTALRKTTPDLFDPIARLPHIENLAVNISTSQIKELTKVLVRFQNLRQLDLLIEGAYDRSRDYFWILDIAMASQHLQKLSLTIKNLHPEHSHIVGFMRQKRECTGFSHTNLKYVEFRGCVGSINVVELASHLLRSATSLGKMTFSSRDKAYIGAGRDGPETLMIVTDTI >RHN77835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9768073:9769482:-1 gene:gene1328 transcript:rna1328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arabinogalactan peptide, AGP MTLSFWVVVFLGLIYASFASMASSQYVAPAPAPAPTSDGTSIDQAIAYVLMLVALLLTYIIH >RHN82298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52648321:52648551:-1 gene:gene6453 transcript:rna6453 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHGIHGLRPLSPFIPILIHLLNKRLRLRATSSEAKFMSPSHGARVFGVRSCGSHFDSHASFHSSLPLRGLRDEN >RHN80405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37772636:37773049:-1 gene:gene4331 transcript:rna4331 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKGVVVDFVVFQNIIYAVTLKANIGVLSLDSPNIKFLKLNSTPNEIYYYNLRLVICDEQLLVVNVRHRQIKKVFKIEGSTMNYVKLKILGDIALFYDADNFRANCHVLSNPSLWGYESNSVYIINQFFTRCSVYS >RHN57159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36984676:36985677:-1 gene:gene32663 transcript:rna32663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MDSPLHHVQETKPTANTVVTTTPSETSNNSTDNNSNNSSSSNTTNNNKSNRKCKGKGGPDNNKFRYRGVRQRSWGKWVAEIREPRKRTRKWLGTFSTAEDAAKAYDRAAIILYGSRAQLNLQPSASSSSSQNSSSSSRNSSSSNSNTTLRPLLPRPSGFSVYNNFVPFGVYNNFHHHHQPVFYNNNNGLVHFHHNPHQEMVQVQVQQHQQYHHQQLNQDFEHASGDSVKSITSYGQNIHSSHHHDQEQHAQNVLNQQHAQHVLNQQQQQMNIQNCVGSSNFGSQNNNDIDGTVDLDPVGDCVGSPNSMWPALTSEEDYTTSLWDYNDPFFFDF >RHN54702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11436860:11440898:-1 gene:gene29777 transcript:rna29777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterol 14-alpha-demethylase transcription factor MYB-HB-like family MMESGGRGGIEGYDGMMMTMTRDPKPRLRWTTDLHDRFVDAVTKLGGPDKATPKSVLRLMGLKGLTLYHLKSHLQKYRLGQHARKQNEEQFKENNRCSYVNFSNHSSGTNTNYGGDNEGGEIQIGEALRQQIEVQKRLEEQLEVQNKLQMRIEAQGKYLQAVLEKAQTSLPQDGPGNLDASKAQLAEFNSALTNFMENMNKDSKENILDMNEFHNKNHAQAFNYQEVIGTEEHKELKPQVEGGAVQLDLNIKGGNEHLVSADGAEMESNMVSYRAKVRKFEEGMLKSSARHLLIN >RHN62979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47239246:47241811:-1 gene:gene25622 transcript:rna25622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative caffeoyl-CoA O-methyltransferase MAANNEKKEQNIHLPHETILKSDALHQYILETSVFPREHPCLKELREMTLTHPKYMMATPGDEGQLINMLIKLINAKNTMEIGVYTGYSLLSTALALPSDGKVLALDVSREYYELGLPIIEKARVAHKIDFRQGPALPLLDELLQDEYNKETFDFVYVDADKDNYINYHKRVVELVKVGGLVAYDNTLWSGSVAVPSDAPMTSYVRNFRGHVIEFNKHLAQDLRIQICQLSVGDGITLCRRII >RHN63556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52186364:52189737:1 gene:gene26270 transcript:rna26270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MDAAGEIFRSNLTELMEESPSGAGELHVLAVDDSLVDRKVIERLLKISSCKVTVVESARRALQFLGLDGENSDVAFDAVKVNLIMTDYSMPGMTGYELLKKIKESSVFREIPVVIMSSENVLTRIDRCLEEGAEDFLLKPVKLSDVRRLKDFIMRGEVKDGEKCSLKRMRSSDDCSPCPSLSTTFSPMRHSCDPPSSVFSPLSPSTLSSKKSRL >RHN43621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47292881:47295197:1 gene:gene50228 transcript:rna50228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative senescence/spartin-associated MSCCFHGSTVMQPMETSIPRSSTIEDYAGHKNLRQEVLIQIPRCKVHLMDEGEAFELAQGHFMVIKTLEENVSLATVIKVEEDLQWPLTKDEPVVKLDALHYLFSLPVKDGEPLSYGLTFSEDSYGSLSLLDSFLKEHSCFSGLKLSNKNDLDWKEFAPRVEDYNHFLSKLIAGGTGQIVKGIFICSNAYTNKVQKGGEMILNSHADKKNGVVAWESKSNKNVGASKKNKINKNLKRVRKLSKMTEKLSKSLLSGVGIVSGTVIGPLVKSQPGKAFLRMLPGEVLLASLDAVNKVLDAAEAAEKQTLSATSKAASRMVSNRFGDNAGEATEHVFATAGHAANTAWNVFKIRKAINPASSASKGVLKNAVKNTKFY >RHN81529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46746268:46747249:1 gene:gene5589 transcript:rna5589 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKEFYSHQEVGNEESTLLQDEYDDYEEEETLSLCDLPNSTISPQRGDFSNYGKDHHDDDDDDNLFEFFSEEFNTSTIHDNIIFCGKLIPFKDHQYVPHNQKNCAKPTSNSKAMKSSNGSIANLKSKRNEEEVKGSVNVKSFAGDYTSMGGKVSLVRSPTKSRWFLFMFGMSSSSRMSSKEMQLSDIRNRQSRSRREPMTMFPTPENGKEVVKSKRNGNSKGMWKILKSISLVLGCSSSKLANDVVKAAFV >RHN48794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50329399:50333036:1 gene:gene43547 transcript:rna43547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exodeoxyribonuclease I MAAIRMIDIAVNFTDGMFKGIYNGKQCHVADIPTVLNRAWAAGVHRIIVTGGSLQESREALAIAETDGRLFCTVGVHPTRCKEFEESGDPEKHFQDLLSLAKEGIQKGKVVAVGECGLDYDRLHFCPAEIQKRYFEKQFELAYITKLPMFLHMRAAAADFCEIVEKNKDRFSGGVTHSFTGSMDDCIKLLSFDKMYIGINGCSMKTAENLDVVKGIPVERMMIETDSPYCEIKNTHAGIGFVKSTWPSKKKEKYDQECIVKGRNEPCSVRQVLEVVAGCKGINDVGNLSRTLYHNTCRMFFPHDLDSAADALLAGDNSS >RHN66889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20968122:20968385:-1 gene:gene15003 transcript:rna15003 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYKLDNIKRLPYPSLLGCVLSSNGVRSDDTLLTKPNPRNGLDIGVVNTMHYYLDGRSNWYYDNGNFWFYDDIVVPVGSSSEEVDA >RHN40503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17002240:17005175:-1 gene:gene46660 transcript:rna46660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MVIPISLCKSAAKKILEELTTLLTNKYNLYSSFEEDFINLKNDMSAISAVLLDAEKKQEKNHLVEDWLKKLQDALHDAEDLLDDINAEALRQKVEAECKIVTVVRNMFFFSDMDVRIKKITVRIDEIANRISSLHLQKLDQHQETASNRQRVNRTISYLRCSTEVVGREEDKKYVIERLLGGGSNSNNVVLPIVGIGGLGKTALVNLIFDDERVKNGFDLRFWVDVSDDWNRDRVWQKKVVRAVDRSDEIVQDIDFLSSCLKVSEKKFLLVLDDVWNCKRKDWLDLKNLFLANCARGSRIVATTRYKITASFMGENEIGNSLYQLGGLADGDCRLLFEKWAFGEGERVQHPNLVKIGEEIVMKCDGVPLAIRTVGGLLSGTKDESYWMSVKNSDTWSMSHLPEEEDGIMAVLKLSYDQLPSPFKECFAYCSLLPKGKEFDKQDLIHLWMAQGFIQPSNNDQLEDVGNWYINEFVSRSIFEIVHENHKTEIVKCRMHDLFHDLAKLVAGNLMVNSVASNMSESTRHISFWDQAAIREDPSLFLKLPKLRTLLLCIKLGPHLNVFLSGSTYLRALDVSNMGITILPNSIGNMKHLRYLNLNGNSELQFLPNSICSLHFLQTLKLSGCRKISTFPRKFSHLVSLRHLVITSPNVFEKQLGTLTSLRSLTIEHCRSLVSMNEVTQNLTLLRTLRIHNCAKLTSLPSSLKNCTSLENLEVVNCPMMESLDVCIESLSSLRSLTIKGLRKLRTLPRKPEFYATSLQYLFIIDCVSLMTLPDFVRNLTSLMRVHIRYCPNLLNLPVGFGHLTSLQVLQIDGCHLLSRRCQRIAGEDWEKIAHVREIYVDNVRI >RHN63966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55440212:55444682:1 gene:gene26731 transcript:rna26731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MIRRFFLLFFLFFTQFASLQAFTGTYGINYGRIADNIPSPDEVVTLLRAAKIRNIRIYDADHSVLKAFSGTGLDIVIGVTNGQLQDMSSSADHALNWVKQNVQAFLPETRIRGIAVGNEVLGGSNNALWGVLLDAAKNIYNATKKLHLDKLIQISTAHSFAVFQTSYPPSSCKFNDNIKQYMKPLLEFFQQIGSPFCVNAYPFLVYIGDPENIDINYALFQPTKGIDDPVYKLHYDNMLDAQIDAAYTALEDAGFHKMEVIVTETGWASAGDQNEVGANVTNARTYNYNLRKRLAKKKGTPHRPKDVLKAYIFAIFNEDSKPGPTSERNYGLFKADGSISYNVGFHGLNAGYSSHLSLKDINTQGLSRSYAITIILCLSTLILMIF >RHN68424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35390766:35393354:1 gene:gene16776 transcript:rna16776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MATPPTTHVDDDDAGSHGEVAGNETQGRVDALSVEARLDAGSANSDQKGANNIAKRSQERIGSAFTRNSLPQDFDDQEILYETCTVSNASQLLVLAPKLQSLRIKDCESLDVLPDGLLDGSTSLKELKLMNCSDLRSIPYPPSLTELYISKCRNFELLRSSKSRENLSFIHRLSIGNSCDSLTTLTLDLFPKLKILFIWNCPNLVSFDVTGIALD >RHN82615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55223340:55228688:1 gene:gene6790 transcript:rna6790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdate-transporting ATPase MSDRLTRIAIVSNDRCKPKKCRQECKKSCPVVRTGRLCVEVTSASKIAYISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFTEPPDWQEILTHFRGSELQNYFTRILEDDLKAIIKPQYVDHIPKAVQGNVGQVLDQKNERDMKERLCADLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLSGFVPTENLRFREVSLTFKVAETPQETAEEAQTYARYKYPTMTKTQGNFRLHVVEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDTIEGGSDVEMPEFNVSYKPQKISPKSQLSVRHLLHQRIRDAYTHPQFISDVMKPLLIEQLMDQEVQNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVAAKVIKRFILHAKKTAFIVEHDFIMATYLADRVIVYEGQPSIDCTANCPQSLLTGMNLFLSHLDITFRRDPTNFRPRINKLESTKDREQKNAGSYYYLDD >RHN45279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14253703:14258833:1 gene:gene39524 transcript:rna39524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MPSVAVKLYSVFFKFLLKHRLQTRIQAQPDSSNSFGITSRPEESVANSNPSFTDGVATKDIHIDPFTSLSIRIFLPQSALSPPEPNSKSKPNPNPNPNYEGGSVNSRRNSYGPPYKEEVKGNGFGGSYGVEGLNLMGSGGGNGIVVGAGLYRGYSPALDNRRRKLPIMLQFHGGGWVSGSNDSVANDLFCRRMAKLCDVIVVAVGYRLAPESRYPAAFEDGLKVLSWLAKQANLAECSKSMGVGRGGGGSHGGEFNKSDGHRHIVDSFGASVVEPWLASHGDPTRCVLLGASCGANIADYVARKSVEGGKLYEPVKVVAQVLMYPFFVGNVPTRSEIKLANSYFYDKAMCMLAWKLFLPEEEFSLDHPAANPLISGRSPPLKLIPPTLTVVAEHDWMRDRAIAYSEELRRVNVDAPVLEYKDAVHEFATLDVLLKSPQAQVCAEDIAIWVKKYISLRGHEFSY >RHN40034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12452802:12459380:-1 gene:gene46126 transcript:rna46126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MGHVNLPPSKRTPTITNIINNYNPRHWRLIDLISAAFFGLVFLFFVFVFTSLGDSLAASGRQTLLMSGSDPQQRLRLVAAIEVGQRVIDACPADAVDHMPCENPRLNSQLSREMNYYRERHCPPVENTVLCLVPPPSGYKVSVQWPESLHKIWHSNMPHNKIADRKGHQGWMKLEGPHFIFPGGGTMFPDGAEQYIEKLGQYIPMNGGVLRTALDMGCGVASFGGFLLSQNILTMSFAPRDSHKSQIQFALERGVPAFVAMLGTRRLPFPAFGFDLVHCSRCLIPFTAYNATYFLEVDRLLRPGGYLVVSGPPVQWAKQDKEWSDLQGVARALCYELIAVDGNTVIWKKSTGDLCLPNQNEFGLELCDESDDPNSAWYFKLKKCVSRISSVKGEYAVGTIPKWPERLTAPPPRSTLLKNVADVYEADTRRWVRRVAHYKNSLNIKLGTPAVRNVMDMNAFFGGFAAALKSDPVWVMNVVPFRKPSTLDMIFDRGLIGVYHDWCEPFSTYPRSYDLIHVASIESLIKDPVSGKSRCNLVDLMVEIDRMLRPEGTVVVRDNPEVIDKVARVAHAVRWRPTIYDKEPGSQGKDKILVATKTFWTL >RHN45794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25649898:25652764:-1 gene:gene40198 transcript:rna40198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-induced basic protein MIYDVNSPLFRSFLSQKGGSSDKRKNEEQKPKEQRFKANENKPVMTE >RHN40728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20031160:20033992:-1 gene:gene46922 transcript:rna46922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S4e MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVLVDGKVRTDKTYPAGFMDVVSIPKTNESFRLLYDTKGRFRLHSVRDDEAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPVIRANDTIKLDLEENKIVDFIKFDVGNVVMVTGGKNRGRVGVIKNREKHKGTFETIHVQDATGHEFATRLVNVFTIGKGTKPWVSLPKGKGIKLTVIEEARKRAAAAQQAVAA >RHN41397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29708441:29709400:-1 gene:gene47715 transcript:rna47715 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTGSNNIEILRVQALSILCTPHPFLEFSGFAPIPDKVDSIRSRKQQIKNDTLFDFDGSSPVQDKVDPFCSTKQQIDNDAFLDFDGFSPAEDKDIYNRSKEQRQDNDEENIEEGEFSFACTKVRGLHIFADEIFENGKIRQIPHTFDQSLFIYPTSNNNVSHLRPPLKKIFIKNSVNRHSMLGGISKESQNESLQNMTMVEIKASNECYEKSNSTGSSNLWKFRQNMNLRSNSDHKDSLVLLNASDPKNSRKPKVENIVNKKRKDEKHKNGLSAYEKLYVSNKTRKDSNKRRSFLPYKRQLFGLFTNMNGLSRNLHPF >RHN80071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34788336:34792910:-1 gene:gene3947 transcript:rna3947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HR-like lesion-inducer MAFSSFLGRVLFASIFILSAYQEYNEFGVEGGPSAKALKPKLDSFAHRIHSQVGFQLPEIDIKLVITGAIALKGLGGVLFIFGSSFGALLLLLHQLIATPIRYDFYNYDNEDKEFTQLFIKFTQNMALFGALLFFIGMKNSIPRRQPKKALKTKTY >RHN50484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7505962:7513700:-1 gene:gene34767 transcript:rna34767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine aminopeptidase, S33, alpha/Beta hydrolase MGGVTSSIAAKFAFFPPQPPSYTLTVSESTATTAAANGTSPATKLLIPEVPMKENVDVVKVKTRRGNEIAAVYVKYHRPACTMLYSHGNAADLGQMFELFVELSNRLRINVMGYDYSGYGQSTGKPTEYNTYADIEAAYKCLKEQYGVKDEQLILYGQSVGSGPTLDLASRISELRGVVLHSPILSGLRVLYPVKRTYWFDIYKNIDKIGMVKCPVLVIHGTADEVVDVSHGKQLWELCKVKYEPLWVSGGGHCNLELYPEFIKHLKKFVQTLGKPKPTTANGSEKEAVVETENQSNKASKESETGTSSTSELSTEIPEASRNSLDSRLKKSKKPDKPEKSRMSTDHVDRFRRRKGLVW >RHN45908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26745462:26748702:-1 gene:gene40335 transcript:rna40335 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVRSCCYFGVEIIFLFCCNISRFLCCALFHKQLRFAAHLRVVFWGLLVRFSGCAFASCSL >RHN76969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2825018:2826820:-1 gene:gene368 transcript:rna368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative von Willebrand factor, type A MAEKKVIVVVESTAAMGPHWDTLQVDYLNKIVKSLCGNEPAVSNAQFALVTYHTHGIYSPVLVQRSGWTRDPEVFLDWLSSISFSGGGLNDTAVAEGLAEALMMFSPSQSESPNQKNVDMPMHCILVAASNPYPLKRPIYVPKKNESIDSESGNQFYDAEDVAKAFPQFSISLSVICPRNLPKIKAIYNAGAVNPPVDAKPPLVLISEGFREARTALSPSGTTNLPSNQIHVKVDDVSVAPVTGAPPSSLPSVNGSIANRQPIPNVSVTPATVKAEPVPVKVEPLPVKVERVVKIERGTTSSGPPVITSSGYLTASTQVGQQSSLDLLMSATSQPSANIQGAVSMGQQVPRMIPTPGMPQQVQSGMQPLVNNAAATNMPLSQQTTSAQPPKYIKAWEGTLTGLRQGQPVFISKLEGYRSFSASETLTADWPAGMQIGRLISQDHMNNKQYIGKADFLVFRAMNQHGFLGQLKEKKQCAVIQLPSQTLLMSVSDKPCRLIGMIFPKEMVVTKPPLSSQQQLQQQQMQQQHQQMQSQQQQQLSHMQQQQQLSQHQQLPQQQQMVGAGRGQNYVQDPGRSQTVSQGQVSSQGATNSGRGNFMS >RHN77888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10240881:10241777:-1 gene:gene1391 transcript:rna1391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M48 MHTEINKMRSISEDISQYGFVRRVWLCMTRKLPPSLSHLDELNWEILIVTGDDVTDYFAIVCSGGKIIASTALIELHSSDVELATMLAHEVAHIMARHGVEMCTKNCVSSTLNQRSFSLTIYKCEFEADYIGLLLMAAAGYDPRQAPKFYEKMTMFDEPVKFPLLARFLDSHPSSKERAKAVARPEIMQEALILYKDARGRRGVE >RHN55790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23820144:23820980:1 gene:gene31048 transcript:rna31048 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSSTLKALDFRQTWPRASTSFSLLSFVLAVRFSSPMSSSSLFTLSLVVIRLSFPSIIKHTTLMHQSIKVSDLLNTHVLIDVRPKPTFKLHTLGNHSILRIDVGTKPCKLLKLRRILGHRHTPLLQIQELHFLPSPQILWKVLPQKLSLEPCPSHNLSTNLKSSLSIGPPILSLLSKHVSSQPHLLIITSHHSTKDPLHALQPCLSSIRVEPSLERRWIVSQETIKPPLLSFSLIPTTNRRLLIRLSQNLSHRCQGLCNRRIITTSCHVRTTQPSKR >RHN47795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42566365:42570145:1 gene:gene42437 transcript:rna42437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MESSAEDYAAFEEKVQRTVYFDNMSPQVTESVIRTALDQFASVKSVKFIPNYLGPSSMPQCALVELDSAKKVKEVIAMIKQYPFMMSGMPRPVRARPAVMEMFDDRPMKPKRKMKCCWLEQSDPDFEVAMELKNLTRKHSAEIALMHRVLLKEEEKLAAQQAETLKVHYKKFRMIDGIMSDRDKTALTLARKYNLAVADE >RHN40509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17047464:17048628:-1 gene:gene46667 transcript:rna46667 gene_biotype:protein_coding transcript_biotype:protein_coding MFHIHMKLATLKNLICVFIIPEKYKNTFLNIHILENTGDLKL >RHN41073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26723115:26726345:-1 gene:gene47354 transcript:rna47354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MSSRRSRSRQSGVSTEISDAQINDLVTKLQQLVPELSRRSHKVSAAKILQETCNYIKNLHREVDDLSDRLSQLLDTIDPNSAQAAIIRSLLM >RHN78723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17815220:17816488:1 gene:gene2369 transcript:rna2369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MLSIYYLLVYIVIVSISAFLLLREFTSTWRSLRKKYTASNNYKVSSSNYVPDDVSFVIFSKLPLKSLKRFTCACKSWSLLFEDPHFMKMFRNNFIFMHRSLYNDTCLFLNIKEILPYDQHGSTLYFLSDEKFENRVKLEDDSTYTHLLDSGINGILCLSDFYHEYIKLWNPATKELKFVPPSPVQFLFYKCFSFDIHGFGYDHVNNDYKIVRHVNISYYQPNDSVDWTYLPTTPRSFWEIYSVKCNSWKRLHLEMPTYEGYFKVYLSGLCHWCVGEDYDVTSMVSFNLSNEVFCTTLLPLYTKGNYSDNWVHLYTNLVVINEFVSIIFEHVKTTYFHIYVLGEPGVSESWTKLFIVGPLPSVERPIGVRKNGDILLIRNENELACFDLITQTIEDLGVKVNHLYCDLAIYKKNLLPIGQIKK >RHN58061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43447135:43447606:-1 gene:gene33667 transcript:rna33667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MAGQGGGNWTGEDTLRLREAMQQFPPHTNNRYLMILQAFPEERRQDIAILEHYLEELAGEEQQFLPPQNFGAWTREEDNLLFLGLVLYGHKWKRIARELVITRSASQCRSRFGTQAFAGFNF >RHN51104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14065374:14066163:-1 gene:gene35481 transcript:rna35481 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRILKVMMMAKFLHVQNKKNVQRVMVKQLISTLIIRRGGQRRSDLSMGRGGRRRSDLSTGKGPGINSEFL >RHN43273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44540412:44540762:-1 gene:gene49820 transcript:rna49820 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVQKLISSSNYKGLKSSSNYAFDLNGKYKPKIVCKNRTDKKTQISKFNFLNLNFHHQLNFWLRVHTILYVLVSITLLICGLNCPILVINQDQDGNTKSSMSDVAQNDRVEKNTY >RHN61470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35677774:35681358:-1 gene:gene23941 transcript:rna23941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MSGSRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGSTINLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIAKKWIPELRHYAPGVPIILVGTKLDLRDDSQFFQDHPGAAPITTAQGEELRKLIGAPVYIECSSKTQKNVKAVFDSAIKVVLQPPKQKKTKRKGQKACSIL >RHN63761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53883877:53884350:1 gene:gene26505 transcript:rna26505 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYKFLSCCARLVTAATNWPKDPSRDAGNWACLKLHLRVEAKYWRVAIGLGHENPYHRNIRLLISITTAKHGKWRRPFFDPYMYPSQGLHDFHFTTPSFTFLTTATVPFKFQTIMLNLKTKFPLFKRYYLLCWLLPEMLVYLTTSLVASQPTFQLV >RHN42363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37720173:37723066:1 gene:gene48796 transcript:rna48796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S24/S35 MTQFLLRNASLYTRNLLHHHHSYTTAPLAASSLSRFRFFSSDENPSPPPSTNEEKPSTLPSIKKDPAPLEVKDVGNKEFKAMINQYLHKHDETVLPAIMDAIMVRRLSGLHEETDDEIMNELQMAPIDDVDDMDFENDFEETHETDNEIDDLYNARDHVMKKMVKDQYFNMDDKKWADIVEDGVKHGFMTETKECEAILEDMLSWDKLLPDDIKQKVETKYNELGDMCERGELEPEAAYEQYKKFEDELVNEYIQTAEKEAAMQPVDTSVPDKKKDSDDPPGEGPILRWVTRVVFAPGGDAWHPKNRKVKLSVTVKELGLSKYQFRRLRELVGKRYHPGRDELTITSERFEHREENRKDCLRTLLSLIEEAGKANKLVDDARTSYVKERLKGNPAFMARLHAKSMRLRDSNQIPA >RHN63637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52867559:52870448:1 gene:gene26362 transcript:rna26362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC transporter A, ABCA MGVLFFTWVVLQLFPVILTSLVYEKQQKLRIMMKMHGLGDGPYWLITYGYFLALSAIYMLCFVMFGSSLGLKFFSSNDYTIQFLFYFIYLNLQISMAFLLSSFLSDVKTAAVIAYLGVFATGLLGSYLFEKFLESSVSRGWIICMELYPGFALYRGLYEFGQSSSFGGSMQWQNLSDSDSGMKEVLIIMSVEWVILIFVAYYIDQVNSTGNGKSPCFFLKGFRKQPASLT >RHN56899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34568143:34571698:-1 gene:gene32363 transcript:rna32363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MKPKPHHFHLHHNLVSFSSKPHYKNHDILYTISESINTIHNNNPNPDYSLNPTLKRILPSLTSHHITNLINLNPLSLPPLSLFSFFNYLASRPPFRHTLHNYSTMAHFLSSHNLLSQTHSLFLFIISKMGHHSSTSLISSLIQTVPTHHRHNHSVLVFDALIIAYTDSEFIEDAIQCLRLVKKNNFSIPVCGCDYLLRRVMKLNQQPGHCWEFYLEVLDYGYPPNVYLFNILMHGFCKIGDVMNARMVFDEISRRGLRPSVVSFNTLISGYCRSKNVEEGFVLKSVMESERISPDVFTYSALINGLCKESRVEEANGLFDEMCEMGLVPNGVTFTTLIDGQCKHGKIDLALRNFEIMKDRGIRPDLITYNALINGLCRDGDLKEARKLLNEMIGNGFKPDKITFTTLMDGCCKDGDMDSALEIKDRMVEEGIELDDVAFTALISGLCRDGRVRDAERMLKDMLSAGHKPDDPTYTMVIDCFCKKGDVKMGAKLLKEMQRDGRVPGVVTYNALMNGFCKQGQMKNAKMLLHAMLNMEVVPNDITFNILLDGHCKHGSSVDFKIFNGEKGLVSDYASYTALVNESIKISKDQLKS >RHN65605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3693679:3694389:1 gene:gene13460 transcript:rna13460 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCGNTSDTYKVVVSRYIRDQLTNEVKVLSFGDNVWRNIESFRTIPLHLDYRGLGHSWYDGVFFSGSLNYNGYDVKDFTVEQFVIVSLDLGTETYNHYWLPRGFDKVPPIEPNVGVLGDCLCFSYSYKVTYLIIWQMKKFGVEESWTQFLKISYQNLQLDYDFSWNTLKYHLRFIPLLLYEEGDTLILQSNKEREAILYNWKDNRVVRTGVTVHKTIIDNRTNNYLCWHLAKGHV >RHN58822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5199295:5202201:1 gene:gene20772 transcript:rna20772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MRDILYPQLANLIIQTKFRINLKGITIANSLLDFNTNYNYVASFYWSHCVISEQIFDFLMKVCNYSQIKREHIYGGVRGICKQVYFQFVHDVGDFKGYTDVLDNI >RHN68375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34951910:34954384:-1 gene:gene16718 transcript:rna16718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MEAKEQDVSLGANKFPERQPIGIAAQSHDDGKDYKEPPPAPLFEPSELTSWSFYRAGIAEFVATFLFLYITILTVMGVNRSESKCKTVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMVMQTLGAICGAGVVKGFEGKTFYTNKNGGANFVAPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDLGWDDHWIFWVGPFIGAALAALYHTVVIRAIPFKSSN >RHN38786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1961142:1965375:1 gene:gene44752 transcript:rna44752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative groES chaperonin family MASTFLTIPTTPFLHKTPTASFSTQRLPILKRNSLKVNAIAKKWEPSKVVPQADRVLIRLEELSEKTAGGILLPKSAVKFERYLVGEVLNVGAEAENVKAGSKVLFTDINAYEVDLGTDAKHCFIKSSDLLAVVE >RHN41912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34051572:34054678:-1 gene:gene48285 transcript:rna48285 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSWVRPTAQDQKNCINKSGTLNYDDKYKGASAKSLSSLKEDKGLPNDGFLLNNARVLVGSGVETFEKGKSALRSWRHFGMNWAFVDPKTPVEQGAKFCVCVKEFLPWLMMPLQVVYVNETSTTKNRGASFGFGSGTLQGHLLAGEERFSVEIDENNQVWYEILSFSKPAHVLSFVGYPYVMLRQKYFAHESAKVMLKHINSSKS >RHN38980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3255688:3257598:-1 gene:gene44962 transcript:rna44962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MWKAARCTTMIEFNKAMENLKNINEAAWKEMSEHSVGSWSRAGFSTYTCCDLQVKNMCGAFNSAIVDIREYVVISLVDGLKMYITDRIVKLRDYMLRYDGEICPMINKLLDNCKKEAKGWSPNWCGDRDYARFTVYNGTDSYAVNLGENTCACRKWDLSGIPCPHAIACIWYNKKNPDDYVAHWYRKSTFLDTYNNLILPSNGPKLWPQVDLPPILPPYVRRAPSRPKKQRKKGNDEKVKHSQSGAGSSSNSKSVPKRNQHSLKCGRCGELGHNVRTCYGKQLGDRMLAPGENQHHLHPRRKGQLTRKDQAKRLGNHMEPHP >RHN70062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48405747:48406661:1 gene:gene18605 transcript:rna18605 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHDRKRIGADTAAAWTARDRKFGLVWLWVVVLVVVFVLGLLNLLIGWLINLNWVVVVVVGLWIQLVVVDEMEEEESFETGSLFFFFNLGMDVVCRSSKKRREECEEDKDRGKIVILYVG >RHN69500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43977864:43978290:1 gene:gene17979 transcript:rna17979 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGRKNQQYGSTESASSHQQPTNTGDSNSSSNGARMMKGLKPTVLPKRGAVVKRVLGIFTSSTTDGLDS >RHN46464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31942996:31945206:1 gene:gene40952 transcript:rna40952 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTFASSVTNLKCTPRFGYHEAQVHYTQSLSLWLQDLTYPNTTLSWNVIRGTGVITQKIFRSSSYYVALGNLEEEVKVELNLTVRAFLHNTTKAYYKCALTGSPCSMNIFFPHGSTAVLNTSNDEWYVKLTYGPRWLTYIIGIGSQPLSIISLRQY >RHN42330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37432553:37433979:-1 gene:gene48760 transcript:rna48760 gene_biotype:protein_coding transcript_biotype:protein_coding MPILSATLAFSCCSGYDRFFIISYNETKILWKHSFEIAAPVLCFEIDRGRSF >RHN71244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57563778:57567749:1 gene:gene19900 transcript:rna19900 gene_biotype:protein_coding transcript_biotype:protein_coding MKISMFHLCIFLLLIGMSHAVDDKCAACKAVAGELEIGLAREKPRNHLDMRHRLDAKGQRQGKLIDYRISELRVVELLDDLCEKMQDYTLRIFPDSHEWYKVGSWDNLRTNKQEARAHSKDISSYCGRLLEETEDELAELIKKGSVKVGDVSKVLCQDLSKHCDQTSVSDEAEVVEDREL >RHN61821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38601360:38601734:1 gene:gene24320 transcript:rna24320 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCKEKQAAVEVNVTLNGVSSLKATRKEHATYCVTPGHTMRESVDLEAQSTLTLTGVRKQLSDTHIDSDSENGSPRTPKGVLFNPFAPGPEDMVRAPQSRKYHEDVRDKIVRKLQFCSSPPKP >RHN41169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27744205:27744792:-1 gene:gene47460 transcript:rna47460 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRECDESGREVFPSDPLDRQRLRLQCLNHATRKNGLIDWKVYDSTYFSHMTFSDISSESDCSDSDDSDCVLLYSTSGDPLGQGKTTTILPKMTSRKEKQLACLEVDAECFSDDVQRFDSAYNDQSKVDFFRSKIMVSSPMREEDIVLSPCPPGEKVCTIRPKGVKEIFHMYGAVLEEFGVKIPFTLFEMDVL >RHN38714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1436192:1440247:-1 gene:gene44675 transcript:rna44675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MHQKKSEIQIGKESSCISSDFNPNLFHHHKLFNSNSNSIHHKHQHNSISSPIHPKINNPNFKIKPSKSSFPQFPHFNFNFNFPQFSTKKSSFHFHSLSAVSSAALRRLRHRSRLILLLSLPFFFFLLSPPTHSFFFNFLSAFAFSAALFFSLSLKFKSPPSSLPIVLTVKQWNRRRRENKISKNSSNIVEIRVRVYANGDVYEGEMKNDRSCGSGVYYYNMSGRYEGNWVDGKYEGFGVESWSKGSRYKGMYRDGLRNGFGVYRFYTGDVYAGEWLNGQSHGSGVHTCDDGSRFVGEFKWGVKHGLGHYHFRNGDTYAGEYIADKMHGFGVYCFANGHRYEGTWHEGKRHGLGMYTFRNGETQSGHWRNGVLDIPSTQNATYPVSPVGVNHSRVLNTVQEARRAAEKAYDVAKVDERVNRSVSAANRAANAARVAAVKAVQNEMHHHVNEESFRIPVL >RHN57064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36214069:36218628:1 gene:gene32557 transcript:rna32557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MKESSKTFTITLISAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLFSYVAIAWFKMVPMQFMRSRLQFFKIATLSFIFCVSVVFGNVSLRYLPVSFNQAIGATTPFFTAVFAYAMTLKREAWLTYLALVPVVTGVIIASGGEPSFHLFGFIICVAATAARALKTVLQGILLSSEGEKLNSMNLLLYMAPMAVVFLLPATLYMEENVVGITLALARDDMKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMMGYSLTVLGVVLYSEAKKRSK >RHN49026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51868697:51872963:-1 gene:gene43808 transcript:rna43808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase Clp MVTSSIRFASLLLSPLTPTSSHSHTLSFASPSSRFSSNLGGSRNVTQKSYLKAKAVYVNDFLASEAEKNLIHGIWSIREDLQVPSSPYFPVHAQGQGPPPMVQERFQSVISQLFQYRIIRCGGPVDDDMANIIVSQLLYLDAVDPSKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGFQGGQTDIDIQANEMLHHKANLNGYLSYQTGQSLEKINQDTDRDFFMSAKEAKEYGLIDGVITNPLKALQPLASAAEVNDRASV >RHN43733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48044397:48048559:-1 gene:gene50354 transcript:rna50354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mRNA (2'-O-methyladenosine-N(6)-)-methyltransferase MNMETDEGLNSIKDIRQQLEARIETQHKSHMDMLSSVQSVIPNLVSSLDLSLKVLSSFNHRPFAPTPPLPPPLTNFNPSKSSLQQLPQNPFNNNNNNLQNPKISLVTKNPESEKVSSLSIVRSMVAVCLLSRVPFSPIDSSTLLWKLENDQTVMPQDKAALQELGGDSGGPTLAVEIALRSMADDNGAVELEEFVVSGKSRIMVLNIERARLLREFPETAHHHQQQNESSLGDGNMNQNQQQIVTGSTNVNGVMGPMGRPVLRPISDNMWMSHGDPHMPGLQQIFSGGGPRVAPGLMGMVSAQRGIVIPSMHRLPMGPNASGSNINAMPQKPKTYDEDLKEDLKEFEAIINKKSFREMQKSKTGKELLDLIQKPTAREAAVAAKFKTKGGSQVKQYCDLLTKEDCRRQTGSFVACNKVHFRRIIALHTDINLGDCSFLDTCRHMKTCKYVHYEYDPTPDLPPTMTGALTPPPKPLKQQRAEYCSEVELGEPQWINCDIRNFRMDILGKFGVIMADPPWDIHMELPYGTMADDEMRTLNVPALQTHGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGSPEVNRNIDTDVIVAEVRETSRKPDEMYPLLERISPRTRKLELFARMHNTHAGWMSLGNQLSGVRLVDEGLRARFKAAYPDVEVQPASPPRASAMEVDSSVAVQTRSPFASTESKSTAEPSAPVTTSASEEKAMAIDVDTN >RHN48858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50729764:50733165:-1 gene:gene43619 transcript:rna43619 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFQTDDDDEDESGSDREMGADAEGGDEADSSKLRKLTDQEKSFRPNDEDDDD >RHN42535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39124475:39124957:-1 gene:gene48984 transcript:rna48984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative crocetin glucosyltransferase MPHHRHRILLIPYPVQGHINPTFQFAKRLVALGAHVTLSTTLHMHNRLTNKPTLPNLSYLPFSDGYDDGFKATGTENYLHYSSELTRCGSEFIKNLILKNSQEGKPFTFLVHSILLQWAAKTAREFHLSTALLWVQPATVFDEKFLDGNVTNMSGFTNNQ >RHN50946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12079202:12079432:1 gene:gene35302 transcript:rna35302 gene_biotype:protein_coding transcript_biotype:protein_coding MCWGFELLRFWLVGGGLLLPCCIAACRWALGGVMRVGGVVCVVGLVFVVQLPCVCKVAGGFLVLFRPLVVLTQGYS >RHN65275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:772218:772756:-1 gene:gene13097 transcript:rna13097 gene_biotype:protein_coding transcript_biotype:protein_coding MWCHQYCRALMKMSIAGFHKYSKESCSLLGSLSLCFLLTVLMLALVLYFLFSHSFDTTQFYPKLKSSCCIILFTHINHGLNFLFAK >RHN42121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35553938:35557483:1 gene:gene48521 transcript:rna48521 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSANRDAILQIESRIDKLMEDPTNNEQVICELKSLREILLNPNKEDVDMDHEKKSTQNLTNPPPPPAAANSSSTMVDFSKSEVIDIIERRIDDGKLQENPTFWEPVIRELEKLRDILTNPHPPLPVAANSILTMVDSANRDAILQIDSRIVELKEDPTTNKEVIRQLNRLRETVFRFYKEEVFEEKSKTKFDDDDFEEMMEKTRLVSAIVDLLSFPSPEHSLFNPDMLVILPDGDPMLLLTKNDLASIHQFVSKPVDYSEQHIMHAISSFCIKAKWWLARNPGVNDLSVSLNKIISVIDNPEDKLKKDAATNFETPEMEKLSADNGQQSNSQDRKRLKKSDEQEKNSVKVLFSRREEQLRQESPS >RHN73408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16085215:16099696:-1 gene:gene9214 transcript:rna9214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative villin headpiece, villin/Gelsolin, ADF-H/Gelsolin-like domain-containing protein MAVSMRDLDPAFQGAGQKAGLEIWRIENFNPVPVPKSSYGKFFTGDSYVILKTTASKSGALRHDIHYWIGKDTSQDEAGAAAIKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFKHAEAEKHKTRLFVCRGKHVVHVKEVPFARSSLNHDDIFVLDTESKIFQFNGSNSSIQERAKALEVVQYIKDTYHEGKCEIAAIEDGKLMADPETGEFWGFFGGFAPLPRKAASDNDKSADSHSTKLLSVEKGQAEPVEADSLKREFLDTNKCYILDCGLEIFVWMGRNTSLDERKSASGVADELVSGIDQLKPQIVRVIEGFETVLFKSKFDSWPQTPDVTVSEDGRGKVAALLKRQGVNVKGLLKADAVKEEPQPYIDCTGHLQVWRVNGQEKILLPASDQSKFYSGDCFIFQYSYPGEDKDDCLIGTWIGKNSVEEERASANSLASKMVESMKFLASQARIYEGNEPIQFHSILQTFIVFKGGLSDGYKTYIAEKEIPDETYNEDSVALFRIQGTGPDNMQAIQVEPVASSLNSSYCYILHNGPAIFTWSGSNTTAEDQELIERMLDLIKPNLQSKPQREGTESEQFWDLLGGKSEYPSQKISREAESDPHLFCCSFSNGNLKVTEIYNFSQDDLMTEDIFILDCYSDIFVWVGQEVDSKSRMQALTIGEKFLENDFLLEKLSRVATIYVVMEGSEPPFFTRFFNWESAKSAMLGNSFQRKLKIVKNGGTAPLDKPKRRTPTYGGRSSSVPDKSQQRSSRSMSVSPDRVRVRGRSPAFNALAATFESPGGRNLSTPPPVIRKLYPKSTTPDSAILASKSKAIAALTSSFEQPPSARETMIPRSVKVSPVTPKSNPEKNDKENSVSGRVESLTIEEDVKEGEAEDEEGLLIYPYERLKITSTDPVPDIDVTKRETYLSSAEFKEKFGMSKDAFYKLPKWKQNKLKMAIQLF >RHN80709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40304969:40309190:1 gene:gene4666 transcript:rna4666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ent-kaurene synthase MDSGLCLVSSPNHQNIVQNNFYDPSWLQKQRNVPMNFVWPKEYLVNANEEFQAPLIDLDGFLKGNEETTNNVAMLISKACSTHGFFQVINHGVDLSLIGEAYDQMDAFFKQPIDKKLIARKIKGSMWGYSGAHADRFSSKLPWKETLSFPFHDNNVFEPSVTNYFDSTLGEDFQQTGVAFQKYCEAMKKLGMKLMEILAISLGLDRFHFKSLFEDGCSIMRCNYYPSCQEPSVALGTGPHCDPTTLTILHQDQVGGLDVFADQKWQTVRPRSDAFVVNIGDTFTALSNGRYKSCLHRAVVNRYKERRSLAFFLCPKEDKMVRPSQDIVSRDGTKQYPDFTWSQLLQFTQNHYRADEATLQNFTKWLLSSKITNTLP >RHN72720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10159250:10162068:-1 gene:gene8469 transcript:rna8469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MLQPTLTLLFSLLFLCCLSLPMASESAIGVNWGTLSSHRLKPSTVVNLLRDNKISKVKLFEADPAILKALMGSAIQVMVGIPNEMLSLLGGSSVAADYWVQRNVSAFMTKGGADIRYIAVGNEPFLTSYNGQFINLVIPAITNIQQSLVKANLAGYVKIVVPCNADAYESSGGLPSQGVFRSELTQIITQLVQFLNSNGSPFVVNIYPFLSLYDNGDFPGDYAFFQGTTHAVTDGSNVYTNAFDGNYDTLVSALGKLGYGQMPIVIGEIGWPSDGAIGANITAARVFNQGLVYHIASNKGTPLRPNVPPMDVYLFGLFDEGAKSTLPGNFERHWGIFSFDGQAKYSLNLGLGNKELRNARNVEYLPSRWCVANPLSDLTNVVNHMKIACSVADCTTLNYGGSCNEIGEKGNISYAFNSFYQLRMQDSQSCEFDGLGMVTFLDPSVGDCHFLVGVIDRGLNKDSSACQKTYQWLVIVLLLILHGTFFLSM >RHN79244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26559440:26560493:-1 gene:gene3002 transcript:rna3002 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMFSPSHFNTSHPLPIYSLKSSSTSSSSTLTSTKIKNLIHTLIVSSMCRIIRALSKVKSSLVEILKDNKPNIHLPYSSHKKHSSKTKKIIMGSFRLHYNWASSRSSSHVVMPVPSRVFEGLPKAVTLSEHAKSHDNGEDCCHDSELASYLQWLEENDDIEGDGYKGSEKEGESIKDVDMLAEMFIANCHEKFKVEKEESYRRYQEMLVRSL >RHN72214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5840240:5841270:1 gene:gene7900 transcript:rna7900 gene_biotype:protein_coding transcript_biotype:protein_coding MTLENKIFVIVAKRLVSFGNSSIYECFVIRNKYRGWITSVNLTVNAERMKLRGCFKESVRGVKNFG >RHN77479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7147016:7148748:1 gene:gene942 transcript:rna942 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNNIAAITSCCEVKVHRSICIELNGFIDRILHIILAIESSRPNCALAIQALCSLHFTLDKAKSVIKICSGSSKLYLAITSHKILSRCEKLRNSFELYLTQIQNTVPIPLAAEICSILKDLRDAEFSLEFEEDEARQVLLSLLEKEFPDSASMENAEVEAIKIVALRLDMKSSSSILVEKSSLKRQLEKVNKTNQKEKELLAYLLYLLIKYGKFIFKFQNESNVCESS >RHN61444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35533236:35533496:-1 gene:gene23913 transcript:rna23913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFHLPGIRRSSSSKAVDEVPKGYLAVYVGEKMKRFVIPISLLNQPSFQELLHQAEEEFGYDHSMGGLTIPCSEDAFLQLSSRLQC >RHN39109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4172754:4173311:1 gene:gene45105 transcript:rna45105 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKERLNNIVINRNFTNVVVKIILTVILFSKISNAFFDYNQYWCPGKPVYTLSFDIKLAKDFPTISFKKNYNDFSPYTIQNNPVVIRCNGPSDNTNRTAYWEDKLGKFNICGASSGLEPTFRCSVSLITLDEKTIWTKTFIAFGDFCNHCKNYQGGGCIWKIRKDHPSLYSPITNKYVQYDYE >RHN68582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36757760:36758394:1 gene:gene16951 transcript:rna16951 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFVLLQGEKEEEAPKYCGRGRPPKRVEHAHEPPTLAKMQKTGESCFGAGNAGASSKPQEEEMNCENMNEEDLNGIQVDSNVESREEYENAVYHRSSDWPLDPHLKKFKDPSGNYNSPNTSTFLGKNL >RHN40935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24662182:24668609:-1 gene:gene47183 transcript:rna47183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ran-interacting Mog1 protein MSQDVVYQRPLFGGRISSTFPNRFQDVSDIRQVPDHQEVFADPSRDESLIVELLEFKPDIADNGSAAWFLQDLAREQDAEGTVLIEQSGVLEAPGLMYNNIPAVVTTAVGQMAISKGRQGREAQNIVKVYLANLRVKGVDTDVLITAYEPIVINPFSESADTVGAGMAVPASQAGCISMDEVFKLAATSFKVYDWSLFDASRP >RHN39046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3701884:3702141:1 gene:gene45037 transcript:rna45037 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTEALHCITSAIQSAIRQVEHEQPKQLDKCESRLHDFKLPKERKKKWNQTNSTHFITTRPISFMPQIQIKRSHKEILLIFQLP >RHN77144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4416718:4417875:1 gene:gene569 transcript:rna569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEVPRDIAFEIFSWLPAKSVCKLNSTCNSVTGFSEETVFKRKQSRNLLGRDDTCLFIQPERIIQKYVKRVELHPLPEDRQSSGVPEKVLRLLSNSTSVLASGNGLLLCQTINDHGPIEFFIFNPITKCRSFIPTPESLQRNHDFANINIMLDFSSDDYKVFLFENPMEWSSINCYTCRVYHEKEGVWKTMDNGFLAGGRNMNFDMNVFHNKAINVISDCSHYFAKPSPFYKPYIMSYHLENGNSTMLRLPREAIRGCHTMTNMGIFNWGKVSSSKRSICLVKVRKSVFTVWYLKDYESSSWQKVLKVRVRGLGLQEKNPQVTGFTVTNGDILVFATEEKVYSCGLNEERFMMVEEIGQHNCRFNLRLISYSDTFRSCGINAVTWN >RHN59814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13526906:13529675:-1 gene:gene21971 transcript:rna21971 gene_biotype:protein_coding transcript_biotype:protein_coding MWYRLMFASHIPTTRTNKTHTIVNQKFRNHVSKWIRTNESYINVNQKFTNRWQDRLSSRIYYDA >RHN48882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50883213:50885165:-1 gene:gene43647 transcript:rna43647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MKMRFFLVASMVIFCFLGISEGGSLRKNFYKKSCPQAEEIVKNITLQHVSSRPELPAKLIRLHFHDCFVRGCDASVLLESTAGNTAEKDAIPNLSLAGFDVIEDIKEALEEKCPGIVSCADILTLATRDAFKNKPNWEVLTGRRDGTVSRSIEALINIPAPFHNITQLRQIFANKKLTLHDLVVLSGAHTIGVGHCNLFSNRLFNFTGKGDQDPSLNPTYANFLKTKCQGLSDTTTTVEMDPNSSTTFDNDYYPVLLQNKGLFTSDAALLTTKQSRNIVNELVSQNKFFTEFSQSMKRMGAIEVLTGSNGEIRRKCSVVN >RHN74579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34868212:34872250:-1 gene:gene10673 transcript:rna10673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MDSNRLHELKAFDDTKTGVKGLVDKGVVKIPTLFHHPLDKFGKATNSNNTQHIIPIIDFANFGNDPKTRHEITSKIREACETWGFFQVVNHGIPLNVLEDMRNGVIRFFEQDVEVKKELYSRDPMRPFSYNSNFNLYSSSALNWRDTFVCSLAPNAPKPQDLPLVCRDELLEYGEYVTKLGMTLFELLSEALGLHPNHLKDMGCAKGILSLSHYYPACPEPELTMGTTKHSDSCFLTLLLQDDHIGGLQVFHQNKWIDIPPIPDALVVNVGDLLQLVTNDKFKSVEHKVLANIIGPRVSVACFFNADLNSFSNQYGPIKEFLSEDNPPKYKEITISEYVAYYNTKGIDGISALQHFRV >RHN69568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44486929:44489766:1 gene:gene18051 transcript:rna18051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MPPKLESEKNPVRYMHTVSEAGRLLPSSSRWNAIALDFNLTPKFSASYESIPSQYPKSVDCNLVITDKKYFHIFIFVIVAIFFAVVAVALLVHFLPQKHKHQDSSIDLKLAINQALTFYDAQKSGNYPRNSPVKYRGDSGLKDGNSAKTNLIGGYYDSGNNIKFTFTTAYTMTLLSWTAMEYQSKYADIDELDHVRDIIRWGTDYLHKVFIPPNGSNLTLYSQVGSTISTNHEPNDISCWQRPEDMSYGRPVSVCDGSATDLAGEIVAALSAASMVFKEDKEYSGKLVQAAESLYEVVTKEDPKKQGTYTAVDACGKQARMLYNSTSYKDELAWGATWLFLATKNTDYLANATQFFLSAKKDETNLDKGVFYWNNKLSAVAVLLTGIRYFRDPGFPYEDVLKFSSNSTHSLMCSYLFKKYMSRTPGGLVIPKPDNGPLLQYAVTASFLSKLYSDYIDHLKISGASCETDTFSVSMLRDFSSSQVNYILGQNPMKMSYLVGYGDKFPVQVHHRSASIPWDKRLYNCDDGKTWLNSKNPNPQVLLGAMVGGPDTNDHFTDQRSNKRFTEPTISSNAGLVSALIALQDPSNNSHDLKNSLWEWI >RHN68244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33972071:33975897:1 gene:gene16571 transcript:rna16571 gene_biotype:protein_coding transcript_biotype:protein_coding MLCIDPNNLVRLDGIDKPLQCELGVIISRSIRATLKVPSFKNVFT >RHN77599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8004563:8012697:-1 gene:gene1069 transcript:rna1069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (adenine(58)-N(1))-methyltransferase MCSSENNKKRVTWEGCSVLLDINDGDRLVFARLSPAAKLKIGNKNCSLQPLIGCPFGTVFQLDTSSDGAPFLSPFQPKGNINNTEDIKDGRFQAESKDGPLTGELRDNRSLIDNNTAQSLTGEDIEDMRRQGAKGDEIIEALIANSATFDKKTSFSQKYRLKKQKKYAPKVLIRRPVARSICEAYFMKHPLKIGFLRVDTLSLLLSMANVSSNSDILVVDMVGGLLTGAVAERLGGTGFVCNSYLGKAPSMDIVRIFNLSDEICKRIVRSSISDLLSQKESSQQNPKHDDGSVESQLNNQMCASVSMEEISHSSENGISDLGADNAENIESSTFPALRACKAIKAGEKASQEIIDSWKENGFSSLIIAAPDLDTWTLIKDLLPLLSNSAPFAIYHQYLQPLATCMHNLQLEKMAIGLQITEPWLREYQVLPSRTHPCMQMSAFGGYILSGTKICSS >RHN68888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39383789:39386964:-1 gene:gene17304 transcript:rna17304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MKKVSLYACLLLNLSLLVIFPYSKASQADKLNEFILSRKSQNPPKTLSWEEGDALKTHSFSAAYVAPPQEELRLADKIVTLPGQPYGVNFDQYSGYVTVDPEAGRELFYYFVESPHNSYTKPLILWLNGGPGCSSLGYGAFEELGPFRVNSDGKTLYRNPYAWNEVANVLFLESPAGVGFSYSNTSSDYDNSGDKSTAKDAYVFLINWLERFPQYKTRDFYITGESYAGHYVPQLASTILYNNKLYNNTIINLKGISIGNAWIDDATNLKGIYDNLWTHALNSDQTHELIEKYCDFTKENVSAICNNATDKAFVETGKIDIYNIHAPLCHDSSLKNGSSTGYVSNDFDPCSDYYVTAYLNRPEVQKALHAKPTNWTHCTHLLTTWKDSPATVLPTVKYLIDSGIKLWIYSGDTDVVVPTTSSRYLINTLKLPINSAWRPWYSGKEIGGYVVGYKGLTFVTVRGAGHLVPSWQPERALTLISSFLYGILPSGSPSN >RHN63061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48023437:48024378:1 gene:gene25716 transcript:rna25716 gene_biotype:protein_coding transcript_biotype:protein_coding MESYSSSYSSSKSSYISQNTKKANGSMKNEYPFESKYSWLHSVRKSPTKTWNKKAPIAPMAPTPAKVYKVDPINFKELVQSLTCAPQFIPSQPHHKLDLQSTTNHTIANDSVPPSLPMKNFTNKDSVEVSPPLEPVSTTNSWYQYFQAEYFGKNNDQEEEVITPSLLELNLLSPTSFGNWCFVPPIITPRV >RHN58932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6004710:6005314:1 gene:gene20888 transcript:rna20888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVKTSKFVYVLILFLSIFFSIIVSNSSPGRLSFGDCKIDKDCPKLRGGSFRCRKGKCVLE >RHN74681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35803605:35803853:1 gene:gene10788 transcript:rna10788 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGCSRNPVKIVIINTQYVETDATSFKSVVQKLTGKDSDAEGEKVQRKKNNQSDVSFKEFDMLLNEMPLINDFWSDTMIN >RHN42786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40981573:40988575:1 gene:gene49273 transcript:rna49273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kinetochore protein Nuf2 MAMSNYEYPRLRRPEIVQLLNNFQIATVTENDISNPRTGVVLDLYTRILNYLDFLHDEDNGQLDFDALEHLENPDLHVGSIHAIKLYNKIKEMLNLLECPKKYTFNFADLLKPDPHRTEFFLGALLNFCLDRDGRLNAISEIVDEFNALEQKIVEIEENNITQLKLAIAECNEARERELPSVQEVDAKVKELRQTIANLNNKQMSLRSTIKKLKEKTVEMDDKISDAEYKLVQNVQEHGNLLSKIAQSPDKVQRALEEKKLAREEARNAERLAMHNFHEKTALVEVISKVHKKMSKHYKQVQVTQEQVNSAKTIEKDLKTLKAKLGDEEVLEKSLEAKLVEKQTKVEHTEGLNKQSEKECGFMMDEGTKYLSSIKSEAESKRRDIETRQRNVEAILSKVDVINSRETSVKESATLKVDQMEIKCSELFEVFRKYSNSFDARVVESGLKNATVKRAGFDN >RHN58328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:835449:835631:-1 gene:gene20231 transcript:rna20231 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPSFYLYVEKLIARFVEPTGSFSALPIITAFNCSILRAECHREEVVYNKEATLVINSR >RHN41385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29681275:29681553:-1 gene:gene47703 transcript:rna47703 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRSNSDHKDSLVLLNASDPKNSRKPKVENIVNKKRKDEKHKNGLSAYEKLYVSNKTRKDSNKRRSFLPYKRQLLGLFTNMNGLSRNLHPF >RHN70086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48607949:48608470:1 gene:gene18630 transcript:rna18630 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSRLFDSDSVLINRFLSESDASGNLNAFGVWCVGWLLFGDLSPVFSFVFCSCLFRLCCLLRVCCVFYEAFYLDLLRLVFGRLFLLLLLGNLCCAMPSFLASGVVLFLPGLRSSYCWDIEAWVCVWFLAHMYTPYLVGGVVVFGVGRFVPLVLCWCCSSFVQLGGIYGVVP >RHN62253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42078864:42080675:-1 gene:gene24807 transcript:rna24807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin-44 dioxygenase MGDIEIEPSSHFIQSPEHRPKSSIIIAESIPLIDLSPINYQDDETNSLSIENLVKEIGQACKEWGFFQVINHKVPLDKRERIEESANKFFELSLEEKVKVRRDEVNLLGYFEAEHTKNVRDWKEIYDFNVQQPTFIPPSFQFQWENRWPKNPPEFREACQEYAEEVEKLAYKLMELIAMSLGLVPNRFRDFFIHNTSNIRLNHYPPCPYPHLALGLGRHKDTGVLTVLAQDDVGGLQVKRKSDGEWIQVKPIFNSFIINVGDMIQVWSNDAYESVEHRVMVNSEKDRFSIPFFLKPALYTDVEPLEELTNDKNPPKYSKINWGKFRTARMQNNFIKSNVDNLQIYHFKLS >RHN45542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22677238:22680856:-1 gene:gene39906 transcript:rna39906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MNRKSLLFTFKPFYCPLFYSYMTLFYLLTTTTLCSIDTKFEACEPKTCGNQSISYPFYIKGLQQPYCGYPGFGLSCDNNIGSPILNLSNTKHIIDQIFYENQSLRVSNNAVISGSEGCFYPNQNLTIPKDIFYLAPNQSEVILFFGCDSTKLPRELQGNTIGCSAENKTSSVVAIYEGYKNASFVSKNCTGKVVNVTVENDVKGGIEEKLGKGFRLNWIASDCSDCSSSGGRCGFDSTLYIFRCYCTDRVHSAKCNTVGSVAGVAFALLIILVCWFRTKIFPPTFLLLRKDSPTHEIIEKFLKEHGPLPAARYTYSDVKKITNSFKNKLGQGGYGSVYKGKLNDQRIVAVKVLSESKGDGEDFINEVASISRTSHVNVVRLLGFCLDGSKKALIYEFMPNGSLEKFIYEEKNPLKDDRQLDCKILYDIAVGVAHGLEYLHRGCNTRILHFDIKPHNILLDDDFCPKISDFGLAKICPRKESIVSIFGARGTPGYIAPELFSRNFGGVSHKSDVYSYGMMVLEMVGRRKNIKVEVDCSSELYFPHWIYKRLELNQDLGLRCVKNEIEEEMVRKMTVVSLWCIQTDPSHRPAMHKVVEMLEGSLQLLEIPPKPFLSSPSISPNDLSSEIL >RHN57334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38164294:38168148:-1 gene:gene32860 transcript:rna32860 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVEPDKGFVANNSIKTQLEVEAFKKIVESGKGFCENNNIKKKLNVRTIILRRN >RHN66285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10363890:10369284:1 gene:gene14235 transcript:rna14235 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSFNSTRIKTPSFNYTNPIITTKLSSSKPIIKFPFSSNKNHFLKLQISSVSETSSTTTTQKDIEEEEEEEEEKEDPTAETCYLDPEADPDSILSWELDFCSRPILDARGKKLWELVVCDKSLSLQYTKYFPNNVINSITLKDSIVAICDDLDLPVPRNIRFFRSQMQTIITKACKELGIRALPSKRCLSLLLWLEERYETVYTKHPGFQKGSKPLLPLDNPFATKLPEDLFGERWAFVQLPYSAVRAEASASEERFGYGSGLDLDLLGIEIDEKTLIPGLAVASSRAKILSAFMNGLELCSIETDTARSNLTLSVGISTRYVYATYKKSPTSTKEAEAWEAAKKASGGLHFLAIQDELDSEDCIGFWLLLDLPPPPV >RHN71259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57655034:57662020:-1 gene:gene19916 transcript:rna19916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MKGAVLVAIAASIGNFLQGWDNATIAGSILYIKKDLALQTTMEGLVVAMSLIGATVITTCSGPISDWLGRRPMMIISSVLYFLGSLVMLWSPNVYVLCLARLLDGFGIGLAVTLVPVYISETAPSDIRGSLNTLPQFSGSGGMFLSYCMVFVMSLSPSPSWRIMLGVLSIPSLFYFLLTVFFLPESPRWLVSKGKMLEAKKVLQRLRGQDDVSGEMALLVEGLGIGGDASIEEYIIGPADEVGDGHEQTTDKDKIRLYGSQAGLSWLAKPVTGQSSLGLVSRHGSLVMDPLVTLFGSIHEKLPETGSMRSALFPNFGSMFSTAEPHIKTEHWDEESLQREGEDYVSDGAAGDTDDDLHSPLISRQTTSLEKDLPPPPSHGSLLNSMRRHSSLMQESGEPVGSTGIGGGWQLAWKWSGKGEDGKKQGEFKRIYLHEEGVGVSGSRRGSMVSIPGEGDFVQAAALVSQPALYSKELIGEQPVGPAMIHPSKTASKGPIWEALLEPGVKHALIVGIGIQLLQQFSGINGVLYYTPQILEEAGVAVLLADLGLSSTSSSFLISAVTTLLMLPSIGLAMRLMDVTGRRQLLLVTIPVLIVSLVILVLGSVIDFGSVVHAAISTVCVVVYFCFFVMGYGPIPNILCSEIFPTRVRGLCIAICALVFWIGDIIVTYSLPVMLSSLGLAGVFGVYAIVCCISWVFVYLKVPETKGMPLEVITEFFSVGSKQSAAAKNE >RHN46917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35764286:35766405:1 gene:gene41452 transcript:rna41452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L2 MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLAKVTFRHPFRYQKQKELFVAAEGLYTGQFIYCGKKATLVVGNVLPLRSIPEGAVICNVEGHVGDRGVFARASGDYAIVISHNPDNDTSRIKLPSGAKKIVPSDCRAMIGQVAGGGRTEKPMLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAAKRTGRLRGQAAASAAKADK >RHN70466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51606547:51607555:-1 gene:gene19046 transcript:rna19046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione dehydrogenase (ascorbate) MSNSNSPSSSSLSMDIDESTETRINRLISEHPVIIFTRSSSCCMCHVMRNLLFTIGVHPTVIQLDDNEIPAVPTTSDHSLTPAAFIGGICIGGLESLVALHVTGHLVPKLVQVGALWN >RHN51457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17998482:17998841:-1 gene:gene35892 transcript:rna35892 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAFIQFDIQIISISRINATKPSRVLTLDPPLTTLLPCSQKPSLQITTSIPFHHTYKRSSLDNINSHFSFFHFQKSIFNFNHTHPQHSHFYNLSLPFLLSPINKTLITPPQCSLNPAP >RHN61079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32801901:32804107:-1 gene:gene23499 transcript:rna23499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEIIKFVYILILCVSLLLIGEASGKECVTDADCENLYYGNKWPLICSNIGYCLSSYEASSQVVLRCLSRRVKWRIIL >RHN73789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19901142:19901914:-1 gene:gene9652 transcript:rna9652 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIHNFSLKTYYFKCLKNVLEVHINISHKINEKMDGVIDEWTKTS >RHN51323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16185665:16188611:-1 gene:gene35747 transcript:rna35747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose/H+ symporter, plant, major facilitator superfamily domain-containing protein MDNAATNNNNNGFTRNLSLNVEAASSRKATPLRKMAAVASIAAGIQFGWALQLSLLTPYVQLLGVPHQWAANIWLCGPISGMIIQPLVGYYSDRSHSRFGRRRPFIFFGAIAVAIAVFLIGYAADLGHSMGDDLTKKTRPRAVVIFVFGFWILDVANNMLQGPCRAFIGDLAGGDHRRMRIGNGMFSFFMAVGNVLGYAAGSYDKLYTKFPFTKTLACNEFCANLKTCFFFSIFLLALVSTCALLYVEDIPITSSESQSESESQVSCFGNMMGAFNGIQRPMWMLMLVTAINWVAWFPFFLFDTDWMGQEVYGGKPGDNAYSKGVRVGALGLMLNAFVLAFMSLAVEPLGRLVGGAKRLWGIVNIILAIGLAMTVLITKMAEHERHISNLVGKPSNGVKAAALGFFGVLGIPLAINFSVPFALASIYSSSSGAGQGLSLGVLNISIVVPQMIVSALSGQWDSLFGGGNLPAFVVGAVAAVISATLAIILLPTPKPDEMAKASIGGGFH >RHN40531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17235058:17237108:-1 gene:gene46691 transcript:rna46691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MVLSGKLSTEVGIKTPADKFYNLFITELHEVQNLCERVHHTKLHEGEDWHHTDSVKHWTYVIDGKVHTCHESLEEVDEQNKKIIFKLFGGDIDEHYKVFKLILEVIDKGDGTAASKWTVEYEKINEDIDPPNGYMEYFGKCARDIDNHLAKAKIAI >RHN42475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38634625:38639913:-1 gene:gene48921 transcript:rna48921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L22/L17 MVKYSKEPDNPTKSTKARGADLRVHFKNTRETAFAIRKLPLTKAKRYLEDVLAHKQAIPFRRFCRGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDALYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEAVQKEPETQLAASKKRA >RHN50729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9856313:9857094:1 gene:gene35053 transcript:rna35053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVQNNGGNFRNTKPSKAHWKPSEDAKLKQLIADHGAKNWNNIAEQLQGRTGKSCRLRWLNHLDPSIKREPLSKEEEEMLLQLHTLHGTKWAHISKHFPGRRDNALKNHYHVIKARRQRELLSSIARKEKLTYEIPAKFAITSPIDESNLNLTRPLPSSQMGIL >RHN71873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3279972:3281617:1 gene:gene7516 transcript:rna7516 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCASSNRSSSHNDFQPSRSSISQVKGSENRAPPCVPVEEETVKEVLSETPKWKKPNERFRYEVEKPKCFEKFDRENKVEKPFYKVDEISEVSEVCSLSESVSTITFTDKREEEEESCKRVNGSPAKMRKNGSFSGERRESPARKSPARRLEQSPAKRNIGSSRIVQRRDQMGNGGIKNQPHRRDAGEVSGRRSRSPATRTDNGSTRSVVGRSLSARKTNQSPGKGRTAVPENGGRKMESKWPSTANDESLENPLVSLECFIFL >RHN48900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51017898:51019320:-1 gene:gene43666 transcript:rna43666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MDKVMRLASEKGVVIFTKSSCCLCYAVNILFQELGIRPMVHEIDQDPEGREMEKALLRLGCTAPVPAVFIGGQLMGSTNEIMSLHLSGSLTQLIKPYQSRSN >RHN57906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42512101:42520264:-1 gene:gene33497 transcript:rna33497 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSHGPGGNLVVELLKLHLLTILNSTKRMRKESMKMILEKGL >RHN70855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54507992:54510458:-1 gene:gene19484 transcript:rna19484 gene_biotype:protein_coding transcript_biotype:protein_coding MDNWNEQSDHTRDEDEEDDDIFQKAIFVAALVGEYAVNHLCKEPCRTSELTGHSWVQEILQGNPTRCYEMFRMEKHVFNLLCTELVELGLKSSNRMTVEEMVAMFLVAVGHGCFMSSLSCL >RHN80957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42195523:42201715:1 gene:gene4943 transcript:rna4943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protoporphyrinogen oxidase MVALTLFPPTQTLLRPYLHSPFPSPNSKSKFIRNRNHHNPILRLRCSIAEESTASPTKTTSKSNSGESSSVDCVVVGGGISGLCIAQALSTKHAHGVPNVVVTEAKDRVGGNIITVERDGFLWEEGPNSFQPSDPMLTMVVDSGLKDDLVLGDPDAPRFVLWNGKLRPVPGKPTDLPFFDLMSIGGKIRAGFGALGIRPPPPGHEESVEEFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEQNGGSIIGGAFKAIQERNKVSKPPRDPRLPKPKGQTVGSFRKGLTMLPNAISARLGNKVKLSWKLLSISKLDSGEYSLTYETPEGVVSLQSKTVIMTIPSHVASPLLRPLSPAAADALSKFYYPPVAAVSISYPKEAIRSECLIDGELKGFGQLHPRSQGVQTLGTIYSSSLFPNRAPPGRVLLLNYIGGATNSGILSKTEGELVEAVDRDLRKILINPNAQDPIVLGVRVWPQAIPQFLVGHLDLLDAAKVSLKNTGFEGLFLGGNYVSGVALGRCVEGAYEIAAEVNDFLSQRVYK >RHN59235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8681930:8682691:1 gene:gene21238 transcript:rna21238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MTSLSLIPSRRCVIDAIIKLPNVMWLLMHWICWTKKCCFDVMLIDARMPNMDACDFVQHVSLQLQIPAIMMAVDSTKNSIMKSIECGACEYWTKPLIEKKFKTMWQHVARKGMPENKEYAIVGSSMVQANRKRGREDADASKETHAKTARFSWSPELHQRFLWAVNQLGLDSMILSTA >RHN58265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:429577:431051:1 gene:gene20157 transcript:rna20157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (R)-mandelonitrile lyase MLKDFGEVILSAGAIGSPQLLLLSGIGPRPYLSSWGIPVAHHLPYVGHFLYDNPRNGITILPSVPLEHSLIQVVGITNSGAYIEAASNVVPFLSPPQTAFIRSSASPLYLTVGTLISKISGPVSAGFLRLASTDVRFNPIVRFNYFSNGVDVEKCVNGTRKLGDVLRSRAMNDFKFRNWLGVRDFRFIGPALPNDQTDYAEMADFCKRTVSTIWHYHGGCVVGRVVNRHLKVIGIDSLRIVDGSVFSVSPGTNPQATLMMLGRYFGLKIIREREGKGTHEL >RHN57603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40475676:40481583:1 gene:gene33176 transcript:rna33176 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAAETLRSDSIINTCCEQWKNKYLKAQESRNALRQAVKFLELKINEIQSLNNHNNNNKVCDVKIETGERLEEFNAGAPAVNNGLSSFKSQTVTSAHRGCNGDGDENEKALDLQACIAERDREICRLKELLESEKRRADSKRKRVAETWKLLEQEKNKGAQIAKLKVEKAEGYRVQIGQLEKQVSEAKQKLKSETSVFKAAARRQDFKKRRLFAEKRKAELEMAKANEKLKEIEKHKAIEMVKLEEQKALAQDNWNKFVEEKCRADQMSQQLAEDKRTIEDLKRKMLELSSLRNQTEIAVDISAKTQSSQCSKVKHLKNNLNVEKLQTKHTKLKYKLEASRYSILHHKLGCLKIGFVQLLRHFDVLDASFLSVSGSTQDHTKKFFDMDNFGLVATVLKSLVMLLEGESLSDVTAPCLPAINQLHTEFCTNDSCQLLEGAESIDAIACLLLEEIKNCWLQGINQIDLSDSGLMPGNDNVRQRSNEEVGQHTTDKNNDVSGCLKRCLVSDTRPHALKNVILCHLIDIVSLVELVANEMSWHWADIKLVPQLLDMLDTCVEEKIAVAIIVLLGKLGRIGVDNGGYGVQGVEKLRCNLFAYLCRISSKKACFSIQIATANTLFVLLPLGLEALFHTNIYLSAYSKSVLDNVETLRKWFFGLSKDQQILLSGILKHTDVYNKSNSSVLSHLK >RHN81780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48836459:48836998:1 gene:gene5868 transcript:rna5868 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSKMIVDFVVLRNIIYVVTNMANIGVLSLNSANVKFLKLKSTPAIKFSPYLMLVNCDAQLLVVDFMSNEISNVYKIGFSTMNYVRLKTLGDIALFCGTNWLKRNCYALSNPNRWGYECNSMYDINLLSTICSAYSGNDKKLQKSITLLVPAPDATMCLMFDWCFRHQQYEIDYSLVK >RHN56897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34561776:34563075:-1 gene:gene32361 transcript:rna32361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MHRLCISRNRSKVFGILDPVCLDFNPADPSTKSKVQGHIQTRLRDLNKVCYLAPYLFKGHWQLIIICPKDNSLVVLCSMHRDLNEGMIKIVSKALEVHQLCQGNRKKAKWFRPKPRKQPNGNDCGYYVMKNMLDIISANITKSWMEVFNDPTALTEDDLYDLRNQWATCFLDLYNT >RHN64333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58249513:58251765:-1 gene:gene27141 transcript:rna27141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tyrosine--tRNA ligase MATNFASRSIFFSHSAKLFIFPFKPPLSSSSRLPFSRTTCTLQHQQPPNVIGILEQRGLLDSITNESLRTISSNTINAPLKVYCGFDPTAQSLHLGNLLALIVLSWFRRSGHNVVALIGGATARVGDPSGKSLERPQLDVQTLERNTAGIENTIRTILGRVQNHPNSGNGNDSVVVLNNYDWWKEFSLLDFLKRVGKYARVGSMMAKESVRKRLESEHGMSYTEFTYQLLQGYDFLYLFQNEGVNVQIGGSDQWGNITAGTELIRKILQVEGGTYGLTFPLLLKSDGTKFGKSEDGAIWLAPSMLSPYKFYQYFFSVPDADVVRFLKILTFLDIYEIVKLEEEMKRPGYMPNTAQRRLAEEVTRFVHGEDGLSEALRATEALRPGSETKLDWKTIEGIAEDVPSCSLAYDEVLNQSLVDLSVSSGLFDSKSAARRLLKQGGVYLNNSRVDSENKRIEAADIVDGKVLLLSAGKKNKVLVRIA >RHN46717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34296838:34301040:1 gene:gene41237 transcript:rna41237 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal-protein-alanine N-acetyltransferase MLTLNLNSLSSTLPFHLNYPLFTQTQLLLPANLNYPFANTPSRKLRIFQLKAGFWESIKSGLMKNNTTQVIDPELTEEEDEEPLPQEFVLVEKTEPDGTIEKILFSSGGDLDVYDLQALCDKVGWPRRPLSKLAAALKNSYMVASLYSIRKSPGYLTEGNEQKILIGMARATSDHAFNATIWDVLVDPGYQGQGLGKALVEKLIRALLQRDIGNITLFADSKVVEFYRNLGFEADPEGIKGMFWYPN >RHN80836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41164094:41169808:-1 gene:gene4804 transcript:rna4804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative importin-alpha, importin-beta-binding domain, importin subunit alpha MSYRPNANSRTEVRRNRYKVAVDAEEGRRRREDTMVEIRKNRREESLLKKRREGLQPQQIPSALHSNVVEKKLEHLPTMVAGVWSDDNNLQLESTTQFRKLLSIERTPPIEEVIQTGVVSRFVEFLMREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLASSSDDVREQAVWALGNVAGDSPRCRDLVLGHGALVPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALAGLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDLQTQVIINHQALPCLYNLLTNNYKKSIKKEACWTISNITAGNKQQIQAVIEANIFGPLVSLLQNAEFDIKKEAAWAISNATSGGSHEQIKYLVSQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEADKNIGNNGDVNLYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKILETYWLEEEDETMPPGDASQSGFNFGSAEVPSVPSGGFNFNQ >RHN45518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21968753:21972453:1 gene:gene39873 transcript:rna39873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MVFLFSNLQSKKLLPFWLLLSTCFCAFTTPTSTTSSATLQSREASALLKWKTSLDNHSQALLSSWSGNNSCNWLGISCNEDSISVSKVNLTNMGLKGTLESLNFSSLPNIQTLNISHNSLNGSIPSHIGMLSKLAHLDLSFNLLSGTIPYEITQLISIHTLYLDNNVFNSSIPKKIGALKNLRELSISNASLTGTIPTSIGNLTLLSHMSLGINNLYGNIPKELWNLNNLTYLAVDLNIFHGFVSVQEIVNLHKLETLDLGECGISINGPILQELWKLVNLSYLSLDQCNVTGAIPFSIGKLAKSLTYLNLVHNQISGHIPKEIGKLQKLEYLYLFQNNLSGSIPAEIGGLANMKELRFNDNNLSGSIPTGIGKLRKLEYLHLFDNNLSGRVPVEIGGLANMKDLRFNDNNLSGSIPTGIGKLRKLEYLHLFDNNLSGRVPVEIGGLVNLKELWLNDNNLSGSLPREIGMLRKVVSINLDNNFLSGEIPPTVGNWSDLQYITFGKNNFSGKLPKEMNLLINLVELQMYGNDFIGQLPHNICIGGKLKYLAAQNNHFTGRVPKSLKNCSSIIRLRLEQNQLTGNITEDFGVYPDLVYMQLSQNNFYGHLSSNWEKFHNLTTFNISNNNISGHIPPEIGGAPNLGSLDLSSNHLTGEIPKELSNLSLSNLLISNNHLSGNIPVEISSLELETLDLAENDLSGFITKQLANLPKVWNLNLSHNKFTGNIPIEFGQFNVLEILDLSGNFLDGTIPSMLTQLKYLETLNISHNNLSGFIPSSFDQMFSLTSVDISYNQLEGPLPNIRAFSNATIEVVRNNKGLCGNVSGLEPCPTSSIESHHHHSKKVLLIVLPFVAVGTLVLALFCFKFSHHLFQRSTTNENQVGGNISVPQNVLTIWNFDGKFLYENILEATEDFDEKHLIGVGGHGSVYKAKLHTGQVVAVKKLHSVANGENPNLKSFTNEIQALTEIRHRNIVKLYGFCSHSQLSFLVYEFVEKGSLEKILKDDEEAIAFDWNKRVNVIKDVANALCYMHHDCSPPIVHRDISSKNILLDSECVGHVSDFGTAKLLDLNLTSSTSFACTFGYAAPELAYTTKVNEKCDVYSFGVLALEILFGKHPGDVISLLNTIGSIPDTKLVIDMFDQRLPHPLNPIVEELVSIAMIAFACLTESSQSRPTMEQVSRSL >RHN62217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41785925:41804014:-1 gene:gene24769 transcript:rna24769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein MFPWNIAKSAEAVFSRWALKRVCKFFLKKKLGQFILGEIDLDQLDVQFSEGTIQLTDLALNLDFINAKLGKTASIMVKEGSIGYLLVKMPWSGKGCEVEVNELELVVSPCIGRTATSEDEVCCSDVGNDNCEIKYSSNRTKNELGDDAMKSISMDVHEGVKTIAKMIKWLLSSFHVKITNVIVAFDPTLDSDEGKEMDCHRTLVLRVSEIECGTSLSEDTESNVDVLGISQLTNFVKFDGAVLEILKIDNENKHKLEAGCGEAGLGSNKSMCPVMTGKQGGFGGNIKLTIPWKNGSLDICKVDADVCVDPVVLRLQPSIIEWLLKSWGTLKNLNKDVKGCKDHNLREPSHLNSALSCPSSTSISITNATGDMITGHRSLPADCASLTQPEDPESLEAVLPAANLISDWVPFSTHLNHKDGIQEPDFGASVDQFFECFDGMRNSQSALGSSGMWNWTCSVFSAITAASSLASGSLHIPSEQKHMETNLRATFSGVSVVLSFCDDEQSHFYEHKIGSTAGSQIDYLGAECNEIVVALKVCPQMITFDGTVKHVEVANFLNIGSDADNQSALVGHLQTKVLDALPLSTSYNLYSDSLIGPVATDFPFGNKDCLLKVTLFKTSGVTKCKFTVQSSSSDGCVTRLTSFSLYMPPFIFWVIFSVINVLTNLLKEIEKSLEVHNKAEEVLSEASDEKCGLSQNDAKGSFSPCVTSLSSTECLHGDISISSARVILCFPFERAGDHTDSFSWEKFIALDFTPLSPLNKGCTPDGSQTSSASSKKRFPFEAAQSLQLNFCDLDIYLITSASNDSDRISSNDVKKEKFSGSCFLSIARRRGCFSVFRAVWQEGQVTGPWIAKKARLFVNSEQSMGKDDFAGGGYEYSSASTVKDLEDWKSQTHQEMILSSSFLMHVHLSEVVINVNDSQYQVIYQLLLQMVNELTCGTSQEANVDKKKSVSQSSVFLECDSVEILISRDTSESIESSIKSELPGQWHQFKLRVQRFELLSVTNTGGVKAASFFRLTHDEGKLYGFVTGVPDHEFLLVTCNNSSVKRGNGGGSNALSSRCAGSDIVFLSDPEISHKITSIAVSCGTVIAVGGRLDWFVVIASFFSLPTSNTEDADDTSIPKGEQDISYTTCFVLSLIDIALSYEPYTKNLVQTEVLDSESGSSYFKEDMGEQCVSCLLAASNLSLSSSSMSDSDESVFQIRVQDLGLLLHLISKLDSLPGTYSVEHLQKSGYVKVAQEAFLEAILKTNCASGLLWELELSKSHLYVETCYDTTAALIQLAAQLQLLFAPDVEESIVHLQNRWDNVQQAQQSDEFNNESKHLRCDTMASTSEQCSPMTYSKDGSSIAGLMDEICEDAFQVNDNDAWQSCSFESGFYMPQDGSLIEAGKMNLDAPEVLSPELLWTESVPVIGPEGSHATFLQDGGFPEIIESYCLSDLRPLSELSIDIHSDELSKIMLRNVSHREIERGSGGWYGGNSSKVLENHISEENGKTGPMKAHHDVLHSNDCPSRSETCGRVILKKIDIRWKMYGGSDLVDSGKNAQHSGRNTSVCLELALSGMKFQYDAFPVGGLHVSKMSLSVQDFYLYDRSQNAPWILVLGYYHSKGHPRESYSKAFKLDLEAVRPDPLTPLEEYRLNVAFLPMLLHLHQCQLDFLVDFFGRKNSSNDQFPNNCHELEGSKSFPERSKDHACHSIVQEALLPYFQKLDIRSIIVRVDYSPNHVDLAALRRGKYVELVNLIPWKGIEINLKHVHASGIYGWGSVCETALGEWLEDISQNQIHKILRGLPTVRSLIAVGTGAAKLISSPVENYKKERRVIKGLQRGTIAFLRSISLEAVALGVHLAAGAHDFLLQAEYSLSSIPSSVAQPVKEKSKTGVRSNQPKDAQQGIQQACESLSDGLGKSAAVLVQNPLKKFQRGSGAGPALAAAVRAVPAAAIAPASACASAVHYALLGFRNSLDPERKKESMEKYCPTQPWEED >RHN41685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32044645:32055064:-1 gene:gene48035 transcript:rna48035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipid-translocating ATPase MRGGKNRKLHLSKIYSFTCFKASSVDGDHSQIGSKGYSRVVLCNEHDTTTTTTTTATASEEAVIRNFADNYVRSTKYTAATFFPKSLFEQFRRVANFYFLVTGVLAFTKLAPYRAVTAILPLVVVIGATIVKEGIEDWRRKKQDIEVNNRRVKVHKVDGIFEYTAWKNLRVGNIVKVEKNEFFPADLLLLSSSYEDAVCYVETMNLDGETNLKLKQGLEVTSSLHEDLNFKKFKATVKCEDPNANLYSFVGSLEFEGQNYALSPQQLLLRDSKLRNTDYIFGAVIFTGHETKVIQNSTDPPSKRSRVERKMDRVIYFLFCILFLMASVGSIFFGFITKDDLNNDSVKRWYLRPDDSTIFFDPKRPAAAAIFHCLTALMLYGFFIPISLYVSIEIVKVLQSIFINQDIHMYYKEADKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGVAYGRGVTEVEKTMDRRKSSSLVHECDISEEDHIRVSLDKKAAIKSFNFTDERIMNGNWVNEPHADVIQKFFRLLAVCHTAIPEIDQETGNVSYEAESPDEAAFVIAAREIGFEFFKRAQTSLSTCELDPVSGKKVERMYKILNVLEFNSSRKRMSVIVQDGEGKILLLCKGADSVMFERLAKNGREFEEKTMEHVHEYADAGLRTLILAYRELAAEEYKEFDNTFSEAKNLVSADRETLIEEVSDNIEKNLILLGATAVEDKLQDGVPDCIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIIHLDNPEIQALEKDGDKIAITKTSRQSVLSQLREGAAQLSAHRGFSQQAFALIIDGKSLAYALEDDMKNMFLELAIRCASVICCRSSPKQKALVTRLVKSGTGKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYRRISSMICYFFYKNVTFGFTLFLYEVYASFSGEPAYNDWFLALYNVFFSSLPVVALGVFDQDVSARYCLKFPLLYQEGVQNVLFSWRRVLSWMLNGFFSALLIFFFCTKAMELQAFDHEGNTAGREILGTTMYTCVVWVVNLQMALSISYFTLIQHFFIWGSIFFWYIFLIIYGSLPPRFSENAYAVFVEALAPSSSYWIVTFFVVISTLIPYFSYKAIQMRFFPMYHEMVQWIRYEGKTEDPEYCAMVRQISLQPMTVGSTARLAAKANQLSDKIIKHR >RHN71686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1907854:1910673:-1 gene:gene7311 transcript:rna7311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP12 MGVEKQIVRPGNGPKPTPGQNVTVHCTGYGKNRDLSQKFWSTKDPGQNPFTFKIGKGSVIKGWDEGVIGMQIGEVARLRCSPDYAYGASGFPAWGIQPNSVLEFEIEVLSAE >RHN40222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14356450:14359310:-1 gene:gene46345 transcript:rna46345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MAPPPMKRQRTNSFKLNSSSSDLDLPDDIWERVFRLLKNNDDDDHRYLKSLSVASKHFLSVTNRHKFCLTILYPTLPVLPGLLQRFTKLTSLDLSYYYGDLDALLTQISSFPMFKLTSLNLSNQPILPANGLRAFSQNITTLTSLICSNLNSLNSTDLHLIADCFPLLEELDLAYPSKIINHTHATFSTGLEALSLALIKLRKVNLSYHGYLNGTLLSHLFKNCKFLQDVILLRCEQLTVAGVDLALRQRPTLTSLSITGTVTTGLEYLTSHFIDSLLSLKGLTSLLLTGFHISDQFLSSIAMESLPLRRLVLSYCPGYTYSGISFLLSKSKRIQHLDLQYADFLNDHCAAELSLFLGDLLSINLGNCRLLTVSTFFALITNCPSLTEINMNRTNIQGTTIPNSLMDRLVNPQFKSLFLASTCLQDQNIIMFAALFPNLQQLHLSRSFNITEEGIRPLLESCRKIRHLNLTCLSLKSLGTNFDLPDLEVLNLTNTEVDDEALYIISNRCPALWQLVLLRCDYITDKGVMHVVNNCTQLREISLNGCPNVQAKVVASMVVSRPSLRKIHVPPNFPLSDRNRKLFSRHGCLIVR >RHN70213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49709256:49711294:-1 gene:gene18770 transcript:rna18770 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWNCRDRMPILVEQKVIPRESQSQHLYQTNSHQLVPTRDLVQRGANTSAFTKLTSRLNFLKVGRSQAVNELHNTDRGRESSNSSRNQDKGKGSERRGSDVINPEKGRVMDNSHSLSEKRSSKSKSHQNSEKLKKSDSQPGYHSEGWDQHPAYLERGRSEGHHQSYNVDKGR >RHN53733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3634523:3639200:1 gene:gene28672 transcript:rna28672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenine phosphoribosyltransferase MSAYTNHNQDPRLHGIKTKIRVVPDFPKAGIMFQDITTLLLDPKAFKDTIDLFVERYKGKNISVVAGIEARGFIFGPPIALAIGAKFVPLRKPKKLPGKVISQEYILEYGRDCLEMHVGAVETGERAIVVDDLIATGGTLGAAMNLLERVGAEVVECACVIELPELKGRERLNGKPLYVLVEYFDI >RHN77638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8336870:8338903:1 gene:gene1111 transcript:rna1111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MLFMDFSMNLIYTILLHLILFLVVCFETKAIVKLQPNVSIPAVFVFGDSITDTGNNNFKKTIARCDFAPYGKDFPGGIATGRFSNGKVPSDLIVEELGIKEFLPPYLDPKLQPSELTTGVCFASGGAGYDDLTSKLLTAISLSSQLDSFKEYIGKLNALVGENRTKFIIANSVFFVEFGSNDISNTYFISRVRQIKYPEFSSYADFLVSLASNFTKEIYKLGARRIGIFNVPPLGCVPMQRTLAGGFERKCVEKISNATMLYNDKLSKEIDSLKQNLSNSRIVYLDVYSPIQDVIANEQKYGFLNADRGCCGTGRVEVAFLCNRLAHTCSNDSEYVFWDSFHPTEAMYKRIIVPLLQKYMNQFV >RHN80442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38178754:38179335:1 gene:gene4370 transcript:rna4370 gene_biotype:protein_coding transcript_biotype:protein_coding description:22.7 kDa class IV heat shock protein MRLEQLNMLLVPFLLLILVGYFPSNAKGSLLPFIDSPNTLLSDLWSDRFPDPFRVLEQIPFGVEKNEPSMSLSPARVDWKETPEGHVIMFDVPGIRKDEIKIEVEENRVLRVSGERKKEEEKQGDHWHRVERSYGKFWRQFRLPENVDLDSVKAKMENGVLTLTLNKLSQDKTKGPRMVSIAEESEHPSKQEL >RHN58317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:740920:742113:1 gene:gene20217 transcript:rna20217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MILCLKNSLVGELAGIAVGATVMLNILIAGPATGASMNPVRTLGPAIAANNYKGIWLYLIAPILGALGGAGAYTVVKLPDEEFNSEVKASSAPGSFRR >RHN45492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21400123:21400890:-1 gene:gene39838 transcript:rna39838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin MVILKEGEKLYMGLVAIMTSHVKEISKSIEEATQGDFFLEELNRKWNDYKDAILNVRKVLLYMDRVYVIHNNKTRIHDLGMNLWRDNVVNSTQIVQSQLKKTLVKLVHRECIGEVINRDLTDNILMMLKDLGDSVYETLFEIPFIEVSAEFYRGEFQKLSEYCDCGDYLRKAENHLIKGLIRVNHYLDSISQKKIYNAMYKEIIENHMLRLIRIENSWLVTLFLNNRYEDLRNLYQIFSTYPNGLFTIQKVANLC >RHN44611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6801422:6803775:-1 gene:gene38750 transcript:rna38750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PC-Esterase MRWNYPSYNFTMASFWTPFLVRSKKSDLKGPSSTGLFNLYIDEPDENWITQIEDFDFVILNGGHWFARSMVFYEKQKIVGCHYCLLENVPDLTMYHGYRRAFRTAFKAINNLKNFKGITFLRTLSPSHFENGLWNQGGNCVRTKPFRSNETQLEGFNLELYMIQLEEFKIAEKEARKKGLKFRLYDTTKATMLRPDGHPSKYGHWPNENVTLYNDCVHWCLPGPIDTWSDFLLDMLKMEGGKIPIYYIATYQTWWGCHEIKR >RHN58970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6314230:6316283:1 gene:gene20942 transcript:rna20942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MTNLFLLDLSHCQLYGTFPNSLSNLTHLTDLRLSHNDLYGSIPSYLFTLPSLERISLASNQFSKFDEFINVSSNVMEFLDLSSNNLSGPFPTSLFQFRSLFFLDLSSNRLNGSMQLDELLELRNLTDLTLSYNNISIIENDASVDQTAFPKLQTLYLASCNLQTFPRFLKNQSTLGYLNLSANQIQGVVPNWIWKLKSLSLLDISYNFLTELEGSLQNITSNLILIDLHNNQLQGSVSVFPESIECLDYSTNNFSGIPHDIGNYLSSTNFLSLSNNSLQGSIPHSLCKASNLLVLDLSFNNILGTISPCLITMTSILEALNLRNNNLNGSIPDTFPTSCVVNFHANLLHGPIPKSLSHCSSLKVLDIGSNQIVGGFPCFLKHIPTLSVLVLRNNRLHGSIECSHSLENKPWKMIQIVDIALNNFNGKIPEKYFMTWERMMHDENDSISDFIYSMGKNFYSYYQDSFANLFFLSYLNLSFNHLVGKIPTGTQLQSFEASSFEGNDGLYGPSLNVTLYGKGPDKLHSEPTCEKLDCSIDWNFLSVELGFVFGLGIIITPLLFWKKWRVSYWKLVDKILCWIFRRMYFEYATDRGQTYRILRW >RHN46476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32100606:32102139:1 gene:gene40966 transcript:rna40966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA oxidase MTRSNPQDKIGNMPSYFDLPPLDVSLAFPQATPASTFPPCASDYFQFDDLLKPEEQAIRMKVRECMEKNIAPIMTQASSFSFCFFKVFNANIFVSPPRVNIKFSEDATCIGLVSKNICFDILIWSSNLSMC >RHN75912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46111456:46112254:-1 gene:gene12172 transcript:rna12172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exosome complex RNA-binding protein 1/RRP40/RRP4 MRCIFEEEDVICAEVVRDFQHDGLYLQARSQKYGKLSSGQLLTVAPYLVKRQNQHFHHLEHYGIDLILGCNGFIWVGEHVEARDDMIEDQINQSDPQVLIPNKNYVSLEEQEKTILDWRQVNTYAGLLMLLEHCPL >RHN43150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43585977:43591531:1 gene:gene49681 transcript:rna49681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGAPKQKWTSEEEAALKAGVAKHGVGKWRTIIKDPEFNRVLFIRSNVDLKDKWRNLSVMGNGSSSREKSKGAIKRLNHPVPKQDDNSMAITAVTGPSDDDEIVDAQPLQVSRDMPHIPGPKRLDNLILEAISSLNELGGSNTTTIASFIEDQYRPPADFKKLLSAKLKYLTSSGKLIKVKRRYRIAPTPSYSNRERQPPMLLLEGRQKTSMKLDRVERKYPTKSEIDLEIEKVRSMSAQEAAAYAARAVADAEAAIAEAEEAAKEAEAAEADAAAAEAFAEAALKTLKGRNTSETTIPS >RHN48638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49067629:49068324:-1 gene:gene43377 transcript:rna43377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Hemopexin-like domain-containing protein MTKSGYIRAAFRSSRHNEAYIFINDDKYVLLDYAPGTTNDKILHGPSLVSDGFKSLAPTIFQSYAIDCAFDTDNNQAFIFHENFCALIDYAPYSCNDKLISGPKKIADVFPFFKGTVFESGIDAAYRSTKGKEAYLFKGDQYARIDYGINRLVSSIKSIKGFSSFCGTIFENGSFDAAFSSHKTNEVYIFKGDHYIRVGVNPGVPKDNLIGGVARIIDNWKSLGSMIPLKN >RHN46633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33526753:33528596:1 gene:gene41150 transcript:rna41150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubby-like domain-containing protein MAYPYQPQQYASAPPMPAHPTAIFGQQYCAPYPVDLAVVKKVMTISDGNFAVTDVNGNIVFKVKGSLLTLRDRRVLVDAAGYPIATLRRKIMTMHDRWEAFRGESTDAKDLIFTLKRSSLIQFKTKLDVFLASNKKENVCDFKVKGSWFDRSCIVYAGESNNIVAQMHKKYTVQSILIGKDNFMVTIYPNVDYAFIVALIVILDEINRDDKDVVY >RHN61782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38315841:38321218:-1 gene:gene24277 transcript:rna24277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TPX2 central domain-containing protein MAVEEGTGGGGTTIIDEIYEFCAPRFFDFLKGESDDDVRRAELWFHTALSYAPSPFMPKIKAGRSITVDTLCDFSEADNMPKVEDSVLESNTRPQSITSKVKEDDRAKEEKVTIVPHMNSTTKKEDAPCCEAEENSSTSLEDGVGKKMSKGEILNTATSTRGASVEVGKDACTPKPALRKSVNATSTNSKKQQNSKKVSTNTKTRLQSGASKSAAGTLHFTQENQAIKRQKLEGGKTRQILNLKPQTLPHDKSKLGYSSSTSKTQKEDRKVYVRDTPKTPAAPFKSMAEMMKKFESSTRDLPLPNTLSHTKPKLTLTKPKSPEFETNQRVRPARVKSAAELEEEMMAKMPKFKARTLNKKILQTSTLPPMPRSTPQPPEFKEFHLETLARAHQNTDSASKASSEVSHKENSSKPHLTEPKPPLLQTSLRARPPTVKSSLEIEQEELEKIPKFKARPLNKKIFESKGDIGLFCHMKKHVTEPQEFHFATSERFPPPTAVADLFDKLSLKSEPARNTIPRTTTPNPFHLHTEERGAEKEKKLYMELLQKELEEEATRVPKANPYPYTTDYPVIPPKPEPKQCTKPEPFQLESLVRHEEEMQREQEERLRMERQEAQMRKFKAQPVLKEDPIPVPEKVRKPLTQVQEFDLHLNHRAVDRAQFDQKIKEKEVVYKRYREESEAARMIEEEKALKQMRRTMVPHARPVPNFNNPFCPQKTSKTTKPKSPNLRVLQRRRFNGSTTSSPATSMR >RHN66524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13328204:13328815:1 gene:gene14527 transcript:rna14527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soyasaponin III rhamnosyltransferase MKIKAWLDSKESSSVVYIGFGSETKISQQDLIELAHGIELSRLPFFWALKNLKEGTLELPKEFEERTKERGIVWKTWAPQLKILAHGSIGGCMSHCGSGSVIEKLHFGHALVTLPYLIDQCLFSRVLEEKKVAIEVPRSEKDGSFTRDSVAKTLRLVIMDEEGSTYRNNAKDMGKVFSSKDLHNQYIEDFIVALQKYRVHSND >RHN58729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4338856:4342136:-1 gene:gene20666 transcript:rna20666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MQMAIKEHQILVLHTNLGIRITFHSLVAFLTTILVVTAIYLTQEGGQWSLEKTITSESMSKCNLFDGEWVFDNESYPLYKEQQCKFMSDQLACEKFGREDLSYQNWRWKPHQCDLPRFNATTLLERLRNKRLVFVGDSLNRGQWVSMVCLVESSIPSSLKSMQTIANGSLNIFKAKEYNATIEFYWAPLLVESNSDDPVNHKVPDRTVRVQAIEKHAKYWTDADIIVFNTFLWWRRKAMNVLWGSFGSPNGVYKKVAMVRVYEMALRTWSDWLEVHINRNKTQLFFVSMSPTHQRAHEWGGAKGENCYKERDQIKEEGYSGNGSVPKMMQVVENVLQDLKRRGLNVQLLNITQLSEYRKEGHPSIYRKQWEPLTEEQISNPKSYADCIHWCLPGVPDTWNELLYAYIFHR >RHN81645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47777447:47778039:-1 gene:gene5716 transcript:rna5716 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFLYVAGSGVFGPSFFLAVLFCCESLYLVFCSPSTPYATLLLDCLCLE >RHN65475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2506213:2511442:1 gene:gene13318 transcript:rna13318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MAQSSDAVSSHPLTVETTTTQKNRSTGTLTCPPPELPTLPFDVLPEILCRLPVKLLVQLRCLCKFFNSLISDPKFAKKHLQLSTKRHHLMVTSKNNLGELVHHDSPIPSLFSTSTVITQTQLYPPTNLTNGHKFMLVRCYCDGIFCCVVLNGVSFFLWNPSIRKFKLLPPLENSRGHVFQISFGYDHFIDDYKVIGVSSENEVSVYTLGTDYWTRIKDIPYSDPIYGNGVFVSGTVNWLACDDSCILSLDLEKESYQQLFLPDFENENDSLILSVLRDCLCVFATIDRILNVWIMKEYGNRESWTKLYSVPNMQDRGLDAYEDLEPYTVSYISEDDQLLVGFFHFQSRIRKLVVYDSKTDTLNIPEFQNNYEPEYSNVYIESLISP >RHN82737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55996668:56009481:-1 gene:gene6921 transcript:rna6921 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVNKAVEVGNKNNLTNTVKKYADTVVQHAGQAVAEGAKILHDRISARNYRSVAQTVKRLEEAAISHRGPERVQLLRRWLVVLKEIENLSGASAEGKEKTLEQHLAVEDIKENPQRPSLVLYYDSDVGGEPLNFRDVFLQSQALEGITLSMIIEAPNEEEVSLLLEMFGLCLTGRKEVHNAIVSSLQDLATAFSSYQDEVLVKREELLQFAQRAITGLKINSDLARIDAEASSLRKKLSEITTSQGVVNKVDYKAAEETEATLKALKVALGQIRICSRLEGLLLKKKNISNGDSPEVHAQKVDKLKVLTESLVNSAAKAEKRISDNRQQKEEALKVRVTKGGETSEKEKELTAEISELQQKKDDLEAELKKINTSLAAAQARLWNVREERDQFEEANNQIVEHLKIKEDELTKSISSCRVESDVIKTWINFLEDTWVLQQSNTEIYEKQVSDELERHEDYFVNLAIQLLTTYQKELEPCINHIGTFVVNLKNLTQRLEMTTSADTEDSQVLSPRRNLEEEYLTYEAKIITTFSVVDNMKQQFYAQQGNISRKDEERVKELFDAIEKLQTHFEAIERPVLEIESPPVKTETLPSEKKSDGTPSPSASVGGTEFSKTETSEQPKSPSLKSDQVLDHEAELAKLESEFGKVSTQDYSTEEINDWEFDELEREFVSGSNK >RHN54701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11430355:11431804:-1 gene:gene29776 transcript:rna29776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA repair Rad51/transcription factor NusA, alpha-helical MHFSVISQGIDVVDVKRLIDADISSCQQLLLLHSKMSLNQIEGMSSDKVNRILEAAQSLVGSVDDFIEEHIKGATEEQRNETISMLRSHLEKTFDLDTLKLAKAFKGLIEEEDKENQLLSYEIFVAMISNNLQEDDQSMVLRMLMQSTLEALTLPNLRTHISTFQFLGHLAHWNPPFSSADAEKVVVLII >RHN80057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34670203:34676769:-1 gene:gene3933 transcript:rna3933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-beta-hydroxyhyoscyamine epoxidase MEKFVSNRSSIKSVPEDYVFPQETRPGNFKIPINKTIPIIDLSEAQNGDRTKTIQQIIKAAEEFGFFQVINHGLSLDEMKETMSIFKEVFEKPNEYKHDLYPEEILKTCKMFSSTLKYECDKVHLWRDSLRHPAYPLEEWQHLWPEYPNTYRECVGNYSVKIKELGSRIMNLISEGLGLECGYFDNDNLSDSLVISLNHYPPCPDPSLTLGVIKHFDAYLITVLQQEDICGLQVLKDGEWIAVDAIPHAFVINIGCALQILTNGKLKSAEHRAVTNSDQARTTAAFFIAPSGDCFIEPTKDLIDEHNPPIYKSYKYKEFLTRYFQKQYDMDMVLKSYEEEEPKD >RHN66730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17766464:17766901:-1 gene:gene14797 transcript:rna14797 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKTYLTNGSLVYEAASQREIEKEEIRQKILRQKELEEEVWRELAMERTFGTMQRSVDHIGVSQPSLIMAPPEIKHSTQIRNNKDKIILLVKFHNFFYSLLFVLCNCYVLTLY >RHN54388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9184769:9188174:1 gene:gene29412 transcript:rna29412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MDDSPVRRLSRSPSPWRAQSRSRSRSRSQSGSLDRHRPRSLSRSPEMQRPRSRSRSPEMQRPRSLSRSPEMQRPRSRSRSLEKQRPPSQSMSREMQRPRSRSRSLERQRPRSPSRNRGRSRSRSPDRNGGDTLYVTGLSSRVTERDLEEHFSKEGKVASCFLVVEPRTRISRGFAFVTMDTAEDANRCIKYLNQSILEGRYITVERSKRKRPRTPTPGHYLGLKNTRDYGPRGGDRGRHQGGFGRDDYPYHRSPRRSPYRGSRDYSPRHSPYGGSGRYRRDRSRSPPYAPYGSPDRRYARGPR >RHN58988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6418129:6421219:-1 gene:gene20966 transcript:rna20966 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWTLLEGLLLFANALAILNEDRFLARRGWTLAEMTGPRRNSLKGQVIGLIYACQFLRLPLILFNIIIIIVKLFSG >RHN41910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34029682:34033448:-1 gene:gene48283 transcript:rna48283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDEKVDWSHLPKELWSKIGKYLDNHIDILRFRSVCESFRSSIPPSHPNSPSFPLQIPHTIFDSLYYLHQSTIYLIEPTNGSSNFNLPPLAPSYTKGWLIKVEESNNNPLKSLLSPISDCKLSYPLDSNNSSPIIWNLLNYRVIELCKSYTFQKTLYSPSYVSKVVFYPNSPRINVGDCVACCIFQEGKLGFMKYGDTKWKLVDENNFFYDDVIVFKGLFYVTDRWGTISWIDISSLRLIQFAPPLCGFGNKKHLVESCGNLYVVDRYYEGENMRSNNVGRHHDQDAVVECFKVHMLDEEWGKWVDVKNLRDRAFVLSRSCNFSVSAKELIGYQGNCIYFKDTFNARMYNLDDNIIITIDLDPCIDKTLLSHSRWMRC >RHN50248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5486653:5491180:1 gene:gene34517 transcript:rna34517 gene_biotype:protein_coding transcript_biotype:protein_coding MMFFHFLKHCPCMFTFLIFTLFYTSTEAYDPLDPNGNITIKWDIISWTADGYVATVTMNNFQQYRHISSPGWSLGWTWAKKEVIWNMVGSQTTEQGDCSKFKGNIPHCCKKDPTVVDLLPGTPYNQQTANCCKGGVLSSWAQDPTNAVASFQVIVGRAGTTNKTVKLPQNFTLKAPGPGYTCGRAKTGTPTKFTTPDKRRVTQALMTWNVTCTYSQFLAQQTPTCCVALSSFYNDTIVPCPTCSCGCQGNSPQSGSCIDPSASHLASVVNGFPKNSITPLVQCTSHMCPIRVHWHIKLNYKEYWRVKVTVTNFNYRMNYSDWNLVVQHPNFDNLTQLFSFNSKPITPYGSINDTAMLWGVKFYNDLLMQAGPIGNVQSELLFRKDSNFNFDKGWAFPRRIYFNGDNCVMPPPDAYPWLPNAGSKQKVSFLALVMASLVALVLHAYS >RHN42215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36393816:36411685:1 gene:gene48630 transcript:rna48630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MGETTELDVISSPQFQQRFKHDQKNLCCIKWFSLVKRCIFVVFYKKKNKDDFDSCVGDDDDKQKMGKDVEGKLRELLKILDKENFGKKISGSILKGPFDVPANPEFTVGLDLQLIKLKVEILREGRSTLLLTGLGGMGKTTLATKLCLDDQVKGKFKENIIFVTFSKTPMLKIIVERLFEHCGYPVPEYQSDEDAVNGLGLLLRKIEGSPILLVLDDVWPGSEDLVEKFKFQISDYKILVTSRVAFSRFDKTFILKPLAQEDSVTLFRHYTEVEKNSSKIPDKDLIEKVVEHCKGLPLAIKVIATSFRYRPYELWEKIVKELSRGRSILDSNTELLIRLQKILDVLEDNAISKECFMDLALFPEDQRIPVAALIDMWAELYGLDDDGKEAMDIINKLDSMNLANVLIARKNASDTENYYYNNHFIVLHDLLRELGNYQNTQEPIEQRKRQLINTNESKCDQRLREKQQGTMAHILSKLIGWFDKPKPQKVPARTLSISIDETCASDWSQVQPALAEVLILNLQTKQYTFPELMEKMNKLKALIVINHGLRPSELNNLELLSSLSNLKRIRLERISVPSFGTLKNLKKLSLYMCNTRLAFEKGSILISDLFPNLEDLSMDYCKDMTALPNGVCDIISLKKLSITNCHKLSLLPQEIGKLENLELLSLISCTDLVELPDSIGRLSNLRLLDISNCISLSSLPEDFGNLCNLRNLDMTSCASCELPFSVVNLQNLKVTCDEETAASWESFQSMISNLTIEVPHVEVNLNWLHANRS >RHN73494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17047789:17049874:-1 gene:gene9312 transcript:rna9312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MCNISMIPTYRYSASSPPMPFFDLNNEDHNHHLFTTNQQATSSSSSLSYSILFNQNQDQTNSYSWESNHILSDGVEVKNFVPSSESWDHQEVEKDAKDWKKEEDNENFRDEGRISMKWMPSKKRMIKRMMEDQRASEQEFEKQIKQLSPNLVGTEDSSNNNFSNNSTVRVCTDCHTTKTPLWRSGPTGPKSLCNACGIRQRKARRALAAAANGETLVVAEKPYVKGKKLQIKRKRSKTDQCAQLLKRKGKSENKCNNFEDLITSWSNNLASHQVFPQDVKEAAILLMALSSGLLNGCSSDEC >RHN65115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64320540:64324560:-1 gene:gene28016 transcript:rna28016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, CRAL/TRIO domain-containing protein MDAMNQLQELIIKVDHPLQTTFQNVHQGYVTENLTRFLKARDCDPSKAYQMLVDCLNWRVQNQIDNILSKPIIPAHLYRTIRDSQLIGLSGYTREGLPVFAIGVGLSTFDKASVHYYVQSHIQMNEYRDRVILPSASKKHGRPITNCVKVLDMTGLKLSALNHIKLLTIISSIDDLNYPEKTHTYFIVNAPYIFSACWKVVKPLLHERTRRKVQVLSGCGREELLNIMDYASLPHFCRKEGSGSSRHSEGGSENCYSLDHPLHQQLYNYINQQARLREAVAPIKQGSFHVDFPEPPDVDTRIAKTIESGFDSLTLNGNKERLNNWTGAQA >RHN55884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25127334:25128961:1 gene:gene31163 transcript:rna31163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKNDRTKKRSQSNTPIIPDELIAEILILLNPKTIALFKCVSKSWNTLVSDPIFIKNHLKKSSQNPRLILTPLTSKYPISNVESFSVSRLLENSSIIVSGDNCHGSEDTCHVVGSCNGLLCLLFHSRYKKSFYVYKKYWFCLWNPATRTKSEKLGIFKDYVNIYLSKPYKFTFGCDISTGTYKVVAISEKPVLSKQGEEEDVVSWKCEVRIFSFGDNCWRKIQDCPLIPVCVMNILINRINNGVHLNGTVNWLCLPDFLMPSYEHGWKSITNAKQFVIVSLDLSTETYKQLLLPQGFDEVPEYQPSLHVLKDCLCFSHDFKTIEFVIWQMKEFGVQESWTQLFRIDYYKIYHNLNFYGLTECGIPLLPLYLSTDGDTLILANSEDDRAIIYNRRDERVERIKISNKLCWFSAMDYVESLVSTCWKSANLTPSTYSVHASMVVDDDNEIGDIVGDSGAEEEGPLLSENLDDDELSEDGEIVGGISEEEEGPLLSEDLDEDGL >RHN78967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20368644:20369061:-1 gene:gene2636 transcript:rna2636 gene_biotype:protein_coding transcript_biotype:protein_coding MILGLCFLIWRRAPEELATSFPLLFEAIALTLLLIWCGVGDGLVLGLFWWKRSWVVEIWRFEVLWLWRF >RHN72615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9168445:9176142:-1 gene:gene8358 transcript:rna8358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidate cytidylyltransferase MQKDTSPTSTSSSGGGRIRHRKRSNEVIPEVSKANGSGQLLVNDKSKYKSMLIRAYSSVWMIGSFVLIIYMGHLYITAMIVVIQIFMARELFNLLRRAHEDKQLPGFRLLNWHFFFTAMLFVYGRILSQRLVNTVNSDKVLYRLVSSLIKYHMVVCYSLYITGFIWFILTLKKKMYKYQFGQYAWTHMILIVVFGQSSFTVPSIFEGIFWFLLPATLIVINDIAAYIFGFFFGKTPLIKLSPKKTWEGFIGASVTTIISAFMLANIMGRSQWLTCPRKDLSTGWLDCDPGFLFKPESYSLLGWTPHWFPWKEISILPVQWHALCLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGLTDRMDCQMVMAVFAYIYHQSFVVPQSLSVEMILDQILMSLSFEEQEALYRRLGEVLQQGIQRMY >RHN57606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40486167:40488385:1 gene:gene33179 transcript:rna33179 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQLEEDKRTTEDLKRKMLKLSSLRNQTEMAIDISAKTQSTQCSNVKHLKNNLNVEKLQTKHTKLKYKLEASCCSILHHKLGCLKIGFVQLLCHFDVLDASFLSVSGSTQDQTMVSFTDELFSLVYNVLFVFATFYPLPSKACTYTNTHELGL >RHN49789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1136129:1136799:1 gene:gene34001 transcript:rna34001 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNMTNMIIFKSPEYSCLRICNVDDAKVIVIDQICTCSKLIFQPESNKHRTKTGSQKYTAQRQEIKTIRVVTVQLIYAINFFLITTAS >RHN70033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48216141:48218081:-1 gene:gene18573 transcript:rna18573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA hydrolase MNLESVKRNLEKREGETTSTVNGLPLGFLQPLIMSSLRVDLIEPGRVICSMNIPPRLLNSGNSLHGGATAALVDVVGSAAIPASGYLGRNTGVSVEINVSYLDAAYAHEEIEIEAKALRVGKTLATISVEFRKKKTGRVFAQGRHTKYLPTASKL >RHN41852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33595882:33598620:1 gene:gene48215 transcript:rna48215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MDPYRYPPSLDSPFSRSYADRGTIYGAPRGAMLGSGGVSDGYEVGSKRQRMMESNPYFAVSSGTGSYQHYGYAGGGFQPPPPFPVVRLRGLPFNCTDIDILKFFAGLTIVDVLLVNKSGRFSGEAFVVFAGAMQVEFALQRDRQNMGRRYVEVFRCKKQDYYNAVAGEINYEGIYDNDYQGSPPPSRSKRFSDKEQMDYTEILKMRGLPFLVTKSQIIDFFKDYKLIEGRVHIACRPDGKATGEAYVEFVSPDEAKRAMFKDKMTIGSRYVELFPSTPDEARRAESRSRQ >RHN64597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60279108:60294889:-1 gene:gene27425 transcript:rna27425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myosin ATPase MSAPVNIIVGSHVWVEDPALAWIGGEVTKINGGEVHVRTGDGKTVVKSISKVFPKDNEAPPGGVDDMTKLSYLHEPGVLNNLATRYELNEIYTYTGNILIAINPFQRLPHLYDTHMMQQYKGAAFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDNKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPAEEREKFKLGNPSSFHYLNQSKCYLLDGVDDAEEYLATRRAMDVVGISEEEQDAIFRVVAAVLHLGNIEFAKGEEIDSSVVKDENSRFHLNTTAELLKCDAKSLEDALIQRVMVTPEEVITRTLDPVAALSSRDALAKTMYSRLFDWLVEKINNSIGQDPTSKSIIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDVLDLIEKKPGGIISLLDEACMFPKSTHETFAQKLYQTFKNNKRFIKPKLSRTNFTISHYAGEVTYQADMFLDKNKDYVVAEHQDLLIASKCSFVAGLFPPSPEESSKSSKFSSIGSRFKSQLQSLMETLSSTEPHYIRCVKPNNVLKPAIFENVNIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLNRFGVLAPEVLDGNYDDKVACQMILGKMGMKGYQIGKTKVFLRAGQMAELDARRSEVLGNAARIIQRQTRTHIARKEFIELRRAAISLQSNLRGILARKLYEKLRREAAALKIEKNFKGYIARKSYLKARSSATILQTGLRAMKARDEFRFRKQTKAAIRIQAHFRRKIAYSYYKRLQKAAVVTQCGWRSRVARKELRMLKMAARDTGALKEAKDKLEKRVEELTWRLQIEKRLRTDLEEEKAQEVAKLRDALHAMQIQVEEANAKVIKEREAAQKAIQDAPPVIKETPVIIEDTEKINSLLAEVNCLKESLLLEREAKEEAKRAQAETEARSKELFKKVEDSDRKADQLQELVQRLEEKISNSESENQVLRQQALAVSPTAKSLAARPRSVIIQRTPENGNALNGEAKTPSDMTLALSNVREPESEGKPQKSLNDKQQENQDVLIKCISQDLGFSEGKPIAACVIYKCLLHWRSFEVERTTVFDRIIQTIASAVEAQDNTDVLAYWLSNTSTLLMLLQRTLKASGAASLTPQRRRTASSSLFGRMSQGLRGSPQSAGLPFINGRGLSRLDGLRQVEAKYPALLFKQQLTAFLEKLYGMIRDNLKKEISPLLGLCIQAPRTSRQGLVKGRSHANAVAQQALIAHWQSIVKSLNNSLKIMKANYAPPFLVRKVFTQIFSFIDVQLFNSLLLRRECCSFSNGEYVKTGLAELEQWCIEATEEYTGSAWEELKHIRQAVGFLVIHQKPKKSLNEITKELCPGLSIQQLYRISTMYWDDKYGTHSVSTEVTTTMRAMVAEDSTNAVSTSFLLDDDSSIPFSVDDISKSMQEVEVADVDPPPLIRENSGFGFLLARSE >RHN82448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53883504:53889651:1 gene:gene6615 transcript:rna6615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydrolipoyllysine-residue acetyltransferase MNTHSLSSSMISMSSSSSIRTPQFTTLLLPHRSSPRRKSFSFKIQAKIREIFMPALSSTMTEGKIVSWIKSEGDTLSKGDSVVVVESDKADMDVETFYDGILAAIVVDEGETAPVGAPIGLLAETAEDIAEAQAQAKSVKSASSSSSSPPQETSQSPPPPPPPAAVKSVSDGPKKITATPQAKKLAKQHKVDIASVNGTGPFGRITPADVEAAAGITPVKSNVAPVATPTPVAPKGGSSAAAPAAIPGSSNVAFTTMQSAVAKNMMESLSVPTFRVGYPVITDALDAFYEKVKPKGVTMTAILAKAVAMALVQHPVVNATCKDGKNFHYNSNINVAVAVAINGGLITPVLQNADKLDLYLLSQKWKELVGKARSKQLQPNEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVLADADGFFRVKSKMLVNVTADHRIIYGADLAAFLQTFSKIIENPESLTL >RHN69382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43016699:43018307:1 gene:gene17842 transcript:rna17842 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKFKMVSQIFRALLHITIASMVRTSPHSFKLSCLGSIYEKSSWVKINLTLLMEQFQFLLILIQVKAWNHCNMFVHSWIMNFVDESIA >RHN46564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32808003:32811259:-1 gene:gene41063 transcript:rna41063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGGCCSHDVALRGGGRIETEVNDGEYEYDDSEDNNNNINNNDAVTYQNDGTMVRLKGFSKFVSMYTQQGMKGVNQDSMTVWEDYCGEEGMVFCGVFDGHGPLGHKVSQFIRDNLPSTLSAAIKMAQQKTNKYYDANDVDTDNFDDVHHNNNRINNISLASWEGCFLKSFDEMDDHLAREVNTDSYCSGCTAVALIKQGDQLIVGNLGDSRAVLCTRDRDQLIAVQLTVDLKPDIPSEASRICSCEGRVFAAEEEPDVYRIWMPDDDCPGLAMSRAFGDFCLKDYGLIATPDVFYRKITKQDEFVVLASDGIWDVLTNNEVINIVASAPRKSTAAKMLVKRAVKAWMYKYPGSKIDDCAAVCLFLDDQPILSHSQSSFKHSKSRHRRSKHSKSHRNEDNETVAGKVGMELDEEWKALEGLARANSISKLPRLARNMSKRQSSKHLNGS >RHN71730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2301965:2302924:1 gene:gene7362 transcript:rna7362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MIPLYKPSPFSFFVSHTYPSPQKSKVAPNIYVNMEPWFIVLVTLCIIFLIRAILSLFTTTIPLPPGPLHIPIITNFQLLQKSISQLEPFLKTLHAKHGPIITVHIGSRPSIFINDHTLAHHVLVQNSSIFSDRPTALPTSKMLSSNQHNINTAYYGPTWRTLRRNLASEMLHPSKLKSFSEIRKWVLRTLINRLKTASESELTDSIKVMPHFKYILS >RHN49973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2793852:2794508:1 gene:gene34218 transcript:rna34218 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFDDYLLLQLLDETETCLLSAQCRILEGQIMRDAFEKDLLQVLPHRFDFCSEGHISDTFDYYVLPSKGEELLVLEAEEDEFFMKKINFKQMLPTQILHKDSYDKIFRSKDVLIEFMNNNEGSIEIFESQCERLFERVVEFEKFKIGDKFSVVGKKEDEGESINIKDGIVGKDTQKSQLWGDLDAQPNIHQQNISPIYFSDKAGEKEEIDDVTPTFV >RHN50676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9310709:9312977:-1 gene:gene34994 transcript:rna34994 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLFIVADFNIVFLCFASTMGLSSCFFHSKLVNVASILYFFLLITLASSSPFPSDNIFESAASTGRALLQDLKACKVDFENQNYTIITSQCKAPRYPPKSCCEAFKQFACPFADELNDLTTDCAAVMFGYINAYGKYPLGLFASECREGKKGLDCSLVKYFNNSSKSNTTSSVLVAAPHSMMLISIVGFFGFIFHLF >RHN44446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4828983:4831877:-1 gene:gene38567 transcript:rna38567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MVFGEDTIAEEIITKLSVTDEKEEENNLCISSIEILSIGFLKKKKLIVLDLNGLLADIVFPPPRHVKPDAFIARKALFERPFYYEFLNFCFERFEVAVWSSRLKKNVDSVIDYLMGDMKQRLIFCWDMSHCTETAFKTLENKRKPLVFKDLRKIWDKYDPNLPWERGYYNESNTLLLDDSPYKALLNPPYNSIFPHTFSYESQNDNSLAADGDLRQYLDGLANAENMSKYVEQHPFGQERIAETNESWDFYLNVINSLSVCQPEK >RHN47845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42999198:43000061:1 gene:gene42491 transcript:rna42491 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLTFEQLLIVLLKQDVKNEAEAYMLKELSLLKWKEKATKLAVADTGGKMDELQECVASLEENLKAERDKIQESKQVLKDLEATHNNNMKRQEELDNDTRKYKEEIKEFERQNVKHEENFMHMNRKIKKLEDKVEKDSSRIEALVKEGEDSNNMTPKLEDNIPKLQKLLTDEERVLEEITEF >RHN65824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5645755:5651926:-1 gene:gene13714 transcript:rna13714 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MEQQRMIFPSTKKMCTYDVFLSFRGEDTRYGFTGHLYNALHQRGINTFMDDEEIKRGEQISPTLFKAIQESRIAIIVFSKTYASSKWCLQELVKIVECFKAKELVIFPVFYNVDPSEVRNQKTSYGEQLAKYEEKMKEEVQSWRLALHETASLAGWHFRDGYEYEYEFIKRIGDTVCSTSVPNLSHVEDYAVGLEPRVSKILYRMQMSDPNVVMIGICGVAGIGKTTLARAVYDSIGQQFEGLCFLCNVREYSTKYGLAYLQQVILSDMVGENINLRNEIDGISILIRKLQSKRILLILDDVDKLDQLKNLAGAPSWFGCGSRIIITTRHKDILAAHGVGNIYDVPIFDYHEALHFLSAVASKIPNPEGVWDRAISYARGLPLVLKVIASDLFEKSTDEWEISLDRYEKVRNEGTQSIFEVSYNSLNECEKRIFIDIACFFNRETFSYVKEILSACGFYTKYGFDRLKDRSLISITPSGRLLVHDHIIGMAMNIVHQESPMNPCKRSRLWLPEDVLQVLDENAGNDKTEVMILDNLPQGEVEKLSDKAFKEMKSLRILIINDAIYSEVLQHLPNSLRVLYWSGYPSWCLPPDFVNLPSKCLIFNKFKNMRSLVSIDFTDCMFLREVPDMSAAPNLMTLYLDNCINITKIHDSVGFLDNLEELTATGCTSLETIPVAFELSSLRVLSFSECSKLTRFPEILCKIENLQHINLCQTAIEELPFSIGNVTGLEVLTLMDCTRLDKLPSSIFTLPRLQEIQADSCKGFGISTEFEEDNGPLNFTVCPNKIHLHLSSCNLTDEHLFICLSGFANVVHLDISYSNFTVLPPCIKQCINLKALVLTNCMQLQEISAIPQNLREIDASNCTSLTSQSQSVLLSQAYHETGEKTVMLPGSSIPEWFDHSSSERSISFYARKRFPRICVCVVFGMSENLPHHFLVRLCLTINGHKTILSPCSSWSILKEHVWQFDLRSIINNHNLTQTWLEHGWNHVEVSCIDCEDEHLMAQAVHGMRRMAIVKWYGVHVYRQENRMEDISYSQVPKFYKNDHDERFNVDNYPLSKRLCR >RHN39250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5285760:5287417:1 gene:gene45255 transcript:rna45255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MIFYYNGKQVNVGGTLAYVSQSSWIQSGTVQENILFGKPMDKRRYQKAIKACALDKDFNDFSHGDLTEIGQRGINMSGGQKQRIQLARAVYSDADIYLLDDPFSAVDAHTAAILFNDCVMNVLRKKTIILVTHQVEFLSEVDTILVMEGGRVIQSGSYENLLTTGKAFEQLVKAHKDTINELNQVNENKRDSENEVLSNPQDYHGFPLTKNQSEGVVLSIKGPIGAKLTQEEEKVIGNVGWKPFWDYVNYSKGTFMLCLIVSAQSIFLALQTSSTFWLAITIEIPKVTNVTLIGVYALISFSSAAFIYVRSYLTALLGLKASTAFFSSFTTAIFNAPMLFFDSTPVGRILTRVRFFHSQ >RHN68126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33112029:33116432:-1 gene:gene16427 transcript:rna16427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin target of PRMT1 protein MFFCHSGTVLDLFEDSLRAAGIQGVEADTKLYVSNLDRGVTNEDIRELFSELGELKRYAVHYDKNGHPTGSAEVVYNRRSDAFAALKRYNNVLLDGKPMKIEIVGTNSALPVTARVNVSSMNVSAMNGQRKRTVVMTPRGGRGGGPAMLNRGAGWGRRGGSRGGSGSMRGRGRGRPGRGGGRGRGRKDGVEKSAEQLDKELETYHAEAMQT >RHN52698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37830510:37830917:-1 gene:gene37396 transcript:rna37396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MSPFLHKVVLICVLPLLFVNNIVGVHVNVNNMLEGNLDLTLRCQSKDDDLGKQLLHHGQSFSWKFSPRFPPIFFQTLYFCSFAWTGEFHHFDIYVQGVEKLDNCDYCNWNVFESGPCRTPEKGDPICFPWNKTNK >RHN58777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4794636:4802348:1 gene:gene20721 transcript:rna20721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LAX4 MLSQNQAEEAIVTNMNETEQEGGSSLEEIAEDQSMFNFKSFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMVSGIVFQIFYGLIGSWTAYLISVLYVEYRARKEKENVNFKNHVIQWFEVLDGLLGRYWKALGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYMAIAAIVNGQIENVVHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYFLATLYVFTLTIPSAVAVYWAFGDELLNHSNAFSLLPKNGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALVRLPVVIPIWFLAIIFPFFGPINSAVGALLVTFTVYIIPALAHMLTYRTASARKNAVEKPPSFLPSWTAVYVLNAFIVVWVLVVGFGFGGWASMTNFIRQIDTFGLFAKCYQCKPPTPPQAPSPHARH >RHN73687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18863401:18863913:-1 gene:gene9534 transcript:rna9534 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPEDVMLSIFRKIPASGTKNLFRFRATSYLHYRLSNKKVVLMALPRECLCYISDHWPSAAKRKFMQQISRNGHEAYCVVIAAQLLQERCPNLEEIKLILKKAESHGSVGAKYLLLMFKVLAKDGFSMDEVLSDFKDLFERKQLAVALVEAFTPRFGVHVPLHFNQDL >RHN52408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35039248:35042822:-1 gene:gene37080 transcript:rna37080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MATQSPSSFTYQVFLSFRGADTRHGFTGNLYKALTDKGIYTFIDDNDLQRGDEITPSLKNAIEKSRIFIPVFSENYASSSFCLDELVHITHCYDTKGCLVLPVFIGVDPTDVRHHTGRYGEALAVHKKKFQNDKDNTERLQQWKEALSQAANLSGQHYKHGYEYEFIGKIVEDISNRISREPLDVAKYPVGLQSRVQHVKGHLDEKSDDEVHMVGLYGTGGIGKSTLAKAIYNFIADQFEVLCFLENVRVNSTSDNLKHLQEKLLLKTVRLDIKLGGVSQGIPIIKQRLCRKKILLILDDVDKLDQLEALAGGLDWFGPGSRVIITTRNKHLLKIHGIESTHAVEGLNATEALELLRWMAFKENVPSSHEDILNRALTYASGLPLAIVIIGSNLVGRSVQDSMSTLDGYEEIPNKEIQRILKVSYDSLEKEEQSVFLDIACCFKGCKWPEVKEILHAHYGHCIVHHVAVLAEKSLMDHLKYDSYVTLHDLIEDMGKEVVRQESPDEPGERSRLWFERDIVHVLKKNTGTRKIKMINMKFPSMESDIDWNGNAFEKMTNLKTFITENGHHSKSLEYLPSSLRVMKGCIPKSPSSSSSNKKFEDMKVLILNNCEYLTHIPDVSGLPNLEKFSFVRCHNLVTIHNSLRYLNRLEILNAEGCEKLESFPPLQSPSLQNLELSNCKSLKSFPELLCKMTNIKSILLKETSIEKFQSSFQNLSELSHLTISSANLKINLLKILRLDECKCFEENRAITLNPEKLSGFQCKLGHKSKGHTISFWFRKKIPSRAIILLLCLSMGINILFLTKHFAVWETRA >RHN69850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46759306:46760264:-1 gene:gene18374 transcript:rna18374 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRYNKKKSRRKLFEKHNSLPLKSSCKKNQHCSRSNGSPENKTRTI >RHN67914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31353015:31369782:1 gene:gene16167 transcript:rna16167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MLLHIGERSGEWFIWLEHLLKVLETSFLTDLVKSYVEKLINGAIEESSYICCFTCIAKDFEEEKARLEKERLTFKQRVEVATRSGEDVQANALFWEEEADTLIQEDTRTKQKCFFGFCSHCIWRYRRGKQLTNKKEQMKRLIETGKQLSIELPARYPDVERYSSQHYFHFKSRELKYRMLLDALKDDNNYITGLQGMGGTGKTTLAKEVGKELKQSKQFTQIIDTIVSLFPDIKKIQDDIARALGLELDDCNESDRPKILWSRLTNGEKILLILDDVWGNIDFNEIGIPYSDNHKGCRILITTRSLLVCKKLGCSKTFQLELLSDEEAWTMFQRHVGLTEISTKSLLAKGRKIANECKGLPIAIAVIASSLKGIQHPKEWDVALKSSQKHMSMNDVVDDDLVKIYGCFKFGYDYMKNEKAKKLFLLCSIFREDEEISLERLTRFGIGGGLVGEDYDSYEDARSQVIRSKNKLLDSCLLLETDQCRVKMHDLVRDAAQWIANKEIQTMRLYNKNQKAMVERETNIKYLLCEGKPKDVFSFKLDGSKLEILIVIVHKDGDCQNVKIKVSNSFFENIMDLRVFHLIHHRYSKLDISLPHSIQSLKKIRSLLFTGVNLGEISILGNLQSLETLDLDYCNIDELPHEITKLEKFRLLNLESCRIERKNPFEVIEGCSSLEELYFKRSFNEFCREITFPKLKRFSINANKRPLDESLSKCVSVAYNKDIFLSETTLKYCMQEAEVIRLRRIEGGWRNIIPEMIPLKHGMNDLVELELRSISQLQCLIDTKHVISQVSKVFSKLVVLELEGMDNLEELFNGPLSFDSLNSLEDLSISNCKHLKSLFKCKLNLFNLKSVSLEGCPMLVSLFQLSTAVSLVLLERLEIYDCEGLENIIIDESRGEIIDDNDSTSHGSMFKKLKVLSIYSCPRIELILPFQSSHDLPALESITIDSCDKLKYIFGKDVKLGSLINMELSGLPKMIDIFPICYGAMTSPIKRSSSISGDTSKPEEQSKPIKCNMFSWTDIYCCGKKYGHNKLKSATNTHDQPQNKLMESKSYPLNIWERVQCLSRQSHILCNIKEITLKNISKMKSVFILSIAPGMLLETLTISKCDELKHIIIDIDDHDNTGGNNLTNVFPNLRNVKVEDCEKLEYIIGHDTDDHQNHIEIHLHLLTLETFVLWNLPSLVGMSPKQYRTSFPHLKDLELLECQHFAIKSIGDFTMHHSATRFVDGPITKDLSGNVEHFSALGRLVVNNNSKVESIFCLNEINEQQMKLGLEFIYLNVLPMMTCLFVGPNNSFSLQNLTVLKIKQCEKLKIVFPTSILRCLPQLLHIRIEECKELKYIIEDDLENKKSSIFMSTKICFPKLRSLAVVKCNKLKYVFPISICKDLPELKCLVIREADELDEIFANEGDDQKVEIPNLEYLVFENLPSLSDVQGIHFQTVKHRFIQNCQTLSLASSSTIDFENNISGLPSVWFDNDYDYLKTLFKQLHDEFKGHDTCNEYPSSEITEVQATSGHQLTSSQKEIEQTLETEHEFVENVPQQKMPSVAIIPTNSEELINEQRPLGEIDTTVKPSQENNLEGSTSETKVASTLSTILETAKNELPIQLVSPKQKGIKICVEEGITSANAKTKTSAPHSSKHKTTSQEDGDVTTQYVDDKIWQETSNTNDDQVSLNDDNVSNTESKLKSRTSSIASQFPSKPSEGDPSQIDKDLSSSLVVTKELENLVSKKHLAIENLSLLTDFLVKHPSVLLRDTSLSSRYKGYSYNCLAELLRFLQTHSALDVLGSSHSEFVDLLQDVRRCGFDKDWLDGVEKRALSHELQFSENALQKPSYSKQHVTKEVEDIRLKINILNQHVEDLKHQLTSSEYVLETIIQKKAQVLKTKAALSAPLGY >RHN60849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30901534:30903678:1 gene:gene23235 transcript:rna23235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family METEQNESYAHQTENHVIGCSLQNNGKHVGVDLHHEASDCVLAEVDDKQEEQEHLKLTYQENLIPNHHCLASDNCGSPGYDPTLCGDEDNTLLELSGEAVSDDAYARKSYITDSVEAELHLQGTSQIDQKGESSDCDWDGIIPNSANMLIEAEAFKGLMQKPSGSPIQLQQRMSQQIDEPNAGSIHDGQPLMKLEFFPKECVATKEVAAEESPCRTLILFGTTLLVKDPPDLVPALPLPLAPPWLVTEAIKQAITIAASVAEAVTVDFQSQSLPTKSKASVISSSDIAKLCVPTPPPKHPDPWFSTTMGKSYIVGEIAKPLIQTAVDFQVKKIPETFNSSTDYKNYFMPPLLEETHSDLYSNSLGVSPAPFCEVTKVERDNKQFKLPKSLFYQISFKSTKEYNSKYEPEPGYLIAFTNIKPKRVDFVNHIFCNLLPPVNLITNSFSQCQTFSCHGIPLDDAGKKLNPFSCPVTGSNMETCDVYENYVCVGGKTILFLPSFHNLLKLLPIYSPINITVTKRINLASLDEGVIMGVSFVVTPFPYHVIETNFDDTDQSDMHAQLFQGLSSVLHSMDQGLMCSSSCDLETVTEAVENSSLITDVRFSASMPRHSTSVMSLDFHPNKDDLICSCDGDVVRLLHALCDLSSRDSKFEQIFFDDIKVATQMIEMVFFTLTVLAGYIQEGHAAF >RHN42731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40526267:40537313:1 gene:gene49214 transcript:rna49214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MVSQCLTESPASNDNLELEEVISKGSLDFDEWVSLIADIEKIYPDNVEKICVVYKHFLSEFPLCHGYWRKYAAHMTQLSTMDKVVEVFEQAVSAATYSVGMWVDYCSFGMSSFEDASDIRRLFKRAISFVGKDYLCHTLWDRYIHFEFSQQQWTCLAHIYIQTLKFPTKKLHQYYDSFKKLLTFLEEGITSRESSPKESQSEPCLDGEIPMTMCRDDDEIYCVIKDMVDSPVGLTSSTALKKYRIIGEQLYHNACELYSKISSFEANIQRYYFDFRPLDANQLQNWHAYLDFIELHGDFDWAVKLYERCLIVCANYPDYWMRYADFMEAKGGREIANYSLDRATEIYLKSVPAIHLFNARFKEQIGDVLAARAAYIHRCKETDSDFVENVISKANMEKRLGNMESAFSIYKEALEIAAAEEKQPALPILYVHFSRLKFMSTNDVDAARVVLIDGIRTLPQNKLLLEELMKFSMMHGGAKHIDELDSIIAGAISPRANGSQGLSAEDAEDISNLYLEFVDYCGTIHDVRRALNRHIRLCPGSARIDLRQQSIKSKRPLNLIKDKREEISVAMPNQEPRDPSSNLEDHIIRSYDTNTARLQTVESDDKVEDDARELPLLVSEEPRDIDTARLQTMESDDKAEDNARDLSLSVSEEPRNNDPERNLSSIDLVGAKEESTKIKNFKKDCSESDISSENLLHQIAIVNQPSQALQASSNEKSVSSQGKCELKTEELKPLSVTSAPLNTLVNTCPDSGLVASQVECETIPESCNSNSRAVVGGYTANRYNSSRSTQDSDYPRTHVERNRPYSSSHRDHNMKRPLLPPRFSRNGGGNRDPIKNDNKFRRAPKYGNRGRGGPKYGNREYPRRNEHQHRSLSPQQIHLAERGAQFPVTPGCSQSALQVQQCNQRQDQFQATATTAGFVTPQSWPIQNVQIQNSLPQSQLPVSTTSNVLQHGHAMQGSEQYGYMQKGQDYNQMWQHYYYQQQQLQLQQHYIQLNQQPFQPELSQQHIQAEPFQPQQLQQMQLQQQDLQQHQYFQQQQPQQPEHPVYLQQSNINPVEDQAQAIVMSQQQQLQLQQHYIQLKQQSFQPELSQQFQQHSQPESLQPQQLQQLQLQQQVLQQQQPQQQEHSAHLQQVQLATQSSSNPVEDQAQAILTPQGQEAISSQQSGDHGLISSPVLHHPQEKPTQED >RHN79072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22110336:22110636:-1 gene:gene2768 transcript:rna2768 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRRKVLFRKNDMTGFCKTQYMPIPKVAVVEVNPKNKANTKGIRFIVVHAVFWDIINRLVKV >RHN59616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12538863:12539354:1 gene:gene21672 transcript:rna21672 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S4 MSRYRGPRFKKIRRLGALPGLTSKGPTVGSELKNQSRSSKKSQYRIRLEEKQKLRFHYGLTERQLLKYVRIAGKAKGSTGQVLLQLLEMRLDNILFRLGMASTIPQARQLVNHRHVLVNGRIVDIPSYRCKPEDIITAKDEQKSRTLIQNSLESAPAKNCQSI >RHN76329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49393733:49398983:1 gene:gene12631 transcript:rna12631 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTVDRFPTVYILQKMFLIFYKFLISKLPTLRILFSKSLIIKHT >RHN78865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19352709:19353928:-1 gene:gene2527 transcript:rna2527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MLAISPPMFSTIGWPFEESLSHNQHQNQLFNFHDQVEAEINSTDPSQSTSSDLSMVKKLVHNASERDRRKKINNLYSSLRSLLPISDQMKKLSIPATISRVLKYIPEIQKHVEGLVKRKEEILLRLSPQVNEVNITKESQKKKHSYNSGFVVSTSWLNDSEVAIQISCYTVHKIPLSEILLCLENDGILLLNVSSSQTFGERIFYNLHFQVDRIQRLESDILNEKLLSIMERKESFQNNKN >RHN70996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55708159:55708864:-1 gene:gene19636 transcript:rna19636 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHLMVRLSIYTSFLEFCLKQSRSEAEMFLIENLGSYDPDHEFIDKFLNYMELLPSEVLEIAFQTQNDRRVGGGVISGNINLQDLRQTDGSFSKGGKKGKKGKKVSAAVLGRKGCY >RHN67279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25472151:25473153:-1 gene:gene15457 transcript:rna15457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase WNK-NRBP family MPSVQQNPSDKDTETFVETDPTGRYGRYDELLGAGACKKVYKAFDNEEGIEVAWNQVKLRNFSNDPAMIERLYSEVRLLKNMTNKNIIALYNVWRDKEHNTLNFITEVCTSGNLREYRKKHKHVSLKALKKWSKQILEGLNYLHVHDPCIIHRDLNCSNVFVNGNTGQVKIGDLGLAAIVGKNHSAHSILGTPEFMAPELYEENYTEMVDIYSFGMLVLEMVTLEIPYSECDNVAKIYKKVTSGVRPQSLNKIKDAEVKTFIEKCLAQSRARPSAEELLKDPFFDDVEYDENDDVDY >RHN51339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16449684:16452467:1 gene:gene35763 transcript:rna35763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, plant specific mitochondrial import receptor subunit TOM20 MDYPLRKFKFHLPMKHECILAEQRYNKNPHDAENLTRWGVALLRLSQAHSFPDSLHTIQVSISKLEEAFSLNPNNPDVHWLLGMALTMQALLTPDSHDAKLHFDSADVYFKRAFRQDPSDPTYQISLELPDTKVVYVGQCILSCLLLYDVAFSLKKSLTYSYTFFKDHEQHPKIVNHGLGQQSKGSSSATKVPRRSPEPFLPSQESRAAMDRISGLPDELLCHILSFLPTKFAFTTTLLSKRWTPLFYSLPVLRFDDNKFKDFQTYDRFYSFLNNLMIHPLSINQAHPLKTFRFKQCYYSHRLNLFSRSKDIHNINTWVEVTIRIPREKDIHIINTLLEVAIQRRVEKFDLKLCFHTLKPIIFISKTLTILKLLMLKVGNDTSCVNLPSLKSLKLNRVRFENWNDYINFLSSCPNLEDLRLKSIHCRKLDKNNASKTVFQKSLALSKLVRLCIGSTDDFFKVISNFSNLIHIKLWLSPLHCWDDVVKLLRLCPKLQILYIKTFSRTTSTKEWTCPLSVLECVSYHLKSCTISTSSLTDWANDIRYVQYILRNARLLQDMTINFNGVSYEGMVLEKCQIIEELSSCPMISPGCKLSFDFFRRSLYR >RHN81247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44330510:44334628:-1 gene:gene5260 transcript:rna5260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MSYRLQLQSIVTTNFLPRHFSTSRVSFSTFCCGKYLEMEDIKLVGDPVLLHNKLAAIRSAGPQKLQVIADFDATLTKFWVNGTRGQSSHGLLQQDNPEYDAKRQQLYEHYHPLEFSPTIGLEEKRKLMEEWWGKTHGLLIEGGLTYESIKQSVANANIAFREGVSELFEFLEERDIPVLIFSAGLADIIEEVLRQKLRRSFKNVKIVSNRMVFNNGQLVSFKGKLIHSLNKNEHALDMAAPVHERFGDIDGPTDDNDLLKKRTNVLLLGDHTGDLGMSDGLNYDTRISVGFLNHNVENSLSCYREAFDVVLMNDAPMWEVIKLVSHTCSSGK >RHN62380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43040922:43041597:1 gene:gene24949 transcript:rna24949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MSLIPSFFGGRRSNTFDPFSLDVWDPFKDFPFSNSSLSASFPRENSAFASTQVDWKETPEAHVFEADLPGLKKEEVKVEIEGDRVLQISGERNVEKEDKNDQWHRVERSSGKFMRRFRLPENAKMDQVKAAMENGVLTVTVPKEEIKKPDVKSIEISG >RHN55732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21962576:21966810:-1 gene:gene30963 transcript:rna30963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endo-1,4-beta-xylanase MNIFLLLCVILLAGFEAEALSYDHSASIECLAHPQKPQYNGGIIKNPELNDGLQGWTAFGNARIEHRESLGNKYVVANNRNQPHDSVAQKIYLQNGLHYSLSAWIQVSEANVLVTAMVKTTEGFKFGGAIYAEPNCWSMLKGGLTADATEVAELYFESNNTSVEIWIDNISLQPFTKKQWTSHQEQNIEKTRKKNVVVQALDEQGRPLPNARISITMKRYGFPFGSAMNKYILSNTAYHYWFASRFTVTAFENEMKWYTNEYTQGKDNYFEADVMLRYAKKHGIAVRGHNIFWEDPQYQPSWVPSLSADQLNNAVEKRINSVVSRYKDQLIGWDVINENLHSSFFESKLGQNFTSRMFNEVHNVDGNTTLFMNDYNTIEDSRDGLSTPPKYIQKIREIQSWNKQLPLGIGLESHFPNFPPNLPYMRASLDILAATGLPIWITELDVASQPNQAGYLEQVLREAHSHPGIRGIVMWTAWSPQGCFRMCLTDNNFKNLPTGDVVDKLIYEWGRKILSGTTDKNGFLKASLFHGDYKMEISHSAKKNYTFTHQLQVLSKDKSKKTTQFIQISI >RHN78946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20181267:20182692:1 gene:gene2615 transcript:rna2615 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQCFPMGNLITSSSSGGGVRVNSRTNRKKRWRIEVSLSRQHPVAVTRSIHCLWSWEKSGMKRVIGGNGD >RHN67347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26332483:26332890:1 gene:gene15527 transcript:rna15527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MSKEMGQSAGSVGSSLRKRAITECRCGEESVVRTVTDGYNPNCGKKLWGCKNYKNQFDKGCSFFKLVDEEFTDDRDLKIAKLEKKNTKLKNELGKTRFWLKTSLIVGLFWFGVCLVLGTILLCRNGGNWSHVYLK >RHN44628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6982141:6988442:1 gene:gene38769 transcript:rna38769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif 2, nucleotide-binding alpha-beta plait domain-containing protein MPCETMDFKSFSSSSLFSSEDSCSSTESQAGWWKSDKPSKGNDSDQGIKPFEDSKEDDIVASRHESSLFSSSLSDLFSKKLRLSANNAFYGHSVDTIASNYEEEKLSDSLEELEAQIIGNLLPDEDDLLSGVTDGNNYIICDSNGDDIDELDLFSSNGGFDLGDVENPSSIERNSEIISGVRNSSIAGENSYGEHPSRTLFVRNIDSDVKDSVLKALFEQFGDIHTFDRTCKHQGSAMISYYDIRAAQNAMRALNNRLFGRKKFDIHYPIPKDSPSRNGVNQGTLEVFLYDSSISNTELQHILNVYGGIKEIHENPRSQRHKLIEFYDFRAADAALHGINRNDTTMKRLKVDQMQSTNSESNIIQPMHPEFKQECDLCLHQKSPLLKPTTSFQDLHGTSSSVPNMLPSIMKVKSVANQCEFAESSSRGQLNFDTQAALTSHPHSLPEQRRGFTSGVHQNPHEEAANINLQTPERIDNMQFCQVNSNGPFIDFDKCVSNSSANISSSFPLPVHHEQWSNSYPPPRTIWPNSPSYFDGIYAASTLQRLNQLPMSPSHMITTVLPTNNHHIQSPPFWDRRYTYAAEPITPHCVDFVPHNMFPHFGLNVHNQRGMVFPGRNHMINSFDTYKRVRSRRNVGASNLADMKRYELDIDCIKRGEDNRTTLMIKNIPNKYTSKMLLAAIDEHHKGAYDFVYLPIDFRNKCNVGYAFINMTSPSLIVPFYQGFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPILIDTDGPNAGDQVPFPIAMKPGRMRSSIHEEDSISKESD >RHN81141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43606153:43607323:-1 gene:gene5142 transcript:rna5142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MATIKVHGSPISTATMRVTATLYEKELEFEFVSINMRNGEHKKEPFISLNPFGQVPAFEDGDLKLFESRAITQYIDHEYADKGTKLTSSDSKKMAIMSVWSEVESLHYDQVASILVWELGIKTLFGIPLDSNVVEENEAKLDIILDVYEKRLSKSKYLGGDSFTLVDLHHLPSLYYLMKSQSKKLFESRPYVSAWVADITARPAWSKVLAMIPN >RHN63743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53667907:53668197:-1 gene:gene26485 transcript:rna26485 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLFAWRLLNKRIPIEDNLFRRGAALQTHRCARVIAGRMNQLIVFSLGVIFMVAFDIFFIDVGEFQRQSHLMLVSMLFNLVVLTLSIRIYLFGV >RHN45105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11856439:11858374:-1 gene:gene39311 transcript:rna39311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MYHIHFSPNPTPTMQTNLHAIYTPHIKTPTKIVCTLNENSQTNQQSSSVGKIKRFVLTQEGRTKLNLIQDKEFYAYPRLVTHVDDGFISTLTNLYRERLRPDMEILDLMSSWVSHLPNDVKYKRVVGHGMNAQELAKNPRLDYFVIKDLNKDQQFEFESCTFDAVLCTVSVQYLQQPEKVFAEVFRLLKPGGVFIVSFSNRMFYEKAISAWREGTTYSRVRLVVQYFQSVEGFTEAEVVRKLPTTIDDKSQPLSWVMKLFGLFSASDPFYAVIAYRNFKPIHDD >RHN45081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11527493:11527852:-1 gene:gene39283 transcript:rna39283 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISHTLSNGLVVSGPRPKPRSKEQSMMTTSSIPYTGGDPMKSGELGKMVGIVPGVDPRTTYPSSSSLHNRGQTRLRRNSNSVSQQPMSKTIHRQPMARSGKKKKVGFRGWCCGVFGL >RHN81621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47612451:47616109:1 gene:gene5692 transcript:rna5692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactinol--raffinose galactosyltransferase MAPPNHPVNSTALDLVKTESLFDLSEGTFTVKGVPLFHDVPNNVSFSSFSAICKTSESNAPPSLVQRVHAFSHKGGFFGFSHETPSDRLMNSLGSFHGKDFVSVFRFKTWWSSQWIGNSGSDLQMETQWILIDIPEIKSYVVVIPVIEKSFRSALHPGSDDHVMICAESGSTQVKASSFDAIAYVHVSENPYTLMNEAYSAIRVHLNTFRLLEEKTLPNLVDKFGWCTWDAFYLSVGPIGVFHGLDEFLKSGVEPRFVIIDDGWQSISLDGCDPNENAKNLILGGQQMTGRLHRLDEGDKFKKYESGLLLGPNAPTYNPKKFHELISKGIEYEKLVKEKEDSILSKSSDLAEIESNIKKVIREIDDLFGGEQSHSVPKSDKEYGLKAFTRDLRTKFKGLDDIYVWHALCGAWGGVRPETTHLNSKIVQCKLSPGLDGTMEDLAVVNIVKGAIGLVHPDQAIDFYDSMHSYLAESGITGVKVDVMHTLEYVCDEYGGRVDLAKAYYEGLTKSIVKNFNGSGIIASMQQCNDFFFLGTKQVSMGRAGDDFWVNGPEDDPMGAFWLQGLHMIHCSYNSLWMGQMIQPDWDMFQSDHICAKYHAGSRAICGGPIYLSDNVGSHDFDLIKKLVFPDGTIPRCTHFALPTRDCLFKNPLFDQKTVLKIWNFNKINNVFDVRCMLVSDTDATLTRVTFNYFYFLKLSSICIYLLVLCPPWCPCHCFISYIYHCLIKNCSCIQQYGGVIGAFNCQGSGWDPKVHTFRGFPECYKPITGSVHVTEVEWDQKKEAVHLGKAEEYVVYLNQAEELCLMTPKSEPIKFIIQPSTFELYNFVPVTKLGGSIKFAPIGLANMFNSGGTILDLEYVESCAMIKVKGGGNFLAYSNESPEKCQLNGSEVAFEWLSDGKLSFNVPWIEEAGGVSDLTIFF >RHN52811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39088301:39090973:-1 gene:gene37525 transcript:rna37525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAATLVGGAFLSASVQTIMDRLTSPEFRNFINNKKLNLSLLKHLETTLLTLHAVLDDAEKKQINNLPVKQWLDELKDAIYDAEDLLNQISYDCLRSKVENSNTQAENITGQVWNFLSSPFQNLYGEINSQMKSMIERLQLFAQQKDILGLQTVSGRVFLRTPSSSLVDQSFMVGRKSDKEKLTNMLLSDVSTVNDNINVVSIFGMGGVGKTALAQLLYNDKDVQERFDVKAWVCLSEEFDVLRVTRTLLESITSNVQESDNLDFLRVKLKQNLKGKRFLFVLDDMWNDSYNDWHHLVTPFIDGESGNDDCWSLLSKHAFGSEELCGRKYPSLEAIGRKIAKKCCGLPIAAKTLGGLLRSKVDAKEWSAILNNDIWNFPNDNVLPALLLSYQYLPSHLKTCFAYCSIFPKDYPLDRKQMVLLWMAEGFLEHSQGEKAMEEVGDDYFVELLSRSLIQQSHDDFGRQKFLMHDLVNDLATIVSGKSCCRREHGGNISENVRHFSYNQEEYDIFKKFEIFYDFKCLRSFLPIGLWWRQCYLSKQVVDDVIPTLRRLRVLSLSGYRNIAMLPDSIGSLVQLRYLDLSNTRIESLPDTTCNLHNLQTLILSYCYHLIELPVHIGKLINLRHLDINWTNIKEMPMEILALENLQILTTFVAGKQGEGLSVKELDKFPNLWGKLCIQKLHNVIDVAEAYEANLKSKEHIEELVLLWGEPTEDSQTSKAVLDALQPPINLKKLSIDFYGGTSLPCWLGDFSFSNMVTLFISNCTYCITIPPIGQLPSLKDLTIRGMTLETIGAEFYAMAGGGSSSSFQPFPSLEILEFQNMPNWKEWLPFIGNKFPFPHLKSLMLIQCPKLSGYLPNHLFP >RHN79287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27142875:27143351:1 gene:gene3057 transcript:rna3057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MMISISKVVLTISILLTILVALQFQEGESNIFHKKRVFVYITSNITDAQLGVHCREKDNDLGLHNLNFGETYSFSFRPVIFIEAKLYFCGFSWMNELKRFDIYVESRDDKDCKGECRWKIDKSGPCKLKGDDTECFPWKPNNVTEGRQLGEENSTLTM >RHN61098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32947386:32947804:1 gene:gene23523 transcript:rna23523 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAGAFPARWPAGFRRRRHRVGIFYYYFFSKFLYQKLRFLLLYTNPALVLARKVRFGLDLHFSLKIFSHFF >RHN40521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17117172:17117300:-1 gene:gene46680 transcript:rna46680 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaJ MRDLKTYLSVAPVASTLWFAVLAGLLIEINRLFPDALTFPFF >RHN79468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29237751:29238425:-1 gene:gene3262 transcript:rna3262 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFINATCISIIIDKLNFRRLSYSDLKCIAFTISTMIIYISFLVSSLIIFSFFIANFKPQIPTVDLDSVNITNLNTKAVNLTATFDLSFIFETPDNHKRNVSFSDLEVRVLWSGKDKITLAKKILLPFSQVENNVTKIRAELTVIEVFTNSSDVSTGIGAERDSGSLHIGVSLTGSFMLRDGFSEALRHDFDRIVVKFPPGSNNGTYVLVPRPIFPNLWSNVM >RHN66073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8302556:8303020:1 gene:gene13985 transcript:rna13985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MEQHQRRTRRSVFIEDNDSVMILDAIDLNPPPLYHTLTRNPSRRFTRNLIRSTTTTPYIDGHYIFHVINHINENHTSRRSGVRHVYHNLPRVEIEEGMKCEALMCSICLVELSVGSKAIRLPCSHIYHDECIMKWLDRSNTCPMCRQSVSHTSS >RHN39599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8580158:8584013:-1 gene:gene45638 transcript:rna45638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-Xb-1 family MRSTSNQPSNPSSKNYLFFSKLMSSFKKTTFFLTLFIVHVSSSCNQLDKDSLLSFSSNFSSFSPHLPPLNWSSSIDCCSWEGITCDQNNHHVTHLFLPSRGLTGFISFSLLTSLESLSHLNLSHNRFYGNLQNHFFDLLNHLLVLDLSYNHFSSELPTFVKPSNGTGTGNSSVIQELDLSSNSFNGTLPVSLIQYLEEGGNLISFNVSNNSFTGPIPISIFCVNQLNNSAIRFLDFSSNDFGGTIENGLGACSKLERFRAGFNVLSGDIPNDIYDAVSLIEISLPLNKINGSIGDGVVKLVNLTVLELYSNHLIGPIPRDIGRLSKLEKLLLHVNNLTGTIPPSLMNCNNLVVLNLRVNNLEGNLSAFNFSGFVRLATLDLGNNRFSGVLPPTLYDCKSLAALRLATNQLEGQVSSEILGLESLSFLSISNNRLKNITGALRILTGLKKLSTLMLSKNFYNEMIPHGVNIIDPNGFQSIQVLGLGGCNFTGQIPSWLENLKKLEAIDLSFNQFSGSIPSWLGTLPQLFYIDLSVNLLTGLFPIELTKLPALASQQANDKVERTYLELPVFANANNVSLLQYNQLSSLPPAIYLGTNHLSGSIPIEIGQLKALLQLDLKKNNFSGNIPDQISNLVNLEKLDLSGNNLSGEIPVSLTRLHFLSFFSVAHNNLQGQIPTGGQFNTFSNTSFEGNSQLCGLPIQHPCSSQQNNTSTSVSSKPSKKIIVILIIAVSFGIATLITLLTLWILSKRRVNPRGDSDKIELESISPYSNSGVHPEVDKEASLVVLFPNKNNETKDLSILEIIKATEHFSQANIIGCGGFGLVYKASFQNGTKLAIKKLSGDLGLMEREFKAEVEALSTAQHENLVSLQGYCVHDGYRLLIYNYMENGSLDYWLHEKSDGASQLDWPTRLKIAQGAGCGLAYLHMICDPHIVHRDIKSSNILLNDKFEARVADFGLSRLILPYQTHVTTELVGTLGYIPPEYGQAWVATLRGDVYSFGVVMLELLTGRRPMDVCKPKISRELVSWVQQMKNEGKQEQVFDSNLRGKGFEGEMLQVLDIACMCVNMNPFKRPTIREVVEWLKNVPRNKD >RHN60479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27230451:27231191:1 gene:gene22793 transcript:rna22793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative The fantastic four family protein MSTCSLQKIFENPPLYPENPTILLDQSLESWKNQIKPIQHSSSFTELFGELYFNETVSPKSSPLPSPKSSSSSSFPELINHANHIPNNKSLGFGSENPLSNKMKLSLSLKRLNICKESLGFESSSDEVEEFEDEEDEGCDEKNLGLEGKWLWKSGRTKRLNEYPPPISCIGRSGKPCVRFKSYRENGRFILKQIPIQDFLHAHREDGRLTLHFIQHDVEEQNFFGDKEDFDGSGESNSEKEEDYDL >RHN38554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:187661:187894:-1 gene:gene44507 transcript:rna44507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MIFGGRCDPFDSAMFKAWINQGMCPANPVVLRLFKTLIEKGFKVFLVTGRYEGTLAKITTDNLHNQGFIGYQRLILR >RHN78284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13615723:13623684:1 gene:gene1819 transcript:rna1819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MQVLQTLEELEVKDCDSLEAVFDVKGMKSQEIMIKQSTQLKRLTLSTLPKLKHIWNEDPHEIISFGNLCKIESCGVKEIVAMEEGGPMEINFNFPQLEVMELYHLTNLKSFYQGKHTLDFPSLKTLNVYRCEALRMFSFNNSDLQQPYSVDKNQDMLFQQPLFCIEKLIPNLEELTVNGTDMLGILNGYRQENIFHKVKFLRLQCFDETPTILLDDFHTIFPNLETFQVRNSSFEILFPTKRTTDHLSMQISKKIRNLWLFELEKLEYIWHEDFPLDHPLFQYIEELRLLNCPSLISLVPSSTSFTSLTYLEVDDCKELIYLITYSTAKSLVQLKTLKIKNCEKMLDVVKVDEEKAEENIVFENLEYLEFTSLSSLRSFCYGKQTFIFPSLLRFIVKGCPQMKIFSSSLTVAPCLTRIEVILTQLLTQLLNKCS >RHN51374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16938982:16939269:-1 gene:gene35802 transcript:rna35802 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLSLLVLFLSVFILTDIARNPKHQIHAQPNSGLVDYQPPAADQAASFISPSPSPSPPHGSGYNGHGGGYHRPSIGYSRFPPHINPRRPVRPPP >RHN74271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31313680:31314462:-1 gene:gene10307 transcript:rna10307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MKLLFSDDPEGECNISVIPIVGMGGIGKTILAQFVYNDERVQKEFDLKAWIYVSEQFDIFKITKTLVEEITSCSCSIEKLNLLQHDLKKRLLKKKFLFILDDVWNQNYISWETLKNPFVYGAPGSKIIVTTRIAHVASIMQTVEPYYLSELCDDDCWMLFSKHVLFGYANSNVHQNLRKMGKQIIKKCKGLPLAVKTLAGLLRCKDDTREWYKVLNSEIWDLQNDESNILPALRLSYHYLPSHVKRCFAYCSVFPKDYWR >RHN39248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5269818:5271070:1 gene:gene45253 transcript:rna45253 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASGIILTGSPMFLNVARTVKMVSAFNGALLVTAHPTKTTADTTVGDIQ >RHN57887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42419358:42419606:1 gene:gene33478 transcript:rna33478 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAGRSSFWKLYGFYVQFSVRSASLDRPFSKLVAFPLSLLHAYCGSVSISMTVIIYHVQARSAKLGLIIRRDVYNLLLPQS >RHN48627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48966559:48968039:1 gene:gene43366 transcript:rna43366 gene_biotype:protein_coding transcript_biotype:protein_coding MRGITSCYNEHAIRVSDLYCSRPSNSIIPKLNNLSIQNSVTCIYKLNFISIQQQFLITLTWTKKLIGQGFIINITSDSDYVNVFSKFNGNPRLLLKQNKGTDTFQYQNFEVKVLWDLSDAKYDEGPEPVNGFYVMVLVNSELGLFLGDKEEDSLENLEDKKNHDAKFSMVSRSERFYGTSVYATKAKFSETGISHDILIKCGVEEEGSTSKSHMLCLFMDKKIVFKVKRLKWNFRGNQTIFVDGLVVDMMWDLHDWIFNPSNIDSVSAVFMFRTRSGLNSRLWLEEKNLQKQKEQDRIGFSLLICGCKNPD >RHN50174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4843746:4844503:1 gene:gene34435 transcript:rna34435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MSTNTQHSYKLSVKKATRRTRRRKMEEKCKSKKKLSQKLKALKNLIPSNNGDEVKTDELFKETADYIVFLRTRVLILQKLVEIYGNNTENNEHDVLL >RHN77591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7967207:7968668:-1 gene:gene1061 transcript:rna1061 gene_biotype:protein_coding transcript_biotype:protein_coding MISVTENSENSADGNIVIETNDGVAHNVERVFVLQILTKYGLADNNGFAHDNHAFAADNANGADNNGAGADDNANGLAHDNHAFAADNANGADNNGAGADDNANGLAHDNNANGADNNGAGADDNANGFAHDNNGAGNQNGV >RHN73665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18674724:18679557:1 gene:gene9511 transcript:rna9511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative von Willebrand factor, type A MAEEFSKSVEEGIKLSKRIYFGNDRAVTAPKPPPTMSKTNTAFLPTSPMVYAVIHDPKIVDNPDVPSYQPHVHGRCDPPALIPLQMINGVDLRVDAWLDTAFVEVSGCWRLHCVSGSRSCDCIVVVPMSSQGSILGVEVSVHRKSYSTQLVDMEDKTGGKDNATKTHDGGFLKSNIFTLTIPQIDGGSNLSIKLSWSQKITCCNDVSSLNVPFTFPDFVNPAGKRMGKKEKIQINVNAVTGSDVKCKTASHPMKEVRRSAGSIGFSHDTDLLSWSKCDFSFSYSVSSSQINGGVILESASVDDIDQREMFCMYLSPGNLQSRKVFRKDIVFVIDISGSMRGKLIDDTKNALSAALSKLDPDDSFSIIAFNGEIYQFSTSMELASKDAVERAVEWIGINFVAGGDTNILHPLNTALEMLSDGRRSVPIIFLVTDGTVEDERETCDMINKKIRGESIFPRIYTLGIDLVELQMLKLFDKAASVVLANITMDVFDDLDEFEVYPSQIPDLSSNGPLILPGRFRGNFPEVVKVKGVLPDFSNFVIDLKIQNAKDMPLERVFAREQIEYLTAQAWLTNNKQLEHKIAKLSLQTGFLSEYTRMSVLEVDHLKTAKQSDGTKASKKKGEKDQGQRTLLLPKLGIGFGNLAATAENTPPGGDEPSLNDSAEMFVKAATHCCGSCLNHCCCMCCIQVCTKMNNQCATVFSQLCIGLGCYSCLTCCSEMCCTGDE >RHN73752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19613374:19614267:1 gene:gene9613 transcript:rna9613 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKSFFSMVFLCALIIIFVMEIEPSKDGKQYDVKEEFETQARIDAWRPWGYGRLPFIPYGQKKGGNGSGGLGSRGNGGEGVTQGGGEENEGKES >RHN66714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17250181:17251110:1 gene:gene14774 transcript:rna14774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase (putative), gypsy type MYANSGTDPKGGICQVICPYNPSSSSSEGKVLPLMYSGDFEMEQFVEEVRREYSLYTDMPDIDFFRSKLDISATGNEEDVMVLSCDVDERVCDQESASALDESFLMYMAVLEEFGVTIPFTTFEKDVLKFLNVTPSQIRPNSWVFIRGFEILCKALSLEPLVGVFLHFYGTKDVNKGTWISISAHSGKKLFHESKV >RHN49107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52499272:52499975:1 gene:gene43901 transcript:rna43901 gene_biotype:protein_coding transcript_biotype:protein_coding MLNCIFDDHIEYSLTNFLSSCPNLEELFLEESFTQLINVSLNSLKRLYICLFMPISHPDLSIYPLQINAPSLEVLTIMNFSLSPRKYEFTNLSNLDRAVLCICKHSDFNSSYTILKELSNVKSLTLGHKTFHFLSMEDKLDNTHLLTFHNLQFLSIEISKNCNWNMLVSFLHNAPKLKDLSIKVRMKSFYFLLYLHTFLLER >RHN68454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35671666:35677561:1 gene:gene16813 transcript:rna16813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase, protein trichome birefringence-like 32/46 MANSFNPSSLLLKTKPRLSSYLFTLLSIILFTAIILNTHDFLFIFRPHLQQLHPKTETNTVLFSTVTQSNNTVQEVEKKEEEEEEEKECDLFSGTWVKDELTRPLYEESECPYIQPQLTCQEHGRPDKEYRRLRWQPHGCDLPKFNASLMLETLRGKRMMFVGDSLNRGQYVSLICLLHHLIPQHAKSMETFDSLTVFTAKEYNATIEFYWAPFLLESNSDNAVIHRVTDRIVRKGSINKHGRYWKGADILVFNTYLWWVTGSNMKILLGSFKDEVKEIVEMQTEEAYRMAMKSMLRWVKMNMDPNKTRVFFTSMSPSHGKSIEWGGEPGGNCYNETTPIEEPSYWGSDSLKSIMQVIGEEFRKSKFPITFLNITQLSNYRKDAHTSIYKKQWNPLTPEQLANPASYSDCIHWCLPGLQDTWNELLFAKLFYP >RHN71418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58864629:58869015:1 gene:gene20099 transcript:rna20099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein LIN52 MVQQGIDSRFCEYNLGNSKMDLPNGDKQLVILKKTSLKDLQNDNKNMVPTSVGNSSFLKDKDPGTDSNRATGTKRPSSDYPVIHHLQQSPGNNAANGHLVYVRRKSEGEMSKSTAFENTSINGCCPHSRQLYCEEETAQPKPQIKEPKVSCLPAFSPFPMASSISTSGKPSIPISLGRSGMMLTPVESNYVAASSGHTIGNPKVFKNVHWEEQYQHLQMLLRKLDQSDQAEYIQMLQSLSSVELSRHAVELEKRSIQLSLEEAKELQRVAVLNVLGKSAKNFKAPADHDEYSDKLKTLS >RHN81101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43326600:43327703:1 gene:gene5098 transcript:rna5098 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPVALLLLLTAAALTVTGTPTAYEALRTYNFPPGILPIGVTNYELDKSSGNFRADLNRSCSFSLQGSYQLSYKSTITGKITENRLTDLRGISVKVMFFWVNILEVVRKSDNLDFSVGVASAAFPLDDFFESPQCGCGLDCDPLRIRKLKLNTKNPSLSSS >RHN44129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1912144:1912820:-1 gene:gene38197 transcript:rna38197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVKTLKFVYILILFICIFLVMIVCDSAYLPLSRSCITDKDCSRVKNYNARCRKGYCQYLQY >RHN53622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2907079:2908300:-1 gene:gene28549 transcript:rna28549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MAIIMKTNLSIFLLIAITSIAAAQAGRFNITNKCNYTVWAAAFPGGGMKLNTGESWNMNITNGTSNARIWGRTNCTFDNSGLGKCQTGDCNGTLECKTIGTPPNTIMEFALNMYNDLDFYDVSLVQGFNIPIQLKPSLNSCGTVNCTADINGECPTPLKVPGGCNNPCTTFDTTEYCCNSDSARSAATNASATCGPTTYSKFFKDRCPYAYSYPKDDATSTFSCMGGTTYDVVFCP >RHN48470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47701050:47702437:1 gene:gene43189 transcript:rna43189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative senescence regulator S40 MASSRKSFLSRTSYIFPETNFNQKSSQGKELEFDEADVWNMSYSNSNTNIEPKKGVPGLKRVSRKMEANNKVNPLASSSLPMNIPDWSKILKEEYKKKKESSDDEDEGDYDGVVQLPPHEYLARTRGASLSVHEGKGRTLKGRDLRSVRNAIWKKVGFED >RHN53500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2109407:2117847:-1 gene:gene28412 transcript:rna28412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 97B1 MVAVAISTVTLTGVNLHTRFHSSRFSSHSKRSSSTIRCQAVNGDKKKQSSSSSSRNVFDNASNLLTNLLSGGSLGNMPIAEGAVTDLFDRPLFFSLYDWFLEHGSVYKLAFGPKAFVVVSDPIVARHILRENAFSYDKGVLADILEPIMGKGLIPADLETWKQRRRVIAPGFHTSYLEAMVKLFTSCSERTVLKIDKLLEGEGYSGQKSIELDLEAEFSNLALDIIGLGVFNYDFGSVTNESPVIKAVYGTLFEAEHRSTFYIPYWKFPLARWIVPRQRKFQDDLKVINTCLDGLIRNAKESREETDVEKLQQRDYSNLKDASLLRFLVDMRGVDVDDRQLRDDLMTMLIAGHETTAAVLTWAVFLLAQNPDKMKKAQAEVDSVLGTGKPTFELLKKLQYIRLIVVEALRLYPQPPLLIRRSLKSDVLPGGHKGDKDGYTIPAGTDVFISVYNLHRSPYFWDRPDDFEPERFLVENKNEEIEGWAGFDPSRSPGALYPNEIISDFAFLPFGGGPRKCVGDQFALMESTVALTMLLQNFDVELKGTPESVELVTGATIHTKNGLWCNLRKRSFAH >RHN71282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57885158:57894797:1 gene:gene19941 transcript:rna19941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative coatomer/calthrin adaptor appendage subdomain, coatomer beta subunit (COPB1) MAQPLVKKDDDRDDEAEYSPFMGIEKGAVLQEARVFNDPQLDARRCSQVITKLLYLLNQGETFTKTEATEVFFAVTKLFQSKDMGLRRMVYLMIKEISPSADEVIIVTSSLMKDMNSKIDMYRANAIRVLCRITDGTLLAQIERYLKQAIVDKNPIVASAALVSGIHLLQTNPEIVKRWSNEVQESVQSRAAFVQFHALGLLHQIRQNDRLAVSKLVSSLTRGAVRSPLAQCLLIRYTSQVICESGNNTQSGDRPFYDFLESCLRHKSEMVIFEAARAITELNGVTSRELNPAITVLQLFLSSSKPVLRFAAVRTLNKVAMIHPTSVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCQKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIVILIREIPDAKETGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTSDPSKYIRFIYNRVHLENATVRAGAVSTLAKFGAAVDELKPRIFVLLRRCLFDSDDEVRDRATLYLNTLGGDGSVVETDKAVKDFLFGPFDIPLVNLETGLKNYEPSEEAFDIDSVPKEVKSQPLAEKKASGKKPTGLGAPPSGPPSTADAYQRALSSIPEFANFGNLFKSSAPVELTEAETEYAVNVVKHIFDRHVVFQYNCTNTIPEQLLEHVIVIVDNSEADEFSEAFSKPLKSLPYDSPGQIFVAFEKPEGVPTLGKFSNVLKFIVREVDPTTGEAEDDGVEDEYQLEDLEIVSADYTLKVGVSNFRNAWESMGPDFERVDEYGLGPRESLAEAVNTVINLLGLQPCEGTEVVPPNSRSHTCLLSGVFIGNIKVLVRLSFGLDGPKDVAMKLTVRSEDETVSDAIHEIVASG >RHN50237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5410269:5414115:-1 gene:gene34506 transcript:rna34506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MKTTMARISPLWLMLLIMPCFFVTYAYKVKLPLRTISGGTHHDAMLQLTAFKVSFAEHNSIASPPSSFSPSPSPSPMPSQDLKKSHEYLVTLYGADPTGNSDSTDALLAAIADAAKGPSKGFLMNGIIDLGGAQINLQGGNYLISKPLHFPMAGVGNLMIHGGTIKASNNFPSNKNLIDLSNFGSTSPSYNYEYITLKDLFLDSNFKGGGISITNSLRINIDNCYITHFITNGILVQSGHETYIRNTFIGQHITAGGDKNERKFSGIGISLQGNDNAITDVVIFSANIGIMITGQANTISGVHCYNKASGFGGTGIYLKLPGLTQTRIVNSYMDYTNIVAEDPVQLHISSSFFLGDAKIVLKSIKGIVNGLNIVDNMFSGSNHGDEIVKLDTSISPFKQIDQVFVARNVVNGMNLKATSAMISLHGNGTSWIADFNKILIFPNLIRNVQYSLSASGNTFPNHALRNVSHNFVVIETSEAVTANVFVKVDQGITS >RHN52086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31370774:31371669:-1 gene:gene36706 transcript:rna36706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQSLIFVYALIIFLFLFRVEAEHLKIRCVTDDDCPKVEKPLYMYCGNHWCAYKLHFV >RHN69273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42240575:42245348:1 gene:gene17718 transcript:rna17718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxoacyl-[acyl-carrier-protein] reductase MASLTGSNCVALRSATFAATGNRKITQIRHYSPLLNHPRLVSGLHSRSNTSFNSTGLRAQVATLAEASTEAVQKVESPVVIVTGASRGIGKAIALALGKAGCKVLVNYARSSKEAEEVSKEIEALGGQALTYGGDVSNEADVNSMIKTAVDAWGTIDVLINNAGITRDGLLMRMKKSQWQEVIDLNLTGVFLSTQAAAKIMMKNKKGRIINISSVVGLIGNAGQANYAAAKAGVIGLTKSVAKEYSSRGITVNAVAPGFIASDMTAKLGNDLEKKILEAIPLGRYGQPEEVAGLVEFLALSQAASYITGQVFTIDGGMVM >RHN48542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48314609:48321007:1 gene:gene43272 transcript:rna43272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MENPSLLGEGEGYVSDVDEAIENISVPKKVEKKHLRSPICLMIGHELSGKTALLDCIRGTKSDNNDFQHYRATYVPAKNIRERTRKLKSYEKIKVPGLLFIDTPGFISIPSLKYRGLALCDIAILVVDINIGLRPQTIETLNLLNMCKTKFIVVLNQVDRIYGWKTCRNASFPNAYMKQSRDAEIGFCSMLNRTIWQFRQHGIVTKICYQNKEMRETVSIVPTSAISGEGISDMLLQLVMWTQKTMVEKLTYREELQCIILDVNDFEDCGTTLNVVLVNGVLHEGDQIAVCGRQGTTIVTSIQALLKTPPLMELGAKGDYIHCKKVKGAKAIKIIAQGLQDAVVGSNVYMAKPDEDFKEGELVKGETMRIIGLQNYIIFLGLIAVVMLLLPWLYLMC >RHN68153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33212235:33212768:-1 gene:gene16462 transcript:rna16462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MTVNKSQGQSLKNVGFFFAKSCIFACLALRCNFKSHFKRQVKDTNV >RHN42642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39917588:39920997:1 gene:gene49107 transcript:rna49107 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEQIEVSIMEKNSFKNGFRIRHERLADYDNKNQELQHLKHLASQYQE >RHN45191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12838383:12838911:-1 gene:gene39419 transcript:rna39419 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVNTGLVVQIKPASSSHLNFIPRPVRTVIAFQPHAASTEELLPSPRAAVL >RHN75890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45946801:45956430:1 gene:gene12149 transcript:rna12149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MELNKLSDALQKMQHHPSSSSSADLTASEIVSRFRLRLLSLRARRSPPDVRQKMPAAPLKPRRISSRRRSATSQYLLPTDFNEIKDPIEKDRITKCATLAIQDYNSQTQNHYQLVVIDEFISQMVNGFLYIITFQAMNADKEYATFEAYVYSKRDLRLVIDFIKVIQIGIKGTSTWYKGILLQLEDDAPIVMDVKDHKGGVYEEIYSLVKSTQQLRMPDVGTLVIKKDVKVMELNKPSVALQKMQHHPSSSSFADLTDSEIVSRYRLRLSRLGPFARRSPPPDVHQKMPAAPLKPRRRSAIRQSLLPCDFNQIENRIENQIEKDRLIKCVTLAIRDYNSQTRNDYQLVVNDEFISQMVNCFLYRITFQAMNADKEYATFEAHVYGFGKRDYDYLNLRKFFRIRMEGTSTWYNGTLMQLEDGTPSVMDEKDYNRDVYGLVKSAQQLRMPDVGSLVIKQDEGEEAA >RHN80574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39150636:39153940:-1 gene:gene4514 transcript:rna4514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MTEIQDLPEGCIAAIVSRTTPLDAGRLSVFSKTFRSASDSDAVWNQFLPSDISSIISHSPSLTNIPTKKDLYLALSDRPVIIDHDKKSIQLERKSGKKCYMLSARSLAIVWGDDRRYWNWISMPDSRFPEVAKLVDVCWLEIHGVINTIVLSPNTQYAAYVVFKMINASGFQNRPADLSVGVEGGQSSTKIVCLDPNVEGRPQLHDRVDGLQRPSVRSDGWLEIEMGEFFNSGIENEEVQMNVIQTKGGNWKRGLVLEGIEVRPKYNTINQHSLNYARGLGSWMAEQRVKFQRFFVK >RHN74917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37751602:37757083:-1 gene:gene11051 transcript:rna11051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative six-bladed beta-propeller, TolB MKKKSTTHSLLFYTLIVLVSIFSLHFQPTHAAPAGPLIKHLSSLIKWTRSATTKTPHSDGNVLQFENGYVVETVVEGNEIGVIPYRIRVSEEDGELFAVDEINSNIVRITPPLSQYSRGRLVAGSFQGYTDHVDGKPSDARFNHPKGITMDDKGNVYVADTQNLAIRKIGDAGVTTIAGGKSNVAGYRDGPSEDAKFSNDFDVVYVRPTCSLLVIDRGNAALRKIILDQEDCDYQSSSISSTDILIVVGAVLVGYATCMLQQGFGSSFFSKTRSSGQEFKGRESNDKRMPIPESSKEDPGWPSFGQLIADLSKLSLEALASAFTQFMPSHFKFNSRKTGLTPLKDRLVMPEDEVQPPLVKRKTTPVTVTENRQMPQVHTATITEKYSEAKPPKVKSSSFKDPSKHRSSKRSEYAEFYGSGEVPSSYAKSKSQKERPRHRHREKSGEVVFPTNGAEAKPVEPRAAVDHSNSKFDRYSMRTGGYVPGESFRFNSQ >RHN66575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14153579:14156501:-1 gene:gene14588 transcript:rna14588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MCSLGTTKNVPHVVCVPYPAQGHINPMLNIAKLLHFKGGFHVTFVNTEYNHKRHLKARGPDSLNGLPTFRFETIPDGLPESNVDSTQDIPSLCESTRKTCLPHFKNLLSKLNDALDTPPVTCIVSDGVMSFTLDAAQELNIPQVLFWTTSACGFMCYAQYRQLMEKGLTPLKDWSDMTNGYLETPIDWVPGIKEIRLKDLPSFIRTTDPNDPMIEFMSRECQRAQKASAIILNTFDALEHDVLEAFSSIFPPIYSIGPLNLLLKDVTNEELNSIGSNLWKEDSKCLKWLNNKEPNTVVYVNFGSVTVMTKEQMIEFAWGLSNSKKPFLWVIRPDLVAGENAVLPLEFLEETKDRGLLSSWCLQGEVLAHSAIGGFLTHSGWNSTLESVCAGVPMICWPFFAEQQTNCRFCCNEWEIGLEIEDAKRDKIESLVKELLDGEKGKEMKAKALQWKKLAHNAASGPHGSSFMNLENLFRDVLL >RHN42370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37822839:37830751:-1 gene:gene48804 transcript:rna48804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ANTH domain-containing protein MGTLQSWRRVYGAVKDTTKVGLAHVNSDYADLDVAIVKSTNHVECPPKERHLRKILFATSAVRPRADVAYCIHALSRRLAKTRNWTVALKTLIVIHRLLREGDPTLREEILNFSQRGRILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERLPKPAQGQEKGHSKTRDLDSEELLEQLPALQQLLYRLVGCRPEGAAVSNYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAIKALEAYKRAGQQAASLSDFYEVCKGLELARNFQFPVLREPPQSFLTTMEEYIREAPRVVNVPSEPMLQLTYRPEEVLAIEDAKEPEEQVPSEPSDNNVVAPNSEPAPPPPPPSHNHFDTGDLLGLNDLEPNASSIEERNALALAIVSTENGTASAFNSSAAQTKDFDPTGWELALVSTPSTDISSVNERQLAGGLDSLTLNSLYDEGAYRAAQQPVYGAPAPNPFEVHDPFAISSNIATPSTVQMAGMQQQANPFGPYPQFQPQPHQQQQHMLMDPANPFADSGFGGFHANPVSHPHNNNPFGSTGLL >RHN79725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31625593:31626254:1 gene:gene3557 transcript:rna3557 gene_biotype:protein_coding transcript_biotype:protein_coding MKASGDIRTLPTTLFLLVKKNWSSEIRLHAFKMLQVQHLVRLKWEELSPKEHKNFAKLSIDLMYEIADPCEDWTLKSQTLLLILSHLQFRLAELVSMMLRWLSEDITVHNEDLEGL >RHN58350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1024890:1026017:1 gene:gene20255 transcript:rna20255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDADFVDLFGSVKKPKRETGSSSSYHANIKDEQQQQSMKTKDEIFKKFPSFKQFDFVNDASDHHFIHKKCPLNQASLFIHSFILIHCFCSNLNYKGLQCTHFLNSIQIIGRMQSRKTGKFWRRICRVGLLCVQFSIVIMEFCSISSCFSTLLSLSDSIFVRAYKSKMNLLRAVIIGPKGTPYQHGLFFFDFYFPSNYPIVPPVVYFHSRGVCPHLSLFASGQVYLSLLVTKDSIGSDLWRPHVSTILQVLVSIQGQILTEPIIKPPAAKSTSQICNKDVFTKSLSIMEQMIRRPPKV >RHN56097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27668674:27668995:1 gene:gene31434 transcript:rna31434 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQVEPNLDEESEVITLSTNKELKEELGHEGHVFTEREENLLKESPDEVHKQDFVVLDMKKRPEVQNILGTIMLAIGDVVAEV >RHN45338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17035852:17037158:1 gene:gene39617 transcript:rna39617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MGNPLLEFDTDFSSGAEFLWSHGQISESTCQMLKNICSFAEIKRQIRGGNLSTGCQETSQILSTKISGYTDRFDVIADTCQPQQSQQAYVLTKLQAEEKIDVCVEDKTITYLNRKEVQKALHADIKLVGVGRWSTCSSVTAYDFQNLENPTISMLGKLVKSGVRVLSYSGDQDSVIPFTGTRSLVAGLAKELALNTTESHRA >RHN44853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9095576:9100206:1 gene:gene39019 transcript:rna39019 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKFGGGKQPTGTPSLPLSCVVVIFSLLAGASVVHNIYKPNLTLPPIDGVDGTKKKLDEKE >RHN43754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48220359:48221023:-1 gene:gene50376 transcript:rna50376 gene_biotype:protein_coding transcript_biotype:protein_coding MYYNSSVFIWICSSLCCYGVLLVAHWFSDKDVAVLKDSNGVTEDEIDCSIDVAVTVELTVGVNI >RHN43650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47480266:47482142:-1 gene:gene50259 transcript:rna50259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane family 234 protein MEKMIAVGIVWGATNAVMRRGALLWDEALKSSKQPHPPSTNLSQKISISLRNWLKLLSIWQYSIPFLINLSASATFFSILSDAPLSLAVPVTNATTFASTALFATLLGEQSNLPRTFFGTALIIIGICLCINS >RHN67140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24285646:24288564:-1 gene:gene15289 transcript:rna15289 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGGKPRHRYFRGTKVCLRKLSSLISLNSLDMGCDGNCV >RHN75667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44178012:44182461:1 gene:gene11902 transcript:rna11902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle-associated membrane-protein-associated protein MEVESEKPGSDGKVWNFCRMPFWQTSNNPSSSSTTTSSSSTSYMHNVHHQSQSIHSVDRSVPQSSATVSSVAKSLLPTRRRLRLDPPNKLYFPYEPGKQVRSAITIKNTCKSHVAFKFQTTAPKSCYMRPPGGILAPGESIIATVFKFVEPPENNEKPTDQKSRVKFKIMSLKVQGEMDYVPELFDEQRDQVAVEQILRVVFLDPDRNSPAMDKLKRQLAEAEAALEARKKPPEETGPRVAGEGLVIDEWKERRERYLAKQQVEGVVVDSV >RHN80232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36343528:36346637:1 gene:gene4132 transcript:rna4132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative N-acylneuraminate-9-phosphatase MPLLSSTKAFLLFPRIMSSHLTPKTRLRGVVFDMDGTLTVPVIDFPSMYKAVLGDDEYLRVKASNPVGIDILKLIDHWSPLQQRQAYDTIAHFEKQALDHLQIMPGAADLCNVLDSKKIRRGLITRNMKSAVDLFHQRFGITFSPALSREFRPYKPDPAPLLHICSLWEVQPNEVIMVGDSLKDDIACGGRAGAHTCLLDQTGRYDSPEYANVDFKPDFKVTSLAEVYSILETNFELSP >RHN48908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51068337:51075245:1 gene:gene43674 transcript:rna43674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide chain release factor 1, double-stranded RNA-binding domain-containing protein MNSLTASFTARIYGTRCDVVLLRNRSVHQFNNRRVTLLPTLTSTRFVCMAEPYLLLKLESAEKTWKDLSVKLADPDIVSNPSEYQKLAQSVSELDVVVSLYQKFKDCEKTLEETQALAKDAGNDQDMTEMISYEIESLSKQLSELEEKLKVLLLPSDPLDERNIMLEVRAGTGGDEAGIWAGDLVRMYEKFSELNSWKHSAISCSEAEKGGYKTYVMEIKGNRVYSKLKFESGVHRVQRVPLTETQGRVHTSTATVAIMPEVDEVEVVIDPKDIEVTTARSGGAGGQNVNKVETAIDLVHKPTGIRIFCTEQRTQIQNKNLAFKLLRAKLYEIKVREQQESIRNQRKSQVGTGARSEKIRTYNYKDNRVTDHRLKQNFSLTSFLGGNIEEAVQSCVAMEQKELMEELVESMGATAR >RHN70312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50509882:50512398:1 gene:gene18880 transcript:rna18880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MAITASTPHSNATKIKHWLWHTDSQIVKRNIKDARTLIATQKPIEINLALSLLDEALAISPCSDQALELRARSLLCLRRFKDVADMLQDYIPNLKMANDSGSDSCVADQNLFEGFPDLMKRVMTNLFRSGSNEGYWRYLVLGEACFHLGLLDHATILLQTGKSLARTAFRCRSSSWSDDRFSECNISFSDGTISRPPTTKPYRSPISRPPTTNPSRSTQTKYETINQLLAKTKLHLSHRTTAITAFEAGFYTEAIRHLSKIIDSFGTPQAFLAECYMLRALAYRSASRIADSIADCNRTLSLDPKCFNALEARASILESIHCYHDCIHDLERLKLLYNMILHDHELFDSAWKPCNIRYDEIPKKISTITTRIEQLEQKKDNAEIFNVDYYTLMGLPHGCDQSELERAYLLLDLRHNSEIATCFIERCELDENQNIQLIKDRARMFADLLYKLIEKGHSSVRNTIMEEESYDKFLNCNKQV >RHN75083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39237251:39238660:1 gene:gene11235 transcript:rna11235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MLLNLQFKHTSLVYIGVFLSSLSASVVLSYFIFPLFSSFQLHRKSGQKCYMLAARSLTIECRCVYRVKELIPMDDSRFPEVAKLSLLYSHEIRGTINTLSLSPNTQYAAYLVFKMIHAYGQKNKPVCFNVGVDGDRRSVKSVCLDPDLEHWRKSHYNEEGLQRPTVRSDGWLEIEMGKFFNSSPKDEEVRMSVLQRSGYRIKGDLFIEGIEVSLSKRIKQYS >RHN59277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8999546:9000359:-1 gene:gene21281 transcript:rna21281 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGGGAMHRNNNNSSKSPSDSMLVAFHNLDILTLSSSLNWNKVWGDNQHSFCKLTNFVVDNCDVGQNEFLSCVGEEEGIGSVGEDEGRNIILIILVPRLRGRPIQQLRWHV >RHN41081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26813150:26822450:1 gene:gene47364 transcript:rna47364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MDTKKEKQQGNQESSTKKERHIVTWTQEEDDILREQIGNHGTENWATIASKFKDKTTRQCRRRWYTYLNVNFKKGGWTPDEDILLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLHKKRGKNDIDSNSKRNISYQGYNTDAMSESAMPVKRTRTAHIPDDAKMINIGDRSHLRNAISINQQPRAPLAELAQQSNNVNNFPDRHHVSNDKFNSSGRNNKYELKKDDPKISALIQIIDSENMERVWKPLLEFLSQTDIIGEKIAVLQLVSHTVKEFKSSNERGHSRLRQIKPDKDCSGSSEYSTGLTLQHKSTCTGDNLESALNQDTGTEIQATQLGVKKEVCEGVKEVLSTGKVEQDTIPNCDEQIIASPRMECSPLQVTPIFRSLAEGIPTPEFTDSEKSFLRKTLGVESPSISTNVNPYKPPPCVRALQYNP >RHN46525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32479382:32480182:1 gene:gene41018 transcript:rna41018 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDMVTMHSILSRKLNFNAPLLSTKRLGYSDFAVPSCLSNSLDTVRVPFSWEQSPGKPKDSEKVDSIDDVETPRPRLPPCLWRPKKVAAEPDENNSVIAFDKDDGCDGDDDDDNNKKTDVFSDAFDVLSLSEAFDIIQQSETKAHSDSNDGLRLKLEEFNGNQSPTYMINRFLPDANALAASSVLHFSNDFDKNHGVCLRGSDRNSCASSPKGCGLGLLFSWGMKHKFCSIKNPVLPCSTNAQNFQHNSKHKKQCSSVHKKHAEM >RHN51154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14557555:14559760:1 gene:gene35540 transcript:rna35540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative initiation factor eIF-4 gamma, MA3 MVESCESHFTGGSGGKGTWGGLLETDDMNLLDPNDPNYDSTEEFDDSNDKKTNAALEEYKKKATIIVEEYFATDDVVATMSEVREIGKPEYSYYFVKKLVSMSMDRHDKEKEMAAILLSALYADIIHPSQVYKGFTKLVESADDLIVDIPDTVDILALFIARAVVDDILPPAFLKKQIANLPNDSKGAEVLKKAEKSYLTAPLHAEIIERRWGGSKNTTVDDVKARINNFLKEYVVSGDKTEAFRCIKDLNVPFFHHEIVKRALIMAMEKRQAETPLLDLLKEAAEKGFINTSQMSKGFTRLIETVDDLSLDIPNARGILQQLMSKAASDGWLCVSSLKPLSIEPEKNTIQENVAKSFKMKTQSIIQEYFLSGDIFEVISCLEQENNKNCGELNAIFVKKLITLAMDRKNREKEMASVLLSSLCFPPDDVVNGFVMLIESADDTALDNPVVVEDLAMFLARSVVDEVLAPQQLEDIGTQCISQDSIGSKVLQMAKSLLKARLAGERILRCWGGGGGGSSKPGWEIEDVKDMIGKLLEEYESGGDIKEACRCMKELGMPFFHHEVVKKSLVKIIEKKNERLWGLLKECFESGLITMYQMVKGFGRVEEALDDLALDVPDAKNQFAYYVEKAKNEGWLDSSFCFKNATENGTC >RHN39816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10777844:10786559:-1 gene:gene45885 transcript:rna45885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 1 MGSLESTIPLKKGSLFGKKEKHPFSQRFRSSFSRLLFNKKLDYIQWICAVVVFLCLVVVFQMFLPVSVVEDSEESLRAVKMRSWNTLHLHDEEYVLDIGEDVAVFLPRISEKFTDFNLLNRTGKRFGYRKPQLALVFGELSVDSQQLLMVTIATSLLEIGYDIQVFSPEDGPGRNMWRNLRVPITIIKTRDKTDYTVDWLNYDGIIVSSLEARNAFSCFLQEPFKSVPLVWIIQDSALGYRSRQYTASGKIELLNDWRRVFNRSSVVVFPNYALPMIYSSFDAGNFYVIPGSPAEALEADAFMALQKDNLRISMGYGPEDVIIAIVGSQFLYKGMWLGHAVVLQALSPLLADFPLTKDNSSAQLRIIVHSGELTNNYSVALETMAQSLKYPKGTVEHIAGDLNADSVLGTADVVIYGSLLEEQSFPEILIKAMCFEKPIIAPDISMIRKYVDDRVNGYLFPKDNIRVLRQIMLEVISKGKISPLARNIASMGRRTAKNLMVSEAIDGYASLLQNILRLPSEVAPPKAVSEISPNVKEKWQWHLFEAVPNSTYQNRALRSNTFLDKYEDRWNHSRKDKSSTTIADNDSFVYTIWEEEKYIQKAITKKRIEDEELKDRTEQSHGTWEEVYRNAKKADRLKNDLHERDDGELERTGQPLSIYEPYFGEGAWAFLHHRSLYRGVSLSSKGRRPGRDDFDAPSRLPLLNNAYYRDVLGEFGAFFAIANRIDRLHKNAWIGFQSWRATARKASLSRAAENALLDAVQSKKNGDTLYFWVRMDTDPRNPSQKDFWSFCDSINAGGCKPAFSEAMRRMYGVQADANSLPPMPVDGDTWSVMLSWALPTRSFLEFVMFSRMFVDALDAQMYDEHHSTGHCPLSLSKDKHCYSRVLELLVNVWAYHSARRMVFVNPETGAMQEQHKFKNRRGKMWIKWFSYSTLKNMDEDLAELSDSEDPNKHWLWPSTGEVFWQGLYERERSLRHKEKEKRKQKSLEKLNRMRKRHRQQVIGKYVKPPPDLEETSNSTLLAV >RHN75642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44009466:44010917:1 gene:gene11876 transcript:rna11876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MSNTNMLHHHEIKFYHNETVIMKVIEQTQISPPPSSLPSPTRIPLTFFDISWFYCKPPLKRIFFYHFPHPTHHFLQTTLPILKHSLSLTLQHFFPFTSNLIIPPNSHNTPPFIRYLDEDSISFTIAESSADFNILISDSQDAQNWHPLVPNLPPPRTEQNGTCIVPLMAIQITILPNSGFSICLAFKHVVADGKSLHHFMKFWAFVSKAITNNNELSLEYSPPLDLPSHERDRVLKHPTAPKLNYFQEIQYFVLEIMKSTSLVRDAAYANKVRTSLVLSREEVQKMKGWIIDKCKDSTPHMSTFVVTCSLIWFCMVKSEQSKSDLVYFMFFADCRDRREFSLSKTYFGNCLASYTVVVNRGELVGKDGILVAANAIERKIRDFKSDALLGVETLMPDYREIIKPGNSVKMVSGSPKLDVYETDFGWGKPKKSDVVHIDSSSSISLSDCRDGRGGIEVGLALERSQMTNFISIYNEQLGDICSM >RHN63447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51365215:51368277:1 gene:gene26146 transcript:rna26146 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLGRENKGSLNLQKKMAETLRREEPPPDLTDFMNDMFFGTVDTHQKTYDLTGGVGMNMDDDEEDDGFDDSTRSNSARLTQEWLQEARLVVASSPSRCESPGRLLGSPRFASPSKSSLPSSDRTDALSRTRSARRYRTAEGISDEILSKTAKHTRNKSDTFAQPSSDGDGSPATAVHKWFSNILKPNNNNNNNNNNSPSNTPPPSPDSLPPRQPHPRKSRFQSSPSPAPQPQGIQAPNYNSRRTFKPSALSEPLPENTSRRTPHSEDSLPLSPPRNLVESAHRRTISSSTCSWEKIAPVKNFVKEEEETTEEYSLNGFLKEQRNLFQRFSKGELHTNVEIKIVLSGHLNSTASMVAAICHAWLLGYRQKENDGGGKERTVVVPVMNVKRSDMCKLKQAAWLFHHAGLDATSLLFTDEVDMESLLVTGKLSVLMVGQDVLSATNEVGSQCTVLTDNYCEDAYDLLQNSVLKKLLLAGILLDTQNLKASAAVSMTRDAEAVQLLLVGLAPNYRYALFDQCKIYVSPHIEIEKLLIIFKFNVLTCCVS >RHN76808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1440667:1443374:1 gene:gene186 transcript:rna186 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMVGPTFSIDVPSSPPFAGAGNRPSGNVFFSGDSPESSSSIGTPDDSDIENDNVSPKRNRDEDDDDEVQSKFKGLNSLDSLEDSLPIKKGLSNHFIGKSKSFSDLSQVTTVTELKKQENPFNKRRRLLIASKLSRKSFYSCFNPKSMPLLSVNEDDDEDQGGEKEVTTKGSPSSSSSSMEEKKNPQEEVMMRQFNNRMPQSYANHMRLRLGSFKSRSFSLADLQEHDEVEEDDDEDNDEQHLD >RHN78198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12697055:12701433:-1 gene:gene1731 transcript:rna1731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MPLSHPPPRTLPSHRRRSSSSSHLHTATNSANSMSPPESPSLHPHHILIVALFFAAVSLSTLLLFRDADYSYFASSYSFRFPTVFPSASNDSATTRNEYPLEKILNEAAMEDRTVILTTLNEAWAAPNSVIDLFLDSFRIGVRTRRLLNHLVIIALDQKAFVRCQAIHTYCFLLVSEGNDFHEEAFFMTPLYLKMMWRRIDFLRSVLELGYNFVFTDADIMWFRDPFPRFYDDADFQIACDHFTGFFDDVHNRPNGGFNFVRSNNRSIEFYKFWYSSQDTYPGYHDQDVLNFIKVDPYIFDIGLKMKFLDTAYFGGLCEPSRDLNQVCTMHANCCYGMDNKLHDLKIMLQDWRYYLTLPPSLKRLSIISWRVPQKCSLDALKPSDSPETSVQED >RHN48382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47112127:47113567:1 gene:gene43093 transcript:rna43093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MVYYHPPHFGYPLLHFLQHTHSISLTMNSIPNLRHSNLSLNHNRPSFPLSPSLSFRSHPPPQFLPPSSFKLPPIKSSSSQNDPAFQKPQNNPTPPNPFQTLTSLFSPVVETTCIVIAATAFFFMRFHHTPVIAATLSPPTTVTENATMEEDAEKVIQEKLTENPNDAEALRALMEVKIKAREIDEAIGVIDRLIEIEPEEMEWRLLKANMYIYNDDHESAKKLFEEILKKDPLRVEAFHGLVMASSESNEQSDEPLKGLLKRVEKAMELCKKQKKVSDVRDFRLLVAQIKVMEGNFSEALKAYQDLVKEEPRDFRPYLCQGIIYTLLRKKDEAEKQFDQFRKLVPKNHPYKEYFDDNMNGTNFFPQKFEREEAGARS >RHN78370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14501442:14501834:1 gene:gene1916 transcript:rna1916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MHRFFKHDCFPNACRFDHVNVNPPHHAAASPNNTDIIIRMTHDVRQGMEICLSYFVVNKTYSSRQKKILLEDYCFKCNCESNCSDDARVEENVEEDEQEVMVMDDEEEEQCENIHQTLTIPHIFFFKIHV >RHN77090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3973495:3974213:-1 gene:gene508 transcript:rna508 gene_biotype:protein_coding transcript_biotype:protein_coding MISNCFGLDFDDQSDRHASLVKPNNKIEIKVEKINAVIFLMQEFSRVLLSKLVLQWSGITFMCTLGLHI >RHN72396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7275013:7280447:-1 gene:gene8102 transcript:rna8102 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GS2 MAQILAPSTQCQARITKISPVATPISSKMWSSLVMKQNKKVARSAKFRVMAVNSGTINRVEDLLNLDITPFTDSIIAEYIWIGGTGIDVRSKSRTISKPVEHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDAYTPQGEPIPTNKRHKAAEIFSNPKVEAEIPWYGIEQEYTLLQTDVKWPLGWPVGGYPGPQGPYYCAAGADKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWASRYILERITEQAGVVLTLDPKPIEGDWNGAGCHTNYSTKSMREDGGFEVIKKAILNLSLRHKIHIEAYGEGNERRLTGKHETASINTFSWGVANRGCSIRVGRDTEKNGKGYLEDRRPASNMDPYVVTALLAESTLLWEPTLEAEALAAQKIALKV >RHN48284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46304165:46304572:-1 gene:gene42985 transcript:rna42985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSYSSHKKRRLRKSSKVDRISDLPDSILSHILYFLPTKLAATTSVLSKRWKRLWLSVLSLDFDSSGFKTFDLFLRVVYSTMYCREITLPIHSFRFKSHANSSTDIVQKDVNQFFYHVLNVEYKTCTSTCLRVIAR >RHN49229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53428703:53429280:1 gene:gene44038 transcript:rna44038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L10P MAGKVAKSAYYAKMAKLLREYTQVLVVSSDNVGSNQLQGIRRALHEDSVVVMGKNSLMKHSINQAAEKTGNNDAFLNLGPLLVGNFALIFTKGDLC >RHN53118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42121841:42123166:-1 gene:gene37886 transcript:rna37886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A(2) MKNNYFPPPITLQQSEGSFDSSTAFSFKTQTDGIRRGEYQITTLSCPPTNKKMTSHINILRATAAFALLTVLLSAVFPSSSANCGRDCIVEQCNSMTIKYGRYCGVGYSGCPGVKPCDDIDACCMGHDDCVGRFGVTHVKCHKRLKNCLIRVQRAGKVGFSKECPVSIAAPTMIRGMDLAIMFSSIGKWQGWP >RHN52579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36773843:36780215:1 gene:gene37272 transcript:rna37272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MVLNLLLLPRIVVILSCVAIIEATILLTNDDINNSLNRSSFPEGFIFGTASSAYQYEGAANIGGKGPSIWDTFTHNYPGKIKDRSNGDIALDEYHRYKEDVELVKDINMDAYRFSISWSRILPKGKLSGGVNREGIKYYNNLISELLAKGLQPFVTLFHWDLPQTLEDEYSGFLSPNIVKDFRDYAELCFKEFGDRVKHWITLNEPWAFAKHAYAEGSFAPGRCSPWQNLNCTGGDSATEPYIVSHNQILAHASAVNAYKTKYQKFQKGKIGITLVCHWMVPLYDTKLDHHASQRAIDFMFGWYMDPLTIGDYPSSMRSLVGSRLPKFSTYQAKLVKGSFDFIGLNYYTSHYATNAPELSEVIKPSYNTDALVSFTSQRNGIPIGPKAASAWLSIYPKGIHDLLLYIKTKYNNPLIYITENGMDDFNDPTLPLEKALEDTQRIDYYYDHLYYLQTAIKDGVNVKGYFAWSLLDNFEWGLGYTSRFGIYFIDYNDGLKRYPKMSAVWFKNFLQHKIVAYGDSR >RHN68604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37018087:37019820:1 gene:gene16976 transcript:rna16976 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKGREGKRSAPSTDLLVCFPSRTHLRLMPKPICSPVRPSEPNKHHHHKTYHQRKRSISRAGGSISGGGQAGSPMLWTKNKSMGSENSEPTSPKVTCAGQIKMRPKNSACRSWQSVMEEIEKIHNDKKQKKRLNWAESLGFKKEIMQFFTCLRAIRFDLRCFGSFSGTDITTEDEDEEEDDDGEDEDKDEVYYNDHVGVEETHRDSESSRAVFSKWFMVMQEEQNKVVHKEEEKKDENCGDGEISVPPPNALLLMRCRSAPVKSWLKESEEEGGNNNEKENNSQKEKEKEKELAKTHVKKGQSLKSLMEEDNNNNKENLVVMRYHSDYYGISTDIARETWIVGGLTDPMSRSRSWKR >RHN52920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40348433:40350811:1 gene:gene37657 transcript:rna37657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA(Ile)-lysidine synthase MDYLRTIQCKNCITAAGCYLCPDPGSKGSRVLVCCSVDIALPLKMEFSETCSFRQHEYHVANELEKIIEDEKSNSNHLVLDASDVHFLDANPESVLDEAKRLNIISEPTFNSILVLQKQETNRFRSKVGAISDLASKHEVENATSSGNSLQPGQCCYFMDRFMLTWKLNDKMDRDVLSDLVDYGMDLSGEARNFCCTSCVVGRDQVLEVRHMIESDWLYLAELSRYSPLENSANGNTKMMEKTASYLHYASVSAKKALVLLKSIPVAARRSLPVLINQQGKLICIPSVNFKHCPCLMVHVEYKPKIPLGGGHSSFI >RHN71471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:397880:402285:1 gene:gene7076 transcript:rna7076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NIF system FeS cluster assembly, NifU MQGVVVLNTQSYCRTVLQPSSFTRKGTMFFGTNLPFRSTSNNHLLPPPSLCFHKPPFAIKAVAIPNPAVELPLTAENVETVLDEIRPYLISDGGNVALHEIDGNVVRLKLQGACGSCPSSVMTMKMGIERRLMEKIPEIVAVEPVTDEETGLELNDENIEKVLEELRPYLVGAAGGTLELVAIEEPIVKVRITGPAAGVMTVRVAVTQKLREKIPAIAAVQLL >RHN47459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39928538:39929288:-1 gene:gene42066 transcript:rna42066 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVAAFNSRKQLKGRMGREKKTKFIEIRSNAYYHHLSLTAAAFDSKSKNSQQFCDPTHSRLLVLSVTNETLFGEKTHSLSTTQQCLYMLPINPK >RHN51094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13948633:13954245:-1 gene:gene35469 transcript:rna35469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MTTKRIRQSTKQNDAVSKPKKAKKSSKQDFEVEEAIVSLLPPKLKRATKRSAVDSNKICFVGKPIPVDEAQSKWPHRYLKKEIETHRDTIALCSGLKNNDCIKAKYHYRKAKVDGVIYELNDNAYVQAEKGKKDSIARILELFVSDDQQQFFTAQWFYRAQDTVLQDHGRLVDKKRVFISDVKDEIPLGCILRKVDIAEVKPDVVLAAQKKKNKNKIPSCELYFDMKYTAPYLTFSKISNEPERIESSTSTISSESGSNVVAADKSEWSLLDLYAGCGAMSTGLFFGAFKSGIKLVTRWAIDINKHACESLKLNHPQTHVRNETAENFLSLLKEWAKLCEEFVLNGSESSDSDMDDGEEANDEVVDDSSDSEVFEVEKLLQVCYGNPNKDKKKSKKDKEKASEDEKLGLYFKVQWKGYDSSYDTWEPIEGLSDCKDALRDLVTTGYKDKILPLPGQADVICGGPPCQGVSGFNRFRNKNAPLKDVKNMQLIVYMNIIEFLKPRYILMENVVDILKFVGGFLGRYALGRLVAMNYQARMGMMAAGCYGLPQFRMRVFLWGALPTEEITVAFATNEKCQLAEPLYLKDAIDDLPPIKNDESQDERSYGASPRSEFQKYIRLKRSEMVNYSADYENTPSGMLYDHFPLELNKDDYERVCHIPHKKGSNFRDLKGVTVKGNKVEWDPSVERQLLKSGKPLVPDYAMSFVRGTSSKPFGRLWWDEIVSTVVTRAEPHNQVLLHPEQDRVLSIRENARIQGFPDCYKLCGPTKERYTQVGNAVAVPVALALGYTLGLAILGLSDDKPLTTLPFKYPSCLAPSLDTVDDDDSS >RHN70599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52645847:52654745:-1 gene:gene19198 transcript:rna19198 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIFLNALLVFKTKLLTGTNINDMIHPIHPSNKKFVNMIHEIHMITSHCDMSDQNPVDPSKMIAGRRAMTQSHRKESESGHIPKRGRGRRVDGSGSSQEASRATQPEESQVVDPSQQVEYLNYQDQVHHDVTDGGYDQDHILEEQHIADDDDIAAAAALEVLPVDPPFPRGPEDLSLLHSYVKHPSIPYTLHHKQEHSDFSNFPFYFLFFLDF >RHN44408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4363442:4363693:-1 gene:gene38527 transcript:rna38527 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKPITCLSRTSPNSTPPFKSLLSVNPIVIPLSTEVVSTFAGLPKPKSISYTPNLVDPATPIP >RHN44517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5608794:5610389:1 gene:gene38645 transcript:rna38645 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSYKKIFLCAGASVEVECKDGNEISKPRFKKQVKTNEHGEFKIQLPFSVSKHVKRIKGCVVKLVSSNEPFCSIASAASSSSLHLKSRKQGLHIFSAGFFSFKPLKQPNLCNQKPSVVQNTKKLLDSLKKTSFPPKIDPSFPPPLQDPNPPSGVLPFLPPVPLVPEILTPILPPELSPLIPSGMTSEESKYKSTKTSKNLDEKNVINLDTFNLPPNPFLSPPLVPNNPLQPPTSTPLVPNPLQPPPLVPNNPLQPPSTPHFPDPFHPTIPLLHQILPPILSPLVPNGMTSDASKSKSTKTTKNVQSLDEKKATNLDSFNLPPNPFFPPPLLPNNPLQPPSTPTIPNPFQPPTPTPLVPNNPFLPPPSGSSPLFPFPSVPGLSPSPPPSSPPGLAFPFPPLFPPPGSGTPPASTKNVSP >RHN60551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28081804:28082374:1 gene:gene22874 transcript:rna22874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVKVFKFTYLMIIFFSLFLVAMNVDAVIECNQHSDCPKDMCQFHLKPNCILMKVRLSNFFPNFYDGICGCD >RHN55764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22984136:22987071:-1 gene:gene31012 transcript:rna31012 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVSTYALPPDDPNHFMQHFCCIIWLQFRSLLRKSNTMVIHIAEIYLSETKPRKNQKLNQHEHDQTMEKQMKSQQDHFECRMN >RHN60798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30509483:30513747:1 gene:gene23178 transcript:rna23178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRRKKKAQVVMFVHDLIICIYLFIVITTRKTDIRCRFYYDCPRLEYHFCECIEDFCAYIRLN >RHN48064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44673305:44677182:-1 gene:gene42736 transcript:rna42736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MESSHVISIANEEDNNNNDNNIAQMKNETNTHLEKNKNLSFVPITSNPKDKNNKQIKSDHDIKKMVHSIKVGISLVLVSLLYILNPLFDQVGENAMWAIMTVVVISEFNAGATLGKGLNRGIGTIVGGGLGCLAAIFAQSIGGVGNSIFIGTSVYIFGSVATYFRLVPKIKNRYDYGVVVFMLTFNLVVVSGARPGVKVWELARERLLNILMGFIIAICVNLFVFPLWASDELHDSIVSRFHNLANTIQGCLEECSKTVKENQPDTSFTVCKSVLNSKSKDESLANFAKWEPWHGKFGFSYPWQKYLKIGEVIRELAALILALERCFQASKKITASLKQPKKVQLEQCEAIGSRIVWTLREVGDSMKQMRKCEGRDNTIDKLKTTREELSLVISTSMIEEFENGEMLPIASLVFLLMEVVEKVGELVIEVGELQDMAGFRTR >RHN68905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39505421:39510154:-1 gene:gene17321 transcript:rna17321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MGGRKEKSKAKARDDESIDNLDRDSTPSLVFSGSDDDDEEANQDLSLKIVEKALRTRESKLTSNDAVLPPQQSDLLMPQMDDASIEPNQSVLIAAQQEVEEIIKTTEKHESVELEASVDQIGDNMVLRKLLRGPRYFDPPDSSWGSCYNCGEEGHAAVNCTAAKRKKPCYVCGELGHAAKQCSKGQSCYICKKGGHRAKDCPEKHMTARASKSLTVCLKCGNSGHDMFSCRNDYSPDDLKEIQCYICKLFGHLCCVNAADVIPGEISCYKCGQMGHTGSACSRLQGETTGTATPTLCYRCGEGGHFARECTYSIKAGKKNSEFSNTKNRKSLKENEYTGHWSAPLDMGKTHKKKRPHTDERGFTTPKKSKRRGGWMTEFPTEERSYTTPKKSKSRGGWTMELPTEERGFTAPKKSKSRGGWTGEHSAEERGFTTPKKSKSKGGWTTEHPAEDRDFKSPMKFKSRGGWMADHPGEFSSSKSNRTSWSPAGTPSARNTNMYASGSGSRTPGWSSKTSKGWMGQQPEASYSQGSADAFHHRYSSSRFGNSNDDGYRRGNSASRFGNSSGEGYRRSNWW >RHN67601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28543850:28546141:-1 gene:gene15806 transcript:rna15806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKLSLFGKKDSSKQISSDAHEAKKTCGICFDTKTDSDIFNIRSTILKRRKCNHLFCVDCICKYVEVQINDNAYKVLCPSPNCFVKYKPKHLKHILPKQLIVKWEFLASELSKPSEPKTYCPYANCSVLLGKENDIGREFNSSSRCPSCHRQFCAKCKVPWHAGMNCQKFQQFKRNDKNDLDKKFLVLAKEQQWKRCPNCFMYVKKSAGCSLMKCRCGCKFCYKCGKKRSFTSAHTCK >RHN42966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42225874:42228553:1 gene:gene49478 transcript:rna49478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MALSFSLCSGTTVHNRFPSCMKLNGKSYKVSDKVLCSARNGNEKKPLVGIGIGIVAAWVMGLTALDADATRIEYYATVGEPMCELNYVKSGLGYCDYVEGFGDEAPLGELIDIHYTARFADGIVFDSSYKRARPLTMRIGVGKVIRGLDQGILGGEGVPPMRIGGKRKLTIPPLLAYGPEPAGCFSGDCNIPGNATLLYDIKFVGLYSGNRSQ >RHN59798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13311232:13316820:1 gene:gene21951 transcript:rna21951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MKFLSSSRVCIYIYLPLWYKVNFTSMINNHITLKEKFFFTFSKVDMQILFVTFFILSFVSCCSCNIPCPETTQKTTIFNVMDYGAVGDGLTDDSQVFLKVWNDICKRDSDTPTLLVPSGKTFLLNPLKFRGPCSFSPVHFQLEGNIVAPSSSEAWKDEDYTKWIVFYYIHGIIIDGGGQIDGQGSTWWKTNCSALHIDNCSNLQLNGTHHLNSARNHISIDYSDHVNIFKINITAPQESPNTDGIDIGHSSYVLIQDSTIATGDDCIAMNNGTSNINITGVTCGPGHGISVGSLGENGAYEIVEQVYVKNCTFIRTENGMRIKTWPGGSGYARNISFEQIILTETKNPIIIDQNYRDLVIDKANNMQKSEVQISGVTYRDVIGTSNSKTSIKLNCNKNSGCTDIFMDVVNITSISGKTTKASCKNAHGEASSTSPYVPCLSQDSD >RHN47952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43788688:43791693:-1 gene:gene42610 transcript:rna42610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MYQHWSKMYGTQWESYIGISNLVKVVGSDQIVGSEQMSFEPIKSPCNNIVTTNFQGQTPHEGFGAVSVAKIKNHGSFQAEEYYDEDEIEVPGWCFDQFPKITDPPMLNCQAHGGDNFTNSLYSVDESLLSNSADSHNSSEEEYSNFQSGNMSFYDHFPKKHNELFRNDASIDENPLEISFQRTKSGSSTKSQKQTPQLYGMCATSNRKAPTCKRRVRWTEDLHESFMIIVDHLGGPEKAKPKAILDMMKSNLLSISHVKSHLQKCRSTIRVGVHKALQEKPEEGHRTDRVADLQLKILKQIEESQQLHLEVRKSISQQLETQRKLQTLIEQHGNKLKLMQKERTNQRKSWTQRERTVPK >RHN69936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47453188:47454526:1 gene:gene18469 transcript:rna18469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVPNSACDDRNRTNVFSSFPLTEEPTTTTTRTLTSQSLNTVARLPTLPFELVEEILCRLPVKILMQLQCICKSWKSLISNDRKFAKKHLRMSKRVALIVSSVNDSGEPLFWDSSISSVFSNASNSSSVTQTQLICPFSLTKYLEICSCDGILCFTIARRSSVLWNPSIIRYNMLPPLENPEESDGSTYLYSFGYDHFNDVYKVVAISHMTSHILVAFLDQVYFSSCAIVSLDLETESYQKLWPDFGMDYRILTLRVFKDCLCILTCSHMFLDVWIMKEYGNIESWTKLYSVPFLRNWGIYPYPMAFYISEDD >RHN52656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37451525:37452052:1 gene:gene37350 transcript:rna37350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MELQGIQTDVVNLSILINCFCHLHQLNYAFSVLAKIFKFGYQPDTITMTTLMKGLCLSGQVRKALHFHDDVIEKGFKLDHVSYGTLINGLCKSGETRAALQVLEKIERLNVKPDVLMYTIIIDSLFKNKLVIDAYDLYFKMHVKKISPNVVTYTYNFNIWPFHCRSIERSSLFFQ >RHN75145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39755613:39758882:-1 gene:gene11307 transcript:rna11307 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVAVRSNSLSDEWEISFARFIPFPHSSITSSSSSSDLHPLPVRIRNRPPRGTWISSSTSAFLRFSSDLNFSDVVLTVAFNAKLLEEHYVSKLNFSWPQVSCDPGFPARGIRTVLVSYRDSRGEIQKFAMRFPSIYETQSFIGALKEILKDNKEPEPLNIDFGSEISSQSEFMSTNKHSYRPSEELSFMTPADTYIPQIPICMNNEGVQPSGLGSQNKETAPVHNFESILPALPPSFASFLMDYSGLNPAQPTVTEENDLKSQIAKYMEDSSFQDMLVKVEKVISEIGGDMSL >RHN63894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54834425:54835648:1 gene:gene26649 transcript:rna26649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative enoyl-CoA hydratase MLISNTQGQCAIIMFDVTARLTYKNVPTWHGDITRSVDVKNRQIKAKQVIFHRKKNLQYYEISAKSNYNFEKPFLYLAKKLAGNMNLHFVEMPALAPPEVAFDIAAQESIEQEICMPAFQPLPDGDDEAFE >RHN66958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22175411:22175998:1 gene:gene15083 transcript:rna15083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MMTILTTQMSLILLLFFSITTFRICMCRYHTMVRCNEKDLEILLTFKQGINNSLSLFSRWSAEKDCCVWEGVHCDNITGRVTEINRNTYFFEYESVNVLEGEMNLCIFELEFLSYLDLSHNKFNVIRIPSIQHNITHSSNLVKLDLSFNFLHMYNLDWLSPLSSLKYLNLGGIDLHKEKTFSENQEKINQGSRLL >RHN74253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31140465:31140997:-1 gene:gene10286 transcript:rna10286 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTSTPPATNSSFATIESSDESESNIKIEFGNKINLRNLLLRIPLSIPGRKRGFTLINLCVSFVIVSMISSFLNNNSLLYNNQFQR >RHN39887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11408921:11409727:-1 gene:gene45969 transcript:rna45969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MRYSKLMSIKKKKKDKKNKKLTLSMFLPEELIAQILSLLDVKTILRLICLSKSWNTLISDPTFVQKQLKRPPRLILKPPCWVYPMRTIQSLPITRLLKNPSITVSGDFCYDGGGLNDNCKVVISCNGLLCFIFCSDNKEYHNYWFRIWNPATGTRSKALGTNHDYNLQLRSLRFNFGSEAFGSCYYDYRRRYLLGCLKFTFGCGILSGTYKTVEFRAKGDEENKYGPWRSQVRILSLSDDCWRNIDSFPVIPLISPFNNGVYLSGTIY >RHN57108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36562797:36566131:1 gene:gene32607 transcript:rna32607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MTWIPQLVDFGTTKHLSIAHDYFSLNNQPYIFDLSKYIFFNRKMRTFMSIFFLCFASQILLHYFLSSAITVAFALSSQTDKLALLALKEKLTNGVSDSLPSWNESLHFCEWQGITCGRRHMRVISLHLENQILGGTLGPSLGNLTFLRKLYLSNVDLHGEIPKQVGRLKRLQILHLTNNSKLQGEIPMELTNCSNIKVINLGFNQLIGRIPTRFGSMMQLIRLKLRGNNLVGTIPSSLGNVSSLQNISLTQNHLEGSIPDSLGKLSSLNLLYLGGNNLSGEIPHSLYNLSNMKSFDLGVNNLFGSLPSNMNLVFPNLVEFLVGVNQMTGNFPPSVFNLTELRWFDLGDNFFNGPILLTLGRLIKLEFFQIAKNNFGSGKAHDLDFLFPLTNCTELTELVLHENRFGGELPHFTGNFSTHLSWLDMGMNQIYGAIPKGIGQLTGLTYLDIGNNFLEGTIPNSIGKLNNLVKLFLGENKLYGNIPNSIGNLTMLSELYLNRNKFQGSIPFTLRYCTNLQSLNISDNKLSGHIPNQTISYLENLVDLDLSINSLTGPLPLGFGNLKHISSLYLNENKLSGEIPNDLGACFTLTKLVLKNNFFHGGIPSFLGSLRSLEILDISNNSFSSTIPFELENLTLLNTLNLSFNNLYGDVPVEGVFSNVSAISLTGNKNLCGGILQLKLPPCSKLPAKKHKRSLKKKLILVSVIGVVLISFIVFIIFHFLPRKTKMLPSSPSLQKGNLMITYRELHEATDGFSSSNLVGTGSFGSVYKGSLLNFEKPIVVKVLNLKTRGAAKSFKAECEALGKMKHRNLVKILTCCSSIDYKGEEFKAIVFEFMPKGSLEKLLHDNEGSGNHNLSLRHRVDIALDVAHALDYLHNGTEKSIVHCDIKPSNVLLDDDTVAHLGDFGLARLILGTRDHSSKDQVNSSTIKGTIGYVPPEYGAGVPVSPQGDVYSFGILLLEMLTGKRPTDSMFCENLSLHKFCKMKIPVEILEIVDSHLLMPFLKDQTLMMECLVMFAKIGVACSEEFPTHRMLIKNVTVKLLEIKQKLLC >RHN69380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43011669:43012252:-1 gene:gene17839 transcript:rna17839 gene_biotype:protein_coding transcript_biotype:protein_coding MELQATKEEEIEVFKIGNRPPIFAAILSFGLVKNRPPIWYFLGTRSVEIGHRFWSTVADFDLLINIKRRFQIRG >RHN77461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6997668:7001146:-1 gene:gene923 transcript:rna923 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGGSYDASSCNGGEARNKSLQIIEYSPYDPSCIKLSFSLPWFDVRVFYVRVSGIQVNESTPQFLYLHHIPLSLDTLLEVNGVRSSMNSDEDSSILRRDRIDKKSEQVTFVNTDSIRLNGSMKFEVCDKDQCILSCVLEMKNHDNDKRYWSMNCETEMASNSGFFKGKHVSVPEIEVYVAGCFSGKPIILTRTLQINCRKKHNRKMCMLDVIPEYETSEIKKDVCDHDHGLDSQVTEYRSFKPEQEEHYNNMYCQREGYIDMEDGELSWFNAGVRVGVGIGLGIFVGVGIGVSLLARSYQTTTRSFKRRFI >RHN81019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42655804:42662731:-1 gene:gene5009 transcript:rna5009 gene_biotype:protein_coding transcript_biotype:protein_coding MVNCTSCKNLKDQFHPSICSVDSRHKDQPNGQTCMDDYRKLNEHIS >RHN60219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23761455:23762339:1 gene:gene22482 transcript:rna22482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MDMISNLPDNIIVFCILSLLTTKEAFATSILSKRWTHLCHFVPKIDFTNIIVNSDESNSRFIQFVNSVLASRDAVFSHFINSFCLEIQYGNPNLAHLSIPSIVKWVNHVVERRLEYLCLCLHVNNLPKFPISTLGCKTLVTLKLRGFHLVGFSLPSIGLPSLRTLHLKNIEFTGIQGFMLVLAGCPMLENLRVSDIYFHEEEEDSLTIQEVKSFSFPKLTRAKVTRFWSSYFPMKALSKSEYLCIDAYWFEDFIYEVCFIMNMMHAYLTPTLHIKCMLKMWRCPTCFVGVQHGW >RHN49282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53793986:53800777:1 gene:gene44097 transcript:rna44097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MQDIFGSVRRSLVFRASPENNEDHHHSIGVGGTTTLVDKIGYCIRNSRVFSKPSPPSPSPPIPPPIRWRKGELIGCGAFGHVYVGMNLDSGELLAVKQVLIAASSASKEKAQAHVKELEEEVKLLKDLSHPNIVRYLGTVREEDTLNILLEFVPGGSISSLLGKFGAFPEAVIRTYTEQILLGLEYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKQVVELATMSGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQQEVAALFHIGTTKSHPPIPDHLSSGAKDFLLKCLQKEPILRLSASELLQHPFVTGETVDLAPQSYTAMGSFGASSPSSCAPNVESLLCSTVNPQNSGNNHLWGMNNDDDMCVIDDNEEFSECDIKYKSLMPDNIESFNPMSDPSDDWGCKFDASPELENREVSLDTDEGYMSRVQLESNKEQKDLPIPCVPSLSEEDEELTEVKIRAFLDEKALELKKLQTPLYEEFFNSLNASCSPTVIDSPSDDISGRKYLKLPPKSKSPSRVPNSSPSKAVDNAGSPGSNGRSTVGNVDSHGSQDVPASPINERKGMTVDSQQEPFSPSLSFSERQRKWKEELDQELERKREMMRQANMAGKTSSPKDRALHRQRERTRFASPS >RHN77804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9527597:9527797:-1 gene:gene1297 transcript:rna1297 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIDRPSMNKVVELLVGDVESLEMPPKPFQTAKGMPVQGIGQLRNLPWLLPGDSTNSLTIVVNRR >RHN51038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13288907:13289623:1 gene:gene35408 transcript:rna35408 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSTLTKVTLLITLHHLFLSNLNSIISSNKNRPNALKNHLHKNKDKHKPIHNKTNPTLINCYTNVCVQEIWMKLSEKTISLQTIPFFVLQSNVNVSLPLSYGVHPTLVRQLLPKVLLTQQIPLSIALSLYLPLLVALKTLEMLLKTPKNSDAEQTKPLFSLLMKFIGLINRSHKLL >RHN43974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:423337:424536:1 gene:gene38017 transcript:rna38017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MHDPRKPHLIAANRILRYVRGTMEYGLLFPYGAKSEVYELICYSDSDWCGDRRSTSGYVFKFNDAAISWCTKKQPITALSSYEAEYIAGTFATFQALWLDSVIKELKCEVMKPLTLKIDNKSAISLAKNPVSHGKSKHIETRFHFIREQVTNGMIELHYCPTELQLVDGFTKAAKLDTFEFLRKKLGVF >RHN60647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29067964:29073220:1 gene:gene22996 transcript:rna22996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MCYELLHLNAINYSSYKYFYSFFFSKQTNKRIRHFFPQIMETMPESYESTNTHSSSNFGCTHYRRRCKIIAPCCNEVFDCRHCHNEAKNSDEIKPDCRHDIPRHEVKKIICTLCDTEQDVQQNCINCGVCLGKYFCGTCKFFDDDISKQQYHCDECGICRTGGSDNFFHCKKCGCCYSVEIKEGHNCVERAMHHNCPICFEYLFDTLREISVLTCGHTIHFECVKEMEKHHRYSCPVCSKSICDMSSVWKNLDEMLSSTPMSESYKNKKVWILCNDCGVNSHVQFHIVAHKCLSCNSYNTRQIQIVPSSSCSSRVEEMIK >RHN67646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28951050:28951569:1 gene:gene15854 transcript:rna15854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MLVMVLGMLVATLDARQIDDVSCPSALFSLLPCLPFLQGVGPATPTSYCCAGITDLNQKANTTQIRRDVCNCLKPAASRFGVNPDRSKQLPTLCNITLNVPFDPSVDCNTVQ >RHN67693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29399528:29400684:-1 gene:gene15910 transcript:rna15910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MGTILPTVTIIKYKPAPMVAIFSSRGPSSLSKNILKPDIAAPGVNIVAAGTSSPYSMKTGTSMSCPHVSGLAGSIKSRNPTWSASAIRSAIMTSATQINNMKGPIATDLGLIATPYDYGAGGITTTEPLQPGLVYETSTIDYLNFLCYIGYNTTTIKVISKTVPDSFNCPKDSTRDHISNINYPSIAISNFGGKGSVNVSRIVTNVGEEEEIVYSAVVNAPSGVKVQLIPEKLQFTKRSKKQSYQVIFSSNLTSLKEDLFGFITWRSGKYSVRSPFVLTV >RHN79893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33284679:33291133:-1 gene:gene3748 transcript:rna3748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small monomeric GTPase MAAPPARARSDYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIKNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNMNVEEVFFSIARDIKQRLAESDSKTEPQTLKINQPDQGAGSAQASQTSSCCGS >RHN80269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36669687:36682939:-1 gene:gene4172 transcript:rna4172 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLSEESGVGNSRGQHSTGEALAEWRSSEQVENGIASTSPPYWDTDEDDGGQKPSELYGKYTWKIEKFNQITKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVNDGFVDSSENLIIKAQVQVIREKSDRPFRCLDCHYRRELVRVYLTNVEQICRRFVEERRSKLGKLIEDKARWSSFFAFWGEVDQTSRRRMSREKTDVILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEGQTSKKGWVKLLDSEETPAPIVRVEKDMFVLVDDILPLLERAAIEPLPPKDEKGPQNRTKDVNSGEDFNKDSIVRDERRLTELGRRTLEIFVLAHIFSNKIEVAYQEAVALKRQEELIREEEASWQAEGDQKTKRGVNEREKKAKKKQAKQKRNNKKVKDKGRDERTTMAVHETNQEDNGVDEKMDSNEEAQTLSEKPDAMEDISDVSDSVDGVTEMLHPDSEDRDTSPVNWDTDASEVHPLTEACNKGIGDVSSGQNGMTDKRCSSAIDDSSSTCSSDSLPSVVMIDPYKGNSFSNCKAQKSPSRGKNRGIASCDTGGWTNKIDSRPSGAAADAGVINKESGSGKAGKNESEGAVVTLKDRLKWAEKHVVRKDEEVLSLQTKPNIKDEVGIERLTDNECLHKAVQSSPVSPPSSSPGQMLVNKTSATLDPFHVTKTSSNGSQQTDKDPSPPFTSASQVTTSSKTEIQKTSTARLTEGSVSRVPTISRPSSTPLVSGPRPTAPVVSMVQTALPLARSMSAAGRLGPDPSPATHSQVPQSYRNAIMGNTVTSTAASLTHSSSSCSGVNPSLSYSQPSTLVSSPIFLSRSSDRMETNAVQCGVPFGLRTQDVLQNGPQWIESSQRESARSMYDQPTGLNDDQNHDLYRPLHSRSMGNMLTEFPACTSGRQNQTLLVDEFPHLDIINDLLDDEHTVGKTARASLGFECLRNGPQSLNRQFSFTGDLDANDDRGSSTSSCRLERSQSYHHDHGFRGGYSSSHGHFDSFRDYVPQVTSMPYVNGQVDGLITNQWQVATGPDLLYAGMRNTENDGYPYYPDYYSNMACGVNGYTVFRPSNGS >RHN54751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11873823:11878957:1 gene:gene29833 transcript:rna29833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDVAQKKKSMDVAMLSHSISNILQWMNSLKPLANKLSRIDIKQLEKDIKQINDKVQTHHSDSLLFPQPWLQKVKEVLIDLNDLMEDLRQKESTSVGLKVKDRFKATLQVKKATDELKRLLNEEATDELKRLLNEEDAKGAAAAAVDTDDRRKFAYDDFVAVGRENEKKEIIDQLLNLKSADTDAAVPVFIAIVGVTGIGKTKLAHLVCEDEQVKANFEFEQISMNGLMGETLDVESSHQIPHHEIPTCTMTTTTNGKPRLLIIDDLRIAINKHDDLEKLQKKLMEVAGGRTNTVILITTCSNHVANNIGATYVLKLQGLNQKESWSLFQQIYGPITSTKKAQSTIEPESKPKPEQSLEIMRDCGGVPLLIVIVAKVMTKHSGVGGEEWIREALEKVKLIYYDDLPTYQKLCFAYCSLFPEDYLIDAERLIQLWTGEGFLINPEQQFGHACFEDFVPLVFHQAEEESDHQKYCGVVRNNMNNYLYRMNRLMHKLARQEIAGDENITVDVMGERVRGGTLRVSFNFALDLSCEIPDSVFQTAKKLRTILLPYNINNPRLPHEVKMTTSTCDKIFDTFKYSLRVLDLHDLGIKTVPSSIEDVKYLRYLDLSHNNMEKLPSCITNLIHLQTLKLSRCHVLKELPKDMDDLSCLNHLDLDGCLDLTQMPSGISKLTSLQTLSLFVASKKQVTGGLRSLTDLNNLRGHLEIMHLEQIKFSPSKEAAKDDFLKNKQHLEFLTLRWDHDEEDEESNVEKDKKSLDCLQPHPNLQVLLVVGYNGHTLSNWLASLQCLVKFTLNDCPKCEFLPPMDELPHLKVLQLRRLDSLKFIAKNNQADTPIFFPSLKELTISDCLKLKGWWENDIWDNDRPSFSCISKLNIQYCPQLACMLLYPGLDDELVLVESNVRSMRDTMHYADSTESTETSSSQSQPFSKLKSMVIERIDQSPPESWLKNFISLEELHIRDCFILESLPQGFKFLSSLISLSIERCEQLVLDIDKSAGTEWDGLTEWEGLKNLQSLTLRSIPKLKSLPWGVENVKTLKDLRIYDCHGLTSLPESIGC >RHN38766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1874181:1877877:-1 gene:gene44732 transcript:rna44732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MSEICSSHHVWLLFIVLKLVKHIHNVNFAEHVEVVVPGVGYSHLVSILQFSKRLVQLHPNFHVTCFIPSLGSLPTDSKTILQTLPSNISCTFLPPVNSNDLPQGIALVLQLQLTLTHSLPSIHQALKSLTLKTPFVALVVDISAMDALDFAKEFNLLSYVYYPASATSLSSYFYLLKLDKETSCEYRDLPGPIQIPGSVPIHGRDLFELAQDRSSQSYKYLLQGVEKLRLFDGILINSFIEIENGPIEALTDEGSENLLVYAVGPIIQTLTTSGDDANKFECLAWLDKQRPCSVLYVSFGSGGTLSQEQINELALGLELSNHKFLWVVRSPSNTANAAYLSASDVDPLQFLPSGFLERTKEQGMVIPSWAPQIQILRHSSVGGFLTHCGWNSMLESVLHGVPLITWPLFAEQRTNAVLLSEGLKVGLRPKINQNGIVEKVQIAELIKCLMEGEEGGKLRKNMKELKESANSAHKDDGSATKTLSQLVLKWRNFGIEKQV >RHN81994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50424717:50430088:1 gene:gene6108 transcript:rna6108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MGDENSKNTKLSWSKKMVRKFFNFKSKCEDIQADAVVYGGGEVEYGSRNSFSEREPCTIKKSKTEKFSRSTSQVRRGRMNLDHPRIIDVQNYSIFVATWNVAGRSPPSNLSVDDWLHSSPPADIYVLGFQEIVPLNAGNILGAEDNGPAKKWLALIRKTLNNLPGTSGSSGCYTPSPIPQPVVELNADFEGSARQKNSSFFHRRSFQTTSSGWGMDNDPSLVQPQVDRRYSVCDRVIFGNRPSDFDPSLRWGYRPSDYSRASDYSRPSDYSRWGSSDDDNGLVDSPSTVLYSPMSTNGGSASNEDGYSMPGHSRYCLVASKQMVGIYLTVWVKGELKDHVRNMKVSCVGRGLMGYLGNKGSISISMSVHETSFCFICSHLTSGQKEGDELRRNSDVMEILKKTRFPRVHGVDNEKSPQTILEHDRIIWLGDLNYRIALSYRSAKALVEMQNWRALLENDQLRIEQKRGRAFVGWNEGKIYFPPTYKYSTNSDRYSGDDMHPKEKRRTPAWCDRILWYGEGLHQLSYVRGESRFSDHRPVYGIFWAEVESPHGKLKKSMSCSQSRIELDELLPYSGGYTELNFF >RHN53467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1826069:1826362:-1 gene:gene28376 transcript:rna28376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MEESNIMQEHEHVNVPPPTPASSNMGVRKRKWGKFASKIRDQGRNIRIWLGTYEEPQMAATACDIAAFHLKGRDARLNFPDMIEKLQMSIQEYKIST >RHN70293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50324597:50329571:1 gene:gene18859 transcript:rna18859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MNFKGFGNDPGASGNGGGRIAAGNFPLTRQPSVYSLTVDEFMNSMGGSGKDFGSMNMDELLKNIWSAEEVQTMGGEEAISNHLQRQGSLTLPRTLSQKTVDEVWKDISKDYGGPNLAAPMTQRQPTLGEMTLEEFLVRAGVVREDAKPNDGVFLDLGNVGNNGNLGLAFQAQQMNKVAGFMGNGNRINGNDDPLVGLQSPTNLPLNVNGIRSTNQQQQMQNSQSQAQQQHQNQQLQQLQQQQQQQQIFPKQPGLNYATQMPLSNNQGMRGGIVGLSPDHGMNGNLVQGGGIGMVGLAPGAVQIGAVSPANQISSDKMGKSNGDTSSVSPVPYVFNGGMRGRKGNGAVEKVIERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENEELQKKQEEIMELQKNQVKEMMNLQREVKRKCLRRTQTGPW >RHN61676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37402395:37402622:1 gene:gene24164 transcript:rna24164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC transporter, P-loop containing nucleoside triphosphate hydrolase MEIGYLMVLAWEDVRVMLPNFGKGPTKRLLNGLNGFAEPGRIMAIMGPSGSGKSTLLDTLAGSLKVTSFLNMSYV >RHN60289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24702870:24703289:1 gene:gene22577 transcript:rna22577 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLVIRLRSNNCITDTSDRRHVERTMSILNIDTTLIYAVTFCFFKTR >RHN70182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49440204:49443281:1 gene:gene18737 transcript:rna18737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MEITEKQAMYRDIGLQVIMILAMALIFLSMHGIPQKFLAKLRFQNRKAIKAKRHFVRGAQLLTQARSSKNKSRSAINNLANEALGEAEKAIELDPKDAASYLLKAMILDLQGFRTSALESLDAALSPLAAGSLEQGERGDALFKRAELKLATSERGRVDSALADLTESVILSPKNAKAWCALGECYEGKKMDEEAKKAYKEAHELAPQYSVPVEALNSNVTKD >RHN73136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13700336:13705497:-1 gene:gene8922 transcript:rna8922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MFVCVLTPLSNSLNTPLAGSISKLSLTMNAPLPPMDRQSNDRVQQLRRFLAAESVEEAGQVASTVTPQPTTRLFDEIPQTVVWELNQGSTVKTMEFHPTIHSILAVGCENGEISLWDARIKEKLIAKSFNIWNLSKCSVEFQAANPQELSVIRVAWSPDASYIGVAFAKHLIHLYSYQFPKGVHQHLEIDAHDGGVNDLAFSVPKNQLCVVTCGDDKLIKVWDLNGDKIFSFEGHVAPVCSVLPHSKRNIKFLISTSIDGKFRVWLYENESLQVECDTPGKCSTSLLYSADGTRLFSCGTTTEGDCFLAEWDDNVGVVKRIYSGFRSNSAGMVQFDTAKSRYLAVGVDNQIKFWDVDIINVLTSTDVDGGLPSLPRLTFNKEGNLLAVSTVDGGFKVLANVNGIKFLGGIESNKEPIDVKMEDMFAIASSDENNRNSDDTNSNDVKEITLPVQCQVVTMPETVGPSNKAIRLVYTNDGDGLLALGSKGIQKLWKWKPTRLNRSGKATASVAPEHWTPKTDVFLKNDVPDNSDSAIPCLDISNNDFYAMSSCGGIVSLFNMVKFKIMAEFLPPPPAPTFLAFNPVDNNIVVIGREDAEIDIFMHSKLVEKLRGHQKHITGIVFSPRLNTMVTSDADAQLFSWCTTTWVKKKQVSIRMPGGGNAPAGDTKVQFRIDQVKLLVCHETQIAIYDASNMELIRWWLPQGGLSGAISSATYCCHGEVIYAAFTDGNIGIFSADNLILRCRISSSSYLFQTPSNSQNVYPLFITRHPQDRYQFAIGLSDGSVTIMEPKKYEAWWW >RHN70792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54048064:54055354:1 gene:gene19409 transcript:rna19409 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKLFVFAISVALIFSFVTSEADVSIEDSDSSALKIQLDQLNSKIQSLESQISEKTQELKKKDQVIAEKEKLFQDKLSSIQSLQNEVASLQKKGSLDAEEQVGKAYARAGELQKQVDKLKSELEAQNSEKVNWESRVAKLEKKIHDLNSKLEDVQKINEEQKKQIRKTERALKVAEEEMLKAKLEATTKAKELSETHGAWLPPWLAVHYIRSKSVAESHWNEHGKPLLEVISQKALEKKAQAGKWAEPHVETIKTKWVPAVKEQWSVVKTKAEPHVQALTSKTVEVYKSSKDALAPHLNKAKECVDPYYQEARKFSKPYIDQVATAAKPHVENVQVVLKPYTKKVVLAYGNFLESATAYHRQVQATVQETLKKHELTRPLATKELEWFAASALLALPIILIARVFSAIFCSKKASKPARSGNTHHARRKAKRGHPDK >RHN71238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57525513:57537109:1 gene:gene19894 transcript:rna19894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MAAPPNMDQFEAFFRRADLDGDGRISGPEAVNFFQGSNLPQNVLAQVWMHADQAKTGFLGRNDFYNALKLVTVAQSKRDLTPDIVKAALFGPAASKIPAPQINLAAIPPQRPNPVASSSVGQIGVTSGPTSSQGYAYRGQGLAGSVGNQQYLPSQQGANMRPPQSQGFAGSVANQQYLPSQQNVNMRPPQSQGLSGPISNQQFLPLQQNINTRPPQSQGLAGPVGNQQFLPSQQSPTMRPPQSQGFSGFVANPQYLPSQQNPNMRPTQSMPTVSAPGPQQFMPAGNTPRPPQLMPSGTAPRPQQGFAGPNLSNANISNDWNGGRTGMAPAQPAGITQSPALSTPTSPSLVSPVSQPTPVTTKALTVSGNGYPSRPVLGDDFFSTAASTPKQDPTRQNYSVSSAPASSSIVPVSSSANPASRQSSLDSLQSAFSMPLTNSQIPRTQSLPNTNQQISPPASSPLTTSGRSVGLGNTSSDNSQPPWPKMKPFDVQKYRKVFMEVDTDRDGKITGEEARTLFISWRLPIDVLKKVWDLSDQDNDSMLSLREFCYAVYLMERYREGRPPPQSLPSSVIFDETLMSMTGHPNIAYGNAAWNVGPGFQQQPGRPGAPPVAPAAGLRPPVQGTPAQVDSTVPPDQKKFGTSALDDSFLNDTDNSEQNIETAGKKAEETQNMILDSKEKIELYRNKMQELVLYKSRCDNRLNEITERASADKREAESLSKKYEEKYKQVSEIASKLTVEEAKFRDIQERKVELQQAIVKMEQGGSADGILQVRADRIQSDLEQLFRAFDERCKKHGMDVKSVAMVPLPEGWQPGNPEGAAVWDEDWDKFEDEGFANDLTFDTKNASSEPKPSFIPGEQNSFDDNSVHGSPVNANGRQEIFTNGDYTAEEESYVQSEDDLARSPRDSPFGRNAVESPSKDFSTAHFDKASEADAETHRSFDESTWGAFDNNDDVDSVWGFNTKDSDLDKQGDFFKSGDFGLNPVRTGSTVTDGAFHTKSPFAFDDSVPGTPFSKFGNSPRYSEAGDHFFETSRFDSSFSMHESGNSPQAERFTRFDSISSSRDFGNNQEKFSRFDSISSSKDFGYSHEKFSRFDSISSSKDFGYNPPDTLTRFDSMSSSKDFGFGGQGHARFDSISSSKDLGYSAPFSFDDSDPFGSSGPFKVTSDNQSPKKGSDKWSAF >RHN76884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2092472:2093754:1 gene:gene269 transcript:rna269 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGIKNRVGDILVKPISQVYIKLSVTHKNQGNPGTKIDSVKVTNRFPSLCEKKMLRLLCQPILQTCWT >RHN48888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50937618:50939278:1 gene:gene43654 transcript:rna43654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zerumbone synthase MLRICLRKNLPCSRPLFAESFNRLLSTQTGRKLQDKVALITGGASGIGKAAATKFINNGAIVIIADIQQQLGQETAKELGPNATFITCDVTKESDISDAVDFSVSEYKQLDIMYNNAGIPCKTPPSIVNLDLELFDKVMEINVRGVMAGIKHATRVMIPRGTGSILCTASVTGVIGGMAQHTYSVSKFAVIGIVKSLASELSGHGIRVNCISPFAIPTPFVMNEMDQIYPHLDSQRLVEIVRNVGVLKGANCEPNDIANAALYLASDDARYISGHNLVVDGGFTSFKNLEFPAPDQAQ >RHN73027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12845600:12847727:-1 gene:gene8801 transcript:rna8801 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIKIRSVTPTIFFPLFQMTIDDIIYFRNSDCVESYQVKKYT >RHN51416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17450748:17459319:-1 gene:gene35847 transcript:rna35847 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNGKNQSPLPTSNGDGNGIGAPFKIFVGYDPREDIAFQVLLIVCL >RHN75400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42015506:42019248:1 gene:gene11594 transcript:rna11594 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTILLSNMYQRPDMITPGVDVHGQPIDPRKIQDHFEEFYEDLFDELSKYGDIESLNVCDNLADHMVGNVYVQFREEEHAGNAVKNLTGRFYAGRPIIVDFSPVTDFREATCRQYEENTCNRGGYCNFMHLKRISRELRRQLFGKRHERHSRSRSRSPYRHRSYEERPHRSRSSKYDDRDRDRDYHHDSDSRRRRTSSPGRRRGRSPSRSRSPVGRRNRSPVRDGSEERRARIEQWNREREEKEPASKVNTEETSNGHNGHSQNACAYHENQQQRESPWEGY >RHN39452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7236011:7237166:1 gene:gene45476 transcript:rna45476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MKITPILFLFTLLSSTTSYASVHDFCVGDIKAPETPTGYHCMPLANITSDDFVFHGFVAGNTNNSFNAALTSAFVTDFPALNDLGISAARLDIAKGGSIPMHTHPGATELLIMVHGEITAGFLTTTAVYSKTLKPGDLMVFPQGMLHFQVNSGKGKATAFLTFSSANPGAQLLDLLLFSNNLPSELVAQTTFLDLAQVKKLKARFGGRG >RHN71271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57783360:57785944:-1 gene:gene19930 transcript:rna19930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MERRVVLSLLTFVMLLLISENRGYARDMKLHQESIEEKQLDQPYLDGWLKNTPLKNKKLTPNSNPSYLDGWLKNTLDHQHKSTRNSNSAYLDGWLKNTPDENQKAIHNSNQAYLDGWLKDTKDQKEKTAQNSKQVYLDGWLKDTQVEKAKSTPTSDQVYLDGWLKNTNNQKVKSTHNFNQAYLDGWLKDSQVETAKPTPISNQAYFDGWLKETRDLKEKTAHNFNQAYFDGWLKDTQVEKAKSTHNSNQAYLDGWLKDSHAANYKKIGQDLTESDSKLSSKVDHTEAFKLAFFTLDDLYVGNVMTLQFPIREYARFLPRKVADYIPLSKSQLPSLLQLFSLTKDSPQGEDMKDIIDQCEFEPTKGETKACPTSLESMLEFVHSVLGAEARYNIHTTSYPTTSGVRLQNYTILEISKDIYAPKWVACHPRPYPYALYYCHYLDIGSRIFKVLLRGQYGDMMDALGICHLDTSDMNPNHFIFQLLGMKPGEAPLCHFFPVKHIVWAPLPPEATN >RHN60851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30978953:30981195:1 gene:gene23238 transcript:rna23238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MSCFCIRPNKSNTQNVTTTIASTASNPENTITTVATASTLPHSFLSKILGKGKLESKVNKKKEDSASTYTEPVKLNIRNEAIQKFCFDELSAATDNFKNIVGQGGFGGVYLGMLQTKQVVAIKRRDSNGVQGTQQFIAEVETLSNVSHKNIVQLIGYCYEKEHKLLVYEYMGLGSLEDNLSGPEYRSTKIFGTIGYFDPDYTKTGILSFKSDIYCFGVVLLELISGTKAFEPNVVLWASPLFEDRFEEIVDPLLKEKYPARDLQKAIAIAASCVQKKVDDRPDISQIVKDLEALNKMKSKVDEEESSGAAESRVGQSSTS >RHN75204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40139588:40140353:1 gene:gene11374 transcript:rna11374 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCDEIVADYVPLGDHVIQTSTHEPFGSAMIATYSNLSNFSANVIRDIKF >RHN57467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39354821:39355259:1 gene:gene33014 transcript:rna33014 gene_biotype:protein_coding transcript_biotype:protein_coding MMFENDRLCCFSRKPAKLRVFLFPLPISNNKASFNSLASTINLKQLSVDSLNISIHVPTTTPPPPPSTMSQIEFLKTSPGFATKDMVTKK >RHN57841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42101861:42102315:-1 gene:gene33430 transcript:rna33430 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFPSPATTGDSSWGLFHTIEFALGLMFLAVLASAAGQILIVYCIVSASRRSPTVAPSPTNELEMLPA >RHN78791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18478938:18479691:1 gene:gene2444 transcript:rna2444 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHTDRLSEELISSNDQILADPVSEFGNDEEETCSEQIVYSASFEELASSSIKYDTVIWLSISLLLVLAWGFGLLMLLYLPFRRYVLRKDLSSRRLYITHTEVVYEIIVHGSYDVYYDFFSGCLQSIYGVHTFRVESIAHGKAAAIDQLQAQGISDPDLLRKV >RHN81574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47186949:47189462:1 gene:gene5643 transcript:rna5643 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPNLRHHAKDVPTQCETFLPGKYPSSRRTASFSSYSSSLSPSSSSLESFYFLDDPLLSPATPLRFSGVPFSWEHLPGIPKKHNNSKKNHKSSMKLLPLPPPTITTSTTTHSSKKLNHEDTKIRKKNSIQRDDPFFAAMVKCSKDDDDHEETIGNLWTNGDKVSRSISDRFGFISLYGSCKRTCAVSESLVYLPSTRRSTYQKVNGRSL >RHN74335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31922289:31923850:1 gene:gene10376 transcript:rna10376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MWVCVSYDFDIRQIIIKMINSTSTSTQRPVLALSHQENIEHFDIEQLVSRLRHKLSGKKFVLVLDDIWDDNRSKWIELKDLIKVGAVESKILVTTRSNLIASMMGTVPMYTLKGLSLDNCLSLFVKWAFKEGEEVKYPHLSEIGKDIAKKCRGIPLAVKTLGSSLFSKFDLDKWKFVRDSQIWNLRQNKDDILPVLKLSYDQMPSYLRHCFAYFSLFPKDYLFTLGEICNLWDVFGLVQSPNGSQKLENIARDYIDELHSRSFLQDFEDFGQTCVFKVHDLVHDLAMYVAKDAFVVVNSYTQNIPEQARHLSIVENDSLGHALFSKSKSVRTILCPIQGVGVDSETLLDSWISRYKYLRYLDLSDSSFEELPNSISKLDLLRVLILSRNSKIRRLPHSICELQNLQELSVRGCMELEALPKGLGKLINLRQLFITTKQSVLSHDEFASMHHLQTLGFHYCDNLKFFFYAAQQLASLETLFVQSCGSLEVLPLYFS >RHN63693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53266061:53267827:1 gene:gene26427 transcript:rna26427 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSCKILRRSIHSFLQNYHYFTSSIAFLVFPFSASILISQAFVPSPSSLLPQIYNRLRTLFDAAGFPSYSLLFNILNLKVSQTITCSIFSLPFTLTVLLIAKASIIHALKLNHNKPSLPPSFSSIIALYKPLFHTYICNCFLIISANATSFCIMFLAFSFVETLGYSSPSFLLFMSATGAILFSVILANALVICNMSLVLSGMEGHGGYSAILKACILIRGKTSMALFLALPVNIALAAIEALFHFRIVREYHIVGKARPIVLLEGIFIAYLYSIFIILDTIVSCLFYKSFKTGESWISQEDKHFQDVDNYGHIGNKNFEELP >RHN63885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54777738:54779483:1 gene:gene26640 transcript:rna26640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MSIDSHNDVEALAELFKSINNSTVANGEISKIFDLFDVKKKGKIYFDDFVRSLSIFPPNTPPEAKINFSFRLFDLNDNGFIERQEVKHVVIATVDELELGVNDEAIEALLDKTFLDMDQNKDGQIDIHEWRSFVTHNPNLIKFMTIPSLREITTYFPGFILNTTMDDDQLISQVLHAQSECSTSTARNAQPGGSTSAAPDAQPECSTSVDHGQP >RHN74795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36722812:36723400:1 gene:gene10912 transcript:rna10912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MFISLLIDHMVQCKQVSLIYVIQVLTKQNVAVLPKFAMLSHLDLGYITGEVWFGLLHKTPVLNTLVFKRIFEFNQELLNSADVPDCLASSLQVVKFGTFHGLEDALFLANIFLENGMVLERMSFSFYDERSKSTVIEEFKEKLYSFKKGVSFAILDDNLYSYDYYR >RHN42915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41913666:41917118:-1 gene:gene49421 transcript:rna49421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L29 MLNLSVASPSSSSTLSFLPKPLHFKSSFNGIRLRQPNTCTIPATKRTASVSVVMMAKREEELKQIRTKTTEQINEEVVELKGELFMLRLQKSARNEFKSSDFRSMRKTIARLLTVKREREIEEGIGKRLSRKLDKKWKKSIVVKPPPSLVKLREEEAAAEAAEAEKAA >RHN50432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7086845:7088694:1 gene:gene34714 transcript:rna34714 gene_biotype:protein_coding transcript_biotype:protein_coding MKNITNTGNKGIPDHICFCAMTISLELRGPTHISTAHNKNKTNRDFIRDHLSCRS >RHN68001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32043232:32045491:-1 gene:gene16276 transcript:rna16276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-linalool synthase MESFYLIDIIQRFGIEHYFAEEIKVALEKLHLILNTNPIDFVNSHELYEFSLAFRLLRQGGHYVNADLFDSLKCNKRMFEEKHGEDLKGLIALYEASQLSIDGEDSLNDVGYLCRELLHNWLSRNQEHNEAIHVVNTLQNPLHYGLSRFMDKSTFIHDLKAEKDLICLEELAKINSTIVRFRNQNETIEVSKWWKELGLAKEVKFSEYQPLKWYTWPMACFTDPNFSEQRIELTKPISLIYVIDDIFDVHATLDQLTIFTDAVNRWEFTGTEQLPNFMKIALNALYDITNSFAEMVYKKHGFNPIDTLKKSWILLLNAFMEEAHWLNSGHLPRAEDYLNNGIVSTGVHVVLIHAFFLLDHVNGITKETIDILDEKFPNVIYSVAKILRLSDDLEGAKSGEQNGLDGSYLDCYMSEHQDISGEDVQRHVAHMISNEWKCLNQEILVANQFSSSFSNFCLNAARMVPLMYHYKSNPSLSNLQEHVKSLINVSVGCN >RHN51218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15132940:15136197:1 gene:gene35614 transcript:rna35614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MSTLSNSNPETKQRNLADYHPNIWGEYFIQYASESMELDQNIVTQIDTLKSHVRNMLVAKSEKPFEKVKLIDSICRLGLSYHFEKEIDEVLQHIYKSYVENGEIILEDNLFSLAVLFRVLRQHGFYVSPNVFTKFKDEQGNFNETLIMDVEGMLSLYEASHLIVHGEDILEEALAFTSTHLEFIATESSHSLAAQVKYALRQALHKSLPRLEARRYISIYEQDPSHDEILLTFSKLDFNLLQSLHQKEFGNISKWWKELDFSSKLPYARDRIVECCFWTLTVYFEPQYSRARKMLPKINVMLSLIDDTYDSYGTIDELERFTEAIERWDVIVSDDLPDYMKLLYKSFWNVYEEIEQAMIEEGREYILNYYKKEFKKAVQAYMTEARWLNENYIPTTEEYMRVSRTSCCYSLLILASYIGMGDKVTENIFKWVTNEPKIVNGAANICRLMDEIVSTEFEQKRGHVCSLLDCYKKHHGMSREAGIQECQKGVAIAWKDINRDCLRPTEVPMDFLTRALNFSRFMDVFYTDKDNYTHAEGLMKTYIKDVMVDPIPI >RHN49194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53205743:53206105:-1 gene:gene44000 transcript:rna44000 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAKDPCLTAATQEVVEEPLRIPCDVGSLEQQPDINPRRQSTRNRPLTVRALECIANEYLHVQKKQKKKDSQTHKDLFNPCRKARTKGKSTLHRHCSDHGNAVAVQEEKHLIGDGSSVS >RHN52232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32886196:32886760:-1 gene:gene36881 transcript:rna36881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MKNHHVDKAIALLTKLKDQGIRPDMYTYTIFIKGLCQSGKLKDARKVFEDLLVKGYNLDVYTYTVMIQGFCDKGLFKEALALLSKMEDNGCIPDAKIYEIVILSLFEKDENDMAEKLLREMIARGLL >RHN38615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:725388:733137:-1 gene:gene44572 transcript:rna44572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MKVMLLNNLKRHFCSNITTTLNSSKKEIPCRYKKLVVSQAQKALTEYLHSTRSIPYAFADQISKNSFHSLSNLISKLGSFSPKKLDSFTKKIEKFLRYNPINEFEFFFESIGIHHTHISSLLPNDKFFFCDDGSLLDSACVLCEFGFPWDKLGVIYTESGFMFRKSGSEIKGRLCLLKRYGFCNVQIVAICLTFPFVFGVEEREFVDDEVDRLLSDLRLVFLDFDLVGCVEGNVDVWYDVCRKIKVFYDLSDGKGKIGELIGRNKHLILEYGEEELIEKVEFFCRFSVEKEEVARLILQGLELLSLNLEATINVLKLLKHIGVDSTGLGDVKKNYAYALGTIKMANLPNVMRAMGLREWFFDKIKDGNHKLLVDFITSYPNEEPDKGYQSGFKAIHDARLPSHNMSKLNFMHTIGFGENAMTMDILTHMHGTSEELHKRFDCLLHLGIEFSKLCKIITKLPKILSQNPETLEKKINFLCQDMGHSLELLDTFPAFISFDLENRIKPRFRFHMWALEKGLYSKNYSIASLVATSDKGFVGRVFKIHPAAPKHWFEQFYPNKFRAS >RHN48049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44521660:44524838:-1 gene:gene42720 transcript:rna42720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoamylase MANLLPSFSFSLIPLNHHKYETKSSFIQIKHHNQFLSKKIQDLIIPFSHNHTSKLFATSSRLSIEETEQKLIPFTQSVDIKTSFSYLFRTEIGEGLVKVYVKKKKDCFFVYIEVSSLELSNVKGETLVLCWGLYRDDSSSVDDGKGMNVSPFVENSVGKFSVELEFDVEQVPLYLSFLLRFSGLEIRTHLKRNFCVPVGFLRGRPDPLGISFSRDGSINFAVFSRHAESVVLCLYDDDDDSGLENPALEIDLDPYVNRSGDIWHITFESARNFVSYGYRFRGANRNNSYAEGVVLDPYARIVGNSFQNGIGSAKNLGFLRKEPAFDWSDDYHPNLEMEKLVVYRLNVKRFTEHESSQLSSDLAGKFSGLAKKLQHFKDLGVNAVLLEPVFTFDEKKGPYFPCHFFSPMNLYGPSGDPVSTVNSMKEMVKTMHANGIEVIMEVVFTNTAETGALQGIDDLSYYYANGIGGLKVHSALNCNYPIMQNLILDSLRHWVTEFHIDGFSFVNASHLLRGFHGEYLSRPPLVEAISFDPVLWKTKIIADCWDPNDMEPKETRFPHWMRWAEINTNFRNDVRNFLRGESLLSNLATRLCGSGDLYSDGRGPSFSFNYIAGNFGLSLVDLVSFSSADLEAELSWNCGEEGPTNNTAVLERRLKQIRNFLFILFVSLGVPILNMGDECGHSSGGSSAYGDTKPFNWASLKTGFGKQTTQFISFLTSLRTRRSDLLQSRNFLKEENIEWRGIDKAPPRWEDPSCKFLAMTLKTEQNELQESSVSSDILGDLFIAFNADDHPETVVLPLLPEGMSWYRLVDTALPFPGFFLTNGDFVPPEQTSGLSTYGMKSYSCTLFEANKKETQELHLQKEQK >RHN81115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43410542:43411437:1 gene:gene5113 transcript:rna5113 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRSRTSKMIDLINFKKKRLNDVVSNKLKSRITKMMHNVLFSTGEEIINNNHTIPSRHQPIH >RHN53147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42320564:42321451:1 gene:gene37917 transcript:rna37917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MKRHVPEDYKKSIMAKLTCGSVAGLLGQTFTYPLEVVRRQMQVVPSAAIGFTVSDTMKSCLREYHQEMKWTNKRISQPSSL >RHN43101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43203922:43204719:1 gene:gene49626 transcript:rna49626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MENNQNNTSSAFTSTWNLEKMETNEQNRIILQQDHHQVPMNSSVIWPQNNYSNMIMAPPPPPSSSGSLSDILGIHRIEDVEEQEEELGAMKEMMYKIAAMQPVDIDPATIRKPKRRNVRISEDPQSVAARHRRERISEKIRILQRLVPGGTKMDTASMLDEAIRYVKFLKRQIKLLQSTPQNQQQQHIQLPLSSQCINSTTPSALLLSPSSGCDVWPFAPNLLLTSTTAATVDLPAGVQFDAGGHSHVHACDGSSSFNHHEVISE >RHN60294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24735026:24736473:1 gene:gene22582 transcript:rna22582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MADTEHSSKNVTEVSTDQQSNQSSKVEFSEEEEMLITMVYNLVGERWSLIAGRIPGRTAEEIEKYWNSRHSTSE >RHN51080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13740698:13746147:1 gene:gene35454 transcript:rna35454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MGNAQAIEAAFGGDLPPGITGTNERCTILVTNLNPDRIDEDKLFNLFSIYGNIVRIKLLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKHLDVNFSKHPIITQGPDTHEYMNSNLNRFNRNAAKNYRYCCSPTRIIHMSSLPQEIIEDEIASLLQEHGIIVNCKVFEMNGKKQALVQFETEEEATEALVCKHASSLSGFVVRISFSQLQNI >RHN80532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38856711:38859568:1 gene:gene4470 transcript:rna4470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MGGQGKITLAKKVFDSKEVVGHFECRVWITVSQSYNIEVLLRRMLKKLYEQKGEHPLEDITEMDRDALIYELRNYLQKKR >RHN67203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24886985:24888222:-1 gene:gene15362 transcript:rna15362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain, Bet v I type allergen MTKEFNQQAEICVELETLWQALSKDLIVTIPKIIPNIVKDVKVIEGSGGIGTILLLTFFSGLSPVSYQKEKITVLDDSSHEIGLQVVEGGYLNQGFSFYKTSFKLSTTEEDKTMVNVKISYDYELEIEESEFPMKTLESALHFLRCLETNLLNDA >RHN71333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58327855:58328415:1 gene:gene20002 transcript:rna20002 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEVNTGDDDEDWTGEHWWRGECMWRAAREDSVENSRTRQREGAGGRAQTIERDEKIKGKRRR >RHN42520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38997448:39003384:1 gene:gene48968 transcript:rna48968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MECLKSSFLFSTPLLPKKKNKPIIRSQVQRDPWSPRTTDPTKPKPPYIHPKKPLSDDNARRIIKRKALYLSTLRRNQGPQAQTPRWIKRTPEQMVQYLQDDRNGQLYGKHVIAAIKKVRSLSEKADGGYDMRLEMNSFVTKLTFKEMCVVLKEQKGWRQVRDFFAWMKMQLSYHPSVIAYTIVLRLYGQVGKLNLAEEIFLEMLDVGCEPDEVICGTMLCSYARWGRHKSMLSFYSAVKERGIILSVAVFNFMLSSLQKKSLHREVVHVWRDMVTKGVVPDHFTYTVVISSLVKERLHEDAFVTFDEMKNYGFVPDESTYNLLINLIAKNGNRDEVQKLYDDMRFRGVAPSNYTCATLISLYYKYEDYPRVLSLFSEMARNKIPADEVIYGLLIRVYGKLGLYKEACETFEKIKHLDLLTNEKTYLAMAQVHLTSGNVDKAFEVIGLMKSRNIWFSPFIYVVLLQCYVAKEDVVSAEGTFSALCKTGLPDAGSCNDMLNLYVRLNLINKAKEFIIRIRDNGTPFDEVLYRKVMKVYCKEGMLLEAEQLTNKMVKNESLKNCKFFRTFYWILCEHKEDVQIDDKLVTIKPTNKLDATALEMMLRVYLTNNNFSKTKMLLKLLLGCTGGSKVVSQFIISLTKDGEISKAESLNHQLITLGCRTEEVNAASLISHYGKQHKLKQAEDIFAKYVNSPISSKLLYNSMIDAFAKCGKQEKAYLLYKQATVKGLDLGAVGISIIVNALTNEAKYQEAEKIISQCLEENVKLDTVAYNTFIKSMLEAGKLHFASSIFERMCSNGVAPSIQTYNTMISVYGKYHKLDRAVEMFNKARSLGVPLDEKAYMNLIGYYGKAGMVREASQLFSKMQEEGIKPGKISYNIMIYVYANVGVHHEVEKLFQAMQRQDCLPDSSTYLSLVKAYTESLNYSKAEETIHSMQSQGISPSCAHFNILLSAFIKAGLIDEAKRIYEEISTFGLIPDLICYRTILKGYLKYGRVEEGITFFESICKSIKGDKFIMSVAVHLYKSAGMENQAKELLSSMNKMKIPFLWKLEVGSAGVKVP >RHN68797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38679489:38682431:1 gene:gene17198 transcript:rna17198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase TKL-Pl-4 family MNDGFVRADQIDLKTIDEQLEKHFNKVNDDSSHDHSNSIATTGGNNMEQREEWEIDPSNLIIKSVLARGTFGTVHRGFYDGQDVAVKMLDWGEEGYRTEAEIAGLRSAFTQEVIVWHKLNHPNVTKFIGATMGSSELQIQTDSGLISMPNNICCVVVEYLPGGALKSFLIKNRRRKLAFKVVIQLALDMARGLNYLHSQKIVHRDVKTENMLLDKSRTVKIADFGVARIEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEITSAVVRQNVRPEIPRCCPSSVANVMKKCWDANPDKRPEMDEVVAMLEAIDTSKGGGMIPFDQQQGCLCFGKRRGP >RHN45450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20939119:20939864:-1 gene:gene39792 transcript:rna39792 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSFVKWTFFSRSLNLLFTRQRFGSPVSLAIVFLLRALFSAEEIPLWMYPSGADAGSEASVNQEQHQPSRPGGPAAPIQNGSASASTSSVEQPAPAAKPYIALLQLEGERKRLIDDIVDFVANKLEDLGQPQGPIYEQALRLVWYELEIDGSTNQDELQRWLVSLRENPRQYKSIFGFYKPGGVFFMKGILLLVIRPTTKLRWKSQDENSST >RHN55633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20283711:20284634:-1 gene:gene30841 transcript:rna30841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain, rmlC-like jelly roll MKIIYFLVSILALASSLAFAYDPSPLQDFCVAIKDPKDGVFVNGKFCKDPALVKAEDFFKHVEAGNASNALGSQVTPVTVDQLFGLNTLGISLARVDFAPKGLNPPHIHPRGTEILIVLEGTLYVGFVTSNQDNNRLFTKVLNKGDVFVFPIGLIHFQLNVGYGNAVAIAGLSSQNPGVITVANALFKSDPLISDEVLTKAFQVDKSIIDYLQKQSWYDNN >RHN52368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34357460:34360463:1 gene:gene37033 transcript:rna37033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAMQSPCSSSSISYGFKYQVFLSFRGSDTRYGFTGNLYKALTDKGIHTFMDDRELQRGDEIKRSLDNAIEESRIFIPVFSANYASSSFCLDELVQIINCKEKGRVVLPVFYGMDPTNVRHHRGIYGEALAKHEKRFQNDMDNMERLQRWKVALNQAANLSGYHFSPGYEYEFIGKIVRDILDKTERVLHVAKYPVGLKSRVEQVKLLLDMESDEGVHMVGLYGTGGMGKSTLAKAIYNFVADQFEGVCFLHKVRENSTHNSLKHLQKELLLKTVKLNIKLGDASEGIPLIKERLNRMKILLILDDVDKLEQLEALAGGLDWFGHGSRVIITTRDKHLLTCHGIERTYAVNGLHETEAFELLRWMAFKNGEVPSSYNDVLNRAVAYASGLPLVLEIVGSNLFGKSMEEWQCTLDGYEKIPNKEIQRILKVSYDALEEEQQSVFLDIACCFKGGSWIEFEDILKYHYGRCIKHHVGVLAEKSLIYQYGLSVRLHDLIEDMGKEIVRQESPKEPGERSRLWCHDDIIHVLEENTGTSKIEMVYLHCPSTEPVIDWNGKAFKKMKKLKTLVIENGHFSKGPKYLSSCLRVLKWKGYPSKSLSSCFLNKKFENMKVLILDYCEYLTCIPNVSDLPNLEKLLFINCHNLITIHNSIGYLNKLETLIAKYCSKLESFPPLQLASLKILELYECFRLKSFPELLCKMINIKEIRLSETSIRELSFSFQNLSEL >RHN69548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44314881:44322598:1 gene:gene18030 transcript:rna18030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation protein SH3 MSRRGMDRDDEDDEEYEEQVEDFDEEEAEEEEERGGGGGGGKKRRRPSFIDDDAEEVDEDEEEDEEDYDDDDYDGGGKGSSRKRQYRKVSASNFFDEEAAVDSDEEEEEEEVEDGFIVGPDVQDEDDNRGRPRHRQPPHQEDHEDLEEMARRIQERYGKQRLAEYDEETTDVEQQALLPSVRDPKLWMVKCAIGRERETAVCLMQKYIDKGSELQIRSAIALDHLKNYIYVEADKEAHVREACKGLRNIFGQKITLVPIREMTDVLSVESKAIDLARDTWVRMKIGTYKGDLAKVVDVDNVRQRVRVKLIPRIDLQALANKLEGREVVKKKAFVPPPRFMNVEEARELHIRVEHRRDATGGERFDTIGGMMFKDGFLYKSVSIKSLYSQNIKPTFDELEKFRKPGETGDVASLSTLFANRKKGHFMKGDAVIVIKGDLKNLKGWVEKVDEDNVHIRPEMKDLPKTLAVNEKELCKYFEPGNHVKVVSGAQEGATGMVVKVEQHVLILISDTTKEHIRAFADDVVESSEVTTGVTKIGDYELRDLVLLDNSSFGVIIRVESEAFQVLKGVTDRPEVVLVKLREIKCKLEKKINVQDKFRNTVSSKDVVRILEGPCKGNQGSVEHIYRGVLFVFDRHHLEHAGFMCVKAQSCVVVGGSRSNSDRNGDVHSRFPGLRTPPRIPQSPHRFSRGGPPSAGGRHNRGGRGHDGLTGATVKVRQGSYKGYRGRVIEVKGSFVRVELESQMKVVTVDRNHISDNVAVTPQRETSSRYGMGSETPMHPSRTPLHPYMTPMRDAGATPIHDGMRTPMRDRAWNPYAPMSPPRDNWEDGNPGSWGASPQYQPGSPPSRPYEAPTPGAGWASTPGGNYSEAGTPRDSSAYANAPSPYLPSTPGQPMTPNSASYLPGTPGGQPMTPGTGGLDIMSPVLGGDNEGPWFMPDILVNVHRAGEESVGVIKEVLPDGSYRVALGSNGNGETISALSNEVEAVVPRKSDKIKIMGGGTLRGSTGKLIGVDGTDGIVKVDDTLDVKILDLVILAKLAQP >RHN57627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40638019:40640385:1 gene:gene33203 transcript:rna33203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 3-O-glucosyltransferase MKKAEVVFIPSPGVGHLVSTLEFAKLLINRDNRLRITVLVLKFPHATETDVYSKSLPISDSIRIINLPECSLPPNTNPVSSMSAHLEAQKPNVKQAVSNLITGEASGVLAAFVVDMSCTAMIDVAKEFSIPTLVFFTSSVAFLGLTLYLHNMFEQVDSTQLLQQNELAIPTFTNLFPSNSLPRSLLSKEWKPVFKSYARGLKNADGIIVNSFEDLESHAVHSFFSHPELTSLPIIYPVGPILSPEPKTKDIVGSDIIKWLDDQPLSSVVFLCFGSKGCFDEDQVKEIACAIENSKSHFIWSLRKPVPKGRQGCTF >RHN70977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55550552:55568049:-1 gene:gene19617 transcript:rna19617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Sec3 MAKSSADDAELRRACEAAIEGTKQKIVISIRVVKTHGTWGKAAKLGRQMAKPRVLAISTKAKTQRTKAFLRVLKYSNGGVLEPAKIYKLKHLSKVEVVTNDPSGCTFTLGFDNLRNHTVAPPQWTMRNIDDRNRLLLSTLNICKDVLGRLPKVVGIDVVEMALWAKENTPAVSTQNNQTDGATVESAVNEAELKVNVEKELVSQAEEEDMEALLGNYITGISQAEAFSERLKRELQALEAANVHAILESEPLIDEVLQGLEAASNCVEDMGEWLGMFNVKLRHMREDIESIEIRNNKLEMQSVNNKSLIEELDKLIEQLNIPSEYSAFLTGDSFDEVQMLQNIEACEWLTGALRNFEASNIDPTYVKMRAFKEKRGELQIIKSTFVRRVSEFLRNYFATFVDFMMNDKNYFSQRGQLKRPDHADLRYKCRTYARLLQQLKILDKNCLGPLKKAYCCSLNLLLRREAREFANELRASTKISKNPNVSPEGSVGSSQNVNSADSSAISDAYAKMLTVFIPLMVDESSFFAHFMCFEVPMLDVNKSGHNDDDDLGIADIDENDSNSKSGISSAELAALNESLQDLLDGIQEDFYAVVDWACKIDPLCCISMHGITERYLSGQKADAARFVRLLLGELESRISMLFIRFVDEACHNIERSERNVRQSVLPYIPRFATLATKMEQYIAGQSRDLVDQAYMKFVSIMFVTLEKLAQVEPKYADIFLIENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQSCSRHISMIIYYQFERLFQYARRIEDLILNNVSPEEIPFQLGLSKVDFRKMLKASLCGMDKSINAMYKKLQKNLTSEELLPSLWDKCKKDFVDKYDSFVQLVAKIYPAESVPSTAELRELLAKM >RHN54521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10229644:10229981:-1 gene:gene29582 transcript:rna29582 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTLADFYVFFDKLKYHKPNHLVRNKRMKENLHCTQSQLYVANRIY >RHN56622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32301909:32303645:1 gene:gene32052 transcript:rna32052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyanohydrin beta-glucosyltransferase MEPPNPPPPPPTTTSTTHIVAVPYPGRGHINPMMNLCKLLISNNPNIVVTFVVTEEWLTIINSDSPKPNNKNIKFATIPNVIPSEEGRGKDFLNFLEAVVTKMEDPFEKLLDSLETAPNVIIHDSYLFWVIRVANKRNVPVASFWPMSASFFLVLKHYRRLEEHGHYPVNASEVGDKRVDYIPGNSSIRLQDFPLHDASLRSRRLLELALNNMPWMKKAQYLLFPSIYEIEPQAIDVLREEFSIPIYTIGPTIPYFSHNQIASLSTNQDVELDYINWLDNQPIGSVLYVSQGSFLTVSSEQIDEIANGLCESGVRFLWIMRGESSKWKDICGEKGFVLPWCDQLRVLMHSAIGGFWSHCGWNSTREGLFCGVPFLTSPIMMDQPLNSKYIVEDWKVGWRVEKKVKDDVLIRRDEIARLVRRFMDLGDDEVKEMRKRARELQQICQSAIASGGSSENNMKAFLGNILHGSKQ >RHN45647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24110520:24110884:1 gene:gene40028 transcript:rna40028 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLMAVEESQGTFTPSPMADAQEHPVLVDESNVVASNVPILANAETNSTPNEIESPVPCEILG >RHN78830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18814454:18817521:-1 gene:gene2486 transcript:rna2486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MFCSKPFNTIMFIFLFLLTMLIKTTATTSTVISPTTFSFMSFSPESCTNGELLCMGSATSENGYVSLTPEPEAQQNNDSGSMVGTSNTDNKVGRVLYPHPVHVWPAIITTTFTVRITPFVKNSTSSGDGIALVFAQDNRPSPNGSYGSYLGMFDRSTQGGVFQQIGVELDTFMNEFDPDGNHIGIVTTSITNPVAFQSLNGTNVDLKSGRDIVVKVDYNGWTKMIFVSIGYSNSQLKSVLNHSIHLPDIIPSSVYVGFTASTGKAFPQSHQILNWLFTSVPLPVLSLKHSKVGKYKIILATVLSVLVFVSLLSVSWEAWNKRKEKGDRKEDIESLSRTAADVPKMFGYKELSKATCKFSKENLVGRGGFGSVYKGFMLENGKTIAVKKISATSKQGEREFLAEICTIGRLRHKNLVQLQGWCNEGKNLLLVYDYMQNGSLDHFIGKDFLDWQTRHKILTGLASALLYLHEECGNPVVHRDVKPNNVMLDSNYNAHLGDFGLARLLKNEDSVTTDLAGTPGYLAPEIGFTGKATPESDVYSFGMVILEVICGKRSKRVMEDNSLVDYVWNLHAQNQILECVDQQLKNSFDVEEVKRSLMVGLACLHPDSLFRPKMRKIVHIFLNPNEPLMELPGTRPAGVYVSVSCTSFTDFVSRTELQLQSSTTSLNEISTY >RHN43029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42688772:42691687:-1 gene:gene49549 transcript:rna49549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative like-Sm (LSM) domain containing protein, LSm4/SmD1/SmD3 MSRSLGIPVKLLHEASGHVVTVELKSGELYRGNMIECEDNWNCQLESITYTAKDGKTSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLDARIKGKGASLGVGRGRAVAMRAKAQAAGRGAPPGRGVPPVRR >RHN43443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45929726:45937661:1 gene:gene50021 transcript:rna50021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein Networked (NET), actin-binding (NAB) MVKHHLRKSIKSLFESHIDPDKEEQLQGAKTEIEDKVKRILKLIKDDNLEEDGTPAELLKREPLAELIEDIHNQYQLIYTQHDHLTGELKKRIKGKREKGSSSSSSDSDSDSDYSSKDRGSKNGQLENEFQKIIDGLKQELEVAHKEAADLNQKLTITHEEKDDINSKHLAALSKIQEADKVSMDLKTDAEAFGIQISKLLAENTELNKQLDIAGKVEAELSQKLEDMKTENNSLAVEKETALHQIDEERKTADDLRNLVDQLKDDKLVIAKELQAATDELSILKQQLKHAEQQITTISHKLEVTEEENKSLKAEISQASNEIQLSQNRIQEFESELSQFKEKHDEKDREVSTLTQIHEGHKNESSNLIRELETQITNLGLELESLQNEKKDMEDQLKSCTTEKRELEEHNLGLRNQISELEMKSKEREEELSAIMKKLKDSGDESSSKISDLTSQINNLQADLSSLHAQKTELEEHIILKSNEASTRVESITNELNALQQEVESLQHQKSDLEVQLVEKSQENSECSIQIRSLKEEVDRKSLEQERLTEDRENFAKEREEELSDIMKKLKDNENESSSKISDLTSQIDNLLADISSLHAQKNELEEQIIFKSTEASTRAESITNELNVLQQEVESLQHQKFDLEVQLVEKSQENSKCSIQIQSLKEEVDRKSLEQERLMEDRENFAKEREEELSDIMKKLKDNENESSSKISDLTSQIDNLLADISSLHAQKNELEEKIIFKSNEASTRVESITNELNVLQQEVESLQHQKSDLEVQLVEKSQENSECSIQIQCLKEEFDRKSLEQERLMEDRENLTRQIKNLELEMSTIKSKNSKDEEQIRTNVQVISHLQDKIHMAEIEGSTQIVAFGEQIKNLQLNLAQELAQQRKKMELELDSIRSQKSEVEEQLRAKDRELNTLEQKESEYAKQISANRDEISKLAQENLELADKIDHSERRLATREFEFSTLQDKLYKAEEEASGKTIAFTAQVDNLQKDLLSLQKTKEELELCCDNIKEEHTEVLRMVDNEKNELAYKNMDLQRTLEEQEDAYQKLNEEYKQIDSWFNEWKVKLEVAERKMEEMAEEFREGIGSKDQMVTDLENQVEDLKRDLEEKGDEVSTLFENVRNLEVKLRLSNQKLRVTEQLLSEKEESFRKAEREFQQVQRELEDRIATLVATITANNEAFHETITSVKVCVNSVISGIDTLSRKFSDESKNHENYISNISHELQVAKESVSKMNRVKGQLQRDKDCLLEELQGKKEGELTLREKVEKLEVKARKEESEKMNVTATVVELKKTVGELEKSMKEKEEGILDLGEEKREAIRQLCLWIDYHRESSDRLKEIISKTRRGQRAA >RHN50508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7743348:7750451:-1 gene:gene34800 transcript:rna34800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerol-3-phosphate dehydrogenase (NAD(+)) MAPALEVQGVENVSQNNGLNNVDDVTNRSKVTVIGSGNWGSVASKLIASNTIRMNNFHDEVRMWVYEETLPSGEKLTDVINQTNENVKYLPGIKLGKNVVADPDLENAVRDANMLVFVTPHQFMEGICKRIAGKIRADAEAISLVKGMEVKMEGPCMISTLISEELGINCSVLMGANIANEIAVEKFSEATVGYRQNREAAERWVHLFYTPYFIVTAVQDVEGVELCGTLKNVVAIAAGFVDGLEMGNNTKAAIMRLGLREMKAFSKLLFPSVKDSTFFESCGVADLITTCLGGRNRKVAEAYAKNGGKRSFDELEAEMLQGQKLQGVSTAREVYEVLSHRGWLELFPLFSTVHEISSGLLPPSAIVEYSEKLPSPSNSRC >RHN61325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34813145:34813704:-1 gene:gene23778 transcript:rna23778 gene_biotype:protein_coding transcript_biotype:protein_coding MILVQLMLLMLIDVVVDESLLGYTYSWIDDENLNCCWCFGEIWESMKYDKLLLNYDGVYDYML >RHN59452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10891556:10893376:1 gene:gene21482 transcript:rna21482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Repetitive proline-rich cell wall protein 2 MASLSFLVLLLFALYIIPQGLANYEKPPEYKPPVQNPQFYKPHIEKPPVHKPLDEKPPVHHPPIEKPPIYKPPVEKPPAYKPPVEHHPVYRPHVEKPPVNKPPVEKPPVHKPPVEKPPVHKSPVEKPPVHKPLVEKLPVYKPSVEKPPVYKPPVEKPPLHKPPVEKPPVHKPPVEKPPVHKPPVEKLPVYKPSIEKPPVYKPPVEKPPLHKPPVEKPPMHKPPVEKPPVHKPPVEKLPLPVYKPHVEKPPVYKPPVEKPPLHKPPVEKTPMHKPPVEKPPVHKPPVEKPPVEKLPVYKPPVEKPPVYKPHVEKPPLHKPPVEKPPVHKPPVEKPPVHKPPVEKLPVHKPPVEKPPVYKPPVEKPPVHKPPVEKPPVHKPQVEKPTEYKPPIEKFPVYKPPVEKPQVHKPPVEKPPVHKSPVEKLPVYKPPAEKPPVYKPPVEKPPVHKPPVEKPPVYKPPVEKPPVHKPPFEKPPIYTPPF >RHN56876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34414281:34414721:1 gene:gene32340 transcript:rna32340 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVHKPDISAFRECLSLSWKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFKAVDTKVWLQVNFFHMI >RHN72709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10036641:10041733:1 gene:gene8458 transcript:rna8458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesyl pyrophosphate synthase 2 MADLKSTFLNVYSVLKSELLHDPAFEWSEDSRQWVDRMLDYNVPGGKLNRGLSVIDSYRLLKEGQALNDDEIFQASALGWCIEWLQAYFLVLDDIMDNSHTRRGQPCWYRVPKVGMIAANDGVLLRNHIPRILRKHFKGKPYYVELLDLFNEVEFQTAAGQMIDLITTLEGEKDLSKYTLSLHRRIVQYKTAYYSFYLPVACALLMAGENLDNHVDVKNILVEMGTYFQVQDDYLDCFGDPETIGKIGTDIEDFKCSWVVVKALELCNEEQKKVLHENYGKPDPANVAIVKTLYNELNLEGVFEEYESTSYEKLVTSIEAHPSNAVQAVLKSFLAKIYKRQK >RHN49916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2274534:2278230:1 gene:gene34148 transcript:rna34148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDLDLSSLINATTIALLISLIPLCLFLFGLSKFSHSKNKEAPIAKGAWPILGHLPIFSGKQPPHRVLGPLADKYGPIFTIKLGSKHALILNNWEIAKECFTTHDMVVSSRPKLISTEHLAYGGAVFGFGPYGPYWRELRKIVTLEILTNRRIIQQQHVRVSEVQTSIKELFDVWYSKKKESYPSNYMLVDLKQWFTHLTFNMVLRMAVGKRYFGAKTIVEEAQRSVKALKEIMRLFGVITVGDVIPCLKWFDFGGHMKAMDETSTEMDEILGEWLKEHRHKRTLTEKADDQDQDIMDVLISLLDGKTMEGFDCDTIIKATILTLFIGGSDTSSVTLTWAICLLLKNPLVLKKAKEELDTHVGKERLVNESDIGKLVYLQAIVKETLRLHPPGPLAAPREFSENCTIGGYHVRKGTRLMLNLWKIQTDPSVWSDPLEFKPERFLTTHKVVDVRGNHFELLPFGSGRRKCPAISFGLQIVHFTLASFLHSFDILNPTPGLVDMTEEFGLANTKATPLEILIKPHLSLNCYEML >RHN78694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17618165:17619544:-1 gene:gene2332 transcript:rna2332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MSNILACYQLLELNVISAQDLAEVGRSMRTYAVAWVDPDRKLSTRVDSQSGTNPAWNDKFVFRVDEDFLYDENSTITIDIYAIHWFKDIHVGTAHVLADDIIPPSRPSHSNNYKPQGMQFVGLQVHRPSGRPKGILNVGVAVIDSSMRSMPLYTHNNTPTAGYHQNDHHDQLSHEAMSELRRAKSDSSSMIGSEVVEHEKRLRAKRGKASSQVTLSEVSTYSKKKSPSMLSGSDVKATPTSKKVKSRKTNKNYPNDFNPTAIVSYDYEVKPSPKPQFLNSPATGRVYNNGGARATPLHAFAINNAVANANMEYNSPYRTNKGHHRPIITDSELGPSASEVAEAVARQPVMDEGESSIVTGWSLNESVEDLQPKIERWQTDLAPVHDGREMSSKPTTSSKKKDKHSRRRTNGGGGDGGDNGLFSCFSVICGLECSIVCGGDKKKKNRLRRNQSMDSASFV >RHN56139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28100578:28101168:1 gene:gene31492 transcript:rna31492 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRLRLYDTKEIWSLQYEKYMISLKIKCLDSKISLLNERCDSYEVDQLSSNCKEKKEVINIDYNTQLQNILDDFLVSNQFSFDKFDVQCGDLVEKAQESQRKLVKMETECHKVVVEENPKVRSVDICPKSEPMGVKEITLVKIYARPSLRWESFNSKRSTLSAWEYLILCAKFMEFLPNKRKKKGDIFLLSFLPP >RHN68243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33966952:33971936:1 gene:gene16568 transcript:rna16568 gene_biotype:protein_coding transcript_biotype:protein_coding MMSYVGNNTQFHPSIANSMLGNKEQFSKSEVEGAAIPFSPKGFWLWHLQILSSSSLSLSHCHFKLNSGCFLQ >RHN78907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19883209:19884726:-1 gene:gene2575 transcript:rna2575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MDNKKPVEVGTCLNKDKKKVVEVGTTLNMEKNKGVEVGTSLNLDKKKVVCRPYDKIKDVNESKDLWTFSVRIADAWSVMGKSRQEHFDMVVVDKQVILLSNSSDSVQITVPTDELDEWKGKLVKNKTYEMMNFKVLKNDIVLKACTHPYRLAVTGATIIKEVDFPQIPIFPMRFKDFGEILAGKYRTDLLTGMKIENMYGILLIVHIITILCLPMLADVIGCFHSVTNTNQYKGRLKSVTFLLKDTSGHLVHVCMFDDFAKHFMDSFSKVNDDRVFVVVKRGRIKPAHEEQLDDVNPTQQSSQFSQGSQLTQQTDIMSKVNFLTLSEVNHVQHVRSFIPHCKVNPNILKAIIS >RHN64097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56555284:56556096:-1 gene:gene26878 transcript:rna26878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSNKEQVNDTSKNRKKIECSLELESLSLDRKNIARVVPDGGITHLRFLPSNDFKMVVAGNRVGDIGFWNVGESEVFVYHPHQAPISGISIHPHCLSKIYTSCNDGFVRMMDAEKEVFDMVYHSSNDESKKKKDKGIYALSQPKNEANCLYLAEGSGYLTVWDNRIGKCSSSSRLDLHQLRINTIDFNPENPHIGVTSSSDGTACT >RHN80078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34881683:34882392:-1 gene:gene3956 transcript:rna3956 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLLEKVELGNFTRIYRQFLIGFDKDVVYYSYVWLLLA >RHN61637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37062174:37062790:-1 gene:gene24121 transcript:rna24121 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPCFLLTKQSMLRIWFKMLENSMPKEDVHHNIQDKLDSIPKLNVIVMEEECNKLIKGTRGYIEEVFSREYGTYVPL >RHN45356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17637117:17638809:-1 gene:gene39640 transcript:rna39640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MVCPWPFLASLDSGVASTKPPESGISFSQALAGPKDYKLTQLPPKVVMGKSVRVKITQTEYESGLIDCSSNIHGRLTLRRGDTPLSIMALKLQLSNMWPNIHNWDITPLGKGFFEFHFNTVEDMRRVWAMGVAQTHAQIWVRFLHLPQKYWRKQTLLEITSGLGTPLIIDDTTLYRRLGIYARVLIDVDLSEQLFESVIVEREGHALFVMVQYERQPLFCTHCKILGHEVRNCIKLSFLNTTEGTSKVLKAQTGPHQVKTLPKHTGNGKKHAVTADNRPAATTIIKSVSKSAAFPFKKRDIDNRPSAAIAFKSVSKCASITIQQPDLVELDNSDLDGNAMEVDKGDEAAFAATLKTSKTSNLFMHNSFDILNEEDTLNFGEAR >RHN73723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19334505:19335134:-1 gene:gene9577 transcript:rna9577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MKIIHMLFFFTVFSFTISHASLNDFCVADLKAPNTPSGYPCKPLARITSDDFSFHGLVAGNTNNSFKFGFNSATITNFPALNGLGISALRIDIDQGGSIPMHTHPDATELLIVVQGEITAGFLTPTTFYSKTLKPGDIFVFPKGMLHFAVNSGKGKATAFGALSNENPRTKILDLLLFANKLPSNLVAQTTLLDLAQVKKLKAQFGGSG >RHN68828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38890176:38892535:-1 gene:gene17237 transcript:rna17237 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLNQLLVKPAQRNLNTSRFRLPLATLTTVPESPPPPIDATVAKLVLESDPKTLSQTLSNPTFQWTPLLVDNILKRLWNHGPKALQFFKHLDRHPTYIHSISSFEHAVDIAARLREYNTAWALMGRMRALRLGPTPKTFAILAERYATGGKAHKAVKVFLSMHEHGCHQDLNSFNTILDVLCKTKRVEMANNLFKTLRGRFKCDSVSYNIMANGWCLIKRTPMALQVLKEMVERGVDPTMVTYNTLLKGYFRCGQLNEAWEFFLEMKKRKCEIDVVTYTTMVHGFGVAGEVKRSKRVFDAMVKEGLVPSVATYNALIQVLCKKDSVQNALLVFDEMVGKGCVPNLTTYNVVIRGLCHSGEIERGVEFMKRMEENGCMPSVQTYNVVIRYYCDEGELEKGLELFEKMGNGTCLPNLDTYNILISAMFVRKKSEDLVLAGKLLIEMVGRGFLPRKFTFNRVLNGLVLTGNREFANEILRMQSRSGRVLRHVKL >RHN76279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49111117:49117157:1 gene:gene12577 transcript:rna12577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MSSPANAMQFVCPWPCLVVPTPKSVSTRSFAQTMVASQSKVSKMFAQALSNSCNIPASQLPKPCFKVFNERERLMTLQCRFSIDDAKYDDDDGRINRTGTLLIVGATIVMVVIGSGVLSLTLAIAQSRWIKDKKGRKHTKSTSTRSITVQDHHKTKIKQPKAKTKSNMEKTTSQEQPNSGTYVEPQYSGSRIKGDTITLDFVKKMMNDFKEQKYLDRGYASKILLQTKKILKALPSLVDITVPHGKHVTVCGDVHGQFYDLLNIFELNGIPSEENPYLFNGDFVDRGSFSVEVAFTLFAFKCMSPSAMYIARGNHESKSMNKIFGFEGEVRAKLNDKFVKMFAEVFNCLPLAHVINKKVFVVHGGLFSVDGVKLSQIRSINRFCEPPDEGLMHDLLWSDPQPLPGRGPSKRGNRCFCFGEDVTKRFLKDNNLDLVVRSHKSMHKGYEIQHDGKLITVFSAPNYCDKGNKGAFIRFEAPDLKPNIITFEAVPHPDVKPMAYANNFNRLFS >RHN69719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45792001:45793056:1 gene:gene18229 transcript:rna18229 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSMFSHFEISQAQKWSFSFGFAPAKDVNSKANKEATESKTTGKNPKTSTPSEDKQIPAPSRQRFAPELDGLHCFEFIVPSE >RHN66930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21763894:21766314:1 gene:gene15052 transcript:rna15052 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFGSCLSYVPICGRRWIWNMSSWNMCRLRNLNRTHIGIGEIMAPSRPLVSW >RHN43175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43755413:43758347:-1 gene:gene49711 transcript:rna49711 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLSKEEKEKAAVGMAATMVATVRGSKQKGIAKSWMVVFETGESRVEDIDKHSIMRRTGLPARDLRVFDTKLSQPSSILGREKAIIVNLEHIRAIITSNEVLMINSIDPFFIRFLQDLQKRVLLSNNIQVPMRGSDDVDSHCEVKPLLEELLPSVQSPTHFPNNESIGVAGVSAPKQLPFEFKALESCIESACTCLEYETQRLEEETYPALGELTSQISTLNLERVRQIKTRLVALSGRVHKVAYQIENLLDDDNDMAEMYLTQKLDAQLSDQTSVKEAYNEAFDEDIDKSERSYSDTYKSYDHKPDVEELEMLLEAYFAQINGILQKLSTLSEYVGNTKDYINIMLDDKQNQLLQASIILNTMNFIVNLGILVVGVFGMNIHIDLYQGQPSQFWATTSGTVLGCVLLFLVSIWWGKRYLLPQ >RHN82655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55478300:55480146:1 gene:gene6832 transcript:rna6832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GRAM domain-containing protein MQISLLHELVSGTPIIFDQFQNSVNRYLLDSTSHQCQYPSKHQSKSLTNSNKKRLARKADSLSQKVQEHVRLRANISETIKRKLSLGAQILQVGGVEKVFMRYFSVSEDESLLKISQCYLSTTSGPLAGLLFISNEKVAFCSDRSIKVFNQKGQMRRIRYKVTIPLKNIKCVNQSQNVEKPTQKYINIVTVDNFDFWFMGVFNYHKTFNYLEKAISQA >RHN58124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43904964:43906056:-1 gene:gene33733 transcript:rna33733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MVLSQQNVDHNDSPSEVQHRVNHQREIILSRETNDVAMACELVLSHSSPLMQHSQSPNTPSRELPLIQSNQYTRSIIREMVRKDGYKWKKYGEKNIKKNEHKRAYYKCTHSDCQAKKKFHWSNDGTVEYFSYTNPHNHPNPQSSIVPPIDHVLPIVEHGPHLPYLAGVEVQGDKYSLFASILVSILHEKPLNILYIVVHADNNTNATRASVLTGEPHLVVQTSSANEVVNDAYRWRKYGRKMVNGKTIQRNYFRCAYPGCTVKKYVEKSPLNATNVTTTYKGQHDHEPPTGRGVRHDSDTNTQIMCINGKP >RHN56284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29497213:29497626:1 gene:gene31656 transcript:rna31656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MIMKYLSLVYTHLKWLLDFLIYYPFYNKLHHSHFPIIGEMYNTCINYKHTSCSDEDVECVVCLSKIEEGDEIRVLRCDHMYHKNCLDKWVGFKNHTCPLCRESLRPKRAITELGAEVLEFNFFAIRKDRDRDDWWLR >RHN57575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40220469:40221319:-1 gene:gene33144 transcript:rna33144 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVFIIFCFPFSLPVSSFLFSLPLPSHSLFPDRLSHGCWKSHDRNKKREHDDEAMNVRYENTTSIFEIDDLICRSRYEGGEMTAATVVMSSEMVTEVTVVECCEREGEW >RHN79127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23656217:23656916:-1 gene:gene2844 transcript:rna2844 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVCTRSRMKLQKALVEDKKQKKAMENTEVDDTKKDIIFSSSSSGCSTPKAKRFRIPKVLTCPPAPKKRRVTPPSACSSINRSPISLFSSPDIELFFFSALNNVSV >RHN61447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35540199:35540483:-1 gene:gene23917 transcript:rna23917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPGIIRRSSSFTSSRSVTKVVDVPKGYLAVYVGDKQKRIVIPVSYLNQTLFQDLLSQAEEEFGYDHPMGGLTIPCTEDAFQHITSRLNEL >RHN78415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14908767:14910763:-1 gene:gene1967 transcript:rna1967 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSSYSSSSSEYLETLLTSAKPFLRNELISIDPKLPSLITILRSVGASECWHKHGTFLEHLIDIFRILHLWKSPYSVSLCGLFHSAYSNSYVNLAIFDPSTSREVVRGHVGVEAERLIHLFCVVPRQSLIHDDLLFHYSDKELCHDLEKSELSLRNAKEKGIFNKDESWRKKLQGLVPADGIKVKHIRTGEDVKLSRRVVAVFVMMTMADFCDQLFGFQDMLFENFDGRLEFKGNNFGAVWPGNGKPGLWLNSISRMGAVYNLILREEEIFLEEKKKMLGVKGVNGVDYERDEHIELVLPPVFAKCTKVLDARDQIVARDLYWEAMICEEGLEKIEELLVKSIEKNPFVGEPYVVLSQVYLTKGRFEEGEKEAERGLTLLLEWGCHWDKRISWEGWIAWTRVLLMKAKEKSWPNTSWGILNLGLVK >RHN60874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31220682:31221381:-1 gene:gene23264 transcript:rna23264 gene_biotype:protein_coding transcript_biotype:protein_coding MILPSQVEISKYVVDTNTQQLCLLPSLISLFCLYPLFSHLFPFFLHPHWPPYPPNQTPSSLILLLTTVVHPHHRIYSNFSILTTPPHLLRPPCLLFFSGHTTTTLSSSLTNSTTITTIPPFFSGHPNLCEREREREGERRYR >RHN81007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42566301:42571438:-1 gene:gene4996 transcript:rna4996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MGTERKRKVSLFDVVDDAAAKMVKTNGGLIGNNLINRWNGKPYSQRYHEILEKRKTLPVWHQKEDFLKVLKDNQTLILVGETGSGKTTQIPQFVLEAVELEAPDKRKKMMIACTQPRRVAAMSVSRRVAEEMDVSIGEEVGYSIRFEDCSSARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFFGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKISKEVANMGDQVGPVKAVPLYSTLPPAMQQKIFEPAPPPVKEGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTERSFNNDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTKLGEIMSEFPLDPQMSKMLVVSPEFNCSNEILSISAMLSVPNCFIRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDASWCYDNFVNNRALKSADNVRQQLVRIMARFNLKLCSTDFNSRDYYVNIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTSRNFIRTVTDIRGEWLVDIAPHYYDLSNFPQCEAKRVLEKLYKKREKEKDEARNRK >RHN80674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39994354:39996956:-1 gene:gene4629 transcript:rna4629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB family MYDGVPDQFHQFITPRTSSSSLPLHLPFPLSTPNNTFPPFDPYNQQNHPSQHHQLPLQVQPNLLHPLHPHKDDEDKEQNSTPSMNNFQIDRDQRQILPQLIDPWTNDEVLALLKIRSSMESWFPDFTWEHVSRKLAEVGYKRSAEKCKEKFEEESRFFNNINHNQNSFGKNFRFVTELEEVYQGGGGENNKNLVEAEKQNEVQDKMDPHEEDSRMDDVLVSKKSEEEVVEKGTTNDEKKRKRSGDDRFEVFKGFCESVVKKMMDQQEEMHNKLIEDMVKRDEEKFSREEAWKKQEMEKMNKELELMAHEQAIAGDRQAHIIQFLNKFSTSANSSSLTSMSTQLQAYLATLTSNSSSSTLHSQNPNPETLKKTLQPIPENPSSTLPSSSTTLVAQPRNNNPISSYSLISSGERDDIGRRWPKDEVLALINLRCNNNNEEKEGNSNNKAPLWERISQGMLELGYKRSAKRCKEKWENINKYFRKTKDANRKRSLDSRTCPYFHLLTNLYNQGKLVLQSDQKQESNNVNVPEENVVQEKAKQDENQDGAGESSQVGPPSW >RHN50045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3501076:3507323:1 gene:gene34293 transcript:rna34293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 215 MQAIYQHFVNYNELPNYCAIKLSPSTPDLVMGRITMRKTTTKKNVPSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFSKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWAVQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLADHLADPVNNNAWAYATNFAPGKMATSTMALSSSTMVGKAIKLSPSTPDLVMGRITMRKTTNKKNVPSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWAVQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHITDPVNNNAWAFATNFVPGK >RHN60614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28858207:28858979:1 gene:gene22954 transcript:rna22954 gene_biotype:protein_coding transcript_biotype:protein_coding MCEDNILIRRSLSLSYIFVICVTSYMSTTRSEETQIPRHMEESIIKQEKREQEEEEEANIPKPIQVEEVIFEELEECKTPTSSSNKIPIVEKCPPAPKKKRKSSISPNSCMMKRSSATQLKYDVKAEEVDSFFQSMFEVTKVINKRRRTI >RHN55652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20661499:20662023:1 gene:gene30869 transcript:rna30869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGNYILKLCYALFFILLHSASSIHGYFNSTSSTKEVKCKEREKEALLRFKQGHQDDYGMLSTWRDDEKNRDCCKWKGIGCNNLVGVIPCELGNLAKLQYLNLGGNSLSGAIPYQLGNLAQLQFLDLGDNLLDRTIPFKIGELLMLQSLWLGRNSNLKINKEKYGIIPFKIGELV >RHN74405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32833427:32837454:1 gene:gene10462 transcript:rna10462 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSARWRQSWAPQPLTPLMEGPDPEMQEEGGKKESSWETIREWFKAQKISPSGNISSQSFYGTIHAKTQDLRLLLGVLGCPLAPIPSDHDPALSIHHNHIKDTPFETSTAKYIIQQYLAATGCLKQQKENKNMYATGMVKMICCETEISSGKNVKCLGTRSSENGCFVLWQMLPGMWSLELVVGGHKIVAGSNGKTVWRHTPWLGTHAAKGPQRPLRRIIQGLDPKSTASLFTNAQCLGENRIGNVDCFVLKVCADRETVIERSEGPAEVIRHILYGYFCQKSGLLIYLEDSHLTRVPTQESDAVYWETTIGSSIGDYRDVDGILIAHQGRSIATVFRFGELSMQHSRTRMEEIWTIDDVMFNVPGLSMDHFIPPADILDNINSP >RHN63186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49181344:49186092:1 gene:gene25862 transcript:rna25862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MASLESFSDLKKAQVQAFDDSKTGVKGILDSGITKIPSIFHVNLEKSTQNSPTHESSFTTIPIIDLQHIKRVELVDQIKNASKNWGFFQVINHGIPLHVLDEMINGICRFHEQDAEAKKPFYSRDKDKKVRYFSNGKLFRDMAANWRDTIAFAANPNLPNPEELPAVCRDIVAEYSKQVKALGVTIFELLSEVLGLNLSYLNEMECAKALYIMGQYYPQCPEPELTMGIAKHTDCAFMTILLQNQIEGLQVLHENRWVNVPPVHGALVVNIGDILQLMTNDTFISVNHRVLSRNIGPRISVATFFMNFTISECTSKVYGPIKELLSEENPPIYRDITMKEILTNYYAKGISGNACLRPLKL >RHN71695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1985082:1987223:1 gene:gene7321 transcript:rna7321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-tyrosine-phosphatase MGESSEKAKSEAVKIIESFEVVPKLVVFDLDYTLWPFYCECRSKRESPSLYPHAMGILLALKHKGIDIAIASRSPTADIAKAFINKLGITSFFVAQEIYSSWTHKTDHFQKIHSATGVPFSSMLFFDDENRNIQTVSKMGVTSILVDNGVNLGALSQGLTQFSRNWNTSQKNKQKWLSDYSKKPDTSNPAPSNSASK >RHN76645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:67979:68350:1 gene:gene4 transcript:rna4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAMLNNSKKESKNQKSNTSTTNRNSTATTLALPSADPGAITIKNPSRYLRKPKFLKYLPLNRSVHTTSNFYHCEVCVNDPCMDHRHDKRRRLLMLTQTEVAANSPNQWSFGGRQEDGDTAN >RHN60915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31566567:31566773:1 gene:gene23310 transcript:rna23310 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHSSCFSSSCLRQLNHLPLVASSLPLASSLLELSRQRWTSSPQLVSSPLASSLPLVPLRIWKLEES >RHN77604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8078606:8079259:1 gene:gene1074 transcript:rna1074 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTHSSFVIQIKAATKEQQKNPSSKFKLNFSTMKIFHRLRKVLMRFVFSSSHHRSSSRDSSSRHRNSERFEPPKTSCSSYYSSYSHYNEAISDCIEFFNKEGVLDGRKSSDVNNV >RHN41500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30528541:30533068:-1 gene:gene47832 transcript:rna47832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MASDGFTDKNLVFRKLKLKSENKMCFDCNTKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWTPEQLKIMSFGGNSRAQIFFKQHGWTDGGKIEAKYTSRAAELYRQILTKEVAKSMALEKGLPSSPVASQSSNGFLDVRTSEVLKENTLDKAEKLESTSSPRASHTSASNNLKKSIGGKKPGKSGGLGARKLNKKPSESFYEQKPEEPPAPVPSTTNNNVSARPSMTSRFEYVDNVQSSELDSRGSNTFNHVSVPKSSNFFADFGMDSGFPKKFGSNTSKVQIEESDEARKKFSNAKSISSSQFFGDQNKARDAETRATLSKFSSSSAISSADFFGDSADSSIDLAASDLINRLSFQAQQDISSLKNIAGETGKKLSSLASSLMTDLQDRIL >RHN52409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35044854:35045264:-1 gene:gene37081 transcript:rna37081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGDQGFIDFVDHLLEVNPKKRPSASEALKHPWLSYPYEPISS >RHN45293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14643146:14650307:1 gene:gene39544 transcript:rna39544 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVMILMLFVILGHAVFTVESAYKAPWRIHTLFSVECGNYFDWQTVGLMHSFRKVKQPGHITRLLSCTDEQKKSYRGMHLAPTFEVPSMSIHPVTGDRYPAINKPAGIVHWLKHSEDAENVDWVLILDADMIIRGPIRPWQIGAEKGRPVAAYYGYLIGCDNILAQLHTKHPEHCDKVGGLLAMHIDDLRALAPMWLSKTEEVRQDKAHWGANITGDIYEKGWISEMYGYSFGAAEVGLRHKINDNIMIYPGYAPQEGVEPILLHYGLQFSVGNWSFSKADHDDDDIIYNCGRLFPQPPYPREVNVLETDANLRRGLLLSIECMNILNEGLLLHHASNGCPKPPWSKYLNYLKSGTFAKLTRPKFATPATLEMMEDKIQEQVDHDPARPYPKIHTVFSTECSSYFDWQTVGLMHSFHLSGQPGNITRLLSCSDEDLKLYKGRNLAPTHYVPSMSQHPLTGDWYPAINKPAAVLHWLNHANIDAEFIVILDADMIMRGPITPWEFKAARGKPVSTPYDYLIGCDNELAKLHTSHPEACDKVGGVIIMHIDDLRKFALLWLHKTEEVRADRAHYARNVTGDIYESGWISEMYGYSFGAAELKLRHTINREIMIYPGYVFEPSIKYRVFHYGLPFGVGNWSFDKAKWREIDMVNKCWAKFPEPPDPSSLNRDDEKSFQQNLLSIECIKTLNEALDQHHERRGCNRDSSLSTSKGVTKEESVISKKFDVKGKHMLENDSDEFASVHNDKMAIPSSFRFWVLFFCVFSGLGFLVVIFWVHSGHKRKGMKMKHHRVRRRSLYT >RHN58157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44175793:44179534:-1 gene:gene33770 transcript:rna33770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rab-GTPase-TBC domain-containing protein MYGTKSKIDLAFEYQSQISVLRPSIHSRRANITVKFQDLYGFTVEGNVDDVNVLNEVREKVRQQGRVWWALEASKGVNWYLHTTIGQGSALTSSLKFSALANAITLKKLIRKGIPPVLRPKVWFSLSGAAKKKSTVPDSYYDDLTKAVEGKVTPATRQIDHDLPRTFPGHAWLDTPEGHAALRRVLVAYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVSDCYTNNLSGCHVEQRVFKDLLTKKCPRIATHLDSLEFDVSLVTTEWFLCLFSKSLPSETTLRVWDVIFYEGAKVIFNVALAIFKMKEDQLLLTHHVGEAINILHQTTHHLFDPDDLLTVAFDKIGSMTTNTISKERKKQEPEVMKELDQRIRKLNSLKVDDK >RHN75926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46183429:46190114:1 gene:gene12187 transcript:rna12187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MRAFEIVLVLLWFLPKMFCTNVDYDHRALVIDGKRRVLISGSIHYPRSTPQMWPDLIQKSKDGGLDVIETYVFWNLHEPVKGQYDFDGRKDLVKFVKAVAEAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIKFRTDNEPFKAEMKRFTAKIVDLMKQEKLYASQGGPIILSQIENEYGNIDSHYGSAGKSYINWAAKMATSLDTGVPWVMCQQGDAPDPIINTCNGFYCDQFTPNSNTKPKMWTENWSGWFLSFGGAVPHRPVEDLAFAVARFFQRGGTFQNYYMYHGGTNFDRSTGGPFIATSYDYDAPIDEYGIIRQQKWGHLKDVHKAIKLCEEALIATDPKISSLGQNLEAAVYKTGSVCAAFLANVDTKNDKTVNFSGNSYHLPAWSVSILPDCKNVVLNTAKINSASAISNFVTEDISSLETSSSKWSWINEPVGISKDDILSKTGLLEQINTTADRSDYLWYSLSLDLADDPGSQTVLHIESLGHALHAFINGKLAGNQAGNSDKSKLNVDIPIALVSGKNKIDLLSLTVGLQNYGAFFDTVGAGITGPVILKGLKNGNNTLDLSSRKWTYQIGLKGEDLGLSSGSSGGWNSQSTYPKNQPLVWYKTNFDAPSGSNPVAIDFTGMGKGEAWVNGQSIGRYWPTYVASNAGCTDSCNYRGPYTSSKCRKNCGKPSQTLYHVPRSFLKPNGNTLVLFEENGGDPTQISFATKQLESVCSHVSDSHPPQIDLWNQDTESGGKVGPALLLSCPNHNQVISSIKFASYGTPLGTCGNFYRGRCSSNKALSIVKKACIGSRSCSVGVSTDTFGDPCRGVPKSLAVEATCA >RHN67784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30239948:30243559:-1 gene:gene16016 transcript:rna16016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MESRQDISNSNNSMPDDGTESQFGGSFICHTINHINSRGYWLGENPLAYSVPLFLIQVFLMFIFTQLSYVILRPFGQSCFVSQILGGVTLGPSILGHYSAFANTFFPIKGRTVLDTLAFFGFMLFIFLLGVKIDPTIIFRSAKRTFAIGILGFFVPYIFGGSVVYIIDRFVSLDNDVSKVLPIVVEIQSITAFPVISCFLAELQILNSEIGRLASSSSLVCDVCFSLVLIMKFAANISTTKSIGVSIGLICSTTLLVLFIVFVVHPAALYAIHHTPEGKPVQEIYICGTLIILIFCGFIGEVIGVDAIFVSFMVGLAIPDGPPLGAALVDKLECFVSVVLLPILFVVVGLRTDVFAIQKMKNLGIIQLIICVAFFGKIVGVLLPLLFCRMPFRDALSLGLIMNCKGTVELALLINLRLKNVLDDELFAIMVLTLVLVTGIVSPIVKALYDPSRRFLAYKRRTILHHQSEEELRILACIHKPDNVLAVLNLLAASNATEKTRIDLVVLQLVKLVGRAASVLVAHIPREKPSERIFNAFSKFEDAYKGKVSLHCYKGISPYATMHNDVCYLALEKRITFIIIPFHKQWIIGGMAESTFAFKQLNKNVLEKAPCSVGVLIDRGNQKKFWCGYLNESTYLVAVLFFGGPDDRETLAYAKRMMDQPNVNITLFHFSSSSKDFIGGSDRSKKLDTQILSEFRLSAFRNDRVSYKENVVTNGRDVLSVIEYMDSFYDLVMVGKRHEDSKLMSELGKWKHGELGTVGEILASLNIGDKTSVLVVQQQIKFWGSRDQEESTHLRRVNV >RHN63152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48913191:48914990:-1 gene:gene25820 transcript:rna25820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAVQAQYPSNILLLLNNNNSRNGQEGQQPSGALGLSLLDQTHHSHNILCNNTSTNSRKRVREGTSPNVINHFSLQPPQPSQIIHLSQLHNHQQQNVSTGLRLSFDDQQQQRLQLQLQLQLHQQQQGCHSSSFSSLLPQGLVSQIKQQHDELDQYLQTQGENLRRTLADKRQKHYRELLNAAEEAVARRLREKEVEFAKATRRNAELEARTAQLTMEAQVWQAKARAQEAAAASLQAQLQQTIMCQTGEDAGGGVSCGVEGQAEDAESAYIDPDRVVEVAAARGKCRGCEKRVATVVVLPCRHLCVCTECDAHFRVCPVCFTPKNSTVEVFLS >RHN82716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55859192:55861865:-1 gene:gene6898 transcript:rna6898 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSKFTLKLLIDTENEKVVFAEASKSVVDFLLHMLGLPLATVVKLLGNNDMVGSIGNIYQSVEDLDEKFMHQEQSKNLLLNPTASISSNEFCNLLPTIDGNDESSISSLSEFLLVAELDKEDDEDDEDYEEEEKDEDEEDDEDEDDDEDEEEEEDKDEEDDEDEDDYEDEEEEEYIGSTLYYACPNRCGVEVTCDEKTICSRCNIAMNRRSHFELKTKDVEENILIKNGFVKDDVTFLVMDDLVIQPLSSAISMVSLLRNKFNINEIGVLQEMVVELGLDEEDSWGWRAEDGGEFTVKSKYRLLEGLVVLEENLDIVAEQVFSFLWKSLTLAFSWKPLLDRIPTGRNLAWRKVIDPESSTVCVLCEDREETVCWCVSAGVFFQAAAWCSLELSMPCFYAVESLAWGIKMLKASLQTKMVLTSVFIKKEC >RHN78419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14933015:14934135:-1 gene:gene1971 transcript:rna1971 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLNTLLIFSAFSIFGNLHTPCLFVAYSTQHTPIPMLILLSLILQPLAKLFVDIQSLIHDDLLFHYSDKELCHDLEKSELSLRNAKEKGIFNKDESWRKKLQGLVPADGIKVKHIRTGEDVKLSRRVVAVFVMMTMADFCDQLFGFQDMLFENFDGRLEFKGNNFGAVWPGNGKPGLWLNSISRMGAVYNLILREEEIFLEEKKKMLGVKGVNGVDYERDEHIELVLPPVFAKCTKVLDARDQIVARDLYWEAMICEEGLEKIEELLVKSIEKNPFVGEPYVVLSQVYLTKGRFEEGEKEAERGLTLLLEWGCHWDKRISWEGWIAWTRVLLMKAKEKSWPNTSWGILNLGLVK >RHN47919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43566586:43570076:1 gene:gene42573 transcript:rna42573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MDLNSHYQQLQQNQPNSRLLRFRSSFKQQGEGCGGSATAATNSGETSSSTFREFMDHNPSNHKVDNNESSLSQRHMNSQQGYRMDQHKGFYTNLLRQSSSHDGHFSNNNIISFGNGYEPMKGVENYDGVKDSDGELTLSMNILNNQIGFSPRTPSSFRMLSQNPKTGSDGIGTTSHDDRRQVGSNDDAQYYGHKLVYDSNDQNVGVRNQVDTLSHHLSLPRKSSEMFVVEKLLQFPDSVPSSIRAKRGFATHPRSLAERVRRTRISERMRKLQEIVPNIDKQTCTSEMLDLAVEYIKDLQKQLKTMSAKRAKCRCRNKK >RHN44001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:661308:675066:1 gene:gene38045 transcript:rna38045 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDDDRYNRDGDRYGRDYEERYGRDGYRDDDKGRSRSVDYNYDTRSRSSDRERDFDDDGQHSSR >RHN67681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29259129:29263847:1 gene:gene15892 transcript:rna15892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase, trichome birefringence-like 45/PMR5 MLTLFILTLQCYIASSAILYSLKHHHNNLHHQRPMIHANQTTCALFVGTWIPDDTYPFYQSSNCPMIDPQFNCKMFGRPDSDYLRYRWRPLNCELPRFNGVQFLMGMKGKSIMFVGDSLGRNQWESLICMIYNEVPQTQTQLVRGVPLSTFRFLDYGVTLSFYKAPFLVDVDVVQGKRVLKLEEIDVNGDAWKNVDILSFNSGHWWTHEGSLQGWDYIELGGKYYQDMDRLAAMERGLKTWANWVDSKVDKSRTKVFFLGISPSHINPNEWTSGVTTASSKNCYGETGPISSTGTAYPGVFPEQMKVIDMVIREMNYPVYLLDITMLSAFRKDAHPSVYSGDLSPQQRANPIYSDCSHWCLPGLPDTWNELFYTTLFY >RHN76082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47547707:47551213:1 gene:gene12358 transcript:rna12358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-VIIa-2 family MGVCLSAQIKAESPFNTGFNSKNSNSAGNDLSSTNSKVSAASVPPTPRSEGEILQSSNLKSYTLAELKSATRNFRPDSVLGEGGFGSVFKGWIDENTLVAAKPGTGIVIAVKRLNQESFQGHREWLAEVNYLGQFSHPHLVRLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWSLRLKVALDAAKGLAFLHSDENKVIYRDFKTSNILLDSDYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTTKSDVYSFGVVLLEMLSGKRAVDKNRPSGQHSLVEWAKPYLANKRKVFSVLDSRLEGQYSSDESYRVATLALRCLSTESRYRPNMDEVVRILEQLKVPDVNVNRKRARRKSADDVTRVRTHKSCASAKTHTSYPRITLSPLYT >RHN42479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38677725:38679936:1 gene:gene48926 transcript:rna48926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MKPTLTFLWLLITTLVYHVLRSIFLTTFYWPLIINTLFISTLLTISLINYWLVPGGFAWRNYKQSTKLIGPMGWPILGTLPQMGSSAHTKLASLATSFKAKRLMALSLGATPVVISSHPETAREILFGSSFSDRPIKDSAGLLMFERAIGFAPSGTYWRKLRRIAAFNMFSPRRILGLESLRQRVADEMMLKVFKDMEEKGVVEVRGILQEGSLSNILESVFGSISNYNCLSLGGSEELGNMVKEGYELIAKFNLEDYFDFKFLDFYGVKRKCHKLAAKVTSVVGQIVEERKRSKELVIGDNDFLSTLLSLPKEERLGDSDMVAILWEMIFRGTDTVAILLEWTMARMVLHQDIQMKARQEIDICVGQNSHVRDSDIPNLPYLQAIVKEVLRLHPPGPLLSWARLAVHDVHVDKTLVPAGTTAMVNMWAISHDSSIWEDPLTFNPERFLKEDVSVMGSDLRLAPFGAGRRVCPGRALGLATVHLWLAQLLHNFVWLPAQVPVDLSESLKLSLEMKNPLKCSVVLRKSMTM >RHN70172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49339786:49340537:1 gene:gene18727 transcript:rna18727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MTFFKALVLCFFLAITMPLPTLATNHIVGDGLGWTVDSDYTTWASDKTFVVGDSLVFNYEAGWHTVDEVNESDYNSCTTRNSISTDGSGATTIPLKKAGTHYFICAVPVHCISGGMKLSVKVQDSSSSSSSSSSAAPSAAPSPSGKGSPSSDDTPAATTTTTTIPTIAAPSPSGKGLPSDDTPAATTTTTPTMQSASSATCISPIVAFFFIVSWILIN >RHN79492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29527275:29527880:-1 gene:gene3289 transcript:rna3289 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLEHIEEELKLCEDKNDTPEQVFQRVSGVLEAWQHARRSDSIAGAEGQMHNAVWQPPPASFLKCNVDAAVFMATKKLHASILARWRWMFARCNVRRVQGCHDTF >RHN69133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41265075:41269625:-1 gene:gene17563 transcript:rna17563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MGSVLVHTHVVTLCMLLLSLSSILVHGGVPTTLDGPFKPVTVPLDKSFRGNAVDIPDTDPLVQRNVEAFQPEQISLSLSTSHDSVWISWITGEFQIGENIEPLDPETVGSIVQYGRFGRSMNGQAVGYSLVYSQLYPFEGLQNYTSGIIHHVRLTGLKPNTLYQYQCGDPSLSAMSDVHYFRTMPVSGPKSYPSRIAVVGDLGLTYNTTSTVNHMISNHPDLILLVGDASYANMYLTNGTGSDCYSCSFSNTPIHETYQPRWDYWGRYMEPLISSVPVMVVEGNHEIEEQAENKTFVAYSSRFAFPSEESGSSSTLYYSFNAGGIHFIMLGSYISYDKSGDQYKWLEKDLASLDREVTPWLVATWHAPWYSTYKSHYREAECMRVNMEDLLYKYGVDIVFNGHVHAYERSNRVYNYTLDPCGPVYITVGDGGNREKMAITHADEPGNCPEPLTTPDKFMRGFCAFNFTSGPAAGKFCWDQQPDYSAFRESSFGHGILEVKNETHALWSWNRNQDYYGTAGDEIYIVRQPDKCPPVMPEEAHNT >RHN39966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11931632:11937140:-1 gene:gene46051 transcript:rna46051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MEEDGITAATVTGSSSEKKRFFVAVHVGAGYHSPSNHKPLRSAMNRACLAAASVLQHGSGLSLDAVVAAIQLLEDDPSTNAGRGSNLTENGSVECDASIMDGKSGVFGAVGAVPGVRNAIQIAALLAKEQTMGSPLLGRIPPIFLVGEGAREWAKSKDIGLPPSIAEANEWLITERAKTQWIKYKSMFEAARSKTVNSLSNGNSSPCQSTEMPDYALEDQVMDTVGVICVDNEGNVASGASSGGIALKVSGRVGLAAMYGSGCWASSKGPFGAPFMAGCCVSGAGENLMKGFAARECIVSISLSQSGAASACTKVLRSVSEDSSRCGTDSSAGILVVQSDTSIVGQGKSRLTAVEIAAAYTSLSFGVGYYGSSMERPKVLILRSTKQQSRTAIDQFGARIDLSNG >RHN70361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50873927:50874271:-1 gene:gene18931 transcript:rna18931 gene_biotype:protein_coding transcript_biotype:protein_coding MDISAKKPQEHDVRVVQSNQLPTSSSIAISQETERSTIHMQGLNKQQQQHIHFPSTYGSSGGNYSHFSGTITGSLSSLRPQLHHHDLHIRRIPDLNIGLNHLGVIRQSTFNDSK >RHN71515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:699555:700658:-1 gene:gene7124 transcript:rna7124 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDSSSSSLDSQNPGYEASNGHGVSDGHVTELRSLNCSLFFFFFFVVAGV >RHN78497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15686094:15690282:-1 gene:gene2071 transcript:rna2071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSSPRSIPTVDRISALPDNIICHTLSFLPTKQSAATSILSKRWYPLWHSVLTLDFDDQNFTDFATFSRFVYSVMLSRNITLPLQAFRLKCGSSSGFNPHDVNIFIEAAVQRGVENLDIDMFHRGYSFKLPLCVFSCSNLTVLKLKAMKMHELFHVNFPLLKTLHLEAIDIKDSNGRSLWILLYGCPILEELQTNGFLFRRKLKAGRDFNGLHKLVRANIMNLGCSVPFDLVRNAKFLRAKLNYPNYDYQVPTFPNLTHMEIAFDTYEWPGKWKLLTEVLQNCPKLQSLTIHEDYKYRQEIGIGDNNWVDLPIVSECLSSQLRTCSIIGYKGMKCELQFVEYILKNAKVLHTMKINASLVDINMKYQMLMKLSLCPRGSTTCVLSFD >RHN46722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34335929:34337980:-1 gene:gene41243 transcript:rna41243 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMALLRFTPSSGQSRALSFPSPLSPPAQLHNRTSIYLTNPSPHLPQRLKLSFAGGDGVGSGGSGRSGGGGGGGSENWGGANNDNDDGKSKDSSFGILGLFLNGWRSRVAADPQFPFKVLMEELVGVTACVLGDMASRPNFGLNELDFVFSTLVVGAILNFTLMYLLAPTLGASSASVPAIFASCPKSHMFEPGAFSLLDRLGTLVYKGTIFAAVGFGAGLAGTALSNGLIKMRKKMDPNFETPNKAPPTLLNSLTWAAHMGFSSNLRYQTLNGAEFVLEKALNPLAFKSSVLVLRVVNNVLGGMSFVVLARLTGAQSSGEKPSQLEDGLVEKVEREREEALENNNQTTPTK >RHN69118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41175497:41177881:-1 gene:gene17547 transcript:rna17547 gene_biotype:protein_coding transcript_biotype:protein_coding MTELKLQKVYKSFHTIRKLADYAILINQSWWKLLDFAELKRSSISFFDIEKHETAISRWSRARTRAAKVGKGLSKDVKARKLALLHWLEAIDPQHRYGHNLHFYYDKWLKSKSREPFFYWLDIGEGKEINLEKCPRAKLQQQCIKYLSPMGRLAYEVVVEDGRFLYKQSGEFLHTTKEGSRGKWIFVLSTSKNLYVGKKKKGSFQHSSFLAGGATSCAGRLVVEHGVLKAVWPHSGHYRPTEENFKEFISFLEENNVDLSNVKMAPVNDGVHSSEEDLTGNMSGLEDE >RHN56577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32012571:32012897:1 gene:gene32003 transcript:rna32003 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSVSDVTCPPSGGVTFSTASAWFIPEPHQTGEVYFDTTCNIPDCFQDCRLTPQTNRSLFSVFCPRSHAFRKAFKKVIHPKIVPSQARLTVEFLLFRLKQFISCIYR >RHN58548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2725382:2726235:-1 gene:gene20470 transcript:rna20470 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSYLQPSSHNQQGKEEIKHSSSSSSSSHEAEKKVTRQTRKLERLPSEEDINASADAFIKNFRQQLMLQRLQSIENYEKMLARGR >RHN71325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58250353:58250796:1 gene:gene19993 transcript:rna19993 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIENMIFNMSSLGTSFSQKRGGLSRYYSGKARSFVCMEDVHSVEDLKKPKHPDAKKRKKHSHRKEFINLNPYHCRRAPSCTQLTTSYVNA >RHN63737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53617999:53622115:1 gene:gene26477 transcript:rna26477 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSASSLLQKLKSFIKAPWEITGPVSHPEFKSALPGALDYRPYCPATTKEKAIIPTSDPETVYDIKYYTRDQRRNRPPIVRTIYKKADIEKLKKEATYNVEDFPPIYPNIIVEEDMNARGGGLCYHGITLITQSNFCLCQWEGLKSLSTDL >RHN75868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45715467:45718866:1 gene:gene12124 transcript:rna12124 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRDLMEKKQLNLNRPLLSVRRVTSKIASESDNKRKSTDNPLPYYKSELNSGPVRNAGAIPFQWEKTPGKPKDMSVIEEGIGSTVSNPQNTACLDKKVIKHESEEDGIEEKVNSDSDSDDGDESFQDACDTLSRTESFVTRCSMSVYDDHEAQVNPYGSFSGDEKARDFMIDRFLPAAKAIISENSQRASKKPVLIGQGQKKQPWKIGSAEKSSPLNQHRPKSLRHKEGGILESDGSQNFPTNTTACGLFPHFCLSNPMPGVRTENKVQNNAGCNSTASPIETKKEQHARPQLERGCGEPLARDSTQLETSYESPIVEKILYVDSIQKTKFQMNHKGDFSLDNSQRLDVVNVKAASEAKILQSLDLEHAVEDNDGKIDL >RHN39706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9773318:9773629:1 gene:gene45757 transcript:rna45757 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MFFLLDALCKIHQVDKAIALLTKMKDEGIQPDMCTYTILVDGLCKNGRLKDAQRVYRDLTIKGYHLDARMYTVMINGLCKEGFFDEALSLLSKMEDIGCTPHS >RHN73202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14343121:14355593:1 gene:gene8991 transcript:rna8991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoleucine--tRNA ligase METTFALFMQTSSYRMLSRNAFLTPMRMNSIGLYHSRGIPSAKVLSLSKFSNYCTHSKDDVSSSKRRSRGPVMAGKKAAEGIKQDESKYKHTVDLPKTGFGMRANSSAREPEIQKIWEDNQVFKRVADKNSGGNFILHDGPPYANGDLHIGHALNKILKDIINRYKLLQNYKVHFVPGWDCHGLPIELKVLQSLDKEARNNLTPLKLRAKAAKFAKDTVKTQMSSFKRFGVWADWNNPYLTLDPEYEAAQIEVFGQMALKGYIYRGRKPVHWSPSSRTALAEAELEYPEGHVSKSIYAIFRIASAPLMPSDLLQEFPNLCLAIWTTTPWTIPANAAVAVNSKLEYDVIEVEPLNEHDSSSGDTKKKRLGIVLKNEKKLFLIVASELVPTLEAKWGVKLIFKRRLLGSDLENYRYIHPVDNRECPVVVGGDYITTETGTGLVHTAPGHGQEDYVTGQKYGLPILSPVDDNGIFTEEAGQFSGLDVLGEGNTAVVKYLDENLSLIMEESYQHKYPYDWRTKKPTIFRATEQWFASVEGFREASMDAIGRVKWVPPQGENRISVMTSSRSDWCISRQRTWGVPIPVFYHTQSREPLMNEETIEHINAIIAQKGSDAWWYMTVEQLLPASYREKAAEYEKGTDTMDVWFDSGSSWAAVLRKRDSLGFPADLYLEGTDQHRGWFQSSLLTSIATTGKAPYSSVLTHGFVLDEKGLKMSKSVGNVVDPRSVIEGGKNQKEAPAYGADVLRLWVSSVDYTGDVMIGPQILRQISEVYRKLRGTLRYLLANLHDWKADYTVQYDELPRIDRHALFQLENIVKNIQGNYESYQFFKIFQILQRFVVVDLSSFYFDVAKDRLYVGGSTSYTRRSCQTVLAAHLLSIVRVIAPILPHLAEDVWQNLPFQYTTEYGSFAEFVFESRWPTLNERWLALPAEEIELWEKILELRTEVNRVLEVARTEKLVGASLDAKVHIYTSDSIMASKLSELCTNEIDADTLNNLFITSQAEILPSLEDEHVANIPYSGECLIQGNSKVWIGISRASGSKCERCWHYSHQVGSFSDHPTLCSRCYDVVAVQMPSGSEVAAVS >RHN74638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35384804:35385706:-1 gene:gene10742 transcript:rna10742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MESVSPYWSSLICFYGFGYDCVRDDYKIIRRLAYFPLSEEDLLYLNLLEDAQSEEKIFYDDVWEIYSLRCNTWEKLDVDLPLNSIEDILYSKEYILYTNDGICHWLSKNNDQLWLVSFDLSSYVYFTTNTPIPTTDPSFDYGMVARLVMLNGSIALISWYVGKTTFDILILGELGVSESWTKLFTIGPLPSYIQEPIGVGRNGDIFFQKKDKKIVCYDLSTRMVEEELGLEEAPSNRIIYKKEWFDKSNNRLVGFLVLN >RHN77882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10196088:10198000:1 gene:gene1384 transcript:rna1384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSLLTLRFVVVSVFRPILSHFQHLNPRFLCCFQNPRFYSQIHEQDEHSLVSSFNHMLHQNPIPPIFHFGVMLGSLVKANHYTTAVSLHRKMELNGVASNLITMSILINCFCHLGQNPLSFSVFAKILKMGYEPNVIILTTIIKGLCLKGEVREALHFHDKVVAQGFQLNQVSYGALINGLCKVGETRAALELLRRVDGKIVQPNVVMYTMIIDGMCKDKLVNDAFNLYSEMIAKRIFPDVVTYNNLISGFCVVGQLKDAIGLLHKMILENINPNVYTMSILVDAFCKDGKPKEAKAVVAVLMKNGVKPNVVTYNSLIDGYCLVKQVNKAEVILNTMAQRGVIADVQSYSIMINGFCKIKMVDEAIDLFKEMQGRQLVPDTITYSSLIDGLCKSGRISDAWELVSEMSDRGQQPNIITYNSLLNALCQNHHVDKAIALLRKFKTQGIQPTLCTYTILIKGLCMSGRLKDAQVVFQDLLIKGYNLDVYTYTAMIRGFCYEGLFDEALALLSKMKDNGCMPNAKTYEIIILSLFKKDENDMAEKLLREMIRRGLL >RHN49437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54798787:54802140:1 gene:gene44266 transcript:rna44266 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLNQRSTTPLERVVSQRALQMSNSFTCQICVVALFCGLCIVSLILAILGNFQFAHFSMLNLSFNSDLNTTITHCSFKPKQIERLTDLKSDQDERVSLLYSAWSCVLTEPTTERSKCLWKLGISESNLPNAPHLENCKAKSLLHNRLDTRIVNDSFPPWTSRKGLLNMHPLAVSEGTHPPWIAGSDEENYPLTRKVQRDIWVHQHPSNCSDPNVKFLLADWERLPGFGIGAQIAGMCGLLAIAINEGRVLVTNFYNRADHDGCSGPSRSSWNCYFFAETSVECRQRALELVKIEDALSKGILTTKENYTSKHIWAGPTPRQAFTRTTIIS >RHN61132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33321862:33324615:-1 gene:gene23565 transcript:rna23565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MTLNMRYLLFSLLLSLVSTFIITEGKEIINTAPLQIGSLNRNDFPEGFIFGTASSAYQYEGAASEGGRGASIWDTFTHRYPQKITDGNNGDVAVDSYHRYKEDVGIMKDMNLDAYRFSISWSRILPKGKLSGGINQEGIDYYNNLIDELVTNGLQPFVTLFHWDLPQTLEDEYGGFLSPLIIKDFQDYAELCFKTFGDRVKHWITLNEPWSYSQNGYANGAMAPGRCSSWFNPNCTGGDSGTEPYLVAHYQLLAHAAAVNVYKTKYQVSQKGVIGITLIINWSVPFSDNKQNKNAAERNTDFQFGWFMDPLVNGDYPKTMRALVRSRLPKFTKEQSKQVSGSFDFIGINYYSSCYVSDAPQLSNANSSYLTDSLISFSFARDGKPIGLNVASEWLYVYPRGIRDFLIYAKEKYNNPLIYITENGINEYDDPSLSVEESLLDIYRIDYHYRHLFYLREAIIAGVNVKGYFAWSLLDNFEWHRGYTVRFGMTFIDYKDGLKRYQKLSGLWFKNFLTLDTRIYRESI >RHN79959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33878832:33885116:-1 gene:gene3822 transcript:rna3822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-Extensin family MSTTSFFIFIFFAFIHFLLYSSQAKLVSMSVSFASSEPSKVWLVKSSSGTSSAHMPSQPFQAPSKTPGPKHPHHPRQYHRVKPYAVSPSPSEGQECGLSCRDPLTTTPFGSPCGCVFPLKVGLLLDVAPLVVFPVLRELEIELAFGTYLKQSQVRIMGVSADIQNQERTIVDIYLVPLGEKFSNTTVVLISRRFWHKKVPLNRSLFGDYTVLYTNYPGMPSSPPHGTITGSGPLPSGSAAGILPFTANFINKNEEMTLRTIIIISASSIILFLGLVGAFFIIFKLRKLRRPSGAVHPPFTSSLNKSSAMESMLSSRITSSSSMSHTSNLATSALSVKTFSLSELEKATNKFSSQRLLGEGGFGRVYHGRLDDGTDVAVKQLRRDIHQSGDREFIVEVEMLCRFHHRNLVKLIGICTEGHKRCMVYELIRNGSVESHLHGVDRINHPLDWEARKKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSHSIPTRVVGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPLGEENLVVWARPLLKSREGLEQLVDPTLAGTYDFDEMTKVAAVASMCVHLEVTKRPFMGEVVQALKLIFNDNDGMDRYSRKESSDQESDFRGDLSDSSWRCDEPEDITCRLGFRQPLASSFITMDYSSGPLEELENRHFVASGFVADDMSLASRLGNRSGPLRTSRRSNLSFYRFNGSQSDPAVLPSKRVRNEGYWP >RHN41644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31707401:31710154:1 gene:gene47986 transcript:rna47986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MDFSFPIITLFVHFLLFFALACPVYSLEISSHQLANQPFPSVQEIPKLKKTLTNRLRSINKHVVKTIQSSHDDIIDCVMSHKQPAFDHPLLKGQKPLIPPVRPRGNNQKDILSDNFQLWNLPDESCPIGTIPIRRTKKEDILRAKSLNMFGRKSNRFRRDAAEIGHVHSIGSVTGDRYHGAQATINVWAPHVENLDELSLAQMWIVSGTFGKDMNTIEAVQVVNRGLYGDNLPRLFIYWTADGYNQTGCYDLLCPGFVQTNKKIAIGSAISPTSTYNGGQYEITLFIYKDPRNGNWWLEYGLGNPIGYWPSSLFTNLKDNATNVQFGGEIVNTKSTGAYTSTQMGSGHFAEEGYGKASYFRNMQVVGSDDILMPLTNLQFTVEQPNCYNIQGRVDEKWGNHFYYGGPGRNMKCP >RHN42484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38760957:38761639:-1 gene:gene48931 transcript:rna48931 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFAYSKTAINLALQNIQNSEFPRIKDFPLKYAKVNIGTPSFVTMLSDDSDVHFTSKVLETKSINAGHFTSYFIIEPRDIVPSVEDFISFSDTDATEDFTCASSLLSTSPWDSYATKSVSLVHSDRTTSTAQAITHTTSQRIPLTSSEYWHGDLDQHVDSSMWISNSRL >RHN70901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54893498:54898003:-1 gene:gene19534 transcript:rna19534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP-dependent protease La (LON), substrate-binding domain, PUA-like protein MDEIEIEIEEGLEGAPEIEGFQIDQFLYEVEGEEEEEEFDHPFFHSPSTSPTSQDPPNNGIGIGSSDEFTFNTSIASLHTYLGDVEDTRHRTAFLDAGATFNLPLFSLQGVVLFPGATLPLRVTVPRFVAAIERALSQVDVPYTIGVVRVHRDTESFTMQAASTGTTAVIRQYGRLEDGSLNVVTRGQQRFHLRRSWNDVDGVPYGEIQIIEEDLPLRTPRGIFGKSASSSNMPCSHVKMHGLKNGQNNSDANPDEDFESELSPTERKAHLSAIGSSSASGMADVSANSSGVNFMHNSDQEIRSNLDLSIEKCSTSGKQSSKEELNRCYKNIQSYKISKAFWPHWVYRMYDSYWLAQRAADMWKQIVGVPSMDSLVKKPDVLSFHIASKIPVSESTRQDLLDIDGITYRLRREIELLDSIDLVRCRICQTIIAKRSDMLVMSNEGPLGAYVNPGGYVHEIMTLYKANGLSLVGHAVTEYSWFPGYAWTIAKCATCRTQMGWLFTTTNKKLRPDSFWGIRSCQVAEEIRRNL >RHN76510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50863975:50867112:1 gene:gene12850 transcript:rna12850 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLQLQLQLPASIGRVKSITCPPRARKATTFATLSQPRSDTVNWVEATSSFFDQDKRPIMLFDGVCNLCNGGVKFVRDNDRNKSIRYEALQSEAGKMLLRRSGRAPDDISSVVLVENNRSYIKSEAVLKIMEYIDLPFPQLAFLFNFMPLFVRDFVYDNVANNRYTVFGRSESCEI >RHN79365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28102160:28103286:1 gene:gene3147 transcript:rna3147 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNSNEELWLVVDGTGQAQLLESGEHEIMRRTDPSSTFVEELQDRILRYDATTTPLPFEFTALEVCLEAVCIVLKNETKTLEQDARPALDELDSNISGNSLVVVGELKIRLVDITARVQKLTDELDHLFLDANLAEIYLTKKQQMEENSSTTTTDATDELVLDMFEEYVVQINYTLHELSMLRKDVEYKEEYFNNVLVYQQERRAQKKFKLTIAYVAVTGCITVIGNLRNSVCNDGVFLFSVGICINVFIFLYMCVIVWSKHKCLLD >RHN53688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3370065:3370970:1 gene:gene28621 transcript:rna28621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MSSTCKLGENLCSNHKTNQPPSPPPPLQTRKCDINPGKSLLSEELRVQGRLAFPMVLMNLAWFAKTAITTAFLGRLGELRLAGGALGFTFANVTGFSVLNGLCGAMEPICGQAHGAKNVRLLHKTLLMTILLLLLLT >RHN67617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28707467:28709182:1 gene:gene15824 transcript:rna15824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MKMEATKEKNNVAIIFGVTGLVGRELAKKLVLESSWKVYGIARNNQETQPNILSSPNYNFISCDLLNPLETQKKLSSLQDVTHAFWVTWASQFPLDTQESCDQNKAMMSNALDSLLTNAKNLKHVSLQTGTKHYISLQAPFDEQKKLYYYNEEFPRVSRGSNFYYALEDLLMEKLNGKIHWSVHRPGLILGNSIRSFYNFMGSLCVYGSICKHLKIPFVFGGTKKCWEEPYIDGSDARLVADQHIWSTTKSAIVSNNGQAFNSINGPSFTWKEIWPIVGKKLGVIVPQEMFVENFWYSKAMIEKQQVWQEIVEENGLVQTKIENLASWEFLDALFRFPIKLMGSRDKVDDLGFGARYRTLNSILYWIDCMRDEKVIP >RHN46641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33615130:33621134:-1 gene:gene41158 transcript:rna41158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MADPASNGGLERDIEQALVVLKKGSQLIKYCRKAKPKVRPFRLSLDETTLIWISHKKERTLKLSSVSRIIPGQRTVVFRRYLQPEKDYLSFSLVYNNGERTLDLICKDKAEAEVWFAGLKALISTGRHSRLTRSESSYEGVDIIPNGRPFGAVLEISTSIARGRVSTDSLPCESSLYFANPDVGLDRTNMQGRTSVGDGFRISVSSTPSVSSLGSGPDDIESLGDVYIWGEVWADAVAPDGNGTQFPSTTDVLVPKPLESSVVLDVHQIASGVRHMALVTRQGEVFTWGEESGGRLGHGIDKDFGRPQLVEFLAVTNVESVACGENHTCAVSISDELFSWGDGKYNVGLLGHGTDVSHWVPKRINGPLEGLQVISIACGTWHSALATSNGKLFTFGDGTFGVLGHGNRESVAYPKEVQLLSGLKTITVACGVWHTAAIVEVTFQSGSNVSSRKLFTWGDGDKYRLGHGNKETYLQPTCVSTLIEYNFHQIACGHTMTIALTTSGHLFTMGGTEFGQLGNSLSDGKIPILVQDALVGEFVEEISCGAHHVAALTSRSELYTWGKGANGRLGHGDIDDRKSPTLVEALKERHVKNISCGSSFTSCICIHKWVSGVDQSTCTGCRQPFGFTRKRHNCYNCGLVHCHGCSSRKVMKAALAPTPGKPHRVCDSCYTKLKAVEANAASNLNRKVTITQPRSSIDGRDRYGQGETVRSTKILFPPFSEPLKYLEMRTNKLGNSSMLPTSQIPSIAQMKDMSFPSSTSSIQNGLKYPLAPNPPSTPPLNARSVSPYARRPSPPRSSSPGFSRSIIDSMKKTNELLNQQVSKLQNQNRSLKQKSTMEIQKLHKNIKDATSLAAEESSKHKATKEYFGSMIAQLKEMAEQLPPEVLEGEKFRNMVIHAENFLEENPKYETCSVASNLESEQQSEPVSNNGKLKGKIIEENNNEAAEVNPSQDVGNVFQENNGSSSSNTEARVASQSSENDSRTLNPSRSVREGNTQVVDQFEPGVYVTLIVRPDGKRLFKSVKFSKRKFREHQAEEWWTLNKDRVHARYSPQATNPENVASSSRTPPPANQEDVASSSSNPPPANQEDVASSSSNPPPAEENNEATPP >RHN52856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39592771:39593277:-1 gene:gene37580 transcript:rna37580 gene_biotype:protein_coding transcript_biotype:protein_coding METSRNSSLLVTFLLAFLIIASDICMESQAKSVTDEIVVCSSTKDCRDKNYPCPSPLVLDCVANVCTCIHLQPTFTNEIHAPKPSVTN >RHN49912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2251368:2255015:1 gene:gene34144 transcript:rna34144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MSHAACFSHDAKSDLQRNLADFHPCVWGDYFIQYDSESVELDQNISAQIDALKKEVRNMFNISKTEKPSEKINLIDSICRLGVSYHYENEIDEVMQYIHKSYVENGEITLQDNLCTLSVLFRLLRQQGFHVSPNVFNQFKDEQGNFSERLITDVEGMLSLYEASHMMVHGEEILEKALAFTSTHLESIVTQLSPFLAAQVKHSLKQALHRNFHRLEARRYISIYEKDPSHNETLLTLAKLDFNILQCLHRKEFGKICKWWKEIDIPKNLPYVRDRIVEMCFWVLAVYFEPQYSQARIKLTKLGALLSIIDDTYDAYGSIDELELFTKAIERWDISSMDGLPNYMKLIYISVLKVLEEVEEDMTKEGRLYTLKYYIKEFQMVVQAYVTEARWFNNNYVPTVEEYLQISKITCCHSLLTTSSYIGMGETATENIFKWVSNWPKIVDAISTICRLMDEIVTSEFERKRGHVCSLLDCYMKQYGMSREAAIQECKKEIAIAWKVVNSECLRPTKVPMPFLTRALNLARFMDVVYKDEDNYTRSEGLMKSYIKAVLVDPVPI >RHN59770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12920052:12923278:-1 gene:gene21885 transcript:rna21885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MKGVSEYMVLLVLVLQCFNMVVKVNSQKVPGMFVFGDSLVEVGNNNFLSTFAKSNFYPYGIDYNGRPTGRFSNGKSLIDFIGDMLGVPSPPPFLDPTSTENKLLNGVNYASGSGGILDDSGRHYGDRHSMSRQLQNFERTLNQYKKMMNETALSQFLAKSIVIVVTGSNDYINNYLRPEYYGTSRNYSVPQFGNLLLNTFGRQILALYSLGLRKFFLAGVGPLGCIPNQRANGFAPPGRCVDSVNQMVGTYNGGLRSMVEQFNRDHSDAKFVYGNTYGVFGDILNNPAAYAFSVIDRACCGLGRNRGQISCLPMQFPCANRAQYVFWDAFHPTQSATYVFAWRAVNGPQNDAYPINIQQLAQM >RHN82238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52212059:52213162:1 gene:gene6383 transcript:rna6383 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLYFFLVLLAIIHGIQAVDYSVTNNALSTPGGVRFRDQLGAQYATQTLDSATQFIWRVFQQNNPADRKNVQKVSLFVDDMDGVAYTSNNEIHLSARYVNSYGGDLRKEITGVLYHEMTHVWQWNGNGQANGGLIEGIADYVRLKANYAPSHWVKPGQGNKWDQGYDVTARFLDYCDTLRSGFVAELNKLMRNGYSDQFFVQLLGKTVNQLWTEYKAKYGNIA >RHN68434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35504847:35505104:-1 gene:gene16788 transcript:rna16788 gene_biotype:protein_coding transcript_biotype:protein_coding MITLLKEGLCDEVLGLYIWSYTEMIFQVVFIALLVLLFVTTFQWLYGWFPIIGGTWGLPF >RHN52618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37133725:37137640:-1 gene:gene37312 transcript:rna37312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA replication factor Cdt1 MKYILPKGIEIEKAVVVDKKSLCMKPDLKITLVFEVVDHFEQSADLAFTQYSNSRLINFFNLHLQVDENLGHSFQKINVDQHSLNALDNNPSSLVELVNVIDSIFDSVKRTSISMTKEELLQKIMMNCLDFVEIREAEEQIEILEKTVPDWLCKKVVSSGDTMYCVKNALDLDSVRLRLLSSVTKGD >RHN53129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42197923:42200089:-1 gene:gene37899 transcript:rna37899 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGYSDTDVAEYLYSSKPDIDSLTNYLCKDLSKACNTKPPPVPKDRTPGEPFVAKSSKEAEMEKLLKSMEGMPGAPGMKMYSRDDLMKKNFGAENEDDDEDEDEEEDEADFPSKLGKVLKSKENEKGDWKQKIRKGIVDTGTTLKKHATKVSNHIQRWWKGKKTTSSKKNSKSEL >RHN80075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34862697:34866337:1 gene:gene3951 transcript:rna3951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bacterial surface antigen (D15) MGARKTILAGKSKFDIGANFIQKLCDFYVPSPLRISSINPFSLASGSLCVKQRELFHNSDMLDISWHKGLYDSNILIGYRYPKPICLGQHSLTIQHLISPEVGIHGVPMNNFSQSVSQGLRLSKLSIGLDFNEPSTSNWSTSTGVYFKHNRFSNDSGRSISRDLDGFPLTCSGEPYDNMIVINQKTQFEDVNDHSFTHFSLQMEQGIPLQPNLLTFNRFKFFASKGVKLGPTVLSSWLSGGSIVGSIAPHQAFAIGGPNSVRGYGEGAVGSGQSYLASKTELAIPLNKKLEGVFFLDCGSDLNSSHRVPGNPGHRHGKPGSGYGIGCGIRFKTKLAQIKFDYAINAFHQRSLYFGISNLVL >RHN63578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52383490:52385448:1 gene:gene26296 transcript:rna26296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MFSYKMTEMWTTMGSTLASFMFIWAIIRQYCPYQLLRFFEKYSHRIMDYFYPYIRISFHEFLGDRLKRSDAYGAVEAYLSANTSKSAKRLKAEIGKDSTNLVLTMDEYERVTDDYKGVKVYWVCSKVMSQSRSMPYYQEQEKRFYKLTFHKKYRDTITGSYLDHVMKEGKEIRLRNRQRKLYTNSPGYKWPSYKQTMWSHIVFEHPATFETMAMEPQKKKEIIEDLVTFSKSKDFYARIGKAWKRGYLLFGPPGTGKSTMIAAMANLLGYDVYDLELTAVKDNTELRKLLIETTSKSIIVIEDIDCSLDLTGQRKKKGESKFFSDDENENKANFDAVRKEVKEEGSGSGSGGGNSKVTLSGLLNFIDGIWSACGGERLIVFTTNYVEKLDPALIRRGRMDKHIELSYCSFNGFKVLANNYLRVENHALFESIERLIGEVKITPADVAENLMPKSPMDDADKCLSNLIEALSDKKAEEVKKSSGLINEQDEEVEHFSPIKENGEVVGDEKEDDQAMKQIQR >RHN46300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30508710:30511213:1 gene:gene40780 transcript:rna40780 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKKEESSRDEGIVNKTDSTTHGGNKVLPITQEAPLSSSAERNDQCDNTITKDQVKGDRKKPMSRMKELLRWAAASAKTDKGGKFYGRKVLMFRRRGNLKAVQDDDQVSTESPKISFRWDMESCSTTSSAYSAFSMASSTRNGQNQTATSTISIPSSQSGHTTCRRKANWITTDSEFVVLEL >RHN46559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32769668:32772793:1 gene:gene41057 transcript:rna41057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MQTSFCCQWKKSLTFLILPHKPRPFILQFSSLSFQPSQHTISTILHTLCNSNRFEEAHQRFSLFLSSGSIPDHRTCNLLLAKLLRSKTPFQTWSLVKSLIQIKAGFVPSLVNYNRLMDHFCFIHRPFDAHRLFFDMKNRGHCPNVVSYTTLINGYCSVGGIRDAMKVFDEMLESGLEPNSMTYSVLIRGFLRGRDFESGRELMCKLWERMKMEDELSVNVAAFANLIDSLCKEGFFNEVFEIAELMPCGSSLPEQVVYGQMIDSFCKVGRYHGAARIVYLMRKRRFVPSDVSYNHIIHGLSKDGDCMRGYQLLEEGAEFGFSLCEHTYKVLVEALCRVLDVDKAREVLKLMLYKEGVDKTRIYNIYLRALCHVNNPTELLNVLVFMLESHCQTDVITLNTVINGFCKMGRFDEALKVLNDMLLGKFCAPDVVTFTTLISGLLDAEKVDEALDLFNRVMPENGLKPGVVTYNVLIRCLYKLKRPNDAFEVFNNMAGDGITPDSTTYTVIVEGLCECDQIEEAKSFWQSVIWPSGIHDNFVYAAILKGLCSSGKFNEACHFLYELVDSGISPNIYSYNILINCACNLGLKREVYQIVREMNKNGVAPDCVTWRILHKLQSKVTKHTPFEDPTLSTEGVDMDNKASQNRRKWNYVCTSSGKPLDFTR >RHN53964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5795140:5797137:1 gene:gene28939 transcript:rna28939 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKNVLSLALICIVFAGVGGQSPSSAPTTSPPTVTTPSAAPVAAPTKPKSPAPVASPKSSPPASSPTAATVTPAVSPAAPVPVAKSPAASSPVVAPVSTPPKPAPVSSPPAPVPVSSPPTPVPVSSPPTASTPAVTPSAEVPAAAPSKSKKKTKKGKKHSAPAPSPALEGPPAPPVGAPGPSLDASSPGPASAADESGAETIRCLQKVIGSLALGLASLVFMF >RHN61080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32813312:32814715:1 gene:gene23502 transcript:rna23502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-RSK-2 family MEPAPPPLELDLDNLKALKILGKGAMGTVFLVHNPTTTTTTTNTTLFALKVVDKTSIHAKLDAERRARWEIQVLSTLSHPFLPSILGTYESPQFLAWALPYCPGGDLNVLRYRQNDRVFSPSVVRFYLAEILCALDHLHSMGIVYRDLKPENVLIQHSGHVTLTDFDLSRKLNPRTFKTVVATPPPPLPDSKFPESSRKHRRNFSRWIPLLPTESSHNHNRLHALNLKKAKSARVSPVSRRKLSFSNGERSNSFVGTEEYVSPEVVRGDGHEFAVDWWALGILTYEMLYGTTPFKGKNRKETFKNVLMKSPEFVGKRTELTDLIEKLLEKDPKKRLGYSRGAVEIKEHAFFRGVRWEILTEVIRPPFLPARYDDAGEFSSEKLSNGTGGVDIKDYFQRMNSPSLPPSPSCKLRKNVSLKEF >RHN39831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10903476:10913253:1 gene:gene45905 transcript:rna45905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLCYTPVTDASFAKLKTIKVKMCTQMKTLFSFYMVKFLASLETIDVSECDSLEEIVVKEGQANFNKVEFNKLRSLTLQSLPLFTSFYTCVEMPSTEEQITKRDHIEITVAEDDHSVMDPLSLFGELIEIPNLESLKLSSIKSHRIWRDRPLSNFCFHNLIKLTVNDCYNLKYLCSLSVASNFKKLKGLFISDCGMMEKIFITEGNSIDKVCIFPKLEEIHLTKLNRLQDIWQVEVGADSFSSLISVHIEECNKLDKIFPSHMTGWFGSLDSLKVINCMSVEVIFEIKDFQQMDASRMDTNLQLIVVEQLLNLKQVWDRDPQGILRFKNLRNIKVLNCNQLGYVLPASVAKDLKRLEGISLNICDRMEEIVAWDDGPQTRLVFPEVTFMKLYGLPKVKRFYKEGHIECPKLKQLAVDFCEKLDMFTAETTNEERQAVFLAEKVISNLEFMEIGLKEALWLKSNKWKYRMDCMKELSLRLLESIELLYWFLDRMPNLERLNLLFPYTLEELVPSENIAPQERLGTVLQLKTLYLRSSVIKDLGFDRDPLLQRLEHLLLLDCHSLVTLAPSSLSLTHLTYLEVNSCRGLMNLMAISTAKSMAQLAKMKVIECSVQELVTNEGNEEDRVIEVVFSKLVFLELVRLENLTSFCSYKNCEFKFPSLEILIVRDCLKMETFTVGQTTAPKLQNIHTIGGEEEEKQYWEGDLNSTIQKVFKDKIFFRYTEKLNLYDHPELLEQVWHCSDLVHEYMFHNLTSLVVSQCNNLVHVIPSHLLPCFDNLEELHVTRCSAVKVIFNINDARATKAMGTFRLKKLFFSDLPILEHVWDKDPEGNFCLQVLQEMNVFECDTLKYLFPASVAKDLTRLELLRVTRCKELVEIFSEDEIPAEGATKELMFPSLTSLYIIKLPALKYFYRGLHKLEWPMLKELHAYRCNLVILECQEDHPEEQALVPVEKIPSMKHLSFGIGDTEVTWNPKSCKLQFEKLECFQQESDSVLYRFLGMLPIIRKLEFRYGLLEELFSAERPNADYTRFLLHLKEIELNKIFNLNSIGLEHSWLHPILENLQTLEVRRCYRLINLVPDMVSFSSLTYLDVSICSGMLYLFTSSTAKSLCRLKVMKIESCESMQEILSTEGDESGEDKKLIFEDLQTLFLKDLSKLRCFYPGKFSLCFPSLEKVSLIQCIWMKTFSPVNMIDPTKLSSGVTFIKDKTPQWEGDLNATIRKRFEEEISGYAPKGTVVSLFDEPVLQDVWHGLPVPQSCFGNLEELIVDGCQFLSEVLPSNLLPFLTKMEKLAVRNCSSVKTIFDVRRITEDRKMKTKGPALMPFPFSLKVLTLEQLPNLENVWNEDPHGILTIELLKQVYVDDCKGLISLFPASVAKDLVKLEDLHVKHCEELMVIVAENNAYPKGTILESFASHEVDASDEVEKIIFEELQALYLKDLHELKCFYSGNFTLCFPSLEHVFVINCHKMETFCPGTINAHKLLEVKFQDISDAVPLEIDLNSTIQKEFLAQADPNNSVRP >RHN70400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51187200:51189068:-1 gene:gene18977 transcript:rna18977 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQLRESRPKSNITNIKIKRVYKGLLKNVTPKSKTKLRASRQKQARGRGRSRKESHCLSSALHLLLLLMATLLFQV >RHN75491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42676182:42677950:-1 gene:gene11709 transcript:rna11709 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPATGYPAPPPYNNHNGAAPQYPYAAPPQYYNPPPPRSYASRSFFRAFFATMICLAVIFGVILVITWLVLRPSLPTFTLTTLSLSSFNTSSQSLTGTWRLTFNVRNDCG >RHN70932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55147545:55150029:-1 gene:gene19567 transcript:rna19567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha-1 chain MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGAYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESGDGDDVDGDEDY >RHN82011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50562781:50563125:-1 gene:gene6127 transcript:rna6127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MALRQFEEMTFGSRGIRLSYVTLVSILSACGRAGVVLRGMQIFESMRLNYAIEPGTGHYACVVGLLGSVC >RHN46232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29849970:29850432:-1 gene:gene40687 transcript:rna40687 gene_biotype:protein_coding transcript_biotype:protein_coding MIWIREIYIEEALCDISLSINVMILSTFKKMSGLMEKHTYIVVGLADIFSVKPHGKVKGGISQVDRLKFKVYFLILEIEDK >RHN63075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48153478:48156365:-1 gene:gene25732 transcript:rna25732 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKYIIASLVGSFGIAWVCDYYVSDKKIFGGTVCATASNPAWQEETDKKFNAWPRTAGPPVVMNPISHQNFIVKSRLES >RHN45504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21632669:21633088:-1 gene:gene39855 transcript:rna39855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MLFIVFYFRWTGLFCPCVQYGRNIEAINDDIPWTNGCVCHAICVEGGMALAVATAFFNGIDPETSFLIAEGLFFSWWMCGIYTGLFRQSLQKKYHLKVIFIKASFDTTTVSS >RHN59300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9212756:9221069:-1 gene:gene21305 transcript:rna21305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionyl aminopeptidase MADESDVSVNKLSCANCSKPANLQCPKCIELKLPREGSAFCSQECFKSSWSSHKSVHLKAKVSAVGTQNSDSLGEGWLYCVKRGQGRTPKLPYFDWTGALRPYPISGKRIVPAHIAIPDWADDGIPKDEPNSYLQHTVEIKTPDQIERMRETCRIGREVLDAAARIIQPGVTTDEIDRVVHEATIAAGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNDTYFVGNVDEESRQLVKCTYECLDKAISIVKPGVRFREIGEVINRHASMSGFSVVKSYCGHGIGELFHCAPNIPHYGRNKAVGVMKAGQTFTIEPMINAGVWRDRLWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLTGRLPTSPNVYPWLNS >RHN62442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43463645:43464412:1 gene:gene25020 transcript:rna25020 gene_biotype:protein_coding transcript_biotype:protein_coding METINKVLNLMNFRVVELFELYTPSNLKGEIDFHYFCSIRKVIFSSIEGHCMVFALYSGKAVIVSNIEENTYTMLEDDGGETHCFDDMILYKGQLYVVDKMGTIFWFHALSFKLVQFSPKNLYCCEENGHIRVNACENKKKLVEYDGSLYVVDLYINDERYYKWGYYLKDVFVEVYKLDQEWGKWLKVKDLGDVSFVLSKDSNFALLAQDYYGFEGNCIYFYFDHKASCFNLKNLETKVADIFWPCPTLFHPVTN >RHN60131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21437800:21443238:1 gene:gene22365 transcript:rna22365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ELMO domain-containing protein MVGSRSWIGGFFHRTNTKQNDKFVDYPLTPLEEERLQRLQERLDVPYDETSLDHQESLRALWNCAFPNVTLKGLISEQWKDMGWQGANPSTDFRGCGIISLENLLFFARKYPASFHRLLLKKDGDRATWEYPFAAAGINISFMLIQMLDLCSEGKPRCLPGMNFVKLLGEDEDAFDDLYCIAFEMVDAQWLAMHASYMNFNEVLQATRKQLERELSLEDINKIQDLPAYNLLYQ >RHN47642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41202340:41207760:-1 gene:gene42264 transcript:rna42264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MDHNLGQESVPADKSRVLNVKPLRTLVPVFPSPSNPSSSSNPQGGAPFVAVSPAGPFPAGVAPFYPFFVSPESQRLSEQHAPNPTPQRATPISAAVPINSFKTPTAATNGDVGSSRRKSRTRRGQLTEEEGYDNTEVIDVDAETGGGSSKRKKRAKGRRASGAATDGSGVAAVDVDLDAVAHDILQSINPMVFDVINHPDGSRDSVTYTLMIYEVLRRKLGQIEESTKDLHTGAKRPDLKAGNVMMTKGVRSNSKKRIGIVPGVEIGDIFFFRFEMCLVGLHSPSMAGIDYLTSKASQEEEPLAVSIVSSGGYEDDTGDGDVLIYSGQGGVNREKGASDQKLERGNLALEKSMHRGNDVRVIRGLKDVMHPSGKVYVYDGIYKIQDSWVEKAKSGFNVFKYKLARVRGQPEAYTIWKSIQQWTDKAAPRTGVILPDLTSGAEKVPVCLVNDVDNEKGPAYFTYIPTLKNLRGVAPVESSFGCSCIGGCQPGNRNCPCIQKNGGYLPYTAAGLVADLKSVIHECGPSCQCPPTCRNRISQAGLKFRLEVFRTSNKGWGLRSWDAIRAGTFICEYAGEVIDNARAEMLGAENEDEYIFDSTRIYQQLEVFPANIEAPKIPSPLYITAKNEGNVARFMNHSCSPNVLWRPIVRENKNEPDLHIAFFAIRHIPPMMELTYDYGINLPLQAGQRKKNCLCGSVKCRGYFC >RHN67126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24118100:24118821:1 gene:gene15273 transcript:rna15273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRRKNTMQTLKFIYAFIIFISLFLAITNGNQFPCKIDVDCLIKDCFRPFKPMCIVLNCECVLEY >RHN40985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25400498:25408055:1 gene:gene47248 transcript:rna47248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative universal stress protein A MQNPNNPAYESDPQLPQIRIHHPSSPRHQSAATPTPTAGARRKIGVAVDLSDESAYAVRWAVQHYIRPGDAVILLHVSPTNVLFGADWGSIDLSINTDPNSEEEAINIATNNTEISSTPKRKLEEDYDAFTATKSADLAKPLKEAQIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGAVRRGSDGKLGSVSDYCVHHCVCPVVVVRYPDDKDAAEAVVAVKEGDEGEAVIKPVGSVAHEHKKED >RHN78505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15764519:15773306:1 gene:gene2080 transcript:rna2080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative post-transcriptional gene silencing PAZ-Argonaute family protein MPLRQMKESSEQHLVIKPHQQNSMSQAKKVTKAVQNGKGPPLVSQEQQNQTSPPQVKSGGRRRSRNGRKSDQVDVLMRPSCRPCTVVKSNENGHVVENGNTVSSDVEMSFPTSSKSLSFAQRPGYGQAGTKCIVKANHFFAELPDKDLNQYDVTITPEVSSKAVNRSIIAELVRLYKESDLGMRLPAYDGRKSLYTAGVLPFSWREFKIKLIEEEDGINGPKREREYKVVIKFVARANLHHLGQFLAGKRADAPQEAIQILDIVLRELASKRFCPIGRSFYSPDIRAPQRLGEGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVVEFVGQLLGKDVLSRQLSDADRIKIKKSLRGVKVEVTHRGSVRRKYRVSGITSQPTRELVFPVDENSTMKSVVEYFQEMYGFTIKHTHLPCLQVGGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPRDRENDILRTVQHNAYDQDPYAKEFGIKVSEKLASVEARILPAPWLKYHESGKEKNCLPQVGQWNMMNKKMINGMTVSRWACINFSRGVQDSVARTFCNELAQMCQVSGMEFNLEPVIPIYNAKPEQVEKALKHVYHVSSNKTKGKELELLLVILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKITKQYLANVSLKINVKMGGRNTVLVDAVSCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQPQRQELIQDLYKTWHDPVRGVVSGGMIRDLLVSFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRNSTDRSGNILPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYTEPDLQETGSTGGRGSKTTRAAGDCGVKPLPALKENVKRVMFYC >RHN70431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51400510:51402151:-1 gene:gene19010 transcript:rna19010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin activation peptide MGKCKVAILLLWVFFALCTTILELKVEARAASSFLEKEIEAKLKLLNKPAVKSIKSEDGDIIDCINIYKQPAFDHPALINHTIQVGKFYDTPNNLSALRIPDFLLESQSSSTRGATNASTDVFQTWQKSGSCPKETVPIRRIRKEDLLRAVSLNRFGQKPPEPSVNSATNTKLNFSNLDYVNLENRSVHITTIIYIL >RHN51947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28927078:28928070:-1 gene:gene36524 transcript:rna36524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MHYIYNFFLYMNYFVDFQQQKLLTWVERFSIIGGIVRGLLYLHEHSRLKVIHRDLKPSNILLDENMIPKISDFGLARIIEISQDEGSTDRIVGTFIYMSPEYVMFGQFSENSDIYSFGVMLLEIIAEKKNKSSFTPHHVADGLLNHVWRRWMEETPLSILDPNIEEDYSTNEVIKCIQIGLLCVQNDPDARPSIVTVASYLSIYAVELPTPPEPAFFLHGRGIQTFLHKNLVLLNLPIVLHYFQTIKCRQVNFMKRI >RHN41430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29950692:29951509:1 gene:gene47748 transcript:rna47748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MGIHNNPKGTKEIVIDECPLLKDEKIEVEIEHGDDGSNSNKNNESAASFAGSVFNLSTTIIGAGIMALPAAMKVLGLTIGIASIIFLALLTHTSLDILMRFSRVAKAQSYGDVMGYAFGSLGRLLFQISVLFNNFGILVVYIIIIGDVLSGTTSSEVITSVYLKDGLANTGRLGVLLFFLLQPLWYLLH >RHN48258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46134369:46137385:-1 gene:gene42956 transcript:rna42956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEVVEEKGQDNDYTQDGTVSLQGKPVLRSKTGRWKACSFIVGYEMFERMAYFGIASNLVVYLTNQHHEGTVESSNNVSNWAGSVWMMPLVGAYVADAYLGRYWTFVVASCIYLLGMCLLTLAVSLPSLSPPPCTEGIVGENCLKATPLQKGVFFLALYTISLGNGGTKANISTLGADQFDEFDTKERFYKLSFFNWWFSSILIGMLFSSTILVYIQDNVGWGLGYGLPTIGLAVSIITFFVGTPFYRHRFPSGSPITRMLQVFVAAMRKWKTHVPNDPKLLHELSIEEYACNARNKIEHTSFLRILDKAAVTTGQTSSWMLCTVTQVEETKQMIKLIPILIITIIPSTMVMQSFTLFIKQGTTLDRRMGPHFAIPAASLLAVIVIFMLISIVVYDRAFVPVIRSYTKNPRGITILQRLGVGLTLHVIVMLIASLVERKRLNVARENNLLGLHDTLPLTIFALFPQFALAGVADSFVEIAKMEFFYDQAPEGMKSLGASLSTTSLGLGGFVSAFILSTVADITQRHGHKGWILNNLNISRLDYYYAFMVVLSLLNLICFIFVAKFYVYNDVRQNNPSLEKNPAPSQNNSKINCQLLGEI >RHN44060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1369606:1372072:1 gene:gene38114 transcript:rna38114 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSLNFSHLHHANTRECYSNSTFNANVDFVYHIYPSKGPNYVLGMSKMCWVKSRILVVITPQLNGPNYLS >RHN51939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28797236:28800474:-1 gene:gene36514 transcript:rna36514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSGLQNLEQLSFRRCQELITFDDSIGLLGKLKILKIKYCDQLKYIPLLKLPSLEELTLKGLSGIKSLSSMLNESLDKLKFLKVCHCHYLEYIPPLKLTSLETLTLSALFRIKSLSRIFDESLDKLKILKVDGCGEINTILNLKIVSLEELYLSNIYIIKSLSPMLDGSLDKLKILEVFECGNLQTIPSRKLPSLEELTLNCLSSTKSLSPMLDESLDKLKILKVVDCRKLQTIPSLKLPSLEELTLSGLDSIESLSFLLDESLDKLKVLSIRHCYKLWSFPHVMLPSLEILDLSYCDSLESFPRIISRSMTKLKILRLNGCKKLRNIHAINVASLDEFYHSGCESVKFTTSD >RHN61902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39224242:39225127:-1 gene:gene24415 transcript:rna24415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MKLQIDAVNLLSLSCRCNPTIEFIPVNLTSVVDASIDLGGLFPPYDLYAAQCVVELLSGLSNVKSLKLSAATLQCFYPRKDTLNLLSTFYNLTHLGVFSSHPENTSEVLMDILRKTPKLEVLEIPGVVHNYLGGEDLMLNSVPCCFKSSLNRLCIFNFYGDEYEIKFLTFMLKNCQYLGDIKIHSSRHLTADAEKLDDVRNQLEDLGPESCVI >RHN77562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7732778:7734019:-1 gene:gene1029 transcript:rna1029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain, rmlC-like jelly roll MANLSYLPPVLALSLLVVFAADASTLQDYCVTILGNSVCKDPKLVEANDFFFSVLHIAGNTTNPTGSRVTPVFAAQLPGLNTLGISMARVDIAPWGINPPHSHPRATEIFTVLEGTLEVGFITSNPENRHFRKVLQKGDVFVFPIGLIHYQRNIGNAHVVAIAALSSQNPGGITIGNAMFGATPEIASEVLVKAFQLDKTIINYLQSKF >RHN61063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32627566:32632971:-1 gene:gene23476 transcript:rna23476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSWNPHMEVHYNNVSYPYNTAGSFIEYFEGLTYEHVNFIFSGASHAQESQYPANSNFYKFGLSEPENTSYYRYNHGYEVNHHEPLVDEYRRPSENSSTISEPTLVVSPEWGEDGNTDTQDNSFECPRRHHSNSNDSQVIWQDNIDPDNMTYEELLELGEAVGTQSRGLTQEQISLLPVSKFKCGFFFRKKSRNERCVICQMEYKRGDKRITLPCKHLYHASCGNKWLTINKACPICYTEVFADKSKQK >RHN62653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44972628:44976819:-1 gene:gene25249 transcript:rna25249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TIFY family MEMLNSSENGSMAMHCTNVAYFSDEGEEPQPITASVPLSRASELTISFEGEVYVFPSVTPEKVQAVLLLLDGKETRNSVPTSDFPVQQNCRDIWGKNDPFRNSKVSRRSASLVRFREKRKERCFEKKIRYTCRKEVAERMQRKNGQFASLKEECSSPAENQDFSNGSPFPESIERRCQHCGIAAKSTPVMRRGPAGPRTLCNACGLMWANKGTLRDLGKAGRIAFEQTELDFSTTDPENSCAAQDKKESPHATKPLPMDARQSPEMIIEQYMLEAAEAVTDNLSIQVENNALDLHEQDNTMADFVDASGTEFEIPLCFDDQVNIDDSNMRTYWL >RHN81521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46688691:46697876:-1 gene:gene5580 transcript:rna5580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Taxilin family MQNPEANQLPEVDSLPDGFVEGTAEPVAPATPTPEQEKPISDYKEDGFIDCGHSNELSNMPGEKEFQNNHDCSVEAHITALLASSVSESPPSRSSDVKEQQQGECQSSDKSKQPLETKALPVKDACASGMVDSSKNKKSETGEKRKGSKRALKSEKELLEFSLKYQQVLAERDAAFAVRDKLESLCRELQRQNKMLMEECKRVSTEGQNLRMDLSAKFQDAIKDVSIRLEERKDDCLSQLKENDMLRNNLKQLAEQYELSEQQYAQKLKQKSLELQIAELKIKQHEERSAQEQSQMKLYAEQVSQLLATEKNLRLQLTTDGEKFQQFQEALTKSNDVFETFKQEIEKMAKSMKELKKENQFLKSKSEKSDVTLIELVDERERLKKQLEKTKNQKEKLESLCRSLQAERKQILSENKSNNSSNSAPI >RHN39946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11873566:11875252:-1 gene:gene46030 transcript:rna46030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MELFEKAQECLKKAEGRILGRDKVPFHYLLSLYGSVGNKDEVYRVWNNYKSMFPSIPNLGYHAIISSLVRMDDIEGAEKLYEEWVSVRPSDDSRIGNLLISWYLKKGKSDKVFSFFKHMSEGGGCPNSTTWELLSEGHIAEKRVSEALSCLEKAFMTSDSKSWKPKPIKLAAFLKLCQDEDDMESAKVLIELLRKPGYHNDEAYAALISKDEPSNRTERIDDVDSENMDDDDSQVLFSQVDSSF >RHN46695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34131172:34133832:-1 gene:gene41214 transcript:rna41214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MENENLAHGGRGKVVDELLRGRELANKLRNILNESGDIYNNDGSTIPPFAEDLLKEVLTTFTNSLLFLNNNPTSEGSDMQLTKSEDSLESNCKSSIVKERRGCYKRRKISQTWEKESEQPEEDGHQWRKYGQKKILHTDFPRNYYRCTHKNDQGCKAIKQVQRIQEDPPLHRTTYSGHHTCRILQNPEIIVDYPTDHSSMFLSFDNSFPTPAKQDCPFLSVKKEECKEEVVHPPPSSNDYLSGLTFDDPEKDVTLSSTLDSHDHLGVHISDIMYDDVLNWPLS >RHN58083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43616001:43616456:1 gene:gene33690 transcript:rna33690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSSNTISLKTSDGAISEASPTLTKNMKTVQTIIGEADADVSIIPLLNVSSSHINKIIEYQTLSDDGKEKEFSVEELNNDEVKEFLLAVHYLNMESLFELLTGVVADRIKNKNVGYVREYFGVENDFTPKEEAEVRQRNSWTFKGDEVEPDE >RHN75270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40816856:40818493:-1 gene:gene11446 transcript:rna11446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MITGTSQADCAVLVIDSTTRGLRAGWSKNGQTWEHALLAFTLGVRQMICCCNKMDAITPKYSKDRYELIVKGISPALMRIGYNTDKIPFVPISGYKGDNIIERSTNLDWYEGPTLLEALDQIDEPKRPSGKPLRLPLQHVYKIGGVGTVPVGRVETGVLKPGMVLTFAPTGLQSGVKSVQMHHENISEALPGDIVGLHLTNKKLSDKNLRRGYVASDSKYEPAMEAAKFTSRVIVFNHPSKITKGYTPVLDCHASHTAVKFAKLVTMFDRESGVELEKKPMSLKNGDAAVVKMIPMKPMVVEGINEYPSLGRFAVRDMRQTVAVGIIMVSLLKDDVAMKEADSSLNITSKKRKFEILPLSGSGLVIGNDGRLHRKNIMCSVMSTNDKGKTSGNTPVNQLIAADPVDSIAARLHSIELHEPADRNINPTTTTTTSAANDEDM >RHN43287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44643447:44648379:-1 gene:gene49835 transcript:rna49835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MNINREKKQCQTIKASTILPPLSLISIPITMLPYLFVKLLVYAKNLVHTESMERKVVLITGAASGIGEELAYEYAKRGARLSLIDIRKENLVTVADMARSLGSPDVTIIGADVSKVEDSKRFIDETMKHFGRLDHLVNNAGVSGIPILIEDIHDLTKYNPIMDTNFWGAVHGTLYAIPHLKNSKGRIIVVASGCGWFPLPRLSIYNVNIDISSFQFYFNLLFNLFMSQNNSLTLWYQASKAATISFFETLRIELGWSIGITIVTPGFIKTNMALKAYEEEASLQWIPLGSANECAKDIVKSACRGDMYVTNPSWLKAVFPSKLLFPELVDWAERHIFGLWQKPSCKNGDLRMSKNNQALKTE >RHN74458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33593509:33594649:-1 gene:gene10534 transcript:rna10534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAPTNQKVSLHVPEDVVFSIFSKLPLKSVNRFTCVSKSWTTLFENSYFMNMFSKYMVSKYHLSYDEACLLLNCQWRKLYLLSGERFENKVQLNWPDPFNRSYSYTYIFGSAINGTLCINNENHSRIVLWNPATDESNIVPANKVRCYILSFIGIDAYLNGVCHWWGETECETYVVSFNLSNEVPVTTLLPSDLHDLKWVYRYLAVLNEHVAMISNYAKTTSSLYTSISILGEPGVKESWIKLFDIGPLSCMEHPIGVGKKGNIFIRKDDDNELACLDLTTGVMENIGAKAGAFRSQIVLYDKNILPIKGMNN >RHN40681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19394258:19396580:1 gene:gene46869 transcript:rna46869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MALQVLYVSLFFSFVLLALLVQKVGKKLKKTDSTFNLPKGPRKLPIIGNIHNLLSSQPHRKLSDLAKKYGPVMHLQLGEISTIVISSPDYAREVMKTHDINFATRPQILAVEIMTYNSTNIIFAPYGNYWRQVRKICTFELLSLKRVNSYQPIREDVLSDLVKWIASENGSPINLTKALLSSIYTIVSRAAFGNKCKDQEKFISVVKQTIRIAGGFDLGDLFPSAKWLQQVTGLRPKLEMLHRQTDQILENIINEHKEARYGKLKEDEGEEEDLLDVLLKYEDGSNKEFSLTKDNIKAIIMDIFGAGGDTSATTIDWAMAEMIREPRIMMKAQAEVREVFTLNGKVDENYISKLNYLKSVVKETLRLHPPAPLLLPRECGKACEINGYNIPFRSKVIINAWAIARDPNFWTEPERFYPERFTDSTIDYKGGNFEYIPFGAGRRICPGSIFGLRNVELALAMLLYHFDWKLPCGIKSEELDMTEEFGVTMRRKDDLSLFPSVYHPLNFT >RHN72813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10921851:10933337:-1 gene:gene8573 transcript:rna8573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation protein, beta-barrel MFQEHTQLSSICGAAVSATAVFFFKISSTTAATTTTTMGRFSRSSFLSSSSFQQLRRQFSSESQSVKRVRNIWISGLIDPEKVTQRERSLLYEGDIEEMHRVRRRNEMYHKEIEESSRKEYIQSKWNKNIRAGVTFFNWKHHKINIIHTPGRVDDFTVEVETALRAFDGGAIDVLCGVNGVQSHSIAVDKEMIRYQLPRLIFINNLDHKGANPWQVLNQERSKLQHHCAAIQVPIGLEDDLKGLVDLVHLKAYYFHGSNGEKVFVEEVPAHMEASVSEKRQELIETVSEVDDKLAEALSSDRLISGAELEEAVRRVTIARKFIPVFMGGYAFKYKGLQLLLDGVTNYLPCPTEVSNYSLDKSKNGEKDILVALAFTLKERVGQITYLRIYEGVIRKGDFITDLNFGKTFEVPHLIGLRNDEVELQVPRSIEVRKDDMGVPYLIGVRNYDKTGESQEVQEAHAGEIVMVHDVNNASGDTFTDGLVRYTRTSIDVSV >RHN68832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38922300:38923884:-1 gene:gene17242 transcript:rna17242 gene_biotype:protein_coding transcript_biotype:protein_coding MNYCVLHQPYGNCTLRATPNENGMFEQSQIISILHELRETKHEVHDMKITLSPC >RHN46369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31059241:31071101:-1 gene:gene40851 transcript:rna40851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKSLLCVFLLVLVLVEGCWKQEREALIALSWVNIGTDCCEWVGIECNTTTGRVTKIKLQSYNTGSLNYSDFAIFKDLTTLDLSGSGISNCTRTDQGLNNLEVLDLGFNLFYNAISILSCLDGLSSLKSLSLADTSVMVSFHDFQTVLETIPSKLLHLEVLDISYNNLSNEILPSLRGFKSLKELHLSVIGLDSDLHIQGLCAILKNLEILDLSNNNFKETDIASALSGLSSLKSLSLGWSQLTMRSIHNISKLRSLEILDLSSNNMNLWTLENHGFEFAWPSSLQVLSLSHNSLSNNILSHLNDISKLRSLEILDLSWNNLGNNIFSSLNGLPRLKSLDLSYNNLNGSLDISGLSNLTSLKILDFTSNQLVDLIVREGSKNLSRLDILNLDSNMINGSNLQQWLWAFPSIRNLTLRNNQFKGTILDGDWSKLKKLEELDLSGNEFVGKLPSSFFNMTSLLTLNLSNNHFIGNIGPNLASFTSLEYLNFEGNQFEFPISFTQFSNHSNLKFIYGNGNKVILDSHSTMKTWVPKFQLQVLQLSSITEFNSIPLPNFLLYQYNLTYVDFTGCKLRGEFPNWLLENNTKMENLILQNCSFVGNFQLPSHPPLNMATIDVSYNAITGQMLSNNISSIFPNLVHLNMSRNAIHGSIPYELCHLSSLRVLDLSDNELSGEIPNNLSGDGSQLIDLTYLLLGGNSLSGSIPSNLFNLYSIKGLDLSNNNFTGKISNQIKNSSSLIELSMSNNHLEGSIPSEVGELESLTFLDLSQNNFSGCVPSFVNIFPTVIHLGNNKLSCLSKNMFGRNLVLSFPLLTLDLSSNEISNGIHDLIHDLRDTGLKFLLMKGNNFTGNIPKQLCHLTDLDILDLSYNNFIGEIPSCLGKMLFENEDPDGTVFYEAIYGVDRIYNRFGKERENFTSKKRLETYTVSILIYMSGIDLSHNKLNGSIPYELGNLTRIRALNLSNNLLTGKVPATFSNLVQVESLDLSFNMLSGQIPPQLSGLHYLEVFSVAHNNLSGATPEWKGQLSTFDESSYEGNQFLCGPPLPKSCNPSEQAPATLPNGLNNDGDNDIWVDMYVFRVSFVVAYTSIVLVIPIVLYINPYWRQAWFYYIGLVCMNCYYFIVDNLYKFFY >RHN39631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8876688:8882180:-1 gene:gene45672 transcript:rna45672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MNEEIELIRKMNEIEEKKKKEDGVFRWEMFLPRKNVTVLLVESDRATRRLITSLLNNCHYKVIAVSNGSKAWEMMKMKAIDVDLVLTEMELPAISGFALLSLIMEHEIGRNIPVIMMSSRDSRSTVMKCMCRGAADFLIKPVRKNELTNLWQHVWRKHVISRPLQNTTSAQSNLKIATEDNFPRSQSTDSASVASSQKNNECSEKLSKSQSTCAMPFSDAKNLYMDNMQKPCQMKSSVKLRNIDVLKHAESNKIERGSTKQNDETGDSRLEQDCSTAEIEPKCEIFKAESSRENPDIDTEIRECSNELIEPSSRAVDLISTFGNLHKRTKEIHVTNGDKETKFDFEKELELSLRSDFSGSSCKQASETTEEWQRLNHSNASAFSRYDGSKMLQQLLQNSNWNSNKSQELSVVTAGNCFQYAGSIKMENMTTAVMAQYEQLGLSADNVFHHMLTPKSNCQKESSPFPSSSSSQSNPESHNSEHDHNCCYDANYSFHNQNLTEKTDLDHAVHDSPSAGQGFGNDFCHASNHINSRGNVGEAISNAVTKNSRTSSDGRRYNHSNYDYDCDDDDYEFRLSDSHRSRQREAALTKFRLKRKERCFEKKVRYQSRKKQAEQRLRVKGQFVRKVQNDDHPNVDSGDQ >RHN42116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35499401:35500940:-1 gene:gene48515 transcript:rna48515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TUBBY family MENVAPDVTPVEPIQQGQWESLLLDLIRRVEEIETPRPARAVEPQQCERITFPISVKQPGPRDHPMQCFIRRNKMRESSLQTFQLYLGLGPCKSNFWVNKFFVYDNQPPDDAAVQPNCRSSGIFNNKVSPSPKNCRSTYGRIAPTCSRLVSTISKDYSPRRIHCIMNSIPVSAIHKGGSAPTLTSLPLVSDETFSPSPTLKGKTQMRDSSSASQSELPGLNFKGRVTVASVKNFQFVAAVDPSHNVSPEEQERVILQFGKIGTDIFTMDYSYPLSAFQAFAICLASAFSAP >RHN55471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18759540:18765910:-1 gene:gene30655 transcript:rna30655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MRKNGWQLPYHPLQVVAIAVFLALGFAFYVFFAPFVGKKIYQYIVTGLYTPLITCVFGLYIWCAAADPADPGVFKSKKYLKIPDSKKLSGFKDSKLGGGSNSSVNDGNASTIGPKSMDKEAFGTEASLKDASISIEKKSASPPSPSCFLWLFSPCAYICSCASSHEHSSDLQASEDGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCIGKKNYRNFFTLMVAALLLLILQWLTGIAVLICCFLKRKEFSVDVSSKLGSSFSLVPFVIVVAVCTILAMVATLPLVQLFFFHILLIKKGISTYDYIIALREQDQQGVGGQQSPQMSPVSSITGLSSASSFSTFRRGQWCTPPRMFVDDQFDVVPPETASVSSLGKKTIREEPVKKKNTGAVKISPWTLARLNAEEVSRAAAEARKKSKILQPVVRHNNEPFRLEADHNSGSSGRRMAPRRPGKRIRLPADLPMEALTNYSSGNIDKGFNGMSSLASLQLEARRSQVVSSSGGIVASSPESSLDSPDIHPFCVPPAEGESTRRVAAGLSVAGPATLKEFPLSRSTSDGYDASGGEDSDRVPTRIVNVHRSATNWSNLLFNADHDERGYEPKSSSSLAHNRKL >RHN47858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43074289:43076764:-1 gene:gene42505 transcript:rna42505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MAEHFAKQGKEYADSRPSYPPQLFQFIASKTPSHDLAWDVATGTGQAAKSLSTLYKNVIATDASEKQLEFATKLPNVRYQHTPSTMSMTELEQMVSPQGTIDLVTIAQALHWLDLSTFYKQVNWVLKKPNGVLAIWCYTSPRVNDAVGALHDKLYSFDAKPYWDSRRELLEDNYRNIDFPFDPVEGVDHTGPFEFEAETVMDLDDFLNYIRSRSAYQSSKNKGVELLTDDVIEKFKLAWGEDGKKIAKFQVYLRIGRVRDA >RHN82476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54087914:54095965:1 gene:gene6645 transcript:rna6645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription elongation factor Spt5 MTNKGKAPAVKGKAPAGKGSSGKRKNNFDDDKTGKRKNRGVVQFFEDEAADVDSDDSEFSDFSDESYEDEFETLSTTRNESAKGSSSVPRVPKQELVDEEEFDRLMEERVNSRFFRFAEDGDEFEDKPMEDNSSLHHALKESIPTIWRVKCTVGRERLSAICLMQKFVHLKGLDTKLQLISAFAVDHVKGFIYVEAERQNDINQACYGITGIYATRVQPVPRNEVFHLFSVKIKKPEISEGMWGRVKGGNYKGDLAQVVAVNNTHKKVTVKLVPRIDLFALAAKFGGGCRQKAAVPAPRLISSTELEEFRPLIQIKHDRETGKVFQVLDGMLLKDGFLYKKVSPDSLSFWGVVPTEEELLKFGSSENNDSNDMEWLSNIYGDTKKKRVTIADKGDGKGEGSSASGAGNDFELYALVRFRKKDFGVIISTDKDGTYKILKESSEGPVAVTVQRNEIKSALCDLKLSAQDLHRKTIVVNDNVKVLDGPSQGKQGIVRHIYQGIVFLYDGNEEENGGYVTAKAIMCEKVKLAVSDFSAIGKDSEPGPLVFDDQPSSPRSPLSPKKPWQAKEKDFNRGDSDSLFAIGQTLRIRIGPLKGYLCRVTGIRRSDVTVKLDSQQKFLTVKSEHLSVVQGKSTAVSASGDPDSSSLNPFDLLGAEGSSGGWLNNSAGTSTGSGGWNAGETSGERSAWGNPSAPSSVPGSTSDPLSSEGNAEDNAWGTKSTSTATPAWGAAVNTGTTSETDQSGGWGTKSTSTATPAWGAAVNTGTTSEPDQSGSWGTKSTSTPTPAWGAAANAGTTSEPDQSGSWGTKSTSTPTPAWGAAANTETTSEPDKSGGWGTKSTSTPTPAWGAAVNTITTSEPVQSGGWGTKSTSTPTPAWGAAVNIETTSEPDQSGGWGTKSTSTPTPAWGAAVNTGTTSEPDQSGGWGKGGGSSGQSEPNDNQNTAWGTKSTSTPKPSWGAAVNTGTTSEPDQSGGWGKVGGSSGQTELNDNQNTAWGTKSSSTPAPAWGATVNTGTTSEPDQSGGWGTKSTSTPKPSWGAAVNTGTTSEPDQSSGWGAKSTSDVNNSNWNSGDSNKTSNSGKNSNWNNSSGGAWNENKTSNWSSGGASEGTNWRSNEGPNETSDDGGGGRGGYRGRGGSDSGGYRGRGFRGRVERGGFGGRGEQGGFGGRGRSDGEGFGGRWGSDRGSGGGRGRGRNDRPGGWSNSRDSGEDGSSDWKKGSDNVEGWKSNNGSGSWNQNSSDKKDGGNWTSASGGASGSWNSDRPGQVAEAKDGAGWAKGTDSGAGWAKGTDSASDKGQSSWNGSAADGAAGSWGKKNDGGSKGGW >RHN65764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5124797:5129333:1 gene:gene13651 transcript:rna13651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sensitivity To Red Light Reduced-like, SRR1 MASSAKTLTNSNCTTDEDWTVVLPRRGRQKRKAPEVKILEEKQEPWAPTDSQTDPGRETALMQKMERHISKIENSQFYQTFRDQVETSVLDYIPKVLGSETTMQMVIYGIGSIELYEPPCLQLSIAMLMKRDFNWIGSIEVFDPIISVTESHVLEALGCSVMSINEHGKREALKPTMFFMPHCEAELYCNLLRANWKPNLLKNMVLFGNSFEAYEQHVSLCKTSPVMYLVGHILAARSFTNEVKIETVSDDYYNAFHDSSWHFFSPVHETELQLINS >RHN40898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23927959:23932992:1 gene:gene47142 transcript:rna47142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MEESSKRRKTENENEDKIDRLSPLPDTLLCHILSFLPTKTFVSTMTLVSRRYRHLWKHLQSFNFYYDYNPILGANSENFKRFAVFVNAVLTQRHSIDVRKMRLSCGYFKSQPEDPHAYLYDSWVRSGARFTEMSVDAWIRIAAGPLLEEMNLALFTSEDHGFRLPLAVLSCPKLLSLSLCGEIVVELAQSSAISLPSLKTLKLDIGNVDVNSVDILLSSCPILETLELAFSPISLAKLRVPSTLKSLKLTVENDIGACLEIDAPDLKYLSLTRITFANDAAVGNLHNVKEAYLDVFSTPENENESVDPLLILLQALTGIKRLVLRCYTAKTLVDGQPIINFSNIHFPEFHHLLHLELILPTFDTFLYGVLQKCPMLQALIIQNDKDTSPNESDLEVKPSTVPNCLVSHLSYMHIKGYQGDSSEMEFASYVLQNGLVLKKMIISGVLLDQKKKWEKYRCLSKFSNMPRGSAVCQVTFD >RHN40829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21949911:21950296:1 gene:gene47050 transcript:rna47050 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIHGEIDVGGERHISDPVKRVEWVGKLCSDLHSLVASLEQETRKSKRASELLLAQLNEVQERNDSFQEELPKVTDELVDLRRKRDWAEAAKLEALSHPDFCCYC >RHN75034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38879168:38879945:-1 gene:gene11182 transcript:rna11182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MHTLHLFNLRGFNARETVSLLGGHNIGKIGCDFVQQRLYDFQGTGQPDPSIPLDFLSQMRLNCPDNSKNNISSNGTFSTFTISKKMNVHHSSSDKGMSYMQALSSAVPSGASFDTHYYQSLLRGRGLLFADQQLMAQEKTARLAAYASDDGSTFRMDFARVMLKLSNLDVLTGNQGQVRLNCSHLVSS >RHN74609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35110620:35112890:-1 gene:gene10704 transcript:rna10704 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLFTFKLNKMIVISIFLSSSAKMLFEIGEEIPSLIFTFFPSLNDSIPFALLKDSPNP >RHN80223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36253290:36260011:-1 gene:gene4122 transcript:rna4122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein MAPSSPPSPPITDNSDPERRLREAEDRLRDAIEELQRRQRRAAAHAFQNLHHHNNLDSPPCDHGPDESCIAHAIGNLCQTFLLSYGVRVGIGILLRAFKLARRQSYSSLLDLKQLVSEKDLMVREEACRIGLLFGGFTGSYHALRCLFRKLRKKETPVNAILAGSVAGFSILALNDSNRRRTLALYLLARLAQCAYNSAKSKNKFHLWGSHWRHGDSLLFSLSCAQVMYAFVMRPESLPKSYQDFIQKTGPVAAPVYRAVRDSCRGHPVDVASLHAFLSRRGKSDYVKLEEFPSIIPCSIIHAGTNSCLAHEVNATSATFKKTFPLYFSLTFVPFVVLHLQKFTAAPFRTFWFAVKGAVRSTAFLSAFVGIFQAVICLHRKVASKDHKLVYWIAGGISALSVLLEKKARRGELALYVLPRSVDSLWYILVNRHLLPKIRNAEVFLFSLCMGGIMYYLEYEPETMAPFLRGLIRRFLASRISNPSPPPSNQTASYTYLQALDGIATPKLQERRDAESSEKYNLESIPGL >RHN76284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49135296:49136968:-1 gene:gene12583 transcript:rna12583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MAFRFLQFVLHFSKPKHPLPKLHQRFSSLQNSSNLDERLILHQISQLLPIPTSKTPDSQSDSKSIDGFLSPEDKLRGIFLQKLKGKAAIEQALSNVCIDVNVDIIGKVLNFGNLGGEAMVMFFNWALKQPMVPRDVGSYHVIVKALGRRKFFVFMMQVLDEMRLNGIKADLLMLSIVIDSFVNAGHVSKAIQLFGNLDDLGLCRDTEVLNVLLSCLCRRCHVGAAASVFNSMKGKVSFNVDTYNVVVGGWSKLGRVNEIEKVMKEMEVEGFSPDFNTLAFFLEGLGRAGRMDEAVEVFGSMKEKDTAIYNAMIFNFISIGDFDGFMKYYNGMLSDNCEPNIHTYSRMITAFLRTRKVADALLMFDEMLRQGVVPPTGTITSFIKQLCSYGPPYAAMMIYKKTRKLECKISMEAYKILLMRLSKFGKCGSLLSVWQEMQECGYSSDVEVYEYIISGLYNIGQLENAVLVMEEALRKGFCPSRLVYSKLSNKLLASNLTERAYRLFLKIKHARSLKNARSYWRDNGWHF >RHN47524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40297049:40297479:-1 gene:gene42137 transcript:rna42137 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSVHWKVSSSTNDNDHIRRENDCNLSHFVITCFTLFFFKYFSLINIKNHGIHIYV >RHN46766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34689559:34690914:1 gene:gene41290 transcript:rna41290 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGIWLNCGDEKLKCCLWFWLTFWFMLVCFLLVFMMYGGEEGDRPRPKINFKNDLTIIVDLRTHNRRA >RHN53697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3426790:3427330:-1 gene:gene28630 transcript:rna28630 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVCDNNSGCKRMGNFKVSLVGPDLRPLGGVVANKLIAASSVKVTVGSFTLDVKKASSNNLKIGPSSVPSSQIAASGTPIGATLQGPSYESSGDNQNSPFSQRLGSYNNASQPFPTTAMYQKSLARQIQ >RHN72398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7310163:7311561:1 gene:gene8104 transcript:rna8104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MFVSFSLFCVGDHRPHVNVGTIGHVDHGKTTLTAAITKVLAGEGKAKAIAFDDIDKAPEEKARGITIATAHVEYETEKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAADGPMPQTKEHILLARQVGVPSLVCFLNKVDVVDDPDLLELVEMELRELLTFYKFPGDEIPIVRGSALHALQGTNYELGKKAILELMDAVDEYISEPVRQLDKPFLMPIEDIFSIQVSRNIIP >RHN71689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1930784:1932589:-1 gene:gene7315 transcript:rna7315 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFDIHIQILIFFSSSFMVSSSSLASFEVAEKRIYDIEGHDLDGDGAPLPTPKSLLKEPFVKMFLIFEKKSRFLQAANADLKWKFWDAGDAFGRSRFVKIDCKGLDPGDYKKLGSKHRNDFACVKVLIWVFYGYWFAKICVIHGVYACWLRKCHMRASNGYLFLRALFLSSSGTLTKS >RHN52873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39789414:39792731:1 gene:gene37605 transcript:rna37605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Lambda-DB family MFTMPTRTVGTIKQDWEPVVLHKTKPKAQDLRNPKAVNQALRTGAEVLTVKKPTAGSNKKATAGPVLNARKLDEAAEPAALERVGGEVRHAIQKARLDKKMSQGDLAKLINERVQVVQEYENGSI >RHN76287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49150812:49157186:1 gene:gene12586 transcript:rna12586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MSRYDSRSSDPTSYRDRRSDSGLAAPSPSPSPSIKNEGASASPARKINLDGLPHFEKNFYSESPSVRAMTEAEVTEYRLRREITVEGKDVPKPVKSFSDAAFPDYVLEEVKKAGFVEPTPIQSQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILDPGDGPIVLVLAPTRELAVQIQQEATKFGASSRIKSTCIYGGVPKGPQVRDLQKGVEIIIATPGRLIDMLESNHTNLRRVTYLVLDEADRMLDMGFDPQIRKIVSQIRPDRQTLYWSATWPKEVEQLARQFLYNPYKVIIGSEDLKANHAIKQYVDIVPEKQKYDKLVKLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKELISILEEAGQRVSSELAAMGRGAPPPPSGGFRDRGKSFGSGRPWS >RHN61982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39745986:39747801:-1 gene:gene24502 transcript:rna24502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGMVYANMESLSLNYAASSSSQDCYISKEGATTNWSLPFMRECYIERNFEENNNSDDGGEGDGSDSGGDVGENSEKINLNEDNPNENSYVSGKEVDTGHSKLCARGHWRPAEDSKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFSDEEEERLMQAHRIYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRRLNQSVYRRMEENTSFVSRDIVTATGMEPVPPPPYYINLPNGTLGYNMASFPYSTFHGVVDGGVECGLNGSTHLTSSKEAISSDKQAPTPIGLFAQQSPLDFFSGGRRNEYYSQMRSWDRPSDLHENQPTPTPSGFYHEYPQYMMPMQQQNNNFYSFTSNISTTAATTLGSEVSLSSVAAAAENHRDQVLMSNPLLQMQFHLLTSLE >RHN81639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47740219:47745640:-1 gene:gene5710 transcript:rna5710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase with an alcohol group as acceptor MAIKTIELLKGSASQEELMEVITAVASDLGDVIDDVNTLQVIPLKGAMTNEVFQINWPTKNDGDLRKVLVRLYGEGVEIFFNRDEEIRTFECISKHGQGPRLLARFTTGRVEEFIHARTLSAIDLRDSEISSLVASKMREFHKLHMPGTKKAHIWQRMRNWVGEAKSLCSPKETKKFGLDNLDDEINILEKELCEGYQEIGFCHNDLQYGNIMMDEETRSITLIDYEYASYNPIAYDLANHFCEMAADYHSDTPHFLDYSKYPELEERQRFIRVYLSSEGKKPSNAKVNQLMTAVEKYTLANHLFWGLWGLISSYVNKIDFDYKEYARQRFQQYWLRKPTLLDSSTIVAQTETVNGSLPLASCV >RHN73625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18331212:18334740:-1 gene:gene9461 transcript:rna9461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MISLFSKVNKSLRSDTSSSSMDEKVDWSQLPKELWPKIGKYLDNHIDVLRFRSVCESFRSSIPLSLPNSPSFPLQISPNNYLNQSTIYLIQPTDASSNSTLPPSSSSKGWLIKVQESKNQSLSLLSPITDKILSNPLSSNNTSLMIWNMLDYRVIELCKSYKIEKITGFSNPVKKVVFYPNSPWSSVEDCVSCCIFQEDIVGFIKHGDEKWKLVDENNFYYDDIIVFKGQFYVTDKWGTISWIDISSLKLIQFSPPLCGFGNKKHLVESCGNLYVVDRYSYYEDENMGGNYDGRRHQARYEVVECFKVYKLDEEWGKWVDVKDLKNRAFILSPSCNFSVSAKELIGYQGNCIYFKDSFSVRMYNLDDCRITTVEFNPCIDNTLWCHAPRQRC >RHN82188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51815209:51815989:-1 gene:gene6328 transcript:rna6328 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPYLIHAIKKQKPHHHSFNRSFSHSGSVNRSYHMLLESDSLTGSSHRRTRSDFQQPTTEFLEHRFGVDGSLVSPRGITVTALPPTTANAVASYATQPPSKNFNNVRKHK >RHN50583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8282644:8285303:1 gene:gene34886 transcript:rna34886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MEKQKEDQLPSNSGRNAKWWYSTFHNVTAMVGAGVLGLPFSMAALGWGPGLAILVLSWIITLYTLWQMVEMHEMVPGKRLDTYNELGQHAFGEKLGLWIVMPQQLVVQVGSDIVYMVTGGASLQKFHNTVCPSCKPIKLSFFIMIFASAHFVLSHLPNLNSISGVSLVAAVMSMSYSTIAWAGSIHKGVLENVQYSSKATTTAGSVFDFFNALGAVAFAFAGHSVVLEIQATIPSTPEKPSKGPMWKGVVVAYIIVALCYFPVAIIGYWIFGNGVKDNILVSLENPAWLIAMANFFVVLHVIGSYQVFAMPVFDLIENKWLVEKLNFKSSKMLRFVVRNVYVALTMFIAITFPFFGGLLGFFGGFAFAPATYYLPCIVWLKLYKPKRFSLSWWINWICVVLGVCIMVLAPIGALRSIILEAKTYQFYS >RHN44089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1547537:1551103:1 gene:gene38148 transcript:rna38148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLCFVILKNHGFSRRFTSIFTFKRKSLCYYSSSTQLQPISQTMVQRVCSLVCESYNQHAHMRVSSQRLHFGIEVDFLTHEQAVSVVASLASDAGSMVTLSFFHWAIGYPKFRHFMRLYIVCAMSLIGNRNSEKACEVMRCMVESFSEVGRLKEAVEMVIEMHNQGLVPNTRTLNWIIKVTSEMGLVEYAELLFEEMCVRGVQPDSISYRVMVVMYCKIGNILEADKWLSVMLERGFVVDNATFTLIISRFSGKGYTTRALWYFRRLVDMGLEPNLINFTCMIEGLCKRGSIKQAFEMLEEMVGKGWKPNVYTHTSLIDGLCKKGWTEKAFRLFLKLVRSENHKPNVLTYTAMISGYCREDKLNRAEMLLSRMKEQGLVPNTNTYTTLIDGHCKAGNFERAYDLMNLMSSEGFSPNLCTYNAIVNGLCKRGRVQEAYKMLEDGFQNGLKPDKFTYNILMSEHCKQENIRQALALFNKMLKIGIQPDIHSYTTLIAVFCRENRMKESEMFFEEAVRIGIIPTNKTYTSMICGYCREGNLTLAMKFFHRLSDHGCAPDSITYGAIISGLCKQSKRDEARSLYDSMIEKGLVPCEVTRITLAYEYCKVDDCLSAMVILERLEKKLWIRTATTLVRKLCSEKKVGMAALFFNKLLDMDLHVNRVILAAFMTACYETNNYALVSDLSARIHKENRFEIKVTK >RHN70630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52862151:52862652:-1 gene:gene19236 transcript:rna19236 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHRMREEKNIRQVFHLIFGWLKILLLVAFLERRKRNRGDTEEAGSLGVYISLMPPRDVYET >RHN78713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17753792:17754704:-1 gene:gene2357 transcript:rna2357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MYVRQKISFINHKFIKTYSISYIERGENMTEIVKFVNLMIILLSVFIVAMNVDAFEICGSNSDCLWEKCLPPNKHWCNMIETIMFEGFAMGKCECI >RHN72193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5641824:5643472:1 gene:gene7877 transcript:rna7877 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLWGFCYSAGQECRSNICFHKATSKLPEMASKAGGLKKIKLIDHKNKSVI >RHN72213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5839695:5840952:-1 gene:gene7899 transcript:rna7899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MHFSIVNCHCSSSAPSLYSNIIIIMFQIVLIVTIILSSSSSLNLSSPITSSSSSSSSSSFFNLTKILYSSHTFFKAASEFHSLGIDSEIDTRYPTTVFVSDNKAFVDATVSKRYKSLSDNNKYFVLKCHVSREYFVIVAKRVISFGNRSICECFVIRNKYRGWITSVNITVNAERMKLRGCFKESVRGVKNFG >RHN43835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48848914:48851189:1 gene:gene50465 transcript:rna50465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription repressor PLATZ family MVGKDQSPKERNRDWIRALMNSSSGYCDDHRDLRSNEKNTFCVDCAVRFCRHCKEAHSIHRRFQIYRYSYQDVFRHSELQKHFDCSNIQTYISNKDRIVHLKPRPPIYKSKSGDQCPESKSKESNLSARFKSGGSTCEECGKHLQDEHSRWCSIICKIGEPQSQGPNHHTERSLVDSFNQSGRCIITPKTEAIDFTMSDNLNSEPESSISEAEPCGRVEVVNFRKRPRKTTPERPVFVFVS >RHN72626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9245732:9246157:1 gene:gene8369 transcript:rna8369 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNHVSLLLLLVIIMCFNNSTFGSRASISLPTEPPPEKILLLSVENDLPENSGELYFHIINEKPRNVLKRGAPVVFFSNFVPRQAEMTWVRQQPLHATFNLYDPNVEGDNKKIYWSAREDGVYHSLDNVNWNKNTNWST >RHN50301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5949304:5953669:1 gene:gene34573 transcript:rna34573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDICPIKNQCQSNPPFTPVLIPDELIVEILSFLSVKTIARFKCVNKSWNTLISNPTFTEMHLKKSSQNPHFILTSTRNHPVKHVVSLPVHCLLENVSVTIAGDTCHNSKEESWQVVGSCNGLLCLLFDSIFGIHTKYFFCLWNPATRTMSDELGLFLDYESLLGPFKFSFGCDYLTGTYKVVALNTESNEEKETETEGLWRSKVRVFSLGDFCWREIQSFPLVPLIGNDGVHLSGTVNWLAIHGDYVGLSDGETVQASIPHVQQFVIASLDLSTETYNRLLLPKDFNEVPCVEPSLRVLMDCLCFSHDFKRTEFVIWLMKEFGVQESWTQLFRFEYVNLQMQNIPIKDDWDLLGYMTCDTPLLPLYLSKNGDTLILTNDKDKRVIIYNRRDKRVERIGISNKISWFSAIDYIESLVSTHWKSGDIEEEGDEEGDEEEEEEAHSL >RHN82399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53416465:53420136:1 gene:gene6562 transcript:rna6562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MFSQHLFLFSCLLIKQLLLSSCICPSSITCGFLGEIEFPFTSTQHPDCGMLVIHGCEDYEPQSKKTIQNNKRWFDILKIEPFTITIKDDQLHDLLLQKSCDILTYDSMFMVNTPLVSSRLEYYVTVFGCNSNNSLDLQQYYSVSNSSSICREDTTTLYGVSDSDIKSNKTIVVVTDSVSNSNHLKGCSNVELPTYSKVDRLEPADLFKVLSGEISIKLQVSQNCSSCHDLYGGQCLLDNNGQFYCKQEKSKKTRLKVTAVASSVGVLVALIFLAWFLRRHYFNNKNPPYQIIELFLKNHGHLAAKRYTYAEIKKATNSFKYKLGQGGYGSVYKGKLQDGSLVAVKVLSESEGNGEEFINEVASISVTSHVNIVGLLGFYLEGSKRALIYDYMPNGSLEKFIYENKDPLKLNLQLSCKTVYNIAIGVARGLEYLHKGCNTKILHFDIKPHNILLDDDFCPKVSDFGLAKVCPRKESIISLLGARGTAGYIAPEVFSRNFGGVSHKSDVYSYGMMVLEMVGGKQNNNVVEVELSSDIYFPQWIYKRLELNQEPSLRSIKNEFDKNIVQKMIIVSLWCIQTDPSHRPAMSKVVDMMEGSLESLQIPPKPCLFTPPSSPSRSSEYNTHTSQDLYSSSTFHTTDCEPLIIPP >RHN76090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47589757:47593332:1 gene:gene12366 transcript:rna12366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative XS domain-containing protein MYKKSEQARESDLEYYKRRYFKDLKDDYYKDKLKISDSTYRCPFCDNKDYYSLSELLRHASRIVGDSGEAVKDVAKHSALEMYIESYGDVIVGKDNLTAIISIDNDKTAVGSVSDKSVNLSIANEKSLIMNDAEGHLGNVNVEDDELFVWPWMVILKNIVTHIDPKSGKYVGKNHKKIKEELIMNGFHPLKVTALWNFRGQTAFAVVQFGREWDGFHNAMKLERSFQAEHCGKRDYLALREQERGDRLFGWMARRDDYNFNDIVGKHLREKGDLKTVSGKEAEDNRKARKLLSGLANTLKLKTEELEQTASKYDEVNVSLRKAMDQKEKMLEHFNKEISKMRQVEREYQEKVSKDHEKARLELEARRNELISREKDLQKREVDNHNEKAKLYFEKQHNEMAIEEQQKADEKMMYLAEEHKAHFSFSYYLQFLIQLHKEKEKLHKKIHDLERGLDAKQALELEIERLKGAFQVMNHIGETDIDEKKKLEAIRMELQEKEEELEGVEDLQQTLVVQERKTNDELQDARKKLIAWIGCPKTSARVIISVKRMGELDIKPFQEAAKRKISDEMNEKAVTKREFSEKVQMKAIEWCSKWDDCVKDPSWHPFKVVTDREGNSKEILDGEDKKLKSLKDEFGDEVHDAVATALKELNEYNPSGRYPIPELWNFREGRKASLKEGVSHLIKQWRLNKGKKAY >RHN67913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31352030:31352718:-1 gene:gene16166 transcript:rna16166 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKVDVDCIISYEARTLLGLGMIQCQTRVGIRHRHNTYDYIKLYRFLKLLSVLTYWCLVVSGVRVCVRA >RHN38543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:52570:56579:-1 gene:gene44495 transcript:rna44495 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSHVFCCSFICVLALANSHENKQQPMNAQDISYKRTKLCEGLFVCGCRCMLCCAVWFVHVIFFSVLLLQFQFCLWCFCLAVYDRLCLFNFTICLIVVACLNP >RHN41331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29210623:29211154:1 gene:gene47641 transcript:rna47641 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAIVNFFARSLVCFSRPEFEMLPLAPTMSLQNLDDKEVSIFYVVSKGLRK >RHN56251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29285551:29285955:-1 gene:gene31622 transcript:rna31622 gene_biotype:protein_coding transcript_biotype:protein_coding MTETQSDDQQSNKLPDMHIVGLWSDAVTDLDYNQDPQPWYELSSSNVMVSKDVLNPNIANDLEILWPYLKDNNACASEPQVYTDEEERELAINYLKNHFAIKEEPFIEVSKSKKKIVQKGFQIHNTRSKGRPPH >RHN71106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56511525:56511978:-1 gene:gene19749 transcript:rna19749 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 1 MIIDTTEVQDINSFSGMESFKEVYGILWILQLYFVLGITIIVLAIVWLEREIFAGIQHRIGPEYAGPFGILQALADGTKLLFKENLIPSRGDIRLFSIGPSISVISILISYSVIPFGYNFVLSDFNIGVFYGLLF >RHN48490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47898478:47899073:-1 gene:gene43210 transcript:rna43210 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRLGLGLPIPIGPHKGTGAVDRFHIAEPKGSTSVRDRSMSSRPRCIEKYLCTISGSLIRKIELGWGSTM >RHN62466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43630885:43631713:-1 gene:gene25046 transcript:rna25046 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCENEIWRLLDIISRLIMKAHNTTQVRQLTEHEKAKMGNIFKSIPAIAPIYAITKN >RHN58600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3122052:3126746:-1 gene:gene20524 transcript:rna20524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-severing ATPase MAGGGGGGSGGSLAGLQDHVKLARDYALEGLYDTSIIFFDGAIAQINRHLNSVDDPLIRAKWMNVKKALSEETEVVKQLDADKRAFKDNPIGRRPPSPPISTKSSFVFQPLDEYPTSSNSSFDDPDVWRPPSRDTSRRPGRGGQVGTRKSNQDSKWARGATTKTTSTTGRGAKAGGATSRTNSGARGSTPVKKGGASGKSSNTDAVNGDGEDGKSNKRIYEGPDPELAEMLERDVLETSPGVRWDDVAGLTEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVSNSATNEDGSRKLVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKELIRINLKTVEVAADVNIDEVARRTDGYSGDDLTNVCRDASLNGMRRKIAGKTRDEIKSMSKDEISKDPVAMCDFEEALVKVQRSVSPADIERHEKWFHEFGSA >RHN52463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35587172:35587502:1 gene:gene37146 transcript:rna37146 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQAPSYFVWEVLFTMCIMALGLLLLALLIGNIQGFFQSLGMRLEMICRGRDVEQWMRGRRLPEDLKRFVIF >RHN52308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33704562:33707500:-1 gene:gene36968 transcript:rna36968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MFMSVITSSSQQTHQFLLITSLLFLINKIKKTNKRIVMGLLLSRSLACKFFYPLLFSKKVHNDFFPQQLENMMCLVSPRTGRHLQRYEQGCRQVVGCIPYRYKKNGTQEKEIEVLLISAQKGSGMQFPKGGWEKDETMEQAALRETIEEAGVIGSVESNLGKWYYKSKRQPTMHEGYMFPLLVSKELDNWPEMNIRRRKWLTVDEAKEICPYAWMKEALDELVSRQTNSI >RHN73710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19226174:19232004:1 gene:gene9561 transcript:rna9561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin-containing monooxygenase MPTKDNPPSIVSRIGIIGAGVSGLAVAKQLSHYNPIVFEATDSIGGVWRHCSYRCTKLQSQTWNYEFSDFPWPERESKDYPSHAEILEYLHLYAVYFDLFKYVKFNTKVVEIKFVRDKEGFDFGRLPGDHGNPLPERPVWELSVQTNESDAIQKYCFEFVVVCTGKYGDIPLMPTFPCNKGPEVFKGKVLHTIDYCKLDKEATSDLVKGKKVVVVGYKKSAIDLTMECVQANQGPEGQPCTMIIRSLHWTLPHYRMWGIPFFMFYATRSAQFLHHTPNQGLLKSLLCLLLSPLRLVISKFIESYLLWKLPLEKYGLKPEHPFEEDFASCQIAITPESFFNEADKGKIIFKRASKWCFWNGGIEFDDNTKIDADVVLLATGYDGKKKLKTILPEPFSSLLEYPTGIMPLYRGTVHPLIPNMAFVGYVESVSNLYTSEIRSMWLAGLIDKKFNLPSAEKMLSQTMKDMEAMKKSTRFYTRNCITTFGINHNDEICEDLGWHTWRKKNFIKEAFTPYTASDYKKKD >RHN72508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8225769:8226201:1 gene:gene8228 transcript:rna8228 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSPLTEEEIACIDEGLKHYKSDWMSVWQYIVPHRDPFLLPRQWRVALGTQKSYKVAEGKKEKRRLYESGRRKSKATETECGQPRSDKEVCYCLKLRNLRLNLPLRFYLYMKCQ >RHN77994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11122185:11124907:1 gene:gene1508 transcript:rna1508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MNTYSHVMGWLLFVFYLNMFVHGTTTCPEDLTCGNQVIKFPFRIKNRQSPMCGYSGFELFCTSNNETIIELPHKVKLNVKNIDYKHQTIQLSDPQSCLYKHIHNLNLSESHFNYLKHDYDDFVDYHFINCSLLIRDDIDSYLVPCLSTSTSQTYAISSFTVIDSFPLSLCTKMFNVSTNVIDFLDKNSLRLMWSEPSCKHCESKGKICGWKNTVGNSTNKEVDCFPKKKKGSSKALVNTGSILGSLFFILLIGGIYHIYDSYILKKEKQAIVEKFLEDYRVLKPTRYSYVEIKRITNNFGDMLGQGAYGTVYKGSISKEFSVAVKILNVSQGNGQDFLNEVGTMSRIHHVNIVRLVGFCADGFKRALIYEYLPNGSLQKFINSPDNKKNFLGWKKLHEIALGIAKGIEYLHQGCDQRILHFDIKPQNVLLDHNFIPKISDFGLAKLCSRDQSIVSMTAARGTLGYIAPEVFSRNFGNVSYKSDVYSYGMMLLETIGGRKITEDLEENSSHVYYPEWIYNLIDDEEEMKIHIDDEGDEKIARKMAIVGLWCIQWHAMDRPSMQMVVQMLEENIDKTPIPPNPFDSQSRQPRRTDATATTRQVTHNLDVIQEID >RHN57133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36753615:36753938:-1 gene:gene32633 transcript:rna32633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MYDHLHDKNNVDKNSSLLNSWKIRIKIALDAPRGIEHLHNHTVPSIIHRDIKSSTILIDMNWMARVSHIGWLVSYTAMHCVHLEGKDRPTISDIVCISCVTISIGSD >RHN69407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43210679:43211892:-1 gene:gene17875 transcript:rna17875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MGVGGTLEYLSDLMGSGHHHHKIKKKKQLQTVELKVRMDCDGCELKVKKALSSMNGVKSVEINRKQQKVTVTGYVEANKVLKKAKSTGKKAEIWPYVPYNMVVHPYAAPSYDKKAPPGYVRRLETTGTVRAYEEPHLTTMFSDENPNACSIM >RHN81326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44883487:44884644:-1 gene:gene5344 transcript:rna5344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain, legume lectin MALTNSNIETYVIRVMMIFTTFSLLFAKKANSKNTVIFNFPKFTKDDIPSLTLQGSADILLNGALSLTDTTHATPNVGRVLYSSPVPIWDNNTGHVVSFVTSFSFEITPWPNVSNSDGLVFFLTDPANIKIPENSGQGDLGVINSNNAFNKFVGVEFDTYANTWDPPYQHIGIDVNSLYSSKYIKWNSVSESLVKVQIIYESSSTTLTVVVTDKNGQISILAQVLDLSYLLPHEVVVGISATSGVRQSHFIYSWSFTSFLDPTKKIISDNINNVIASN >RHN50363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6499239:6501717:1 gene:gene34641 transcript:rna34641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MSSTIQNNTSFIFPETNSSRIPDPSKFFSPNLLSTPLPTNSFFQNFSLREGETPEYIHPYLIRSTNSSLSFSYPSRYSNSSFLYQEVKDDDIGVTVSTIQKNLSPLYDGRHVITSYSDLGVTLDYPATNLSFFLVRGSPYLTFSVTKPSHLLISTSYDIRSVTSNWSRTKFTIQHSNNQTWVLYTSSPIKLSHETFKITSKAFSGIIRIALLPNSDPKYKAILDRFSSCYPLSGDAKLSETFCLEYKFEKKGSGDLLLLAHPLHIQLLSKSKSDVTVLDDFKYQSIDGDLVGVVGDSWILKTDPISVTWHSSKGIKEESHDEIVSSLLKDVEGLNSSAITSITSSYFYGQLIARAARLALIAEEFFFVDAIQKVRSFLKETIEPWLDGTFNGNGFLYDKKWGGIITQEEGAFVFSSDLGDGAYNDHHYQLGYFLYGIAVLVKIDPAWGRKYKKQSYSLMEDFMNLSLKSNSNYTRLRFFDLYMLHSWAVGLTNSEHGRYQESTSEAVNAYYAAALMGMAYGDPQLVSIASTLTSLEILGTKMWWHVKKNGKLYDEEFTKENRIVGILRSNKRESSVWTAPCPFRECESMLGVHVLPLCPISEVLFSDVDYVKELVEWALPPFKTLGAENRWTGFRYALEGIYDNESALKKIRSLNGFDGGNSLTNLLWWIHSRGEGGDDE >RHN74061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:25728234:25728547:-1 gene:gene10010 transcript:rna10010 gene_biotype:protein_coding transcript_biotype:protein_coding MIDASDDEINTILQEHMQDLKAYHISLYIVSYYQIRVNLLFISLINYFMVITIFL >RHN45022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10883774:10884474:1 gene:gene39217 transcript:rna39217 gene_biotype:protein_coding transcript_biotype:protein_coding MIHDTHLDYRELMFIKGREKLVEQSGAMIGSAKQSKEVSTLALDSTLKAGKCSVDFGVNMHHFSDIGAGTAYDVQSDVGRTENLTCNNPEVLNVVQELILLTQMLEYQ >RHN56588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32088521:32088999:-1 gene:gene32015 transcript:rna32015 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLQVRSCEDSLRQKGRRDVSPRSSATIAILGASSYLIELCLSSTISIWLSTSANKA >RHN38626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:796048:797840:-1 gene:gene44583 transcript:rna44583 gene_biotype:protein_coding transcript_biotype:protein_coding MGMIMSYMGGSGEGIASQTLDLVSGALYDQFMKKEVKDFETFHTAILDIFNTINMALPGKHYDVPSHKEIEVFFRDWNKTSEEDKKKNIFIDFMIKNVNISKVDDSMMITGIVAPPAAMVAKRTGQSVPQLSVMKAIPDVIFVPTATILALIAVKVTKRMSLKKLNPI >RHN63896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54879385:54884840:-1 gene:gene26651 transcript:rna26651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Agenet-like domain-containing protein MRFKKGTKVEVLSKAEVPSGSWLCAEIIGGKGHRYTVMYEGFRGAMDEEIVELVSKKSIRPCPPPLELVENWTPGDIVEVYQNFSWKMATVLKVLGKKYISVRLLGSSVEFQVSKFDIRVRQSWQDDKWFVVGKGSASYDNGKRFSTQLQKLDTKTKRSALTYYQPEKEELNNRESCPVSFKTLKRGRHSQVEAYAEPLPKLRAIEDDGRCYKARVGNPPTPLKHVQNVSFPRHVPAEEYKHASVNNRKTGIVDMDLERRKQTAAVGPFEDVDSSYSDAESTCKRGYMEATCSRPTRKELAMKIHRDRV >RHN47013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36470360:36470725:-1 gene:gene41558 transcript:rna41558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MASLRTQGSHTQSFSGSFISGGRNLFTRECFCKMLHVIRTVTKMGPNRGRKFWGCRNFVASNINSGCKWNWNQGRLRMLS >RHN71023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55860178:55860420:1 gene:gene19664 transcript:rna19664 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIHIISLSTLEFAVNNPLICFCCFIFMFFLSVSCVCLCEILHGPTHFKHTEFLQKVKCKCLTFLTWHHDLICVKQNTY >RHN61890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39156771:39158703:-1 gene:gene24402 transcript:rna24402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSRNMNNFTPLPGLRRTDSRAYGAPHHTSNNPHEFNEVPVFYRSHHSSRANDERSSGAQDPLQLWEIRRTPAEHHRIPYFPLQPHRRQQTYNHNEDMRRRGYNPTRIREIFQHSPSRSTSTQQTNTRVPQSVENSKSTLLSKLRKVVYDPTPKRLARKVSLYYRGNAANDLKESVKEKTEDGMRCAICLEDFEAKEEVMLTPCNHMFHEDCIVTWLTSKGQCPVCRFVIFEGAKEKTSPFNRNDITNLEPDGVISAELLSVLRAMEEAFHLESVNY >RHN67860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30973113:30973598:-1 gene:gene16104 transcript:rna16104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MRKKVKLAFMVSDSARKITYNKRKTSLIKKVNELTTLCGIDGCAIVYSEFHSEPEVWPSPWEVQKVLTKFKSYSEFEQGKKKLNQESYLRERIMKSKEQLMKLEKNNWETEKSLILFQCLVKEDFVDTLNSNVLNDLAWEINEKLKEITSKANELDTSATN >RHN43343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45039843:45046010:1 gene:gene49900 transcript:rna49900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-XII-1 family MGCNFSKYSTCCCSTEQGGVQVPEANIEENEDNNVEGNGLASFREYTIDQLRKATSGFAIENIVSEHGEKAPNVVYKGKLENQVRIAVKRFNKSNWPDAHQFLEEARAVGQLRSQRLANLLGCCCEGDERLLVSEYMSNDTLAKHLFHWETQPMKWAMRLRVALYLAQALEYCTSKGRALYHDLNAYRVLFDDDFNPKLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVTYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQSLTDSCLEGQFSDDEGTELVRLASRCLQSEPRERPNPKSLVTALIPLQKDSEVPSHVLMGIPDGSAAFPLTPLGEACLRMDLTSIHEVLEKIGYKDDEGAATELSFQMWTNQMQQTIDSKKKGDSAFRVKDFKTAIEGYTQFIEVGSMVSPTIYARRSLSYLINNMPNEALGDAMQAQMISPVWYIAFYLQAVALLALGKENDAQVALKEGSSLEAKNSTN >RHN44952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10233421:10233570:-1 gene:gene39139 transcript:rna39139 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L33 MNGEMVNKESRGISRYITQKNRHNTPSRLKLRKFCPFCCKHTIQVEIKK >RHN57605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40485189:40486165:1 gene:gene33178 transcript:rna33178 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGSSPRLLLLLIGDRDENEKVLGLQACIAERDNEICRLKELLESEKRRADSKRKRVAETWKFMLDSKLVLIFKTPV >RHN45590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23395771:23397348:-1 gene:gene39966 transcript:rna39966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MVFLKAYLKAFPKCLPIIVAPASILLTWEDEFKKWDIGVPFHNLSNPELSGKEHPDAVETFDMSNTQHDVHETRMAKLISWFKEASILGISYNLFGKKCQDKKKHENVKEREGNCDMRKVLLNSPGLIVLDEGHTPRNQRSHIWKVFSKLQTQKRIILSGTPFQNNFWELYSTLSLVKPSFPNTIPPELKSFCQNQGYKSSKKCNWEPVLLNKTRDPSDDQIKKFKLLMDPFVHVHKGAILESKLPGLRDCLVTLKAGSLQNEILKSIKRSQNTIFNFERKVALTSVHPSLFLECALSEEEKSALDKDHLEKIRLNPHEGVKTKFLFEFVRLCDAFHEKVLVFSQFRAPLQLIKDQLNSAFKWTEGKEVLVMSGEDPPKVKQSVIHSFNDENCQAKVLLASTKACSEGISLVGASRVVLLDVVWNPSVERQAISRAYRIGQKKVVYTYHLLAEGTTEEEKYGKQAEKDRLSELVFSAKNAANNDGKSKSSAVNFEDRVLDEMTKHEKLKGIFVKCVVLRKERDVV >RHN57183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37156030:37163995:-1 gene:gene32690 transcript:rna32690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MSRKRRLNDSKNPFNSDPFEAVIFGSWHPVEFIKVKSGSMSIHFTDNHHMVMDKGTLSDIRIRSRKATVSDCSCFLRPGIDVCVLSPPKRANDSVGLNLEPVWADARISSIQRKPHGSECSCQFFVNFYVEQGSLGLEMRTLCKDVKVFGLNQIAILQKIEHSPGENQPHRWSSSEDSSSLPHTKLLLGKFLIDLSWLVVTSVVKNVSFCARSVENKMVYQILGGDSSNSSSSNTESHIDVIGFRTDDGMLVPIVSQVAITNTKRADHAHESRADEASSSYNVDGLRRSKRRHVQPERYVGCEVKELDVGTFRNMPPVRIETSKAVVDEMSLPLSFLFRLPQSSPEKGADKCQKANKPNACRELLVYNRRAKTQEGKKTCGDVDQKVHKNSLAIIPLPDQDADPIAVEHYDPNGNVARSHEHQSRDITSQYSHLVNNPKPMKNINLLDVPGKSDDAEKNDHVSSRCQFFGSTKLQRKSIGDLDDIDLGNRWEGIKRKSKTGFHEGKYRSTHLRNNGEGRSHNYKDRTLNAAAYKSLIHSYLQNINTIPVIEEPPITDQWKKCNTTNGVGQNVETKISHGEDDVEKAEIDMLWKELEVSLASSYFDDSEVSNAIVLAEPEKNLEEVCEHDNRLDEEIGIYCCICGFVTTHIRDVNPIFVENSVWRQEEKQIDGGEDKEEATKDDEDDDFHFFPTDTSRDEPISEENQSVWSLIPELREKLHVHQKKAFEFLWRNIAGSTNPGLIEAESKKRGGCVISHTPGAGKTFLIIAFLVSYLKLFPGKRPLVLAPKTTLYTWYKEFIKWEIPIPVYLIHGRRTYRVFKQNTVATLPGVPKPTDDVKHVLDCLEKIQKWHSHPSVLIMGYTSFLTLMREDSKFAHRKFMAQVLRESPGLLVLDEGHNPRSTKSRLRKCLMKVQTELRILLSGTLFQNNFCEYFNTLCLARPKFPHEVLKALDPKYKRKKKGVDQKGAEKAQYLIESRARKFFLDTIARKIDSNVGEERIQGLNMLRNVTNGFIDVYEAGSSDGLPGLQIYTLLMNTTDIQHEILQKLHSDMFKCSGYPLELELLITLGSIHPWLVKTAVCSQKFLTKEQLSDLDKYKFDLKIGSKVRFVLSLIYRVVKNEKVLIFCHNIAPVRLFQEYFEKYFGWQKGREVLVLTGELELFERGKIMDKFEEPGGVSKILLASITACAEGISLTAASRVIMLDSEWNPSKTKQAIARAFRPGQQKMVYVYQLLVTGSLEEDKYRRTTWKEWVSSMIFSEAFVEDPSRWQAEKIEDDILREMVEEDKSKSFHMIMKNEKASTKT >RHN71606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1326410:1329049:1 gene:gene7222 transcript:rna7222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MSDTDEFRCFIGGLAWSTSDRRLKDAFEKYGKLVEAKVVVDKFSGRSRGFGFVTFDDKEAMEEAIEAMNGIDLDGRTITVDKAQPQGSRDDDDRHRERGRDSRDRNRSRDYGGSRGSNGGECFKCGKPGHFARECPSEGERGGRYVGRESRYSGGYGPDRNADRSYGGRDRDSGGRDRDGGGRDRDGGGRDRDGGGRDRDGGGRDRDGGGRDRDGGGRDRDGGGRDRDRDRAGPYERRGSGGHR >RHN67340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26217063:26219340:-1 gene:gene15520 transcript:rna15520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDLQTIYPLTIFFIFLLSIVTLKVTKKFKKIDSVPNIPPGPCKLPIIGNIHNLIGSQPHRKLRELSKKYGPLMHLQLGEVFFTIVSSAEYAKEIMKTHDVIFASRPLTLTSEIIFYDSTDIAFAPFGDYWRQLRKICTVELLSLKRVQSLSPIREQEMNNLVKRIASEEGSVVNLSRQVVSLIYSITSRAAFGKKYMEQDEFISLVREVMEISGGFYIGDLFPSVNWLQNLTGRRHRLEKLHKNADRILEKIINDHKETNLGAKGSLVEGEEDLIDVLLKFEEGSSNDLDFCLTKRNIKAIVFDIFIGGSDTSATTINWTMAEMLKNPRVLRKAQVEVREIFKKRGKIDETCIDELKYLKAIIKEILRLHPAVPLLIPRECGQNCEVDGYHIPIKSKVIINAWAIGMDSKYWTDPDKFYPERFINSSIDFKGTNFEYIPFGAGRRICPGINYGMANVELALALLLCHFDWKLPNGMKNEDLDMTELFGASVIRKDDLYCIPVSYPPLK >RHN75329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41327326:41331606:-1 gene:gene11511 transcript:rna11511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3Fe-4S ferredoxin, DnaJ domain, 4Fe-4S ferredoxin-type, iron-sulfur binding protein MAQLLSPFYTEALKINNPSLNLCSKTSWRKLTKHASPCSLAMESDKRRKCGRLRVEAEDSVYPVDTTADDYYAVLGLFPDATPEQIKKAYYDCMKACHPDLSGNNPETTNFCMFINEVYAVLSDPIQRNVYDEIHGYSLTSTNPFFDDSCPKDHVFVDEFSCIGCKNCANVAPDVFAMEEDFGRARVFSQRGNPELVQQAIDSCPVDCIHWTSAAQLSLLEDEMRRIERVNVALMLSGMGSASFDVFRMARSRWEKRQSKVLEQAKMRMMRQESSGKTDSYWDNLWGQPKDYQNSEDETKERAERAAAAARRWREYSRKGVDKPPKFKLPEAEPSKDK >RHN61786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38357282:38357563:-1 gene:gene24281 transcript:rna24281 gene_biotype:protein_coding transcript_biotype:protein_coding MICNWINLKTLDSLFNFAIDHMWKNLSWLFGSKDGKGVASLYLCYVYQILVDLVFMFNFSVWMGYVPTHLFVNTASYAALCFNDGCVPASV >RHN75078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39204138:39205104:-1 gene:gene11230 transcript:rna11230 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAHTPDGLADATPIDLPGVVSFDERVPGGVEDGDGLPAVVDEGDGVAAAGKEPAGDAVGELVDTGELAGVGAGGEVVVGTGELAGVEAGGEVVVDTGELAGVEAGGEVVVDTGELAEGEDEGDVGVFVLLLEVEMMMEKRCLVLECKVAEMLGNERLVGFVYMEVVKLEKELLMVFEYKVYLKMEKECFLEVLKYMVFERMEEYFLEVLKCMVVVMSEKKECLEVFECMVVVMLVKECLEVFEYKELGKWEKGFSEVLVHFEGYCLGKELLEVFECKVLEKLEKGFWEVLAHMEVEKKERVLLEV >RHN71810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2754820:2756371:-1 gene:gene7447 transcript:rna7447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLVLLVQVNMLHILFFLSTLYMMIEIGSASMYTITSSQLIKDSETISSNDDAFKLGFFSPMNTTNRYVGIWYLNQSNIIWVANREKPLQDSSGVITMSDDNTNLVVLNGQKHVIWSSNVSNFASNFNVTAHLQTTGNLVLQEDTTGNIIWESFKHPSDAFLPNMSISTNQRTGEKVKLTSWKTPSDPAIGEFSFSLERLNAPEIFVWNQTKPYWRSGPFNGQVFIGLPSRLLYISAYLNGFSISRKDNGSLVETTYTLLNSSFFATAVVNSEGKLIYTSWMNKHQVGTTVAQQNECDIYGFCGLNGNCDSTNSPICTCLTGFEPRNVDEWNRQNWISGCVRRTSLQCERVKYNGSELGGKEDGFVKLEMTKIPDFVQQSYLFVDECKTQCLNNCNCTAYAFDNGIRCLTWSGNLIDIVRFSSGGIDLYIRQAYSELREYS >RHN53575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2636371:2637363:1 gene:gene28496 transcript:rna28496 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSYIQRVRGESGDNEKPNSSVQPIIGDQQHATSCEILPCLIFAHFIIYLDSSINSDVKNTVAEVVLVLGISRRTLTLVLVLGLKLNKSFSIYSLLVEVDSSAVVSLVKKVPDYCSPTYLG >RHN60194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23114037:23115346:-1 gene:gene22447 transcript:rna22447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MARTPSCDKISGMRKGTWTAEEDRKLIAYVTRYGFWNWRQLPKFAGLSRCGKSCRLRWLNYLRPNIRRGNFTKDEEELIIRMHKKLGNKWSTIAAELPGRTDNEVKNHWHTSLKKRAIDNIVTNEETKSTKSKDIIESTQGRDISNYQITPPDSSQISNNNGPLSPFSSSSEISSTSSDDFGFLDSFIKDVDISFWLHDISNTPSGIVQNNNNDATTNNAFLVSPGNSSNESFVMDNDFGSFLDAYNESTVDSFWTQPYEADMYHVPRQMLTSLPMESEYFSIVYDDDIWS >RHN54303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8491415:8496366:1 gene:gene29314 transcript:rna29314 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESTFSNVQTTDDKITTVTERLETSDPILERLKSLKITPPVLTNPPTEGSLTDILVRRPSTSQASATVNPKVLLELFSMYRDWQEERVEEISKKQEDIENRIEVADALAVKLLQRYNHSTSTMKSASRHLSGVNSLQVEIGELKGRLTEVISNCDALCKRIEAEGPESLRSSIKPFAIAKADQEICSSSTSLQTVKKTSPPGE >RHN48174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45576682:45576969:1 gene:gene42861 transcript:rna42861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MLTETVGPDHIAKVVSRWTGTPVTRLVQNDKERLVGLGDKLHSRVVGQDQAVKVFAGAVVRSRVGLRRPQKPTGPFLFLGPNSVGKTELAKALAQ >RHN45184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12672318:12672938:-1 gene:gene39409 transcript:rna39409 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFFRSFLFGLLCIAFVLASGPTPSSLHPSGAVNCLGPVPCDQCPQRCIAIGANSYICGILTCCCYYN >RHN50243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5445245:5446817:1 gene:gene34512 transcript:rna34512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone-7-O-beta-glucoside 6''-O-malonyltransferase MEKHEESFKVVQVCNVEPYLDTTKPFSSPTSLPLTFFDLLWLRFPPVELLFFYELTNSTTFFYDTLLPNLKHSLSLTLQHFLPLAGNITWPSDSSKPIINYVKGDNSISFNVVESKESFKDLSSHHCEASKRHHLIPHLKISHEKASLLAIQVTLFPNNGFCIGITTHHAALDGKSLSTFMKSWSYVACSNSKHDSSFLSLPETLTPFFDRSLIQDHNNGIGISDAYVVDLMKQGGPNNRSLKIMNFSGPVKHDVVKSLFELTPSNIQKLKEHGKNDMKMKVINLSTFLVTSAYILACLAKAEQPKVEKVVFIISMDCRSRLDPSISTMYIGNCIAGQKIVFETKNLVGKNGFLNALEGINKALNSVKDVGVLNGAENWVSNMYSGFEGAFSLGESRNNNGGIEIGLALNKEELEAFSTIFVEGLESI >RHN59871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14236440:14243777:1 gene:gene22036 transcript:rna22036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MKKEKGKSISVSSPVSGEESDEKQQFPSVRFSSRNASSKYDFVKVKVWLGDNADHYYVLSRFLLCRTLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEVNLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLASTPVWARDFDSSEELITEFCRECRVVRKGLGGDLKKAMKDGKPIIIEGIHLDPSIYLVDDDNKTPSSAYARNKEIDPVSAQLDDNTAINTQNINVDSGDETNSESKILSSNEGVNDETVDAVSSSMPSLSLNGNITEHKDVSFAEPALDKIIVGKEKSGPKPIIVPIVLKMAEFDHKALLEEWISSRTFIEKCPDKDLDKLIANLKTIQDYLCSFTSQGLTVVNVSATTFPQTLDWLHGYLLQCIEQGTSLGSHENVTPVAPK >RHN50795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10548984:10550251:-1 gene:gene35127 transcript:rna35127 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVHYLSYGLVHLCRGKYMLMEPFTSLAEFILNIYIFKLFHDLVVFFFLGFKLSFEFFLEFLWYSHI >RHN40593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17984599:17991381:1 gene:gene46758 transcript:rna46758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGNSQAKKREAEYSGNVEARNTSKVLSPRFQQQEEASFNIHLHGKKKLATKEKYALIPDNFTTLEQVTSALRHEGLESSNLILGIDFTKSNEWTGRSSFNNKSLHSIGGTPNPYEKAISIIGKTLAPFDDDNLIPCLGFGDATTHDSEVFSFHADDSSCHGFEEVLACYQKIVPNLSLSGPTSYAPVIEAAMDIVEKTHGQFHVLVIVADGQVTRYGNNEGGKLSPQEEKTIKAIADASSYPLSIVLVGVGDGPWEDMKKFDDKLPARDFDNFQFVNFTDIMSKKISPSAKEAAFALAALMEIPFQYKAALELGLLGRATGRAKKMVPRPPPAPYSRHMPPEHFLSRMPTSSMDDQRNQMECAICLTNKKDLAFGCGHMTCRDCGSRLSDCPICRQRITNRLRVFTG >RHN64488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59495527:59504035:-1 gene:gene27307 transcript:rna27307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Type I phosphodiesterase/nucleotide pyrophosphatase/phosphate transferase MEEGRRKIGKWQYIWPMWITLLLHLIAILLFTTGFLLTRTELPHYSHCSDLYHSPCFPSSPSPNNNDSCWTKPAINRLVIIVLDALRFDFVAPSTFFQESKPWMDKFKVLNNMSSSRPSSARIFKAIADPPTTSLQRLKGLTTGGLPTFVDVGNSFGAPAIVEDNFINQLFQNGKKVVMMGDDTWTQLFPHHFERSYPYPSFNVKDLHTVDNGCVEHLFPSLYEEDWDVLIAHFLGVDHAGHIFGVDSTPMIEKLEQYNNYLERVIEVLENQSGPGGLHENTLLVVMGDHGQTLNGDHGGGSAEEVETAIFAMSFKEPLSSLPPEFDSYSCQIDLDGKNVCISSMQQLDFAVTMSALLGIPFPYGSIGRINPELYALGAGSWKSDASQKQPEPDIWMKNYANALCINTWQVKRYIDAYSASSAVGFSHDDLSQIASVYAQAENRWLYSTKKLLLDKDNASDALVPELKWQIDAYFKFLTTVAELARSKWTEFDLNMMGTGIGIMLISLIFQVFAILRATKQHGVNLSSSGNSSIITSSTFTLFLLGIRSCSLLSNSYILEEGKVANFLLSTSGIVALRQSVVKEKLLTESIGFLLLSTLCRFAIEVGLSKQAATSAFMKDNSSWMASLASDLPIWDYTAKLIPMLVLILLAFWLYKATNCSFFDWPWKYVILGTILSYMLILVHLITDSDGFGVALMPESIGRTYIPRIVYAIALGQLLLLACGQLFKHSCSDCKTSLVAKTTAMLSAWSSPVILLSGKQGPIIAFTSIVGGYCIMRLDNIETGKNRPGRSFSIMQWSLFATCVFFCSGHWCAFDGLRYGAAFIGFEEFMLVRQAILLTIDTYGFSIILPVFGLPFLVATKYQGNLGKHFLFTQLSQMYTTYGLITAVITTFTILCVTIQRRHLMVWGLFAPKFVFDVFELVLTDVLICLASIYYFDQGNDDDPELKSSDY >RHN39658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9265807:9266982:-1 gene:gene45700 transcript:rna45700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGLVEKSPKNLHLHRHHLQHKQEFKGVPKGFMAIKVGLGEEQQRFVVPVMYFNHPLFIQLLKEAEEEYGFDQKGTITIPCHVEEFRNVRGLIDRDKNLHHQHVGCFGL >RHN69404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43198309:43205836:1 gene:gene17872 transcript:rna17872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SLC26A/SulP transporter MTSSVVEPCTFEDMQVDLEKNVQQDVRSQWVLNAPEPPSPWHVALDSFRKTVSNYREKTSSLSDQSCGTLLLSVLHVVFPILVWGRSYTVAKFRKDFLAGLTIASLCIPQSIGYATLANLAPQYGLYTSVVPPLIYAVMGTSREIAIGPVAVVSLLLSSMVQKLVDPSTDPIGYTKLIFLATLFAGIFQTSFGLFRLGFLVDFLSHAAIVGFVAGAAIVIGLQQLKGLFGITHFTTKTDIISVLKAVWEAFHNPWNPHNFILGGSFLVFILTTRFVGKRKKKLFWLASIAPLVSVILSTLVVFLTRADKNGVKIVKHVKGGLNPSSINQLDFNSPHVVDVAKIGLIVAVVALTESVAVGRSFASIKGYQLDGNKEMMSIGFTNIIGSLTSCYVATGSFSRTAVNYAAGCESLISNIVMAITVMISLQFLTNLLYYTPIAIIASVILSALPGLIDINEAYKIWKVDKLDFLACAGAFFGVLFASVEIGLLVAVVISFAKIIVISIRPSTETLGKLPGTDLFCDVDQYPMAIQIPGVMIIRMKSALLCFANANFVKERIIKWVTQKGLEDDKGNSKSTIQLVILDTSNLVNIDTSGIASMEELYKCLSTHGKQLAIANPRWQVIHKLKVSNFVSKIGGRVYLTVEEAVASCKSNHF >RHN74154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29373775:29378840:-1 gene:gene10155 transcript:rna10155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal peptidase I MGWVSDTVDSIKSIKLREALHQVITLGMIVTSALIIWKGLMCITGTESPVVVVLSGSMEPGFQRGDILFLTMSKDPIRSGDIVVFNIDGRDIPIVHRVIKVHEGQDTEDTYYLTKGDNNPTDDRVLYNYNQDWLQKKHIMGRAVGFLPYAGWATIIMSEKPIIKYVLVGALGLLVLTSKE >RHN81919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49807838:49808191:1 gene:gene6017 transcript:rna6017 gene_biotype:protein_coding transcript_biotype:protein_coding MWQGHFCNFKHQSPFPFHLFLVSLTKPSPLSLSRISLSLSAPPPSAATIHCCRSSFSFAGIPLSHLATVPLLRFNLFLRPPSLTPSAGISFKSNRRSNPLLKQSLFLFRSGFDVDEK >RHN38969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3137800:3138987:-1 gene:gene44949 transcript:rna44949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, leucine-rich repeat domain, L MEKDIFLDICCFFIGKDRAYVTEILNGCGLHAVIGIAILIERSLVKMEKNNKIGMHDLIRDMGREIVCESSTKEPGKLSRLWFHQDAHDILTKNSGTETVEGLILRFERTSRVCFSADSFKEMKNLRLLQLDNVDLTGDYGYLSKELRWVHWQKSAFRYIPDDLYLGNLVVIDLKHSNIKQVWNETKVEF >RHN71704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2060141:2065497:-1 gene:gene7332 transcript:rna7332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative class I glutamine amidotransferase MLDRLRKKRYAILMCGEDSEYLLKRHGGCYGFFTKMLAEEGETWDLYKVVNQEFPEDDDVDFYDGFVITGSCKDAHSNDPWIHQLLTLVHTLNSLNKKILGICFGHQIIGRALGGKVVRSAAGWDIGVSTINLLQSSSSSLNLPSKLSLFKCHRDEVLDLPAEAEVIGWSEKTGIEMFRYGNHMLGIQGHPEFNIDIFLHFIDRITNRNLIQEAFASDVKMKATLRDPDTDAWKTLCLTFLKGQL >RHN76730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:799064:804964:1 gene:gene100 transcript:rna100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MACSSEGGGEPLSPAARLFHSPSFNCYVIAIIGCKTSINPQVIRDGLCQTILKHPRFTSKLVKKGRKTRWTETTIDLDNHIIVPQIDSKIDFPDRFVEDYISNFTKTPLDISKPLWELHLLNIKTSNAESIGIFRIHHSLGDGTSLISLLIAATRKTSDPNALPTVPTTRKRDDSNVHNCSIIVSFWLSILWGLRLIWNTIVDVLLLVLTILFFKDTHTPLKGAHGVELNTKRFVYLMVSMDDIKLVKAEMKTTINDVLLGLTQAGLARYLNREYGVKNANDGAAMSKSGIPKNIRLRASILVNIRASPGIQDLADMMAEKGKARWGNKMGYIIFPFNIALQEDPLEYVRQAKATIDRKKQSLEAICSYACAKLVLNLFGVKIAGVITRRVLFHTTMAFSNVAGPVEEISFYGHPVAFIAPSVYGHPHALTIHFQSYANQMTISMAVDPTIIPDPYLLCDDFEESLKLICDNVVKKRHIAEII >RHN77661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8489806:8490096:-1 gene:gene1135 transcript:rna1135 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISKEKPKLISLKDLMPLRPWNSPPLPLSELRGRQYMKLKKPIFKDGVEVYWLPKILANEDEVPETVIPFQGVFKFIDRHVLTVVKRWIGKIVE >RHN62225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41860526:41861958:1 gene:gene24779 transcript:rna24779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MILNSHSFSFPLTLPLHKSSKPFLLSLKLRRKPLSTMDSTSSTIDDEVAVDLTPVLKLYKSGRVQRLAGTEVLPPSLDPKTNVESKDVVISEEHNISARLFIPKTNYPPTQKLPLLVYIHGGAFCIETPFSPNYHNYLNSVTSLANVIGVSVHYRRAPEHPVPTGHEDSWLALKWVASHVGGNGSDEWLNQYADFEKVFLGGDSAGANIAHHLSIRVGKENLDGVKLEGSFYIHPYFWGVDRIGSELKQAEYIEKIHNLWRFACPTTNGSDDPLINPANDPDLGKLGCKRLLICVAGQDILKDRGWYYKELLEKSGWGGVVEVIETEDENHVFHMFKPTCDNAAVLLNQVVSFIKGA >RHN59289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9135543:9137278:1 gene:gene21294 transcript:rna21294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MCFFYKCNLKKLSKDLEDLEAARQRVNHSIEEAKSNGEEIENDVLNWMKEVNQVINKVNMLHNDPNHSKAGCYRWDFPNLIYHRHQLSRRATKISLDVTQKLQSGKFDCRVGYNPRHQEDIVSFSSPSPKDVLLASRRSFLNNILEALKDPSSHIIGVYGLSGVGKTYLLEEVDRFAQQLKLFNLVVLAKTSNIEAIREVIAEGLGLKFDMQSIDARAIRLKKKMKGKENILIILDDICGTLDLQKVGIPFSMTDSHTGNHNKKPTNFKLMMSSKSKENLLKMGAPENFTFRLEPLDDTESIDLFQFMVEDVVRDHRIKSLAPQLALKCAGLPLAITTVARALVNKDINYWENAVRQLEDVGPEEIGASVYIPLELSYNSLECDEARTLLLLIAVLGFQKVECYLEVVMGLGVLKKIKTVRDGRHRLHVLVGYLKTSCLLRESGKCEMIEMHKMVREVALNIASKDKHVFLKWPLTNDSLRNCSQIVLNNCDITKLPQRLDCPNLELFVFSNSTFLLEIPDNFFEGMVKLKVLRLTKFNLCQFPSSICCLSDLRSLSLFLFS >RHN79653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30915176:30916512:-1 gene:gene3471 transcript:rna3471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MIDRSYLEMEKQFKVFVYEEGEPPVFHNGPCKSIYSMEGNFIHAIELNDQFRTRDPQKAHVYFLPFSVVMLVRFVYLRDSRDFGPIRKTVTDYINVIAGKYPYWNRSLGADHFMLACHDWGPETSFSVPYLHKNSIRVLCNANTSERFNPAKDVSFPEINLQTGSINGFLGGLSASKRPILAFFAGGLHGHIRAILLEHWENNKDQDMMIQKYLPKGVSYYEMLRKSKFCLCPSGYEVASPRIVEAIYTGCVPVLISDHYVPPFSDVLNWKSFSVEISVEDIPKLKDILMRISPTQYIRMQRRVVQIRRHFEVHSPPKRFDVFHMILHSVWLRRLNFRVHDDQ >RHN68004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32062230:32070790:-1 gene:gene16279 transcript:rna16279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-linalool synthase MDVLYVKQALIFKKVYKKLVKIEDPMESFYLIDIIQRFGIEHYFAEEINVALENLHLILNTNPIIDFVSSHELYEVALAFRLLRQGGHYVNADLFDSLKCNKRMFEEKHGEDVKGLIALYEASQLSIEGEDSLNDVGYLCCELLHAWLSRNQEHNKALYVANTLQNPLHYGLSRFMDKNTFMHDLKAEKDLICLEELAKINSGIVRFMNQNETIEVSKWWKELGLDKEVKFSGYQPLKWYTWPMACFTDPNFSEQRIELTKPISLIYVIDDIFDVHGTLDQLTIFTDAINRWETTGTEQLPNFMKISLNALYDITNNFAENVYKKHGFNPINTLKKSWIRLLNAFMEEAHWLNSGHLPKAEDYLNNGIVSTGVHVVLEHAFFLLDHVNGITKQTIDILDEKFPNVIYSVAKILRLSDDLEGAKSGDQNGLDGSYLDCYMSEHQDISSEDVQGHVAHMISNEWKFLNQEILVANQFSSSFSNFCLNAARMVPLMYHYKSNPSLSNLQEHVKSLINVGVGRN >RHN52361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34315033:34315674:-1 gene:gene37026 transcript:rna37026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Hemopexin-like domain-containing protein MTLTRIGSAFRSFKHNECYVFVDDKYVVLNYAPGAKKHEILKGPLHIVAGFAMLARTPFEHGIDCAFETQHNEAFIFSGNHCALITYGPIVPHHQHHPARILSCPKKIATMFTCLHGTVFEHGIDAAIRTLDKRVLLFKGNAYALMDYHSNRVLANHYIRTGFKTLVGTVFENGIDAAFKSDKKDEAYIFKNQYYACINIDQGHPIGPILLAY >RHN53842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4645373:4651799:1 gene:gene28795 transcript:rna28795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MVCTANDLQGWKDFPKGLRVLLLEGDNNSASEIRTKLESMDYNVSTFYNENEALSAISSSPKCFHVAIVEVSISCPDGGFKFLENAKDLPTIMTSNSQCINTMMKCIALGAVEFLTKPLSEDKLKNIWQHVVHKAFNAEASALSESLKPVKESVESMLHLQTDNTLHESTISIDLDKVSKFSDNEHEHSAASDKYPAPSTPQLKQGARLVDDGDCHEQTNCLIEKESGEHDSGECKFVDTSCENLNAESSPQPTKHLIKEEEDFAKGSKGEGGASLNPLNKNFLGDAGGNTSLNKTRVFNDPCENKANRKKMKVDWTAELHKKFVKAVEQLGIDQAIPSRILELMKVDGLTRHNVASHLQKYRMHKRQIIHTDEDRKWPNRRDPMQRNYCMQRPIMAYPPYHSNHTFPPAPAYPMWGQHGSQTAGVPIWSPPGYPLWQPTESWHWKPYPPGVHVDAWGNPMLRPPQTHCIPYTQNMAGMHNAKAVDYSYPAEEVVDKAVKEAINKPWLPLPIGLKPPSMDSVLDELSKQGIPFSKKSKGSRPC >RHN64890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62701061:62708193:-1 gene:gene27762 transcript:rna27762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminopeptidase MAAIASAIVIAFSKSSSSSLFLTSRIRFASFPKRSFHSTTKLMSQSRYTTLGLTHPTNIEAPKISFSATDVDVTEWKGDILAVGVTEKDLTRDAKSRFENSILNKIDLKLDGLLSEASSEEDFSGKVGQSTVVRIKGLGSKRVGLIGLGQLPSTTALYKGLGEAVVAVAKSAQASNVAIVLASSEGLSSESKLSTAYAIASGAVLGLFEDQRYKSESKKPAVRSIDIIGLGTGPDLEKKLKYAGDVSSGIIFGRELVNSPANVLTPGVLAEEASKVASTYSDVFTAKILDADQCKELKMGSYLGVAAASANPPRFIHLTYKPPSGSVKVKLALVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVLGAAKALGQIKPLGVEVHFIVAACENMISGTGMRPGDVVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIIDLATLTGACVVALGPSIAGVFSPNDELVKEVLEASEVSGEKLWRLPIEESYWESMKSGVADMVNTGGRPGGSITAALFLKQFVDEKVQWLHIDMAGPVWNDKKRSATGFGVSTLVEWVLKNSS >RHN56895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34551765:34553982:1 gene:gene32359 transcript:rna32359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MALFSSVSLCMLLLLSFFFFTCFSSKPNNHHVNDSFSLSFPLTSLQISTNSKTKTNQQFTTLSSSSSSSINVKSSFKYSMALVVTLPIGTPPQLQQMVLDTGSQLSWIQCHNKKTPQKKQPPTTSSFDPSLSSSFFVLPCNHPLCKPRVPDFSLPTDCDANSLCHYSYFYADGTYAEGNLVREKIAFSPSQTTPPIILGCATQSDDARGILGMNLGRLGFPSQAKITKFSYCVPTKQAQPASGSFYLGNNPASSSFRYVNLLTFGQSQRMPNLDPLAYTLPLQGISIGGKKLNIPPSVFKPNAGGSGQTMIDSGSEFTYLVDEAYNVIREELVKKVGPKIKKGYMYGGVADICFDGDAIEIGRLVGDMVFEFEKGVQIVIPKERVLATVDGGVHCLGMGRSERLGAGGNIIGNFHQQNLWVEFDLANRRVGFGEADCSKLAK >RHN73912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21549466:21549900:1 gene:gene9806 transcript:rna9806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MSFTTLSFPPIMQVLKPTTQMEAAQPPPPSPFKLNTPPCETVFHLTSSNAVVIFSMSSCCMSTVAKQFLISLGVAPTVVELDKQADGPAIRGFLHQLAAGTGTDQPVPAVFIGGKFVGGVQTLMTNHLNGTLIPLLKEVGALWL >RHN82365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53214739:53223018:1 gene:gene6528 transcript:rna6528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S9, serine active, alpha/Beta hydrolase MGIIQYDPMYSICRVSSTTFRRFPRATAPFRVRAFSTAVRDKPSICTADELHYVSVHNSDWKLSLWRYHPSPQAPTRNHPLLLLSGVGTNAVGYDLSPTSSFARHMSGQGFETWVLEVRGAGLSVQESNSKDIEQSAHAMSSKMEDMLENATTGPMSSKMELDNISGTVSKPYSSASEGVETENVAVIGDLAKLATAWDESKLVAKLSETLTRLSERVSGFLGESQAKVMSAKLLDQISKLLVDSPLYEQFNEVRGKLATLLETRQNSGITSQITDLSEKLVNIIEAGQLSVSPPLFDLQARFTSTIEDFQKQLDLMVKYNWDFDHYLEEDVPAAIEYILRQSMPKDGKLLAIGHSMGGILLYSMLSRFGFEGKEPKLAAVVTLASSLDYTSSKSTLKLLVPLADPAQALSVPVVPLGAMLVAAYEVSSRSPYALSWLNTLISAEGMMDPDLFKRLVLNNFCTIPAKLLLQLTTAFRERGLCNRDGTFFYKEHLHKSKIPILATAGDKDLICPPEAVEDTVKLIPEHLVTYKLFGEPEGPHYAHYDLVGGRLAVEQVYPCIIEFLSCHDK >RHN40838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:22722162:22726670:1 gene:gene47066 transcript:rna47066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor/ chromatin remodeling BED-type(Zn) family MEPDPAQFHHTTIGSFLSSLPPLQTKPYHPSFSSGSDPSISSLSIECALNSQAYAMEISNDSEAKKPKRLTSVVWNHFERIKKADICYAVCVHCNKRLSGSSNSGTTHLRNHLLRCLKRSNFDVSQLLAVKRKKKDTTVGLANISFDEGQRKEECIKPTFAKFEQEHKKDEIINFVSSKFDQERSQHDLARMIILHGYPVTLAEQVGFKVFVKNLQPLFEFSPNSGVEISCIEIYRREKEKVFEMISKLCGRINLSIEMWSSAENTSYLCLSAHYIDENWTLQKKVLNFLTLHSSYTEDLLPEVIIKSLDEWDIDCKLFALTLDDCSVDDSITLRIKERVSEKRPFLSTRQILDVRSAAHLITSIVQDAMDALHEVIQKIRESIRYIKSSQEVQGKFNEISQSAGINFQKALFLDNPLQWKSTFIMLETALEYRSAFSLLQEHDTSYSSTLSDEEWEWASSVAGYLKLLVEIMNIFSGNRFPTSNIFFPEICDIHIQLIDWSRSSDHFLRLMALKMKSKFDKYWSKCSLALALAAVLDPRFKLKLVEYYYSLIYGSTALERIKEVSDGIKELFNAYSICSTMVDQGSALPGSSLPSTSSGARDRLKGFDRFLHETSQSQSMTSDLDKYLEEPIFPRNSDFNILNWWKVHTPRYPILSMMARDVLGTPMSTLAPELAFNTGGRLLDSSRSSLNPDTREALICTHDWLRNESEGLNSSPIQSIPPILLESS >RHN64530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59838117:59839125:-1 gene:gene27353 transcript:rna27353 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVKTVVKVSGDHKTSSSTTPSYDSSYVLATRPSRQVLSYWTCSKLCAICFVGGVIFGYTLRGRVKRWASKILNKLT >RHN67420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27070675:27075577:-1 gene:gene15609 transcript:rna15609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MGKEEYYPLFETRRGKGRLMYIIFSFSLFVGICSIWVYRVSYIPKKDGKWVWIGLLCAELWFGFYWFLRQALRWNPIFRQPFPERLSQRYGNMLPKVDIFVCTANPEIEPPIMVINTVLSVMAYDYPTEKLSVYLSDDGGSDVTFYALLEASKFAKHWLPFCKRFKIEPRSPSAYFKTLATYPNNDAKELLAIKRMYQDMESRVENASKLGKVPEETYSKHKEFSEWGSYSSKRDHDTILHILLHRKDNARDEDGLVMPTLVYLAREKRPQFHHNFKAGAMNSLIRVSSMISNGKIILNVDCDMYSNNSQSIRDALCFFMDEEKGHEIAFVQTPQGFENITKNDIYGGSLLVAYEVEFYGLDGFGGPLYIGTGCFHRRDVLCGRKYSDQCKIDWKNVNDENIDHMIKVASLQELEEKSKTLASCTYEENTSWGKEMGLLYGCVVEDVITGLSILCKGWKSVFYNPTRKAFLGLSPTTLLESLVQHKRWSEGEFQIVLSKFSPIWYAFGLISPGLQMSYCYYNLWALNSFPTLYYSIIPSLYLLKGIPLFPQISSPWFIPFAYVIVGDSIYCLLEFLRVGGTIKGWWNELRMWLYKRTSSYLFAFVDNMLKVFGFSNSNFIISTKIAEENVSQRYEKEIIEFGNSTPMLTLLATLAMLNLFCLVGMLLKEVVLGKASVTLFETMLLQVLLSGVLVLINIPIYQGLFLRRDKGRLPRSVAVTSTTLALSVCVLYSALG >RHN60473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27189490:27190317:-1 gene:gene22787 transcript:rna22787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin MSSSTLKVVLSLIISTFTLVTITRAGDPDILTDFISPITGPVDANYFTFSGFRVLVQPPSPNPPPFKAIKASMAEFPSLNGQSVSYAALQFQPNSINPPHTHPRSAELLFVVQGSLQVGFVDTASKLFTQNLSVGDMFIFPKGLVHFQHNADQKVPALAISAFGSANAGTISLPGTLFNTSIDDTVLALAFKTDVTTIQNLKKGFTS >RHN45092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11710900:11711034:1 gene:gene39295 transcript:rna39295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MNKVTIVGSGNWGSVAAKLIASNTIKLSNFHGTFSSSTFFFYLS >RHN62722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45421112:45422964:-1 gene:gene25323 transcript:rna25323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLHTFAKLIRTTTTLSKSKLLHHHKTLTTTTTTTSKDEYFAAIQHVANIVRRDFYLERTLNKLRITITPELVFRVLRACSSSPIESLRFFNWAQSLHHHPPYTPTSVEFEEIVTILANSNNYQTMWSIIHQMTHHHHLSLSPSAVSSLIESYGRHRHIDQSVQLFNKCKIFNCPQNLQLYNSLLFALCESKLFHAAYALIRRMLRKGISPDKHTYALLVNAWCSSGKMREAQQFLKEMSDKGFTPPVRGRDLLIEGLLNAGYIESAKGMVRKMVKEGIIPDVGTFNALMESICKCGEDEVKFCIELYHELCKLGMVPDVNTYKILVPAVSKIGFMDEAFRLLNNFSEEGNRPFPSLYAPVMKALFKRGQFDDAFCFFADMKVKGHPPNRPLYTMLITMCGRGGRFVDAANYLFEMTEIGFVPISRCFDMVTDGLKNCGKHDLAKRVQQLEVSIRGV >RHN42735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40545193:40545372:-1 gene:gene49218 transcript:rna49218 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGWRIIVGTILAFLGSSFGTVGGVGGGGIVPMLTLIIGFDAKSATAISKCKNMCRVI >RHN71811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2758479:2759705:-1 gene:gene7448 transcript:rna7448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MAQPLTQSNPQATKPKSSMLLRYIAIIILALIIIVGLAVLISWLVVRPKNLQYSVEDASIHNFNLTDANHLYANFDFTIRSKNPNSKVSLYYDSIEVSVRYEDQTLATNAVQPFFQPHKNVTRMHARLTAQTVALYDSVPKDLKLERSSGDIALDVFIRARIRFKVGLLKTRHRTLRISCSPVLVNFSKAKSFERAYCDVEM >RHN54275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8207513:8208212:-1 gene:gene29281 transcript:rna29281 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVFWCMRKLSDKGIKLSGVDLKKRREQSAITIAIAMSCSCLLLCLGLALGVDHEECDSCCCCVYEFEF >RHN77998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11137297:11139985:1 gene:gene1512 transcript:rna1512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MNTYYHVMGLLLLVCYLNTLVVEFAHGTTDLVNYPQDLTCGNQVIKFPFHIKNQNPNPSLHGYPDFELFCSSNNETMIELPYKVKLNVKNIDYKHQTIELFDPQSCLYKHIHNLNLSESHFNYLKHDYDDFVDHHFFNCSLLNRDWMDSYSVSCLRTSTSQIYAIPSSTNIEDLPLSFCTKMFNVSFKPSDSLRLTWSEPNCKHCESKGKICGWKNTTSNSDNKEVDCVPKNKKGSSKALVNTGSILGSLFFILLIGGVFHIYDSYILRKEKQAIIEKFLEDYRALKPTRYSYVEIKRITNNFGDMLGQGAYGTVYKGSISKEFSVAVKILNVSQGNGQDFLNEVGTMGRIHHVNIVRLIGFCADGFKRALIYEFLPNGSLQKFINSPDNKKNFLGWKKLHEIALGIAKGIEYLHQGCDQRILHFDIKPQNVLLDHNFIPKICDFGLAKLCSRDQSIVSMTAARGTLGYIAPEVFSRNFGNVSFKSDVYSYGMMLLETIGGKKITEDLEENSSHVYYPEWIYNLIDDEEEMRIHVDDEGDEKIARKMAIVGLWCIQWHAMDRPSMQMVVQMLEGDEDKTPIPPNPFASQSRRPRKNSAIATTGHLAQDLDVIHELD >RHN70179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49388026:49418439:-1 gene:gene18734 transcript:rna18734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MNIVKGVADLIRRTSSGHSGESSSFHAQKFSPPGPKIRFSDAGDEAIVNTLWERYQKNDDKVEKKRLLHVFIKQFVVVYKDWEPINSGILLESASVEKFSSADDVVVGCSAGHPVEVIRVLVDEVTQLSSLVTELSTSILQSTELSGAATKSYITSEGFLILDALKIIARSLYNCRVFGYYGGIQKLTALMKGAVVQLKTISGALSADESLSDFVLEKIKLLQQILIYVVSIFYVFIDLGSNIDKKDELFCSLVGFISRVDAAISSSNSSKVLSTEARLHWRQKAIVSVMEAGGLNWLVELLRLCRRFSLKELLMDDSLQYLSLKILSLALSANPRGQNHFKSIGGLEVLLDSLGFPSNYATTYRKFVLTNGFRDDQPLQKIFQLHILALEVLREAVFGNMNNLQFLCENGRIHKFANSFCSPAFVLQDLRQGEDFAGQQAVSVPGLDIHENKNNMKFDPAMASAGLTPDASFSHFWNDYVLMLSRSLCSFLIVPGASKSLNIQLSSGRLALPVSSSYCELSIKWVIRVLFTLFPCIKACSNQNDLPSYLRVFVTILQNTVLNAFKNLLSTSPMSLENFREEGIWDLIFSENFFYFESGLEEIGRQVFAYNEKSELLSASSSTVDKPEVNGVRSLQMEIMSFVEFAATSNGNTHNMTELSALLDALEHSACNPEIAGLLVRSLVRILQLSPEKTITSCKTLNAVSRVLQVACVQAQECKRSGSMDPSSVNSGLEVLESVPDQPNCNSPETVQNWFGCMKMCMEFFTKFFASAEDTKSFILHSFASIDCLFDLFWIEGLRDDVLRHILDLMKIIPISEEDKKAKLQLCSKYLEMFTQIKEREKFFVDLSVDMLAGMREMLLANQAYYQALFRDGECFLHVVSLLNSDLDEGKGERLVLNVLQTLTHLLANNDTSKAAFRALAGKGYQTLQSLLLDFCQWHSSESLLDALLDMLVDGKFDIKISPIIKNEDVIILYLIVLQKSSESLKHNGLEVFQQLLRDSISNRASCVRAGMLDFLLNWFCQEDNDSVIFQIAQLIQAIGGHSISGKDIRKIFALLRSEKVGMRRHYGSVLLTSLLSMLHEKGPTAFFDLNGIDSGIILKTPLQWPLNKGFSFSCWLRIENFPRNGTMGLFGFLTENGRGSLAVISKEKLTYESINLKRQRSDLHVNLVRRRWHFLCITHSIGRAFSGGSLLRCYLDGGLVSSERCRYAKISEPLTSCMVGAKLKMPNYEDSTLTFESIRDSCPFFGQIGPVYLFNDAISSEQVQSIYSLGPSYMYSFLDNETLPVSGDKMPSGILDAKDGLASRIIFGLNAQASVGRMLFNVSPIMSHAVDKNSFEATVIGGTQLCSRRMLQQIMYCVGGVSVLFPLITQWCNFENEVGESEKTPLMQSTRECMMGEVIELIASLLDENVANQQQMHIVSGFSVLGFLLQSVPPQQLNLETLSALKHLFNVVSNSGLAELLVEEAISSIFLNPLIWVCTVYKVQRELYMFLIQQFDNDPRLLKSLCRLPRVLDIIHQFYCDNVKSRLYIGNNLLQHPVSKKVIGERPSKEEMHKIRLLLLSLGEMSLRQNIAAGDMKALIAFFETSQDMTCIEDVLHMIIRAVSQKSLLASFLEQVNIINGSQVFVNLLQREYESIRLLSLQFLGRLLVGLPSEKKGSRFFNLPMGRSKSISENYRKIRMQPIFLAISDRLFSFPQTENLCATLFDVLLGGASPKQVLQRHSHLERVKSKGSSSHFLLPQMLLLIFRYLSGCEDTDARIKIIRDILDLLDSNASNIEAFMEYGWNAWLTSSLKLGVLTDKNVKLPNHGNSTMDELLVVRNLFSLVLCHYLHSVKGGWQQLEETVNFLVMHSEEGGNSYRFFLRDIYEDVIQNLVDLSASDNIFISQPCRDNTLYLLKLIDEMLISEIDKELPLLGSESDFHLDLEMECHKEYSSALKDVLIGEVDEQTSRKSQNLKQPVPCDDTIEEKWWNLYDNLWVVISKMNGKGPSSVLPKSSSFAGPSLGQRARGLVESLNIPAAEVAAVVVSGGMIGNALTPKPNKNVDKAMVLRGERCPRIIYHLVILYLCKSSLEKSSRCVQQFTSLLPCLLTADDEQSKIRLQLIIWVLLFVRSQYGMLDDGARFHLLSHLIRETVNIGKSMLATSLVSRDDTLDPNYNLKDAGSIQNLIQKDRVLAAISDEANYTQISKIDRAQQVQELHIRIDENTLAESSSKQALEDEIQNSLNSILSSDDSRRAEFQLTYEEEQQNVAEKWIHMFRSLIDERGPWSTKPFPNCIVTHWKLDKTEDTWRRRPKLRQNYHFDENLCNPPSATASGIASPVNESNPGFVGNIPEQMKQLLLKGIRKITDEGTFDSNETNTEISGPNTSIPPDHSDSHSSDLLKDNSDRKDVVHERRDTPSSPETEASKVLVSIPCVLVTPKRKLAGHLAVMKNVLHFFAQFLVEGTGGSSVFRNFDALNNSDLTKSVQKQRSMKWPASDMDLQKGITVGNVEVINGNGPVKLMRCVKRHRRWSLAKIKAVHWTRYLLRYTAIEIFFSDSISPVFLNFASQKDAKDIGNLIVATRNEYLFPKGSGRDKNGPINFVDRRVAQEMAETARESWRRRDITNFEYLMILNTLAGRSFNDLTQYPVFPWVLADYTSEVLDYNRSSTFRDLSKPVGALDTKRFEVFEDRYRNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIEGTFKNCLTNTSDVKELIPEFFYMPEFLLNSNSYHLGVRQDGEPIGDVFLPPWSKGSPEEFIRRNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANIFYYLTYEGAVDLETTEDDLQRAAIEDQIANFGQTPIQMFRKKHPRRGPPIPIARPLYFAPDSISLTSIVSNTSQSSSAILYVGLMDSNVILVNEGLNLSVKTWVSTQLQSGGNFTFSGSQDYFFGVGSEMLSPRKIGIPVPEHVELGEQCFATMQAPSENFLISCGNWENSFQVISLSDGRMVQSIRQHKDVVSCIAVTSDGSILATGSYDTTVMVWEVFRGKTEKRIRNSQSELPRKNYVIIETPCHILCGHDDIITCLHVSHELDIIISGSKDGTCVFHTLREGRYVRSIRHPSGSPISKLVVSQHGQIVIYADDDLSLHLYSINGKHLATSESNGRLNTIQLSRCGEFLVGAGDQGQIVVRSINTLEVVKKYQGVGKVLTSLTVTPEECFLAGTKDGSLLVYSIENPQLRKTSHSKSTKSKT >RHN64820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62207706:62210132:1 gene:gene27684 transcript:rna27684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MGGLDGYFPVMVMIGLQFHYSLLAIFTRAALLDGLTPTVFVVYRQGIATLALAPIIFSSNKRRQSFKASLGLKSFSLMFMTSLIGVTANQNAYFSGLFYASSTAATAMSNLIPALTFVFAAILGFEKINLRSLRNVAKILGTICCVSGALTMAFLKGNKLLHMEFFLPDSKHLTASGDDTWILGCLLLLASSVFWSCWMIMQVPISSSCPDHILSTFWMCLFATIQSAIFALIKEGNLRVWTLNSPLQISCSLYAGIGIAASFFIQSWCISGRGPLYCVMFNPLATVITALVSAIFLQEELYIGSLVGAFGVISGLYIVLWGKAKDFDETKQELPQSQMQDDDISNRVDLEEPLLTDKSEYVAESKMEP >RHN45363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17868906:17874445:-1 gene:gene39651 transcript:rna39651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FMN-binding split barrel, cellular repressor of E1A-stimulated protein (CREG) MKVFFHLVLPLILILLCSQNSVVQGRLLSISTKPDPKDAAATARWLVSSNFWGVLNTISTDLGGTPFGNVVSFSDGLPDQGSGIPYFYLTTLDPTARNALVDERASFTVSEYPLGTCGKKDPENPTCSKISLTGKLKLVDKKSKEAEFARNALFAKHSEMMDWPENHDFQVFKLEIENIFLIDWYGGPKPLTVEEYLHPKLNNHGFVL >RHN53250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:387623:388243:-1 gene:gene28140 transcript:rna28140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PUA-like domain-containing protein MGKEVKRFLLKTEPSEWSWEDQEANGGISKWDGVKNKQAQKYLKSMSLNDLCFFYHSGPKSRRIVGVVSVVKEWYTDNDDDGAVDVKAVGEMRRVVDLKEMKHLKDFVLLKQPRLSVVPVPHVIWDTICDLGGGYHGDGNHESNPC >RHN63431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51243476:51245395:-1 gene:gene26129 transcript:rna26129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MVLIISSILLILFLLIKWYSNSTKSKNLPPSPPKLPIIGNLHQLGRLPHRTFLSLAKKYGPIMQLHFGSVPVLVISSADAAREILKTHDLVFANRPQKKNYKILIYDCKDVSTAPYGEYWRQIKSISVLHLLSAKRVQSLRAVREEEVGLMMEKIKHYSSKSLPVNISELASKITNDIVCRVALGRKYDGESGKGFKKLLREFNESLSAFIVGAYVPWLDWVTHVSGFYARAKKVAKQFDELLEDVVEDHINRQKGVNEDHDDFVDVLLWIQKTESLGFPIDRTIIKALLLDMFIGGTDTISSLLEWEMTELIRHPNIMKKLQEEARLVANGRKHITEEDLSHMNYLKAVVKETLRLHPPFPLLVPRVNTQDIKLNGYHIKAGTHVIINNWSIARDPTNWNQPEEFKPERFLNNPIDIKGNDLILTPFGAGRRGCPGVVYAIAANEIVLANLIHQFDWELPGGAKGLETLDMSETIGFIAHRNTPLVALATPNKK >RHN58611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3271963:3275756:-1 gene:gene20537 transcript:rna20537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PH domain, nuclear pore complex, NUP2/50/61 protein MGDAENALQSSKKRAAGRELTRDTPIDDEEDDTDFEAGTFKKASEEVLATRRMVKVVRRQQKSAPNPFAGIRLPAPTESTAKSGEATSETQPEKAKDEETKQPEIKAPEVEDKSTSNNDVADRNNAGKDLAEKETDSDNSKVDNEQSKDGSKIENEDKKEVADKESAGEINKEPPTEEKNTENSDKNGNSESKDKEDKVSESKDKEDKVSDEPTAEGGPFKSFQQLSSNQNAFTGLAGSGFSSSLFSFGSTTNDGSALGSGSSSIFGLKPDQPLGLGLSNAGSSGFGTSGASAVSKTETLQEVVVETGEENEEVVFNADSVLFEFADGGWKERGKGEVKVNVTSGTEKKARVLMRSKGNYRLILNARLYPEMKLTNMEKKGVTFACATEGKDRLSTFALKFKDGSIVEDFKTAITAHKGEASTIVKTPENSPKASDV >RHN77160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4529542:4530904:1 gene:gene586 transcript:rna586 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFKFQSAIFVIFFLLRGRFVFVALNWSFVRSTYRSALTCLRKIL >RHN57162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37006626:37007391:-1 gene:gene32666 transcript:rna32666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MKGYEPRSSSSCAACKFLKRRCIPNCIFAPYFRSDECKKFAKVHKVFGASNVSKILIEVPEEQREETVNSLAYEADARLRDPVYGCIGAIALLQRKMVELQHDLAIAKDRLARCAAAAATPTSSFSNDMMNSNVSLPPFPEFFTCSDFSDNLSHSSSSQSFTRNETVDDFVQIPYIF >RHN59738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12730684:12731073:1 gene:gene21845 transcript:rna21845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP47 reaction center protein MFVLNMVSDPYGLTGRVQSVNPAWGVDGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPMNYCPTRYQWDQGYFQQEIYRRVGAG >RHN78700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17655051:17656252:-1 gene:gene2338 transcript:rna2338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MEFAHLSVLALFCLAFVGISATTLSSEDYWQTIWPNTPLPKAFSDLLLPYGKTNNLPIKTEELNQYSTLFFEHDLYPGKKMILGNNNSLRNTVRPFGKPRQGITDSIWLANKERQSLDDFCNSPTATGERKHCVSSLESMVEHVISHFGTSKIKAISSTFGVNQDQYVVEEVKKVGDNAVMCHRLNFEKVVFNCHQVQATTAYVVSLVAPDGGKAKALTVCHHDTRGMNAELLYEALKVEPGTIPICHFIGNKAAAWVPNHSEDHPCVI >RHN59005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6596158:6599397:1 gene:gene20985 transcript:rna20985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MSLAAPSPVDSTQHTVPDIRRPNINFSPSVWGHIFLQYDSESMGIINDNMKQQVQMPKEEVKKIFLSCKNDVSQQLNLIDSLHRLGISYHFESEIDEALKQIYTKFTNNKEITTNEGGLHFLALAFRLLWQKGYQISSEIFEKFKNNEENFNEKLSKDVQGMWSLYEATQLRVHNEDILDEALDFTYSHLNSYINTQLSPFLCTQIRRCLNTPFHKGVPRLETWYHISSYNEEPSHDKVILNFAKLDFNMMQKMYQKELGGITKWWKESDFGTKVPYARDRVVQGYFWLLTMSYEPRYTLARKLGTKLALCLSLLDDTYDAFGTVEELELFTQAIQRWDISLIQSLPECMKVVFNTILELWDEYETTIVENGKSSLLLQYVKEDFYKLAKSYLVEAKWCNEGFIPTYNEYKANGIISSTLSLQLTIFSWSWRIFKQRVT >RHN60892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31402079:31402572:1 gene:gene23285 transcript:rna23285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative licodione synthase MPYLQAIIRETFRLHPPVPMVTRRCVAECKVENYVIPENTLLFVNFRPERFLKNGEGDSIDVRGQHFQLLPFGSGRRMCPGVSLAMQEVPALLGAIIQCFDFKVVDHKTGMILNDVGDIDVDERPGLTAPRVNNLLCVPVERTKCGAT >RHN78800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18547484:18565709:1 gene:gene2453 transcript:rna2453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MDDFDVMDMDMDMDMEMDVPLPEELELLESTYRTYEQQPDDDDHYFYNPPEDEPEPEPEQQSSPTDLVNSHKRSRSNSDSDLDLDSSDVEKSEKVRVRVAEDAPAEEEDWLRPPPTSDTVEEVRFSKDKTLSRFASEIDGEVMTVTAPNGDRVYTKLDRYYGEDRVRKLNCRGDSSDLAVEPISVLLERLDQENFAKTLEASSESQSVVDVPETLTVHEKLWVDKYAPKSFTDLLSDEQTNREVLLWLKQWDSTVFGSEIRSTSDDVLSALKRHSSISHKPKPLASNFPRTKGGHNWSSNSSSNFSRTRGGHTWSSNSSRYTNFKSTDESGSSNSFQDTRNAKSRNTGAPEQKILLLCGPPGLGKTTLAHVAARHCGYHVVEVNASDDRSTSTIEAKILDVVQMNSVLSDSKPKCLVVDEIDGALGDGKGAVEVLLKMISAEKKPDAGKQSLDKGQMERKSSKKGRKTASLSRPVICICNDLYAPALRSLRQVAKVHIFVQPTVSRVVSRLKYICNKEGVKANAIALSALVDYTACDIRSCLNTLQFLSKKKEALNAFDIGSQVVGQKDMSKNVLDIWKELFQRKRTKKMERKSLNSKFSEFDTLYSLISYRGDSDLILDGIHENILQLNYHDPVMQKTVKCLNSLEVYDLLHQYIMRTQQMALLVHLPPIAIIVHHTVAQVQKPNIEWPKSYHRYRTTMMEKMDILNTWHHKISPHIARHLSPTSFVEDLISPLLDILSPPSIRPVALQLLSDKEKNDIAQLVSTMVSYAITYKKMKSDALPNTLKYEVADELSLSLVPSIGSFINFKDYTSNHYALSLPMKQVLVHEVEKQKILQANIKTATLTNGGHDAIEAGNKNNIPFANTKNHAAALDMKTIESQPNVLARKSNEHPKTVSPNLNPTKIPNATNKTKLLDMANKKKPSRSSLNFFDRFKKSSVKGLQNDDRSLQKETTSEKDRSPLLFKFNEGFTNAVKRPVRMREFLS >RHN73897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21333525:21352488:1 gene:gene9789 transcript:rna9789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SLC12A transporter family MGDSDIEGAGGGGDDGFRSPIGRKYRPVLANDRAVLEMSSMDPGSSSSASSSAFPDQPTNLRKINVGKSGNGSSDAKDGDSPHQSQPNGPQQESKLELFGFDSLVNILGLKSMTGEQPAQPSSPRDGEDITITAGLPKPDTLKLGTMMGVFIPCVQSILGIIYYIRFSWIVGMAGIGETLILVALCGTCTFLTSISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGALYVLGAVETFLKAVPAAGIFRETITQVNGTKIAQPIESPSSHDLQIYGIVVTIMLCFIVFGGVKMINRVAPAFLIPVLFSLICIYLGVLLAKKDHPTEGITGLSFETLKENWSSDYQKTNDAGIPEPDGSVTWNFNSLVGLFFPAVTGIMAGSNRSSSLRDTQRSIPVGTLSATLSTSFMYLISVILFGAVATRDKLLTDRLLTATIAWPLPSLIKIGIILSTMGAALQSLTGAPRLLAAIANDDILPILNYFKVADGSEPHIATLFTALLCIGCVVIGNLDLITPTVTMFFLLCYSGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLLGALLCIVIMFLISWSFTVVSLALASLIYKYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLVFCRPWGKLPENVPCHPKLADFANCMKKKGRGLTIFVSILDGDYHECAEDAKTACKQLSTYIEYKNCEGVAEIVVAPNMSEGFRGIVQTMGLGNLKPNIVVMRYPEIWRRENLTDIPATFVGIINDCIVANKAVVIVKGLDEWPNVYQKQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDADAEGLKADVKKFLYDLRMQAEVFVITMKWDVQVDSGSPQDESLDAFTSANQRIVDYLTQMKATAEREGTPLMADGKPVIVNEKQVEKFLYTTLKLNSIILRYSRMAAVVLVSLPPPPLSHPAYFYMEYMDLLLENVPRILIVRGYRRDVVTLFT >RHN52730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38198164:38200389:1 gene:gene37431 transcript:rna37431 gene_biotype:protein_coding transcript_biotype:protein_coding MTSILLRNISFHARRIRLSHTFKSSPSILSLYKPTSFFSSSSDPPPSTIPHAHEKNQQNQQSLDDLEDISNEELKRRVARLREGDDDAIPEVFEAVLQRYLTGKPIEADQDLMRDILGKGTESKDDDEVGKGTESEDDDDEENEDEFDSDSEEMSDSDFEEEEAFNRDVKAKRGANVRKD >RHN48779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50142138:50143413:-1 gene:gene43532 transcript:rna43532 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPLPSPSARRPLVTPASYDKVKGKGAKNWASKCSRPNAEVPIPFPNQFPTSVAGFQRPYAPFQVRPYEYQCARPTALPQMGMDLLMVVQFKAQLSQPGLGSRGTGVFHAPRELLEYNRTNCSNIKGQLYILTWNESRNFNK >RHN52967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40739522:40744015:1 gene:gene37709 transcript:rna37709 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFYKFLHSSPSKKSEYLPSFSLPLTCPPILFLSNFIKPTLSFKQFNIMVLIPSISLCKLEFNLFPSLFLI >RHN54975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14147091:14153450:-1 gene:gene30089 transcript:rna30089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MNLDPVFLSKPIFLHGLSTLIHVLLLVSVLVSWVWKKITTCVINECKERPNSTWFNKITKFCSIGFSSFNFVLFLFNYFYWYRNGWSDEKVVTLFDLALKTVTWFVVFVCFHKGFFFFLSSGQRKRKFSFFFRAWCVFYLFVSCYCFVVDIVVLYENHIELTVQCMVSDVVSFCVGLFFCYVGYCVKNESEESDETIHEPLLNGDTHVGNDNALELNKTKGSDTVTPFSNAGIWSLLTFTWVSPLIAFGNKKTLDLEDVPQLDSRDSVVGAFPIFRDKLEADCGAINRVTTLKLVKSLIISGWKEILITAFLALVNTFSTYVGPYLIDSFVQYIDGKRLYENQGYVLVSSFLFAKLVECLTERHLYFRLQQLGLRIRALLVTIIYNKALTLSCQSKQCHSSGEIINFITVDAERVGTFGWYMHDLWLLALKVTLALLILYKNIGLASIATFVSTVVVMLANVPLGSLQEKFQDKLMESKDARMKTTSEILRNMRILKLQGWEMKFLSKITALRDAEQGWLKKYLYTSAMTTFVCAPTFVSVVTFGTCMLIGVPLESGKILSVLATFKILQEPIYNLPDVISMIAQTKVSLDRIASFLRLDDLQSDIVEKLPPGSSDTAIEVVDGNFSWDLSSPSPTVQNINLKVFHGMKVAVCGTVGSGKSTLLSCVLGEVPKISGVVKVCGEKAYVAQSPWIQSGKIEDNILFGKQMVRERYEKVLEACYLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGVLSSKTVVYVTHQVEFLPTADLILVMKDGKITQSGKYADLLNIGTDFMELVGAHREALSTLESLDEGKTSNEISTLEQEENISGTHEEANKDEQNGKSGDKGEPQGQLVQEEEREKGKVGFSVYWKYITTAYGGVLVPFILLAHILLQALQIGSNYWMALATPISADVKPPIEGTTLMKVYVGLAIGSSLCILVKGLLLVTAGYKTATILFNKMHLCIFRAPMSFFDSTPSGRILNRASTDQSEVDTGLPYQVSSFAFSMIQLLGIIAVMSQVAWQVFIVFIPVIVVSIWYQRYYSPSARELSRLGGVCEAPIIQHFVETISGTSTIRSFDQQSRFHETNMKLTDGYSRPNFNISAAMEWLSLRLDMLSSIIFAFSLAFLISIPPGIMNPGIAGLAVTYGLSLNMIQAWAIWILCNLENKIISVERIVQYTTIPSEPPLVSEEENRPDPSWPAYGEVDILNLQVRYAPHLPLVLRGLTCMFRGGLKTGIVGRTGSGKSTLIQTLFRLVEPTAGEIIIDGINISTIGLHDLRSRLSIIPQDPTMFEGTVRTNLDPLEEYTDEQIWEALDKCQLGDEVRKKEGKLDSSVSENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNLIQQTLRKHFTDSTVITIAHRITSVLDSDMVLLLSQGLIEEYDSPNTLLEDNSSSFAKLVAEYTMRSNSNL >RHN63979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55616077:55619320:1 gene:gene26748 transcript:rna26748 gene_biotype:protein_coding transcript_biotype:protein_coding MWRHLSSNPVMVFNLTILIFYQLSCNIVFPFLPFLCFCLMQGKWLHLFFIRKKKKRSGYGVLIAAISR >RHN71071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56261805:56270140:1 gene:gene19714 transcript:rna19714 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MSEEQGGNNVPYSNGKEERIYVAIRVRPLNEKEKTRQDVSEWECVSHSTIKFKNNGHAEQRSSPDTYTFDRVFGEKCPTKQVYEQGIKEVALSVIRGINSSIFAYGQTSSGKTYTMTGITELAVKDIYEYIEKHKEREFIVKFSALEIYNEAVRDLLNSNATTLRLLDDPEKGTVVEKLTEETLTERSQLQQLISKCAAERTTEETAMNETSSRSHQILRLTLESNPSDFVGTARSGALFASVNFVDLAGSERASQALSAGTRLREGSHINRSLLTLGTVIRKLSKEKNGHIPYRDSKLTRILHNSLGGNARTAIICTISPARSQIEQSKNTLFFASCAKQVTTNARVNVVMSDKLLVKQLQNELARMENELKSLSTIILKEREHQIEQKDKEIKELTRQRDLFQNLLQSVGKDQVLRVDQDWASEWSGVSNDLSPVTNVIPENLDRTTSGSSISNENLFKQPEYSEDNFLLDGCPPTFVGPDPCQGWEEMASRSEFEDSFKELPCVEIKEVEKEDKPDINMPISTFEEREGSTHAFEESEGNSPMIQFVEVDGKSPMGSGHSDQDAPQQKIEDIKRTNNHHVNHTEKFNDSFESETCCMATISLPQVECKSSIWNGHSDQDAPQEKIEDIKRTNIHLANHTEKSNDSFESETCCMAPMSPPQVERKSSTENGHSGEDAPKQKIEDIKRTNNHIVNLKEKSIDSFESKPCCMDAMSLPQVECKSSIWNGHSDQYAPQKKIEDVKITNHHLVYVSEKSNDSYESESFCMTAPSHPLVECKSSKGDEHNDQDDPQLKIEDIKRTNDLANITHEYNDSFEPEPCCIAVMSPPQAEPKSSTWNECDVQNAPRQKTEDIERTNDRLVNLKEKSKDSFQFEPCFMDAMSPRHVEPNSSIMNGHSDQNAPRQKIEDIEMTNDHLVNIAYKSNDSFKYEPYCMVAMSPSRSEPKSYRRNRDSDQNAPHKKIEDIERTNGHLVNLPEKSNDPSEHESCSMVVVSPRQVDCKSSTGNGHSDQYVPQQKIEDIKRTNDHLVNLSEKSIDSFESEPCCMAAISLPFVDGKSFVGNGHSDQDAPQQKTEDIKRTKDHLVNISTKSNDSFESEPRCMTAMSLPQVDGKSSIGNGHSDQDAPQRKVEDIKRSSDHLVNLSEKSNDSFESKSRCMAAISPPRVDKVDQENSSHSQFLKLEQNMSPSSSNKLDQEPTSPHQFDQEDLKTISPPQLDDMEQVSFKASAGAEKEYFLECFPEKLSEPKLRAKRRKTSKKSSIIHEMNASVEDAESVMDSDTEETSSVLSFVVRMDESLKPKPVVKDIDNLMVPMRTPPINKNVNRVRGLSLPGVWGTLIPSKFEMQQRDIVELWDACNVPLVHRSYFFLLIKGELSDSVYLDVELRRLSFLKDTFSSGNQTTGVEGHDVTPNSSLLSLTRERKMLSKQVHKKFSRKGREELYLKWGIDLKTKHRSIQLAWLIWTDTRDLNHIRESAALVAKLVGFINTGETSKKTFGFGFLSRRKSIKSLSWKDTMSTL >RHN77511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7324348:7327723:1 gene:gene975 transcript:rna975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TRAM/LAG1/CLN8 domain-containing protein MEEYIQKTIVVGVFSWTTTFLVMRKIFPKRSFDFSNRIVSTIHAILAVTLATLSVQDWKCPICPVASDSSYKQMEVLAVSLSYLIYDLVCCLFDEKFNWDNTIHHLVSIVGLIAGLCYQKCGSEMVGAVWVTEMSSPFLHLRELLKELGYKDTPLNLTADILFASIFTFARMMIGPCITYVTLTSNNPFLIKAMGLGLQLVSTFWFYKIVRMMKYKLTKKSSTSKNGIKHTTNIKSKTSS >RHN45926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26828842:26831655:-1 gene:gene40355 transcript:rna40355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAGTLKCVYIMILIYSLCFLVAGGIKFNGECRNINDCYRKYTLVPYGYMRCIRGYCKLQRDVKFL >RHN52012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30269555:30270070:-1 gene:gene36620 transcript:rna36620 gene_biotype:protein_coding transcript_biotype:protein_coding MEKILEVAKQNERNRTCVVEVGVTKTMIMVIKKKFKQGNTIGLEEALKITRLLWNEATINNRVKLLVGKNMDFMNLLTWILKIYIDNNNFEMLNEVMPLLKLTIDVVDSNLLRNLNIEFFITFSKQAIHSVLHVLIETCPLGGSRTRIMEADGREQLFRHAADIAMISVMA >RHN76025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47075640:47081598:1 gene:gene12296 transcript:rna12296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclear factor related to kappa-B-binding protein MMAIEKNSFKVSRVDTECEPMSKESMSSGDEEDVQRRNSGNESDEDDDEFDDADSGAGSDDFDLLELGETGAEFCQIGNQTCSIPLELYDLSGLEDILSVDVWNDCLSEEERFELAKYLPDMDQETFVQTLKELFTGCNFQFGSPVKKLFDMLKGGLCEPRVALYREGLNFVQKRQHYHLLKKHQNTMVSNLCQMRDAWLNCRGYSIEERLRVLNIMTSQKSLMGEKMDDLEADSSEESGEGMWSRKNKDKKNAQKLGRFPFQGVGSGLDFHPREQSMVMEQEKYSKQNPKGILKLAGSKTHLAKDPTAHSSSVYHGLDMNPRLNGSAFAHPQHNISTGYDLGSIRRTRDQLWNGDNEEEISYRDRNALRGSLMDMSSALRVGKRHDLLRGDEIEGGNLMGLSMSSKTDLRGYTRNPNQSSDMQLFAAKPPSKKKGKYAENVQQFVGSRGSKLSHNVDSIHSPDPDDLFYNKRPAQELGMSSLFKYEDWNPKSKKRKAERESPDLSYTAYRSSSPQVSNRLFSSDFRTKSSQEKIRGSFVQNGRKDMKPLRGSHMLARGEETESDSSEQWDDDDDNNPLLQSKFAYPIGKAAGSLTKPLKSHLDPMKAKFSRTDMKATQSKKIGGFAEQGNMHGADNYLSKNAKKSKIFNGSPVRNPAGKFMEENYPSVSDMLNGGHDDWRQLYKSKNDQIRDEPVQRFDMPSSTSYAAEHKKKGRIGLDHSSMRSKYLHDYGNDEDDSLENRLLADENGVGQSRFWRKGQKNVAHKDDRDERSEVPLLGCNSAMKKRKMKFGAADFGERDEDANLLSSNPSKIDDLPAFSLKRKSKKKPGAEMVISEMENSELPLTHTVTADVEVETKPQKKPYILITPTVHTGFSFSIMHLLTAVRTAMISPPEVESLEAGKPVEQQNKAQEDSLNGVISSDKVDDKVAANVEPSDQKNVPSLTIQEIVNRVRSNPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKVLAVYEKSTRSWSWIGPVLHNSSDHDPIEEVTSPEAWGLPHKMLVKLVDSFANWLKCGQDTLKQIGSLPAPPLELMQINLDEKERFRDLRAQKSLNTISPSSEEVRAYFRKEELLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEDVSDEKINQVVSGALDRLHYERDPCVLFDQERKLWVYLHREREEEDFDDDGTSSTKKWKRQKKDVADQSDQAPVTVACNGTGEQSGYDLCSDLNVDPPCIEDDKEAVQLLTTDTRPNAEDQVVVNPVSEVGNSCEDNSMTWEALDLNPTRELCQENSTNEDFGDESFGRERPVGLLSASLL >RHN81092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43247463:43247927:-1 gene:gene5089 transcript:rna5089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MASISKTVLFVSMLLTILFAFHFKDGESIFFVVPKVTVYVTNNLTNYVQLGVHCKDKNNDIGFQSLHFAESYTFTFRPAYMSYRSLYFCGFSFNNEFHRFDIYVQKRDQTKCEHECHWQIKESGPCKINDGSTECFPWNPNVVEDRQLGHTLNV >RHN44504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5507684:5513203:-1 gene:gene38632 transcript:rna38632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MDIDAILGTTKPVAASIPRKSAIYVWGYNQSGQTGRKEKDDQLRIPKQLPPQLFGCPAGLNTRWLDIACGREHTAAIASDGLLFTWGANEFGQLGDGTEERRKHPKKVKQLESEFVKSVACGAHCSACIAEPRENDGTVSTGRLWVWGQNQGSNLPRLFWGAFEPNTTIKQVSCGAVHVVALSEDGLLQAWGYNEQGQLGRGVTCEGLQGARIISSYAKFLDEAPELVKITRVSCGEYHTAAISDKGEVYTWGLGNMGQLGHTSLQYGDKELIPRRVVSLDSIFIKDAACGGVHTCALTQEGALYAWGGGQSGQLGLGPDTGLFSCIPNDSRTFFRNIPVLVVPKGVQLVACGHSHTLICMRDGRIHGWGYNSYGQAANEKSTYAWYPSPVDWCVGEVRKLAAGGGHSAVLTDAFSLKELCEFVLADSMTLSNAAKVEDIAYRTGSDALARLCGRLREYMLAGGAHGQEEEENSKI >RHN79235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26322317:26323174:1 gene:gene2989 transcript:rna2989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MAYKRVIPISPSPESNNAFFENQSVRIPTLFSSSSVIVGSIVCPITTTDNNNGKLMGRTLEQPSSSRFIDLSPEQMKDVKASSSKKPKGRPIGSKNKSKELVVLNEKKQNLMEPILIKIPYGKDVVETLIDLARHQQVGITVRSGSGPVSGVTLLHPTTGAPTPPMIGTFDMISFSGTYINGDCHQIPPKLIAVPTGSSFSICFSGVGNEIFGGIVGGKVEAAGNVFITAALFKNPEFHRVSIINGTYQIDEGNPRDVGGIIPSVHHVVPESNNDYDIHMNDIDN >RHN75109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39444459:39448405:1 gene:gene11268 transcript:rna11268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dTMP kinase MIYGTCITALKSLSFRALVLPNSLNFQVKLSSKCSPRRIRMEAGNLSCSIEGNKKESRGALIVLEGLDRSGKSSQCSRLVSYLEGEGLSAELWRFPDRTTNVGQMISAYLTNASQLDDHTIHLLFSANRWEKRSLMETKLKSGTTLIVDRYSYSGVAFSSAKGLDIDWCKSPENGLLAPDLVAYLDISPDKAAERGGYGDERYEKLEFQQKVAEHYKVLHDASWKIVDACQPIEDVEKQLQEIALACVKQCQQGKPLSSLWST >RHN61142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33402841:33408118:-1 gene:gene23575 transcript:rna23575 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NTH1 MSWCVSVSVIQRIITMLPYKYISKTKMLVVPSSSHCFVSGSWRIKATIMSDTTTTFASIKPSDSDPTSGASASQPVHVYVRRNKKAKGITTTTTTNMTKLQQNQHLPSTQTHKKFGLPEIEDFAYKGSNELTQCLKSEMSLDVTPTEIEVASTTHSIKSPAHWEETLEGIRKMRCSGDAPVDTMGCEKAGSTLPPKERRFAVLVSSLLSSQTKDHINHGAIQRLLQNDLLTPDAINNADEETIKKLIYPVGFYTRKATNLKKIAHICLMKYGGDIPSKIEQLLQLPGIGPKMAHLVMNVAWNDVQGICVDTHVHRICNRLGWVSKLGTKQKTLTPEETRVSLQLWLPREEWDPINPLLVGFGQTICTPLRPRCGECSISHLCPSAFKEAAGSSPVSKSTKSGRNKKR >RHN74649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35506196:35511526:1 gene:gene10753 transcript:rna10753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MGLELSFSSKNVFFHFYMILLFSLGIFFVSSINEEGSTLLKFTITLLDSDNNLVNWNPSDSTPCNWTGVSCTDSLVTSVNLYHLNLSGSLSPTICNLPYLVELNLSKNFISGPISEPFFDKCNKLEVLDLCTNRLHGPFLSLIWKIKTLRKLYLCENYMYGEIPNEIGELISLEELVIYSNNLTGIIPKSISKLKKLRVIRAGLNGLSGTLPSEISECDSLETLGLAQNQLVGSIPKELQKLQNLTNLILWQNSFSGELPPEIGNISCLELLALHQNSLIGDVPKDIGRLSRLKRLYMYTNQLNGTIPPELGNCTNAVEIDLSENHLIGIIPKELGQISNLTLLHLFENNLQGHIPKELGNLRLLRNLDLSLNNLTGRIPLEFQNLELMEDLQLFDNQLEGVIPPRLGAVKNLTILDISENNLVGKIPIHLCEYQQLQFLSLGSNRLFGNIPYSLKTCKSLVQLMLGDNLLTGSLPVELYELHNLTALELHQNRFSGFISPEIGQLRNLVRLRLSDNHFSGYLPSEIGNLSQLVTFNVSSNRLGGSIPDELGNCVKLQRLDLRGNKFTGMLPNSIGNLVNLELLKVSDNMLFGEIPGTLGNLIRLTDLELGGNRFSGRISFHLGRLSALQIALNLSHNNLSGTIPDSLGSLQMLESLYLNDNQLVGEIPSSIGELPSLLTCNVSNNKLIGAVPDTTTFRKMDLTNFAGNNGLCRVGTNHCHPSLASSHHAKPMKDGLSREKIVSIVSGVIGFVSLIFIVCICWTMMRRHRSDSFVSIEEQTKSNVLDNYYFPKEGFTYNDLLEATGNFSEGEVIGRGACGTVYKAVMNDGEVIAVKKLNTRGGEGTSMDRSFLAEISTLGKIRHRNIVKLHGFCFHEDSNLLLYQYMENGSLGEKLHSSSKECVLDWNVRYKIALGAAEGLCYLHYDCKPQIIHRDIKSNNILLDHMFQAHVGDFGLAKLIDFSLSKSMSAVAGSFGYIAPEYAYTMKVTEKCDIYSFGVVLLELVTGRSPVQPLEQGGDLVSWVRRSIQASIPTSELFDKRLNLSEQKTVEEMSLILKIALFCTSSSPLNRPTMREVIAMLIDAREYVNQSPNSPTSECPLDEYKSSSSKDDSLE >RHN48410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47277776:47280986:-1 gene:gene43123 transcript:rna43123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MDHPGGHAPLSEPNTPTTPRPTLFLSTSGKALLVSNSNKSLVISNSGKRLVETPCKKKYVKQVTGRHNDTELHLAAQRGDAAAVRNILAEIDDQMMGTLSGAEFDAEVADIRSAIVNEVNDLGETALFTAAEKGRFDVVKELLPYTTKEGLSSKNRSGFDPLHIAANQGHKEIVQLLLDHDPELIKTFAQSNATPLVSAATRGHADIVELLLSYDPSQLEIARSNGKNALHLSARQGYVDIVKILLGKDPQLARRTDKKGQTPLHMAVKGVNCEVVKLLLAADGASVMLPDKFGNTALHVATRKKRVEIVNELLLLPDTNANALTRDHKTPLDLAEALPISEEILEIKDSLIRYGAVKANDLNQPRDELRKTMSQIKKDVSFQLEQTRKTNKNVNGIAKELRKLHRAGINNATNSVTVVSVLFATVAFAALFTVPGGDHDNGMAVMVHTASFKTFFISNAIALFTSLAVVVVQITVVRGEIKSERRVTEVINKMMWLASVCTSVSFVAASYIVVGRRSLWAAILVTVVGAIVMGGVLGTMTYYVIKSKRHRRVRKKEKISRNGTHSWRNSDSESEVNPIYAI >RHN44836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9003593:9006065:1 gene:gene39002 transcript:rna39002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MARIYDGNAVGIDLGTTYSCVGVWLEEKNRVEIIHNDEGNKITPSFVAFTDDQRLVGGAAKDQAAINPENTVFDAKRLIGRKFSDPVVQNDIMLWPFKVISGVNDKPMITVKYKGQEKNLCAEEISSIILTNMKKIAETYLKSPVQNAVVTVPAYFNDSQRKATMDAGAIAGLNVMRIINEPTAAAIAYGLDNKGNCDGERNIFVFDLGGGTFDVSLLTIKGDVFEVKATAGNTHLGGGDFDNRMLNYFVEEFKRKNNVDITKNPRALRRLRTACEKAKRTLSFKLVTTIEIDYLCEGVDFSSSITRVKFEEINMDLFNECINTVESCLTDSKIEKNGVDDIVLVGGSSRIPKVQELLSDFFNGKDLCKNINPDEAVASGAAVQASLLCKDFKKVPNLVLRDVTPLSLGISGHGDIMAVVIPRNTTVPVKRPHECGTAKDNQSSARIKVYEGERARASDNNLLGFFNLSCVPGAPRGHPFEVCFTIDENGILTVSAKEISSGNTNEITITNDKERLSADEIKRLIQEAEVYLAEDQKFLRKAKVMNSLDDCVYKLRNALKNKDIKLKCSSQKVKKINHAITMATNLLDKNNQENDIDVLVDHLKELESMLEDLLVKSG >RHN39545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8075191:8078739:-1 gene:gene45576 transcript:rna45576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MCGGAIISDFIPAAAAVGGSRRVTADILWPNLRRKPSSRKPFLLDDDFEAGFREFKDDSDFDEDEDEDDDEGLLVGVKGFTFASNKNNKSSKSFVRGSSAAKSVALNSNQQAEKDSKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGNKAKVNFPEEAPVASSKRLKKNPEMQLLNDNLNSFKPNGNQMFNFSENMENYYSPMDQVEQKPLVNNQYADIGAFSGNGVQLTSADVNAYFSSEHSSNSFDYSDLCWGEQGAKTPEISSVFSAAAPMEGESQKNMLSDNTQDMLPMQNDSAKTLSEELADIESQLKFFENDNWSDASLAALLSGDTTQDGGNTMNLWNFDDLPSIAGGVF >RHN56941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35061920:35064333:-1 gene:gene32411 transcript:rna32411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MPLFVCGQKQVYMEKCKTRGVRKGAWTYEEDKLLKACMQKYGEGKWHLVPQRAGLNRCRKSCRLRWLNYLNPTINRESFSEDEVDMILRLHKLLGNRWSLIAARLPGRTANDVKNYWHTHLRKKMVSRKEEKKENEKPKESMQTHEVIKPQPRTFSSHSPWLNGKYNNFVTPIVTVSTNDGNVAKDSEVDTILPINGDGDSAAQPYLENPTLSSMWWESLLNVSNDKIGSCSLLLPEEYSKLNVENFLAEGPSTVGDFSWDSTICEFDSLLDDILN >RHN45780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25506660:25516697:-1 gene:gene40178 transcript:rna40178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MAANFWTSSHYTHLLVQEDVDKVNPVDKEKGVTLEDFKLIKMHMSNYILKLAQQVKVRQRVVATAVTYMRRVYTRMSMTEYDPRLVAPACLYLASKAEESTVQARLLVFYIKKLYADDKYRYEIKDILEMEMKILEALKYYLVVFHPYRSLSGFLQDAGLNDLSMTQLTWGLVNDTYKMDLMLVHPPHLIALACIYIASVLREKDTTVWYEELRVDMNVIKNISMEILDFYENNRMFTDERINTALQKL >RHN49511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55288909:55289445:-1 gene:gene44351 transcript:rna44351 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNAKTDINSIWFDERLSHNPKSKTFFLCQASLHSPCIIGLDFCSNLLDILYLQNI >RHN68007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32086318:32088942:-1 gene:gene16282 transcript:rna16282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-linalool synthase MDVTYVKQALIFKQVYKKLVKIEDPMESFYLIDIIQRFGIEHYFAEEIKVALEKLHLTLNTNSIDFASSHEIYEVALAFRLLRQGGHYVNADLFDSLKWNKRMLKEKHGEDVKGLIALYEASQLSIEGEDSLNDVGYLCRELLHGWLSRNQEHNEAIHVANTLQNPLHYGLSRFMDKSTLIHDLKEEKDLICLEELAKINSNIVGFMNHNETIEVSKWWKELGLAKEVKFSGYQPLKWYTWPMACFTDPNFSEQRVELTKPISLIYVIDDLFDVHGTLDQLTILTDAVNRWEITGTEQLPNFMKIALNALYDITNNFAEMVYKKHGFNPIDTLKKSWVLLLNAFMEEAHWLNSSHLPRAEDYLNNGIVSTGVHVVLIHAFFLLDHVNGITKQTIDILDEKFPNVIYSVAKILRLSDDLEGAKSGDQNGLDGSYLDCYMSEHQDISSEDVQGHVAHMISNEWKFLNQEILVANQFSSSFSNFCLNAARMVPLMYHYKSNPSLSNLQEHVKSLINVSVGCN >RHN56186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28587013:28590503:1 gene:gene31547 transcript:rna31547 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPNIHVLMANTMFTQKSTCLTFGIFGRYQASKVDNSNHYLRFNSKIQHHFSVSQHNHGFRIQKHNPKRKMQIKSWSIFCAREPREAPTLVDRFRDHWKSWILGTIFTILLSFITRGKWGPLLLLKEKVETTIEKAEQVANIVEEVAERVDKMAERTAKNLPKGKRRVVAEFVENVAEDVEKIAQNAEDALEKVENMEKELESFMQSSTDHHEKLISPTESKDKK >RHN40530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17222219:17230322:1 gene:gene46690 transcript:rna46690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiol oxidase MSENPVQALFHHFEQVTNFVQHHVSNFISHIQLSGPSGNASIEVPFLKATSVQPRDPVLKAKSSTPVTKEDLGRSTWTFLHTLAAQYPDNPTRQQKKDVKELVQILSRMYPCKECADHFKEVLRSNPVQAGSHAEFSQWLCHVHNVVNRSIGKPIFPCERVDARWGKLDCEQNACEIIGSTSIFGKIW >RHN40917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24291280:24292474:1 gene:gene47163 transcript:rna47163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb/SANT-like domain-containing protein MTTNVDINETKLWPDFVMKVFIDIMVDEVTKGNMPNGVFHTRIWSSMTYKLNTITERLYQADKLKAKMHRLRAMYREFYSLKQNTGFGWNAETNTVTATEEVWKNYLKVHPKAAQFQKKGCDHYKLLDIIFNKNNAAGVLHHSSTQDPPNTDDENELDDQYINNGNGSANHVHVDKDSSYDDLHEVERITRSEKQQIHVRSKKESTSHHMNEALAAWAQVSLVRADRLRRDRSAEATSRVTLDCSLTKCVAALDGLEDISDDTYEKALEKFKDSDWREMFIAMPNERKRGWMLRL >RHN71740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2352948:2354704:1 gene:gene7372 transcript:rna7372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MDRGAIASNGVNGSNGTCTEGAAATCTSATGIQGDFKLNNFEDGVLSSDFMVGLLMASPIFASLAKSVNPFKLIGSGLSIWTLATFFCGFSFNFWSISVCRMLVGVGEASFISLAAPFIDDNAPASQLAMFYMCIPSGYAFGYVYGGLVGSHFGWRYAFWIESILMLPFSILGFAMKPLQLKGTHIFLAQVYGKLLLCFPSTNYFWVQVLFMPI >RHN49580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55774294:55775528:1 gene:gene44426 transcript:rna44426 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRNESPRSEITCVHVETQIAIALFFDFINNGVNVLNISTKDSLLIEFSDPENEGSVGLGLVEPGNGGTEKMIVDGLIRGEGENDVVLVGIGSDEVV >RHN66895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21044574:21046478:1 gene:gene15009 transcript:rna15009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MVDDRRPKKKDAPANIVCFNCGGKGHKSNVCPEEIKKCVRCGKKGHIVADCKRNDIVCFNCNEEGHIGSQCKQPKRAPTTGRVFALAGTQTENEDRLIRGTCYINNTPLVAIIDTGATHCFIAFDCVSALGLDLSDMNGEMVVETPAKGSVTTSLVCLRCPLSTFGRDFEMDLVCLPLSGMDVILGMNWLEYNHVHINCFSKSVYFSSAEEESGAEFLSTKQLKQMERDGILMFSLMASLSIENQAMIDNLQVVCDFPEVFPDEIPDVPPEREVEFSIDLVPGTKPVSMAPYRMSASELAELKKQLEDLLEKKFVRPSVSPWGAPVFLVKKKDGSMRLCIDYRQLNKVTIKNRYPLPRINDLMDQLVGAKVFSKIDLRSGYHQIKVKDEDMQKTAFRTRYGHYEYKVMPFGVTNAPGVFMEYMNCIFHAFLDWFVVVFIDDILIYSKTEEEHAEHLKIVLQVLKEKKLYAKLSKCEFWLKEVSFLDHVISGDGIAVDPSKVEAVSQWETPKSVIEIRSLLGLAGYYRRFIEGFSKLALPLTQLTCKGKTFVWDVHCENSFSELKKRLTTAPILILPKSDELFVVYCYASKLGLGGVLMQDGKVVAYALRHLRIHEKNYPTHDLELAVVVFVLKI >RHN81087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43202430:43207221:1 gene:gene5084 transcript:rna5084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose synthase MNIWFSVGWEVEMRNEYVDQGKGILQPHNLIDELESIHGEGQATEDLKNGPFGEIIKSAQEAIVSPPFVAIAVRPRPGIWEYVRVHVFELSVEQLSVSEYLRFKEELVDGTDNDHYVLELDFEPFNASFPRPTRSSSIGNGVQFLNRHLSSIMFRKKDSLEPLLNFLRAHKYKGQGLMLNDRIHSISKLQSSLAKAEDHLSRLAPDTPYSELEYVLQGMGFERGWGDIAERVLETMHLLLDLLQAPDPSTLETFLGRVPMVFNVVILSPHGYFGQANVLGLPDTGGQVVYILDQVRALENEMLLRIKKQGLDLTPRILIVTRLIPDAKGTTCNQRLEKVCGTEHTHILRVPFRSEKGILRKWISRFDVWPFLETFAQDAASEIAAELQGYPDFIIGNYSDGNLVASLLACKLGITQCTIAHALEKTKYPDSGTYWRKFDDKYHFSCQFTADLIAMNSADFIITSTYQEIAGTRNTVGQYESHAAFTLPGLYRVVHGIDVFDPKFNIVSPGADMCIYFPYSEKQKRLTALHGAIEKLLYDPEQTDEYTGTLKDRSKPIIFSMARLDRVKNITGLVEIYGKNSKLRELVNLVVVAGYIDVSKSRDREEIAEIEKMYDLIKTYKLDGDFRWIAAQTNRACNGELYRYIADTKGAFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIQHGKSGFNIDPYHPDKASDLLVEFFQRCKEDPGHWNKISDDGLQRIYERYTWRIYSERLMTLAGVYSFWKYVSKLERRETRRYLEMFYILKYRDLVSDIVFFNLEYYIV >RHN42028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34869097:34869445:1 gene:gene48415 transcript:rna48415 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILKKHNRHVFITSLFSLSREPSLKPIFDPKSPLSLVFPFPFIEISDFHIYFVFYLVISSSSCDVFDWFRLSDQLRSCADPINDFGVIYVIDPDT >RHN72334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6874486:6880356:1 gene:gene8034 transcript:rna8034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BRCT domain-containing protein MAKDEEHRIHANTSDTQPFDDDSSSHSSQYEDDKENRFFEDTVPFDDDETQAVDLGDETEVFDDIAGETQKFDDFDTELLGEGYESDGTEVLEDVDDEGVDDHQCRDSGGSADREDDVNRSFNERSSDEKHTSSGSMPLRFTFLRAESLREVGLAKRSMNSKHTEDQPNSVMGMNQFCQEPHAVKNKGESFLGSSEKVREADQEVNHEKHNVEIEGFKSGSMSNSARTTVRKLSYDDLPVETNEASLSNDDFNKGDSLDKLPDYHGELERLSYVNSQEPGELSQLNALDCIDRFINSNIMELDEETTHVTNKEKKSEPLPCIKGPQSLSKKINDKTRAKQTEIYDWDDNHEDESGGGIYLRRKEYFFEDGTHRPRSLPGCRKIKSRRPKGDEEEEEQSSIPVKRKTAARSESRLGMHNLKIRDDNIQGATRKLERNIADELDEQVDANCSRGEMGPNSNEVGHEMLDVGVDTQIAAEAMEALYNTVEVVDHVTNDATRVTRSRSSYQLNNSSTGKMGPVTPKEHTGKYDRKRKVDVKSVLQTSGLSKKCTKKVGQCEKSNVVSRSKKSKLNAEGNQTSGANENGRIVSSPVGERRKSAKALKRHQLGDLNNLKSNDGGSTVNEKQFHGDDFHCTPIARRTRRSLAVDTSLKSLREGASRIDPHEKSSGAALQAAKGLGPESTLGSSDHFAVDDTAELCQQEKFASKENIGNGVAVDTLDYPRRRRSLRINKFSNHDEGSENLAGSSKSFKQTEDIGKGSSKSFQQTEVIEKSTTRKRKMRTRSVVKSHVNNPSSSSSCGGLVVPSEDQMQRKNLELNLNSNVKNNADVWLSNKNLKVAIPNESPRDGYKSPDLATTSPANCKTPVNNASPVCMGDDYFKKSCNRNLSKSCLHKVFRKDLLKEMRSLSASRPELITPSKDSRKRKDMSDVRILYSRHLDEDIIKHQKKILARLGVSVASSVADATHFITDQFVRTRNMLEAIAFGKPVVTHLWIESCGQANCFMDEKNYILRDAKKEKEFGFSMPVSLARASKHPLLEGRRVLITPNTKPSKEIISSLVSAVHGQAVERVGRSALKDHKIPDDLLILSCEEDYASCVPFLEKGAMVYSSELLLNGIVTQKLEYERHLLFADHVKKTRSTVWLKRDNRKFTPVTKCN >RHN55529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19250433:19253227:-1 gene:gene30726 transcript:rna30726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucose 6-dehydrogenase MVKICCIGAGYVGGPTMAVIALKCPEIEVAVVDIATPRINAWNSDHLPIYEPGLDDVVKKCRGKNLFFSTDVEKHVAEANIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIERILTHNRKGINFTILSNPEFLAEGTAIKDLFNPDRVLIGGRETPEGQKAIHALRDVYAHWVPLDRILCTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVSHSIGTDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKVNDYQKARFVNRIVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAKLSIYDPQVSEEQILKDLAMKKFDWDHPAHLQPTSPTTSKKEVSVVWDAYEAIKDSHGICILTEWDEFKNLDYQKVFDNMQKPAFIFDGRNVVDVKKLRDIGFIVYSIGKPLDAWLKDMPAVA >RHN78325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14151768:14159642:-1 gene:gene1867 transcript:rna1867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myosin ATPase MHLNINILHSTRIYPNSVNSKPVFTSAGYSSKLKNLYFYFCYGRCDEKSLEDSFCKRVMVTRGEAITKWLDPNSAALSRDALAKIVYSRLFDWIVDKINNSIGQDPTSKNLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPRSTHETFAEKLYQTLKDNKRFSKPKLSRTDFTINHYAGDVTYQTDLFLDKNKDYVVPEHAALLCASKCSFVSGLFPPLPEETTKSTKFSSIAAQFKQQLQSLLETLNATEPHYIRCVKPNNLLKPGIFENNDVLQQLRCGGVMEAIRISCAGYPTRKSFDEFVQRFSILEPKVLKCPDEITSCKRLLDRANLKDYQIGKTKVFLRAGQMAELDACRAEVLGRSAIIIQKKGRTYICERQYKLLRFSAIELQRAVRGQIARHRYEGMRREAASLIIQKQCRMYISRTAYKTTYAKAVCIQAGMRGMTARNELRFRRRARAATVIQSDYRSYLARNYYQKLKKASISVQCSWRRTNARRELRKLRMAAKEAKALEAAKINLEKQVEELNLCLESEKRMREAETQENEKLQCALQEMERQFEETKAQLIQERDAAKNVAEQTPIIQENHVVDNELVNKLTAENEQLKELVNSLEKKTKLELPDNVTDNELINKLAEENEKLKELVSSLEKKTKLELPDNVTDNELINKLAEENEKLKELVSSLEKKTKLELPDNVTDNELVNKLTEENEKLKEQVNSLEKKTKLELPDNVTDNELVNKLTEENEKLKEQVNSLEKKTKLELPANFTENEVINKLTEEKERFEDLVNSLERKIGETEKKYEDTSRISEERMSQVIDTESRMIELKTNMQRLEEKLSDMEAENQYIRSKALSTSTSMKKSLLGGAPESVETLFKCVTKDLGFSEGKPVAAFTLFNCLLHWKVFELDKTSIFDHYIMLIGNAIEDRDNISSMAYWLSNTSALFFHLQRCLRAPARKPPTPTGFFGRMAQVLNLIIPI >RHN79844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32760626:32765851:-1 gene:gene3691 transcript:rna3691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MPPKQSKADVAKKQKVVEDKTFGLKNKNKSKAVQKYVQNLKSSVQPRTDPKQDAKKKKEEEKAKEKELNDLFKIAVSQPKVPPGVDPKSVLCEFFKVGQCAKGFKCKFSHDLNVQRKGEKIDIYSDKRDDDTMEEWDQETLEKVVESKKNEYNQNKPTDIVCKHFLDAVERKQYGWFWSCPNGGKNCIYRHALPPGYVLKSQMKALLEEESDKMPIEEEIEKQRSQVKTTTPITTELFYQWKKKKMDERDASLAAQQAERAKNDRMSGRELFLSNASLFVDDAEAYDNYQREPEPDETEQNGTGSAANNGPSTSATGGADDELPDDIDDDDDDELDLDELNELEASLAKTSIQIKEPGAEA >RHN46773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34764203:34766335:1 gene:gene41299 transcript:rna41299 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSTFCNFNTTQTLRQNIVVSCFPQFHLSHKHKNVKGHQIKRRELILKSGELATIGALFNLSGKKPAYLGVQNNPPSLALCPLTKNCISTSEDVTNLNHYAPPWNYNPEGRKDRVSREEAIEELIDVIETTIPPENFTPRIVDRTEDYLRVEYQSPILGFVDDVEFWFPQDKDSIVEYRSAARVGNFDFDVNRRRIKALRLELQKRGWASQDSMTS >RHN65290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:911774:913905:1 gene:gene13114 transcript:rna13114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain, Zinc finger, DPH-type MILDNHGIEETHYEVLNVKEDADYEEIRASYRSAVLNLHPDKLLKTYDTSDSNQTTSERFLKVQKAWEILSNSSSRLLYDKELQRSRRDALAAEVAEDLSLHDMTVEDADEALELFYQCRCGDYFSVDSLELLKMGYSLLRNGNSISILNGDTLPGSVILPCGSCSLKARLILSMDNN >RHN62866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46325411:46325937:-1 gene:gene25493 transcript:rna25493 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVGKKRSLLKRLKKEALRLKFLWKSSSFKWNTLTLPVSFMEDVVFKVVSAFEAVVLVLTLCFFYLCCGCSF >RHN79968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33969222:33969500:-1 gene:gene3832 transcript:rna3832 gene_biotype:protein_coding transcript_biotype:protein_coding MPIILDAPAAFAPSATSSPTVPRPKTATIDPASTFAVFQTAPRPETLLKIIVVSKSTNVVY >RHN75731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44599281:44604542:1 gene:gene11971 transcript:rna11971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MPTTRTTSVAAKPYNILPLHNSLTTDHPSLRFPEIRAAFSALNTVGDLHLPPKWQPHYDLLDWLALFFGFQTDNVRNQREHVLLHLANAQMRLNPPPDNIDSLDASVIRSFRKNLLRNYTSWCSYLHVKSSVSLPDLKNNNSDHRREILYVSLYLLIWGESANLRFIPECICYIFHHMTNDLNKILRSKKNNDHYDYEPFFHSQYGFLNDVVKPIYKMVKFEAEIRSGNGTEPHTRWRNYDDINEYFWSKRCFEKLKWPIDIGSSFFDGNRVGKTGFVERRSFWNLFRSFDRLWVMLILFLQVAIIVGWNDRAYPWRAVMEERDLQVLLLTVVFTWSGLRFFQSLLDIVMQWRLVSRETKLLGVRMVLKSIVAAGWVVVFSYFYTKTWKQRNHDKEWSVEANKRLIVFLKVCFLFVIPELIALALFILPWIRNFMEKRNWRIIYMFTWWFQRRIYVGRGLRQGLVDSVKYTLFWVVVLVSKFSFSYFLQIKPMIAPSRAVLDLKDIDYHWHEFFQKGHSIFAIGLLWIPVVLIYLMDIQIWYSIYSSLVGATVGLFAHLGEIRGMQQLKLRFQFFATAALFNLMPEEQLLNARGTLRSKLRDAIHRMKLRYGLGHPFKKLDSNQADAKKFALLWNEIIMSFREEDIISDKEVELLELPNNAWNVRVIRWPCFLLCNELLLALSEAKELVDSHDRRLWRKICKYEFRRCAVVEAYDCIKHLFRQIIRPDSEENSIVTAMFQEVDHSIEIGKFTKVFKTTALPQLHSKLIKLLELLNNGKKDSNRLVDTLQALYEISIRDFFKEKRDNERLKEDGLAPQDSASSQVLLFQNAIQFPDTMNENFYRQIRRLHTILTSRDSMQNIPINLEARRRIAFFSNSLFMKMPHAPQVEKMMAFSVLTPYYSEEVVYSKEQLRTGNEDGISTLYYLQTIYEDEWKNFMERMRREGMMKDREIWTDKLRELRSWASYRGQTLSRTVRGMMYYYKALKLLAFLDSASEVEITEGSRELVPTNQDIPDGINSQKSSFSEASSTVSLFKGHDYGTALMKFTYVIACQIYGTQKARKDPHADEILYLMKNNEALRVAYVDEVRTGRDENEKDYYSVLVKYDQQLEREVEIYRVKLPGPLKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYFEEALKVRNLLEEFKHYYGIRKPTILGVREHIFTGFVSSLAWFMSAQESSFVTLGQRVLANPLKIRMHYGHPDVFDRFWFITRGGISKASRVINISEDIFAGFNCTIRGGNITHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFFFNTMMVVLTVYAFLWGRLLLALSGIEAAMENNSNKNKALGIIMNQQFLVQIGLFTALPMIVENSLEHGFLQAVWDFLTMQLQLSSVFYTFSMGTRSHFFGRTILHGGAKYRATGRGFVVEHKSFAENYRLYARSHFVKAIELGLILVIYSSHSAVSTKTYVYLAMTISSWFLVASWFMAPFVFNPSGFDWLKTVYDFEDFINWIWFRGSVFAKAEESWEKWWYEEQDHLKGTGFWGKLMEIILDLRFFVFQYGIVYQLGIAAGSTSIVVYLLSWIYVVVVFGIYVVVAYARNEYDAKNHIYYRLIQSLVIVFAIFVILALLEFTQFKFMDLFTSLLAFIPTGWGMLLIAQVFRPVLQRCIIWHGVVSMARLYDILFGIIVMAPVAVLSWLPGFQAMQTRILFNEAFSRGLQITKIVTAGKKSI >RHN43729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48029044:48030297:-1 gene:gene50350 transcript:rna50350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation protein, beta-barrel MTRFQFPRLIFIEDINWEREIVDQVKSNLDQVISKLNHRCAAIQVPIYFDNWCFGFVVLVKMKAYYFRLKMFVRLFDLVNKLKAYFFRSETPYYKHVEVSEVPEDMQAFVLKKRSELIEIVSEVDDKLSEAFHGGSQISESVLDDAIRRATISMKFVPIFMGVICDERHDGLELLMEGVIRYLPGPIDVSNYALDQNRNGEKVELSGSIDAPFVAKVFTNVIRNDGLVTYLRIYQGVIKKGDFITNVNTGKKIQIPRLFKRHDDKIEEVDEAHAGEIIIVLDAILKSGDTFTDGSVRYTMTSADVPDYSIS >RHN60105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20338866:20344024:1 gene:gene22327 transcript:rna22327 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANSREAQRRRRRILQQGSDRLAFIKGHIQTLPPTDFQNPDASETSITHTPTFPEQQHTQTDEILSFPDSEIQPEPEPEHVQLLPQTLPDSFNEIPRQQTRAEEPRSFNFINPSDVSNAIDASKTIRLCCSIIVALLVVASYLGLSLIKTVISFRPLYLVLLTNSTFVVSKLISGKQRGVNERSRRRLNGTDSSDQWNGQQLAKTLEIGLVVKSVVDAVFMDCAVYAIVLICSLSFVHP >RHN38456.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000012.1:232869:233357:1 gene:gene50724 transcript:rna50724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6 MTFYYRPTVTEAFAFVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLGVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSSVVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQGIFGPL >RHN79056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22008211:22008962:-1 gene:gene2752 transcript:rna2752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MIQFILFLRSWSADSRLLLSCSSDSTLKVWDIRTRKLKEDLPGHSDEVYAIDWSPDGEKVASGGKDKVLKLWMG >RHN61053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32553142:32555520:-1 gene:gene23465 transcript:rna23465 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKMSKKKQNDELIAAKLEDDMALQDSLRKVNDLFIITLIENEIRATFLKEEMDALTAKIPEHFAAAFCLKVSKVYMKMSKEVSEFFNIDDLIEEQLERVPVALTIQSIKTNMKLRKFIDELYMDGQVRYAALYVEKSSRLIKDKTEKQDRKP >RHN52002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30115175:30122740:1 gene:gene36604 transcript:rna36604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PA domain, presenilin/signal peptide peptidase MAPEKFLWFIITLSSLILLLTKVPSVLAGDIVHDDSTPKKPGCENQFVLVKVQTWVNGVEDAEFVGVGARFGRTIVSKEKNARQTRLVLSDPRDCCSPPMNKIVGDVIMVDRGNCTFTKKANSAQNANASAILIINNQKELYKMVCDPDETDLSIHIPAVMLPLDAGTKLENMLKSTSSVSVQLYSPQRPTVDIAEVFLWLMAVLTILCASYWSAWRAREAAVEYDKLLRDVSDEISNTKDVAVSGVVNMNAKAAVLFVLVASCFLFMLYKLMSPWFIDVLVVLFCIGGIEGLQTCLVALLSRWFKHASESYVKLPFVGAISYLTLAVTPFCITFAVFWAVYRDKSFSWIGQDILGITLIITVLQIVHVSNLKVGTVLLSCSLLYDLFWVFVSKKFFKESVMIVVARGDRSGEDGIPMLLKFPRILDPWGGYSIIGFGDILLPGMLVAFSLRYDWLAKKTLVSGYFLWAMLAYGFGLLITYVALNLMDGHGQPALLYIVPFTLGTIIALAQKRGELKILWKSGEPERFCPHIRLHNSGESSPE >RHN50454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7241454:7244534:-1 gene:gene34737 transcript:rna34737 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYSLQQNAFAACEEMRGSVTITDQKEPLICPKPRRVGVLSNVPVRQLRFHFNHQAEGSDSKAAAELLDMIFEKESHGDDFANHVAYSPPYFSVSPPVRAANPLVQDARFGNEIISSSPSGLPSPNSASRKGGCVRTSFGLKPAAVRVEGFDCLNRDRQNSSVPAVA >RHN79503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29617290:29618712:1 gene:gene3301 transcript:rna3301 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYMRKFTHQEEKLHTKKNHSVLKNNMVYWSYPPTSREIALTAAVFAIGASLFGAGAYLSFVNVAPQQARAKERSEAMRNYLRKRFGD >RHN61789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38386678:38389284:1 gene:gene24285 transcript:rna24285 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFSTSTPHHRSSERLTKQTSSPSPLSLLRSPTNCLPLRELLLMSPPSTRKSKPRFDEELLESNGVRQRRCSKTRGTAALSSPRNSRRTRRCYEVEVREEKENVLVDEVGKQRKRRHKKDRLSLVPFQPPQETFSPKAGEENGGDLDRIGMLITELIMWKDVTKSTLWFGFGSLCFLSTCFTKGINFSIFSAISQLAILILAVSFFSNSVCQRGQAKGRGYVKLKDDDILRLAKLILPALNFAFSKTTELFSGEPSMTLKVAPLLLLGAEYGHLITIWRLSAIGFFVNFSVPKLYSCYSAQINQRVECLKLRLLDTWNACSHKKIVVVSALVTFWNLSTIRTRICTAFLLIVLLKYFQQNVTQQVEDGEAQAADKEHRQALLVAEPEEEEPQQAVLVVAEQRCQR >RHN76735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:848935:860201:1 gene:gene105 transcript:rna105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase transcription regulator PHD family MIIKKNLKSEMPNRKRRRLTENDELTQRKKKLNGNNFPLNLLNGAIPVSFTGLLGATVATVAPGNDNSCFSVVSDDDGKGDIIKTATATATRPPLVRTSRGRLQVMPSRFNDSVIVNWRKDGKNNAAATSAGAAATSFREFEFDKFEDFSLKKCNGKGKNGTGRGRGYSELCEEVLHKNFGVAATSKELSLREIAEETKMNNGVLKKKEGFFGPEDFVAGDIVWAKARKKEPFWPAIVIDAMSQAPELVLRSCIADATCVMFLGYSRNDDHRDFAWVKYGMIFPFVENVDRFQEQSELSYCDPSQFQAAVEEAFLADQGFVEKLTEDINAVAGNNGCSDDSIIKSFQKVTASNQKKDLFDRKKNVGLCDECGLDLPFNMSKKTKDLTPGGQLLCKTCARLMKSKHYCGICKKVWNQSDSGSWVRCDGCKVWVHAECDKISSILFKNLGSTDYFCPACKVKFNFELSDSEKSHPKIKWSKYNGKVVLPKKVTVLCNGVEGIYFPCLHLVMCKCDFCGTEKQALSEWERHTGSKFRNWKTSIQVKGSMISLEQWMLQLADFHAKVAVSSVKPKRPSSKERKHKLLTFLQDKYEPVCAKWTTERCAVCRWVEDWDYNKIIICVRCQIAVHQECYGARNVRDFTSWVCKACETPDITRECCLCPVKGGALKPTDIHPLWVHVTCAWFRPEVSFASDEKMEPALGILSIPSNSFVKICVICKQIHGSCTQCVKCSTYFHVMCASRAGYRMELHSSEKNGKQTTRMVCYCAYHRAPNPDTVLIIQTPHGVISTKILIQNKRKVGSRLISSNRIKVEDTPPEDNTEHDPFSAARCRVFVRTNHTKKRAADEAIPHKVRGHYHHPLDAIQRLNSSKVVDESQTFSSFRERLHHLQRTENERVCFGRSGIHGWGLFARRTIQEGEMVLEYRGEQVRRSVADLREARYKLERKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMSVGHDESRIALIAKTNVSAGDELTYDYLFDPDEPDEFKVPCLCKAPNCRKFMN >RHN68790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38619807:38624126:-1 gene:gene17189 transcript:rna17189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-Pl-4 family MKNLNWYKQISNSGKSGRRLSLGEYKRAVSWSKYLVSPGAAIKGEGEEEWSADMSQLLIGSKFASGRHSRIYRGVYKQKDVAIKLVSQPEEDEDLASFLEKQFTSEVALLLRLRHPNILTFIAACKKPPVFCIITEYLAGGSLRKYLHQQEPHSVPHELVLKLALDIARGMKYLHSQGILHRDLKSENLLLDEDMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIREKHHTKKVDVYSFGIVLWELLTALIPFDNMTPEQAAFAVSYKNARPPLPSECPWAFSNLINRCWSSNPNKRPHFVEIVSILECFTESLELDPDFFTTYKPRPINTILGCLPKCKARQKSDTCKAKQ >RHN63684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53184308:53186905:1 gene:gene26416 transcript:rna26416 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQIPYLFLNGKQPDSEARKKHQNFEDYVMLYTTICNMCTQKAPNDYSQIAEFILFII >RHN46541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32608347:32609040:1 gene:gene41037 transcript:rna41037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAATRKFIYVLSHFLFLFLVTKITDARVCKSDKDCKDIIIYRYILKCRNGECVKIKI >RHN67068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23424869:23432439:1 gene:gene15207 transcript:rna15207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain-containing protein MRRRPGIGGLQTAAAARDQYKKLGENVAKIRTDMMKEQLSTFRSQLEDFARKHKNDIRKNPAFRSQFHEMCAKVGVDPLASNKGFWAELLGIGDFYYELGVQIVDICLATRPLNGGLINLQELCQLLRQRRKSDRGVVSEDDCLRAISKLKVLGSGFEVISVGKKKLVRSVPTELNKDHNEILELAQGQGFVTVDEVERRLSWTSGRAIDALDTLLDEGLAMIDDGHKDGKRRYWFPCVSPISSLTGIDSL >RHN57910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42530080:42530379:1 gene:gene33501 transcript:rna33501 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQHFRVKEVRTSIKEVYNVWCSRENKSSNYVLVDLKQCFTQLSKNIVLPMLVGKRYFGATNVVDKEEEQKCMKALEEMLRLLGVFTVGGALPFSKMV >RHN61618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36862312:36864180:1 gene:gene24101 transcript:rna24101 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNLRSRRRPRYGSLLCAVVSALLLLTTLSFLRSHTHRVFPSHSVNYDSLLSDSSNEDTTGGEDTIDALDVIEEQQTQESTNDAEEDDEPIEETNKASGYFFYHVEGVIRRSFSKQSMMMTMDQSNEGVKIFEITAEDKGKTAFGSDDVAVDENVRMKMMEVKGIEDALLLKIGKKVSPLREGWGDWFDKKGDFLKKDKMLRSNLEALNPLHNPILQDPDNVGLTGLTRVDRLLHKSLLQEFKTVPFPSRKISREPKLAA >RHN41940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34237337:34242035:-1 gene:gene48316 transcript:rna48316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MASSWEQFGEIANVAQLTGLDAVKLIGMIVKAANTARMHKKNCRQFAQHLKLIGNLLDQLKISELKKYPETREPLEQLEDALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLRLVPLITLVDNARATRVRERLEVIEKDQCAYTLDDEDQKVQTVFMKPEHDKEDTVVLKKTLSCSYPNFSFTEALKKENEKLQLELHHSQANMDINQCEFIQRLLDVTNFAAYSLPEKLSPEDNYQIVEYSNNSHSDANGSKGHSSNEKHHKKSDILSSSRTKVSEKNPVSTRSSYQQEDWHTDLLACCSEPYLCIKTFFYPCGTFSKIATVATNRPISSAEVCNDFIAYSLVLSCCCYTCCIRRKLRKMMNIPGGYVDDFLSHLMCCSCALVQEWREVQIRGVYEEPEKTNISPPTAQYMES >RHN49468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55006374:55008015:1 gene:gene44305 transcript:rna44305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MTSYKLGTLIIFSLVILILARGSNGGGISIYWGQNGNEGTLAKTCATGNYEYVNIAFLYTFGNGRVPRMDLAGIKVILSIGGGAGSYSLASLEDARIVATYLWNNFLGGHSATRPLGDAVLDGIDFDIEGGTNLHWDDLAKYLSGYSKKGKKVYLTAAPQCPFPDAMLGEALKTGLFDYVWVQFYNNPPCQHSSADVGNLENAWKEWINDIPATKIFLGLPASPQAAGSGFVSVADLTSKVLPIIKGSTKYGGVMLWSKYYDDQTGYSSSIKSHI >RHN39955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11895717:11896133:-1 gene:gene46039 transcript:rna46039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MASFNGFYDVVTIIIGFTLLFTTSLCSEISQPPSGGPSNDVASAPKPLSPYEKYLTNCASKLKPAECGKQIFSGVFVGNRIISYYCCHSLVNDVGKSCHYDLTRNALQWPAYAKNKTEILKRNDKVWNDCIAVRPILP >RHN56795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33817858:33818450:-1 gene:gene32249 transcript:rna32249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MRTKKKVSIGIISPYNAQVNEIQEKVKQYTWDTTSDFSVNVRSVDGFQGGEEDIIIISTVRSNGSGNVGFLSNRQRANVAMTRARYCLWILGNAATLINSDSVWRNVVLDAKRRDCFHNAVEDKNLARAINDVLFEIKLLEGSESPFMKLSLGEKSEASTSSSRLVEPI >RHN80608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39372804:39378299:1 gene:gene4551 transcript:rna4551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative integral membrane protein EMC3/TMCO1 MAEDLVLDTAIRDWVLIPLSVVMVLIGVLRHIVSKLLRSSQTPDIKIVREGQVMLRARNLRAAANFIPSKAFRARKLYYCNEENGLLFVPKGQATNPQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENAVDDTQRMMQMGGGFGFDPSKGLSVEKDNLDITQHDWALPNFEHHAEDVLKRVIS >RHN49470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55010543:55010794:1 gene:gene44307 transcript:rna44307 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKDVVHIKQSKDSDIPPTELQKLLKQLADERFSADSNQSSNVNPVAISGKLKVKINMLVFLEGSCEA >RHN76957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2701890:2703995:1 gene:gene353 transcript:rna353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MGAKATAQVHPQLAKNGLEFMSNDLTNANREDLVSCFAISKADSYLLSTSGGLVSLFNMVSFKTLVTFMPPPPMVTSLAFYPKDNNTFLIGLDDSSIRVYNIHKHDEVQKLEGHSKRVSALAFSNTLNILVSADASPQIIVWDSSSWEKLRERNLQIDGPNVPQMFSETHIQFHPDQQNFLFVHKIHLAIYEATELKCVNQWDSNFPTVICQATFSSNGQMVYACFLDGNLAIFDASNFQMHYNIQPSVYHLSSIPSVKIYPTVLAAHPQKPNQFAVGLTDGCVYVFEPKEPCGNWIMSQVDKEKANSIGSQG >RHN67820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30498637:30499258:1 gene:gene16059 transcript:rna16059 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEGRISFSWVELTNDENMTNMFWEHNMFQWIDMRVTLLRSTKDIINNLIPP >RHN48582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48578612:48583144:1 gene:gene43315 transcript:rna43315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative modifier of rudimentary, Modr MFRGLWGSQEQQPHEASSQQSWYSPSIMSSSTSSRPATPASSSASPRPPSSHVPPAEAAGTIASLKDKSVDELRKLLSDKDAYQQFLNSLEQVKIQTNLKDELAKENRQLAEENLQKEPRMMELRNQCRIIRTTELATANEKLNELEKQKEEMLKMNSPASLLQRIQESVNQTDEESENLHQQLLDREVDLAAFLQKYKKLRTTYHKRTLIHLAAKTSNI >RHN67215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24977244:24982437:-1 gene:gene15375 transcript:rna15375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator SWI/SNF-BAF60b family MVNVTEEEICSAIHSLFTETNPRTRTFTTLNQVVSELQSKLGGYDLTHKIDFITEQINLLFAASSQHQQQHVHHHQQQQLQQPPPPQQQPQLISFERKDHFTLHQNPNSHSVPVTSAFRNNVVVSSAAVADASVAHVSANVLPKESAQPKPKRRGGPGGLNKLCGVSPELQVIVGQPAMPRTEIVKQLWAYIKKNNLQDPSNKRKIICNDELRVVFETDCTDMFKMNKLLAKHIIALEPTKKPAPKKQKVEVEVGTRSAEPAPTPSVIISDSLANFFGVTGREMLQTEVLRRIWEYIKVNQLEDPVNPMAIMCDAKLQEIFGCESISALGIPEVLGRHHIFRRS >RHN64772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61673106:61678433:-1 gene:gene27627 transcript:rna27627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CALMODULIN-BINDING PROTEIN60 MCVCSVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARISGRSSPKRIEGPDGRNLRLQFRSRLALPLFTGGKVEGEQGAPIHVVLVDANSGNVVTSGPESCIKLDVVVLEGDFNNEDDEDWSQEEFESHVVKERQGKRPLLNGELQVTLKEGVGTLGELIFTDNSSWIRSRKFRLGMKVASGFGESIRIREAKTVAFTVKDHRGELYKKHYPPALGDDVWRLEKIGKDGSFHKKLNNAGIFTVEDFLRLVVKDQQKLRNILGSGMSNKMWEALLDHAKTCVLSGKLYVYYPEDTRNVGVIFNHVYELRGLITGEQFFSADSLSDNQKVYVDSLVKKAYDNWEQVVEYDGKSLVDAEQNNNTVESENELHVESIDYDGGLDHQLLMPSLPMSVASEQQINSAMPVGGFNNSMVTRYPSQALIGNSSSRSHFDDSLYLSNDHLLGNAHQSQSSRNDHSTVGLALGPPQSSTSGFHAGSSSMQPPAPNPFDDWSNNRDKGVDDFFSEDEIRVRSNEILENEDMQHLLRLFSMGGHPSMNTEDGYSFPSFMPSPMPNFDEDRSRPGKAVVGWLKIKAAMRWGFFIRKIAAEKRAQIEELDE >RHN54033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6392947:6393422:1 gene:gene29009 transcript:rna29009 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKLMDSDRLRKPSENLVHSRFFLSGFYCWDWEFLTALLLFSCSS >RHN49683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:277275:279805:1 gene:gene33887 transcript:rna33887 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDDEWELCYDDGFVYKRRKRRRLNSPEPSTAPDQNALEKLRKERKKTTLLKLKSKYEKEIVQWQHLSNTLSALQLSSSNQLNEQQQQQNQNLSIPSTSGSAESSLLDDLLSQVEAQEAIIHDISNLCDVAEAMCSKQEEQYKQSLFDLPIWSTPLELMQVLCYDD >RHN46713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34268880:34271178:-1 gene:gene41233 transcript:rna41233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MMPQFFFVTIQIFLLLLVFPQTKSDLNSEKQALLDFITALHHGGKLNWSSNTSLCTSWVGVECNPNGSHVHSVRLPGVGLRGSLPENTIGKLHGLTSLSLRSNSLFGNLPSDIFFIPSLRFIYLQHNNFSGHIPNYLPPHLLFLDLSYNSFTGKIPSIIQNLTYLLGLNLQNNSLIGPIPYVVDLPNLKNLDLSFNYLNGAIPLGLHKFDASSFKGNLGLCGAPLKQCSLASSPTTILSPLIVSQKVPSDTSSKKLSTWEKIVIALGVFAVVLLLVLVAMFCCFKKRVGEQNVALKEKGEKLMEEFGSGVQENRRNRLTFFEGCAYNFDLDDLLRASAEVLGKGSCGTTYKAILEEGTIVVVKRLKEVTVVKKEFEQQMEIVQRLHHHPNVVPPRAYYYSKDEKLVVYDYFTSGSFSKLLHGTGDTGRTQLDWESRLKIMAGAAKGIAHIHSANGRKHVHGNIKSSNVLLTIDLQGCISDFGLTPLTSLCVSPKSPPGYRAPEVNESRKYTQKSDVYSFGVLLLEMLTGKTPVQYSGHDDVVDLPKWVQSVVREEWTAEVFDLDLMRYSNIEEDLVQMLQLAMACVAEMPDTRPSMEEVVRMIEDIRASIY >RHN75221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40306460:40309074:-1 gene:gene11394 transcript:rna11394 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLIGLKVCKVHQETVTKPILADTLQASRVTSEPGVRALVRRGDERRNDGDTFKIKFGSGRFLLHQEMAGSKGRKFCLSGMNSLRIVLPMSSLSCISFWWGCMSGNVSCDPT >RHN64718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61251327:61254265:-1 gene:gene27567 transcript:rna27567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysine--tRNA ligase MEVETPMMNTIAGGAAARPFVTHHNELDLKLFMRIAPELYLKQLVVGGLERVYEIGKQFRNEGIDMTHNPEFTACEFYMAYMDYNDLMGITEKMLSGMVHELTKGSYKIKYHANGVDKDPIEIDFTPPFRRIDMIEELESMTGLSIPKDLASEEANEYLKNVCLNYDIKCAPPQTTARLMDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRLKPGLTERFELFVNKHELCNGYTELNDPVVQRQRFAEQLKDRQSGDDEAMALDEGFCTALEYGLPPTGGWCLGIDRLTMILTDSQNIKEVLLFPAMKPQDVPSTKGT >RHN63037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47851344:47852091:-1 gene:gene25688 transcript:rna25688 gene_biotype:protein_coding transcript_biotype:protein_coding MITGHNICDKNTTPYTTVHLYFIFILFFYSFPSNSDALFFSIARTTILSLSFPATTPCATVSATLAHPFRPPCPRLFPSLRIRRQQPVLVGKSDLFSLFFADSNLIYRTRRGVEVRAEGGWDKTAWWWNDERRSGGMMRKFREKELEANYKNTLSIAVEKSIMPFHFGGNYEFAIGVVFVSKIMCLVITAEIKYRHNGSILQSKIPHI >RHN47829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42862410:42863935:1 gene:gene42475 transcript:rna42475 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYTSRESKASCWHSSFPSPSTTALLSLVILEVHMPFPTPVLSSTLLMTSLRLLSCWLYNGNRFDVSNHRPILTRLGHTFSAQLRTTFYAQFFKGGEAMHITWKTQQLRTVNYVEFLKTSHCRQKHWKHL >RHN40374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15737220:15738362:1 gene:gene46521 transcript:rna46521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MGHLHFATEIMTLKPSFALKLNPQGFSPIHLAMQNDQKQMVYRFVKINKDLVRVIGRDGLTPLHFASQIGEVDLLAHFLFSCPESIEDWTVRCETPLHIAIKNEQFESFQVLVGWLEKNKRRGAKERKSRILNERDEAGNTILHIAALSSEPLVVQELLSLVKTKINLHKKNLENKTALDIASIPEIKSILFSAGSKPSLEVTDAPSPTHWLRSKTTLMDKFFSQNLFSRTNITGEERNAWLVVATLIATTMYESTLSPPGGVYQISADDNNLNITSSNSTISTLKNVGKSILSKTDFTTFSVLNMFSFFMSFLTIIIMTPTREPGIFVYPAMFFFLMCYMTSMSEISPASVDPMNAQATFVFTSLMFGFVIIMKITLKS >RHN78611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16714034:16718522:1 gene:gene2236 transcript:rna2236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MDSLELLENTDYLDFLGDYSPFIDPSPPTHFLWSNSNPSVSTEFDISSNVVACQEENTRKSVSTETDIPSGAVVCQEENTRKRGRTESCYKAGTKACREKLRREKLNERFCDLSAVLEPGRPVRTDKPAILDDAIRVLSQLKTEAQELKESNEKLLEEIKCLKAEKNELREEKLVLKADKEKIEKQLKSMPVSPAGFMPPPPMAAYQASVNKMAVYPNYGYIPMWHYLPQSARDTSQDHELRPPAA >RHN65987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7287616:7290988:1 gene:gene13895 transcript:rna13895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKRKYNDDVKQDRLSDLPDSVVLHILSFFNTKEAAQTCILSKRWKNLWKFVTTLKLSTRHFETIHPFTKFVSHVLSHRDDSTALQALDSHRASIVEAYLLKKIVKYAVSHSVQQLQISVDADFQCFPPCFFSCNTLTSLKLSLGFNTLFPNFLNLPALTSLSLRSFVFHNSDDGRVEPFSKFKMLNSLIIDSCMVCDAQNLCISSPKLVSLTILQSYFGIELSVPSLHTFTFTGCTIPNKIYGSKSNLSTIKHVNIDLPSVWESAKTSSALLSWLSEFAGIESLTVSLDALKVLSLVPELSKVELPSLHNLKLLKVGRFGRSSIPGRIVDFLLQNSPSAKVNVITYSGHNFLWG >RHN57199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37254856:37255170:-1 gene:gene32708 transcript:rna32708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arogenate dehydrogenase (NADP(+)) MEKTCLSQAKLYPYAHAFSLFPRFSVLSCACLKRSTPLDVLLVKTHPRDLLLPEESGILCTHLMVGPESGKDGCKDHTYMYDKVRICDEANCSNFRNFFANEVS >RHN75318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41215834:41216046:-1 gene:gene11500 transcript:rna11500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galacturan 1,4-alpha-galacturonidase MNSKNVLISNVTFLNSPFWTMHHVYCSHVTVQNVTILAPFGLPNTDGIDSYSLIMYALKTGYISVTTQKH >RHN55612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20060499:20065416:1 gene:gene30815 transcript:rna30815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L27/L41 MPLGLILGIGRAYRLKRASTLDILSPKRAPRGFYKGKNCKPTGFFTRKGGYVVVKEKLPNYVVPDLTGFKLKPYVSQCPIEAKTSDEASQTA >RHN66751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18252413:18254191:-1 gene:gene14827 transcript:rna14827 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQPERSTCAAGGAERTNPRLASFPKPNFINRIVTFKKCLLKIKERKEGPFSHVVRRSNQRFSSQSYREIFF >RHN77092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3987362:3989758:-1 gene:gene511 transcript:rna511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, phosphoribosyltransferase MLRIILHLHDKVIKLKVICTMQVKKMANNENAPKETSVNNNAAFEADKLTRRYDLVEEMEFLFVRVVKVIDFPNIHNLYVEVVLGNAKATTFFLETSNSSLNQVFAFDNGKNSSSNVDVFLKDRTSGMFIGHVKFAVGDIPKRVPPESSLAPQRYTLEDQAGTNLARGAIMLSMWFGTQADEYFPQAWCSDTTEITDDSVCYTRSKVYMSPSLRYVKVTVIQAHHLLLQFPPESSELFVQVGLGKSFCLRTSFSKEKSAKPFWNEDLMFVTQEPFDEELVLSVEQVRLADHVNVSLGTYTTNLNNSNDVDIRFDDVPADDRWVDLNRPGIIENAREVKFASKIHLRISLNGGYHVSDEPLEYSSDFRPSSRDHWPPSIGVLELGILKATNLMPMKIGGRTDAYCVAKYGPKWVRTRTSVDSREPRWNEQYVWEVYEPFTVITIGVFDNNQLDPESRARGARDTIMAKIRIRLSTLENGKVYAHSYPLIGLHPSGVTKMGEIHLAVKFTWTSQSTFTFPFESIFNKCALYGRPLFPAVHYFLPLSPTQFDTLRNQAFRIISVSLSEAEPALREEVVSYMLDMRSDMWSMRKGIANYNRIMSLISYFFAFWKWLEDIRQWKNPIEAVLFHIFCLCVLLYPEPMIPLVSFYLFKIGLDNYNFKKHEHPCHIDATLSGADTTNYDDLEEELVFFPTQIGGEHLRRRYDRLRVIGRNGQKRVDELATILEKLQSLISWRDPRATFIFLVFCVVCLPVTYFVPLKVIIFPCIFIYLRHPRFRSNTPWHAENIFRRLPSKQAFIL >RHN65888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6256204:6259911:1 gene:gene13781 transcript:rna13781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADSLKETLLAISTSLKVLLDKLVEENIRSTKLDVSLLKNFKSTLLKLQVIVNYVEKKRITTTVRHWLDRLRYDAIEIVHLFNEINTEAHVLNVLPIHFKRSYLRKLIEKFEGWSSGEQLGKLGEWNGTPPSLSLLVDESPIQLVSLSASVKVLLNKIVSSQFVDNFHSTKLDVSLLEKLKTILLRVQALYHSDDFLWVQAKLFNDDFKTTRLDFQDDDVILTVLLWLDMLRSAVFEVGYLLEEINPQTLPCKVEAEYQTLTTPSQFSSSFKCFNGVTNSKLQKLIERLQFFSSRAQDQFSGSSSKSVWHQTPTSSIMDDESCIYGRDNDIKKLKHLLLSSDGDDGKIGIISIVGIEGIGKTTLAKVLYNDPDVKDKFELKVWSHVSKDFDDDLHVLETILDNLNINRNETSGVNIIYPKYLLVLDGVCDARSINWTLMMNITNVGETGSRIIITTQDEKVALSIQTFALPMRTFLSVHYLTPLESEDCWSLLAGHAFGEHNDQRQSNLEEIGREVANKCYGSPFAAVALGDILRTKLSPDYWNYVLQSDIRLLIDHDVRPFIQLNYHYLSTLLKNCFAYCSIFPKKSIIEKNLVVQLWIAEGLVESSINQEKVGEEYFDVLVSRSLLHQQSIGNEEQNFEMHTLVHDLATEVSSPHCINMGEHNLHDMIHKLSYNTGTYDSYDKFGQLYGLKDLRTFLALPLEERLPRCLLSNKVVHELLPTMKQLRVLSLTNYKSITEVPKSIGNLLYLRYLNLSHTKIEKLPSETCKLYNLQFLLLSGCKRLTELPEDMGKLVSLRRLDISDTALREMPTQIAKLENLETLSDFLVSKHTGGLMVGELGKYPLLNGKLSISQLQNVNNPFEAVQANMKMKERIDKLVLEWACGSTCSDSQIQSVVLEHLRPSTNLKSLTIKGYGGINFPNWLGDSLFTNMMYLRISNCGDCLWLPPLGQLGNLKELIIEGMQSIQIIGTEFYGSDSSPSFQPFPSLETLHFENMQEWEEWNLIGGMDKFPSLKTLSLSKCPKLRLGNIPDKFPSLTEPELRECPLSVQSIPSLDHVFSQLMMFPLNSLRQLTIDGFPSPMSFPTEGLPKTLKILTISNCVNLEFLPHEYLHKYTSLEELKISYSCNSMISFTLGVLPVLKSLFIEGCKNLKSILIAEDASQKSLSFLKSIKIWIVMNWSHFPQVDCPL >RHN49161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52916017:52921946:1 gene:gene43962 transcript:rna43962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate dehydrogenase (NADP(+)) MSFSFSSTSLPFSESSFSLPRSTHPCSNFNNITVASSSFHSVASVGSSSFCRKFRGFKLWILDRLNLQHPKQFNCRNHFKNVKGSISDSSRLLNDDDADSKVTSSLESASLLQTSSLAFPMDVSREPSLCIAVIGATGELARGKIFPALFALYYSGFLPENVAIFGYSRKNITDEDLRSIIASTLTCRVDHQQDCGDKIEAFLNRTHYINGGYDNKHGVSLLKAKMEQIEGRSKTNRIFYLSVPQEALLDVASCLASSAQTQKGWNRIIIEKPFGFDALSSQRLTQYLLSKFEEKQLYRIDHLLGRNLIENLTVLRFANLVFEPLWSRTYIDNVQVILSEDLAVHPGRYFGGYGIIRDIVHSHVLQTIALLAMEPPVSLDGEDIRNEKVKVLRSIRQLEPKDVILGQYKSSCRDKVDKCLDGPTPTYFAAALYIDNARWDGVPFLVKTGLGLIKHQMEIRIQFRHVPGNVYRECIGHNIGRATNELILRDDPDEAILVRVNNKVPGLGLKLDSSELNLLYKDKYNIEVPDSYEHLLLDVIDGDNHLFMRSDELAAAWNILTPILNEIDKDNVSVELYELGGRGPVGAYYLWAKHAVRWVED >RHN51051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13401712:13404906:1 gene:gene35421 transcript:rna35421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MKYFSLLSPPLLYLHLLFLLTLNLMWFGPNKITAVTAIGNQTDHLALLKFKESISSDPYNALESWNSSIHFCKWQGITCSPMHERVTELSLKRYQLHGSLSPHVCNLTFLKTLDIGDNNFFGEIPQELGQLLHLQRLSLSNNSFVGEIPTNLTYCSNLKLLFLNGNHLNGKIPTEIGSLKKLQRMTVWRNKLTGGIPSFIGNLSSLTRLSASRNNFEGDIPQEICCCKHLTFLALGENNLSGKIPSCLYNISSLIALAVTQNNLHGSFPPNMFHTLPNLQIFDFAANQFSGPIPISIANASALQILDLGDNMNLVGQVPSLGNLQDLSNLNLQSNNLGNISTMDLEFLKYLTNCSKLHKLSISYNNFGGHLPNSIGNLSTELIQLYMGDNQISGKIPAEFGRLIGLILLTMESNCLEGIIPTTFGKFQKMQVLYLWKNKLSGDIPPFIGNLSQLFKLELDHNMFQGSIPPSIGNCQNLQYLYLFHNKLRGTIPVEVLNIFSLLVLDLSHNSLSGTLPTEVGMLKNIEDLDVSENHLSGDIPREIGECTILEYIRLQRNIFNGTIPSSLASLKGLQYLDVSRNQLSGSIPDGMQNISVLEYLNVSFNILEGEVPTNGVFGNASQIEVIGNKKLCGGISHLHLPPCPIKGRKHAKQHKFRLIAVIVSAVSFILILSFIITIYMMRKRNQKRSFDSPTIDQLAKVSYQELHVGTDGFSDRNLIGSGSFGSVYRGNIVSEDNVVAIKVLNLQKKGAHKSFIVECNALKNIRHRNLVRVLTCCSSTNYKGQEFKALVFEYMENGSLEQWLHPQILNASPPTTLNLGHRLNIIIDVASALHYLHRECEQLILHCDLKPSNVLLDGDMVAHVSDFGIARLVSTISGTSNKNTSTIGIKGTVGYAPPEYGMGSEVSTCGDMYSFGILMLEMLTGRRPTDELFEDGQNLHNFVTNSFPDNLIKMLDPHLLPRAEDGAREDGNHEILIPTVEECLVSLFRIGLLCSLESPKERMNIVDVTRELTTIQKVFLAGEMNILICLFI >RHN78747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18015744:18016538:-1 gene:gene2395 transcript:rna2395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MASHTSNNFVGSHLSVTTHGDHVTKTKPTNKRKRDVSVGKRRRNNTLGKLTSSSYLPASLHDTNNLCLCLSLSCLHTTTHDHETSQITKKKKKTCNYEAFVGKQNNLGLLQPIDDLSVCLHFLVGSPSCVPTCCHDHEQTTKKTPCNADEQQLWEIKKVLETSDICKHKTRLLLNKDLAEKFVVPVLLDGAFGKGGVQVQVWDIDTKSPHSVVFKYWPSAKSYVFTKTWTKEFVNRRELKKDDQIGLRWDRNNQRFDFSVLKKP >RHN43221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44135283:44135862:-1 gene:gene49761 transcript:rna49761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MWMVGYSEGGEFNMADYPLCGRKLRPLMSRPVPIPVPTTSPNNTSTITPSLNRIHGGNDLFSQYHHNLQQQASVGDHSKRSELNNNNNPSAAVEELYRRGTRTPSAEQIQQITAQLRKIGKIEGKNVFYWFQNHKARERQKRRRQMFLVKMGFWRVLFSVGFGEK >RHN39909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11623514:11625632:1 gene:gene45993 transcript:rna45993 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNDLAKRKRQHEFELRREKLEKEKKEKKLQAKKNKMKVDGSDKKKKGGGSGFKVGKKYLKTRLSALSKAKAAQAMELDK >RHN47576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40747462:40748398:1 gene:gene42194 transcript:rna42194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MGSEELNKNLLQHQNTSEEEEEPLRKRVWKESKKLWIVAGPAMFTRFSTFGIMIVTQSFIGYIGSTELAAYSLVMTVLVRFANGILLGMASALETLCGQAYGAKQYDMLGVYLQRS >RHN70811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54251088:54254351:1 gene:gene19433 transcript:rna19433 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHAIILRFTCSRYEDVDVEGHMIGRIRISRCEIEHLFDDVTSRVLL >RHN39286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5590624:5596393:1 gene:gene45296 transcript:rna45296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MEIKKGVYTPYENVLLCIRVLPHSKNNNTYMSMASSSSSSSQAATVPREKYDVFISFRGDDTRAGFTSHLYAALCRNYIHTYIDKKIEKGDEVWAELVKAIKQSTLYLVVFSENYASSTWCLNELVQIMECNNKNEDDNVVVIPVFYHVDPSQVRKQTGSYGTALAKHKEQGNDHEMQKWNTALFQAANLSGFHLAKNRTQTESNLIEDITRAVIRKLNQQSTIDLTCNFIPDENYWSVQSLIKFDSTEVQIIGLWGMGGIGKTTLATAMFQRVSFKYDGSCFFEKVTEVSKSHGINYTCNKLLCKLLKEDLDIDTPKLISSMIRRRLKSMKSFIVLDDVHNSELLQNLIGVGHGWLGSGSTVIVTTRDKHVLISGGIKTIYEVKKMNSRNSLRIFSLNAFDKVSPKDGYVELSKRAIDYARGNPLALKVLGSLLRCKSEKEWDCALDKLKKMPNNEIDSIFRMSFNELDKTEQNIFLDIACFFKGQERNSITKILNECGFFADIGISHLLDKALVRVDSENCIQMHGLIQEMGKQIVREESLKNPGQRSRLCDPEEVYDVLKNNRGSEKVEVIFLDATKYTHLILRSDAFEKMENLRLLAVQDHKGVKSISLPDGLGLLPENLRYILWDGYPLKTVPLTSSLEMLVELSLKQSHVEKLWNGVVNLPNLEIIDLSGSKKMIECPNVSGSPNLKDVILKRL >RHN69978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47723261:47725612:1 gene:gene18514 transcript:rna18514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PsbP family protein MLSSLPITKLNSTKLKGSAKMALKSFSTLSHNTLFHKLIHASSSSPTLIPKSTSPSCIHDSHKQMPCFGKRKLNLTLLLTPFLWSVFPNVLLSAQELITELQRYTDSNEGFTLQIPSSWTKVDKAGATALFQDPNKGSNNIGVVVNPVRLTALGDFGTPQFVVDKLLQAERRKESTKEAEVVTVAERSGKGGLQVYEFEYKIDSTRGGLKRIFSAAFVASKKIYFLNIVHSDNPESPLDQHKRMMLEQVLHSFDSTS >RHN68758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38317049:38318629:-1 gene:gene17149 transcript:rna17149 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKSIFYNLYGSLFIFYNWLISFVFPLYLKHRVQSRDPTRFFL >RHN69156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41457359:41460468:-1 gene:gene17589 transcript:rna17589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MLGVAGLPAVIQFCVMLFLPESPRWLFLKNRKDEAISVLSNIYNYERLEDEVNYLTAVSEQEMQKRKNIRYMDVFRSTEIRNAFFVGAGLQAFQQFTGISIVMYYSPTIIQMAGFNSNQLALLLSLIVAGMNAAGTVLGIYLIDHAGRRKLALSSLSGATVALAILSAGSYLQSSDPTNRTYGWLAIIGLALYIIFFAPGMGPVPWTVNSEIYPEEFRGVCGGMSATVNWICSVIMSESFLSISDSVGLGGSFVILGVICVVAFFFVLLFVPETKGLTFEEVALIWKKRARGKDYDSQNLLERGSQF >RHN60253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24271229:24272728:1 gene:gene22525 transcript:rna22525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLSSFIPSTLGNLSSLNSLSIGSNNLSGANSKLTFSKLSGLDSLDLSNSNFVFQFYLDWVPPFQLIDLLLSNTNQGPNFPSWIYTQKTLHVLDLSSSGISLVDRDKFSNLIKRIPDKLFLSNNFIAEDISNLTVNGLELRLDHNNYTGGLPNISPRVVMVDFSYNSFSGSIPHSWKNLNELTVMNLWNNSLSGEVPMHLSASKQLQTMNLGENEFSGTIPIMMSQNLVVVILRTNKFEGIIPPQLFNLSYLFHLDLAHNKLSGSLPHCVYNLTQMVTYHVNPWYTSTIDLFTKGQDYVYDINSERRTIDLSANSLFGELPLELFRLVQVQTLNLSHNNFVGTIPKTIGGMINMESLDLSNNKFYGEIPQNMFVLTFLGYLNLSCNNFNGKIPIGTQLQSFNASSYIENPKLCGAPLNNCTKKEENPKTATPSTENEDDDSNKESLYLGMGVGFAVGFWGICGSLFLIRKWRHAYFRFTDKVGDKIYVTLIVKLNMFHRN >RHN59333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9654171:9654572:-1 gene:gene21345 transcript:rna21345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Agenet-like domain-containing protein MFPGNKVEVSIDRGSGISCSWFPATILRWFSSDILLVQYDDMDVKPTVVGLHQLRPVPTPVSDYWEVKIGDKVEAFRKHRWWEGRVSADLGNGRFLVCFTDSEEMVFPKDLLRIHRQWINHNWVPPITNHKVR >RHN78961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20299107:20301198:-1 gene:gene2630 transcript:rna2630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MVFSRNQHGWENTKGFTLQVLTGRWFMVFSSFMIMSVSGASYMFGLYSREIKSVLGYDQSTLTLLSFFKDLGSNIGILSGLLNEITPPWVVLTIGGLLNFFGYFMIWLAVTRKIPKPPIWNMCLYIFIGANSHCSTNTGALVTSVKNFPGSRGVVIGLLSGYLGLSGAIITQLYYAFYGNDSKSLILLMAWLPTAVTFVFMPVIKHHKRAEQPNDSKAFYNFLYMSLILAGFLMIMIIVQTCFNFTKSEYYVTSIVMLLLLILPLFVVIMEEQRIWKNKKEQINGEDSPPKPLNITTQMPQTHQSTGETTQNQNQNQNQNQNQKQVSSWRNILFPPSRGEDHTIFQAIFSLDMMTLFVATICGLGGTLTVVNNLSQIGLSLGYPAHSITTFVSLMAIWIYLGKVTQGVISEFIITKLKLPRPLMLTSILIFSCLGHLLIAFNVPNGLYVASIIIGFCFGANWPVLFSIISELFGLKYYSTLYNVGSIASPIGSYLLSVRVAGHLYDKEALKQMAALGLKRKPGEELNCNGSECYKLAFIIITAVSLLGALVSLTLVIRTREFYKGDIYKKFREEARNNENELVVTQNKVGPASNDDA >RHN67325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26036751:26044019:-1 gene:gene15505 transcript:rna15505 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRPEIQTGSVKLLGPDDDWRNRSNLQNMSISELVSELRDTFIQSDFDVVEEALVSREAMLKAEIKEKKKELKLLEEKFQMERLEKVSVDMELKRVKEERCKKELVKNCGVVKGELGFGRCIVEGMKKRKVVDLEDEKVEEKRRIVEQRMNDGGGGSDNRLTCSSGAVQKNLATKLVEPKKEFVSSREFVANMNILDGLDSDSSSSSSSFDKFDMDISHCTSFKSNKNMKTNAS >RHN80808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40992072:40994318:1 gene:gene4775 transcript:rna4775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dormancy/auxin associated protein MSILDHLWDDTVAGPRPENGLGKLRKHPTFPTRSISDKESGEGGNVRSYSGDSPEDAMKVTRSIMIMKPAGYQSNGSAPASPAGSTPPVSPFSGAREPFRFRRRSTSDAYEKEKTGTNRPSPSSPFDV >RHN68753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38282456:38282818:-1 gene:gene17142 transcript:rna17142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MEYMKCVIRETLRMHPAGPLLAPRETTSSVKLGGYGIPDKTTVYINAWVIQRDPEFWEMPEDFLPERFENNKVNFNGQNFQFIPFGSGKRKCPGMAFGLATTEYMLANLLYWFDWKFTYK >RHN52479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35758829:35763428:1 gene:gene37163 transcript:rna37163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MALQSFISSSSSSLSYGFTYDVFLSFRGSDTRYGFTGNLYKDLCKKGIRTFIDDRELPGGDKITPSLFKAIEESRIFIPVLSINYASSSFCLDELVHIIHCCKKNGRLVLPIFYDVEPSNVRHQIGSYGKALAEHIEKFQNSTDNMERLQKWKSALTQTANFSGHHFSSRNGYEYEFIEKIVKYLSSKINRVPLYVADYPVGLESRVLKVNKFLDVGSTGVVHMLGIYGTGGMGKTTLARAVYNSIADQFDCLCFLHDVRENSTKYGLEHLQEKLLSKLVELDIELGDINEGIPIIKKRLHRNKVLLILDDVHELKQLQVLAGGLDWFGPGSRVIVTTRDRHLLKSHGIERAYELPKLNETEALELLRWNSFKNNKVDSNFDGVLRCAVTYASGLPLALEVVGSNLFGNNIGEWKSALDRYRRIPIKKIQEILKVSFDALEKDEQNVFLDIACCFKGYNLKELEDILYAHYGNCMKYQISVLDEKSLIKINRYEGNYVVTLHFLIEKMGKEIVNEKSPNEPGRHSRLWFHKDIIDVLEENQGSSEIEIIYLEFPSSEEEVVDWEGDELKKMENLKTLIVKNGTFSNGPKYLPNSLRVLEWPKYPSPVIPSDFCPKKLSICKLQQSDFISFGFHGTMKRFGNVRELNLDDCQYLTRIHDLRSFPAMKSASLRRLGLAYCTSLKTFPEILGEMKNITHISLMKTSIDKLPVSFQNLTGLQIFFIEGNVVQRLPSSIFRMPNLSKITFYRCIFPKLDDKWSSMVSTSPTDIQLVKCNLLDDCKCLREIRGIPPNLKHLSAIRCKSLTSSCKNMLLNQELHEAGGTKFCFSGFARIPDWFDHQSMGHTISFWFRNKLPSMALCFSTKSAATMPTGKTNFYITIPTLFINGNKYDRLDMSGIMSTHHTYLYDINLRKLDQHPFMKDSILLENEWNHAEIICEHQEVEPITEIGIHFYKEQNNMDDIQFTNPYEKIKLNDDDGDDFFYDVDDVLDDDNNDVFYDVIDVLDDDDDKDVLGDEDDHHSQ >RHN43671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47631091:47636563:1 gene:gene50282 transcript:rna50282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease P MATSPLTLQLQLQQSLSFSHSLIPKLFALSNFGYESFPSITTINFNPRKQPSIQCSSRNPPLDTATKNSVRRFSKKTSSSSSSHTDKKVSFESKSVNSTSYTVVEKKKKNENENKNEFEKKKKIKDTPPQAKLRVSLDQCSKRGDLKGALSLFDSAISQGVKLGQHHYTVLLYLCSSAAIGGLRPAKSGSGTRTLNAQVSSNNLNGSVDRNDDDDERFCSDSDDNKLLDNSVSVSHSDDSDMNDKDRDKRNGIMVSEVVKEYALQRGFEVYENMRMSKVEMNEAALTSVARMAMALSDGDKAFEMVKQMKILGINPRLRSYGPALSTYCNNGEIDKAFDVEKHMLEHGVYPEEPELEALLRVSIRGGKSDRVYYVLHKLRSSVRKVSTTTADLIVDWFKSKIALKVGKRKWDNGLIMKAMQNNGGGWHGTGWLGKGKWQVLQTSVRKDGMCKCCGVQLATIDLDPVETENFAKSVASIAISNEKNSNFQTFQKWLDYYGPFEAVIDAANVGLYSQGKFMPSKINAVVNELRQKLPSKKFPLIVLHHRRIKGDKRDVPINKALVDRWNNANVLYATPTGSNDDWYWLYAAIKFKCLLVSNDEMRDHLFQLLGNDFFPKWKERHQVRFGFSDAGLEFYMPPPCSVVIQESEEGHWHIPIEAELNDEAERRWLCITRAKLDMVSGDSSTTSKDEKPLQNGEQAKSVTRKESAKELQYQNLVNHKKMIGTPQESYKNHRK >RHN66503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13094687:13095551:1 gene:gene14506 transcript:rna14506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MWVGDTSLRHIFPRLFTLSEKKECCTYLKLYLSFCLFNFWQSITFDRYSIEEGIDHYGFNTSMVTTNSSLKLTFTNTATFSGIHVTSIPLEMKNYNQLPIATGNIPNFYQRRKSARSIDVKVEGSQIPVHIAPDDYVLGESIPLTLNMIVNSKANVLWILVTHKFNMNIECSLYMDTKKMGVPIPLTNTCKTFD >RHN45808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25812259:25812855:1 gene:gene40216 transcript:rna40216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MKFNEPSRIIGSCNGLLCLLDHTTMFAVPKSPFRIWNPTTRIISEKFGSFNRPLNSSLNCTFGYNNSTRTYKVVVLFRNEVQIFSLGDNRRKSLSFPSSDPFFTFGSSHVNKGVYLSGTVNWFAIRSKFSHDYCQKEITVGKFVIISLDLGTETYKQFQTPSGVDEVPDVELTIAVLTDCLCFSHNLKNTHFVNGIWS >RHN68553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36480115:36483877:-1 gene:gene16921 transcript:rna16921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nitrate reductase (NADH) MKSKQTTKELEPSIFDPRDAGTSDQWIKRNTSMLRLTGKHPFNSEPPLQELVEHGFITPVPLHYVRNHGPVPKAQWDSWTIEVSGLVKNPTQFTMAQLIDDFPSHEFPVTLVCAGNRRKEQNMIKQSIGFNWGAAGISTSVWRGVPLCTVLKSCGILNRNSGALYVCFEGAEELPGGGGSKYGTSITREVALDPSRDIILAYMQNGDVLTPDHGFPVRIIIPGFIGGRMVKWLKRIIVTSKQSDNYYHYNDNRVLPSHVDAELANAEAWWYKPEYIINELNVNSVITSPCHDEILPINSCTTQMPYLLRGYAYSGGGRKVTRVEVTMDGGETWQVCTLDHSEKPNKYGKYWCWCFWSLEVEVLDLLGAKDIAVRAWDESLNTQPQNLIWNLMGMMNNCWFKVKSSLCKANKGEIGILFEHPTQPGNQSGGWMAKERQLEKSSDSNSILKKSLSSPFMITSSKTYTISEVEKHNNAESAWIIVHGHVYDCTRFIKDHPGGADSILINAGTDCTEEFEAIHSDKAKKIIEQYRIGELITTGSYSSPDISMHNTSVSVTTNLAPIKEITLPVTPLRSVSVALNPREKTSCKLLFKKSISHDVRLFRFALPYEGQLLGLPVGKHIFLCATIDEKLCMRAYTPTSSVDEKGHFDLVVKIYLKGVHPKFPNGGLMSQHLDSMPIGSTLDIKGPLGHIEYAGRGNFMVHGKHKFAKRLAMLAGGSGITPIYQVVQAILKDPEDLTELHVVYANRSEDDILLREEMDSWAKKHEQFKVWYVVQESKREGWEYSVGFITESILKQHVPQASPDTLALVCGPPPMVQFAVKPNLEKLGYDVTNNLLLF >RHN78640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16997227:17004774:-1 gene:gene2269 transcript:rna2269 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQGWPNSMRPWKSFMSHMTTIRKKIWWQIIQRHRRWIWKMARLHVAPATATATTSNSRRRGITTTTSNLMSSMDPTTTTVFLIFFKWQSFIGSISKSSSNNHNRSSSNQRSNNTSTNNLPLSTG >RHN78879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19516735:19522172:1 gene:gene2545 transcript:rna2545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSMLDLNIVDIIHVDDNSTNVQKKLQLLTSPSQISDSRTSNSSIWNPAEEDSSNNSSPFIFDLLKKEKDVSQIVKEEKKEPITRTLFPVSADRGGRLSDYSMTQRMNFDEQNGHSILQQKQPQVRKNRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAVMFRGVDADINFNLSDYEDLKQMRGLSKEEFVLRLRRKTNENSKRSSTYRRTLSLNKYGQGDPQIITPFVAKEFCHKSPIKRDHEVETSCKPSSIYKGEIVANSNKTGTLHNLDLSLGIPKERGTMEKGLVNIKKEVPLQVFSNLARKFCSNGSNIALGSLKSNAAASSGFLSSPPFLPITSHNNNLPTILSLAQPQYTHH >RHN72540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8482490:8488094:1 gene:gene8266 transcript:rna8266 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAKNGLNSYVKQLQEHPLRTKVITAGVLSGISDIVSQKLTGIQKLQVKRLLLKVLLGAGYLGPFGHYFHIILEKIFKGKKDSKTVIKRVLIEQLTSSPLNNLIFMIYYGLVIEGQPWVNVKARVKKGYPSVQKASWTFWPVVGWINYKFMPLHFRVVFHSLVAFVWGIFLNLRARSMTLTKS >RHN82405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53482742:53488161:-1 gene:gene6568 transcript:rna6568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine--tRNA ligase MAEERVESKLYNSVELKLYNSMNNEKEIFNPKVAGKVSMYVCGVTAYDLSHLGHARAAVSFDILFRYLKHLDYEVTYVRNFTDVDDKIINRANEKGVDPFELSRHFCDEYNVDMSDLLCERPTKEPRVSDHIDQIKDMITQIINNDYAYEVNGDVFYSVEKCPNYGALSGQRLEHNRAGERVAVDSRKHHPADFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSACYLSHKFDIHGGGIDLIFPHHENEIAQSWAADKESHISYWLHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRHFLISAHYRSPLNYSITQLETSSDAIYYIFQTLEDCRDTLSSFLQEDTEKKEKVPPINDAAKECIKKLKDEFQTKMSDDLQTPVILTGALQEALKFMNGSLKMLKKKMQKRAQLQLVQSLLEVEKEAREILKVLGLLSSLSYAEVLQQLKDKALKRAGLTEVEVLKSIEERRQARINKDFAKSDNVRTDLTAKGIALMDVGNETIWRPCIPSEPLVAQAVSTDNNAPKVEEKLSTLAVSQKVEEIPRKS >RHN38545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:78898:79116:1 gene:gene44497 transcript:rna44497 gene_biotype:protein_coding transcript_biotype:protein_coding MATIMEIFRTLQQMQGTYDRIITYLPLILESLNDFQQRPFFFSVTKGHCFCKVRLFSQHFMLIPNTKYLCVS >RHN59208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8453544:8457057:-1 gene:gene21207 transcript:rna21207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HD/PDEase domain-containing protein MLSLCKDTKVAFLLAGVGNIDIHRKTNCFVVNSRCKLLFCEDSRRSYISRARCAVAGVVTRERMEKKETIRKAEALVEKAMKGNDASHDAAHVWRVRDLALSLATEEGLSSDPHSMEIVELAALLHDIGDYKYLRDPSEEKTVENFLEEEGVEENKKSKILKIIKEMGFKEEVTGKGTTEWSPEFGVVQDADRLDAIGAIGIARCFTFGGSKKRALHDPAILPRSDLSKEKYMNKEEQTTINHFHEKLLKLKDMMKTKAGQRRAERRHKFMVEFVKEFYDEWNGSS >RHN72504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8166774:8169562:1 gene:gene8224 transcript:rna8224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MEMISNILLVFLVTPFFLLSMISCEDDEVKRTLIQFLTQLKGQQNNSSSLVWKPDTDPCKDHWNGVYCDAQMSIKKLDFYRFNLSGTLDVSLLCNLQPLAESLTFLSLDDNNISGEITSEIKNCKQLTRLHLSGNQLAGNLPTSLAMLNNLKRLDISNNKFSGPLPNLGRISGLNMFLAQNNELSGNIPTFYFSNFDRFNVSFNNFSGPIPDLQGYFFADSFLGNPRLCGYPLQKNCTSQPLSTVSKTSEEESKGASKEQVLMYSGYAALGFIIIFFVVWKLCVKKKSGKKVQTELNDDIEKPSYVSSESKAEELSKSGFSVTSESGMVSQQSLIVLSRPVVNELKLEELLRAPAEMIGRGKNGSLYKVMLTNGIQVVVKRIKDWSISSVEFKQRMQLLNQAKHPHVLSPLAFYCSKQEKLLVYEYQQNGSLFKLLHGTSKTFDWSSRLGLASTIAEALAFMHQELGQHGIIHGNMKSSNILLNINMEPCISEYGTMPKDEQQSSTFATPNDANACKEDVYGFGVILLELLTGKLVKSNGIDLTEWVQSVVREEWTGEVFDRSLLKEYASEERMVNMLQVAIRCVNSSFEARPTMNQVALMINTIKDEEEKSLIYQV >RHN63873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54670971:54671791:-1 gene:gene26628 transcript:rna26628 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTLKQQHYATSGLVIGYALCSSLLAIINKYAITQFNYPGLLTALQYLTSSLGVYLLDLLPLFLLLSLILRFAVNPLRLILPFCPWLLFLLVLLVMLLLIRVLH >RHN43108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43281062:43282301:1 gene:gene49633 transcript:rna49633 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPMARLPPIQRTSSIEREPRTLDIGQIQSARNLAIYILNTKTFEEASRIFTEGLQPVVSAASSMGSGNMDLGEELELIPQEAFRDIASAPF >RHN53156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42405882:42406454:1 gene:gene37926 transcript:rna37926 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFFTFSAYKKERMENTCFCSYADGWCASCKRKYEEEEEERAKPWQQIKQEAIEELAFTFFFFDMLKNNPDVDCSRAKAKDFWSAETYDVKLPWKDLAVGRVCHGRGRGWDSLRRNESMPEHLVDACKRCARAFIRAEFQVCFVPPITIQANFRYALRMIIY >RHN40004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12228098:12231440:1 gene:gene46093 transcript:rna46093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA hydrolase MATKPSSSTTTTTASASAVAETSSVKISPEVDPKHVSETQLFVKLMGIGAPVPENCNTDGFFDSFLRNFIKVDQIQRGRITCTVVAKPPICNAYGTLHGGAVGSLVEVLSTACARTVVAEDKELFLGEISISYLSGTPINEEVEANASVVKSGRNLTVVALEFKLKKTGNLVYLTHATFYNMPVSRL >RHN76471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50649425:50649705:-1 gene:gene12803 transcript:rna12803 gene_biotype:protein_coding transcript_biotype:protein_coding MRHYLFASSSLNSNRTRDPLLWFDSVLSLLCCTDSNRTFLAVPVPFAPIHALFLSSLWIGGLFWLARFPCRSGLLFVYVRKRNGN >RHN51668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21781333:21785946:-1 gene:gene36152 transcript:rna36152 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFEASDKQNLCVGDDDGNLNVKGLNSCEEKTSHSDVMREPVSCVDFESADDVDDVPASPRYSPSSSLGTCSSKPDVSVKKRDSSNTTLTVKRLFDNSNSLNISVKKQKMSPYYDHGEDEYEGEDVHDESADKSFSSLKNEVNLVEKLFKNCKRKRTEEEKKLQSIKRDIEECCKELRNKKQRVICVRRVNEIYNKMLGKAESKEEELKALTQKVESKKEELKALNQKVAEGNLELKSKEKELDAMKISISGQAEILESERKQLLKVISVMQNDRAQMRDVDSKKKRLENHVKELESKENECKGRVGELESKEKYLEGQLKALESRAKQMKGHVKRFESMKREFGDHIKKVESKNKQVEGQEMELKSKETQLEGLKKELELKEEKLEGRVKEHELKAEELEGRVKEIESKNKHLESQVEYFKSNDKQFEERWKELESKENQFKVKEKELKLKEKQIEVQVKELESKLNEFGGQLKEPELTGKHSEAFKKHIDEEKESVASYMDDQLSHTIGRTSLQLYTSEKTDDVESLCKDIFVYLQESADPSRLVLDIIQNPGTPLCKKGDNAVIIDECHIYLLEELMRISPTIKPRVREKALKLARDLKAYMRENTKNSSAVVGFMLLLSVYGLLTYFDKCEVLELFASVAQHKTVMELFETLGFANKASDFVKYLIRRKQFVEAVRFSCAYNLADKNQLIDMLREHVQNVKLICTSRCEKTNSIEIKDKARDQEIASLGTVLQCISDNSLESEDLLREEIQCRIHELNQHKGK >RHN60248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24238143:24241081:1 gene:gene22520 transcript:rna22520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase MATLNKNMLLNYVPVYVMLPLGVINVDNVFEDPDGLKEQLVQLRAAGVDGVMIDVWWGIIEQKGPKEYDWSAYKSLFQLVHKCGLKLQAIMSFHQCGGNVGDVVNIPLPKWVLDIGESDPDIFYTNRSGIRDQEYLSIGVDNKPIFHGRTAIEIYSDYMKSFRENMSDLLQSEVIVDIEVGLGPAGELRYPSYPQNQGWQFPGIGEFQCYDKYLRESFKAAAAKAGHSEWELPDDAGTYNDVPESTEFFKTNGTYLTEKGKFFLTWYSNQLLNHGDQILDEANKAFLGCKVKLAIKVSGIHWWYKAPNHAAELTAGYYNLDDRDGYRPIAKIVSRHHAILNFTCLEMRDSEQSSDAQSSPQKLVQQVLSGGWRENIEVAGENALSRYDATAYNQIILNARPQGVNKDGPPKLRMYGVTYLRLSDDLMQQSNFDIFKKFVVKMHADQDYCSDPEKYNHGIPPLKRSEPKIPVDVLNEATKPIPPFPWDSETDMKVDG >RHN61757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38075152:38075688:1 gene:gene24250 transcript:rna24250 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIWTLKYKLSQFTGADPAGWITGAEMFFADNEIHSCDKLQWAFMSMEDEEALLWFYSWCQENPDADWKSFSMAMIREFGARMEHPTDKQMVQNHDQESEPKLWKMAEKHDEPVLEKIINDGEGRYVNEISPSSEKVVDAEMENFETKKSRKDNRIGGRVLNEKGQMKKQKQEENLT >RHN71208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57242411:57243459:-1 gene:gene19861 transcript:rna19861 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVFRRSVSFPNKNPNRPSQKPQISHHIRSISLPCRSHPLISQIKDEINGLKSWTNSTSKSQPLTSKNLSNGLTLLQQTYETFQDILQLPQTQESLRYHPQWVENLLEYSLRFVDAYGMFQTSILYLKEEHSSVQIAIRKRDESKLVIYLKAKKKLSKEIEKLVSGIRCVNLNVTQQQQLHVPVYSSSPTLLSVSDSVELGRVIEDVMSLTVSVSVAFFNGVAMSFASKRFSWVKMVRKGGSVKKELEGIEEIQKVLNEVENIGNLKKKGDEEVRSVLKRMRDLEENICGIESVSDKVFRALINSRFLLLNTLTLSQ >RHN73865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20821758:20828930:1 gene:gene9750 transcript:rna9750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MTDKESDRISCLPDHIIDHFLSRLSIKEAGRTSVLSNKWRKKWSTQPDLVFDKQCVSAAVSEDPSVIENKFVRTVDHVLLLHSGPINKFKISDFGCDFIGMISVADVDRWILHLTRRSIKNLELDIWFEQRYNIPWCLFSCQSLHHLNLFCSSLKPPAMFEGFMNLKSLQLEQVTVAKDAFENLISGSPLLEKLVLKKVDGFTQINIHSPSIKYVDIRGNFEGISFDNTSQLAKVFVNLSSYLNSETGQSMFHGCSSNLLKFFDHLHNIQSLLIASYSIKYLAAGVVPVKLPTLCINLTFLSICLNFNDLTEISAVLCLLKSSPNLQTFKMFARLKEETDLLTHTSYCWEDIFSEPDMLLKLQHVEIQDISGTKSELDFIRFLLLYSPVLKKMIVEPVENVKPELITELIRFRRASEQAEVIYKAKDS >RHN72184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5609361:5610476:-1 gene:gene7868 transcript:rna7868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MPPIGTNIALGLQIENHKTIVAQIANELGGFQQAAQYLNKCLYYVYIGTNNYSLNYFQPELYNTSGTYNPEEYAQVLIDELSIYLHVALQTSVRANSANNGSCVEELNDVEAIFSEKLKSLVDQSNTLYVDSKSIFINTTAIRIDGSLGFRVFASSCCPIKSDGFCIRDSIPCTNRNEYIFYDGMHPTLAANNIIASLVYDSTSNPEVTYPTDIKRLAQLVI >RHN46614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33255080:33256460:1 gene:gene41123 transcript:rna41123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQKRRNMAAILKFVYIMIIYLFVLLVAVKAFEECKEDADCHPVCSVPGCSNICTLPDVPTCIDNNCFCI >RHN74888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37552634:37557950:-1 gene:gene11021 transcript:rna11021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor BES/BZR family MTSGTRQPTWKERENNKRRERRRRAIAAKIFSGLRMYGNYKLPKHCDNNEVLKALCNEAGWIVEPDGTTYRKGCKPAERIDVIGGSTMGSPCSSYHVSPCASYNPSPGSSSFPSPRSSHAVNPNGDGNSLIPWLKNLSSGSSSASSSKLPQLYIHTGSISAPVTPPLSSPTARSPQRKADWEDQSTRPGWGGQQYSFQPSSTPPSPGRQVLDPDWFAGIRMPHSGQTSPTFSLVATNPFGFREEVFCGSDSRMWTPGQSGTCSPALAAGSDHNADIPMSEAISDEFAFGSSAVGLVKPWEGERIHEDSGSDDLELTLGTSKTR >RHN62214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41768912:41770070:-1 gene:gene24766 transcript:rna24766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MKQIIVITTPLKAMSYKCQVMACQCNNLMLLLILNISAPVQGVITPRTHQWSTRLCRCLDDPGICLVTCFCPCVTFGMIAEIVDKGNSTCTCDGTIYGALLAVTGLACLYSCYYRSKLRAQYDLPEAPCMDCLVHFCCETCALCQEYRELKNRGYDLSIGT >RHN81792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48896534:48903153:-1 gene:gene5881 transcript:rna5881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MPVAKLTASATPNFMKPEVGNDSLDTLIRQAVGKEPFLSFPRAGGDRPAQWIQLFHALEQQQEIPGWPLFSPAKVQLLKCDKCTKDREFCSPINYRRHKRVHHRLKKLDKDSKISRDLLGAYWDKLSVEEAKEVVSFENVMLEEVPGSSIVQALSTLRTQQGFYSFPQYYLRAGFSLLDIVQSRPSSFPISSQKLFNILDDSSENTFLCGTAVSMQRHVFDGEAEKIGLEPKNLVACTSFLLEQKLVKAWLADKDAEALRCQKLLVEEEEAAQRRQAEVLERKRMKKLRLKEQKARDQLENDVEVKGSIRSAGEEVSPEEPSLAACDFEAHRAHAFADQASSPHVTYHCPDTNEGVDEDTQLAYDCDTNQNVEPQTFKSDAQSGYECDTDRNLERQTSHRHNPRRIMTARWLRHPKSQSTIVNGLHASQNSQKSKSGVIPKYGNGTNRDQRVAPIVNSGKVWSRKPKPEIDTVILKPKLHKEPDEVKTHEVLIGSVSVTLVNCSQSENNLVTSQANSFIENLANQNIAQEKPIKPDSFHGGNNQSGVKLWRPVSQHETKNPPPLQSAETEVDVVHGNADQNLSLQSNLRLCDIDGGNMGYGNKSHVGPKADSEGFRLSSDAAKAFLGQRWKEAISSHHVELVISPDSETHGFKPVKDCATSVAKSKPRMRPDKGIKIKYIPRLKAAA >RHN72397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7289027:7294521:1 gene:gene8103 transcript:rna8103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative feruloyl esterase MEQLVKFIIRPPRAEYDPKSDLLDNEFMLKGKWFQRKDVEIKNDRGDVLQCSHYMPIVSPDGKPLPCVIYCHGNSGCRVDASEAAMVLLPSNITVFALDFSGSGISGGEHVTLGWNEKDDLRAVVNYLRTDENVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYRFRLPKFTVKYAIQYMRRTIQKKAKFDITDLNTIKAAKSCYVPALLGHGIDDDFIRPQHSDRILEAYKGDRNIIKFDGDHNSPRPQFYFDSINIFFNNVLQPPEDELGESFFDFTNDYFGKDIWRSVHELGYENEPSSENKEPSRSTVDAVKQVHLKRPMSRMEEEKCDDFSSSSSTMLSFELSNGNLYDPRVPATLDDDQYVEYHLDDLTGIPSNAEEEQRMLMEALIESLKEPEIQYLQVEQSLLEPSDKDDSHASSHEISKPMETESSLVKHSAHSAAKITNAAFNVCEPSKAESNAASSPSSLDTSSGNTCSSHSDSSASSKCSSEIDISHKTKATLTVTRNPAGHVMNGLMRRWDFNFLRNSHKQLK >RHN72947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12070996:12072158:1 gene:gene8715 transcript:rna8715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAGDVLPMEIISEIFSRLHPQSLLRFRSTSESLKSIIDSHNFTNLHLRNSDNFNLILRHNTNLYQLHFPNLTTAAIPLNHPLFYTNRIALFGSCNGLLCISSNNEIAFWNPNTRKHRIIPHLLIPFPQSDINIHFALTIHGFGFDPFSSDYKLIRISWFVDLHNRTFDSYVRLFTSKTNSWKVLPNIEYALCHVNTMGVFVENSSSLHWKMTRRYDKFQPLLIVAFNLTLEVFNEVPFPHEIGGGEEVTNSASFEIDVAVLGGCLCLTVNHHTKIDIWVMKEYGCRDSWCKLFTLMESCFVLPLKSLSPLGYSSDGKKVLLDLNHKKLVWYDLKSEQFSYVDGIPKFDEAMICEEGESFKQDQEKVLLIDYV >RHN43942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49641133:49643618:-1 gene:gene50581 transcript:rna50581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative annexin MSTLNVPPIPPSPRDDAMQLHRAFKGFGCDTSAVINILAHRDATQRAYLQQEYRATYSEDLLKRLSSELSGKFENAILLWMHDPATRDAIILKQTLTVSKNLEATTEVICSRTPSQLQYLRQIYHTRFGVYLDHDIERNASGDHKKILLAYVSTPRHEGPEVNREMAENDAKVLYKAGEKKLGTDEKTFVQIFSQRSAAQLAAINHFYHANYGHSLKKAIKNETSGNFAHALLTIVQCAESPAKYFAKVLRKAMKGLGTDDTKLMRVIVTRSEIDLHYIKAEYLKKYKKTLNDAVHSETSGHYRAFLLSLLGPNQ >RHN81882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49569524:49570466:1 gene:gene5978 transcript:rna5978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LysM domain-containing protein MGSSRGDSASWYCAIALLGMILLGSIRESTVAELQVRGNSLGDHKACDEIYVVGEGETLNTISEKCGDPFIVERNPHIHDPDDVFPGLVIRIITPTNTRKLLKT >RHN79198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25709027:25722810:1 gene:gene2935 transcript:rna2935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEILISVVAKIAEYTVVPIGRQASYLIFYKDNFKVLKDHVEDLEAARDVMIHSVERERGNGKEIEKNVLNWLEKVNEVIEKANCLQNDPRRFNVRCSASSFPNLVLRHQLSRKATKIAKDVLQVQGRGIFDQVGYLPPLDVVASFPTRDGEKYDTRESLKEDIVKALADPTSCNIGLYGLGGVGKTTLVEKVAQIAKQRKLFNNVVMTEVSKNPNIKLIQDEIADFLNLRFEETTILGRAQRLRQRIKMEKSILIILDNVWTKLDLKIVGIPFGKEHKSCKLLMTSRNQEVLLQMDVPKDFTFKVELMSENETWSLFQFMVGDVVKDRNLKGIPFQVAKKCAGLPLRVVTVAGAMKNKRDIQSWKNAFRQLQSYDDTNQMDAETYSALELSYKSLQSDEMRALFLLFASLSGNDVEYFLQVAMGLDILKHTVDDARNKLVTIIKSLEATCLLHEVKTDAKIHMHDFVRDFAISVARRDKHVFIRKQSDEKWPTKDFLKRCMQIVLYMHDELPQTIDCPNVKLFYLCNKNQSLEIPDTFFEGMRSLGALALTSLKLSSLPTSFRLLTGLQTLCLDHCILENMEAIEALQNLKILRLWKSSMIKLPKEIGRLIQLRMLDLSHSGIEVVPPNIISSLTKLEELYMGNTSINWDVNSTVQTENASIAELRKLPNLTALELQIREAWMLPRDLRLMFEKLERYKIAIGDVWDWSDIKDGTLKTLMLKLGTNIHLEHGIKALIKGVENLYLDDVGGIQNVLYQLNGEGFPFLKHLHVQNNANMKHIVDSKYKRNQIHVSFPILETLVLHSLKNLEHICHGQPSTTSFGNLSVIKVKNCIQLKYLFSYAVVKELSQISKIEVCQCNSMREIVFEDNNSSANNDITDEKIEFLLLRSLTLEHLETLDNFTSDYLTRLRSKEKHQGLEPYASTTPFFNAQVEFPYLDTLKLSSLLNLNQIWDDNHQSMCNLTSLIVDKCVGLKYLFPSTLVESFMNLKHLEISNCHMMEEIIAKKERNHALKEVQFLKLEKIILKDMNNLKTIWHHQFETLKILEVNNCKKIVVVFPSSVQNTYNELEKLEVTNCDLVEQIFELNLNENNSEEVTTQLKEVTLDGLLKLKKIWSGDPQGILSFQNLINVEVDSCASLEYLLPVSVATRCSHLKELYIRYCYNMKEIVAEENESSMNEAPIFEFNQLTTLWLFGSDKLSGFYAGNHTLLCPSLRKFSVTKCAKLNLFRTLSTKNSIFQDDKLSVSTKQPLFIAEEVIPNLEILRMAQADADMILQTQNSSALFSKMIWIGFSSYNTEDATFPYWFLENVHTLESLLVERSCFKKIFQDKGQICEKTHTQIKKLILHELPKLQHICEEGSHIDPVLEFLEWLDVDGCSSLTNLMPSSVTLNHLTQLEVTKCNGLKYLFTTSTARSLDKLTLLNIEDCNSLEEVVTGVENVDIAFVSLQILNLECLPSLIKFSSSKCFMKFPLLEEVIVRECPRMKIFSAGNISTPILRKVKIAENDSEWLWKGNLNDTIYNMFENKVGFGGFKHLKLSEYPELKELWYGRHEHNTFRSLKYLVVHKCDFLSDVLFHQNLLEVLMNLEELDVEDCNSLEAVFDLKGEFAEEIVVQNSTQLKKLKLSNLPKLKHVWKKDPHYTMKFENLSDVSVVGCNSLISLFPLSVARDMMQLQSLQVSQCGILEIVAKEEGTYEMVKFVFPHLTSINLEYLTKLKAFFVGVHSLQCKSLKTIMLFTCPTIELFKAEPLRHQESSKNDVLYSTYQPLFVIEEVLANVENLHLNKKDFGMILQSQYSGVQFNNIKHLAVSEFYNVEATFPYWFLKNFLI >RHN79878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33127147:33127608:-1 gene:gene3729 transcript:rna3729 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLSQFGDHPYTTTLSNNIICLCTNHEPNQIVSPMPSHTNTSKNINFTTNKEITSCTICFKRKQREELPTNSKNVIKKIYKAIHSQLFKMKKQEDDECLWKKTILMGERCQPLVFSGAIYYDSEGNQISEPPRTPPKSSPLSSFSQSLSQIN >RHN69750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46011454:46013194:1 gene:gene18263 transcript:rna18263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MNPFLHKFFPHVYEQNVTTIKPSTNQYCRFDSQTLTLFTSSLYLAALVASLGASTVTRSFGRRLTMISGGVLFLAGAALNGFAQEVWMLILGRMLLGFGIGCAIQSVPIYVSEVAPYNYRGALNMMFQLAITIGIFVANILNFMFAKMKNGEGWRYSLSFASIPGIMFTLGAMFLPDSPSSLIERGQNDKAKQELINMRGTTDVDEEFQDLVVASDVSKTVKHPWVSLLKRQYRPHLTMAIAIPFFQQLTGMNVITFYAPVLFKTIGFSNTASLVSALIIGGCNALATLVSIATVDKFGRRTLFIEGGIQMFICQIVIAIAIACKFGVDGDPDTLSKWYAIVVVMCICVYVVGFAWSWGPLSWLVPSEIFPLEIRSAAQSINVSVNMICTFVIAQIFTTMLCYMKFGLFIFFAFFLFLMTAFIYKFLPETKEVPIEEMSIVWETHPYWGKFACVLLIPT >RHN81951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50076761:50085555:-1 gene:gene6055 transcript:rna6055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CMGC-CDKL-Cr family transcription factor WD40-like family MKEKSECFECLQLRINSDFSDQLVFNYAISNSPFPFGSSAILHITSSEDGEAPSGQFILQYMSSHHNNCFTNYVNQYILDSNENTKSDDLDVSHGGNGFTTCNHSGRFSCSRTISGIAPIACVGKSSYSILQQVANDFLSGLTEDHVLKSLDLFVEGKASGRDSTNFLSLIGFPSFEENNFPGSLRHPNIAPVLAILKTSGYTNMVLPKTQYNLESILHFNPNALKSDWHRRFLIYQLLSALLYLHGLGVSHGNICPSNIMLTDSLWSWLRLWNEPVLESKLTLQESESVKSKPAKIGCCNDGCHSNDLYADLKLSPLIDWHSSFHQWWKGELSNFEYLLILNRLAGRRWGDHTFHPVMPWVVDFSSKPDDNCDVGWRDLSKSKWRLAKGDEQLDFTYSTSEIPHHVSDECLSELAVCSYKARRLPLSVLRMAVRSVYEPNEYPSSMQRLYQWTPDECIPEFYCDPQIFSSVHDGMADLATPSWAESPEDFIKLHRDALESNRVSFQLHHWIDIIFGYKMSGEAAIVAKNVMLTQSESTMPRSTGRRQLFMRPHPIRHATAKITRNGSNKYAKVLTQTNEMQRETSLLSETAYLQELEQASAFSEHARHLNACYRYPLNQMKRKNISSLGDPTTGTFTKNISDVSFIDKNYRLPNKMNHISFLQHMKEEDEGFSGYPDLLLWRQKLSSSRLASEDIARDIFSVGCLLAELHLCRPLFDSISLEIYLEDGTLPGFLQELPPHVRILVEACIQKDWMRRPSAKILLDSPYFPKTIKSSYLFLAPLQLVAKDESRLHFAANLAKQGALRQMGTFATEMCATYCLPLIVNVASDTEAEWAYILLKELMKCLTAQTVKILILPTIQKILQNTGYLHLKVSLLQDSFVREIWNRVGKQAYLETIHPLVLSNLYISPDKNSAASASVLLIGSSEEIGVPITINQTILPLVHCFGKGLCVDGIDVLVRIGGIFGESFIVKQMLPLLKNVVRSFIDVSFVNKPDPVQSWSSLALIDCMMTLDGLVAFLTEEVIVKELLEDISCIHVGVLMQKHMEITVLQVAANTLFGICQRIGADLTALHILPKLKELFDELAFSQEISKGSTAVSRNSKVTKLKIGGDFQIESRMDLVLLLYTLFASLLGIEKLRQCCATWLLLEQLLLRRHNWKWEYAGESSRNSSESNIAKRPAIAQGFTSEYNPAKLLLNGVGWSIPQSQGSRGAKNLIQRRPFKVHQSLVVMQEGMSNQVNHEPWFWFPSPATNWDGPAFLGRVGIQKDDLPWKIRASVSYSIRAHHGAVRSLAVHQDESTVYTAGIGQGYKGTVMKWELSRTNCLSGYYGHEEVVNDICILSSSGRVASCDGTIHIWDSQTGKQISVFAESETESGHPTNHSASVPKITSDQANVLNLNTLSNGMLSSAFDSSLYTCMHLLDSAETLVVGTGNGSLRFIDVARGQKLHIWRGESNESSFHSLISAVCSSGSSKAQAGGISTSPSLIATGQSSGHCKLFDAKSGNVVASWRAHDGYVTKLASPEEHLLISSSLDRTLRVWDLRMNLPSQPIIFRGHSDGISSFSIWGQDVISISRNRIGLLSLSKSAIETDGQHQIIPQKLYVSSDNGMRSLSALSSISILPFSRLFLIGTEDGYLRICC >RHN40612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18172068:18175255:1 gene:gene46782 transcript:rna46782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase, RNA-dependent DNA polymerase MCENFSNLMQSEFEMSMMGELRFFLGLQIKQREDGIFIFQEKYIRDLLTKYKMNEAKIMSTSMHPSSSLDKDEQERTIILILQHIVMLILPETKLKEKAQAEHVNFLIKLSSVGHVENKIQLLYLLLKLNMYQLQVVALRYYG >RHN40015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12289313:12290777:-1 gene:gene46104 transcript:rna46104 gene_biotype:protein_coding transcript_biotype:protein_coding MKESWNRNVQILDNEPIDDDNSEFSFSSVVNCRGFPRRLTAPGFTKLRQLTANGHLSNLIVFLN >RHN60223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23866816:23868743:1 gene:gene22487 transcript:rna22487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GH3 family protein MGSCVVSLLYCSSGTSGGERKLMPTIEEDLERRSLLYSLLMPVMDQYVPNLDKGKGMYFLFTKSEAKTPAGLLARPVLTSYYKSSHFIKNKTHEITSPIETILCLDFYQSMYSQILCGLYQNEQVLRVGAVFASGFIRAIKFLEKHWVGLCNDIKTGTMNDEITDQGVRESVMKILKPNPKLADFVELECKKKSWKGIITRLWPNSKYVDVIVTGTMSQYIPILDYYSNGLPLVCTMYASSECYFGLNLNPLCEPSEVSYTLVPTMAYFEFLPLNKIDANADSISATEQEHLVELVDVELGQEYELVVTTYAGLYRYRVGDILRVAGFKNKAPQFNFICRKNVVLSIDSDKTDEVELQTAVKNGSNHLSHYNVSLTDYTSCADASTIPGHYILYWEIIFDEQNPIPIPDSVFEECCFAVEDSLNSVYRQGRVTESIGPLEIKVVENGTFDKVMDFALSQGASINQYKTPRCVKYAPIIELLNSKTVSSFFSTKCPHWVPGHKKWCS >RHN46284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30385195:30386221:1 gene:gene40759 transcript:rna40759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MAASEEEVKLLGSVGSPFVIRVQIALELKGIEYKYSEEKLGNLSETLLKYNPVYRMVPVFVHNGNPISESRVILEYIDETWKQNPILPSDPYQRALARFWSKFIDDKCVAAAWMSVFMPDKKEREKASEELLKALQFLENELKDKFFGGQEIGFVDIAALFIPLFQEVAELQLFTREKFPKLYKWSKEFNNHPIVKEIMPSKDQQFAYFKARAESLAAASK >RHN56371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30390230:30394822:-1 gene:gene31760 transcript:rna31760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease P MVFKNRYMVMEVFMNPNKDQAAGDSIIITQFNVSNAIKDSILVNFGECGLAASLGSFQVKYVNPITNVCIIRASREEYEKVWASITMVRSIGNFPVVFNLLDLSGNLQATKTAALKCEEAKFEQFKLMVGDRLSAEDTHRMNNHLAKIEVLEH >RHN73530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17414040:17422787:-1 gene:gene9353 transcript:rna9353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA recombination and repair protein RecA MARLLRSTFFLNRSFFHHHLPTRFCSKNGVLGTSQVLSFSTNKGKKRSKSDGSDSGEENMSKKDLALQQAMDQITSTFGKGSIMWLGRTVSPKNVPVVSTGSFALDIALGIFGLPKGRVVEIFGPEASGKTTLALHVIAEAQKQGGYCAFIDAEHALDKSLAESIGVNTENLLLSQPDCGEQALSLVDTLIRSGSIDVIVVDSVAALVPKGELDGEMGDAHMAMQARLMSQALRKLCHSLSLSQCILIFINQVRSKISTFGGFGGPTEVTCGGNALKFYSSVRLNIKRVGFVKKGEETVGSQVLVKVVKNKHAPPFKTAQFELEFGKGICREAEIIELSIKHKFIKKSGSFYEYNGKNYHGKDALKSHLINGDGLQDLTTKLREKLLNAETETVSESQEMVGDVTEEMLSPDSTDEETAVVAEA >RHN49668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:190485:194215:1 gene:gene33871 transcript:rna33871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MKNNSREMSKEVTTPLIRKSDHEIESTFVQELKKVSFVAAPMVAVTVSQYLLQVVSLMMVGHLGILVSFSGVSIAMSFAEVTGFSVLLGMAGALETLCGQTFGAEEYGKLGNYTCCAILTLTVVCFPISLVWIFTDKILMFFSQDPGMSHVAREYCIYLIPALFGYALLQALIRYFQTQGMIFPMVFSSVSALFLHIPICWILVFKLGLGHIGAALAIGISYWLNVIWLWVYIKYSPSCEKTKIVFSTHALHNLPEFCKYAIPSGLMFCFEWWSFEILILIAGLLPNPQLETSVLSVCLNTTSLHFFIPYAIGASASTRVSNELGAGNPKTAKGAVRVVVIIGIAEAIIVSTFFLCFRNILGYAYSNDEQVVNYIADMVPLLCVSVSADSLIGALSGVARGGGFQEMGAYVNLGAYYIVGIPVGLLFGFHLKLNAKGLWMGTLSGSVLNVIILSIVTALTDWQKEATKARERIIEQSIKINNTLVVA >RHN73002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12500701:12501483:-1 gene:gene8771 transcript:rna8771 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAGRNQEESSNALDRCIVLSTRPRIGSLPRTNNQPNMEFEFNMMTGSMTDCNFYVPDSTGDSTGLSFRVAFDDAVKQVRTYNDDSHKKLCTCSTQPLRNTVINFLLNNLEHPNSQIRSLCQCLSYVLSWSGDMRVFTIMYERLVKTKSPVLSHSLVIAEVDNLEELVKAIASHTYPQYFSHLCSVSELFYLDVSRFRTLFAVALEMDIASNSSYSYDFKSENVSNAYPTTVQQLVKFHFSAMAKNQVSERILTTIPGI >RHN70426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51380637:51382782:-1 gene:gene19005 transcript:rna19005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MKVDLTYLRIPDFLLESQSSSMGVTSNTSSDVFQTWTKSGSCPEETVPIRRIRKEDLLRAVSLDRFGQKPLELFVNTTYNTNLNFHNRVRDPTGGFVNLKNRSDAHLVTYGYNYIGAQANINVWNPKVDKPEDFTTAQMWLKADNGNNFESIEAGWTVNPKLYGDHNTRLFTYWTKDTYKSTGCFDLTCHGFVQTNKGIALGATLGPVSSPHQQQYEINVGIFQDNAQNWWFKVKNNIPVGYWPAELLGNLRHSATLIQWGGQVFSYEVKTKPPHTGTQMGSGDAASGRFGAACYMGSVRIKDYSQALKYPSFVSTHAAEPYCYSALNDAPYGKDPVFYFGGAGRNPPLCA >RHN77037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3432505:3435851:1 gene:gene444 transcript:rna444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MGRKRSKSTCLIVKDAYLDRISCLPDHVIDQILSYLPIKEAVRTSILSSKWSNKWYTLPNLVFDKHCISDTDSQDPSVINNKFLRIVDHVLLLHYGPINKFQIRDYYCNLISLSSMTDIQRWIIHLTRRPIKEFVLHIWLDERYKIPWCLFSCQSLHHLELKCCWLKPPTTFEGFKNLKSLELSHVTMTQDSFENMISNSPFLEKLMLFNLDGFSQINIHGPNLKVAHISGEFEDISFENTFRLVELTMYLDYGCNLVKLRGCSSNLLRFFVHLPHIQSLEIHHYFLEYLAAGVVPIKLPTPCINLRHLSLCIDFDDLKKILAALCLLKSSPNLRKLEIIAPEELTGLLTSTSYCWENIFSEPTMPIEVRHVRMHGISGFKSELDFIRFLLLYSPVLEKMIVEPDLNAKPELLTELVRFKRASRQAEVIYNVENSS >RHN49748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:831842:838694:-1 gene:gene33956 transcript:rna33956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MAATATAAVGPRYAPADPTLPKPWKGLVDGKTGYLYFWNPETNVTQYERPSSSAAPLKTSSVPSSSVQVQQSSQGRGRSPDFSDRYDRNGNGGSNEGGSRNHQSSKGDSFSSHNNVANGTPAAGNGSSIKSQVPLETGPALSPDAYRRRHEITVTGDNVPPPVTSFASSGFPSEILREVQNAGFSAPTPIQAQSWPIALQSKDIVAIAKTGSGKTLGYLLPAFIHLKRTNNNAKMGPTVLVLSPTRELATQIQDEAVKFSKTSRIACTCLYGGAPKGPQLKDIDRGADIVVATPGRLNDILEMRRISLHQVSYLVLDEADRMLDMGFEPQIRKIVNGVPARRQTLMFTATWPKEVRRIAADLLVNPVQVNIGNVDELVANKSITQHIEVLTYVEKQRRLETILRSQDQGSKIIIFCSTKKMCDQLARNLTRQFGAAAIHGDKSQADRDHVLNQFRSGRTPVLVATDVAARGLDVKDIRVVVNFDFPTGVEDYVHRIGRTGRAGATGIAYTFFGDQDAKHASDLIKILEGANQRVPPELRELSSRGGGGFSRSKYRTGGRGDSGFGAKSYDSGYGGKGNDGGYGGRVGDSSYGGRGGYGSSAAFGRGGGRGFDNDSQRNDRDQSPDRGSSWSDRFKTVNRERSRSPVKGAPSQNAPVSFHQAMMQRSGGGSDRNKSFSRERSRSRSPQKGWGGSSGDGRNGGSHSYNGELEEGMIPDED >RHN57715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41250704:41252150:1 gene:gene33297 transcript:rna33297 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGAILRHLDTTIGPGVMLLYPLYASMRAIESPSTLDDQQWLTYWVLYSFITLFELSTYKVLYWFPIWPYMKLVFCIWLVLPMFNGAAYIYENYARTYVKKFGNYGSYNYPEEYKKVLHMMTFDARKAVERYIDRFGPDAFERVIRAAEKEAKKH >RHN49186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53154271:53158300:1 gene:gene43991 transcript:rna43991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative importin-alpha, importin-beta-binding domain, importin subunit alpha MSLRPDTWPSWSSQRKKEHKSTIKIEAESGGWRRKEDGVFLIRKNKRPDSISKRRKFFREETFSPMLNEDPPFPVYISDAVRTCCILSIIFYFTIFSNLMIQPFSRMSMDLNVQEYDGVMAKVKTIPAMTLALLSNDPAAQLEATTQFGKLLSGGYIPLIDKVKRAGVVLRFVQFLTRDDMPQLQSMAAWTLINVTAGLSEHTNTVIEHGAVPLLVKLLSSGSDDGKEQALWALGNIAGNSLTARDIVLNHGALSPLLSLMWNPSSTKKSTWNIATWAFFNFTRGKPLLTLQNQMLPALSGLQELLLMPDEEVILYACHLLSWFTQKGSDKMVQAIVEAKFCPRLVELLLYPESKVVVPALEILGDIASGDDAQTQFLINSGALRCLKALLTQSDKIILEEACSVIANIAGGNNAQKQDVIDADLISSLVRLTKADFDIRKEAVWVISNVTHGTLEHIRYLACNGCVEALCDQLTSTDATMLTLCLTGLKNILKAGEINKDKGLYKGVNVYAQMIEECAGLDKIARLQSYDNNNIYKKAVEILEKYFPEDLEVVGE >RHN41046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26385792:26386136:1 gene:gene47320 transcript:rna47320 gene_biotype:protein_coding transcript_biotype:protein_coding MMSHWKGFAGVNLPTSLPHRMMTCGSLYSSPLPIPHHHFLQFYSSFYLQFLFGLIVFYPVI >RHN61424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35475248:35475511:1 gene:gene23892 transcript:rna23892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPGIIRRTSSSKGVDMPKGYLAVYVGEEMKRFVIPISYLNQPSFQDLLNQAEEQFEYDHPMGGLTIPCGEDMFLDITSRLSRC >RHN43613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47234829:47236590:-1 gene:gene50220 transcript:rna50220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MEQVHLVSDYTKPNTCSFSTIMEEMKSLMMLAFPIAITALIFYSRSMVSMMFLGYLGELELAAGSLAIAFANITGYSVLSGLSLGMEPLCSQAFGANRPKLLSLTLQRCILFLLLCSIPISFMWLNMSQILIWLHQNPKITAMAHTYLIFSLPDLITNSFIHPIRIYLRAQGITRPVTLASLAGTLLHLPLNYLFVFHFGFTGVPAASAASNLFIVLFLIAYVWLTGLHRTTWTAPSQECLTGWKPLLRLATPSCVSVCLEWWWYEVMIILCGLLVDPTVTIASIGILIQTTSFIYVFPSSLGFAVSTRVGNELGANRPFQAKLSSLVAIFVAVIIGFSATVFATGMRFRWGRMFTADENILRLTSLALPILGLCELGNCPQTVGCGVVRGTARPGVAANVNLGAFYMVGMPMAVVLAFWFDVGFRGLWLGLLSAQVCCAGFMLYVIAITDWDFEAMRAHLLTCV >RHN73965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22270875:22274315:-1 gene:gene9869 transcript:rna9869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MTRSKYKNEQMKGHNKMRCLFKLCWLCHGCVVPTRLAALLQSLPLNMDRNQSFAFSVVSLLSTYFMLLCSCGHSSFGCMEQERKALLEIKGSFNDPLFRLSSWKGNDCCKWKGISCSNITGHVVKIDLRNPCYPQKGEQFDSNCPYSKSKLEAQYIHPAHSQFKYLSYLDLSGNNFNSSPIPKFIHSMNQLQFLSLYDSHLSGKIPNNLGNLTKLSFLDLSFNTYLHSDDVSWVSKLSLLQNLYLSDVFLGRAQNLFFVLNMIPSLLELDLMNCSITKMHSSDHKLVSYTNFSSIKTLNLADNGLDGPDLNVFRNMTSVKVIVLSNNSLSSVPFWLSNCAKLQHLYLRRNALNGSLPLALRNLTSLELLNLSQNKIESVPQWLGGLKSLLYLNLSWNHVNHIEGSLPIVLGNMCHLLSIDLSGNGLQGDALVGNLNSTRCNGFDLLELDLTNNKFNDQLPTWLGQLENLVILKIHSSFFHGPIPNFLGKLSNLKYLILANNHLNGTIPNSLGKLGNLIQLDLSNNHLFGGLPCSMTELVNLNYLVLNNNNLTGSLPDCIGQFVNLKTFIISSNNFDGVIPRSIGKLVILKTLDVSENFLNGTIPQNVGQLSNLHTLYICKNNLQGKFPHSFGQLLNLRNLDLSLNNLEGTFSEIKFPRSLVYVNLTNNHITGSLPQNIAHRFPNLTHLLLGNNLINDSIPTSVCKINSLYHLDLSGNKLVGNIPDCWNSTQRLNEINLSSNKLSGVIPSSFGHLSTLVWLHLNNNSIHGEFPSFLWNLKHLLILDIGENQMSGTIPSWIGDIFSLVQILRLRQNKFQGNIPTHLCKLSALQILDLSNNMLMGSIPPCIGNLTAMIQGSKPSVYLAPGEPKYIEWYEQDVSQVIKGREDHYTRNLKFVANLDLSNNNLSGPIPKEITLLTALRGLNLSHNHLSGEIPTTIGDMKSLESLDFSHDQLSSSIPNTMSSLTFLTHLDLSYNNLSGPVPQGNQFFTLNIYPSIYAGNKFLCGAPLPNHCDADDRDESGDDDDDGKQNRSEKLWFYFVVALGFASGFWLVVGVLLLKKSWRHAYFKFIGFKENVTK >RHN79989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34154912:34155865:1 gene:gene3855 transcript:rna3855 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSRMYSFQRNQLMKFQRKTRVTSSVTLGNNNVQRSVTLECCSLSFIVKKLFCKLKNCWKQSLGWKRSSPQYSYDLQSYCLNFDDGTSNDCMIPSRVC >RHN51046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13351912:13354275:1 gene:gene35416 transcript:rna35416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MFHTLPNLKLLHFASNQFSGPIPISIDNASALQILDLSKNMNLVGQVPSLGNLQNLSILSLGFNNLGNISTKDLEFLKYLTNCSKLYVLSIDSNNFGGHLPNSIGNFSTELKYLFMGGNQISGKIPDELGNLVGLILLTMEYNFFEGIIPTTFGKFQKMQLLSLDGNKLSGGIPPFIGNLSQLFKLVLDHNMFQGIIPPSLGNCQNLQYLDLSHNKLRGTIPVEVLNLFSLSILLNLSHNSLSGTLPREVGMLKNIAELDVSENHLSGDIPREIGECTSLEYIHLQRNSFNGTIPSSLASLKGLRYLDLSRNQLSGSIPDGMQNISFLEYFNVSFNMLEGEVPTKGLFGNSTQIELIGNKKLCGGISHLHLPPCSIKGRKHAKQHKFRLIAVIVSVVSFILILSFIITIYMMRKRNQKRSFDSPTIDQLAKVSYQELHVGTDEFSDRNMIGSGSFGSVYKGNIVSEDNVVAVKVLNLQTKGAHKSFIVECNALKNIRHRNLVKVLTCCSSTNYKGQEFKALVFEYMKNGSLEQWLHPETLNANPPTTLNLGLRLNIIIDVASALHYLHRECEQLILHCDLKPSNVLLDDDMVAHLSDFGIARLVSTISGTSHKNTSIIGIKGTVGYAPPEYGVGSEVSTCGDMYSFGILMLEMLTGRRPTDELFEDGQNLHNFVTISFPDNLIKILDPHLLPRAEEGGIEDGIHEILIPNVEECLTSLFRIGLLCSLESTKERMNIVDVNRELTTIQKVFLAGEMN >RHN56725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33228702:33230811:1 gene:gene32165 transcript:rna32165 gene_biotype:protein_coding transcript_biotype:protein_coding MSETHNMIPNTTESQLITLSFFTFTSTFRMKDLKFAYLKLQQAHIPYDPKKIVAADDLVVAEIEKLCKFKSEYKEKESKKAIINAQLSDLLLKEIVVKEVFLGKLKTRKSGKDSKLLRLRRLLHDLEIGNTNLNEKIRQLRLEDRKKSSVLSVDKFQDVFKTASKSIHDFTKPLISLMKASGWDLDMATKSIESDAVYSKRCDKKYAFEAYIARRMFHGNALTSYDVSDVLKFDDPFEALMENPDSDFAKFCQAKYLLVVHPEMEVSFFGSSDYRKFIMSGKHPRTEFYQLFAKMAKWIWILLGSAVTIDPNATMYSVSRGSMFSSLYMESVEEENMFAVPSDEERATYKVQFMIMPGFKIGPMFVKSRVYVSQYHSSSMVATLTGNLANRTT >RHN38411.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000025.1:10548:11514:1 gene:gene50769 transcript:rna50769 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKSVLNVTAVKSYLNIWRNIPKLATMSIKFKEFERLQGIFGKDRANGFRADDGNGNETIENQVSDNDELVHQYDDSPISAGPSSKRPRLAQLATNFLESFNSKMEVVSTDFAKAIGKFSDPSKPDPSKSDLVEEIKKLGLIDEEEIDLAIKFSHNQQYEKFFWEFEGSQRMSFVRKIMRM >RHN57809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41836027:41836638:-1 gene:gene33398 transcript:rna33398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA mismatch repair protein MutS MVDRQRLASLSVLVVAIIVFLAHIGSFVPADAATVGLTDRIFCATGSRLMTAEQSTFMIDLHQIGMMLRYAEKKTDKHSCNTLN >RHN71113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56544059:56547204:-1 gene:gene19757 transcript:rna19757 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ER lumen protein retaining receptor MKATKSPIHAVTKWLRRQPPKMKLFLAILSGIAALVFLRMIVHDHDNLFVAAEFVHALGVALLIYKLAQEKTCAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTLLDTATLGTTLWVIYMIRFKLKSSYMDAKDNLAIYYVVIPCAVLSLLIHPTTRHHYANRILWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTSILADFCYYYIKSLVGGQLVLRLPSGVV >RHN80966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42290366:42292783:-1 gene:gene4953 transcript:rna4953 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHP03214 allantoin-urate catabolism protein MASLLTSTFTYSPINNGSRSLRKSSNSSMRGCCVKAMKIEKSLEELYNVKVERKVSPEKLAQLEVSRWSVWKTGKSRLPWDWQVDQLVYIEEGEVRVVPEGSKRFMQFVAGDLIRYPKWFEADLWFNGPYQERYSFRAYGDDY >RHN82146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51527006:51527719:-1 gene:gene6272 transcript:rna6272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MSSGRKSRGRQKIEMKKMSNESNLQVTFSKRRSGLFKKASELCTLCGADVALVVFSPGEKVFSFGQPNVDTVIDRYLSRVPPQNNGTMQFIEAHRSANLRELNTQLTQINQLLDMEKKRAEGLSNLRKATESQFWWAGPVDGMNRAQLEFFKKALEETKKLVGHHADRLVIESAPTQTIQFFGGNSSSSNMPLHHQPNPPQTQMFPTQYFQNPMLQPQLFGFNNMGGRGGYGPSGFF >RHN64442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59127939:59130341:1 gene:gene27261 transcript:rna27261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MDTKDEGISRSIVEASPVHYIMKIQSFSLLTTNSIERYESGRFEAGGHKWKLVLYPSGNKSKNVKDHISLYLALEESSSLHPGWEIYVNFKLFVYDQNNDNYLVLQDDVKKEKRFHRMKVEWGFDQFIPLKDFNIGSKGYLLDDICAFGAEVFVCRENYTGKGESLIMMKDALPYKHVWEIKDFSKLDSECCDSKPFNVGNYKWQIKLYPKGKATDLGRYLALYLTLANPTTIPPGSKIYAQTILRILDQKQSKHQFWKANYWFSASSHEHGTSRFILCSNFTSQYLGYLVKDICFVDVEVTVLGVVDALS >RHN59953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15289489:15294955:-1 gene:gene22125 transcript:rna22125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome-b5 reductase MDTDNDFTFCQVSTPVVETNELVSEIADISIKEESSNASSSNNNNGGVLWKDGLSNDFSNSKMEGTIGSLSFSVTSTASKSTKSDAKDSPQKLSEQKSSVKKPTVRAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNRRLISMDEVRKHKTEGEMWTVLKGRVYNISPYMKFHPGGVDMLMKTVGKDCTSLFNKYHAWVNAEFLLEKCLVGTLDESQ >RHN58395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1394125:1394532:-1 gene:gene20302 transcript:rna20302 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLFLVLFLLIISSAVVESQNERKHLSVTIRKGGHGSGHGGGGKGGGGFGGGGGKGGGGKGGRGRKGGGGFGGGVAGGVAGGIVGGYIGGSIANGGHNGGYNGGYNGTQNSATTLSAWPHFCVSTLILCLSFWL >RHN64291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57953954:57954885:-1 gene:gene27094 transcript:rna27094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zf-FLZ domain-containing protein MVGLGVVLEEQQPHKKKCNININNNNNTYQVINKTTMMLSSTINNNASYPLSYHSPFKVSTFLDQCFLCSKKLLPGKDIYMYKGDRAFCSVDCRCKHILADEEEATKKQNIFEE >RHN80272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36725789:36728808:-1 gene:gene4175 transcript:rna4175 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLANPWWTIQGGLSGVDPGTHSPSDLNKHHNTNLTINENHDDEEEDDDNRDEPREGAVEVGNRRPRGRPPGSKNRPKPPIFVTRDSPNALKSHVMEVAGGADIAESVAQFARRRQRGVCTTFSSWCRCSTSWQVVGGCVVGTLVAVGPVMLIAATFTNATYERLPLDDDDNDNNEGPNSAGGVQGGGASTGGSPPPGIGHQLQGGLPDPSSMPLYNLPPNLLPNNGGQMGHEALAWAAAHGRPPY >RHN54932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13665902:13669101:-1 gene:gene30034 transcript:rna30034 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSLTRLSCWLWGGSKEKEPIVNGNPSSEWSFSLKERESLKFPLIKGTNNQRKVRKKWQSREERRVDREYDVVLVSSDGGGGCLSGSESDDSDWSIGWLEPHGSDFQSDDDESESDSSFAVLVPCYRPGCKEVEGSNNHLLSAIKKNLPNQFSSAGNNYMEQWLASLQNFEA >RHN42293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37170766:37173482:-1 gene:gene48717 transcript:rna48717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate decarboxylase MVFPKPASESDLILHSNFISRYAKDSIPRFSMPENSMPKEVAYQNIHDELQLDANPKLNLASFVTTSMEEECNKLIMESINKNYVDMDEYPATTDLHNRCVNMIARLFNAEIGENESAVGAGTVGSSEAIMLAGLAFKKKWQNKRKAEGKPYDKPNMVTGANVQVCWEKFARYFEVELREVKVREDYYVMDPAKAVEMVDENTICVAAILGSTYNGEFEDVKLLNDLLLEKNKQTGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRTKEDLPEDLVFHINYLGADQPTFTLNFSKGSSQIIAQYYQLIRLGQEGYRSIMEDCRENAMVLKEHLEETGYFNILSKDNGVPMVAFSLKDSSQQYDEFKISKMLRRHGWVVPAYPMPPGAHHIKVLRAVIRADFSRTLVEHLALDIKNVLHELHKIPLDINDEKFLVDTALGEHREIIAQESNKRQKIMAV >RHN76596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51525876:51526530:1 gene:gene12946 transcript:rna12946 gene_biotype:protein_coding transcript_biotype:protein_coding MSLREGLTSSSQNFTYSSVSPADLENSTTATSGATSNTSTVIPNFPFLPSVVPCILTMKSFFPVTLKLSFFATFSSFPTTAEADNLDINIEGDLFLVSNKFLRSNPCDKDEIISNAL >RHN74235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30640244:30640614:-1 gene:gene10261 transcript:rna10261 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSCSRGLWHENFNKEVICFSFSPFVCLWPLTILHAWDKIHMKSQWKTIIK >RHN65303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1055968:1056556:1 gene:gene13128 transcript:rna13128 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSGDLCDDYVVFWRMNVHKFLVIFIFVLMIFVFDLVKRRERRKLCL >RHN72887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11555223:11560595:1 gene:gene8653 transcript:rna8653 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MtN19 MRFVSQTRNLLWAILALVLLSSTPFSSYAFRKTKKIIQTQVFFSPKIELSPGSVSNKIYYDVDFPSGHISVKNFFAEVVDESGHSIPLHQTYLHHWVVVRYHQPKNVTNNSQQGFIFKRNHGFCQENVFGQYYGLGSETRGTNTYIPQPYGIEVGNHEEIPKGYVEKWLINVHAIDTRGVEDRMGCIECKCDLYNVTKDENGKILSPNYKGGLQCCPDNSKCKLSKGFLGPKQSVYLKYTITWVNWEKYMIPVKIYIFDVTDTLKISDKSKGMRPNHDCKLEYEVEPCNTSFVNRSSCVDVKRASFPMQNGGYVIYGVGHQHSGAIGSTLYGQDGRVICTSIPKYGKGRRAGDEKGYVVGMSTCYPIPGSIKIFDGEILTLEANHSSNIRYSGVMGLFYFLVAEKLPPYRV >RHN67469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27500531:27502313:1 gene:gene15662 transcript:rna15662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MSFSIFHLISFFLLWSFLQQSSHAIKKSYIVYIGSHSHGPNPSASDLQSATDSHYNLLGSHLGSHEKAKEAIFYSYNKHINGFAAVLEVEEAAKIAKHPNVVSVFENKGHELQTTRSWEFLGLENNYGVVPKDSIWEKGRYGEGTIIANIDSGVSPESKSFSDDGMGPVPSRWRGICQLDNFHCNRKLIGARFYSQGYESKFGRLNQSLYNARDVLGHGTPTLSVAGGNFVSGANVFGLANGTAKGGSPRSHVAAYKVCWLGTI >RHN62794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45880517:45887087:-1 gene:gene25403 transcript:rna25403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin-dependent kinase CMGC-CDK-Pl family MEQYEKVEKIGEGTYGVVYKARDRATNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVRLQDVVHSEKRLYLVFEYLDLDLKKFMDSSPEFAKDQRQIKMFLYQILCGIAYCHSHRVLHRDLKPQNLLIDRSSNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMINQRPLFPGDSEIDELFKIFRITGTPNEETWPGVTSLPDFKSAFPKWPAKDLATQVPNLEPAGLDLLSNMLCLDPTRRITARGALEHEYFKDIKFVP >RHN43927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49504182:49510410:1 gene:gene50564 transcript:rna50564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoethanolamine N-methyltransferase MASPPGMTTTDEREIQKSYWIQHCADLSVEAMMLDSKASDLDKEERPEVLSLLPEYEGKSVIELGAGIGRFTGELAQKAGQLLAVDFIESAIKKNESINGHYKNAKFLCADVTSPKMDVSEGSVDVIFSNWLLMYLSDNEVENLAKRMMKWLKDGGYIFFRESCFHQSGDSKRNYNPTHYREPRFYTKVFKQCHMSDISGNSFELSLVGCKCIGAYVRNKKNQNQICWIWQKVRSHDDRGFQKFLDRVEYSEKSILRYERVYGHGFISTGGLETTKELVAKLELKPGQKVLDVGCGVGGGDFYMAENFDVEVVGVDLSINVISRAIERAIGLKYTVEFDCADCSKKTYPEKTYDVIYTRDAMLYIKDKPTLFRSFFKWLKPGGQLLITDYCKSAGSPSSEFAEYIKEGGYYIHDMKEYEQMLENAGFDVIVEDRTDQFVKTLQQELITLESQKDDFISDFSNDDYDEIVERWKAKQIRGERGEQKWGLFIAKKI >RHN55464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18621272:18621686:-1 gene:gene30646 transcript:rna30646 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDLWLCWFVVLHGVFHGVADFGSFVTGLVVDFGGQCSFDDGGGGGVDFCGVVWVVCEQWNVGGESCYCGSCDDA >RHN44260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2965922:2966290:-1 gene:gene38356 transcript:rna38356 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEVNNEEELEKRLGDVWFDGRKPKINRARFERVEKLQIMSNPVEKRCVGTSANVEFQTVGKLFSMMEVMVCESVLEDLENSYVNKRLWESNFKDIIKWSREKVARRRRVWLQVFGIPLHA >RHN53995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6086482:6087217:1 gene:gene28970 transcript:rna28970 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNTLRHNLIFTSIMNEMVKLPLQLIQPVIGEITHPLVYFEERYM >RHN62865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46322089:46322565:1 gene:gene25492 transcript:rna25492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRKKIEIELVDNKKARNVTFSKRRSGLFKKASDLSILCNARVGIVGFTPGGKPFAFGSPTFEAVTNVYLHGEEGESSRKNVKPSRNQNINKLNKELSDLTEELEEVDKGKASMVPTDLDLEELLKVKTSLKDLHGNIEAASSLLLLAKNPVHIIDLD >RHN76587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51475519:51477055:-1 gene:gene12937 transcript:rna12937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDLLRLEPCLSNNLNISFSEKRQTENEEKKHLLLDLTLPSKDSCDDESKQELNLINCFDTEPSMSMNSSSESNHGNELEPRIFSCNYCQRKFYSSQALGGHQNAHKRERTMAKRGNKSAVSLDFEHRYSSMASLPLHGSYKRSPSSLGIQVHSSMIQKPSYQTPFFGLSRYCGPNQWQKLPIYSQPASRNLHVEAETDGSSSLTSAIPTRSGKFSPRKVQNGFGGYNVTSLKNKQEELQKLDLSLKL >RHN47226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38094687:38096456:1 gene:gene41796 transcript:rna41796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase, Very-long-chain 3-oxoacyl-CoA synthase MTESKQDTPLLAPLSSRILPDFKKSVKLKYVKLGYHYLITHGMYLFLSPLVVLIAAQLSTFSLNDIYDIWENLQYNLVSVIICSTLLVFLSTFYFMTCPRPVYLVNFSCYKPEESRKCTKRIFMDHSRASGFFTEENLDFQRKILERSGLGENTYLPEAVLSIPPNPSMKEARKEAEAVMFGAIDEHVFEDNGKA >RHN48061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44651904:44652682:1 gene:gene42732 transcript:rna42732 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKEAVVVAGALAFVWLAIELAFKPFLSQTRDSIDKSDPTRDPDDVPASAAAAVAPETDAGDATAAADA >RHN46397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31337981:31338340:-1 gene:gene40879 transcript:rna40879 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGLGQHMSPVEYHTIIRYRLMIPLFLIVEGCPVCRKAYLDTFGEHAVHCKELFGFKYRHDFVRDVLFDIFRLAGISVKKEATMNFLTGPLGRRSTLRSANVMVYGWDRWKTCLCRLD >RHN75909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46098093:46101362:1 gene:gene12169 transcript:rna12169 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSVGRSLTETPTWAVAVVCFVILSISIFIEHIFHIIEKWLKKKHKSALYESLEKIKSELMLLGFISLLLTVGEGLISRICISEKVAATWHPCSNNANIESDDEELIDHETGGSRRLLAALLASQGDNHHRILAGGGGDKCAEEGKVAFVSAGAIHQLHIFIFVLAVFHILYCILTLALGRAKMRRWKRWEEATKTPEYQFSHDPERFRFANETSFGRRHLSFWTKNPVLIWIVCFFRQFVRSVPEVDYLTLRHGFMMAHLAPQSHLKFDFRQYIKRCLEEDFKVVVGISPPIWFITVFFLLFHTHGWHSYLWLPFLPLIIVLLVGTKLQVIITQMGLRIQKQGMVVKGEPVVQPRDDLFWFNKPRLILFLINFVLFQNAFQLAFFSWTALQFGVTSCYNSRKDGVVIRICMGVFVQILCSYVTLPLYALVTQMGSTMKPTIFNERVATALRNWHHTARKNIKHNRGSGSQTPFSSRSITPARSMSPAQILRHYRNQMDTPTRLNFETSHHYESYSPSPSNSHHHKVEINVASSSSTHLHEMEMGHLAHVEQQEVIKPNSISVGSGRPQFEIDIQQSDELSFSTMPTNQLE >RHN65062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63935105:63943750:1 gene:gene27955 transcript:rna27955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MNSSTSDALFDDACAYDNAVKSTCQEKYSEFAEVMSDYRDGRIDPGGVKARVHELFKGHKHLILGINNIMPKNYEIILPPSDEKVNRQDATTFLKQVKVVFQDKMEKYYEFLQVIHDYMNLTIDILDVIEIGMKLFKGHVDLLSGFNYFLPERYQITYPLLHDHKQGHQLVIKDAFLNEVKAVFRDKLEKYFEFLQLITDHKAQGIDTRGVVAIVKELFKEHRNLILGFNAFLPEEHRITLPFELHCHETGKRRIVAANYELSWDVLDIISKSLDFDDLFQFACVCKNWRAFHKMYWRNFLALQEPLLVQKSSFFKKSFSFISIPNQKVYRSKMINYFWHFAYSGSSSGYLIMTGNNNSFLLMNPFTRRKKSVCRG >RHN55868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24878094:24882333:1 gene:gene31146 transcript:rna31146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MAQPPSPSTIFTLTFFHFLLFTHATKNPDFHSLLAFKTTTDTSNKLTTWNITTNLCTWYGVSCLRNRVSRLVLENLDLHGSMEPLTALTQLRVLSLKRNRFNGPIPNLSNLTSLRLLFLSYNNFSGEFPESLTSLTRLYRLDLADNNLSGEIPVNVNRLSSLLTLKLDGNQIHGHIPNINLSYLQDFNVSGNNLSGRVPELLSGFPDSSFAQNPSLCGAPLQKCKDVPALASSLVPSSSSIMSRNKTHRNGGPRMGTLVLIAIILGDVLVLAVVSLLLYCYFWRNHANKTKERKEEESNSKNVEGENQKMVYIGQQGLEKGNKMVFFEGVKRFELEDLLRASAEMLGKGTLGTVYKAVLDDGSVVAVKRLKEINISGKKEFEQRMEILGKLKHSNIVSLKAYYFARDEKLLVFDYMVNGSLFWLLHGNRGPGRTPLDWTTRLKIATQTAKGIAFIHNNNLTHGNIKSTNILINVSGNTHVADFGLSIFTLPSKTRSNGYRAPETSLDGRKNSQKSDVYAFGVLLMEILTGKSPSSAADSGAGVELPKWVQSVVREQWTAEVFDLELMRYKDAEEEMVALLKIAMTCTVTVPDQRPKMSHVVKKIEELCDVSMCHDSVCESPSMSEDACGGAIN >RHN58557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2774117:2774614:1 gene:gene20479 transcript:rna20479 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEEAAVALVEREPCTGELAMNLKLPRLHLETAQEMSPHGWRWSDGDGRIETDIHTNIFIKSSLLNEEDFKTMRAFKGLYQTLILCRRGFAEFTSQGLSGKELIQSPMEGSQDEFVMGRGYFLPECFC >RHN74762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36503848:36505746:-1 gene:gene10875 transcript:rna10875 gene_biotype:protein_coding transcript_biotype:protein_coding MIWFGFYFTSYVKLNLQISLHFYLSETKIKMRLSSSKPNHHSPFSLIDHLYGVQFELYVIFSLLSFIRVILFLLFSN >RHN75274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40836261:40836750:1 gene:gene11450 transcript:rna11450 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPGQLKAIGLVSKVYSLSNVVALKQYSIPEWIEKQQLFENCTVQNKQQI >RHN80691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40123950:40125582:1 gene:gene4648 transcript:rna4648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GeBP family MAFSRNGVVIPTHDEHADIEEEDDDDLEEEDNYFVQPNTDHHYQTEQSPSTFATAAVPTSVILALPHASASATTIDGSPEPKREPMEEKTSDSRKLFQRIWTEEDEITILQGFLDYNANRESSYHNDTGSFYDHMKGKIQLDFTKSQLVDKLRRLKKKYRTALQKFDSGKDFGFKSTHDQAAFEISHKIWNINGNSTPIGVPVEEDDEIIPNPNPNSSHLAEKKAVQSRKRSRAAEEERHELNDSKDNHQNNSGNTNSSNVDENREKSNGNHVHNVPGLIEETVKSCLTPVLKELSNATMSGSPFGFGGRGFGAGGFSMNTVPLGFLNLGSGEKAVDDKWRKQQILELEVYSKRLELVQDEVKAAIEELRSNAGAGGAGGSGNNTNQ >RHN79415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28612888:28613678:-1 gene:gene3204 transcript:rna3204 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQAVMHHIPVQISAVRWTPPVGNSFKLNVDVAGQNSDEKWELAAVIRYTEGMVVAVKCCCILASQESDMAEGLDCLRDWNLQRICCF >RHN75588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43565028:43565459:-1 gene:gene11818 transcript:rna11818 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKDSMNIQKEERVEDSFEKSEGEGEIVEDSFDKIQEKICNEEGEVSSRVLMDHDLTEEEYQFELKKFQDMVEAVFKKIQEKNGNEEEKKLPRVLKDFDLNDEADCEFDLNKFPEEGEESSHHEFQHVIRKMLRKLLPKFY >RHN50995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12738023:12739555:-1 gene:gene35355 transcript:rna35355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative squalene monooxygenase MPNRSMPATPYVTPGALMMGDAFNMRHPLTGGGMTVALSDIVLLRNLLKPLHNLSDVSTLCKYLEPFYTLRKPLSSTVNTLAGLLHTVICVSPDPARKEMREACFDYLSLGGDFSDGPIALLAGLNSRPLSLLYHFIGVATYGLVRLMMPFPSPKRIWLGARFIFVALGIIFPIIKAEGIRQMFFPATVPMCYRMPHIH >RHN52434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35229882:35235755:-1 gene:gene37114 transcript:rna37114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAIQSPSSSSSFSHGFTYQVFLSFRGTDTRHSFTGNLYKALTDKGIHTFIDDNDLQRGDEITPSLLKAIDESRIFIPVFSINYASSSFCLDELVHIIHCYKTKGRLVLPVFFGVEPTNVRHQKGSYGEALAEHEKRFQNDKNNMERLQRWKVALSQAANLSGYHDSPPGYEYEFIGEIVKYISNKTSRQPLHVANYPVGMKSRVQQVKSLLDERSDDGVHMVGLYGTGGLGKSTLAKAIYNFIADQFECSCFLENVRENSASNKLKHLQLELLLKTLQLEIKFGGVSEGIPYIKERLHRKKVLLILDDVDNMKQLHALAGGPDWFGRGSKVIITTRDKHLLTCHGIKSMHEVEGLYGTEALELLRWMAFKSDNVPSGYEEILNRAVAYASGLPLVIEIVGSNLSGKNIEEWKNTLDGYDRIPNKEIQKILKVSYDALEEEQQSVFLDIACCFKRCKWEDAKYILNSHYGHCITHHLGVLVEKSLIKKLREYDDYVMLHDLIEDMGKEVVRQESIKEPGERSRLCCQDDIVRVLRENTGTSKIEMIYMNLHSMESVIDEKGKAFKKMTKLKTLIIENGHCSKGLKYLPSSLSVLKWKGCLSKCLSSRILNKKFQDMKVLILDRCKYLTHIPDVSGLSNLEKLSFERCYNLITIHNSIGHLNKLERLSAYGCNKVEHFPPLGLASLKELNLSSCRSLKSFPELLCKMTNIDNIWLCNTSIGELPFSFQNLSELHKLSVTYGMLRFPKQNDKMYSIVFSNVTQLTLYHCNLSDECLRRVLKWCVNMTSLNLLYSNFKILPECLSECHHLVEINVGYCESLEEIRGIPPNLKEINAQGCQSLSSSSKRILMSQKLHQAGCTYIKFPNGTDGIPDWFEHQSRGPTISFWFRKEIPSITCIIIFPECAWGSNVGLYVNGYKIEIDYCYQYVSSKDTTLIHMKLYELGKRQYEYNMDKGLLKSEWIHVEFKLKDHENSVYTQMGVHVWNEKSNTEEENVVFKDPYLN >RHN77134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4332335:4340558:1 gene:gene558 transcript:rna558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine dehydrogenase (decarboxylating) MERARRLANRATLKRLLSEAKQNCKNESTTTTAPLPFSSSSRYVSSVSNSVFRNRGSNVFGRNNNVSRGVGGFHGSGSSTQSRSITVEALKPSDTFARRHNSATPEEQTKMAESCGFDHLDSLVDATVPKSIRLKEMKFNKFDEGLTEGQMIEHMKDLASKNKVFKSFIGMGYYNTHVPPVILRNILENPAWYTQYTPYQAEISQGRLESLLNFQTLITDLTGLPMSNASLLDEGTAAAEAMSMCNNIQKGKKKTFIIASNCHPQTIDICKTRADGFELKVVVKDLKDIDYKSGDVCGVLVQYPGTEGEVLDYGEFIKKAHANEVKVVMASDLLALTVLKPPGEFGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIAQRVHGLAGVFALGLKKLGTVEVQDIGFFDTVKVKTSNAKAIADAAVKNEINLRVVDGNTITAAFDETTTLEDVDKLFKVFAGGKPVSFTAASLAPEFQNAIPSGLVRETPYLTHPIFNTYQTEHELLRYIHRLQSKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPSFTDIHPFAPTEQAQGYQEMFDNLGDLLCTITGFDSFSLQPNAGAAGEYAGLMVIRAYHMSRGDHHRNVCIIPVSAHGTNPASAAMCGMKIVTIGTDAKGNINIEELKKAAETHKDNLSAFMVTYPSTHGVYEEGIDDICKIIHDNGGQVYMDGANMNAQVGLTSPGWIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVVPTGGIPAPENAQPLGSISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMAKRLESYYPVLFRGVNGTCAHEFIIDLRGFKNTAGIEPEDVAKRLMDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDALISIRKEIAEIEKGNADVHNNVLKGAPHPPSLLMADAWTKPYSREYAAFPAPWLRVAKFWPTNGRVDNVYGDRNLICTLLPASQAVEEPAAATA >RHN72435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7599292:7599642:1 gene:gene8145 transcript:rna8145 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDLYNEFSLEAVECQLVMLNGHIALLQYYGEMTTFQISILGELGVGKSWTKIFTVRLSSSVRRPIGAAKKGNIYFAKEDGEMVHFDLDTQMMEELGVKGWNCQMVIYKESLLSI >RHN50701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9586098:9596918:-1 gene:gene35023 transcript:rna35023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 43kDa postsynaptic protein MVDKYNVESAEKLANEAQALSIAEATPIYEQLLQLYPTAAKFWKQYVEAHMAVNNDDAIKQIFSRCLLNCLQVPLWRCYIRFIRKVNDKKGAEGQEETKKAFEFMLSYVGSDIASGPVWMEYIAFLKSLPAAHPQEETHRMTVVRKVYQRAIITPTHHIEQLWKDYDSFESSVSQKLAKGLISEYQPKYNSARAVYRERKKFFDEIDWNMLAVPPTGSHKEEMQWMSWKKLLSFEKGNPQRIDIASSNKRVIFTYEQCLMYLYHYPDVWYDYATWHAKAGSIDAAIKVFQRSLKALPDSEMLRYAYAELEESRGAIQAAKKIYENLLGDSENATALAHIQFIRFLRRTEGVEPARKYFLDARKSPSCTYHVYVAYASVAFCLDKDPKMAHNVFEAGLKHFMHEPVYILEYADFLIRLNDDQNIRALFERALSSLPLEDSVEVWKRFVKFEQTYGDLASMLKVEQRRKEAFGEEATAASESSLQDVVSRYSFMDLWPCSSNDLDNLSRQEWLVKNTKKVEKSIMLNGTTFIDKGPVASISTTSSKVVYPDTSKMLIYDPKHNPGTGAAGTNAFDEILKATPPALVAFLANLPSVDGPTPNVDIVLSICLQSDLPTGQSVKVGIPSQLPAGPAPATSELSGSSKSHPVQSGLSHMQPGRKQYGKRKQLDSQEEDDTKSVQSQPLPQDAFRIRQFQKARAGSTSQTGSVSYGSALSGDLSGSTG >RHN77314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5963382:5964041:1 gene:gene756 transcript:rna756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MECLTWLDKQQPCSVLYVSFGSGGALSQEQTDELAIGLELSNHKFLWVVRAPSSSACGAYLSAQNDVDLSQVLPSGFLERTKEQGMVIPSWAPQIEILSHISVGGFLSHCGWSSILESAMHGVPLITWPLFAEQRMNAFVLSEGLKVGVRPRVNENGIVERIEVSKVIKCLMEGEECEKLRNNMKELKEAATNALQEDGSSRKTVSQLAHKWKNLVHEN >RHN73421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16257148:16257726:-1 gene:gene9233 transcript:rna9233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MAMQINIGASSSSTLEVASNSFDVFISFRGDDTRRKFTSHLNEALKKSGVKTFIDDSELKKGDEISSALIKAIEESCASIVIFSEDYASSKWCLNELVKILECKKDNGQIVIPIFYEIDPSHVRYQIGNYGQIVIPINEMENK >RHN77518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7362935:7364966:1 gene:gene982 transcript:rna982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-N(4)-(N-acetyl-beta-glucosaminyl)asparagine amidase MDNTFLTLLLFLFFFCFLHHPVSASNLHKIKQLNSNHLSQLTSSSPIKYFEVTKPIKLPKASKPCSYHILHHDFGYTYGNPPVLANYTPPSHCSFKKFSKIVLEWKATCEGRQYDRIFGVWLGGVELLRSCTAEPIANGIVWSVEKDITRYKSLLLNHQQNQTLAVLLRNIVDKTYTGVYHVDITIHFHGYPFDVKKTHKTKKFDSLAFRSGFHADLILPISRNLPKNDVLWFEIQNSTDVGVKEFVVPQNAYRAVLEVYVSFHENDESWYSNPPNEYLVANNITDSNPGNGPFREVVVTLDDKVVGSVWPFTVIFTGGVNPLLWRPITAIGSFDLPSYDIEITPILGTILDGKKHLFGFSVTNALNVWYIDANLHLWLDTKSSRTEGELVNHVDKPLVESLVTDFNGLNGTFLTSAKKSILSTGWVRSSFGNITTSFVQDFDYYNSMVMRKNGSKQTVNQIISFNDSIRAKLPSSYLDLVDDTYRKFSLYLDTDELKEDNDTYLAVSNFTLGFDENKSKSKVSGISNSFLKNVQDGQGTMVVKKNLVVSGVGATQQNYRYKSNEHCYSRKIGSSNYTILYDKVKDTCNKRSHSRFGNFIRKFPTML >RHN56466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31048558:31049088:-1 gene:gene31872 transcript:rna31872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ditrans,polycis-undecaprenyl-diphosphate synthase ((2E,6E)-farnesyl-diphosphate specific) MLSLSPPITLITCPPKTTTNPTHASRSQSRRLPSQPMIIIKRGTTVAGSIPNADKAEGRASIDEDLLEVELTQTELRKEMIPKHVAVIMDGNGRWAKMRGLPLSEGHTAGMQSLKTMVKMCLRWEIKVLTIFMFSTDNWIRPKVIIFFFPFAFSCLITNKANIKIFFFIGNIYTIR >RHN76833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1663570:1664547:-1 gene:gene213 transcript:rna213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fasciclin-like arabinogalactan protein MGFKSLSFLCLALFLTVSSSIHAFDIQKLLDRTPEFSTFNKYLNETKLVGQINRRNTITVFALDNGAMSSVSDKMPEAIRAIMATHVLLDYYDPTKLIGAMHKREPLTTLYQSSGIAVDQQGYLKVNRTSDGDLAIGSAVSGAPIDVKLVKVVFAQPYNITIIQVAKPIMFPGLETQTLGAPSNGSAPAAETNVDVSSVFKAPPAKAKNASAPSAAEEPITEVSDSPSPSDEPSESPVEAPAKSPSLAPGPGGDEAAADAAPTSSSSRIVVGFVGAVMCFSSLLVVM >RHN68441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35558800:35563506:-1 gene:gene16798 transcript:rna16798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VI-2 family MFGAQLSLNFDLGIFFREIFATLRFGKYKKRKKSTKNEKHSFVSHTLLHKYSVFLSLTSFPWLLVSQNIVFQINLFFFSFVDINFSFSILFRNSNNNKNTQSLSCFFCQNNMRKWKFSLFLWLASFCFLSQCFGLCCSLNDEGKALLKFKEGIFSDPFDALSNWVDDEVGVDPCNWFGVECLDGRVVVLNLKNLCLEGNLAHELGSLVHIKSIVLRNNSFYGIIPEGIVRLKELEVLDLGYNNFSGPLPKDIGSNISLAILLLDNNDLLCGFSHEINELVLISESQVDEKQLISARKLPGCTGRSTKWHNRRSKKGLRRLLQSGAPREDPRNRAAIIPDTPSPSPSPSPFPSPSPSPSPSSSETPQIVKKPASPDRNVSDSPSPLPTPGSVPQLKSNSNNHHVAIVGGIVGGAAFILILSIVIYLFKTNKVATVKPWATGLSGQLQKAFVTGVPKLKRSELEAACEDFSNVIGTSPIGNIYKGTLSSGVEIAVASVTVTSLKDWSKTSEVQFRKKIDTLSKMNHKNFVNLLGFCEEDEPFTRMVVFEYAPNGTLFEHLHVKEAEHLDWATRLRVAIGTAYCLQHMHQLDPPFAHSDLNTSSVQLTDDYAAKISDLSFLNEIASADIKAAAKKHTDATLASNIYSFGIILLEIVTGRVPYSMGKDDSLEEWASRYLQGDQPLKEIVDPTLASFQEEQLVQIGALIKSCVNADQEQRPTMKQICERLREITKISPEVAVPKLSPLWWAELEIASFDAS >RHN66280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10293449:10293847:-1 gene:gene14230 transcript:rna14230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MSSGRKVRGRQKIEMKKMNNERNLQVTFSKCRSGLFKKVSEFCTLCGVDVALVVFSPSQKVFSFGHPNVDTIIDRYLFRVPPQNNSTIEFIEPHRSAKVCALNAELIQINNTLNEKKKLGDELSLLCKAFNA >RHN66074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8336263:8343090:1 gene:gene13986 transcript:rna13986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MAVQSSISSKPQDPNNVSRDHFFVWREFVWGAVAGAFGEGMMHPVDTIKTRIQSQAILNGVKNQKGILQMVRSVWKIDGLRGFYRGVVPGVTGSLATGATYFGVIESTKKWIEDSHPSLGGHWAHFIAGAVGDTLGSVVYVPCEVIKQRMQVQGTITSWSSTAMKNGIAIKPGAEIYDYYKGMFHAGSSICRTQGLKGLYAGYLSTLARDVPFAGLMVVFYEALKDATEYGKKRWISNPNWHVNNSFEGLVLGGLAGGLSAYLTTPLDVVKTRLQVQGSTLRYNGWLDAIYNIWAKEGVKGMFRGSVPRIAWYIPASALTFMAVEFLRENFNERVPNGGSINVARVSVEKKKSLEEAS >RHN72200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5723837:5731044:1 gene:gene7886 transcript:rna7886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MAMNIIISTTCLFLLLLLLPLVSCTNNNQVYLVELGENNIKGDKTLHEIENTHHSYLLLVKETEDEARASHLYSYKHTFNGFAALLTPNEANNLSGMEGVVSVHKSQTRIYSLHTTRSWKFVGLDGPFDPLEQKSNETNRDLLTKAKYGQDIIVGMVDSGVWPDSKSFSDEGMGPVPQKWKGVCQNGTDFGSSNCNRKIIGARYYLQGYEKIYGPLNEEEDYKSARDKDGHGTHTASIVAGRAVQNASALGGFARGTASGGAPLARLAIYKACWPIKGKPKNDGNVCTNIDMLKAIDDAIEDGVDVINLSIGFPAPLKYEDDVIAKGALQAVRKNIVVVCSAGNAGPSPHSLSNPSPWIITVGASTVDRTFLAPIKLSNGTTIEGRSITPLRMGNSFCPLVLASDVEYAGILSANSSYCLDNTLDPSKVKGKIVLCMRGQGGRVKKSLEVQRAGGVGLILGNNKVYANDVPSDPYFIPTTGVTYENTLKLVQYIHSSPNPMAQLLPGRTVLDTKPAPSMAIFSSRGPNIIDPNILKPDITAPGVDILAAWTAKDGPTRMTFQDKRVVKYNIFSGTSMSCPHVSAASVLLKAMHPTWSPAAIRSALMTSARITDNTGNPMTDETGNPTTPFAMGSGHFYPKRASDPGLIYDASYMDYLLYLCNLNLTQHINLTYNCPNPLPQPFDLNYPSIQIHKLNYTKTIKRTVTNVGSSKSVYKFIANTPKEFNILATSSVLKFKHVGQKRNFVITVTANRDQLPSKCDPDKYYFGWYIWTDNYHVVRSPIAVSFQ >RHN41776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32845644:32850664:1 gene:gene48134 transcript:rna48134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinacetylesterase/NOTUM, alpha/Beta hydrolase MKFIRMDQLFSLVICTLLLLKAAEGVTVPITFVQSAVAKGAVCLDGSPPAYHFDKGFEAGIDNWIVHFEGGGWCNNATTCLDRIDTRLGSSKKMDKTLSFSGFFSSGKKFNPDFYNWNRIKVRYCDGSSFTGDVEAVDPKTNLHYRGGRIFVAVIEDLLAKGMKNAKNAILSGCSAGGLTSILQCDRFRTLLPAAAKVKCVSDAGYFINVKAVSGASHIEQFYSQVVQTHGSAKNLPSSCTSRLSPGLCFFPQNVAAQIKTPIFFVNAAYDSWQIKNILAPGVADPHGTWRNCKLDIKSCSANQLSTMQGFRTEFLKAISVVSNSPSKGMFIDGCYSHCQTGMQETWMRTDSPVLAKTTIAKAVGDWYYDRSTFQQIDCPYPCNPTCHNRVFE >RHN57446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39222113:39224864:-1 gene:gene32991 transcript:rna32991 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSSMQRGIAPPHGFGVDGGFRSNKKVSTPPLARASAAEDPAGPPPITAARSLRPAIDGRVEFEAEIVIEWKCCLGFEVGTENEGEKV >RHN46631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33503789:33508625:-1 gene:gene41147 transcript:rna41147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MVRNPLSKTANKYLRKFRKWPHSPYKTSWHHNFGEQQAIQILINAKTQTQNNNDPFLLSTLIHSFKAYHTDPSPKAYFFLIKTITNINTSHLHEIPHILNHLEHNEKFETPEFIFMYLIRFYGFNDRVQDAVDLFFRIPRFRCTPTVCSLNLLLSLLCGKRECLRMVPDILLKSRDMKIRLEESSFWVLIKALCRIKRVDYAIKMMNCMVEDGYCLDDKICSLIISSLCEQNDLTSVEALVVWGNMRKLGFCPGVMDCTNMIRFLVKEGKGMDALEILNQLKEDGIKPDIVCYTIVLSGIVKEGDYVKLDELFDEILVLGLVPDVYTYNVYINGLCKQNNFDEALKIVVSMEKLGCKPNVVTYNTLLGALCMSGDLGKAKRVMKEMRLKGVELNLHTYRIMLDGLVGKGEIGEACVLLEEMLEKCFYPRSSTFDSIVHQMCQKGLISDALVLMNKIVAKSFDPGAKVWEALLLNSESKVTYSETTFAGLLSP >RHN69843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46731431:46731885:-1 gene:gene18364 transcript:rna18364 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVQRERDSACHFIEQVVKAFSKCLGLDPTAEKIQYRTIENDGEVTSSIISRAFKRPPKPRLSAGRGAQINISSS >RHN57884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42391989:42397788:-1 gene:gene33475 transcript:rna33475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MSSTSSIRNLLPRSFSSKRKSTSNPKFPNPDTENTPPTDPNIIQINPQKSLSITTKQSQSKPPISITPLDSDPSVKVVVRIRPTVNIGIGDETVKKVSSDTLCVGGRQFQFDSIFDTNTSQEDIFQSVGVPLVRNALAGYNTSILSYGQSGSGKTYTMWGPPSAMFEEPSPQSHKGIVPRIFQMLFSELEKEQGMSEGKQFNYQCRCCFLEIYNGQIGDLLDPTQRNLEMKVDSKNALSLENLSEEYVTSYDEVTQILIKGLSSRKVGATTLNSKSSRSHIIFTFVIESWCKGTSTNGFSSSKSSRISLIDVAGQDRNKVDGAGRHCLRETRNIKKSLSQLGHLVDALTKEPRLGKAEVPNRSSCLTRLLHESLGGNAKLSVICSIYPDNRNNSETLRTLRFGQRVRLIQNEPVINEIKEDDVNDLSDQIRQLKEELIRAKADVRSSDGSRNGYFHVQNVRESLNNLRVSLNRSLLIPNIDDNDTDEEVNVNEEDVKELRQQIDEFYSSCEGNPIDISVSEDCVQYYSVEEGDESEKEEVCLGEEFIKLCHEDSDDNTLYASVNNTSRAIRSSFRDSISVSSSIRSPMLLEEPQLSESPKIRNLQRKSVAFSSSCLGSSNKLAEDNSSSNKDLLGKSFTKDELMRSSLRSSNVFPGPTESLAASLKRGLQIIDSHQRNSLLNKSSTSFSFGRLSCGDSSDQTIQQKKYSIDERTATLLCGYCRKIICDQDSNEVPGSIDTAESGNPDGLTDKAPKGLESIMEKEITREKELENVCKEQAVRIDELNQLVEKLKGEKELNSIVVYGKESSKQAEDAEEYKLPRGTSSDCDLEEKYEIKEVREELTQRSISFDATEKEELLKEIQNLRSKLQLYSDAPVKMSTDKLRSSLMSRSIQLRKSGVFSPNNNGGEELEKERERWTEMESEWICLTDELRVDLEANRQRAERVEQELRLEKMCTEELDDALKRSVLGHARMVEHYVDLQEKYNDLVAKHNAIMHGIAEVKKAAAKAGKRGHARFAKSLAAELSALRVEREREAKFLKKENTSLKIQLRDTAEAVHAAGELLVRLREAEHAASVAEDNFTKVQQDNEKLKKQMDKLKRKHKMELITMKQYLAESKLPESALKQLYREDSDVAQNNTTRHNQDDDQAWRAEFGAIYQEHY >RHN70168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49308895:49310230:-1 gene:gene18723 transcript:rna18723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSNSAEEIMNPPSSKRLKLNDSENEDRLSDLHDYIILHILSFLNAKDAVGTCILSPRYKDLWKQLPNLTLCSYDFRTFKIFTNFVSKVLSLRDSSIALHALEYSKSGCIEPRQLKKVVNYAISHNVQELKLSYLCDIELISNSIFSCKTLTCLKLYAYPRTDIKEKTLFPKSLNLPALTILHLGNFAFCPSDNNPQVEPFSTFKRLNSLVLYNCALKDAVTLCISSATLVNFTVRSYSYDYYKIELCAPCLGTFAFIGKPFQKLSGSSLSYVKHVDIDAEILSMEMEPPLFLLNWLFKLTNTKSLTVTASTLQVLSLNSDVLKIKLPSLGNLKSLKVKREPLAYRFRKMLMEAKLQEIKSRKEAAKLRKSFKAGLEPSAPIPDGIINFLLQNSPSAQVYMVDC >RHN67111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23998795:23999938:1 gene:gene15256 transcript:rna15256 gene_biotype:protein_coding transcript_biotype:protein_coding MNANSAKPLCFLSWFLKGEKSIMALFFFCCFSESSESKDFVCHGDVCMLRDRKKYQAKKSKSKQKQ >RHN47320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38842953:38846631:-1 gene:gene41903 transcript:rna41903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase MDIAKFSQINTPRFLSHLHHHHHHKPNPPLPKSLSFSFSLSPNMALKAVHVSDVPSLDLVPENPSLSLLSPRFSSGLEMSNGDGLKMPKFVVIGHRGNGMNVLQSTDRRMRAIKENSIMSFNAASSFPLDFIEFDVQVTKDDCPVIFHDDYIYSQDNGNVFGKRIPELCLSEFLSYGLQREAGKEGKVLVRKTKDGKIYNWEVEQDDTLCTLQEAFLKVEPSLGFNIELKFDDHIVYEQAYLTHVLQAILKVVTDYAKDRPIIFSTFQPDAAILVRKLQSTYPVFFLTNGGCEIYEDLRRNSLEEALKLSLENGLEGIVSEIKGIFRNPGAVSKIKESNLSLLTYGKLNNVPEAVYMQHLMGIDGVIVDFVQEITEAVADMMKPAKIGEEEGLTEGIGKNSKPQFSQQELSFLYKLIPQLLLL >RHN56394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30549300:30549946:-1 gene:gene31786 transcript:rna31786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase, cytoplasmic isozyme 2 MVTPGSDAPKVAPEVVAEHTVRALQRTVPAAVPAVVFLSGEQSEEEASVNLNAINQVNGKKPWTLSFSFGMALQQSTLKAWSGKEENVKAAQEALLTRAKANSEATLGTYKGNSKLGAGASESLHVKDYKY >RHN66378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11604246:11604626:-1 gene:gene14348 transcript:rna14348 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTCPFYLQNVCYKTPLVENLEPHLLREKGCDYKTMNSAAQKIGGGIIIDSAVSGWNKKLFIPLKKHSTSNGKTMILELELQETENLEVLILFLQKFWKEKHNFVIKWLLFLMIQVTNLVTLIFK >RHN51620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21102277:21103882:-1 gene:gene36096 transcript:rna36096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLNFHLKNSYFTSLTTITPFLFLLLLNHVESQASMEPVPTDISHHRWNPSFAITAGAVLCLLFILGIVFFYIRNCVESRIVVTRSNTTDCPCSCSQGINKELLNTFPILFYSTIKDVKTDKGPLECAVCLTDFKEQDTLRLLPKCNHVFHPQCIDSWLASHVTCPVCRANLNQDSCQVAMTIPTNFNNEQTCEENSETVPEITLNNTTNDQNQNPNQIDSDANKGESINHDDGHPSKLKLLRSNSTGHSLVEQVKCVERYTLMLPEDVRRYILVNHRKSFQLSMSNNMVKGLCWNDNEGINGDTKVEKWVLCAKHG >RHN77682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8613042:8617027:-1 gene:gene1163 transcript:rna1163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP-dependent synthetase/ligase, AMP-binding enzyme domain-containing protein MEVNGENRDSRRVIDPRSGFCSSNSIFYSKRKPLPLPPNHSLDATTFISSRAHHGHIAFIDASTGHQFTYQQLWRSVDSVTSSLSNMGIRKGDVILLLSPNSIYFPVVCLSVMSLGAIITTTNPLNTSGEIAKQIADSKPVLAFTTQQLLSKITQASPSLPIILMDTNNNNNNSSSSSTTTTTLDEMMKKEPELSRVTERVNQNDTATLLYSSGTTGPSKGVVSSHKNLIAMVQVVMARFGKEQETRETFICTVPMFHIYGLAVFAMGLLAMGSTIVVLSKFEMHDMLSSIEKFRATFLPLVPPILVAMLNNADAIKRKYDLSSLHTVVSGGAPLSKEVTEGFIEKYPNVSIFQGYGLTESSGIGASTESLEESRKYGTAGLVSASTEAMIVDTETAQPLPVNRTGELWLRGPTTMKGYFSNEEATRSTITPEGWLKTGDVCYIDSDGFLFVVDRLKELIKYKGYQVPPAELEALLLTHPAILDAAVIPYPDKEAGQVPMAYVVRNVGSNLSGSQVMDFVAEQVAPYKRIRKVAFISSIPKNPSGKILRKDLIKLATSKL >RHN77645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8402408:8403995:1 gene:gene1119 transcript:rna1119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MEKLMWVRLTIFIVLFGSKVSNIAIAQTTNAAAFPAVFAFGDSIFDTGNNNNLMTMSKCNFPPYGRDYYGGKATGRFGNGRVLSDLITSALGVKDTLPAFLNPSLTSQDLVTGVCFASGGSGFDDMTANAQGAVLTMGQQLNYFQQYITKLRGIVGNERAADIISKALFIISSGNNDVAFAYSFTPRHFLPFNVYSNMLVSAGQNFLKSLYQLGARHVWVLSTLPLGCLPAARSTMGGPLRVCVDFENGLAAQYNNMLQQGLATVKGSLPDYDIRFVDVYTPMLRLIQNPFQSGFVNVWTGCCGTGTFEMGPSCNTFTLQCPSTASYFFWDVAHPTERAYQATLAQVLQTHNYDLNSYNISQTLHPFNVSTLSFNY >RHN57743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41430530:41433796:-1 gene:gene33327 transcript:rna33327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative abieta-7,13-dien-18-ol hydroxylase MMASVLLVALLLLIPILFLLRRTKPSKRVPPGSLGIPIIGQSLGLLRAMRANTAEKWIEDRINKYGPISKLSLFGTPTVLIHGQAANKFIFANGGDALVNQQTQSIKMILGDRNLLELSGKDHSRVRGALVPFLKPESLKQYVGKIDEEVRRHIQIHWEGKQQLKVLPLMKTLTFNIICSLLFGLERGKQRDQFMNPFQSMIKGMWSVPINAPFTHYNRSLKASARIQNMLKEIVHQKKVEYEKNGVNSRQDLISSLLSMVEDGKQVLTENEIIHNAMLVMVAGHDTSSILITFIIRLLANEPAICAAVLQEQEEIAKGKLLGETLTWEDLSKMKFTWRVAMETLRRFSPIFGGFRKTATDIEYGGYIIPKGWQIFWVTSMTHMDNNIFPEPSKFDPSRFENLASTPPYCFVPFGGGARICPGYEFARVETLVAIHYLVTKFSWKLLSENSFSRDPMPTPSQGLLIELCPRKLS >RHN73479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16868354:16868841:1 gene:gene9295 transcript:rna9295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger, cation/H+ exchanger, CPA1 family MEDEETRSTKLEVAMIKPCRRCILLLAGPGVVLSTIFLGTLLKLTFPYGWSWKTSLLLGGLLGASDPVAVVALLKELGASKKLSTIIEGESVMNDGYFV >RHN46387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31224344:31224751:-1 gene:gene40869 transcript:rna40869 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLKTSSPSNYSSSFSSMKEEKIIFIVGYYESENILVLDLIKWKDRENTTLEESL >RHN67148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24329568:24335357:1 gene:gene15297 transcript:rna15297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MANIFVTIATNVGQYLAGPAIREVQYLLCVNNTINDLEKEKEALTSERDNLLIRVERAKERTEVIEKPVEKWLNDVEKLLREVEVLVQRTETDNNCFQGWFPTCGRYLLCKQMVQKIDAMGRFKGKSKDIEPFSHLAPLPGILYQSSEDFIYFESTKVAYDKLLKALEDDRISIIGLYGMGGCGKTTLVTEVGKKAEELDMFDKVISITVTRTPNIRSIQGQIADMLNLKLGEESEDGRAQRLWLRLKEMKRTLIIVDNLWREFHLKDTGIRLYNDNRGALKILVTTRIENVCILMNCHKMIHLGLLSKDESWTFFQKLAVIDDEFSVSLDGVPQEICYECKGLPLAIKTMSSSLKGKDNNEWNSTLAKLMVSKASDDHEGGESDALNCIKSSYECLQNREAEQIFLMCCMFLEDYHIPVEDLLRYEIGVGVGRTYSLQLRRSMFQAHINKLLDSRLLMHGSVKMHDMVRDTALWIANRSNNCKILVNVDKPLSIVAEDKNIRDCFAVSSWWENKNPFFCPLHAPNLKMLLLNISARPSLNSLDLSHLTFEGIQGLEVFSLTVDYRVVPISFSPSIQLLKNVRTLRLNGLNFGDISVIGSLTSLEVLDLRRCNFNELPIEIGKLISLKLLDLSECRISENNYNGAIGKCSQLEELYASTCYPEKYVHEIIIDIGILSNLQRFVFDNQILQEIRRVLKLKDFNISKLRTSKKNILQIAENISLEGLHGGCKNIIPDMVGIVGGMNDLTSLHLTSCQEIECIFDATYDFKEDDLIPRLGELRLRHMNNLTELYRGPSLQVLRYFEKLELVDIQYCWQVHIMFPLECKLRNLKILSLSNCRTDKVLFSESVAQSMLQLEQLNISGCYELKHIIAASGSQHGGSNTSEEISPAPMNSHFLMTKLRDVNISDCPSLESIFPICYVEGLTQLQQMEIEDSPKLEYVFGKCDHKEHLSSNHVTLPHLEALRLSSLENLIGMFPENCQANWSSQCLRMLNIYHCPKMAIPWFNLKVGYDQSQHHPNERLLSKLQELELCDLPQLYSISWVGPTPSQIWSFQCLQSLTVKSCENLKFLFSMGVCRSLPELISLVIENCQELEQVVVEDEELLQLPDAEFYFRKLKQIGVFSCNKLKSLFPFAMVTMLPQLSSLFLAYATQLQEVFRHSSGDNVMNEMEIVLPNLTKIFLSELPNFVDICHGCKLHAPKLLKLSISFLDRTPPSLIKIQRKLQEEAGSGDGEDFHSLVFKNDEDSQFRSTSSISDYVKDPSVPNLAVGQRKNKVYC >RHN72708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10022432:10026840:-1 gene:gene8457 transcript:rna8457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoglycolate phosphatase MSSLQSQALSVENFKDVLDSVEAFLFDCDGVIWKGDQLIDAASQTLDMLRHKGKKLVFVTNNSWKSRSQYAEKFKSLGISVSPDEIFSSSFAAAMYLKVNNFPTQNKVYVIGGDGILDELQLAGFTAFGGPGDADKTIDWKQNGFFEHDKSVGAVVVGIDPKINYYKLQYGTLCIRENPGCLFIATNRDAVGHMTPSQEWPGAGCMVAAMCGSTQKEPVVVGKPSTFMMDFLLEKFNLSCSKMCMVGDRLDTDILFGQNAGCKTLLVLSGCTTQSDLQDPSNNIQPDFYASKISDMLDLLGA >RHN80436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38155934:38160900:1 gene:gene4364 transcript:rna4364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MQIAMACSSCLIAATSTWKLKSPPTLKFKCYATQSQSQCSLVHNDDLQALLQILPSDLHHNLLNQPNRPQLLEVILDLGRFPEARYLGKNGNHYLRNSEVTVRELEYAEGAVGNFGKDNRAGIEGTLHRISAIRSRNGGIVGLTCRIGRAVTGHIDMVYDLLHYGKSILFLGRPGVGKTTVMREIARVLSDEFHKRVVIVDTSNEIGGDGNIPHAAIGGARRMQVSEPSMQHSVMIEAVENHMPEVIIVDEIGTEAEAHACRSIAERGIMLIGTAHGQQIENVMKNPTLSDLIGGIESVTLGDYEARARKCQKTILERKAPPTFDFLIEMRDRHYWITHQTDKSVDILLRGKTPQVEVRKRDEKCKVVIEKSKAYDQCQS >RHN49788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1131923:1136127:1 gene:gene34000 transcript:rna34000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTTILKFAYIMITCLFLLHIAAQEVLQYELFDCNEDRDCDNVICVAVRNMTTILKFPYIMVICLLLLHVAAYEDFEKEIFDCKKDGDCDHMCVTPGIPKCTGLIEYIDTIQIQIR >RHN52866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39718800:39726115:-1 gene:gene37594 transcript:rna37594 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SLC26A/SulP transporter MLNPINTHITTTRLTHTHQNKTTLFSHSNLNNTTPFCVFVFVFFFLNSTQNFLMAKVQEIHHNGVNLSTQRGFVTKLKSGFKEALFPDDPFRQIMEEEKKSRRLIKGVQYFIPIFEWLPNYSLRLFFSDLIAGLTIASLAIPQGISYAKLANLPPLIGLYSSFVPPLVYAVFGSSRHMAVGTIAAASLLIASIVSTVADPIAEPTLYLHLIFTTTFITGVFQACLGFFRLGILVDFFSHSTITGFMGGTAVILILQQFKGIFGMKHFSTKTNVVAVLEGIFSNRHEIRWETTVLGIIFLVFLQFTRHLRLKKPKLFWVSAIAPITCVVVGGVFTYLVKGTQHGIQIVGHLDKGLNPISIQFLTFDRRYLSTVMKAGLISGVLSLAEGIAIGRSFSVTANTPHDGNKEMIAFGLMNLFGSFTSCYLTSGPFSKTAVNYNAGCKSAMTNVVQAVIMALTLQFLAPLFSNTPLVALSAIIVSAMLGLINYTEAIHLFKVDKFDFIICMSAFLGVAFLSMDIGLMLSVGLGVLRGLLYLARPPACKLGKLPDSGLYRDVEQYSNASTIPGVLIIQVGSPIYFSNSTYLKERILRYIKSEQSSSGDMVEHVILVLTAVSSIDTTAIEGLLETQKILEMKGIQMALVNPRLEVMEKLIASKFVEKVGKESFYLNLEDAVLACQYSLRTSKPNNNEDTV >RHN50263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5646573:5647586:1 gene:gene34533 transcript:rna34533 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFSAALIRAFIFLPFHFFPISFSNSSLFLFSGDLRRNLIGKLTGTINLPDNYTQLPKFNIFNIVTSNSSRNTVPTNPFGDFLSSYSLHQCH >RHN44168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2145433:2166860:1 gene:gene38257 transcript:rna38257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase with a phosphate group as acceptor MATAEEEVAAPTKVKIGVCVMEKKVKCGFEVSSAPMEQILQRLQAFGEFEVTHFGDKVILEEPIESWPIVDCLIAFHSSGYPLEKAEAYAALRKPFLVNELEPQHLLHDRRKVYERLEMFGIHVPRYALVNREVPYQQLDYFIEEEDFVEVHGMRFWKPFVEKPIDGDNHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPAEKQMAREVCIAFRQSVCGFDLLRCEGRSYVCDVNGWSFVKNSHKYYDDAACVLRKMFLDAKAPHLSSAIPPILPWKVNEPVQPTEGLTRQGSGIIGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRAETKLKSAVQLQDLLDATRMLVPRTRPDRESDSEAEGEHGEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIKVAKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIEMKEAKARLNEIITSSSKTVHSDESPEFSWMVDGAGLPPNASELLPKLVSLIKKVTEQVRILAKDENEKLTETSLYDVIPPYDQARALGKTNIDVDRIASGLPCGSEGFLLMYARWKKLERDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQALADGVIPNEYGINPSQKLKIGSKIARRLLGKLLIDLRNTREEAISVAELKSNQDHDSSFPKTEKENTDAKPKHLNKNGELRKSITLNDISMDQDDDDDKETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNMDESLQEEDSLVCYNALERLFKTKELDYMSYIVLRMFENTEVALEDPKRFRIELTFSRGADLSPLEKNDSEAASLHQEHTLPIMGPERLQEIGSCLTLEKMEMMFCPFAMPAEDFPPPATPAGFSGYFSKSVLERLVNLWPFHKHASHSNGK >RHN67670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29075250:29079852:-1 gene:gene15879 transcript:rna15879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fatty acid desaturase domain-containing protein MAVKEPQTLQHVGNGDVVDAKKHQQNFDPSAPPPFKIAEIRAAIPKHCWVKNPLISLGYVLRDLFIVIALIAAAIHFNNWIFWPIYWISQGTMFWALFVLGHDCGHGSFSNSSLLNSLVGHFLHSSILVPYHGWRISHRTHHQNHGHVEKDESWVPLTEKMYKSLDNMTKTMRFTFPFPIFAYPFYLWNRSPGKEGSHFNPYSKLFTPSERKDVITSTVCWSIMFCLLTYLSLIKGPIVMLKLYGVPYWIFIMWLDFVTYMHHHGYSQKLPWYRGQEWDYLRGGLTTVDRDYGWVNNIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYREPEKSGPVPHHLFKYFLHSMSQDHFVSDSGDIVFYQADPKLQNNTWTKSE >RHN60746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30077895:30080494:-1 gene:gene23103 transcript:rna23103 gene_biotype:protein_coding transcript_biotype:protein_coding MISILTQERLLGASLGVILTSAVVWDQRRYIYGSISDSQSHSQVREPIFGKKSRSEFAHSWNKTVDQTFGPLIKSLSSRGW >RHN40818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21596212:21596451:-1 gene:gene47032 transcript:rna47032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLSAAIRRASFSSSQTSKVLNVPKGYLAVYVGEQMKQFVIPTSYLNQASFQNLLSQAEEEFGYDHPMGGLTIPCTE >RHN38568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:294280:314848:-1 gene:gene44522 transcript:rna44522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CCR4-Not complex component, Not1, CCR4-NOT transcription complex subunit 1, HEAT MTLVSSPTMATFSSTASNQIRFLLNSLNQLNFDSVLQQLSQFTEFGTVGCILLLQTCLDHFSYVGRDIKDMQHEPILAAVVKYLLDRPNFSTVFSESMKNVDVNDSFLKSFCNGLHLSLLEKIAISLALSDSDNPDVRQCGKHFCMAQIEELCANPGSLSFHEQIHSVIMFLRHSEGLSEHVDSFMQLLSLVQVKDTPPFVLTPLLPDEMHEANILRWNSEFLHECEENDFDAILADIQKEMNMGDIVKELGYGCTVDVSQCKEVLSLFLPLTDNMLSKLLGAIAHTHAGMEDNQSTFLTFGAALGYNNLSELPPLNSWNIDVLIDTVKNLAPQTNWVRVIENLDHEGFYLPSEEAFSFLMSVYKHACKEPFPLHAIYGSVWKNTEGQLSFLKHAVYAPPEIFTFAHSARQLAYVDAINGHKLQNGHANHAWLCIDLLDVLCQLAEKGHASVVWSILDYPLKRCPEILLLGMAHVNTTYNLFQREVSMIVFPMIVKSDAGSGMILHLWHINPNLVLRGFMDSQNIDVDSIAKIVDICQELKILSSVVEIIPSYYSVRLAAVASSKEILDLEKWLSNNLTTYKDAFFEECLKFLKEVQAGGSQNLSGQSFNQPGGVLNLSTETTATFLKVLKSHTDLVTSGQLSEELERLNISIIDSNPRLQNSETTDSSTSDTTFSQEVENEANGIFKDMYHDVITVTKMVEMLIERKESSSERERSIFECMIANLFDEYKFYPRYPEHQLKIAGVAFGSVIKHHLVTHLSLGIALRYVLDALRKPADSKMFMFGSLALEQFVDRLVEWPQYCNHILQISHLRSTHSEIVTLIEQALARISSGHTDVDGMSHTSVISNHSSTFGHVEISGSGITQPGQRRENHLDDRQKTSVGSSTDMKPPLASIGQSPVITPTDAPSANKPQPMLSSSSPGFVRPSPSPSRGSASAKFGSALNIETLVAAAEKRETPIEAPGSEVQDKISFIINNISSTNIEAKSKELTEILKEQYYPWFAQYMVMKRASIEPNFHDMYLKFLDKVNSKALNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKVLEPCQSSLAYQPPNPWTMGILGLLAEICSMPNLKMNLKFDIEVLYKNLGVDMKDVTPTSLLKDRKREIEGNPDFSNKDVGASQSQMISDIKPGLVPPVNQVELPPEVSNPSNMLSQYAGSLHISTGTMMEDEKVAPLGLPDQLPSAQGLIQANTTPASFQLPTQIQSIGTHVIINPKLSGSGLQIHFQRVVPIAMDRAIKDIVSSIVQRSVSIATQTTKELVLKDYAMESEEKRIKNAAHLMVASLAGSLAHVTCKEPLRTSISNQLRTALQNLGIANEILEPAVQLVTNDNLDLGCAVIEHAATDKAINTIDTEISQQLSLRKKHREGMGSTFFDANLYPQGSMGGVPEPLRPKPGQLSLSQQRVYEDFVRLPWQNQSSQSSHSMSAGAAVQSATSGLTGTNGPAPGQINPGYSLNTGYEGVSRPLDDMPESNYAQHFSASPIHIRAADNVSQQSLEKDSVASFPSTASTPELHTMDSSDAGKESGASSQPLISSGAVERIGSSFLEPSLTTRDALDKYQIVAQKVLEALVNNDSREADIQGVISEVPEIILRCVSRDEAALAVAQKVFKGLYDNASNNVHVCAYLAILTAIRDVCKLAVKELTSWVIYSEEERKYNKDITVGLIGSELLNLTEYNVHLAKLIDGGRNKAATEFSISLLQTLVIEEPKVISELHNLIDALAKLATKPGYPESLQQLLEMIKNPAALSASNVGKEDKVRQSRDNKGPGLQVANREALNIVDSVEPDPAGFREQVSMLFAEWYRICELPGANDTASTHFIVQLHQSGLLKGDDMPDRFFRLLMEIAVAHCLSTEGINSGALQSPQQMPTMSFLAIDIYAKLVFSILKGSSKLLSKILAVTVRFIVKDAEEKKVSFNPRPFFRLFINWLLDLGSLEPVTDGANLQILTAFANAFHALQPLKVPGFSFAWLELVSHRSFMPKMLTGNGQKGWPYIQRLLVDLFQFMEPFLRHAELGDPVRVLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRSMRLPDPSTPNLKIDLLQEITQSPRILSEVDAVLRAKQMKADIDEYLKTRQQSSPFLSELKEKLLLSPNEAASAGTRYNVPLINSLVLYVGMQVL >RHN74496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33972519:33972809:-1 gene:gene10581 transcript:rna10581 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQVPHLFRKCNDVFKVIEEANAGEIVAVFNAPGSYSCHSRRNVFRETAPM >RHN62441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43455785:43460998:-1 gene:gene25019 transcript:rna25019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endonuclease MutS2, P-loop containing nucleoside triphosphate hydrolase MQLTCNLFIPINKPSIHRLSFKPRFCYSTESNSVQSDSLKTLEWNSICKQLSAFTSTSMGSSAANNARLPVGLTPHHSQKLLDQTSAARLVPQQQLDFSGIHDLTDILSVSVSGKLLTVPELCTVRRTLSSARELFDTLRHLASVSNHSHRYSPLLEILQNCNFLMGLERRIEFCIDCNLLVILDRASEDLEIIRSERKRNIEILDSLLKEVSSQIFRAGGIDRPFITKRRSRMCVGIRASYRYLLPEGIVLNASSSGATYFMEPKEAIDLNNMEVRLSNSEAAEERAILSMLASEIANSKSEINYLLDKILEVDLAFARAAYAQWMNGVCPIFSLGTLEVCESVEKDNDISVVQDDDLTVNIEGMRHPLLLESSLENISDNVTLRSGNAAELGNGNGTMASKSASQGITDFPVPVDFKIRSGTRVVVISGPNTGGKTASMKTLGLASLMSKAGMHLPAKKSPKLPWFDLILVDIGDHQSLEQNLSTFSGHISRIRKFLEVASKQSLVLIDEIGSGTDPSEGVALSASILQYLREHVNLAVVTTHYADLSTMKEKDTCFENAAMEFSLETLQPTYRVLWGCTGDSNALSIAQSIGFDKNIIDHAQKWVEKLKPEQQQERRGMLYQSLQEEKNRLKAQAEKAASIHAEIMNVYSEIQGEAEDLDRRETMLMAKEAQQVQQELEDAKSQMEIVIQKFEKQLKDLGRNQLNSIIKESETAIASIVKAHTPAVGFPINDADRTTSYTPQFGEQVRVKGLGGKLATVVELLGDDETILVQYGKVKVRVKKNRIRAIPPSAKNPVTSSATHQGRQKPLNGKSRGNLEMNGGNDDSYYGPVVQTSKNTVDLRGMRLEEAAIHLEMAINASQPYSVLFVIHGMGTGAVKDRALAILQKHPRVTHFEPESPMNYGCTIARVK >RHN68217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33777971:33782235:1 gene:gene16536 transcript:rna16536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDTPHSPSSCSSSSSNYSWEGGQATDTIILEAEEPQTPLAVRRALQLLKSGVPELRLQAARDIRKLTKTSQRCRRQLSEAVGTLVSMLRVDSVESHEPALLALLNLAVKDEKNKINIVEAGALEPILSFLKSENLNLQASAAAALLTLSASSINKPIISGCGAIPLLVEILRDGSPQAKADAVMALSNLSTYPNNLSIILETNPIPYIVDILKTCKKSSKTAEKCCALIEPLVDYDECRTALTSEEGGILAVVEVLENGTLQSREHAVGTLLTMCQSDRCKYREPILREGVIPGLLELTVQGTPKSQTKARTLLQLLRESPYPRSEIQPDTLENIVCNIISQIDGDDQSGKAKKMLAEMVQVSMEQSLRHLQQRALVCTPSDLPIAGCASEVSFK >RHN56800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33842919:33843524:-1 gene:gene32254 transcript:rna32254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin MNSSSSVSMQIFIDIMFENKRFPLMVKSSDTILDVKKKIQDKEGIPVHGYDLFFDNEALCSNRHTLANYNIQDNSTIDLVVRIMAD >RHN54828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12682357:12683348:-1 gene:gene29919 transcript:rna29919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ent-copalyl diphosphate synthase MGFRLLRLHGHQVSPDVFKHFEKSGEFFCFAGQLNQAVTGMFNLYRASQVLFQEEKILEDAKNFSANYLTKKRAANKLLDKWIIIKDLPGEVGYALDVPWYASLPRLETRFYLEQYGGENDVWIGKTLYR >RHN47802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42629891:42630730:-1 gene:gene42445 transcript:rna42445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MTLSDQEDQIDRISDLPCNVIDGILSHLDIKDLVGTSILSKQWRYKWTKAPRLWFSEDFFEEYEDLEDLEDPVTYRIITDVLMQHQGPIDKFGLFISNDYKFEITIEHLDKWIPILSERNIKHLELVNHETHPDQMLYIVDLPCKELTYSKFLGFDLSIPPDFSGFERLLELHLLFVRFEPGAFESLISGCPLLKNLHFVLCKEFEYFDFAPPTLEVLLIEFNPKMKSICLKKANNLIDLALKATESCTFGLIKSLPKNIQRLSISSRRGIYKVRKHHH >RHN79579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30253584:30255578:-1 gene:gene3392 transcript:rna3392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MECNKDEAVRAKQLAETKMQRGEFVDALKFAKKAKNLYAGVENIAQVLAVCEVHIAALKKLSMFEMDYYEILQTHRFSEEAIIKTQYRKLALLLHPDKNKFSGAEAAFKLIGEANRVLSDQAQRSLYDKKVKVHVRSAANQVPNTTKYWKKVFSNFPSWNPHLKAAKQTFWTVCQHCNSRFQYYTNIMITACQKCISQLAAPSFVRFRARKNAPMQAPPKAASKSNGGKPLGGRYADAFVQSYPSCMKTSAAGVGKQLNDEKSKYGYVPLSKPMESQASKSVGNKRVREPEPDSKDRFNTGIDDEKKDVNVRESYVDPSRLNVRSSSRQKQHVSYVETNEDDNYDIHPKKPRRDESLNIDEVEKKNVSEETFLRNTSKDGHSHVQGGKELESDLDPRMLNEENCSPPNSNIPSSPEIIHCPDPDFNNFEKDKADDCFAVNQLWAIYDNTDDVMPRLYALVKKVTFPFKLQITWLEAYPDEDGEVDWYNADLPIACGKFKIANSQKTTDRDIFSHQIQYIKGNNRGSYLVFPKKGETWAIFRNWDIKWSSNPEYYRKREFAFVEILSDFAENFGIEIAYLGKVKGFTSLFEKTQNNGENMFCIPLNELYRFSHQIPSYKMSGDEREGVPRDCFELDPAALPTYIFEAEKDSSIFGRSREDVSMAC >RHN79081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22189692:22191594:1 gene:gene2778 transcript:rna2778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MQAMTKRTYTAIAQHAKNGKPALVFVPTRKHVRLTTMDLITYSSADSGEKSFLLQSTKELEPFLNKISDEMLKVTLREGVGYLHEGLSSLDHDIAAQLFEAGWIQGCVLSSSMCYKDTLLAHLVVVMGTRYYDGENAQTDYPVTDLLQMMGHASRPLVDHSGKCVILCHAPSKEYYKKFLFEAFPVESHLHHFLHDNLNAEIVAGIIENKQDVVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDYLSELVENTLSDLEASKCVSIEDDIHLSPLNLGMISSYYNISYTTIERFSSCITSNTKMKGLLEILSSASEYAYLPIQPGEEEVVRRLINHQRFSFESPKVKDPHVKANALLQAHFSRQFVGGNLALDQREVLLSANKLLQALVDVISSNGWLSLALLAMEVSQMMTQGLWGRDSMLLQLPHFTKDLAKKCEENPGKSIETIFDLLKMEDVARRELLNMPNSYLFDIARFCNRFPNVDLLYEVLQNDSVRTGEDITICVTLERDIDGKTKIGPVDAPRYPKAKEEGWWLVVGDTKTNSLLAIKRVSLQRKLKAKLQFAAPADAGKKSYILFFMCDSYMGCDQEYSFTVDVKEADGGDKDSSKE >RHN46003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27666887:27669256:1 gene:gene40436 transcript:rna40436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDETLKFVYILILFVSLCLVVADGVKNINSYYSHSHFVAYLIHKL >RHN53355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1160273:1161900:1 gene:gene28256 transcript:rna28256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MAHSKMNMNLVLVVIAMMCAGATAQSSCTNVLVSLSPCLNYITGNSSTPSSGCCSNLASVVSSQPLCLCQVLGGGASSLGISINQTQALALPGACKVQTPPTSQCKTTNAASPADSPAGTEAESPNSVPSGTGSKSTPSTGDGSSSGNSINLSIPLFLILAAAYASVF >RHN66582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14262281:14264348:1 gene:gene14596 transcript:rna14596 gene_biotype:protein_coding transcript_biotype:protein_coding MHRCVLVSTLTSFAICSNRPDEERGSREMSIRGNNGERNCCERNWRERSNFSCLICQETRKRESIMVGPTTFTFLP >RHN69633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45089698:45090591:1 gene:gene18126 transcript:rna18126 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVTDKHQNSKDADGAACFKRRRVLMEKHASRSENEPLPKQCHNNAMNRHQSSKEDAADAHRLRRMVMMQNCAYSLRCKSENQYAAMQLYNGPIRPMTLF >RHN63936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55158989:55161315:-1 gene:gene26694 transcript:rna26694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MIVKLGFLVILGMTLAVNAQLKTGFYSNSCPTAESIVRSTVVSYFNKDPTIAPGLLRLHFHDCFVQGCDGSILIAGSSSERSALPNLGLRGFEVIDNAKSQIEAICPGVVSCADILALAARDAVDLSDGPSWPVPTGRKDGRISLSSQASNLPSPLEPVSVHRQKFAAKGLNDHDLVTLLGAHTIGQTDCRFFSYRLYNFTTTGNADPTINQAFLAQLKAICPKNGDGLRRVALDKDSPAKFDVSFFKNVRDGNGILESDQRLWEDSATRRVVENYGGNFRGLLGLRFDFEFPKAMIKLSSVDVKTGIDGEIRKVCSRFN >RHN51806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25655816:25660656:-1 gene:gene36340 transcript:rna36340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MYRHHRFTLSTLRYLTHTNPFPFHSHPPRHFSTTPFRRPPPDPNDPSTLLKEDAFSLISNLWIENFRQPDKLITNLSSTLRRFELWLLAYQKVTTDETGSYTPRSSIQRSELENLLALRNAVVDGNFKWGSRLKFFIKSPIDKTDHDSLSKRKIKIILNTTQPTPFQDRIVQEVLLMILEPIYEPRFSEKSYAFRPGRTPHTVLRVIRRNFAGYLWYLKGDLSTLLDGVKVGLVINSVMRDVRDKMAVDLLKSALVTPVVTSKVDDREVKKKKRKYQKKRVLNEDEPKPDPYWLDTFFGFAPEEAEKVPSWGHCGVLSPLLANIVLDELDRWLEGKMKEIYVPSKSDVIWNSPEGEAEQGNTSWPEFVPTSGPDKTRKMDFIRYGGHVLIGVRGPRADAATLRKQLIEFCDQKFMIKLDNECLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIRQFRKLSFLKGDRDPDPQPCFRMFHATQAHTNAQMNKFLSTMVEWYRFADNRKKIVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGARNLSRPLKEKKGQSPEYQNLLRMGLAESIDELQYTRMSLVPETDYTPFPGNWRPDHVNALLEYIKLADPKTSEEQQSCIREQGLVTPQDYISMLVWNYKRNSLPMDHFSLAKRDESVVGNQHFLASSNQDDTSKEEENDARINGAQM >RHN74343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32003623:32007831:1 gene:gene10384 transcript:rna10384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-N-acetylhexosaminidase MTSSPPCKSSINTTHNSITLHYPQTVTVTVTNPHTNTIMLLQLLFLFLFFPFSTTSLNIWPKPRNLTWTPPHQTTLLSSTFTITTTTLHHNNHLTAAISRYTNLIKTEHNHPLIPPKTNLSNNLPPLQTLTITITNPNTELNHATDESYTLIITTPTATLTAVTSWGAMHGLETFSQLAWGNPTRVAVNVRVNDAPLFGHRGIMLDTSRNYYPVKDLLRTIEAMSMNKLNVFHWHVTDSHSFPLILPSEPMLAEKGAYDVDMVYTVDDVKRVVEFGLDRGVRVIPEIDAPGHTGSWALAYPDIVACANMFWWPAGSDWPDRLAAEPGTGHLNPLNPKTYQVLKNVIRDVTTLFPEQFYHSGADEVVPGCWKTDPTIQKFLSNNGTLSQVLETFINNTLPFILSLNRTVVYWEDVLLDDTVHVPSTILPKEHVILQTWNNGHNNTKRIVSSGYRAIVSSSDFYYLDCGHGDFTGNNSIYDNQTGSDKNDGGSWCGPFKTWQNIYNYDITYGLTEEEAKLVLGGEVALWSEQADETVLDSRLWPRTSAMAESLWSGNRDEKGLKRYAEATDRLNEWRSRMVSRGIGAEPIQPLWCVRNPGMCNTVHAI >RHN65289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:904110:908556:-1 gene:gene13113 transcript:rna13113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSRKLLSSLLRTCKTHSTVSQCHAQTLLQSLLPNVILETDLLLAYTKLGLISHARKLFDKMPQRNMHSWNIMIASYTHNSMYFDALTVFEAFKRCGVLPDCYTLPPLFKISIRIDECCLGWMCHGLVVKLGYEEIVVVNNSVLEFYVKCGTMSQALSVFSNHNAPRDSATWNLMISGFGKAGLYSEAVHCFREMLKYRNGIELDHMTLPSILSACGKEGDLLKVKEVHGFIVRNFGFDADAPIGNALIDNYGKCGSLKDSENIFKTVCYVNLVTWTTMISCYGMHGKGQESVVLFEKMMDEGFRPNAVTLTAILASCSHCGLLDQGKKIFGSMISDYGLEPTAEHYACMVDLFSRCGRLEEALQLLERMKSSSVTGSMWGALLAGCVMHQNVKIGEVAAHHLFQLEPNNTSNYVALWGIYQSRGMVLGVSTIRGKMRDLGLVKTPGCSWINIAGRAHKFYQGDLSHPLSHIICKRVYEISNTLLSTNDLGAAYLLHDDDTFAMPL >RHN57534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39904361:39905053:-1 gene:gene33093 transcript:rna33093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MAPSSLSPNSLENNLVRVEGGNMVSHITTTNNNNNDTLMPTPIPSHLPQKKPRGRPPGSKNKPKPPVNIEENMDNNMKMIYIEIPSGKDIVGEIINCAHRYQASITVSRGYGLVTNVTLLNPKTHFPTPPMIGPFEMTSLLGTYVNINCRRNTLNHPPCSCFSILLSGHGAVVYGGTVGGTIIAASNVWIQATLCKNLDHYQSISNNNNNHDNNVVNLSTFDDVATFPNH >RHN42343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37516389:37524927:1 gene:gene48774 transcript:rna48774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase, AddA type, P-loop containing nucleoside triphosphate hydrolase MIGSTSISMKKKKSTINQSDGNFINTIFNWSLPDILNKDLYKTKVDSIGLSFDSAGKYFQSFLYPLLNETRTELCSCMEVLSTLPYAKVVTLNRLKSPLFGRSLYEVTTDNWKNRSLGHGKELYKTSPSDLFILVDFKPETVNDLQRDGSIRSFALSAQVLNEENDNDTELKSNFKFIASKDIDIDGMGQKSLFIIFLTNITPIRRMWISLHMDGNSKLIQKILCASDAVEENCDHCPPKTDAFKDHEAYNKLLSEFNESQKKEIGACLSSIGCNHKSTVDLICSSPGTEKTKILVTLLCALFKMNRRTLVCAPSTVAIKEVASGGLSMVRQLFQFCYLGDMLLFGNHEQLNVGEEIQEIYLDYRVKQLMSCFNPSNGWKYCFTSMIHFLENCFTHYQMSILNQKTKEQVQTNDNNSNTAKDDSLSDSDVRTHQSFVEFFIEKFQAIALPLKKYIHILRTHIARSFIMEHNLDVLADLNVSLDSFEALVSDGNIVSERLEELFYPLETRDSSSESDVVSADERSFLENITKCISLLKSLQVSLGKQKLPDIVTEKSIREFCLQTASLMLSTASDSFMLHSLDIKPLDIVVIDEAAQLKECESIIPLLLPEINHAVLIGDEHQQPSIVSLEADFGRSLFHRLRLQGRHPNHLLNEQHRMHYIKDVNLPFQISDEQNDIILSERSSFVLGRSGTGKTTILTAKLLKREKAPFFRADQEEEAACLRQMFITMSPKLCHHVIYKLKRFRCGESKFFEDETAAYESSLAQFENVPDSLVGLSVDSYPLVLTFRKFLMMFDGSVGGVSFFERFNDLFAGDALKENIWDREVTFERFDLSYWSTFNYGDKKNLNSSRVFAEIMSYIKGGMDTMGTNLSQDAYLALSENQGSSLSKKQRKIIYHIYQQYEKMKILRREFDLADIVADIHLRLKSNIYEGDAMHYVYMDEVQDFTLSQIALLKHICQNVEEGFTFCGDTAQTITKGVYFRFQDIKSFFYSTFHNGTNPVKTKTLQLTQNFRSHDGVLKLSHTAINLLYHFFPDSIDILKPETSLLCGEAPAVLDCGNSKDAIATIFGKSVGFGAEQVILVRDDSARKEILASVKEKAIVLTIWECKGLEFKDVFLYNFFGSSPLKDDWRVIKGYKEKQDALEPTETSSFPTYKDPKHNILCSELKQLYVAITRTRDRLWICESPSTESHAKPMFEFWKTRDLIQVKKLDDSFIQSMTVASNPKEWRARGMEVVFILYNHKIYEMATVCFQRAGDNSWEEKSKAAGDRVKSNSSSSEPKEENVVPREAQDIGMAESSAQCLVDLEDHERAGDSKKRFDKETYCLGGYLPPDAEMGPMMKWVQETHFYDCRELPKGTVGFGNQIATHAKLSPHGKTQAKAMQQLVDNLLPIVALLKQPFCPKNKHYCNSMKILKENSIGWKFLNSFTESLPQKGYDDFYSAFEDLEEKLVHLTDTTMLGFLLDQMLMDTMAWSGVVVSTKMNLVGWICSKEPNYFHMLAQSSHPPLKLMWVCDLLERLVIKFFNPNDHSRHKFLTILLLHVFFKKDSKLVQKEKGKELPFDDYFKENSILAADMNSEVIILKLRENSLILPPMEHVVSVDMTSCPTPTIEKILEEIYPEAVKEVAEKAAEESTKKVAKESEIHKGKEKKNKSNSKKKGN >RHN68567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36622707:36628673:1 gene:gene16935 transcript:rna16935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine hydroxymethyltransferase MDAQAGLSLGLNATMPSPTNSSNGSLTDNSFSFHLNSKPNPSLSWRLLHEKPDNNVHLNLIKQEQEQDEVVDGVGEEEGETIDFMGRPICFKRRLEEETQQSFVPAKRVAVDSKAVSWGNQPLSVADPEIFTIMEKEKLRQFKGIELIASENFVCRAVMEALGSHLTNKYSEGMPGAKYYTGNQYIDQLEFLCCERALNAFHLDSSNWGVNVQPYSCTSANFAVYTGLLNPGDRIMGLDSASGGHLSHGYYTHGGKKVSAASIFFETLPYKVNPLTGYIDYDKVEEKAVDYRPKILICGGSSYPREWDYARFRKIADKCGAVLMCDMAHISGLVAAREVASPFDYCDIVTSTTHKSLRGPRGGIVFYRKGPKPRKQGFVLNHGDDNSNYDFEEKINFALYPSLQGGPHNNHIAALAIALKQVATPEYKAYMQQVKRNAQALATALLKRKCRLVTDGTDNHLLLWDITALGLIDRNYEKVCEACHITLNKCAIYGSISSGGVRIGTPAMTSRGCLEDDFETMADFLLRAAQITSIIQREHGKSCKDFLKGLQSNKDIFDLRNRVETFGSQFEMPGFNY >RHN47544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40481301:40485621:1 gene:gene42157 transcript:rna42157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronosyltransferase MGIKIFMFTFMVTSILFFFLFIPTRLTLQISTLKPSAMDYFNVLRTNITYPITFAYLISASKGDTLKLKRLLKVLYHPNNYYLIHMDYGAPDAEHKDVAEYVANDPVFSQVGNVWIVGKPNLVTYRGPTMLATTLHAMAMLLKTCHWDWFINLSASDYPLVTQDDLIQVFSEVPRDINFIQHSSRLGWKFNKRGKPMIIDPGLYSLNKSDIWWIIKQRNLPTSFKLYTGSAWTIVSRSFSEYCIMGWENLPRTLLLYYTNFVSSPEGYFQTVICNSQEYKNTTANHDLHYITWDNPPKQHPRSLGLKDYRKMVLSSRPFARKFKRNNIVLDKIDRDLLKRYKGGFSFGGWCSQGGRNKACSGLRAENYGLLKPGPGSRRLKNLLNKILMDKFFRQMQCR >RHN68988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40109420:40119593:1 gene:gene17414 transcript:rna17414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosinetriphosphatase MTEVARSVVHEVIGDKITDVDEPIVSYIVNVLADEDFDFGLDGEGAFDALGDLLVAAGCVPDFPECRSVCSKLSDKFGKHGLVKAKPTVRSLAAPFRMNEGLDDGEAPKKKPEPVDGPLLSERDKLKIERRKRKDERQREAKYQLHLAEMEAVRAGMPVACVKHEAGGGHTVKDIHMDNFTISVGGHDLILDGSVTLSFGRHYGLVGRNGTGKTTFLRHMAMHAIDGIPRNCQILHVEQEVVGDDTSALQCVLNTDIERAQLLEEEAHLIAKQRESEDSTEKGTDANGAVKGDAISQRLEQIYKRLELIDADSAESRAASILAGLSFSPEMQKKATKTFSGGWRMRIALARALFIEPDMLLLDEPTNHLDLHAVLWLESYLVKWPKTFIVVSHAREFLNTVVTDIIHLQNQKLTTYKGNYDTFERTREEQIKNQQKAVEAHERSRAHMQSFIDKFRYNAKRASLVQSRIKALDRLGHVDAIINDPDYKFEFPTPDDRPGAPIISFSDASFGYPGGPILFRNLNFGIDLDSRIAMVGPNGIGKSTILKLIAGELQPSSGTVFRSAKVRIAVFSQHHVDGLDLSSNPLLYMMRCYPGVPEQKLRGHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLVLFQGGILMVSHDEHLISGSVEELWIVSEGRVAPFHGTFAEYKRILHSS >RHN79146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24505316:24505806:-1 gene:gene2872 transcript:rna2872 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGSITANILMALMFVVMFCITNIMAQDSGIAPTGQLEAGAGFSLPVSKVVLCSSVLASLVAFMLK >RHN63239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49604051:49609070:-1 gene:gene25918 transcript:rna25918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative asparagine--tRNA ligase MSVAMATRPLRIVKPFSYVAFAYLNASSTLKPSFLLRSHPLPSSPFSSSHRRRIFCTATLPSDATNKVPQFRKKLKVSDVKDGQFDSLGNTLVLQGWVRTLRLQSSVTFLEINDGSCLSNMQCVLDTEVEGYDQVESGLITTGASVWVQGIVVKSQGTKQKVELKLNKIVLVGKSDPSFPIQKKRVSREFLRTKAHLRARTNTFGAVARVRNALAYATHKFFQENGFVWVSSPIITASDCEGAGEQFCVTTLIPNSHETADSPVDAIPKNDKLIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLNDDMACATAYLQFVIRHILDNCKEDMEFFNTWIDKGIIDRLSDVAEKDVLQITYTEAVDLLSRANKKFEFPVKWGCDLQSEHERYITEEAFGGCPVIIRDYPKACYFSPSFSVHTIVQAAVYGRQ >RHN62024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40139564:40141227:-1 gene:gene24549 transcript:rna24549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MSDEVVHVAMFPSAGMGHLTPFLRLASLFLNNNCKVTLITPLPTVSLAESQLLDHFHSSFPQVNFIPFHLQPSSPDSVVDPFFHRVQTLRDSTNLLPPLISSLSPPITVFISDIFLLSPLISITQQLSLPNYTLFTSSASMFSFFSHFPTLAQSISDASAEISEIPVPGIAFSPLPYSSIPPILFKPTIFRNLMMEDSPNLTKLQGVFLNTFKALESHSLQALNNGEVVKGMPPVYAVGPFVPLEFEKESQKETSSESPPLTKWLDEQPIGSVVYVCFGSRTALGRDQMREIGDGLMRSGYNFLWVVKDKIVDKEDKEVGLDEVLGVELVERMKKKGLVVKEWVDQSEILSHKSIGGFVSHCGWNSIMEAALNGVPILAWPQHGDQRINAGLVEISGWGIWNKNWGWGGERVVKGEEIGDAIKEMMKNELFKVKAIELKDGGLRAISIGGDCEVTIQKLIRKWKNNVNN >RHN63146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48841980:48846227:-1 gene:gene25813 transcript:rna25813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative meiosis arrest female protein MFPLFLKIFSFPPSRLSRKVFTTFKVRGFSSYSSPPKVSVSVWWHIDSCPVPSGFSFSKVAPSITAAVRANGIMGTIRIRAYGDVVDKEALNSTNITLHSFFDDYPFPLHKTYTDTKNNTYKHFLADLNDWVSENPPPRHLFLIFGKEEFSSSGVLHRLRMCNYNILLACPGWAHVDALCHAATIMWEWSSMLNGDDLTGKHFNYPPDGPTNSCYENSNAPLENPFSVVELHTSSQNSEEISKPTLDIKSFSRQVMKILCSHPNGISIGDLRAELTKCDVPLVKRFYGNKKFSDFLISISYVELQYLGGDNFWVCLVPSTTSAVKNNQKDGATTQKVRNDGKNMDRSADGVPKISSSCVSSEGDDLKSFQSIPSQGKPLGEYADGKSSYFCSWISSWWTFLKSRASHFEEPKSSELQQDLSHPLQPHWDNFKFRVAVWWDFDSCGVPSGISFLNVAPSIMGVLRANRIKGPIHIDAYGDVSQLSQIKQEALFLSGIDLHHVPGGKNKNKCFVDWFS >RHN74100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27624059:27625456:1 gene:gene10076 transcript:rna10076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative omega-hydroxypalmitate O-feruloyl transferase MAPPWVQELPLNPLNIPVTIINTFSVTPSKPIPVKPGDCLYLSNLDDVIGARVFTPTVYFYQSDNSSTSFQKPVAEILGRALADVLVPYYPLSGRLRETKNGKLEVFFGEEEEQGALMVEARSNIALSELGDFAAPNPSWEPLIFKFPNEEQYKVLEMPLVIAQVTIFTCGGFSLGLRLCHCICDGMGAMQFLGAWAATAKTGKLVTEPEPCWNREIFKPRDPPEVKFPHMEFMRIDEGSNLTMKLWKTKPVQKCYRIRREFQNQLKSLAQPFDSAGCTTFDAMAAHIWRSWVKALDVKPQDYELRLTFSVNARQKLKNPPLKEGFYGNVVCIACTTSKVSDLVNGKLPETTLLVREARQSVTEEYLRSTVDYVEVDRPKQLEFGGKLTITQWTRFSIYNCSDFGWGKPIYAGPIDLTPTPQVCVFLPEGEGDSSGGSMIVCICLPESAANKFTQALLIDSVLLT >RHN49838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1582857:1587952:-1 gene:gene34062 transcript:rna34062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flagellar calcium-binding protein calflagin MSSILRGESRRFNNKQRARHHLTPQKRQEIKEAFELFDTDGSGTIDAKELNVAMRALGFEMTEEQIEQMIADVDKDGSGAIDYDEFEHMMTAKIGERDTKEELMKAFHIIDQDKNGKISVTDIKRIAKELGENFTDREIQVMVEEADQNNDREVDPEEFIMMMNRTSFRH >RHN74014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23508361:23512351:-1 gene:gene9939 transcript:rna9939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MEIFKFFYFINLLTTFILSSSLAIDPYSQALLSLKSEFIDDNNSLHGWVLPSGACSWSGIKCDNDSIVTSIDLSMKKLGGVLSGNQFSVFTKVIDFNISYNFFSGKLPPEIFNFTSLKSLDISRNNFSGQFPKGIPKLKNLVVLDAFSNSFSGQLPAEFSELENLKILNLAGSYFRGTIPSEYGSFKSLKFLHLAGNSLSGNIPPELGNLVTVTHMEIGYNIYQGFIPPQLGNMSQLQYLDIAGANLSGSIPKELSNLTNLQSIFLFRNQLTGSIPSEFRKIKPLTDLDLSVNFLSGSIPESFSDLKNLRLLSLMYNDMSGTVPEGIAELPSLETLLIWNNRFSGLLPRSLGKNSKLKWVDVSTNNFNGSIPPDICLSGVLFKLILFSNKFTGSLFSIANCSSLVRLRLEDNSFSGEIYLNFNHLPDITYVDLSWNNFVGGIPLDISQATQLEYFNVSCNMQLGGKIPSQIWSLPQLQNFSASSCGLLGNLPSFESCKSISTVDLGRNNLSGTIPKSVSKCQALVTIELSDNNLTGQIPEELASIPILEIVDLSNNKFNGFIPEKFGSSSSLQLLNVSFNNISGSIPKGKSFKLMDSSAFVGNSELCGAPLRSCFKSVGILGSKNTWKLTHIVLLSVGLLIILLVLGFGILHLRKGFKSQWKIVSFVGLPQFTPNDVLTSFSVVATEHTQVPSPSSAVTKAVLPTGITVLVKKIEWETGSIKLVSEFITRLGNARHKNLIRLLGFCHNQKLVYLLHDYLPNGNLAEKIGMKWDWSAKFRTVVGIARGLCFLHHECYPAIPHGDLKSTYIVFDENMEPHLAEFGFKHVIQLSKDSSPTTIKQETEYNEAIKEELRNDVYNFGKMILEILTGKRLTSAAASIDNKSQEILLREVCNGNEVASASTIQEIKMVLEVSMICTKSRSSDRPSMEDALKLLSGLKRSEDDKTSK >RHN64641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60669239:60672817:-1 gene:gene27475 transcript:rna27475 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVETGAEAEKKEEASEELKRHEKDLHEEDDSVKRREAATTVRMLAKENFGLKVLFVYEDVDVEGHVIG >RHN71377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58593453:58596730:-1 gene:gene20054 transcript:rna20054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VI family MLSVFRVQELCSKGGIIEDPRPSLISTVVQSASGTDHSENEDEKSKSQEGNRKWEEETFKGEMKVSSRLSTSQKLGWPLLRRMHTEISRDMSVVQWVMNLPDRSTHKYNNNRHSSSQIEGQSYKNVISFSSCKWFIFEVLNSCTCQFSSENVIGIGGSNRVYRGTLPDGKPVAIKVMQSSKEAFKDFALEVEIMSSLNHPRIAPLLGICIRDETLISVYDYFPQGTLDQNLRGKNKDESMLTWEDRFKVAVGIGEALNYLHKHNQTSKPIIHRDVKSSNILLSEGFEPHLSDFGLAMWGPTTSSFVIQDDVVGTFGYLAPEYFMYGKVSDKIDVYAFGVVLLELISGREPIDSETCEGHEISLVTWAKPILESGDVKSLLDPKLQGKFDVAQMHRMVLAASLCITRAARLRPNMNQILKILNGCDEKVENMFKSEESDHDHSENLDDEVYPNSSAELHLSLALLDVDNDTASSYSSISINEYLKEHWSRSSSFN >RHN74214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30276229:30278020:-1 gene:gene10237 transcript:rna10237 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MKFSTAIIVSFLFLAEFCAVQSLVLDISKFGGAPNSDITLALGNAWKEACASTTASKIVIPGGTYKMGGIELKGPCKAPIEVQVDGTIQAPADPSQIKAADQWVKFLYMEHLTLSGKGVFDGQGATAYKQAQPAAAWSGKKSNVKILMNLGFMFVNNSMVTGVTSKDSKNFHVMVLGCNNFTFDGFTVTAPSDSPNTDGIHMGRSTDVKILNTNIGTGDDCVSLGDGSRKITVQGVKCGPGHGISVGSLGRYTTEDNVEGVTVKNCTLTATQNGVRIKTWPDAPGTITVSDIHFEDITMNNVTNPIIIDQEYCPWNACNKKVPSKVKLSKISFKNIQGTSGAPEGVVLVCSSAVPCDGVELNNVDVKFNGKPAIAKCSNVKPTVTGTAPACQAPGAVPAAGRKSPAK >RHN46724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34351211:34353501:-1 gene:gene41246 transcript:rna41246 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMFYLAVDLLVLESDQMETMALLRFNPPFGQSHALSFPLPLFPQPQFHNCSIYLTKPTHLPQRLKLSFAGGDGGGGVGWGGGGGGDGSSGGDDGKSGDSSFGILGLFLNGWRSRVAADPQFPFKVLSEELVGVSSAVLGDMATRPNFGLNELDFVFSTLVVGAILNFTLMYLLAPTLGSATAKVPAIFASCPKSHMFEPGAYSLLDRFGTLVYKGTIFAVVGLGAGLVGTALSNGLVSMRKKMDPNFESPNKPPPTLLNGLTWAAHMGFSSNLRYQTLNGVEFMLEKVLNPLVFKTSVVVLRCGNNVLGGMTFVMLARLTGSQNAGEKKPSQLEVGLGEKVER >RHN69534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44194050:44194428:-1 gene:gene18014 transcript:rna18014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MMSSVNIVAADHSNAIWNNEVPLNVSLFAWRLLRNRLPTTNNLIRRHILHHNAQLCVGGYDVMEDIDHLFLS >RHN48189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45675034:45676342:-1 gene:gene42877 transcript:rna42877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAQSSSHYALLIFVIVVLSILVTTFIFITYLIFVTKCCLNWHPLRWISILPPPQNEEPFIAFSPRIWTRGVDESVIQGIPTFQFTKGEGDDDNHQSVKGCVVCLNSFQEHDMLKVLPNCSHHFHLDCINIWLQTNANCPLCRTSISGNTQFPMNRIIAAPSSSPQDSQLLSNMGSDEDFVVIELWGDGENRGSRSQVQQDRNESRERLETLSRTHSTTRKTEEKKKAVQLKPWKCHHGTIMDDECIDVRKKDDQFSIQPIRRSFSLDSASDRKAYVDVQHIIQQNNRHQNEDCGINSEGCNYEDCNSSSNRGRRSFFPFRYGRV >RHN47912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43515507:43521658:-1 gene:gene42566 transcript:rna42566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exo-poly-alpha-galacturonosidase MYLCMLNSQSPEMEKPPLLFNFKIILLLLTQSLLTTSSHSLNPTTVTFSVTEYGAIGDGIHYDTVSIQTAIDSCPYSIPCRITFPSPGNYLTATIFLRSGVVLNVEPGATILGGPRQKDYPKESSRWYVVLAENATDVGIAGGGVVDGQAEKFVVRYNERKNVMVSWNQTGDCLGDECRPRLIGFLGCKNVKVFNVTLNQPAYWCLHLVRSENITIQDIAIYGDLNIPNNDGIDIEDSNNTVITRCHIDTGDDAICPKSSTGPVHNLTVTNSWIRSKSSAIKFGSASWFEFKHFVFDNITIVDSHRGLAFQIRDGGNVSDIVFSNIKISTRYYDPLWWGRAEPIYVTTCPRDSTSKEASISNVHFINITANSENGIFLSGSKRGLLRNLSFINMNITYRRFTSYAGGFFDYRPGCQELVKHKTAGIMMEHIEGLEVRNVEMRWEKNDLEQWNNPMEFKPSTVNNIHFSNFNSVVYSNSKSSQ >RHN72674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9637531:9640266:1 gene:gene8420 transcript:rna8420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MVISVIAHSHVCILPFHVACCQGAGEAKWALYHCNYCKKNITGVIRIKCAVCPDFDLCVECFSVGAELTPHKSNHDYRVMNKLSFPLVSPDWNADEEMLILEGIEMYGLENWAEVAEHVGTKNKEACMEHYMKFYLNSPVFPLPDMSHAVGRSREELLATAKGSDSGPSVNTHAAAGANKKASNKGRGKASLREGVHFIFARKSQLTLHYILYSSLVLLSLTDYPKDGDFGGNKPNSSRNEGRTLVEASGYNPKRQEFDPEYDDDAEKLLADMEFNDNDTEEEIEIKLRVISVYNKRLDERERRKKFILERNLLHENPFEKDLTPEEKAICRKYDVFMRLHTKEKHDELLRTVISEHRYLKKILETKEAIAAGCRTSAEADIYLANKRRSEVEGSARGVRENTHAVPNNHGVPNALMSPDSAGTRPARPATSSAVNATKHSAVKRLCCELRLSPTVYLKMQEDMSVQMIAGNISSKSDGRQMFKNMDTMKIDRVYDMLIKKGIGSP >RHN38628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:806693:816196:-1 gene:gene44586 transcript:rna44586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane transport protein MEFLKLFIVALMPVLKVLLITVLGTFLALDRFDILSRETARKNLNTIVFFVFAPALVCGSLAETVTSKSIVTLWFMPLNVLLTFIIGAALGWLVVKLMRVPHHLQGLVLGCCAAGNLGNLPLIIVPAVCKQTGNPFGDADICHRNGLAYASLSMAIGTIYIWSIVYNIVRIYSSKISNEVKVDNSMFSSNSTLENDPENISNDRSHNDHVKQFEIECTNSNGHVKVSKKEKIMKQVKVLAEKMKLKALFAPATIGALVGLLIGVVPIFRKMLIVNNAPLGVIQDSLVMVGDAGIPAMTLLVGANLIKGLKGLGKQVPIVVGIIVVRFIILPVIGIGIVKGAIHFGLIHPDPLYQFLLLLQFALPPAVALSTITQLFGVSEGECSVIMLATYSCAAFSLTLWSTFFMWLVL >RHN78001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11164438:11166435:1 gene:gene1515 transcript:rna1515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MAQICVLEASVQLKSCPQKFFNFLKSQSQHIPNKAQSENVHGVEIHKGDWKTPGSVKIWKYSIEGKEETFNERIEVDEVNKTITYVAVGGNVLELYKNYKAIVNVENGNLKLRIEYEKLNDNTPPPKKYQQFIVNIVRDLDGNLVKG >RHN42174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36094827:36097861:-1 gene:gene48584 transcript:rna48584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MSSAEKPSSKGQAWFCTTGLPSDIVVEVDDMTFHLHKFPLMSKSRKLHNLITQQEEAEAITHSTVPEEEEDEDEIVEEQCHVTFTDFPGGSEAFEMAAKFCYGVKMELSPSNVASLRCAGEFLEMTEEYSEDNLISKTDKFLSQNVLKSLGNSIKTLKSCEKLFPMAEDLGITERCIESVISRASSADPALFGWPVSEAAASASKQILWNGIDAAGRRNGAGAGDSWFEDLALLRVPLFKRLILAMKDAELNPEIIETCVMYYAKKYIPGVSRSSRKPLPSSSSVSSEAEQKEILETVVMNLPPEKNLNSSTATRFLFGLLRTANILNASESCRNALEKKIGLQLEEATLDDLLVPSYSYLNETLYDVDCVERILSHFLNSFEARNSTAGVVTVAEVIEEGEAAESRSPAMMLVGKLIDGYLSEIASDANLKPERFYNFAISLPDQARLFDDGLYRAVDVYLKAHPWVSEAEREKICGLLDCQKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRHAIAETLISAETGAEISRHSATLEGVEEGNGEVLRLGLGLESEHVQQEGNSTWRVAVRENQVLRLDMDSMRTRVHQLERECSSMKRVIEKIEKPTAANGGGWRTSLGKKLGCKFKTQVCDSHQSAVVDMRKGKHRSSQQHHAHHE >RHN64345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58369859:58371327:1 gene:gene27153 transcript:rna27153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MEHQSPVALVVGVTGMVGLSLAEALKQPDCLGGPWKVYGGARHSPDEWFPSSILDGFITFDAVNSADTHAKLLPIANEVTHIFWVTFQFVEDEEVNITVNKSMLHNVVTVLKSSPSSPLTHITVQTGTKHYMGPIYDPVRSNKLICHEPPFNENMPRLPYPNFYYTLEDLVASYTPSITYSIHRSSLIIGASSRSAINAMMMLATYAAICRHVGLPFRYPGNRYTWEHFCDMSDAGVLAKQHVWAGVTKKAKNQAFNCTNGDIFTWKSMWMLLSEVFDVEFVELDDKEEFDIIELMRDKGEVWDLIVEKYGLHKTKLKEIACFEAMVPVVRFEFQHVSSMNKSKDYGFLEYADTFKSIKLWVAKLREMKLIPSYQQ >RHN80814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41014720:41016581:1 gene:gene4781 transcript:rna4781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MADSDAQIARRSAIIGVSTLLLVAMIIVVMVGARNKYSFKDDIEDNKKNHVASTMKAIRTICQPTDYKKECEESLRAEAEADNVTDPKELIKIAFNVTIKKIGEKLKETDMLCELEKDPRSKDALDTCKQLMDLSIDEFTRSLDGIGKLNIQNIENILMNLKVWLNGAVTYMDTCLDGFENTTSEAGKKMKELLTSSMHMSSNALAIITDFADTISDMNVTKIVGRRLLQDYKTPSWVEHRKLLDAKTNAFKHTPNVTVALDGSGDFKSINEALKKVPHEESKTPFVIYIKAGVYREYVEVLTNMTHIVFVGDGGKKSIITGNKNFMDGVTTYHTATVAIQGDHFTAINMGFENSAGPQKHQAVALRVQGDKAIFYNCSMDGYQDTLYVHAMRQFYRDCTISGTIDFVFGNAESVFQNCKFVVRKPMSDQQCIVTAQGRKERTAPSAIVIEGGSIVADPEFYPVRFDHKSYLARPWKNFSRTIIMDTFIDDLIHPDGFLPWHTEEGPINMDTCYYAEYHNYGPGSDKSKRVKWAGIYNINTKAAQKFAPSKFFHGGDWIKDTGIPYYPNIPEHKKHEKTVPKW >RHN73064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13164581:13166362:-1 gene:gene8842 transcript:rna8842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MMENLWEFGEFSFDTTKNVKFSVAEDLGDCNGIESLCSNFGFFEDDPSQEEELLLSTNQQKYHHQPYLDYEAFDNFNIDMVHFDEQQCPTRILPFCDRKKDNQYYQPSPLTPVEILKNYGKGFKRLSPDEGKILHPVNDFDLVTDNQNESKLSTGDIMKIAGTRFIQSSSSSESISGLILNHPFGFSFSGLSDEEKEDVSLAESLLACAEKVGYQQFQRARNFLPHISSLSSKTGNPVKRVVHYFAEALFQRIDKETGRVSSNNTQKIETLFDPEEVSKDLNPTLIAFFEELPFVKVSMFTCVQALIENLKDAKKIHVIDLEIRKGLHWTILMQALQSRTECPLELLKITAIATGNTYTSKLIVEDTGKKLEDFAQSLNIPFLFDTIIVSNLSHLREDLFKKDSEETVAVYSQFALRSNIQQSDQLETVMKVVRTINPIVMVVAETEANHNSKSFVNRFIEALFYFSALFDCLEDCMKGDEKNRMIIESLYFSYGIRNILAEGVERKSTDVKIDVWRAFFARFGMVETKLSMKSLYQAELVAKRFPCGNSCTFDMNGHCLLVGWKGTPINSVSVWKFI >RHN70761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53812282:53814654:-1 gene:gene19376 transcript:rna19376 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFVGPTFTIDVPSSPPPSNQSDGRHLFFTGGVSSEPESSSSIGTPDDSDNDEEVQSKLNLKGRNGLGSLDSLEDSLPIKRGLSSHFEGKSKSFTDLSQVSNLNELQKQESPFNKRRRVLIASKFSRKSSFYSWSNPQSMPLLPVNEDRDDYDDVYYDYEDEEKARKMPSGSSSSSSSNSLADDKKQEDQVQIGHGRMHESYAAEMRLRLRSFKSRSYSLADLQEHDDEEDDD >RHN80399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37708248:37710618:-1 gene:gene4324 transcript:rna4324 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYRVDNSWIVLRDKLHPSEGTSVKSAENVPSTSLVTKIRKNSQSSSKSDAKKAKTAFTKVYKYVRRGKKIQEEPKEMQEELPITPQEPKVPASELADVADVKKDVKQHSGIGISDEGSTILSARRAKIAARKKFIRTELAPTSQPDKVTVDEKKDDKRPRLETSTETPKIRFKQNSSKPESSSQKIVFRNISRDTESRKGKAAVCEPLNLSVETTNKNKSPSNSTVQENGDIPMLVDSSDNDSHHVSKLTEAEGDQIESIPPKSTSLKIKLKSVANQEKRVKFSEDLNLPALAENESKKDCNPIWFSLVASKEQDVGALPQISSPYIMVRNGSLPVSHIKKHLVQKLDLASEAEVEILLRGKPVLCSMQLQNLVEMWLETMPKNERIQTSVGSSAKDFVMVLSYGRKAP >RHN44302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3332886:3339214:-1 gene:gene38405 transcript:rna38405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLFRLFNFPSLILLPLFKNSFSKSKTIHKTLRFSSSTALALSSSETHFTKPSKISSFEPNTFKILQKLYLYQNNPSLAYSYFTQLKNQHGFSHNIQTYTSIIRILCYYNLDRKLDSLFLDIIDHSKQDPCFEINVLFDSLFEGVNDVNEDHYLFNAFNGFVKACVSQNMFVEAIDFLLQTRKNVVILPNILSFNFLINRLVKHDEVDMALCLFVRFKSFGLIFNEYTYTIVIKALCKKGDWENVVRVFDEMKEAGVDDDSYCYATFIEGLCKNNRSDLGYAVLQDYRTRNAHVHKYAYTAVIRGFCNETKLDEAESVFLEMEKQGLVPDVYVYCALVHGYCNSRNFDKALAVYKSMISRGIKTNCVIFSCILHCLDEMGRALEVVDMFEEFKESGLFIDRKAYNILFDALCKLGKVDDAVGMLDELKSMQLDVDMKHYTTLINGYFLQGKPIEAQSLFKEMEERGFKPDVVAYNVLAAGFFRNRTDFEAMDLLNYMESQGVEPNSTTHKIIIEGLCSAGKVEEAEEFFNWLKGESVEISVEIYTALVNGYCEAALIEKSHELKEAFILLRTMLEMNMKPSKVMYSKIFTALCCNGNMEGAHTLFNLFIHTGFTPDAVTYTIMINGYCKTNCLPEAHELFKDMKERGITPDAVTYTIMINGYCKMNCLREAHELFKDMKERGIKPDVIAYTVIIKGLLNSGHTEIAFQLYNEMIDMGMTPGATLKRCIQKANKRQFHRS >RHN62733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45511501:45515836:1 gene:gene25335 transcript:rna25335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MAYVENRTPNVSVFLCFFILFATILLSCGRVSSQTSAVFACDVAKNPALANYGFCNKKLSVDARVKDLVRRLTLQEKVGNLVNSAVDVSRLGIPKYEWWSEALHGVSNIGPGTHFSNVIPGSTSFPMPILIAASFNASLFQTIGKVVSTEARAMHNVGLAGLTYWSPNINIFRDPRWGRGQETPGEDPLLASKYAAGYVKGLQQTDDGDSNKLKVAACCKHYTAYDVDDWKGVQRYTFNAVVTQQDLDDTYQPPFKSCVIDGNVASVMCSYNQVNGKPTCADPDLLKGVIRGKWKLNGYIVSDCDSVDVLFKNQHYTKTPEEAAAKSILAGLDLNCGSFLGRYTEGAVKQGLIGEASINNAVYNNFATLMRLGFFDGDPSKQAYGNLGPKDVCTSANQELAREAARQGIVLLKNCAGSLPLNAKAIKSLAVIGPNANATRAMIGNYEGIPCKYTSPLQGLTALVPTSFAAGCPDVQCTNAALDDAKKIAASADATVIVVGANLAIEAESHDRVNILLPGQQQQLVTEVANVAKGPVILAIMSGGGMDVSFAKTNKKITSILWVGYPGEAGGAAIADVIFGYHNPSGRLPMTWYPQSYVDKVPMTNMNMRPDPATGYPGRTYRFYKGETVFSFGDGISYSTFEHKLVKAPQLVSVPLAEDHVCRSSKCKSLDVVGEHCQNLVFDIHLRIKNKGKMSSSQTVFLFSTPPAVHNAPQKHLLAFEKVHFTGKSEALVSFKVDVCKDLSVVDELGNRKVALGKHMLHVGDLKHPLSVMI >RHN63278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50030596:50031669:-1 gene:gene25960 transcript:rna25960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor EFG/EF2, archaeal MSNGVLAGFPVVGVRAVLVDSTYHDVDSSVKAFQLAASLAFMEGMRKAKPLMLEPTMKLEVVTPEEHYEDVLGDLSRRRGQIISVGEKPDKLYLCAEMFLYVGTLRVLTEGRASYSMQFDRFDTVSRNIQNELTTNY >RHN61541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36262388:36264103:-1 gene:gene24014 transcript:rna24014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MVSKTRTYAINIAIIVLLFTVKGVLSDDTIPIPAEKTQLDSWFNSNVGPLEQRKSALDPALVTAEAGAKVIKVMQDGSGDFKTITDAINSIPTGNTKRVIVSIGGGNYNEKIKIERTKPFVTLYGTPANMPNLTYGGTAKQYGTVDSATLIVESDYFVAANMLISNSAPRPDGKSAGAQAVALRISGDKAAFYNCKFLGFQDTICDDRHNHFFKDCLIQGTVDFIFGSGTSLYFKSELRALGDAGPTVIVAQARKSVSDADLYSFVHCDVTGTGSTTFLARAWMTYPKIIFAYSTMSNVVDPKGWDNTMHPEFDKTSYFGEYQNTGPKSDPKGRATVGKQLSAAEVKPFITLGMIQGSKWLLPPPKV >RHN80105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35136283:35137689:-1 gene:gene3988 transcript:rna3988 gene_biotype:protein_coding transcript_biotype:protein_coding MHIHDDSYRGKFHISNRHGIERTYDGHVIERTYDGFQAHLSTCHAVEVINFVSSFPEIITLDELPRSSIWPSQFRAQVTKEDIGLYFFAKDVNRFGVFQDRKEKKVDSSVKRMLEMKEKELWLQLQQKLFDEKKMYFELEMEQKRKSLIKKFRRQEEALEYREAEVNLRETKVVEKEQVVRMISERIKVQKQGTCNMLKSLKEMEMTQKMTSERIKVQNKGLENNLKSLKELKKTKKIEEKELEKEKESMLADRESLENSNVIVSSSNCSARPVSRLHNCTSKLYSLSKETNSVGTSYMAETSLESDVNIEKVKESASSPNIEGPLITLQERQIDDFTLLIQHTPMR >RHN57861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42213997:42218113:-1 gene:gene33450 transcript:rna33450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MDDVPVSEPTLDPPPEIENGGGEQQEERLSKKAKVEENENSEAELKRVAEIVLVLSTMATMRSGKKPTDVEVELMREARTKLAVLCQGIAPKDIVGGEAIGSVIDDLGLNAKVVDQRLGFRVPKMSIAEKYLFAKSKMEESKKCAAPSTTYTSQPFQTNTGGMVDNRVPTTAVRMFASDKSNHTSMPSTVSMASMPPHLAAGSSAPLQYQSTSNEVRPPIVSGVMPSSHMGRNPSSVAMPRVENPQFKVTAGLSGAPYVLQVQANSLANQSSVNAPSWSIQTQPVSLGRNVSENKAPAHNSVKVEGTADATVSRAGPQVTAAQNIRPFITQTGPGNMSAMHQPLQGGNMVRPPLIPSHSDIAKVVQKLLIPKLPDHPTWTPPSRDYMSKNFTCQTCELTVSEVDSVLLCDACEKGFHLKCLQPSVIRGIHNRVDWHCMKCLGLSGGKPLPPKYGRVMRSSITSPSFPSNSAGIQPSSEKKPDNLDPKVSPQMLTTNGNSVPTDSSTNHNTEPSFDSNTPDTRDIQGSNISSSIETIDEKPDPNICVKSAAYSASTGVQGEGYAEQIDSKALTCKDTSESETLPNISELAKSGNLQSSPGSQVENAVSQDNAEISSDRHDSSSFIISNQKESHEGESTTYDIKRDDLDAAQPNSVRGSGTNTEGIQHCALSSDSSHAVEWIGDVVQLVDEKKHYQSCCIDGVTYRLQGHAFFTSSHGKLTPSKLQASKLSCILL >RHN73504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17200364:17200606:-1 gene:gene9323 transcript:rna9323 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNRELYKKNCRLMKENEKLRKKVELLSEEKQTLLSQLKQQISNGASNSKTDAPNNMIDLNLGLSLVSGSNQNPSSNN >RHN48663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49329608:49331298:1 gene:gene43404 transcript:rna43404 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNTELYYQNCHIMKENERLRKKAQILNQENQALLSQLKQKLSNGAGNSKTNAPNNMLDLNLGSGSSQNASNSSN >RHN57265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37691183:37692305:-1 gene:gene32787 transcript:rna32787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine rich protein MDSKKAILILGLLAMVLLISSEVSARDLTETSSDAKKEVVEKTNEVNDAKYGGGGNYHNGGGHYYGGGSHHGGGGSHHGGGGCHYYCHGHCCSYAEFVAVQTEEKTNEVNDAKYGGGGYHNGGGNYHNGGGHYYGGGSHGGGGSHHGGGGCHYYCHGHCCSHAEFVAVQAEDKTQN >RHN65053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63876137:63877246:1 gene:gene27946 transcript:rna27946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MQFFLIAMGVSFLYYALPGYLFTILTFFSWIISQHNKVATFTLDCAWISAYHGSPLVTPWSSTVNVWFPILSNQLFTSSGQKVDTTKILTKEYVLNIDACIAYNKYGKLYLSPDFALSIRSGFTRFKVTLTHVALFHGCNGFVWKTYVQLLLWGMLFAFGFAFVVTLPIGQPGYDIVAQLVIGYLLPGNPIANLLFQIYGRINTVHTLSFLSDLKLRHYMKILLNACTHQRSSQLKISHWWEL >RHN82163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51619240:51622086:-1 gene:gene6289 transcript:rna6289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MDDGRYDDDDDGRVKRTGTWITASAHIVTTIIGSGVLSLAWAVAQLGWIAGTITLIIFSAITLLTSCLMADCYRYPDPIHGIRNHTYMEMVKNILGGVQYKFCGLAQYTNLIGITIGYTLTGSISMVAIKKSNCFHKYGHEADCKISNYQFMAIFGVTEILLSQIPDFHELSWLSILAAVMSFGYSSIGVGLSIAKIAGHHVKTSLTGLVVGVDVTISEKLWNTFQAIGNIAFAYSFSTVIAEIQASIKFKRTKDTLKSSPPENQVMKKSSLIGITISTIFYSLCGLLGYGAFGNKAPGNFLTGFGFYEPYWLVDIGNLFIIIHLVGAYQVFAQPIFSIVESWVSKRWPQSKLITQEYDVRIPLVGTWRMNMFRVIWRTLYVIITTLIAMIFPFFNNIVGLLGAMSFFPLTVYFPIEMYLKQARVPKYSCIWIRMKLLSGFCLIVTLVGVVASIQGIIVGLKTYKPFKSN >RHN57518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39723891:39727468:1 gene:gene33071 transcript:rna33071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MQADEDIGKIALAVPLLVSKALELFLQDLCDRTYEITLGRGAKTVNAFHLKQCIQTCNVFDFLKDTVSKVPDLGGSAATGDDQTVTKRRKVSEDDDDDDSDEEIKRSKMREPAHTSGRGRGRGRGRGRGRGSKTVDQEINSHVKFEDDCEASKQNGNHTQNNESPEIVRESDEVKLSSPVREPEEVKHCSPVRKPAEVVVRNFDLNLNPDPDDDMDVISTPTPIPTGSSSKSVSEEKMKSISEEKIEEYPGWSLSDMEKMAIDPLQLANLSKRIDEDIEDYDEEV >RHN43769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48359113:48365659:1 gene:gene50391 transcript:rna50391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynein ATPase MFKNPHNIANNTTLTMERAEEELEKRSKFLKSLIKNKKTIEQQEQHQHFNNNNVKIRACDMPLTLQNHAFRCARHLLDSMPPKKLHTKRLALTLKKEFDSTYGPAWHCIVGTSFGSYVTHSVGGFLYFSIDKVHILLFKTTVEPLDHS >RHN52054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31071827:31072052:1 gene:gene36671 transcript:rna36671 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTSIAHLRQPLHGVVRRPPPSHMKSGLTTFAFAPLNSKLFHFPLFIQFYLRCS >RHN82394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53398915:53399685:1 gene:gene6557 transcript:rna6557 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVNEFMFILFSHLMMMLLVLVGGDESKHQAECPPSFSCGYLGNISFPFTTTERQDCGLLPIPNCDGDPMKPKMIKYHNKGKWFEFEVAAVYPSELHSGSTTSTCVFRDNNLYKLLQNKSCEAFRYNYTPPPTYHFVSFRIVLYTTLFMCNRTLHINPPTYMHNYTCPHYDLYYQPHSHADNTSQSAFTACTNVTLPTKDFADANNPSTFITADILTEVKITEECAHCHYKQRGQCTLDNNERFYCANGILIQST >RHN48481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47812487:47813769:1 gene:gene43201 transcript:rna43201 gene_biotype:protein_coding transcript_biotype:protein_coding MISASNRGTYSYPNDLTHATKKIKEKMLERTRGCGCQSGPSSSGKDKLDALGRLLTRIKA >RHN51852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26747947:26750209:-1 gene:gene36397 transcript:rna36397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MCGHKKQQSVLQEWVIWFEGFIGAWATLIYEHPRLLRLSLKNRYGEEVDYPDCTPPIISKLDRGLCCGGKLTFCEAYIVELSAADITSGFLVHISSVVLPWVGFCQRSLPSEGIEIKVIDYLGFAWKCFFQIVEEDNGLVCKIGGAWGAICKARRFAEKDPLKLSVTEESDNKVVYLRYLPIPCRHKDIIRPSDRVVCFDVAPGFPQEGCCVFYRRVHVVDACVKARMPSCFAKECGLHIDEYVMLHDPNKNMFEVRVHKKRGKVYLRDGWAVLKDVYKIGSRAWVTLTYLESNLMHMIIKDKSGLEVDYPNNGLPPILKRLIPSEGRMVLQFYRTTVHLLTASDVNSGYLVFLGLVSARMQCLRLRLGYLLLITMVMFGSVRWSS >RHN67250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25171367:25172026:1 gene:gene15421 transcript:rna15421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAEMAVSLVVDQLVPLLREEAKLLRGVHNEFAEIKDELESIQAFLKDADKRAAGTEGDTTSERVKIWVKQLRVAAFRIEDIIDDYLIQVGQRPRYPGCIALLLKLKTMIPRRRIASEIQDVKSYVRGIKERSGTYGFQRSFEQGSSSSRGSQNAKWHDPRQAALYIDEAEVVGFEKQKDMLIDWMVKGREERTVVSVVGMGGQGKTTLAKKVFDSKDVI >RHN46594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33027290:33030939:-1 gene:gene41097 transcript:rna41097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MTMDDHQYHNWETSELNTNNNHNHNHNNQVGPINGAPKFKSVQPPSLPLSSSPSFHLPFSSTFSPTDFLISPFFLSSPNVFASPTTEAFANQSFNWNKNSLGEEEQQGDKKDEKNLSDFSFPTQTKPESVFQSSTNMFQQEQTKKQDIWKFNEPKKQTDFSSERTASKSEFQSTQSYSSEIVPIKPEIHSNSVTGSGYYNYNNNASQFVREQKRSEDGYNWRKYGQKQVKGSENPRSYYKCTNPNCSMKKKVERDLDGQITEIVYKGTHNHPKPQSNRRTNSQPTSSCTNSGISDQSAMDHVSIQEDSSASVGEEEFEQTSQTSYSGGNDNALVPEAKRWKGDNENEGYCASASRTVKEPRVVVQTTSEIDILDDGFRWRKYGQKVVKGNPNARSYYKCTAPGCNVRKHVERAAHDIKAVITTYEGKHNHDVPAARGSAGYNLNRNSLTNSNIPAPIRPSAVNCYSNSSSFTNSLYNNTGLPANGNQESFPQDILQGHGNFGYSSLGISMDSSVNHSQYSDAAYLKAKDERKDDSFLQSFLSNDF >RHN43163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43667072:43670808:1 gene:gene49697 transcript:rna49697 gene_biotype:protein_coding transcript_biotype:protein_coding MILRILFEFYCGHTMETCFKKHDFTLRSKPKGKYFSCEDCGKKMDSGNHSEKEIPVAGKGKEERAERASLVMNAGS >RHN82008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50544494:50546305:1 gene:gene6124 transcript:rna6124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ERG2/sigma1 receptor MRFHLQSKSNSIEEQEEEENNHENSSHYYPGCKKNANCNCEYCIASINATLDLIPNSSLTKFSSSKPNNVNNNITPISIDSSIFSTPENSSDGVIAPSTPVIKSSAKSNHVVQRIERKKKGEKRCFYSGLGLLKMMMVLGVLLFADVVLSMVVSVVFQPSLSEDIVKRVGEKCHKVQDLNGKLKFLQKELGGIVNGKVSNCSFIDKSWKINQDGLLLNSRCKLYKTAIEEVSIWGWPLQTAGMITTGMSIRTVTILSGRVTEWNGGQVSYVIRKANTSWIQPKWGASVVQLDPNTWVLEYQRSSMIDCKGLFSTALEFIKYRISRIVSKMKKDFWQFVAFEDNHQYNWFTTTNYGSKTPT >RHN58658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3726395:3726802:1 gene:gene20589 transcript:rna20589 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWRALRDQPRYGSQVGGNVDSGSSGSKRSYEDSVGSSARPMGRDAAKKKGKKKSKGETLEKVEKEWVQFKELKEQEIEQLKELTLVKQQKNKLLQEKTQAKKMKMYLKLKDEEHLDDRKNELLGKLEHELFEN >RHN72680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9687331:9688076:-1 gene:gene8426 transcript:rna8426 gene_biotype:protein_coding transcript_biotype:protein_coding MGQANFEKPIRTSIPKHRISSTYNYTCLHLDRNHHILFLSSPFFPFFLFLFLPLLISLCSKITIQDTMVLSQKLLKYRYQITIAILISLVFSLLIYGAPHFLTMLAYFWPLFASTTVFLVAIIAFGGVSKFSTESHGEKAGEGLLDYVAGKPEHTQESQYNF >RHN69445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43465258:43469612:1 gene:gene17920 transcript:rna17920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase MAKNVSIFGLLFSLLALVPSQIFAEESSTDAKEFVLTLDNTNFHDTVKKHDFIVVEFYAPWCGHCKKLAPEYEKAASILSTHEPPVVLAKVDANEEHNKDLASENDVKGFPTIKIFRNGGKNIQEYKGPREADGIVEYLKKQSGPASTEIKSADDATAFVGDNKVVIVGVFPKFSGEEYDNFIALAEKLRSDYDFGHTLNAKHLPKGDSSVSGPVVRLFKPFDELSVDSKDFNVEALEKFIEESSIPIVTVFNNEPSNHPFVVKFFNTPNAKAMLFINFTAEGAESFKSKYHEIAEQYKQQGVSFLVGDVESSQGAFQYFGLKEDQVPLIIIQHNDGKKFFKPNLELDQLPTWLKAYKDGKVEPFVKSEPIPETNNEPVKVVVGQTLEDIVFKSGKNVLIEFYAPWCGHCKQLAPILDEVAVSFQSDADVVIAKLDATANDIPTDTFEVQGYPTLYFRSASGKLSQYDGGRTKEDIIEFIEKNKDKTGAAHQEVEQPKAAAAQPEAEQAKDEL >RHN65910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6393396:6393899:-1 gene:gene13805 transcript:rna13805 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSGSRRFESMADPLVDSNRPPHRVPVGPVQPASPIRFSKL >RHN54026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6321330:6330977:1 gene:gene29001 transcript:rna29001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zeaxanthin epoxidase MVSTLSHKCLSPSMTTFSRTHFSNPFVSYGNRTTKQRRKLMQVKATVMHEAPFSVSKSTHSVAEIDMDQTPQKKQLKVLVAGGGIGGLVFALAAKRKGFEVVVFEKDLSAIRGEGQYRGPIQIQSNALAALEAIDMNVADEVMRVGCITGDRINGLVDGVSGSWYIKFDTFTPAAERGLPVTRVISRMALQEILARAVGDDVIMNGSNVVDFIDHETKVTVVLDNGQKYDGDLLVGADGIWSKVRTKLFGSTEATYSGYTCYTGIADFVPPDIESVGYRVFLGHKQYFVSSDVGAGKMQWYAFHQEPAGGVDTPNGKKERLLKIFEGWCDNAIDLIVATEEEAILRRDIYDRTPTLTWGKGRVTLLGDSVHAMQPNMGQGGCMAIEDGYQLAFELDNAWQQSAKSGSTIDIASSLKSYERERRLRVTFVHGMARMAALMASTYKAYLGVGLGPFEFLTKFRIPHPGRVGGRFFIQKSMPLMLNWVLGGNSSKLEGRPLCCRLSDKASDQLHTWFEDDDALERTINGEWILLPCGDVPGHVKPISLNQDDTKPYIIGNTSAMSIEQEDYPGSLITIPLPQVSQLHARINFKDGAFFLTDLRSQHGTWITDNEGRRYMVSPNYPARIRPSHVIEFGCNQASYRVKVTRSAPRVAQKEGAQILQKV >RHN52761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38543391:38557071:-1 gene:gene37465 transcript:rna37465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MASNINGLVFVLLAVANLLHGSVAKTFTVGDGLGWTIPSVGSQFYVNWATNKTFTSRDTLVFNYQLNAHAVAWVTKSDFDSCNGTNALAVMTKPPAKVFFNLSTVGQQYFICTFPGHCSAGQKLSIFVDEVYVLPTSSPTAPVPSPVQEPAPTATTSPAPATVCSNGTRCDHKANNSRSITLSPTRSPSPTGNHSNWKRKVIIGVVTAVMAVLLLCTIIYCLRSKLLIRQGKLQFRTKNDTNIEAFLKDHGALLQKRYKYSEIKKMTDSFKVKLGQGGFGVVYKGKLFNGCHVAIKILNSSKGNGEEFINEVSSITRTSHVNVVTLLGFCFEGTKKALIYEFMSNGSLDKFIYNKGPETIASLSWENLYQIAKGIARGLEYLHRGCTTRILHFDIKPHNILLDENLCPKISDFGLAKLCPKQESIISMSDQRGTMGYVAPEVWNRHFGGVSHKSDVYSYGMMLLEMVGGRKNIIADASHTSEIYFPHWVYNRLELGTNLRPDGVMDTEEDEIARRMTIVGLWCIQTFPSDRPTMSKVIEMLEVNMNSLEIPPKPLLSSPTRSISESSKS >RHN46741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34488478:34488840:1 gene:gene41263 transcript:rna41263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKNNNSANMYSNDDLFNEILVRIFTMLSVVDLAVASMVCKSWNVASRGPTLWKKLDINKLNSRGLNVPLRPYAWRDEHSSQKMTQFLKYASSLSGGNISCVIFNCYVYLSDVHLTSIAER >RHN50330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6241700:6242382:-1 gene:gene34603 transcript:rna34603 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNQASYNAGQAKGQTQEKASNMMDKASNAAQSAKESIQETGQQMQAKAQGATDSAKNSTNKN >RHN74819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36898767:36904254:-1 gene:gene10939 transcript:rna10939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MVNLLHGLFLFSLSMHCFVACLASETSNHELSNQTFLSEEFHLLKKMITSRLQQINKPAVKTIQSPDGDIIDCVLSHKQPAFDHLLLKGQKLLDPQERPRGYNATDILSDNFQLWSLSGESCSEGTIPIRRTKKEDILRANSVNTFGRKLMQVGVETTKYKHVHSYGSVTGDRYYGAKATINLWSPHVEGEKEFSLSQIWLVTGRNANTIEAGWQVSHQIYGDYLPRFFVYWTADDYKQTGCYNLRCPGFVQTSKTFVLGGALSPVSTYNGRQRAITLLIYKDKKYGNWWLEFGSGNIIGYWPSSLFTSLKDSATKVEFGGEVYIRSTGTHSSTQMGSGHFADERSSKASYFKNMQVVNSDNKLIPLSNLNVYATESNCYNIIKGINKEGNYFYYGGPGRNRKCP >RHN79592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30379183:30379803:1 gene:gene3405 transcript:rna3405 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNMIVMPCAACALSVFIVSVVTAVIVFIIWIIVYMFAGSTMTTQPELTTSDRTSFKYITDMIFKLFYVLIVFVVVEITGFGDNIRGETSLSYENFVTSCSFHFSVRYNSRHIRKPTCSSTAKYGFDGHGMCRVCVLLVLLISTTAVVIVFIFWSVGILTVVVQRWTHIVQEMKAIRNVVENSKLTSFSQSIFKILWIDITQVSL >RHN47813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42720422:42723307:-1 gene:gene42457 transcript:rna42457 gene_biotype:protein_coding transcript_biotype:protein_coding MMQDKNGSTPVKDVSNDTNLSKIDDKDVLKHFTRGSEDVEETKPGDSKGGENRPKGTSLEESDVSGDVNMEASITPDDVIRAGGFGARDDISSFLPVASDSTDFEASIRDARDYEEPQGKVSRPGLGWTGATKGE >RHN43399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45465053:45468628:-1 gene:gene49967 transcript:rna49967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MATKESSSSAAAAAAAASASGDTKIKRVLTHGGKYAHYNVYGNLFEVSSKYVPPIRPIGRGAYGIVCAAVNSDTHEQVAIKKIGNAFDNIIDAKRTLREIKLLRHMDHPNIIAIKDIIRPPKKEAFNDVYIVYELMDTDLHHIIHSDQPLREEHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLVNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTSAIDVWSVGCIFGEIMTREPLFPGKDYVHQLRLITELIGSPDDASLGFLRSDNARRYFRQFQQYRKQKFSSRFPNMLPEALDLLEKMLIFDPNKRITVDEALCHPYLSSLHNTNEEPVCPRPFSFDFDQPTCTEDNIKEIIWKESVKFNPDPLCQ >RHN50668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9242816:9248527:-1 gene:gene34986 transcript:rna34986 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGRHLLNGGENRRYFGFESQPLIRGQMRYQIGNGHIGVTMKAKLYHLLELRNEKRSPWERRELYQIMTDGRRKI >RHN60910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31552407:31553305:1 gene:gene23305 transcript:rna23305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAPKGEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKEGGSAAGDKKKKRSKKNVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >RHN70212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49707080:49708231:1 gene:gene18769 transcript:rna18769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MGVKAFLEGGIASIVAGSTTHPLDLIKVRMQLQGEKNLPLRPAYAFHHSSHSPPIFHPKPSVSGPISVGIRIVQSEGITALFSGVSATVLRQTLYSTTRMGLYDVLKQNWTDPEIGTMPVTKKITAGLIAGGIGAAVGNPADVAMVRMQADGRLPVNQRRDYKGVFDAIRRMANQEGIGSLWRGSALTVNRAMIVTASQLASYDTFKEMILEKGWMKDGFGTHVVASFAAGFLAAVASNPIDVIKTRVMSMKVGSGGEGAPYKGALDCAVKTVRAEGVMALYKGFIPTISRQGPFTVVLFVTLEQLRKLLKDF >RHN51689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22867544:22868784:1 gene:gene36186 transcript:rna36186 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGEFWARIYELPLKLRSNEMAEKLGNLLGKFVEVDSKECNRLGSFLWVKATIDLRKPLKRGTVIKYQGKNIGWIESWSKRKGLGADWMRKYED >RHN50312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6068648:6072711:1 gene:gene34584 transcript:rna34584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MAVSGRGSRGGSTLRGFFSYRIFISAMFSLLFIATLSVLFTTNPSTENDDSDLPTTGNAYVHRTFLALKSDPLRTRVDLIHQQAKDHISLVNAYAAYARKLKLDISRQLKMFDELAGNFSDIALKPTYRASLFESDGPIDEDVLRQFEKEIKDRVKIARMMIVEAKENYDTQLKIQKLKDTIFAVNESLAKAKKNGALASLISAKSVPKSLHCLAMRLMGEKISNPEKYRDESPRLEFEDPSLYHYAIFSDNVIAVSVVVRSVVKNAVEPWKHVFHVVTNRMNVAAMKVWFKMRPVEGGAFLEIKSVDEFTFLNSSYVPVLRQVEAAKMQQHYIENQGDKATNDARDMKLRNAKYLSMLDYLQFYLPEMYPKLRNILLLDDDVVVQKDLTGLWKIDLDGKVNGAVEICFGSFHRYSQYVNFSHPLIKETFNPKACAWTYGMNIFDLDAWRREKCTEHYHYWQNKNEDQTIWKSGTLPPGLITFYSTTKSLDKSWHVLGLGYNPSISMDEINNAAVIHYNGNMKPWLDIALNQYKNLWTKYVDSDMEFVQMCNFGL >RHN74304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31674585:31678850:-1 gene:gene10342 transcript:rna10342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor/ chromatin remodeling BED-type(Zn) family MDMSDAVIVKSSRLKSVVWNDFDRIKKGDTCVAVCRHCKKKLSGSSTSGTSHLRNHLIRCQRRSNHGLAQYITAREKRKEGSLAITNFSLDQDPNKDDTVSLVNIKFEQAQLKDESVNTGNSNFDQRRSRFDLARMIILHGYPLAMVEHVGFRAFVKNLQPLFELVTLNRVEADCIEIYDKERKKMNEMLDKLPGKISISADVWTANGDAEYLCLTSNYIDESWELRRRILNFIRIDPSHTGDILSEAVMSCLMDWDIDRKLFSMILDGCSTCDNIATRIGERLMQNRFLYCNGQLFDIRCVANVLNVMSQLALGAVTEIVHKIRETTRYIKSSQTVLAKFNEMAKEVGIISQKCLFLDNPMQWNSTYSMLEAALEFKDVLILLQENDIAYNISLSEVEWERLAIVTSYLKLFVEVINIFTRSKYPTANIYFPELCDVKLHFIEWGKNSDPCISSLVVQLRSKFDEYWDKCSLGLAAAAMLDPRFKMKLVDYYYPQIYGSMSASRIEEVFEGVKALYNEHSIGSPLASHDQGLAWQVENGSSSLSLPWSAKDSRDRLMGFDKFLHETSQGEGAKSDLDKYLEEPLFPRNVDFNILNWWKVHTPRYPVLSMMARNVLGIPMSKVAPELAFNYSGRVLDRDWSSLNPATVQALVCSQDWIRSELEN >RHN63818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54224395:54225287:1 gene:gene26571 transcript:rna26571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oleosin MADHNQTSPTFLRKLQDNLHSPNSTQLAGLLTLLVTGSIFLLLTGLTVAATVVSLIFFSPLIIVSSPIWVPAGTFLFLLAAGFLSMCGFGVVAVAASSWFYRYFRGLHPPGSDRVDYARNRLYDTASHVKDYAREYGGYLQSKVKDAAPGA >RHN73800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19969970:19970146:-1 gene:gene9666 transcript:rna9666 gene_biotype:protein_coding transcript_biotype:protein_coding MITIPNKNSLSLTLFLYNTKPMKIITKFYSFCDFISSGILVFCSMQCLIYGNLFALTV >RHN82535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54573622:54573928:1 gene:gene6706 transcript:rna6706 gene_biotype:protein_coding transcript_biotype:protein_coding MSNENALENPIIESADSTKSDNRQGDNNQTVIETTPCSKLSGKRTVDIVGPKSLELNWGITQPTKHGK >RHN55635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20350579:20351211:1 gene:gene30843 transcript:rna30843 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKDNLVKNIPKRHLQGCSIVANIVADEDPIIRDIMRSKEVTTNVLVGDVINLEEELYSSTSVEAIQVQSTPVEGMTETQGVDQQSNKFPDMRIVGSWSDAVTDLDYNQDPPSWYGLGSSNVMVSKEVLNPNIAHDLEILRPYLKGNDACASVPQVYTDEEEREAAINYLKNRSVAEDEPFIEVSKSKKKKVQKHFQVHNTRSRGSPPN >RHN70833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54331088:54338040:-1 gene:gene19459 transcript:rna19459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative structural maintenance of chromosomes protein MSLLESAGFSRSNPYYVVQQGKIAALTLMKNSERLDLLKEIGGTRTYEERRRESFKIIQNTGKYFLLFIFKISHFVYKKRKHIDQVVQNLDERLKELDEEKEELGKYHDLEKQRKSLEYAILDKEVQDAKQNLAKKSIGPRFPKYQQSRMTKEHQNFIKEKEVSENLQTKALQKHTVLELDLKDLQAKTSGNTHAKEDATKQPEMLENEIKVSMDELDKIIPLYDGQVQEEKDITKRIMECEKKLSILYQKQGRATQFSSKAARDKWLQKEIDDREPVLSSSVMQEKNLVEEIARLNNEIHGRDENIKSRRTNLTTLESHTAMLRKCSNDYKVKRDELHEERKSLWTQENELTAITDKGKVELEKAEKNLQRAIPGGIRRGLNSVRKICKSHNISGVHGPIIELLNCDEKFFAAVEMTAGIRKWWTCYIIPLNRVRAPDVTYPQRSDVIPLIQKLNFKDDYTPAFRKVFAGTVICEDLDVASKVARTNGLNCITLEGDQVSNSGTMTGGFFDHRQSILKFMNIVNKSTDSIFHIKEGELEQVKLKIHDIL >RHN57869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42293279:42299232:-1 gene:gene33459 transcript:rna33459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubulin GTPase MVTTLLPSSLTNPNKLLSHSSLFHNSSLSTSHSVSLYPKTQRFTRRFGSVKCSLAYVDNAKIKVVGIGGGGNNAVNRMIGSGLQIGVDFYAINTDAQALLHSAAENPIKIGELLTRGLGTGGNPLLGEQAAEESKEAIADALKGSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTYPFSFEGRKRSLQALEAIEKLQRNVDTLIVIPNDRLLDIADEQMPLQDAFRLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSGKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFSQSFQKKLLTDPRAAKLLDKVAEGKESKTVPAPLKSSNLSSKVESRAPPPRKLFF >RHN43465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46098865:46101682:1 gene:gene50047 transcript:rna50047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTVDLPPEILAEIFSLLPVKSVLRFRSTSKSLRSLIDSHNFINLHLRKSLNRSLILRLNTDLYQFDEDFSNLTTAVPLNHPFLRHSTNITLLGSCNGLLCISNGEIDFSNPYDKNEITFWNPNICKHRIIPFLPIPIPPRRSEPDDINFAYCVHGFGFDPLTSDHKLLRISWSFDQQLSTFDSHVRLFSSKNNSWKIIPRMPYALYYAQTMGVFVHNSLHWIMTKELDGLHPCLIVAFNLTLEIFNQVPLPAEIGQKNFEISVSVLGGCLCMVVDYQTSDVDVWVMKEYRSRDSWCKLFTLVKSCFTSSLKSLGVLGCSSDGRKVLLQGINDLKLFWYDLKSEQITYVEGIPNFDEAMFCVGSLVPPSFPVVNCKKENLCKLRCQTTSKRRDDFLSQGFKLRL >RHN45240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13557984:13565164:-1 gene:gene39476 transcript:rna39476 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDDATDSTTLSYWLNWRVYICVVSVLLSMILACLTIWKRESSRNLTFDNGENQSTLCGDEAWKPCLKDIHPVCLLVFRVISFSSLLASLIAKIHVSHGTTFYYYTQWTFTLVTIYFGCASVLSVYGCYMYRKSTSTTLNDNIARIDAEQGPNVPLLHQDATNIQKNQIAPVWSYIFQILFQISAGAVMLTDCIYWLIIFPFLTLRDYDLNFMTVNMHTLNLVLLFGDAALNCLRIPWSGMSFFVLWTGVYVIFQWIIHACVSIWWPYPFLDLSSPSAPLWYLVMALMHIPCYGFFMLILELKHYFLSKWFPSSCQC >RHN44284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3163991:3164305:1 gene:gene38384 transcript:rna38384 gene_biotype:protein_coding transcript_biotype:protein_coding MWCQSHFGGSLLKCDDSTHCRLPYNSEHYKKLLFFQCDFIIILLNTNLWKSFVLFQFLYLTFVRQILSFFVFVGIGQRKMHICFAGLTKYMFIRHFKLMWYFFY >RHN42533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39115292:39121114:-1 gene:gene48982 transcript:rna48982 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTRNSRKRNVRSPPPASFTFTRSKAHRTRSGQIRSGSSSVSSSRSKNKPPYSVPVQVPFQEEPVPVPVPFGGNDVSKGISDSEFKNLIHDICSEYCESDSEDSDLVKDPCKKAKRDDADSDLPCATTKDLRARRIYSPLSGACESDREVAELGFQSPSFVENGGMRTESAEIPELGFESPSSAENGDTHMDDVRIKSPEILDEPLNFNQGITKLSDEDLGKESVQTTPPNDVICVDLEASCDVRNVEEADTLVVKDMSPAANVAENSSEGRKNSSVHKSKSVLKPRLPLKLFKAPGSFNYKRLMDAMGDNFGIPKLGHCHEDKKVMGGQGLELPLTSDNHEGSKPETKTDSCTMGDTNGPELASSPMQVDTEKVNGECLSVPSVDDKCVSESKVDPAADFLGARDVGNAFDHGDVKQDSFNRNNLDCAKATDHNGCALEQLGVLNEDCIPMIRDIEICDDIVLNVDQMQGIPQNVKPMDLTRSTQESTVEALYPKADKRNNPLKGKHVHKPYLHGKLIKTPGSVNYRRLLPYFKNLPKDNYGTSGDQAHHKNEEADLYAKEFQLPLPSQSEEASINRQMTVSGSIHDKVDLNILENNISVNPANMSSHGCRPKLPSFQDSSESPMQLDAKEMAHECLSAPSVEANSEKAMISSKNECLSESVTDPCSVVVCDKIVTNGGSPSKEQNLLNVNNNISNLSFENHSRNEKGFTIDYDERKQFVSLEEHESVSRCPPEAQSLNQLDPNMLDAEENETSSHAICKNDDVVVLSHVTVSNEESSSQSEKIISEKLALPDTVTHGGGKTKSLLNGLVNGSKTPSKGSNNKKASFAGEMKNGSESKTTPVLNRCPRVKFLKHAGSLNYKRLLPILLENEKSNSRAANNDHRPKLQKLLDHTPLLPISDSNLPVTPGSDSNDCDPMGDSTGNSGAQQETELQACDLNSDNLSPRYQLQDKQSMLNGPYYLENSTVSPISVHRDLPITPLGPIVDPVVTREEVGSPALSNGEKSPETPGCCRSLSKMKVRDQHDALAVSSRKGILRKNPRGCRGLCTCLNCVSFRLHAERAFEFSRNQLLDAEEVAQDLMKEVSHLRNMLLERCTDNVNDTPLLDGSQVKEACRKASETEQLAKDRFSQMNDDLNIHCRTPSLQRPSVKFSDRVEEKVIQPNR >RHN66654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15745966:15746535:-1 gene:gene14692 transcript:rna14692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MIPQCLGTFPLLSILDMQMNNLYGIIPRTFSKGNAFETIKLNGNQLKGPLPQSLAHCSNLEVLDLGDNSIEDTFPNWLETLQELQVLSLRSNHLHGAITCSSIKHSFPKLRIFDVSNNNFSGTLPTSCIKNFQGMMNVNDSQIGLQYMGKASYYNYYNDSVVVVMKGLSMDLTRILCYILEVVDKIRNL >RHN38864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2505439:2506253:1 gene:gene44835 transcript:rna44835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MERETLLGLSFFLFVLLATQEAVVQIEGCEKKSPDFVGPCVGPILSQNCDFICKHGQVALPGGSCKNGECMCVC >RHN68867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39194170:39202522:1 gene:gene17281 transcript:rna17281 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, SWIM-type, COMM domain, MULE transposase domain, FHY3/FAR1 family MDVHVINVEEESDHQAQSDDGYAEPSDSEIHNAQNLQPRKHFSSVGRTMPETYQGVGLVPSGVMYVSVDGNHNSNQNTCAVLNIQATTAAEPSPPVKNDKFMNISARPPFQNRTLGKDAHNLLEYFKKMQGENPGFFYAIQLDEDNHMSNVFWADARSRTAYSHYGDAVHLDTTCRVNRYKVPFAPFTGVNNHGQVVLFGCALLLDDSEASFFWLFKTFLTAMNDRQPVSIITDQDTAIQVAVSQVFPQARHCINKWDVLREGQEKLAHVCLAHPKFQGELYTCINLTETIEEFESSWISILDKYKLRRNDWLQSLYNARAQWVPAYFRDSFFAAISPNQGFGGSFFDGYVDQEMTLPLFFRQYERALESWIEKETEADFETICTTPVLKTPSPMEKQAANLYTRKIFLKFQEELVETFVYTANITEGDDVNSTFKVAKFEDVDKAYTVAFNHAELRANCSCHMFEHSGILCRHILTVFTVTNVLTLPSHYILKRWTTNAKSSAGSDERTGESHGQKSLTSRYSNLCREAIRYAEDGAVTMETYNAAMTGFKEGEKKVAVMKRSAAKAKPPNNQVSGTKNDKKTTTSSTLDITPLLWPRQDESRRFNLNDSGGSVQSAADLNLPRMAPVSVHRDDGPSGNMVVLPCLKSMTWVMENKNSSLGNKVAVINLKLQDYSRNPSTESEVKFQLSRVSLEPMLKSMGYISEQLSRPANKVAVVNLRLQDADTASGESEVKFQVSRDTLGAMLRSMAYIREQLSHAGDVQSEPLLKRHRK >RHN57182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37146156:37148381:-1 gene:gene32688 transcript:rna32688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyketide cyclase/dehydrase, START-like domain-containing protein MPSPVQFQRFDSNTAITNGVNCPKQIQACRYALSSLKPTVSVPETVVDHHMHVVGQNQCYSVVIQTINASVSTVWSVVRRFDYPQGYKHFVKSCNVVASGDGIRVGALREVRLVSGLPAVSSTERLDILDEERHVISFSVVGGVHRCRNYRSVTTLHGDGNGGTVVIESYVVDVPQGNTKEETCSFADTIVRCNLQSLAQIAEKL >RHN67781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30229638:30230794:1 gene:gene16013 transcript:rna16013 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGCVDEITHSITAGEVLQANPNHVLSKPSSQGVVRRILIISPETELKRGSIYFLIPATSLPENKRNGSIVSDSHLNKKASLSSKKRSTKHGDDGDHENDGSGDGDCFYSSTSPLQYKEKKSSRRDRKKGRVGIWRPHLASISED >RHN58521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2446644:2447171:-1 gene:gene20441 transcript:rna20441 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSAPILSSLLPYNSKESSLELEHTLKLPRTISFLSLSQNLAKLDLQNSSSPKKPFLVPCSNVLSTNMNEKNKVKEAKMVQTSVMGGGVRSNGGCKGGGRGSDGGNGRGWNFNDHGRDRLDAYYQNMIEAHPCDALLLGNYGKFLKEVIIMVEIYLYFLHGYLCLNLSSFS >RHN77345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6170761:6171186:-1 gene:gene791 transcript:rna791 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYPKTHFVSHSALLCLKMNTVMNPHYQFRLEVEHCEVLYLKIVAIAPTSSSKEKTMQLNHHTVHVTLLQNHKGMRTTFMKGEISIQLGDELPRAGSTAHFQWFDGRIQKLVAPVISLEGTVGERLWRWIIVTSSNQKIS >RHN55489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18946613:18950971:-1 gene:gene30677 transcript:rna30677 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKSLNRSFVSPPAIRRTSIVHLSSRNFTLNHCHNPRISLPIRSYYPRISLSVAELQSTSILLLCDLCSVQMGFIVGFFCLL >RHN78008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11212451:11215470:-1 gene:gene1522 transcript:rna1522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDLRETLVHEIPNVINKLTKLRNFLAFHRYYEEKYSVLGFITGVLMEKGIKNLTSLQNMCYVEVDHGGVDLIEEMKMLRQLRKLGLRRVKRELVNALSAAIEEMQHLESLNITAIAEDEIIDLTLPKLRRLHLKARLDKLPDWIPNLECIV >RHN41354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29390359:29391040:1 gene:gene47669 transcript:rna47669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQTRKIMDSLHMLIYAFIIFLSIPLPPTRKTIPCKTKVDCPQQIYYVVECLDGFCDYWRD >RHN62213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41742302:41758357:-1 gene:gene24764 transcript:rna24764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MALANEGATNAIESVAFSFLTNEELLKSSRVKVTDTNLCNNIGHPVRGGLYDPAFGPLLDNRSNCESCGMSKDHCSGHFGHIELVSPVYNPLMFLFLGKILNRTCFSCHYFRASRDEVKRRASQLELILKGNISKAKSLGEIKLNETIDSVDDDDDDSQWSGAEQLGESWTSLQFSEAMSVIYEFLAKDYKKCLNCGCISPKITKPTFGRFNVKALSSVQARANVISSAKAADVQADEEDITSGGAGNSNGNNQILSIKLVEQSSLSGSLLPSQVQEMIKLLWKNESRLCSHISDIQDQGFGKKAGHSMFFLENIFVPPIKFRPPLKAGDNVAEHPQTVLLSKVLESNISLADAHRTKSDAYYILRRWQDLQGSVNLLFDNKTSLRSQKDASGICQLLDKKEGIFRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPYFALRLTYPERVTPWNSAKLMDAILNGPDTHPGAILYTDKTSTLRLQKDKSLRSSTSRRLQSSRGVIMHHGKIHEHEFEGKVVYRHLKDGDVVLVNRQPTLHKPSIMAHVVRVLKGEKTVRMHYANCSTYNADFDGDEINVHFPQDEISRAEAYNIVNANNQYVKPTSGDPIRALIQDHIVSAALLTKKDTFLSCQEFNQLLYSSGVSMTGMGSFSCKPGQKVLMSNSESEMFLFPPAIFKPEPLWTGKQVISALLCYITKGRPPFTVEKNAKIPSSFFKTQTGEIKKHTKDTSRKKDELEDKLLIYRNDLVRGVVDKAQFGDYGMVHTVQEFYGSNTAGILLSALSRLFTNFLQMHGFTCGVDDLLIKVGKDSERLNQLESCEEIGDIVHREFIGVMESENIDPITMQLNVEKKIRSNGEAAITYLDRKMISNLNSRTSSGVLKELLSKGSLKPSGKNWISLMTTSGAKGSMVNFQQISSHLGQQELEGKRVPRMVSGKTLPCFPSWDCSPRAGGFIIDRFLTALRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLMKNLESLKVCYDHTVRDSDGSIIQFHYGEDGVDVHQTSFINKFEALSINKELIYSNCCRQLDRSSPYINKLPDALKGKAENFIRDFSSKQRNSSSMKEADFLQVMEHKYVSSLAQPGEPVGVLASQSVGEPATQMTLNTFHLAGRGEMNVTLGIPRLHEIVVAASKNIKTPFMTCPLRPNKSMEDAIRLADKMKKITVADIIESMKVSVVPVAVKEGRICSIYKLTMKLHKPKHYPKYTDVTLEDWEETLRVGFVRELEDAIENHISLLARISGIKDFQGKSNSSNGLDNDHSNESASNQNGQTDDDDEVGDTEDAEEDGFDAQKSKQRATDEVDYDDGPEEETHDGEKSEDVEVSEDGKDDEDDNGVEVNGDDSDIEVNDSDKNVTLEETSKSKKRKFEPASKKYDRRVYVKAGGMRFEIHFKFIGEPHILLAQIAQRTAEKVCIQNFGKVGQCKAITCKESGVIYYGEDDSKRDDIPSSVKEKIPALQTSGIHFKTFWEMEDDLKVRYVYSNDVHAILKAYGVEAAKEVIIREVQNVFKSYGISVNIRHLMLIADFMTHSGSYRPLTRSGIADSTSPFVKISYETASNFIVEAARHGQVDTLETPSSRICLGLPVKMGTGCIDLIQKLEI >RHN58936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6059910:6060206:-1 gene:gene20893 transcript:rna20893 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSPLVGNQSCFYFRHSSANHVFTFALKQCHLYLPIISFPSDTYPFVSQSVSASSLPSYLHHIQSYYHIHQHYISGVSNGPKLAFFIYLSLSDPLV >RHN46073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28271232:28271837:-1 gene:gene40513 transcript:rna40513 gene_biotype:protein_coding transcript_biotype:protein_coding MSITYRGIDDLRAVGIRLEASFTSKPADIVFYEGWFTSRLTIPTIFVNNNTIATFLNLIAYEMCPDFKNDYEFCSYVAFMDSLIDHPEDVKALRSKGILCTFWSDEEVANLFNIIGTGLESNIELYFEIKVKIDENYRNKYKSWIALGFRTYFNNPWTIIAFLAAFIALALTFIQTLFTIHPTST >RHN39635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8920658:8922586:-1 gene:gene45677 transcript:rna45677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L12 family MSIGEVACSYSLMILHDDNIAVTGEKISTLLKAANVNVEAYWPSLFAKLAEKKNLDDLILNAAGGGAPVSVSAPVVAAASTAVAAPTEEKKEEPKDESDDDMGFSLFD >RHN67193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24775480:24780296:-1 gene:gene15351 transcript:rna15351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-acetate O-methyltransferase MGDNVVVSNMELERLLSMKGGKGEASYANNSQAQAIHAKSMLHLLKEALDKVELHDPNIPFVVVDLGCSCGSNTINVVDVIIKHIIKRYEALGFNPPEFSAFFSDLPSNDFNTLFQLLPPLANYGVSMEECLAANNTRSYFAAGVPGSFYRRLFPARSVDVFHSAFSLHWLSQVPESVQDKRSNAYNKGRVFIHGASDMTTNAYKKQFQRDLAGFLSSRSAEMKSKGSMFLVCLGRTSVDPTDQGGAGLLFGTHFQDAWDDLVQEGLISTEKRDSFNIPIYAPTLQDFKEVVEADGSFSINKLEVVKGGSPLVVNQPDDASEVGRVLANSCRSVSGVLVDAHIGDKLSEELFLRVEKRGTNHGKELLEKLQLFHIVASLSLV >RHN53239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:316558:319359:1 gene:gene28129 transcript:rna28129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 23S rRNA (adenine(2503)-C(2))-methyltransferase MKIRSVFDGVELRTEFSKTGIDPKFIPIIWKHIFRNSNSDSDYCNWEWEKHVPSLPCSAYSFLRSNFKTPLSSSLDSIFHSSDNVTSKLVIKLQNGEFVEAVIMRYDTRLGKYGGEPRPGGLRATLCISSQVGCKMGCKFCATGSMGFKSNLSSGEIVEQLVHASAFAHIRNVVFMGMGEPLNNYSAVVESVRIMSGSPFQLSLKRITVSTVGIIHSINKLHNDVPGLNLAVSLHAPAQDIRCQIMPAARAFPLEKLMASLQEYQRKSLQKILIEYIMLDGVNDEEQHAHLLGKLLETFEVVVNLIPFNSIGTLSQFKSTSEQKVSKFQKILRGTYNIRTTVRKQMGEDISGACGQLVVNLPDKSLGNANPLTDIEDLVI >RHN45506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21647123:21648331:1 gene:gene39857 transcript:rna39857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKRKERTSSVKSSPENRTKRRKRISDFYLPDECWECVFRFIINDNNKSCLNSLSLVSKQFLSITNSLLFSLRVKVKTRPFLPILFERFTNLNTLDLTYFYDDHNLDDLLCQISIFPLKLKSLKLPFGCRFPAYGLQVFLQTITTLNSLTCCAADFCDMDLSPIVDCFPLLEHLELCNTSFNDQHVVDFSLFLSNLVSINLNACRNLTETTLFSLGRNCPSLIEIKMKCTATGEASVGHSDSLVEFGVYPQLKSLYLAHNYRLSDEIIRILASIFPNLELLDLGHCYNISQGISQVLRKCYKLKHLNLTGCLSVKLHGMNFAVPELEVLNLSETKVNDKTLYAISKNCCGLLQLLLEFCYNVTEVGVKHVLENCTQLREINLRYIHVSDKTRKLFSRRGCHIC >RHN46076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28288500:28288990:1 gene:gene40516 transcript:rna40516 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGVSRSFLFGILCIVLVLASGPVLAGPTIGLDSYEVDCNGHGPCERCDERCIEHGYKHGGGCYGFAQCCCVIN >RHN43425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45755571:45761317:-1 gene:gene49996 transcript:rna49996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGGNCSTGAGAGINTTTTTPHSDPPPKNAPTILPQNFTPSPPQPPLPTTTLGRILNRPMEDVRSIFIFGRELGRGQFGVTYLVTHKVTKEQFACKSIATRKLVNRDDIDDVRREVQIMHHLTGHRNIVELKGAYEDKHSVNLVMELCAGGELFDRIILKGHYSERAAANLCRQIVTVVHNCHTMGVMHRDLKPENFLFLGKEENSPLKATDFGLSVFFKPGDVFKDLVGSAYYVAPEVLRKSYGPETDIWSAGIILYILLSGVPPFWAENEQGIFDAILRGQIDFASDPWPSISSSAKDLVKKMLRNDAKERISAVEVLNHPWMRVDGDASDKPLDIAVLSRMKQFRAMNKLKKVALKVIAENLSEEEIIGLKEMFKSMDTDNSGTITFEELKAGLPKLGSKMSESEVRQLMEAADVDGNGTIDYIEFITATMHMNRMEREDHLYKAFEYFDQDKSGYITKEELESALKKYNMGDENTIKEIIAEVDTDNDARINYDEFVAMMRKGNPDITHRRRK >RHN45956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27231473:27233588:-1 gene:gene40386 transcript:rna40386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MLVSKTEMPLTKVKLIDSICRLGVGYHFEKEIDEVLQHIHKSYVENGEITLEDSLCSLAMLFRVFRQQGLHVSPNVFNKFKDKQGNFNENLSTDVEGMLSLYEASHMMVHEDDILEEALNFTSTHLESIASQSSPSLAAQIEYTLKQALHKNIPRLEARHYISIYEKDPTCDEVLLTFAKLDFNLLQSLHQKEFGNISKWWKELDFSTKLPYARDRIAECSFWVLTAFFEPQYSQARKMMIKVITLLSIIDDTYDAYGTIDELELFTKAVERWDISSLDELPDYMKPIYRSFLTIYEEIEKEMRKEGRIYTLDYYKIEV >RHN68338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34739537:34739908:-1 gene:gene16675 transcript:rna16675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase MARCH MKILNSGIIGYFITGRQQDKSHVVDVDNIQNASPRNSTGDEKGRVSSVSECCVDLDLESVVVVVDDDVKRDCRICQLSMDMENQDDDQHESWTPIELGCSCKDDMSTAHKLCAEEWFRIKGNK >RHN46951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35975937:35977210:1 gene:gene41492 transcript:rna41492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative meiosis arrest female protein MTFNYFVSGGKNKNKCLMDWLSQNPPRRHLFLIFGDKDFYFSGTLRRNDNFLLACPGKADGYICKVATIVWQWSSVLKGKYLTGKYFNHPPDWYIRKREQTPLEYPPEWYRNSKVPHENPFSAAEEPTSSQNAKILDPSSYIKLIYVQQSVSRKIRKVLSSYPNGISIGDLTFHLGDCFGRGLPDRKKLSNILASIPDVQLLYIGDDNFCVRLMPSTTSNAEEKNEQRDVNHSRSPVLFSTDSFWDEVESFVFTSRGSRQISRSTSREDLAHRLQKHGGFFKFRTKNKILQLVELLIAEKKWLEENPSQALPFRVTKSVQKS >RHN76576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51372374:51372823:1 gene:gene12924 transcript:rna12924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MDMDWLESMCHQDGEFLGEYMAQQSSIVAAGAAAATEINASPPELLPITSILSSNNSMATILGKSKPPCYPKKRTSSNLNFESKANGTGLAKEEKIIRSKSKTLFHTLAERRRRLELAHKFTELSAIIPRSKKVINSTNYLDSIFIPLH >RHN41431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29951511:29953718:1 gene:gene47749 transcript:rna47749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MNSNSLKYTSGLAVALAIVFLVITAGITFVKLFNGSIDSPRLLPNITDMTSIWNLFTAVPVLVTAFVCHYNVHTIDNELGDSSPMQPVISASLVLCSSIYILTALFGFLLFGESTLDDVLANFDTDLGIPYSNILNDVVRISYALHLMLVFPVIFFSLRFNLDDLVFPSASSLELDNWRFSSITTRLIFLLYVAANFVPSIWDVFQFTGATATVCLGFIFPAAIALRDPQSIATKKDKILSIVMIILAVFSNIVAIYSDADALFRKHQSKSN >RHN52140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31948872:31955339:1 gene:gene36769 transcript:rna36769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MSAPKKPKLPFPLKTRLSISLIMTLTDAACRSNGSVNRRLLNFLDNKTSAKATPINGVSTKDITVDAESKIWFRLFTPTGINASAGGGSNTETTSLPVVIFFHGGGFTFMSPASLSYDTICRRFSRELNVVVVSVNYRRTPEYRYPTQYEDGETALKFLDENKSVLPENVDVSKCFLAGDSAGANLAHHVAVRACKAGLQRIRVAGLISMQPFFGGEERTEAEIRLEGSLMISMARTDWMWKVFLPEGSNRDHNAANVSGPNAEDLSRLDYPDTLVFVGGLDGLYDWQKRYYEWLKISGKKAQLIEYPNMMHGFYAFPNVPEASQLILQIKDFINNRLYHFLYNLKTTMSSPNKPKPFLSWKSRISISFISALSDASRRSNGTVNRRFLNFLDRKSSPNAIPVNGVSTKDVIVNAEDNVWFRLFTPTAAVNSAGEDNTDTKTATLPVIVFFHGGGFTYLTPDSFAYDAVCRRFCRKINAVVVSVNYRHTPEHRYPSQYEDGEAVLKYLDENKTVLPENADVSKCFLAGDSAGANLAHHVAVRVCKAGLREIRVIGLVSIQPFFGGEERTEAEIRLEGSPLVSMARTDWMWKAFLPEGSDRDHGAVNVCGPNAEDLSGLDYPDTLVFIGGFDPLNDWQKRYYDWLKKCGKKAELIQYPNMIHAFYIFPDLPESGQLIMQVKDFISKVSNSRL >RHN57654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40821102:40822978:-1 gene:gene33232 transcript:rna33232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-hydroxyphenylpyruvate dioxygenase MFQAGPKLCDEFGVLPSPVICVGMEIKTGASLHHAWTLLAEKQLLWHTPSFACVTNNLQVENDSVLILLAEIHKFWCTDATNTARRFSHGLGMPIVAKSDLSTGILTHASYLLRSGDLNLLFSAAYSPSISLSSPSPTASIPSFSAPACFAFSASHGLSVRAVAVEVDNAELAFTTSVNHGAVPSSPAVVLNNRVKLSEVRLFGDVVLRYISYNNPNQNQNLLFLPGFEPVHLTYQ >RHN62459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43586527:43593803:1 gene:gene25038 transcript:rna25038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDIIYSLSHEIFSSFRMLFFTIFPLFLISLFVIIKYWHNSNNSSTTKKNLPPSPPRIPLLGNLHQFGLFPYRTLHTLSHKYGPLMLLYFGKVPVLVISSADTARKVMKTHDLVFSDRPYRKMNDILLYGSKDMASCGYGEYWRQLRSLSLLHILSNRRVQSYRRVREEETLRMMKHIKECSSASPLNLSELCATITNDIACRVALGKTYREGKGKRFHKWLLEFGELLGTVCIGDYIPWLDWLGKVNGLYGKAEKCAKILDDFIEQVIEDHISRRSDGDVENEEHNDFVDVLLSVQKTDAIGFSIDKTAIKALILDMFAAGTDTTYTVLEWAMTELLRHQTVMHKLQDEVRTVVGNKTHVTEDDLVNMNYLKAVIKETLRLHAPVPLLVPRKSMEDIKLNGYDIAAGTQVIVNAWAIARDPSSWDQPLEFKPERFMNSSIDYKGLDFELIPFGAGRRGCPGVLFAIAVNELVLANLVHHFDWKLPDGVAVKDLDMSETVGITCHRKYPLLAVATKYDKNE >RHN68266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34111548:34118004:-1 gene:gene16599 transcript:rna16599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSFVFRGARADIENGFPGFIPERRALRVHAARPSNSNSLTFLVTVLLLFMILNSHQMSPNFLLWLVLGVFLMATMLRMYATCQQLQAQAQAHAAAASGLLGHTELRLHMPPSIALASRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVSTAPSMTEEEINALPVHKYKVSGPQKSSSSMQQATPSTSAEKTQDNLNAVGNSKASDDELTCSVCLEQVNVGDVLRSLPCLHQFHASCIDPWLRQQGTCPVCKFRAGSGWNDSGHNDIADMV >RHN62691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45197876:45198959:-1 gene:gene25291 transcript:rna25291 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIDDAVPFFGKGFNKIYPLIMVIYTSLIAGNFFNRVINYCGNWKIFKFSDDAEDMDGFDPSGVIILQKERSLLQQGHKVGELVFPLARSFSMSMDVESVNNRAKALDESVASEDKTTIMVETKNEEADMSRKIGGRKYSALRTNLNEEGSSKDFTLEINSSSLTNDSHPDTSSAPSSVITSKWESMMHGFKNLKSNIDSNKFLPLSNNNTYTSSLNSTSSFESLDDIFERLKRPPSEHKDSGGE >RHN62475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43705012:43705299:1 gene:gene25055 transcript:rna25055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSNPDEESPVILPNDLITEVLSFSDVKSLIQMKCLCKSWNSIISDPKFAKLHLKRSAQNPHLILVSNNVVPFTCLSFDQVLEDHTYNRPLLSIMG >RHN79594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30389036:30389319:-1 gene:gene3407 transcript:rna3407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MYLLIVFLPLLGSSVAGFFGRFLGSEGTIIMTTTCVSFSSILSLIAFYEVAPGASA >RHN40449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16402478:16409337:-1 gene:gene46601 transcript:rna46601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MEKEPLLEKKQYYEDCPGCKVEQTKELNQGLSIINLVIIWMVVLSAALPATSLFPFLYFMVRDFNVAKEEADISYYAGYVGSSFMLGRCLTSVLWGMVSDRYGRKPVIIMGIIAVVIFNTLFGLSTGFWMAIITRFLLGSLNGVLGPVKAYASELFREEHQARGLSTVSAAWGVGLIVGPAIGGYLAQPVEKYPQIFPKDSFWDKFPYFLPCFIISVLAFTVVIACIWIPETLHNHNGSEESKGDAEALENGSSKERTVQKNENLFMNWPLMSSIIAYSVFSLHDIAYHEVFSLWATSPLKLGGLNFTTDDVGDVLIISGVALCIYQFFIYPSVEKACGPIGFARITAIFSMPLLQSYPFIAMLSGITLYIVISIASILKNIMSETIQTGLFLIQNRVVEQHQRGAANGIAMTSMSLFKAIGPAAGGTIVGVIKISRSGGSSVLGSDTLTWSQKRMDASFLPGTQMVFFFLNLVEGLGILLMFKPFLGEKKKTNSDELQ >RHN64800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61990202:61990486:-1 gene:gene27659 transcript:rna27659 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPRLILLQRTLGLFVVVRIVVVVVVLAGGCVGRWWSCSFVCLVCVFLVLVKQRLGWLWIWTFLRWLSFINPKESGSFNPKGSGSINPKRSGF >RHN76725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:743796:753684:1 gene:gene95 transcript:rna95 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator C3H-WRC/GRF family MDETGDDESRRCSRNGSGGWRCKEQALPGKTHCERHHEYYKSRNSSSFVEKNGGIRNGVVVDDHDNGGKGLFGGDDHGVVEGFGGVFGDVEVNGGVNAGIGRERFNLWQQGDGQQGGRFEQASGNLGQFLGDGVEFVGGFVEDRNRAVGLGQQWGGVGVFGNGGGVSGVGKDDHGNGVDGVCGNDSPGFGSDGINGLIGEGGCFGNLYDRSFQALLSQGRVCDEDVNLTGGGTSFQGLGGESAYDFRGVGNLSQCGKFEGEKNVGSILTVPESSNKMGAFGVEEGMEMLLSGGVSINEEARGEALKPLAKRGRPKGSKNKIKKKEVDLVTNGETVCGSANVGTTVEILETEKSVFSGKADQEGVDMGDIARTKKRGQPEDWKRGRHIILAVGYEIDGVGEITGPMERGRKSKGSVNEEKNVEEVSSEVAGAGEIARPKKLGRMEASKCGKEIVVEVSNDVGGEIVRRKKRGRPKGSKCGKEIVLEVNNEVVGAEVIIEVAGAGEIARPKKLGRLEGSKCGKEIVVEVSNDVAGEIVRPKKRGRPKGSKCGKEIVVEVNHEVAGAGEIARSKKRGRPKGYKCQKEIVIKRGRPKGTKNKKKILEDQELHVQTLVQDEVQNVKPKLGRPKGSKNKKKNIAGEDGNKLHKEKKRRGWPKGFCLKPKEIAARLDEKIERRGRPKGSGMKPKETAVQLDAKIERRGRPKGAGKKPKEIVVRLDTKIERRGRPKGSGKKQKEVASQLALQIESQKSTRVDGALSTIVPHKHIQEESISPLKDPVNKEEKSDFVLECSKDSGIEKITKGLMSKSGDVHKRCSERLRTLLTDHKNSQDVEVEETFCENEVEEAIDHELESSDLMGEPETKKEPRNLRCHQCWKKSRTGIVVCTKCKRKKYCYECIAKWYQDKTREEIETACPFCLDYCNCRLCLKKTISTMNGNGEADADVKLQKLFYLLKKTLPLLQHIQREQKSELEVEASIHGSLMVEEKDILQAAVDDDDRVYCDNCNTSIVNFHRSCVNPYCRYDLCLTCCTELRNGVHSKDIPASGGNEEMVNTPPETIAWRAETNGSIPCPPKARGGCGTATLSLRRLFKANWIEKLTRDAEELTIKYQPPIVDLSLECSECRSFEEDAAHNSARKAASRETGHDNLLYCPDAIEIGDTEFDHFQRHWIRGEPVIVRNVYKKGSGLSWDPMVMWRAFRLAKNILKDEADTFKAIDCLDWCEVQVNAFQFFKGYLTGRRYRNGWPEMLKLKDWPPTNFFEDCLPRHGAEFTAMLPFSDYTHPKSGILNLATKLPTVLKPDLGPKTYIAYGALEELSRGDSVTKLHCDISDAVNILTHTADVKTPAWQSKIIKKLKKKYEVEDMRELYGLDSKAAGSRGRKRKKRRVGVTVDLKISEKEDINGRDSTLLESQEKEDKLDREACVQEFSESTKSKLDLNVSNQEVIDSPRFQQFDLNSLDSNFLVPRNDCESMLYDNVEQRCSRPRDGSCKGNTSVIDNQPCGGTKETTFVNGLDSSDISSSDIETDKIESVENEMPSNNLCGNDVHLETQYGSAVWDIFRRQDVPKLTEYLNKHHREFRHITSLPVNFVIHPIHDQHFYLNEKHKKQLKLEYGVEPWTFEQHLGEAVFIPAGCPHQVRNRKPCIKVAMDFVSPENVNECVRLTEEFRLLPKYHRSKEDKLEIKKMALYAADVAIAEATKLMNLKSAINNFLTNNSQQKQFYCCLLVLFILILTILEELVNVM >RHN41260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28681734:28683479:-1 gene:gene47563 transcript:rna47563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNHHAYEEEAVQIADDETKPLLPIELVEEIFCRLPVKLLLQLQCMCKRWNSLISDPDFVKKHLRMAKASQNHHHLFMLQNNGFTCTHITSVFNTLSQSQTPLPLPHNLNTDDFSRCSGDCNGIICFTIRDSYPVLWNPSTRQYSVIPPVENSFPPPIRYSFDKFTPHTRRRMSVQANFYSFGYDNTTHKYKIVAISFIRKPPTPKTSIYTLGSDPTDCSWRAIHDFPKRCSNTLPKTGLFLSGTVNWLAGELFSLDLATETYQKLLLPTSRGKGSLTLGVLKDFLCIFDCNDRGTDIWMMMEFGNKESWHILYTIPSIAVQHSIANVLYISEDEQFLMQHDDTGQCQLGVYHSRNYTSNILELQHRAYTDPVVYMESLISPCSLAIVNYLLKMNS >RHN54517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10187523:10187792:-1 gene:gene29578 transcript:rna29578 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIFALGWDDGGDAWNWRRHLFVWEKELVVECTTLLNMVTLQVRVVIIGNRN >RHN39937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11821117:11824762:1 gene:gene46021 transcript:rna46021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MASKKINFCFVIFFLCYGMLIPTLGNICLPKEHAALFVFGDSLFDVGNNNYINTTSDYQVNYPPYGETFFKYPTGRVSDGRVVPDFIAEYAKLPLTQPYLFPGSQEYINGINFASAAAGALVETNQGRVSDRSKDTIELFQECQEKNSSLYTHEKYVSMVVGNLTDVIKGIYEMGGRKFGILNQLSLGCFPAIKAFVNGSKSGSCIEEFSALAEVHNTKLSVELKNLTKKIKGFKYSYFDFYHLSFEVIRNPSKFGLKEAGVACCGSGPYRGYFSCGGKREVKDYDLCDNPSEYLFFDAIHATESANRIISQFMWSGNQSITGPYNIKTLFEE >RHN46235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29855723:29857996:1 gene:gene40691 transcript:rna40691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTQILKFIYTLIIFLSLFLVETNSEKLKKIGAPFKQNCAPSEHRNLKSCKRT >RHN50029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3255239:3258073:-1 gene:gene34277 transcript:rna34277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MFLIKFDLIFFSCKFIDDKAVAGAQNSSTPIGVHYNSNLNQFTYNELKLATGHFTPECFLGEGGFGSVYKGWIGLNGRISTRPGMGMPVAVKTLNKEGNQGHKEWLAEINHLGALQHPNLVRLVGFCIEDENRLLVYEFMPKGSLENHLFKRRSTTLTWAMRLKIMLGAAKGLAFLHEEAEKPIIYRDFKTSNILLDSNYNAKLSDFGLAKDGPTGDKTHVSTQVIGTQGYADPEYVMTGHLSSKSDVYSFGVVLLEMLTGRKAIDRKRPQNEQNLIEYVKPFLKIPGGGFCQVMDPRFQEQYSKRGAYKAMKIVAQCVYRDKRARPLMSEVVKELKTIIDYNNDIPTSPMPSPLLFKVLHDGTSSSNSSRHGGGGSGANKYGPNIGASSSTSVPATPTRFQGSPLNFTPPLLSPIPPRGGNR >RHN43674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47655394:47657055:1 gene:gene50288 transcript:rna50288 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGIFKQVLDNGKVTMKRLINDITKLGLEKKKHILESIINTAEEDNENFLHKMRDRMMGWK >RHN40251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14613898:14615252:1 gene:gene46376 transcript:rna46376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Arf GTPase activating protein MHQAGNRYCADCGTPEPKWVSSSLGVFICIKCSGIHRSLGVHISKIASLKLDQWSDEQVDALEKLGGNTFLNKKYEACLPSNIKKPKPHTSIEERSEYIRKKYEELQFMMESDENSLCPFVPSQGRSVSLGPSSSSCYTYHIDNKKYEKALTRHHIGHAFRKSWTRKDSEHKCSKKSTSLVMFHLINDKFFFELGNFFKLG >RHN68245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33976027:33978013:1 gene:gene16574 transcript:rna16574 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWDRMLVFDNENLARLDDTVYCVCGLLYLFNNISCLPRYMK >RHN63660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52997935:53000096:1 gene:gene26386 transcript:rna26386 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFSNRVTIDTYDPRYDQPYPENLTNKFENRVTIWHPANQLTASQNRVTMPNSCHDFSVLSDHKFEAKSQHISHDIILIYY >RHN73256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14816989:14818475:1 gene:gene9053 transcript:rna9053 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQKENTPFHPPPQIQPSHHKFSSSIIQNSAISSSQNQELPSKVSNLTHKNRHKQWKSMSISCSKGKTHALSDEFDLRPSENGNTKQQSCSKSEAIIVNDGPKDGDGFKCCAFRMCLPGFGKVKPIKPRKSETNMDCSMNVMSSTFSFSFETFDINAQGRIVRENENNNEDDSISSYFELPSAEL >RHN82210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51982367:51984587:-1 gene:gene6354 transcript:rna6354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S14 MGHSNVWNSHPKTYGPGSRTCRVCGNSHGLIRKYGLMCCRQCFHSNAKEIGFIKYR >RHN63884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54759428:54762588:1 gene:gene26639 transcript:rna26639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MVVPPFLTCGRSGKGKDEEEEKKEKEKAEEEVHDNLVWCEDRKENDYHCSIATSQSNTVMEDFYQVEFGKNSLFVGVYDGHKGLDAARFIRVCLFPELSRLVTENKVVSEDIMEQAVDFIEKGFKEYVTNNIDDDGRVGSVGSCCLFGIIWGRTLFVANVGDSRAILGSSKGFFKRPHVVQLTVDHHVSHAAAREEIRNHITNDPFVLCKNRGSLRVKSLIEITRSIGDAYLKWSDPHPSFETFSRYEANVISEKPFTDRRDIDESDKFLIFASHGFWKLMTNSEAADIVYNNSQDGISKRLVRAALEKAINDIITYCNLQNLKAGNGLLGRRHYYDDVTVIVIFLNKRSDTPTTEPEFQSTTSTNELKDFKASSSQSNSHLPMALGSMERFLSLQDITPDFLDAVKFLQKSLLEKSGDGDKNFKDLAFSVGEILKRIQTAHKYCDLADKNLKDPTISQDEMDVYMKEFQMWKENLEKAKSDWISIRKRIDELSSSERKIVEEEITLEALHENPPSKIR >RHN81135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43571956:43573581:1 gene:gene5135 transcript:rna5135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock factor binding 1 MDSHDSNDPKQSPADMTAFVQNLLQQMQSRFQTMSDSIVTKNILLAFFNLIKLFFETSLLSKIVFEW >RHN75070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39175693:39180084:1 gene:gene11222 transcript:rna11222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MAASGISDGTATQNTEPEMEKKWWNKILDIKEAKHQLMFALPIILTTILYYSITLVSVMLVGHLGELQLAGATLANSWFGVTAVGVMVGLSGALDTLCGQAFGAKEYHMLGIYLQSSCIISFIFSIIISIIWFYTEPILVLLHQSQDIARTAALYMKFLIPGLFAYSILRNMLRFLLTQSVVMPLVVFSAIPAIVHVGIAYGFVHWSGLNFKGGPVATSISLWISMILVGFYILYAKKFKNTWRGFSMQSFQYLFTNLKLALPSAAMLCLESLAFEVLVFLAGLMPDSQITTSLIAICANTELTAYLIIYGLSAAASTRVSNELGAGQPERAKHAMRVSLKLSILLGFCFALMIVFGHGIWIRLFSSSPTIKHEFASIAPFLAISILLDSVQGVLSGVVRACGWQHVAVYVNLATFYFIGLPISCILGFKTNLQYKGLWLGLICGLACQTGTLLLLTRYAKWTKLNLSGDKDKDQPVVVLTTECMPIRTE >RHN72390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7246680:7248900:-1 gene:gene8095 transcript:rna8095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVSRTTVTKSRRSNPTLPFDLVEDILYRLPVKSLAQFKSVSKSWKSLISDSNFTKKNLRVSTTSHRLLFPKLTKGQYIFNACTLSSLITTKGTATAMQHPLNIRKFDKIRGSCHGILCLELHQSNTFSDVWLMKEYGNQDSWTRLFRVPYMGGVGSDPYTKALYVYEDDQVLLEYMMKLVVYNSRDGTFKTLKIQRRRDWMIPEVCQESLISPCAE >RHN52649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37375534:37377396:1 gene:gene37343 transcript:rna37343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLRYSSLFSVPSTLNLFLLHRRLHIQPLPSFIDNVNDAISSFNSILHMKNPTPPIFEFNKILSSLAKLNHFNIAISFSQQMELKPIQPDIFTLSILINCFCQLGQINFAFSILGKILKLGYEPNTVTLTTLIKGLCNNGHVRKALNFHDHVIRKGFHLDQVSYGTLINGLCKSGETRAALQLLRKIEGLLLVRPDVIMYTAIIDGLCKDKLVIDAYDLYSEMIVKKIYPDVVTYNTIIYGLFIVGQMKEAVALFNEMSLKNISPNVFILTTLVDGLCKEGEVKKATSVLSVMIKQGVEPNVVTYTSLMDGYFLVKEVNKAKHVFNTISLRGVTPNVHSYNVMINGLCKNKMVDEAVKLFKEMHLKNMTPNTVTYSSLIDGLCKSGRISDVWDLIDEMHNRGQPANIITYNSLLDGLCKNHQVDKAIALLTKMKDEGIQPDMCTYTTLVDGLCKNGRLKDAQRIYQDLLCKGYPLNIRMYNVMIKWAL >RHN49412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54639157:54639957:-1 gene:gene44239 transcript:rna44239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSFEQRSIPTEDRISSFPDHIICHILSFLPTKLSAATSILSKRWNPLWLSVLNFHFDDQTFQDFISFRHFVLSAFLSRQMTLPLQSFHLKCSKESSFQLHDINRFVYAAAQRQIQNLNLEMSCTNLQMSSRIILKLPRSIFSCRTLIVLHLKGLKVNDLSHVAVAVDFPFLKTLHMSYILFESIEYFVQLLSGCLILEELQAEYIRVSNIEWLVSQEMFVVREKFRSLPKLIKADITKSPFLLTFLLTLFCKEEAQVLRAEVVRIL >RHN74516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34216973:34217928:-1 gene:gene10602 transcript:rna10602 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKTKVMLKIQNENLLPKGGGGDQPSLEHKILLHFVIKGVEANIPRYIFRHMVHQLRESQLNKRSWVPYGRLLSEIFHQGGIIEMLKEAQIFTDEQLGTVRGKIINGETLRAMHLIKAKDVKKSPTDLKPSDAKSDLIPNFPPICKQDPLEVQRAYIMDFYKSYNQKISLKDVPDQMYGGALPVAKGRKSKTKPITKEEYLAGDASEKGAQKHKKAKIVKPAMSTIQEEEEDSDDIPLIRKRTRSTQETAEQPASEQTGSEQAASDQAASEKPSSPKKKREAALQTIKRKRTGRKLG >RHN64539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59901319:59908382:-1 gene:gene27363 transcript:rna27363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-ketoacyl-[acyl-carrier-protein] synthase II MATTSSSLYTWLEPSCMSVTCEADRIRTSFHSRRTHNNTLFRSNFNTKQKPFIHSGKTMAVALEPAQEVTIKKKLPTKQRRVVVTGLGVVTPLGHDPDIFYNNLLNGVSGISEIENFDCAEFPTRIAGEIKSFSTDGWVAPKFVKRMDKFMLYLLTAGKKALVNAGITEDVMDELDKTKCGVLIGSALGGFKVISDSIEALRISYRKMNPFCIPFATTNMGSAMLAMDLGWMGPNYSISTACATSNYCILNAANHIIRGEADVMLCGGSDSAIIPIGLGGFVACRALSQRNTDPSKASRPWDTNRDGFVMGEGAGVLVLEELEHAKKRGANIYAEFLGGSFTCDAYHVTEPHPDGAGVILCIERALVQSGVSKEDVNYINAHATSTPSGDLKEYQALIHCFGKNPELRVNSTKSMIGHLLGASGGVEAVATVQAIRTGWVHPNINLENPDKDVDTKVLVGPTKERLKIKAALSNSFGFGGHNSSIVFAPYK >RHN44863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9212163:9213537:1 gene:gene39030 transcript:rna39030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MEKTSKLEKPPAYDRISDLPDEILCHILTFLPTKFAFATSVLSKRWISLYHSLTFIKFDDKSIEDHDQFLHFCRSVEAVTLSPHVQQKPIKKFYLRCGRYGNWHIPPIDVWIEAINQRGVDYIHLSMNLHRLMPVGDELIRLSNVLFNSQNLVVLKLNNLVLSTKILSVNLPSLKALHLKSVYLEIKDFKKLFSGCPILKELHTDHFYGKWLKLGYEPNLSKLVRASICPFDVPFKAVYNVKSLCILQLDSDHSVDIINSYYKDFPVFKKLIHLEICFRHCDHDWDNLAKLLKHSPKLQTLLIRKRSSSYLTFRKDWENPNSIPKCVSSRLKRCEIRHYEGRNGDLQFARYILQNARFLQVMKLGVSSPSYRKSKIIEDLSSCPRSSEGCKLLFASYGQA >RHN57252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37553345:37554182:-1 gene:gene32773 transcript:rna32773 gene_biotype:protein_coding transcript_biotype:protein_coding MARYNNSYYSWENFSIPIHLCFFTIILFFVLAFSWYINYEYMYEDMFIQMKILLAFVPLILLLVVHCLSSEGSFPLPLPEERESLHRAGGSPWGVALLLVFLLFMMAHQSSFHERWFPLVTK >RHN53320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:929609:930713:-1 gene:gene28215 transcript:rna28215 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESAKCECCGLKEDCTQDYISEVKSKFDGKWLCGLCSEAVRDEAIRGKKTFAMEEAIKAHMLFCRKIKSNPAVCVADGMRQILRRRSTELSSSNKHSTRSTSTSQVGGE >RHN49565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55690378:55692222:-1 gene:gene44410 transcript:rna44410 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMERLRMFVAQEPVVAASCLIAGFGLFLPAFVRPMLDTYRAAEQPPQPALSDVVAGMTGKK >RHN78091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11810958:11815289:1 gene:gene1606 transcript:rna1606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-IX family MGFGVSINLHLVLLFSMIFVSAWSQDDAAMQKLKTSIKSSSSNLDWSNSDYCKWEKVTCNGNRVTAIQIADTNIQGSLPKELMQLTELTRFECNGNSLSGDFPYMPISLQHLSIGNNNFASMPSDFFANMSNLIDVSIGYNPFPQWQIPSSLKNCLALQTFSAINASFVGIIPEFFGKETFPALTDLSLSFNSLEGNLPNSLSGSSILNLWVNGQKSNNKLNGTLSVLQNMTSLKQIWVHGNSFTGPIPDLSNHDQLFDVSLRDNQLTGVVPPSLTSLQSLTVVNLTNNYLQGSVPKFQNSVRVDNDIDRGINSFCTKVVGQPCSPVVNALLSVVEPFGYPLELAKSWKGNDPCGGDSWKGIICSAGNVSVINFQNMGFSGSISPSFASLSSVTRLLLSNNHLTGTIPKELASMPALKEIDVSSNALYGQIPLFRGDVVVKTSGNPDIGKDKPHDSPNSPGSTSGGKDKKKVSVGVIVGIVMGIVGFIIAVGVFVFIMYCRRHNKRDGKIQTPNAIVIHPHHSGEGNGVKISVAAAESSGAGVTGGTGGFSPSRSVKNVEAGSMVISIQVLREVTDNFSEKNILGKGGFATVYKGELDDGTKIAVKRMKSEMVGDQGLNEIKSEIAVLTKVRHRHLVALLGYCLDENEKLLVFEYMPQGTLSQHLFDWKDDGLKPLGWKRRLSIALDVARGVEYLHGLAQQIFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGQASFQTKLAGTFGYMAPEYAVTGRVTTKVDVYSYGVILMEMITGKKAIDNSRQDENIHLVTWFRRMLLNKDSFEKVIDPAMDIDEEGLESFRTIAGLASHCCAREPNQRPDMGHVVNVLAPLVEIWKPAEPDVDDIYGIDLDMSLPQALIKWQNHEGMSNTLDVSYTSSMAASCENTQSSIPPRSPGFADSFTSNDAR >RHN75438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42335223:42339062:1 gene:gene11649 transcript:rna11649 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMLDIVSANITTSWMKVFNDTTALPEDEMYALRNDLATYFLELYET >RHN57154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36945858:36947073:1 gene:gene32657 transcript:rna32657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MISENSNSNGGDENGDKVKGSWSKTEDETLVKLVKENGARNWSVISNEIPGRSGKSCRLRWCNQLSPTVQHLPFTPAEDSIIIEAHAVHGNKWATISRLLPGRTDNAIKNHWNSTLRRRRTAAPAAVPPVTTIVQRVPTAVPVTVIPTGVMIGKRVNESYDGDYYKDLLSLGTRYKRPCVLVENNSGSRGSREGEETVTEDDDAGNKGVNVVRTSLSLFPPGFLKEEEERKEKQKEIEDFEDSNDDLKLLKNEKLRSMLQRMIAEEVRNYVDKMRLGGGLAPDSTLRK >RHN71497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:550977:554724:1 gene:gene7104 transcript:rna7104 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SK1 MEKYEVVKDIGSGNFGVARLMRHKDTKQLVAMKYIERGHKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLGIVMEYAAGGELFDRICSAGRFSEDEARYFFQQLISGVSYCHSMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQDDPKNFRKTINRIMAVQYKIPDYVHISQECRHLLSRIFVASPARRITIKEIKSHPWFLKNLPRELTEMAQAVYYRKENPTYSLQSIEDIMKIVEEAKNPPQASRSVGGFGWGGEEDDDEINEAEAELEEDEYEKRVKEAQESGEIHVN >RHN54990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14262900:14264982:1 gene:gene30106 transcript:rna30106 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-pyruvate monooxygenase MQEFTVVIVGAGPSGLAISACLTQNSISHIILEKDDCCASLWRKNAYDRLNLHLASEFCSLPLMPHPPSGPTYLSKYQFLQYIDKYVAHFNIKSHYCRTVESAKYDEIRSEWRVETKNTIEGILEVYEAKFLVIATGENSEGYIPNVPGLNNFEGEVVHSKNYKSGSKYKTKEVLVVGCGNSGMEIAYDLHNSGANPSIVVRSPFHVFNREIIHQGMRMVKYFSVGVVDTIITLWAKLKYGDLSKYGIYRPKLGPFNLKNVTGKSAVIDVGTVEKIKEGSIKVVSSYITRIEKKKVVFENNMEKEFDAIVFATGYKSIANGWLKDYKYALNEKGMPKNAYPSHWKGDHGLYCAGLARRGLSGVKIDAESIAEDINQTFNFHN >RHN46244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29938416:29939861:-1 gene:gene40708 transcript:rna40708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MGFMSLGAGHSSLRPPSCPTLSDCIKPTGVQLSILYLGLGFFAIGSGCLRPCNIAFGADQFDTKTAKGKAQLEIFCNWWYFLFTVALLIALTGVVYIQTNVSWFIGFIIPTGCFTVSLTIFLLGQCTYIKLKPKGSVLSDLVKVIVASIRKHHIDIKKDSELSFYDPQLSSNESEDSRNVKLAHTNRFRYLDKAAVITNQNEIDSNGNSIDNWRLCSLQQVEELKAILSTLPIWLAGIGCFISMGQANSYGILQGLQIDRSIGTKFIIPPAWMGLVPMIFLSSWIVLYEKIYIPFTKTATSNGKRLSIGQRITIGIIFSIVGMVVSGLIEVRRRDNALKHGTFQSPTRIWWLIPQFGLSGLVEAFAAIPMMELLTSYWPDSMKTLGGAVFFLSLSIASWLSNLLIKIIVALTKGNGGPQWLGGNDLNKNRLEHYYYTIAAFGVLNLLYFVFFARRFLSSDVLQRQTRSEARDSELSTLSEL >RHN42707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40349945:40352983:-1 gene:gene49190 transcript:rna49190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Seipin family protein MDTNPQKDDIFFDAFHKCPFHHCSATDDHTMPESPPSSSGPVHPDTPPSSPSSATIRRRSIRRRSPENELSETISSSTNSTSGDKIKSQNPSILKESENFPEKGKVEEERNEESTLTTATHDEPFGDSADSLGELNDSPSNSLDYATGLVIRAIMFQVRVFIMLVKCPILLMLYTCMFFVDPFGTIRKGRVFSMWVLDRVRYCVCEFIGPSALGWFNEHKSFWNVAFRCGWGFLWSIYVCCILFTLLVSALVFSGFLVKGLVEKPFQMKQVLNFDYTKHSPVAFVPIISCSGVGGDRRFDESGGIGVDRWVNKRVIPSKQKVQLTVSLLVPESGYNTKLGVFQIRVDFLSSNGKTIGSSRQPCMLRFRSEPIRLITTFLKIVPLLTGYISETQTLDAKMSDFVEGDIPTSCLKVTLEQRAEYLPGAGIPQIYDSSIFVESELPLIKRILWYWKMSLFIWIAMMAFVTELLFVLVCCWPMIIPRTRQRSGSACSTSTQNNLQAPS >RHN40347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15511057:15515845:1 gene:gene46494 transcript:rna46494 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYYGCGTNDFVVPGDQDLMARHPSPENWSKWGINTPEGYNSPKNYMTMDSNTTELEFNFNSESFRDGVKFESSSYDKDQSSSSSVCGGLTEQSFQQSRHQHQQNQLQELSSSFEQTTDDIFLDSILEDFPCAENSNKSFYFYPENQCSNTAGELQNDIAASEYLTCNSNSDDLLNIETLQILDHSEQFSGDEAMHKHSSIEESTLQNLEAIISQFNDKTRIHFRDALYRLARDTKHQYVAEDLDGDVSMQEAMPWAVHNEALRSDDKKQMESETNSVDRAVANLMFNGTAYCEC >RHN42920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41947817:41952001:-1 gene:gene49426 transcript:rna49426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MNEEGRYDDQPRKLRQQTLQHNEEATVEATIHQLNKHSNISLSLFHPNRISFIPFGSSFFFFFFFFFFLITETPDQLFVPNPPITMSHLIQHNDDSFFFPGGLFLHADTVPTSFITCIPSSSSNSTTVSCFSCNIRKRVGFQILRVQGGAVFSSLSLSINGRSDDVDHRYKSNQKEEKENIHVHGSGAVNMTKHLWSGAFAAMVSRTFVAPLERLKLEYIVRGEQKNLFELIQTIATSQGLKGFWKGNFVNILRTAPFKAINFYAYDTYKNKLVRLSGNEESTNFERFVAGAAAGVTATLLCLPMDTIRTVMVAPGGEALGGVIGVFRHMIKTEGFFSLYKGLVPSIISMAPSGAVYYGVYDILKSAYLHSPEGMKRLRYMKEEGQELNALEQLELGTIRTLLYGAIAGCCSEAATYPFEVVRRQLQLQVRATRLNALATCVKIVEQGGVPALYAGLTPSLLQVLPSAAISYFVYEFMKIVLKVEST >RHN59422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10571450:10575122:-1 gene:gene21451 transcript:rna21451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MERSGSVIMQRYELGKLLGQGTFAKVYHGRNLKNSMSVAIKVIDKEKVLKVGMIDQIKREISVMRLIRHPHVVELYEVMASKTKIYVVMEYVKGGELFNKVSKGKLKHDEARRYFQQLISAVDYCHSRGVCHRDLKPENLLLDENGNLKVSDFGLSALAETKHQDGLLHTTCGTPAYVAPEVINRKGYDGTKADIWSCGVILYVLLAGFLPFRDPNLIEMYRKIGKADFKYPNWFASDVRRLLSKILDPNPKTRISIAKIMESSWFKKGLEKPIVPDTNNKELAPLDVDGVFGACENGDHVDLSKPCNNLNAFDIISFSSGFDLSGLFEDNKERKTEMRFTSNKPASIIISKFEEICNRLGLKVKKKDGGLFKIEGSKEGRKGRLGIDAEIFEITPVFHLVELKKSNGDTLEYQKLVKENVRPSLKDIVWNWQGEQPQQLQHGALQKEEQASDSGISEIVLPTTS >RHN78691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17570629:17571309:-1 gene:gene2329 transcript:rna2329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding pseudobarrel domain-containing protein MAMAAHTIIKNGVGSPSSCTTYDHDHDQRNKKRKRDDSNGRRRNNNWGSLTSQSIETNVVCVCLSLGGASCSTLSCSTENNKKKKTCNVDDDADVETLRNWECSTELMLYDDPWKIKKVLTQTDLGNNSRLLLNKELAHDLVVSVLGAVAAENEGVHVAVWDVDTDSLHSLVFKIRPSNKSPVFKETWIKEFVVRRSLKKGDEIGMHWDPYKKRFDFSVLRAFRRH >RHN69557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44372321:44373933:-1 gene:gene18039 transcript:rna18039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stearoyl-[acyl-carrier-protein] 9-desaturase MTMATICTHAWPPLQCYQKAPSKTFIKPQKTHSMSPEKKEVFKSLEKWVSQSVLPLVKPVEESWQPHDLLPDSSLPSDEFIDQVKALRDRTNELPDDYLVVLVGGMITEEALPTYQTWINKLDGVGDESGSSLSPWAIWSRSWTAEENRHGDLLKTYLYLSGRVDMCMIEKTIQCLIGSGMDVGTENNPYMGFVYTSFQERATFVSHGNLGRLAKERGDLLLARVCGTIAADEKRHEHAYVRIVEKLLEVDPTEAMVAIAKMMQHKITMPAHLMHDGQDTRFFDHFSAVTRRIGVYTTKDYIDILEFLIRRWRLEKLEGLTIEGQHAQEFVCGLAPRLRKLDERLDERTSKINNKFTWIFNKELSL >RHN78659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17255128:17256097:1 gene:gene2293 transcript:rna2293 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHLWVHFFVSLVFPSAGFASISFSYEIVFVITLDLSSTKQIGIYLPILCRKMTKSIYFLHFIISWICYIFIW >RHN60314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25117681:25122248:-1 gene:gene22611 transcript:rna22611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MSDFCLKNGHSFALRRRLHSRVSLLRRCILRALHRLLVCSGKQPGANATYSMLTPHSALPSPRASLLDSTVETAIQPPVFNTNELDTDLVSLKISLLGDCQIGKTSFLVKYVGNEKEQGVEQRKGPNQMDKTLVVSGARISYCIWEVQGDGKSEDQLPMACKDSVAILIMFDLTSRCTLNSVLGWYKEARKWNQTAIPVLIGTKFDDFIQLPIDMQWTIASEARTYAKALNATLFFSSATYNINVNKIFKFITAKLFDLPWTVERNLNVGEPIIDF >RHN64550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59968826:59973576:-1 gene:gene27375 transcript:rna27375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galacturan 1,4-alpha-galacturonidase MMVETSTLGRFHHQRLDFKRCVPAFITSHKTLFMVLWIAAFLSVFIWQRNMVVGGFMVFGRVPVRPIPNMRPVVFNLTDFGGVGDGVTLNTEAFERAVSAISKFGKKGGAQLNVPPGRWLTAPFNLTSHITLFLAQDAVILAIDDEKYWPLMPPLPSYGYGRERPGPRYGSLIHGQNLKDVVITGHNGTINGQGQAWWKKFRQKRLNYTRGPLLQIMWSSDIVITDITLRDSPFWTLHPYDCKNLTIKGVTILAPVFEAPNTDGIDPDSCEDMLIEDCYISVGDDAIAIKSGWDQYGIAYGRPSMNIMIRNLVVRSMVSAGISIGSEMSGGISNVTVENLLVWDSRRGVRIKTAPGRGGYVRQITYRNITFENVRVGIVMKTDYNEHPDDGYDPTALPVIRDISFTNVYGHGVRVPVRIHGSEEIPVRNVTFRDMSVGLTYKKKHIFQCAFVHGRVIGTIFPSPCENLDRYNEQGQLVKHSMSQNVTDIDYDF >RHN45035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11004486:11008405:-1 gene:gene39230 transcript:rna39230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MDVAGAGSSTNYNRLQELKSFDESKAGVKGLYDSGITKLPQIFIIPPEHLDAGDPVSGKPTPAQFMIPVIDLKEISGSHAGIVAGVRQAAESLGFFQVVNHGIPVKLLEEMLTAAREFHEQPQVLKAEYFSREAMRKVKYVSNFNLYQSKFANWRDTLFCLMAPQSLDPQELPPLCRDVTMEYSRQVHVLGRLLFELLSEGLGLKADHFEGMDCAKGHFILSHYYPPCPEPQLTMGTTRHSDPDFLTILLQDHIGGLQVLSPHGWVEVSPVPGSLVVNIGDLLQLISNGRFKSVQHRVLANHNKSARVSVACFFTHHLLEYPTTRMYGPIKELLSEDNPAVYRETSLKDFIACYNNKGLDGNSTLSHFMLQQ >RHN60539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27919956:27920767:1 gene:gene22860 transcript:rna22860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MQIPADMVINCVITAIFIHSSNQRPKNFIYHISSSLRNPLKSSDLHNICHRYFMKTPCVNQNGKPIIISKGIPVNSFAVFNIYVLVRYVLLLMILNLVNKICRHSFQDVYEKNSRNLRMLQRLAKLYKPYVFFKSIFDDTNTEILRMATKGYLKMENEEFNFDPTSIDWTDYMMNTHIPGLIKYQTR >RHN62517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44019399:44021027:1 gene:gene25104 transcript:rna25104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MDTITKLSFLLSTLTFSLSVLGTTSYSSSSSSSSPLENFFQCLSNHSPPSYPASNAIYTPKNSSFLSILHMHTYNNRFSSRTAPKPLAIVTSLHVSHVQGTIICAKKYDLQIRIRSGGHDCEGLSYVSDVPFIILDMFHHDSVDIDVENGTAWVEAGATLGKVYYYIAKKSQVHAFPAGVCPTVATGGHFSGGGYGNLMRKFGLSVDNIIDAKIVDVNGSILDRKSMGEDLFWAIRGGGGASFGVILKWKIKLVSVTPKVTVFKVQKSVEEGAAKVVYKWQQVASELDENLFIRATFDIVNGTQTGKKTVNVTFIGMFLGLTDKLLPYLNDSFSELDLKKSDCIEIPWVNSTLYWYNYPIGTPIEALLDVPKEPLYSNFKTMSDYVKKPISEGDLGSILEFMMIKSDRMRMEWNPYGGKMHKISASETPFPHRKGNLFLIEYLTSWDEDGIEAKNLYLNMAKTFYDFMTPWVSNSPRKAFLNYRDLNIGANYPSNATTKVDIARSYGIKYFQGNFHRLVHVKSKVDPHNFFRYEQSIPPLFH >RHN65114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64317524:64320191:1 gene:gene28015 transcript:rna28015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WEB family protein MRKVDSPRGEVGEIDTRVPFQSVKAAVSLFGEVAVRRDRFAVKRRSSENVFEKETQLILAQKELCKLKKHVASAETTKAKALSDLEKAKETLESLTTKLNNVRESKESAIKAAEAVRNMGKPFEKTLSLKAIGYEAWKQELENARNEYTTKVAELDSSKQELTKIRQDFDAVLEAKLAALQATGEAQRSAKLNSERIGELSEEIATMKASIEQLKLASAQNETQSDFYKTAKEEAQKKLEALKNEYDDPELIQSLDSKLAETSAEIEVLQEQMKKIHASKMDSVKLLTSELKEATKTLQDVAAEEISLKKLVFSLRTELKQVKKEQDELKDKKQAAEVLAFNLTGEMQKSAEEAGPQPGTVDANVFYMQSCKIQKLQSETEDARREAEEMSRKAQELKQEAEASRAAAEEAEKKLELVLEEAKAAKAAEQKAIKEMKIISDVQSRLSISKFSGKIRMSKEEFESLNGKVKEYQELAEKKEAVIMAELQGMYTRKNELDIKVEANLKSVEETKAAMETALWYAEMANSAKVAIERELRRCRQPDSTSSPISNCSDNSSMLSI >RHN69771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46152046:46154805:-1 gene:gene18285 transcript:rna18285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MYASERQLSRLRLAYLKAVLSQEIGAFDTELTSGKVITGISKHMSVIQDAIGEKLGHFTSSCATFFAGMVIATIACWEVALLCLVVVPLILLIGATYTKKMNRISTTKLFYHSEATSMIEQTISQIKTVYAFVGEGLAVKSFTENMDKQYVVSKGEALVKGVGTGMFQTVSFCSWSLIIWVGAVVVRAGRAQGGDIITAVMSILFGAISITYAAPDMQIFNQAKAAGYEVFQVIQRKPLIHNESKGKMPNKIDGSIELRNVYFSYPSRSEKPILQGLSLSIPAGKTVALVGSSGCGKSTAISLITRFYDPTRGEIFIDSHNIKDLDLKFLRSNIGAVYQEPSLFTGTIKDNLKLGKMDASDEEIQKAAVMSNAHSFISQLPNQYLTESSNSIVKGKTLGGEISLLFLNKSVLSLESDSWVPNIASMIWIIIPFMRLIVPYVCLTCQVGQRGVQVSGGQKQRIAIARAILKNPPILLLDEATSALDSESEKLVQEALDTAMQGRTVILIAHRLSTVVNADMIAVVENGQIVETGTHQSLLDTSKFYSTLFSMQNLEPAPELR >RHN40979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25326852:25328754:1 gene:gene47238 transcript:rna47238 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTPISIVKAVSLAKVYEEKYTSTSKPQKHTPSNSYYHRAPFNSNKPENTQKSNHTPLLQTPPTRPMNPNQRNPNMKRISPAEMQLRREKGLCYWCDEPFSITHKCPNRQVMMLQYDDNADNLELELEKANQDITQPTSEPDNNDHHLSLNAMKGTNSMGILRFTGQIGHIDVQVLVDGGSSDNFLQPRIAEFLKLPIEPGPCFKVLV >RHN70241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49950024:49951322:1 gene:gene18802 transcript:rna18802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MRFSRKTIAIAKVVLCFFVLDFLLRSESALANNQNNVSLTIAKHLFSKESHQDKNMVLSPLSLQVVLSIIATGSEGPTQQQLLNFLQSKSTYQLNSFASTLVSVILKDAAPASNELCLMISRTRYVSISPLFSLQNTYACPDFVNIFAVEVNNEVNLWAERETNGLIKELLPLGSVDYFTQLIFANALYFKGEWNDNFDASETNVYDFHLLNGNSVKVDFMTSDEKKQFIRDFDDFKVLGLPYKQGEDKRQFTMYFFLPNAKDGLPTLLEKLASESELLQHKLPLDKVEVGDFRIPRFNISFELETSDMLKELGVVLPFTNGGLTKMVNSAVSQNLYVSKIFHKSFIEVNEEGTKAAAATAGLLCGSSLSLPTDINFVADHPFLFIIREDLTGTIVFVGQVLNPLAR >RHN65335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1277922:1278953:1 gene:gene13161 transcript:rna13161 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIQALFLVFSLLLVANMSYARKDLGDLWKNKMNKQPMPEAIKNFIQVPKALGEGKEDHSFTTDFDVNPNIILYHTHVHQDEKPFEHAARKMESLLPKRG >RHN45077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11446056:11448775:1 gene:gene39277 transcript:rna39277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MIHSSHTSCVTDFNRTSFSVFQCTRTYYGSLVFSKHFKLDFRSGFLLGYPNFKYHVILKPIKSHVGDLAPPLGWKLNEVAIESDGSSVESVNTLSSDLDRVEESYDENKVVGDDDGDGEEGEKEEYDGRVDVKELANSLQSAKNVKDVEEVLKDKGDLPLQVFSTIIRWFGKEKRVKSAMILFDWMKKRKIESNGSFCPNLFIYNCLLGVVKKSEKFAEMEVILNEMAQDGISYNVVTYNTLMAIHIEKGEGEKALDMLEEIRRNGLIPSPVSYSQAMQAYRIMEDGNGALSFFVEFREKYRKGEIGKDDDGEDWEKEFKKLERFTVCVCYQILRYWLVSSENKSSDVLRFLICMDKADISLTRAELERLVWACTREDHYIVVKELYIRIRERYDNISLSVCNHTIWLMGKAKKWWAALEVYENLLDKGPKPNNLSNEVIMSHFRILLSAARKRGIWKWGVKLINKMEEKGLKPGSNEWNAVLIACSKASETSAAVQIFRRMVENGKKPTIISYGALLSALEKGKLYDEAFRVWDHMLKLGVKPNVYIYTIMASIYTAQGNFSRVDAIIQEMVTLRIEVTVVTYNAIISGSARNGMSSAAYEWFHRMKVQNITPDEITYEMLIEALANDGKPRLAYELYLRAQNEGLGLSLKAYDAVLQSSKVHGATIDIGFLGPPPADKKTKAKVRKNID >RHN73816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20229499:20235530:1 gene:gene9686 transcript:rna9686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-V family MASDLNSGLSKKTNVFGLKAWVLMGIVVGLFIIIILVVLSICLTLRKKSRRGKSGMLPLGHILSISEEIKEISVDQVSSNNHPHNGSFMSLNDKFSDGDSGKVLIQTKNGDNSSQSGSFNRLEKDLNGSQSGEDSGGFRSISAYRSSSHPISAPSPCSGLPEFSHLGWGHWFTLRDLELATNRFAKDNIIGEGGYGVVYRGQLINGNPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGFCIEGTHRLLIYEYVNNGNLEQWLHGAMRQHGYLTWEARMKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDSFNAKISDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVLLLEAITGRDPVDYSRPAAEVNLVDWLKMMVGCRRSEEVVDPMIETRPSTSALKRSLLTALRCVDPDSEKRPKMTQVVRMLESEEYPIPREDRRRRRSQAGNTEVESQRETSDTDKSDNPDHKSNGRRNQNL >RHN46453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31845615:31846157:-1 gene:gene40940 transcript:rna40940 gene_biotype:protein_coding transcript_biotype:protein_coding MCFQYVIPCLFSTIYYFCSLRKKCYTHFIRFISPFPFHPFIIHVHRSILHLLYHLICHSCPV >RHN79930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33591215:33592003:-1 gene:gene3788 transcript:rna3788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MLEPWPRDFISLKIEEMLTESGVLRQVYMNSTPQQHAASPSMASQEQPNQSTNQEHPNERRKTWENFWSKYLQFQGNWIEETRGTLMLVATVIATMTFQSAISPPGGVWQENTLTGGLNCTTYGLCEAGTAVLAYAWPHEFIKFMTYNTISFFWSLGVVLLLISGFPLKNKVMMWVLTFAMTVAVTFMALTYVFAQGLVTPYHIIQQVYNMRYPRVVVTWGVLLLVVGLIHTLRFVFWVKKRTKLKLHTLRRVFARTNYPAV >RHN38881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2590640:2591359:1 gene:gene44856 transcript:rna44856 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSPLVPSLILLVLGILIYGSSMLSLFDMSLSFYQMASEAEEKSFTTLILVLLVLLVFVLIYFPYSFPLFSKSSYGTKRYVNVSSHDYDESGFGLGTLLLVLLFILLYNLL >RHN45410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19950389:19955834:1 gene:gene39733 transcript:rna39733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MNEMKAMPLPFEEYIGKGVLDLGSSVVASSDSYLFSQQQEQFLQRWRENCCYAGIEPNSVVVFDLKKPNQQTTPTSSSTLSSSHGSAGGGASTDSTTGAAAKDKNIPQVEGKRCGMEDWESVLSESPSQDQDHSILKLIMEDIEDASMGLSKLLQVGTSNSHSQQDVEFNGFSFMDQQSSVLDPISSNGNNNNFVTSIDSSSVVATTATDFPFGSRGMNQIQNPNFSSSQVSISHGGLFHQHQQQSIGSLDQKLPHQFVMNQNQAQFMPNPSLVFPFTYSQQQENQEIQLQPPAKRLNCGTNYEIPKIPFLDSGQEMFLRRQQQHQQQQHQQQLQLLPHHLQQRPMAPKQKMGNPGSGGGEDVSAHQFQQAIIDQLFKAAELIDAGNPEHAQGILARLNHQISPMGKPFQRAAFYFKEALQLLLQSNVNNSNNNSFSPTSLLLKIGAYKSFSEISPVLQFANFTSNQALLEAVEGFDRIHIIDFDIGFGGQWSSFMQELALRNGGAPALKITAFVSPSHHDEIELSFTNENLMQYAGEINMSFELEILTLESLNSVSWPQPLRDCEAVVVNLPICSFSNYPSYLPLVLRFVKQLMPKIVVTLDRSCDRTDAPFPQHMVFALQSYSGLLESLDAVSVNVHPDVLQMIEKYYLQPAIEKLVLGRLRSQDRTLPWKNLLLSSGFSPLTFSNFTESQAECLVQRIPGRGFHVEKKQNSLVLCWQRKDLISVSTWRC >RHN75907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46075149:46085541:1 gene:gene12166 transcript:rna12166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MDDLVSSCKEKLQYFRVKDLKDVLTQIGISKQGKKQDLIDRILSIISDEQVAKVRAKKNAVEKEQVVKLVEDTYRKLQVSGATDIASKGQVASDSSNVKIKGEVEDSVQSATKVRCLCGSSLETDLLIKCEDRKCPVSQHLNCVIIPDTPTEGLPPIPDTFYCEICRLSRADPFSVSMMHPLHPVKLSTTLVPTEGSNPMQSVEKTFQLARAHKDIVLKSEFDIQAWCMLLNDKVPFRMQWPQYADLVVNGYSVRAINRPGSQLLGANGRDDGPIITPYIKEGVNKISLTGCDTRIFCLGVRIVRRRTLQQILNMIPKESDGERFEVALARVCCRVGGGNSADDAGSDSDLEVVSDTFSISLRCPMSGSRMKIAGRFKPCVHMGCFDLEVFVEMNQRSRKWQCPICLKNYALENIIIDPYFNRITSMMKNCGEEFTDVEVKPDGYWRVKAKSESECRELGNLAKWHCPDGSLPVSTSGEDKRVETLNVKQEGVSDSPNGLRLGIRKNCNGDWEVSKPKDTNISSDNRLNADLGNHEVVVIQMSSSGSESRLDGDDPSVNQSGGGHTDYSPTNGIETNSVCHTNVDSTYGYTIPNTSAPMANAEVIVLSDSEDDEILISPTVGYGNNQTGDAVDAYSVPPPGIMDPYAGDHSIGGNPCLGVFDNPNESIFGIPSVWPLHSGTQASSGFQLFSSDVDVSDALAHGDINCSSSLNSYTLAPDTALGSSALIPNSSTDRSDTDLNGGLVDNPLAFGGQDPSLQIFLPTRPAESSVQHELRNHTDVSNGVCTEDWISLSLGGGAGGSIGDASTTNGLNSRPQIQSREDAPDSLTDSLNEADLLLAETASLLRSVDDAESDKASRKRSDGPFSFPRQKRSVRPRLNLSIGSDSE >RHN47193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37778408:37778948:1 gene:gene41759 transcript:rna41759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MQTSSHCVQVPIFYNLTQMELFSNLKHKTWPNKWKWMLEVEMLQHSPKLQHLIIHKEIENGIENKDNWEDPKIIPECLSSQLKTCLFKNYRGKMCELQFAEYVMGSSKVLSNMTIHSSIDLNAKYHMLQKLSPCLRGCKLVFE >RHN49678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:239837:240282:1 gene:gene33882 transcript:rna33882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prohibitin MVNFTLRVLSRPDTQCLPTIVRNFGLEDTLVRRAKDFNILLDDVAITDFSYDAEFSRVVELKQVAQQEAGRSKLVLMKAELERRAAIIRVEGETDATNPGGRVDATSLLA >RHN62721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45419839:45421110:-1 gene:gene25322 transcript:rna25322 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRANSISNHMCCEYNLAYIWLCVKTGKHAFKRVKCEFFIVRRIYISQCKKDTLSTIEAWRSHSLKYIRRCFQPQHSIYLTFDSRYIECY >RHN79218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26167534:26171857:-1 gene:gene2966 transcript:rna2966 gene_biotype:protein_coding transcript_biotype:protein_coding MFCHIKLQVNQRFQSSVIDSSCLLGSSSLRTSAHCNQGRRFEAAWVLTNIASGTSKNTKVVIDHGAVPVCESPCFF >RHN63921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55048847:55051743:-1 gene:gene26677 transcript:rna26677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynein ATPase MANQEKQHKKGFMYIYKIGTGNQRKKDSEQGVEKEKKLSSSRSWLQRLRNPTRSYNNKELNNGGFVEARNSTSCFELESIKGGYVEGRNSVSCIEASSSPAIKRGIIVEEGRKSVSYVDETKLAAEEKVGKFVEARKSVSHIETLSSVIEKLQVKVLVSDMPSFMQVHAFRCARRTYDSLEEFSSKHIAHNIKKEFDKAYGPAWHCIVGPSFGSFVTHSTGCFLYFSMENLYILLFKTKVKKAVD >RHN68889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39390800:39393605:-1 gene:gene17305 transcript:rna17305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MKKVSLYACLLLSVSFLVIFPYSKASQTDKLDEFILSRTSQNPPKTLSWEEEDASKTDSSAPYVTPQEGLRQADKIDTLPGQPYGVNFDQYSGYVTVNPEAGRELFYYFVESPYNSSTKPLVLWLNGGPGCSSLGYGAFQELGPFRINSDGKTLYRNQYAWTEVANVLFLESPAGVGFSYSNTTSDYDKSGDKSTAKDSYVFLINWLERFPQYKTRAFYIAGESYAGHYVPQLASTILHNNKLYNNTVINLKGISVCSSNFKRPRNAWIDDATSLKGLFDYFWTHALNSDQTHELIEKYCDFTVDFTSGNTSAICNNVTDRAYTEKGKIDFYNIYAPLCHDSSLKNGSTGYVSNDFDPCSDYYGIAYLNRPEVQQALHAKPTNWSYCSGDTDGRVPVTSSRYSINTLKLPINDAWHPWYSGKEIGGYVVGYKGLTFVTVRGAGHLVPSWQPERALTLISSFLYGSLPASVSPSN >RHN64313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58080885:58084568:-1 gene:gene27117 transcript:rna27117 gene_biotype:protein_coding transcript_biotype:protein_coding MIYYMDSLPEGHPNIDVVRKKFMNAMCICRSLNPKLKSKSSIIPWKEIKIILANQDMIPENYFGDYKRKTYSKDKLVEVEEDWATFMVEYLRDYIAQRLTL >RHN59703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12673186:12675616:-1 gene:gene21798 transcript:rna21798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II MTIALGKFTKDENDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFAVGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPQTTGFAWWAGNARLINLSGKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPGGEVIDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGIGAFLLVFKASYFGGIYDTWAPGGGDVRKITNLTLSPSIILGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGSICILGGIWHILTKPFAWARRALVWSGEAYLSYSLAALSLFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFLFVGHLWHAGRARAAAAGFEKGIDRDSEPVLFMTPLN >RHN78979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20555127:20562076:1 gene:gene2654 transcript:rna2654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WW domain-containing protein MENSPQDHHSLPPPPGVVHNYSLNLPYQPAQDALLREQELATQNIIRTQREMAAMESPSTSTKDFDNSDIFSQRHHPNALKEHLLNMATDHRAQIAVKRPNPTYPQQQGNTEIGNGYGVPGGGAYNDVPKLNADDAGNNASGQPESKLDGCSEQKPASKELPEYLKQKLKARGILKDDRHSEDPKATSAEFMGNEKLPPGWVKAKDPGSGAFYYYNESTGRSQWEKPGEASLTQQSTTVLCLPDSWVEALDETTGHKYYYNTKTKVSQWKHPNSTQKVVSDQLVSSVGHVDDQSSNLPRCMGCGGWGVGLVQAWSYCSHCTRVLNLPQCQYLSSNLINQQSSAPHPRENSDKMASKQRASWKPPIDKGNKKMGKKRAHEEDDELDPMDPSSYSDAPRGGWVVGLKSVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEVASQKKKPNSNFTPISKRGDGSDGLGDAD >RHN64826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62255846:62260556:-1 gene:gene27691 transcript:rna27691 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKFFLRVLSCCMHKTRLERGRSTYRSLLLLFELTDQITFATLRTLVTLNFHLKVKMLVITWSHFQTS >RHN50743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10052421:10054638:1 gene:gene35068 transcript:rna35068 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative choline transporter MSTWCFLVQAQDSSFLNQTLTGNIVRKIFKILFYLHLLIISLLVIILTIYGLITTSQTHNFHPMKWYPPLFISIACAGVFGFTWQWITLKNPKKALSAIFWLSPLLTCAMAIMLVYIESPISLIIGIIALVSSLIQSLYSCWVSHRYEYANKILSTSIADFPFKSMMILTFSSILIGILYCFFLVIGIGGAKAIENKTKLTSLFIMVILLSLGWTMQFLKNVIQVTISRVKYMNLGCGVMMDPSVALNDTLKYFIGSVSIGSILVPFISTFRGFARSIKIAGGDSDEFMFSCVSCYMGIASILVSCGNRFGFVHVGVYNKGFVQASCDTWDIFNRVGLVQLIDLDLTGSFCFFSGVAGGAISSLVSGIWSIVLDKNYATELSIYGFLIGYFMVRLALACPQACVSAYYVAYAENPQSTHFDSTIPMRLEQLQRSQV >RHN45662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24230832:24233290:1 gene:gene40043 transcript:rna40043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MAIQQSLLDKPRKSIPKTFWLVLSLVAIISSSALIISHLNKPISFFNLSSAPNLCEHALDTKSCLTHVSEVVQGSTLSNTKDHKLSTLVSLLTKSTAHIRKAMDTANVIKRRVNSPREEIALNDCEELMDLSMDRVWDSVLTLTKNNIDSQHDAHTWLSSVLTNHATCLDGLEGSSRVVMESDLHDLISRARSSLAVLVSVLPPKANDGFIDEKLNGDFPSWVTSKDRRLLESSVGDIKANVVVAQDGSGKFKTVAQAVASAPDNGKTRYVIYVKKGTYKENIEIGKKKTNVMLVGDGMDATIITGSLNFIDGTTTFKSATVAAVGDGFIAQDIRFQNTAGPQKHQAVALRVGADQSVINRCKIDAFQDTLYAHSNRQFYRDSYITGTVDFIFGNAAVVFQKSKLAARKPMANQKNMVTAQGREDPNQNTATSIQQCDVIPSSDLKPVQGSIKTYLGRPWKKYSRTVVLQSVVDGHIDPAGWAEWDAASKDFLQTLYYGEYMNSGAGAGTGKRVTWPGYHIIKNAAEASKFTVTQLIQGNVWLKNTGVAFIEGL >RHN38820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2247058:2247637:-1 gene:gene44789 transcript:rna44789 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGTSFFEKIFKSKGNVCLWLNINFTDFGIRMKCCIRERCDEFVEEASV >RHN77464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7015049:7026386:-1 gene:gene926 transcript:rna926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA 4-demethylwyosine synthase (AdoMet-dependent) MPFSSVPARLTLLALFSATTFYFFYKSRRLRNLKQLTLNSQNPNTNPKILFISQTNTSKTLANHLHRFLTSNNLAFDIIDGRNYEPEDLPKETLLLIVASTWEDGKPPPEYKFFADWLAESSEDFRVGSLILSKCRFAVFGVGSGGYGDNFNAVGKEIVKRMKALGGNEMIPLGEGDVNGGEVDEVFEKWCGKVVGMLKGVDDGGGDLENGEEESGALSSDEEESDEEEEAESEIVDLEDIAGKAPSRKLVATVKESNGRLNGKKEMVTPVIRANLVKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVAKSWVWEMDDPIEIVNSAIDQHTNMIKQMKGVPGVTSERLTEGMTPRHCALSLVGEPIMYPEINTLVDELHKRRISTFLVTNAQFPDKIKLLKPITQLYVSVDAGTKDSLKAIDRPLFGDFWERFIDSLTALKEKHQRTVYRLTLVKGWNAEEIDAYYKLFSIGEPDFIEIKGVTYCGSTATSKLTMENVPWHADVKAFSEALSLKSQGEYEVACEHVHSCCILLAKTKKFKIDGQWYTWIDYDKFHDLVASGSTFDSKDYMAATPSWAVYGAEEGGFDPGQLRYRKERHHKSNRKESG >RHN59698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12664669:12664918:1 gene:gene21789 transcript:rna21789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf3 MSAQSEGNYAEALQNYYEAMRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYVRLSPL >RHN48842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50629944:50630243:1 gene:gene43601 transcript:rna43601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L35A MLWLNTYCFMLFFFRSKVNQYPNTSLVQIEGVNTKEEVAWYAGKKMTYIYKAKVKKNGTHYRCIWGKVTRPHGNSGIVRAKFKSNLPPKSMVIILKWFF >RHN66368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11518859:11519946:1 gene:gene14336 transcript:rna14336 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHSCKRLLCSRSLPRDFHCSKKTPGDFYCSSTQARNFQFSKGTDGSIRQVYQIVYQIIK >RHN77667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8514503:8515793:-1 gene:gene1143 transcript:rna1143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase TKL-Pl-4 family MQDLRPEIPRDTHPKLVELLHRCWHKDPSLRPDFSEIIKFLHHINNMIAGKKKKVKVKGKGNAWACTI >RHN66659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15812164:15815367:1 gene:gene14699 transcript:rna14699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MSTSDKPEIVKRGEEQKEDDGKGGFLNTVKGFIQDIGEKIEETVGFGKPTADVSAIHIPKINLEKADLVVDILIKNPNPVPIPLIDINYLVESDGRKLVSGLIPDSGTIHAHGEETVKIPVTLIYDDIKNTYADIQPGSIIPYKVKVDLIVDVPVIGRITIPLEKTGEIPIPYKPDIDLEKIQFQSFSFEETVAILHLKLDNKNDFDLGLNALDYEVWLGDDRIGGADLAKSAKIEKGGISSIDIPITFRPKDFGSALWDMMRGKGTGYTMKGHIDVDTPFGAMKLPISKEGGTTRLKKSKEDGGDDDED >RHN63433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51265099:51271681:-1 gene:gene26131 transcript:rna26131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MEDTQEVEEVQEEVISDKTSENKEEETRDEILARHRKEISQLQKKEVEMKKQAARGSKAEQKAKKRQVEEEVSQLSAKLKEKQAKELSALGYSSGIGNEKSNMDNLVKAIAGVSVSSQPENTKVSKAKQRRDKRAQQEAEREQRIQAEQNDIISDRTVENEKLERKLKPLGLTVCEIKPDGHCLYRAVENQLAHLFGGKSPYTFQQLREMAAAYMRKHTSDFLPFCLSENLIEGDSDESIAQKFENYCKEVESTAIWGGQLELGALTHCLKKHIMIFSGSFPDVEMGKEYKSADGIGSSGSSIMLSYHMHAFGLGEHYNSVVPIPI >RHN72679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9681484:9684819:-1 gene:gene8425 transcript:rna8425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MTSKDIWIRKQQCPCGDWKCYITHEGDDSDEGSAAPESMKADKTPSDIITPYVGMVFKSDDEAFEHYGNFARKHGFSMRKARSRISPQLGVYKRDFVCYRSGFAPVKRKANGEHHRDRKSVRCGCDAKMYLSKDVVDGVSQWTVLQFSNVHNHELLEDDQVRLLPAYRKIHEADQERILLLSKAGFPVHRIVKMLELEKGIQGGHLSFLERDVRNFVQNRKKVIQENEALLNEKRENDVLELLEVCKATKEADDDFVYDFTMDENEKVENIAWSYGDSVNKNVVSGDVIYFDTSYRSITYGLLLGVWFGIDSCGRTIFFGCVLLQDETPQSFSWALQTFLQFMKGRCPQTILSDLDPGLRDAIKSEFSGTKHVVPMWNILNKVSSWFCLPLGPRYAEFKSTFNALFHIEKTEEFELKWSQMVSVFGLGSDKHIDLLYSVRPYWAPSYVRGFFLARMSTIAYSKSINAFMKEIVTEHTCLRSFFEQVGIFANFHPQSHEETQYTNLKTGIPIEEHARSILTPYAFNALQQELVLTMQYATSELYNGSYIIRHFKKLDGERHVLWLPEKEQILCSCKEFESSGILCRHALRILVTKNYFQLPEKYYLSRWHRERSLIVEDEHNNQSFIAEKWFQEYQSQAENLFSESSITKERSDYARKELMKELTRILNEVRDMSETDGVETDGICSMNVAVSPNS >RHN58888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5728155:5738112:-1 gene:gene20843 transcript:rna20843 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEQEDSQEESRTIHVKILVHKQSNKVVFVEAKKDFVDTLFSFLSLPLGTIVRLLATNNNNNDQQQHQQLLESSPFLDNIKYIYQTVQDITSNDVWNNPLCKQMLLHPRNPCESQCMKLFLNIDDTEPSSKQPRNLDSKRVDAQNQAFLRENGSTFLVFDDLKIVQSSAMTTLSLLKELGYSDLTQLEEITHNIDKQEILNLLKYSLTSHEPMTNTILKSSSKYKGNLPNQSSSAEGVKPCASGGTKMDVKVVRSISQKKIIFVEANGDFVGFIFSFLTMPLGSIVKLLDGNSIAGCVGNLYKSVEKMDSSLCTNSRTVLLNPGVAPYFGCPNQPLNIPDLQPPTTYYYGTGTPYETYNYSLKREVKVEGGVISKTKESIFNARLLTALDPKSPNMSREGVVGFVQRLALYGVGDDLKVKSLSANSFLLYLKELSLPIEDLQVEVISIGEAEALSFLKAFLTAKFTLTSGLGNLLDVPKLE >RHN68040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32320999:32327831:1 gene:gene16326 transcript:rna16326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MRPKHNLLTLTITLLCSWSLTKADPQTSLINKGCSQYNATNLSNFYQNLNATLLDLKSQVRNDSKHFATAQSARGANPVYALFQCRNYLSNSDCAACLAVADAQIRNCSAGSNGARVIYDGCFLRYESNGFFDQTTQPGNSMICGSQAANGATAFNAAAQQVLEDLQTATPKIPGFFAATKTQVVGTGNAIYAIAQCAETVTQSGCLDCLTVGFTNIQSCFPNTDGRAFDAGCFMRYSEKSFFADNQTIDIAPFLKQGGSSKKRAIIGGVVGGVALVVILLALFAWFRLKKKPKKLPRGDILGATELKGPVTYRYKDLKSATKNFNDENKLGEGGFGDVYKGTLKNGKVVAVKKLILGSSGKMDEQFESEVKLISNVHHRNLVRLLGCCSKGQERILVYEYMANSSLDRFLFGENKGSLNWIQRYDIILGTARGLSYLHEDFHVCIIHRDIKTNNILLDDDLQPRIADFGLARLLPEDQSHVSTKFAGTLGYTAPEYAIHGQLSVKADTYSFGVVVLEIISGQKSGELRDDVDGEFLLQRAWKLYEEGRHLELVDKTLNPGDYDAEEVKKVIEIALLCTQATAATRPTMSEIVVLLKSKNFMEHMKPTMPVFVNSNLRPRTDTSTSTASSTSNATAATSILSAR >RHN58725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4300067:4301687:-1 gene:gene20659 transcript:rna20659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S17/S11 MKQVVGMVVSNKMQKSVVVAVDRLFHHKMFNRYIKRTSKFMAHDENNLCNIGDRVRLDSSRPLSKHKHWVVAEILKKARIYVPPSATVSENASSNSVGPTSTS >RHN73429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16362981:16363283:-1 gene:gene9241 transcript:rna9241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MVNSKPNINIVFIGHVNSGKSTTAGHLLYKLGGIQKSAIEALGKEAAEMKMRSFKYAWVLDKLKAERERGITIDISMCKFETTKYCCTLIDAPGHRDFIN >RHN76702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:533884:535957:1 gene:gene68 transcript:rna68 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase MEIIFQYSLRNGEFYTCRESDETNLANFALSNVIGSSEAIYYWGYGVERRIKLIILIDGPDLMQVCISHVLSKEDFETLNLSRSMMERGECPLLMVVFDHAEGYTVEADKSIKDLTVIREYVGDIDFLKNREYDDGDRIMTLLSASNPSQSLVVFPDKRSNIAPFITGIDNHTPEGNKKQNMKCVRFNIGGECRAL >RHN63520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51926625:51926951:-1 gene:gene26226 transcript:rna26226 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPSKVENESSVEIQEHEEKVEKNDEVKSSIEEATKQGIKSVLYFLKDKIPGLQITTMNINAEVEGAEEDEFIIPPMLKDSNKTTSSENIEVKRKLIIWMYIMRGF >RHN62423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43338737:43343863:1 gene:gene24999 transcript:rna24999 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEKEKEQLFLWIFKFAGRKKVGMLFLCLTLISAAVFIWVFYVGKGEDSREGNTVQSIRVNESVSMSDSLSEVSTEKIALLPSPPNYFLGYHLPIGHPCNSFTLPPPPADKKRTGPRPCPVCYLPVEEAIARMPTLTSPSPVLQNLMYVYEENFSRGAEFGGSEFGGYPTLKQRNESFDVRESMSVHCGFVRGTKPGRNTGFDIDEDDLLEMDQCNGVVVASAIFGNFDEINEPKNISDYSGKTVCFLMFVDEETEKYLRGSGRLGISKKIGLWRVIVARNLPYTDARRTGKIPKLLLHRLVPNARYSIWADGKLELVADPYQILERFLWRKNATFAISKHYRRFDVFVEAEANKAAGKYKNASIDSQIEFYKKEGLTPYAEAKLPLISDVPEGCVIVREHVPISNLFTCLWFNEVDRFTSRDQLSFSTVRDKILSRVDFHFNMFLDCERRNFVIQKYHRDLLLRLAPPVTTAQIPLPSPPPPLPMLETSPEKVVASPVGRGPGRRGKDKRSGSKRHRKVVAGSTENEAS >RHN56688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32871499:32874047:-1 gene:gene32126 transcript:rna32126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MMFLFLIGVKIDLSLVTRSGKKAWAIGTFSFFVPLLMSNFIARLLGKLLITPDQILCKSIFVLAFIFSTGSFHTTAIHLADLKLLNSEMGRIAISASMVDGAFSLLWVTAKLTEKQSSSADLKHHPFTWTGVSLLVMVIIILCVLRPIMFWMIRKTPEGKPIKESYIFSVFLMILGCSLFSEVIGEHYMIGPVILGLAVPDGPPLGSALTERLDAIVSAVFLPLYFLFNGANFNIFLINTRSFVIVQIVAIFASLGKVAGTMLPSIYWKMPVTDVLSLGLLMSAQGITQLIYLQTALYLHLIDEESYGIGLIALIWITAATTPIVKFLYDPSKRYLSLNRRRTIEQSTSDIELRILACIHSQENTPSIINLLEMSSPSLKNPICFYVLHLIQLRGRVTPVFIDHQPTCNKENPPHSTSYSQHIINAFRSYEQQNSNNVVVKLFTSISPYETMHDEICMQVAEKRVCLLIVPFHRQWIPNEISESAAPIRALNRHLLRKAPCSIGILIERGTLSRNNPLTSVSFFSVGIIFIEGADDREALAYAMRMAHHPNVRVTLVRIMEPRKKNRNLTNRDPDGDLIHRFKVDCIKIKRHDYKEEIARDSVEMVNVIRSLEGCFDLILVGRRHTSESNLFSGLSEWNEYPELGPIGDMLVASDSTFDGSVLVIQQQKKSGVGYHDLNLDCGIVKTKQEPVTIVEVPNDRNVRPII >RHN72415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7438445:7439329:-1 gene:gene8122 transcript:rna8122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MMADATAESPTTILPVELMIEILARVDSNNPLQLRSVCKWWKSLVVDDQFVQKHLHKSLADITDLLSTATEHRKSFVSHQIPNQLQEEEEEDDDDDDDDEEVDEEEAAKRARMNRLAELDNLLVGVRSIKRELEIIKVDTLAMKDRMKCLESFLKIYLKSATSSSSQL >RHN67107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23961112:23963824:-1 gene:gene15252 transcript:rna15252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MEHMTNIVDAVNDENVFFGMMERGGGGEGGGCSGDGDEANLKKGPWTTGEDTILIDYVTKHGEGNWNAVQKNTGLNRCGKSCRLRWANHLRPNLKKGAFSHEEEKLIVELHAQFGNKWARMAALLPGRTDNEIKNYWNTRIKRRQRQGLPLYSDEHDLPNTPTTPTTPSQCVTPTGTSPNNITPKFEFLNQNNHQYQQQHQHQHLHPLSPTPTHHHSPLSSPLQHRQQRQHSYSPHTFIETSPTPFSSSPSPLSFTFQRPAPLLSAPFRFKRYRSSPNFSLQVPSVIQNCASLHDPSLTSHQDSFRFPIQYNSSFSQYFHTPMFESDRGVSSSSLPFSTKLELPSNQYSRLSSEQDVNVNNIEFNDPNPFQTNNSGLMGDLLMEAQTLASGQNSKKRSYLSLNEGNDMFNGCQSLDDFPLSSVYWSSNSGQKLKEEAPDLSKFMNDDISTMLTVMPSSTMQIQDWNNNNNNNASEVTNVHSSSGVVMADENFGLDFKPIASLFPLTNATNNSNDDNNNNNNNNENNGSYTWGNLPELC >RHN46529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32538511:32539752:-1 gene:gene41024 transcript:rna41024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSKETYTYCIPLIFANGNDYAVSLTEKYEMEEKNILTNLPVDVLERIMKRLPLKDYLRLRAICRSCRETVSNIIENKHCSPLPELPSLTTKSLHYLRTSLLNDDICIGSIEGWLIVCDNFGKGFAKFFFLNPVTNVRITIPSKLQFPSSAQYDGILPVKKMVASSKPNCDGSDCYLVCLLTDCCHIAIYKLFDKEWTIVESDKDSATNFTDIEIISTKLYVTNSSSNSILVYDLKDSTNGPPKAEVLGEFPRRPAGLSVYSGFLAKDKTLRELYFISMFYNGEIETQQHVSDRFKVISAFSKPPQVTSFEVFKLDANKSPIGWQNVKLEDRVAFLSNWNSMVMTRDELNYSEELVRGNSVYFAITFPCPRLNPPLSLEFGMFCLNGSCIIYLPKETLQHGDVPYPLWFVPSLW >RHN69202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41770848:41775327:-1 gene:gene17640 transcript:rna17640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MVKFVISPDIPPVFLTDAAREAGTENPAYTEWEEQDSLLCTWILSTISPSLLSRFVLLRHSWQVWDEIHSYCFTQMKTRSRQLRSELRSITKGSRTVAEFIARIRAISESLASIGDPVSHRDLIEVVLEALPEEFDPIVASVNAKSEVVSLDELESQLLTQESRKEKFKKAAISEPVSVNLTETANSESQSHGPNSQNHNYTDGTGNNQFPNSNPNFGGRNGQFRGRGGRFGGRFRGRGGRFGGRSNVQCQICSKTGHDASYCHYRFFAPQNDYYSPYGSPGGYGAPPNVWMQNMSRPQHSGQFLRPPTQAANQRGQAPQAFLTGSDPYNSFNNAWYPDSGATHHVTPDASNLMDSTSLSGSDQVHIGNGQGLAITSVGSLQFTSPLHPQTTLKLNNLLLVPSITKNLVSVSQFAKDNNVYFEFHPNHCFVKSQDSSKVLLRGILGHDGLYQFEHTKSFKTTAPVSQNSSVNTVCNKVPAQTDNSASFHLSPSTGFNFNNFQCNNVEHLPSSSTSSSTQSFPSMYGIWHSRLGHPHHEVLQSIIKLCNIKLPNKSLSDFCTACCHGKVHRLPSFASQMTYTKPLELIFCDLWGPAPVESSCGYTYFLTCVDAYSRYTWIYPLKLKSHTLSTFQNFKTMIELQLNHKITSVQTDGGGEFLPFTKYLNSLGITHRFTCPHTHHQNGSVERKHRHIVETGLTLLSHAQMPLKFWDHAFLTATYLINRLPTPVLANKSPFFLLHLQFPDYKFLKSFGCACFPFLRPYNSHKFDFHSKECVFLGYSNSHKGYKCLDASGRIFISKDVVFNEVKFPYLDLFPSQKVCSVLPDGPTLSTFLPTPVSTTFTVNSHTPQNSHSESGPHTVNSPTPQTSHSESVPTTPISNTPQTPSISSHHSESSHRNNVVLNPTPITILSPSASQNSSPESSASVTSSQSTNSESPPPVPHRIHPQNCHTMRTRGKHGIVQPRINPTLLLTHVEPTTYKTALQDPKWHLAMQEEYNALLHNQTWSLVSLPANRLAIGCKWVFRVKENPDGTVNKYKARLVAKGFHQQTGFDYNETFSPVVKPVTVRTVLTLAVTYNWTLQQLDVNNAFLNGVLTEEVYMVQPPGFESSDKNLVCKLHKALYGLKQAPRAWFERLKSSLLSFGFKSSRCDPSLFTLHTQAHCIFILVYVDDIIITGNSKLAIQNLVHQLNSEFSLKDLGILDYFLGIEVHHSPSGSLLLSQTKYIKDLLQKANMINANSMPSPMASSTKLSKFGSSTVSDPTFFRSIVGALQYATITRPEISYSVNKVCQFLSNPLEDHWKAVKRILRYLQGTLHHGLMLTPASSTEPIAITGFCDADWASDPDDRRSTSGACIFLGPNLVSWWARKQTLVARSSAEAEYRSLAQASAEIIWIQSLLNELQIKSKIPHVYCDNLSAVSLAHNPVLHSRTKHMELDIFFVREKVIRKELNVSHVPAQDQWADVLTKPLSTARFLYLRDKLRVCDTLRLKGDC >RHN55349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17533063:17533717:-1 gene:gene30519 transcript:rna30519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin MISILKSFTGPAEFYRAETQKFIGCCDCGDYLKKAERRLNEELDRVNHYLDPRTKETIANMVVKEIIENDMLRLIHMENSGLVNMICGDKYEDLGRMYNLFRRVPDVL >RHN53359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1187560:1193822:1 gene:gene28260 transcript:rna28260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MEDYLFDVMEFMKKPSITETFVDILLCAVPIWLAVMIGLVIGWSWRPRWTGLLFIGLRSKFRFLWTVPPGFGARRLWLAFTALSAFSICRRYWSNFKNKEKVLDPSSNSCSDDATDATKHAARSGDKADERDKDTVREADLEHLLHLLEGKDGEIDWQSFMERSTPNMQYKAWRYDSETGATVYRSRTVFEDATPELVRDFFWDDDFRPKWDPMLAHCKVLKECPHNGTSIVHWIKKFPFFCSDREYIIARRIWQAGNAYYCVTKGVPYPSLPKRDKPRRVDLYFSSWVIKPVESRKGDGQLSACEVTLLHHEDMGIPKDVAKLGVRHGMWGAVKKLHSGMRAYQNARKTDASLSRCALMASKTTRLSSNGNLHSLEDASLMEEREQAINNARQIGHGLDWKWVALGGTVAVVLGIHSGAVGRALLLGAGHRFARR >RHN46657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33808226:33811746:-1 gene:gene41176 transcript:rna41176 gene_biotype:protein_coding transcript_biotype:protein_coding MPMIRCKSVSEILAPLPSPIPTGRGSRSAANDVFIQFLEEKLQLPELTLPESHIPPSPAEIDLRFLPHASAGMLLRSVKEFGAFRIRSHGISGNELETIAEESEGVFKDAKKVYVERNGRCGGMIPCVRSSNGAMEFTAHNQKHRKFWVHMGNVASRLDNIVEQVTLALQQDTSQDFKERIQETESVICLCRYPHDNTPKRNERVSGLTKGILSEHALRFYLPMEHCIFYVQTERGPLSFDAGPEHIVVTVGKQLEDWSNNVFKYVPGEMIFMPSFQSSNDASFSIELTCLVSPNQNHILNNYDKIISLNDQILIVLCLVFLYKFLYFIFS >RHN46634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33531742:33534760:1 gene:gene41151 transcript:rna41151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubby-like domain-containing protein MAYPYQPQPSASAPPMPVLPTTIFGPQYCAPYPLDLAVVKKVIAISDGNFVVTDVNGNIVFKVKVSLLTFRDRRVLVDAADNPITTLRRKIVTMHDRWEAYRGESTDSKDLIFTLKRSSLIQMKTKLDVFLANNTKEDVCDFKVKGSWFERSCIVYAGESNNIVAQMHKKHTVQSLLIGKDHFMVTIYPNVDYAFIVALIVILNEINADEKGSESGLLDEVLLGGV >RHN70374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50982109:50984640:1 gene:gene18944 transcript:rna18944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MDSRSLPPDINIVPEHCPEAVREWYRLTGLHDPKESAGRKDLTLKAKQHLLSLGWKLWYIDKNGKRELRYTSPNNGKNHITLKKACKSCIEEGGCSLKHPSTPAPVTAATIISLSPSQSKKRPRESEEAGARAFSFSQLQPTERQRKSEETAATSIYSSCLLSEEQPRESEEEVTTTSIYWSPLQSNEQLRESVEAAATTTISLSPLQSKERLRESEEAAAISIYSSPLQLKVQPKESEVSVARGFSLSPFQSIERLRASDEAAATTISSSPLQLKEQPRESEEALVRAFYLSPLQSKERLRECEEAAATLIYSFPLQIKEQWRESDEAASKTISLSPLQPKKQPNESEEAAAIAMSLKSFEFTSNEDSEASSFISVKKATVTTLSTSTENEKHVIQSKPEVTNSVGSGKRGKVLKREGIRERYSLVSWLIKNKVLVSGTNVLCRGSNNVVTSGSIYCDGIVCDCCNVNFTLAGFKDHAGCTRPTSSTGIFLEDGRSLLECQREAMSSRDQNDNHCIAEANYEANNDTVCSICGFGRDIVLCDRCPSAFHLSCLDLDRVPDGDWFCPTCCCKICCRPKCKQECTDTNDNNILVCVQCEQKFHFGCVKATGYESSHMESNVKKKNWFCNIVCVNRFICLKKLLWKPIKVADNLTWTLLKNVNSDDDGRDFSSDEFSQKKRKLNVALHVLYESFYPTIDALSGRELIRDIVFSRDSVHKRLNFCGFYNVILEKMEEVISVATIRIYGHKVAEIVFLATKKEHRGQGMCRLLMDELEKQLTRLGVESMVLHSSEDAIDTWTKSFGFERMTGKDKRQFVDITFLEFQDTVMCLKPLKKPKWPYTAWL >RHN58352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1030477:1033198:1 gene:gene20257 transcript:rna20257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Type 1 galactoside alpha-(1,2)-fucosyltransferase MYMNYYFCFFLVNKLIMYMNYYFCFILFLSLGNVMIVLYHYDILSFPIINLKYKTYINKTKHKPNSCSHYFCFNENINSPLLTYLLTSHELNYFFSYKLSSLIYHINSSQNIMEILQITKDKIVKSSKRFTTLFVVIFIAFPLVIIVNSNTSFSLFEQFTKGKVLLVGEIENVKNNTNVTTNGGTVHKISDGGNIKGEFNNTMSKVQGKIQNSTKTTQERPATTTGLKNDTMTSSTNDPTLHTPIHNDKEKLLNGLLASGFEDASCVSRLQSHLYRKVSPHKPSSYLISKLRNYEEIHRRCGPNGKDYHKSMRKILNSGDASICKYLIWTPANGLGNQMVSMAATFMYALLTNRVMLVRFRKDKQGIFCEPFLNSTWLLPEKSPFWNENHVETYQSLIEKEKANNNSKLDLPSSLFLNLQYRPNLPEKFFHCDHSQALIIKVPLLILQSDQYFVPSLFMTPFFNKELEKMFVEKDTVFHHLGRYLFHPSNEAWRLITNFYQAHLAKADEKIGLQIRVFNPTLTPQEAIMNLVLNCTIHNKLLPKVLGMKNSSDKNKTIVKVVLVASLYPEYGDNLKTMYTNKSTSSGEIIEVHQASGEEQQKFNDNKHNMKAWVDMYLLSLSDVLVTTSLSTFGYVAQGLGNLRPWLLYKIISNDTHFPACEREFSMEPCYHAPPKHYCSGKPIKDFASSFIYMRKCKDFWFGVKLLSDINS >RHN81176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43846039:43854681:-1 gene:gene5182 transcript:rna5182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGSKPWLYPAPTYKPIESFWDTDEDAPGPRCGHTLTAVAATKSHGPRLILFGGATAIEGGSTSAPGIRLAGVTNSVHAYDVDSKKWTRIKPAGDPPSPRAAHAAATVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKYKWHRVVVQGQGPGPRYGHVMDLVAQRYLVTVSGNDGKRVVSDAWTLDTAQKPYAWQKLNPEGDRPSARMYATASARSDGMFLLCGGRDSSGTPQADAYGLLMHRNGTWEWTLAPGVSPSPRYQHASVFVGARLHVTGGVLRGGRAVEGEPSIAVLDTAAGVWLDRNGIVSSSRSNKGHDYDHSLELMRRCRHAAAAVGVQVYIYGGLRGDLLLGDFLVAENSPLQPVINERGSPLTSPKHNQSNFNYNAKTPSMDGGLEIPSSGGSGLDKVSLEKLREASAAEAEAASAVWQSVQAISSSPADETFVSDDNSHAADTVSDGSDTEGDVRLHPRAVVVAKEAVGNLGGLVRQLSLDQFENESRRMLPINNDSPYPTKKFTRQKSPQGLHKKIISNLLRPRNWKAPVNRRFFLDSYEVGELCYAAEQIFMHEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKAS >RHN74674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35673559:35678877:-1 gene:gene10781 transcript:rna10781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MADRKNEGFLTYAYLLIYIALSSGQIFFNKWVLSSKEINFPYPLALTLLHMVFSSVVCFVLTKVLKVLKVEEGMTPEIYASSVVPIGAMFAMTLWLGNTAYLYISVAFAQMLKAIMPVAVFVLGVAAGLEVMSCRMLFIMSLISFGVLVASYGEININWIGVVYQMGGVVGEALRLIFMEIFVKRKGLKLNPISVMYYVSPCSALCLFLPWIFLERSKMEDHGTWNFPPVILILNCLCTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLLSAALFADTKLTIINLFGYGIAIAGVAAYNNFKLKKEATPDSSNASELVESTPRQESQPLISR >RHN61268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34327508:34328479:-1 gene:gene23709 transcript:rna23709 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLYAKPVWLLSPLGIQFEGILVSFVDWLGNIIAKAPYNVIIYVISLCYGSWYARNKYCFEGRNFEAVNIVQKASNIIEDYKGLVNTHVDVEPTISISSPSSWSPPPTSTFRLNIDASELVHNKWGVVAIVRDSKDIVLVAVAWNFVALPDANIAEALEFWLSI >RHN64224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57404611:57407061:-1 gene:gene27014 transcript:rna27014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MGCFHCFVPINKETSHVYSRKVTSERSKLLSSSSPKAELESVSGPKTRDEAEANPRAHTFTLQELKAATNNFAPECFIGEGGFGPVYKGYLESAKNVVAVKQLNLKGGQGDREFLVEVLMLNLLKHKHLVNLVGYCADGNQRLLVYEYMPLGSLDEHIHDLPPDRKALDWNTRMNIALGIAKGLEYMHKANPPVIYRDLKSSNVLLGENYDAKLSDFGFAKLGPIGDMTHVSTQVVGTYGYCAPEYLMTGQLTIKSDVYSYGVVMLELISGRRALDNTRPQGERSLVEWVKSVLKNRKNFIKLVDPLLQGRCPMGGLKQAVAIAAMCVQTQASARPLIGEIVDALTYMTRTDSSPKLKRSMMIEC >RHN79185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25324966:25325295:-1 gene:gene2918 transcript:rna2918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MGFQSLVLIVIVLLVSTATNHAIPVDINDPHVIKVATFAVTEYNKHNTEANLIFEKVINGVSDVTENGTNYRLTLSANDGSTSNNFSAIVLENPADNFTLTAFALIPHA >RHN81879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49533657:49534752:1 gene:gene5975 transcript:rna5975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MFTMNQFSESHDPCSSSSERFLAETMPKKRAGRKKFRETRHPVYRGVRKRDSGKWVCEVREPNKKTRIWLGTFPTPEMAARAHDVAAIALRGRSACLNFADSAWKLPVPATSEARDIQKAAAEAAEAFRPESVFENSEERKDSEPSSTVAVSETVMEQREEEEDTVPEYLRNMVLMSPAHYWGSDCGVADVEFDETEVSLWSYSF >RHN52201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32647280:32648964:1 gene:gene36846 transcript:rna36846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MQTKGTSKLTTMYTLWSTLDGPLKIKINDRIENNDESSSLLRLLRLIPNQPVEMTTSLLRMFMSFYNSIENVSYFRVCSQNMNVTLEDVLFLTHLPITDRPIVPINSKDLQAFDQIFSIKKKLSLFELRGICCDSDRNVDVRIKAILLIIVTCLIYPNGNEQICYTSYVQYIENLEEVNSYAWGAAMLAYLYQGMKD >RHN76098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47663181:47665103:1 gene:gene12375 transcript:rna12375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MDKRVVGPHHEHSESEFSSTISTILGFINKFLMEDDDIEEDYNMFQHHEHEESSTVLGSINQFLMEEDFEKEYTMFQDSFALQLTEKSFHDVIVHTPPAAAGLPYSSSSSSSYSYSSSIKHRQNYNYVHSPNFSDYSFSSGSTSSFEPDPIIDSYNYNNNPFLLPTTLPFPPNNFVSQSNPTLFPSFNNALSHEVFKTENFEEEHFLNVSEQVKVDDNSELSELFDKLLLGTKVTKGPHQNTSFQQNEELSNRFGGFRRKRSYEEVVDLRTLLMLCAQSISCNDISNANQLLNQIKKHSSPTGDGTQRLAYFFGNALEARLAGTGSKIYRALSSKKKSAADMIRAYQVYSSACPFEKLAIIFSNNAILNEAKETESLHIIDFGVGYGFKWPAFIHRLSKRSGGPPKLRITGIDLPNSLERVKETGLRLASYCKRFNVPFEYNGIAKNWESIKVEDFNIRKNEFVAVNCLFKFENLLDETVVSENPKGAVLDLIRKTNPNIFIHSIVNGGYDEPFFVTRFKEAVFHYSALFDMLDNNNVEREDPVRLMFEGDVWGKDIMNVIACEGCDRVERPETYRHWHSRHIGNGFRSLKLNKQIIDKLKGRLRNDAYNSDFLFEVNENWMLQGWKGRILFGSSCWVPA >RHN55590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19818943:19822524:-1 gene:gene30792 transcript:rna30792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol-forming fatty acyl-CoA reductase MNWGTMQNFMKGKTILVTGTTGFLAKVFVEKILRIQPEIQKLYLLVRASNTDMASHRLQNEVFDTDLFRVLRDDWGEDFNSFISKKVVAVAGDVAVENLGIKDQNILNVMFEEIDLIVNSAATTNFDERFDISMGVNTMGALQVLNIAKKCHKVKLLVHISTAYVCGEAKEEGSIFQEKPFEMGQSLKGTSKLDIHTEMNLLEKKFDELRAMNVDEKTLKYALKDYGIERTVDSMICAYGKGKLPYFLGNPRTVLDIIPADLVINCMIAAIVINSNQAPKNFIYHVSSSLRNPLKISDVHNISHRYFMKTPCLNKDGKPIVISKGIAFKSMAAFNIYTETRYVLPLEVC >RHN75833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45437947:45438492:1 gene:gene12087 transcript:rna12087 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVSTIIHNRWVVVNQLLENHQNHHLLHPACFDFQEIFRVLFLL >RHN43159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43649227:43649712:-1 gene:gene49693 transcript:rna49693 gene_biotype:protein_coding transcript_biotype:protein_coding MYREKICFKLGEKVLFYFFEERVECSCMAGIRYKLGELFTTMTSPAAAKSKVPKNNDGGSRKGKSKKRNKNKWRRQHVHIYRRIYGSLSSNSSTVTTTLSSLSPSSPDNFSPSPTVSDSPSQSPTVPSHFFLASSKDSLISQPSTSPAYHEAIVILLTREG >RHN63960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55392099:55398861:1 gene:gene26725 transcript:rna26725 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKKKIAKKRHVAVAEHDCDPTQKSSLDFRTFHFMREKKEAYFCEIPHVSLQFQF >RHN46395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31310633:31310962:-1 gene:gene40877 transcript:rna40877 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPKHSLASTHSPPYGSKVVSVRCMVLFIWVCLGIRLQRILYVLRTISGRHGNKSRPRLDPAAPAPNLTEKSRFNWVRVWGKSEFLVRGQGQGMLIATPAPHPLFEI >RHN79786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32183213:32186880:1 gene:gene3623 transcript:rna3623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CNGC15a MEMRAYEMSSEYKHGKDAINKPSSNGRGLSRVFSEDYDAGEILVFDPRGPRINLWNKIFLAACLISLFVDPLFFYLPVAKKEKCIDMSIGLEVSLTIIRTFVDAFYIIHIYIRFQTAYIAPSSRVSGRGELIIDSSKIASNYMKKELWSDLVAALPLPQVLIWAVIPNIKGSEMIASRHVVRLVSIFQYLLRLYLIYPLSSKITKASGVMMEKAWAGAAYYLTLYMLASHVLGSTWYLLSIERQDECWKKACTLQYPHCQYHYLDCQSLSDPNRNAWLKSSNLSGLCDQNSHFFQFGIFDDAVTLEITSSNFLTKYYYCLWWGLRNLSSSGENLLTSTHVAEINFAVIVAILGLVLFALLIGNMQTYLQSTTIRLEEWRIRRTDTERWMHHRQLPHYLKENVRRHDQFRWVATRGVDEEAILRDLPVDLRRDIKRHLCLNLVRQVPLFDQMDDRMLDAICERLKPTLCTPGTCIVREGDPVDEMLFIVRGRLDSCTTNGGRTGFFNTCRIGSGDFCGEELLPWALDPRPTAVLPSSTRTVRAITEVEAFALIAEDLKFVAAQFRRLHSKQLRQTFRFYSHQWRTWAACFIQAAWFRYKRMKETNEVKEKENLMMMSNVKYYGNDDSQYFSAPLQVPKGSSYSMYSGKLVGSLRRGRSMRYGSELDMLGTLRKPIEPDFNDDGD >RHN63356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50632404:50637386:1 gene:gene26046 transcript:rna26046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dCMP deaminase MNSREVTLVATATAFGALASAIALHFFYRSQTHSSKTNPSQNGIVSSSSSSSSSRVRSSGDPFDPTKRKGYLSWDDYFMAIAFLSAERSKDPNRQVGACLVSQDDIILGIGYNGFPRGCSDDKLPWAKKSRTGNPLETKYPYVCHAEVNAILNTNHASAAGQRLYVTMFPCNECAKIIIQSGVSEVIYFVEKKLENSDVTYTASHKLLSLAGVKVRKHQPLMSEIHLKYEDH >RHN39179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4697044:4699083:-1 gene:gene45181 transcript:rna45181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MFKYFFTCFWSALSFHVIPPARSNSKKWGSLKSKHHAFSYNEILNITDNFKTIIGEGGFGKVYIGILQDRTQLAVKMLSTSSKQGYKEFQSEVQLLMIVHHRNLVSLIGYCDEGEIKALIYEYMANGNLQQYLLGLDYLHNGCKPPIMHRDLKSSNILLDENLHAKIADFGLSRAFGKDDDSHISTRPAGTFGYVDPQFQRTGNTNKKNDIYSFGIILFELITGKKALIKAPDETIHILQWVLPLIKGGDIQNIVDTRLQGEFNINSAWKVVEVAMSCISQTAAERPDISQILVELKECLSLEIVQSNTGSARDIIELTSLSTGSEITPSAR >RHN68513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36119924:36125470:-1 gene:gene16876 transcript:rna16876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MEKIGVANKMNKARPYVLTVALQFGFAGAYIFSMASFNMGMNRFVFIVYRNVIAAIALAPFALIFERKIRPKMTMAVFLRIMALAFLEPVIDQGFTFLGMQYTSASFASALMNAVPSVTFVLAVIFRIERVNMKEIRSIAKVIGTLVTFAGALMMILYKGPQIHLFYSPKTAHNSASHDTQTLKHWVSGTLFLMLGCVAWSSFFILQATFLILFFMKVIFCSILIRIMYNKVCYVCMYKLQSVTLKKYPAEMSLSTLICLVGAMQTSVVALIAERHSGAGVWAVGWDFRLYGPLYTGIVTSGITYYVQGLVLQSRGPVFYTAFNPLCMIITCALGSFLFAEQLHLGSIIGALIIAVGLYSVVWGKAKDYLSDTTTLPPSPVAMKQTEKQLPITSSDV >RHN43022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42605798:42611956:1 gene:gene49542 transcript:rna49542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MAPLTLSVTVQDSASDACTKRKQNLILKNSFASVSVGQEKPFDFLRTLFEGVIAGGTAGVVVETALYPIDTIKTRLQAARGGEKLLLKGLYSGLAGNLAGVLPASALFVGVYEPAKQKLLRMFPENLSAFAHLTAGAIGGIAASFVRVPTEVVKQRMQTGQFTSASNAVRFIASREGFKGFYAGYGSFLLRDLPFDAIQFCLYEQIRLGYMLAARRNLNDPENAIIGAFAGALTGAITTPLDVIKTRLMVQGPANQYKGIVDCVQTIIKEEGPGAFLKGIGPRVLWIGIGGSIFFGVLESTKRFLAERRPTPKLAQCCTNSEKK >RHN54970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14083298:14085692:-1 gene:gene30084 transcript:rna30084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MKSFQLANESSPLSLTQDFILPKHKRPRLSEVTFLDSIPIIDLSHYDDKNPSSMEVVHKISKACEEFGFFQIVNHGVPNKVCTKMMKAISSLFELPPEEREHLSSTDPTKNVRLINYYLQVEGEEKVKLWSECFAHQWYPIDDIIQLLPEKIGNQYREAFTEYAKEVGSLVRRLLSLISIGLGLEEDCLLKKLGEQPRQRAQANFYPPCPDPELTMGLLEHTDLNAITVLLQSEVSGLQVNKDGKWISVPCIPNAFVINLADQIEVLSNGRYKSVIHRAATNNVHPRMSMAMFFGPNPETIIEPIHELIDDEHPPKYRSYRFSKFLEEVFNHKGTRRIVKETFELPR >RHN57637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40669016:40669467:-1 gene:gene33214 transcript:rna33214 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYSFDANLWHIILLTDLLYQLLFVLHNSDSFLILVCQSNLIDYDCISLTSNLIQLQIRLHMG >RHN52442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35342973:35346330:-1 gene:gene37123 transcript:rna37123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MLAEGIFQIFTCGFPPLEDRDISLQVLAGHDFFGGGTFTKEETIRQVEMEKRAVNDMFVILSDIWLDKEETFGKLEIVLDGFESVDVVPSLFVFMGDFCSEKCSLAFNAYSSLRSQFGKLGQIIAARPRLKENCRFLFIPGPGDAGSTALPRCALPKYLTEELQNYISGAIFSSNPCRVKFYTQEIVFFRQDQLYNMRRSCLLPPSETETVDPFQHLVATITHQSHLCPLPLTKQQPIIWNYDHSLHLYPNPHTIVLGDRSPQKAFKYTGITCFNTGSFSMDSTFVVYRPCSQEVELSSL >RHN43582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47021242:47027814:-1 gene:gene50187 transcript:rna50187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Snf7 family protein MKSSMFSKLFGKTKQDQQANTLSTLEKLNETLGMLEKKESVLLKKAAAEVERAKEFTRAKNKRAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVAALRTGAAAMKTMQQETNINDVDATMDEINEQTENMKQIQELLSAPIGAAADFDEDELEAELEELEGAELEEQLLQPAITTPEASVHVPARRPTRPVHTKPTPEEELAALQAEMAL >RHN48830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50533252:50537633:-1 gene:gene43588 transcript:rna43588 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRLSKKTYSFGLEIQEVNDMILLSHKLSILEDIKEIRIDQFSTNSLMSRSLYDKFNVKQRMGSIAVNRVCTQAFFNFTIAFVRSSSVLLPWMGTLGLH >RHN75298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41070580:41072170:1 gene:gene11478 transcript:rna11478 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRTLISSDSEQLKDYGDGGTPSFGSSNYGAGPPLSPIDRPLD >RHN60217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23679366:23680243:1 gene:gene22480 transcript:rna22480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative parvalbumin MATNGFEDLLPVMANKLGGEGLIKELCNGFELLMDKEKGVITLDSLRQNAAVLGLQDLKEDELVGMMNEGDLDRDGALTQMEFCVLMFRLSPELMEESWFWLEEALQHELGSL >RHN50061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3752596:3755102:-1 gene:gene34311 transcript:rna34311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MLFCCIFAGKMSHLGFLVLGIIFSLISYVHGYGRNWGWINAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACYEIKCASDPKWCLHGSIVVTATNFCPPGGWCDPPNHHFDLSQPVFQHIAQYKAGIVPVVYRRVRCRRRGGIRFTINGHSYFNLVLVTNVGGAGDVHSVAIKGSRTRWQAMSRNWGQNWQSNSYLNGQSLSFVVTTGNGHSIVSFNVAPPSWSFGQTYTGRQFLY >RHN63076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48172734:48174346:-1 gene:gene25733 transcript:rna25733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRAPCCDKANVKRGPWSPEEDSKLKAYIQQHGTGGNWIALPKKIGLKRCGKSCRLRWLNYLRPNLKHGSFSEEEDNIICSLYVNIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLTKQRKEQQSQARRVFNQNQEIKRESGNSVWPIGFIGQTPNYWPTQHNSFPNIPVTNPSSIQNYNLKEVEFYDDHQLQPVNANNNCQYPCDIYFQQDQLYCPSTMNSINSAGLTYVNQQQLDGSISTSTESSSWGDMSSLIHSPLASDYEGGHQQTMIQEDFTFDESMFYGMIQTQ >RHN68497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35986034:35990562:-1 gene:gene16859 transcript:rna16859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar-terminal-phosphatase MNSTTFSNCRATSHSIPLSHPSRLQSSPSPNSMKFKRLGLVKNRLITRCTSGSDEFGCLNGIQLTPNKLFVQEAIGAEYGEGFETFRADGPLKVDVDYLNDKLQDGILQRIRYAMKPDEAYGLIFSWDNVVADTRALKRKAWNQLASEEGKDIPEDADIERLMLNTGADNVLNKHFLSNKDESELDRLKLRFSQIYYDNLLKVERPTEGLKDWLEAVYTARIPCAVVSSLDRKNMVEALQRMGLDKYFQAIVTEEDGMESIAHRFLSAAVKLDRKPSKCVVFEDDPRGVTAAHNCTMMAVALIGAYRAYDLGQADLAVANFSELSVINLRRLFANKGSTFMDLEKQIIDKNPPKRRLGIDTIF >RHN47729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42024438:42025728:-1 gene:gene42362 transcript:rna42362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSLANHSVPRLVNQGDCKVSLLDLPDELVDCILKFLSPQDLFRVAQVCTHLRNISRRDHLWEKHVEQKWSRLLGNDAYHEWEYHTTKYKELLVDQNLSDSLGTTSGNSSFQRIHSYLRINRSMTDLIKNHSKMALYIFLETGRFWFPAQVYKATLLTLYCYDAIVTYDSKTNTFQTRTPHGRRRVIERDIPWNMLRMPPPKTYLVDYYEYNDLNNLKPGDYIEIQTRGRKAFPLYDWAHAVVCHLESCDQDVNHCSCKDNGNVS >RHN62773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45735394:45738249:-1 gene:gene25382 transcript:rna25382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonoid 3',5'-methyltransferase MAKNILKTPALLQYILETSSYPKEHEQLKQLRETTIQKYGKLSIMNVPLDEAQFISMLLKIMNAKKTLEIGVFTGYSLLATALALPFDGKVIGIDKDKEAYETGLPFIQKAEVEHKIEFIHCDALSGIISLIDGKHEESFDYAFVDADKESFTKYHELLLKLVKKGGIIAYDNTLWSGSVAMSEDEEMEDTIRPKRKVAIEFNNFIANDTRIESTILSIGDGVTLCRVL >RHN43508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46387970:46393019:-1 gene:gene50100 transcript:rna50100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative importin subunit beta-1 MAMEVTQILLNAQAVDGAVRKQAEDNLKQFQEQNLPSFLFSLAGELANDEKPPESRRLAGLILKNALDSKEQHKKIEFVQRWLAMDQAYKAQIKALLLRTLSSPSPDARSTASQVVAKVAGIELPHKQWPELIGSLLSTVHQLPAPTRQATLETLGYICEEVSPDVVEQDHVNKILTAVVQGMNSSEENNDVRLAAIQALYNALGFAQANFSNDMERDYIMRIVCEATLSPELKIRRAAFECLVAISSTYYEKLAQYMQDIFTITAKAVREDEEPVALQAIEFWSSICDEEIDIIEEYGGEFSGDSDVPCFYFIKQALPFLVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVPLVMPFIEENITKQDWRHREAATYAFGSILEGPSPEKLVPLVNMALSFMLNALTKDPNNHVKDTTAWTLGRMFEFLHGSTLDNPIINQGNCQQIITVLLQSMKDVPNVAEKACGALYFLAQGYEDAGSGSSPLTPFFQEIVQSLLTVTHREDTGESRLRTAAYEALNEVVRCSNDDTAPMVAQLVTVIMMELHQTLENQKVSSDDRQNELQGLLCGCLQVIIQKLGASEPTKHHLMQYADQIMGLFLRVFASRSATAHEEAMLAIGALAYATGTEFVKYMQEFYRYMEMGLQNFEDYQVCAITVGVVGDVCRALEEKVLPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLLYAMPMLQSAAELSAHTNGADDDMIEYTNTLRNGILEAYSGIFQGFKGSPKTQLLMAYAPHVLQFLDSLYNEKDMDDVVTKTAIGVLGDLADTLGSAAGPLIQQSISSKDFLKECLSSDDPLIKESAEWAKLTLSRVL >RHN48335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46767431:46767699:1 gene:gene43042 transcript:rna43042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MYSRNCLKATKELRAMGICSTIVGVSSRSMEDEILKFMEAGLDEYQEKPLNNAILSSILGKITPTV >RHN42375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37858745:37863352:-1 gene:gene48810 transcript:rna48810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small subunit of serine palmitoyltransferase MNWIQRKIHLYNVTFGLFMLDWWERCTFNILVIVLMCFVVRYIAQFIKRYVFLW >RHN55717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21651457:21655202:1 gene:gene30945 transcript:rna30945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thebaine 6-O-demethylase MSRFGTSRLVPSVHELAKQSIVEVPEQFLRPNQDATHVINTDSLPQVPVIDLGKLLGEDATELEKLDLACKEWGFFQIINHGVNTSLIEKVKIGIKEFLSLPVEEKKKFWQTPNDMEGFGQMFVVSDDQKLEWADLFLITTLPLDERNPRLFPSIFQPFRDNLEIYCSEVQKLCFTIISQMEKALKIESNEVTELFNHITQAMRWNLYPPCPQPENVIGLNPHSDVGALTILLQANEIEGLQIRKDGQWIPVQPLPNAFVINIGDMLEIVTNGIYRSIEHRATVNSEKERISIAAFHRPHVNTILSPRPSLVTPERPASFKSIAVGEYLKAYFSRKLEGKSCLDVMRLENDGV >RHN81445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45972060:45975995:1 gene:gene5488 transcript:rna5488 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSKREAKFEANFHFSANAWHENRKKWVGDKAMHSPRTPKDPIISWSTSYEDLLSTHEPFAERIPLPEMVDFLVDIWLDEEGTFE >RHN49057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52140288:52141778:1 gene:gene43844 transcript:rna43844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MANINVETFLSYLSLTAPLIPNPVTDVDMLVNKLRRKYRTYVIEFDVEHGAVHLPNMFMHDFGDQIDFIATLVDSGHNQFEVYVEKHTSGIYLTRGWCALRDFYKIKLGAWVTMVFVGNGRFEISLEDRVGDKIQSPMFNPPMNFVIDRSWLPFQMMDAVPTAYVHSDISFLYSYEKKLSATELDSGWMVLAFFGFCKQTLCKGTTSINLVDECGNKWMCTVVYGTRPYKHFKIGGGWKRMVDARRLRIGCIVKLGAPADGSNQKLYFKVIRH >RHN57222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37389215:37390195:-1 gene:gene32732 transcript:rna32732 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILIMAVTKSEEKELGYKLYSCTMESVDPPLKSCWSEWSSPLLSTRFLK >RHN75328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41323496:41325314:1 gene:gene11510 transcript:rna11510 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEHVLKLFDINWFETSIFTNNKKPSSPLHSTTLLDDSTSMSQVEELHFLDTKLLRIPTLQVRSFSDENLGTKIGSFSDSLSPNSVLTSQKLKPIISGKEVEEFTLEKEVTDTKKVSHRTHRTRLRKGRKTARSLSELEFKELKGFMDLGFVFSEEDKDSGLVSLIPGLSKNQHNVDESVISRPYLSEAWGVIKQKKVVNPLLNWRVPTLGNEIDMKDNLKFWAHTVASIFLLKDQHQSITNSTNDLGVNFADLKAWVFL >RHN75223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40323028:40327211:1 gene:gene11396 transcript:rna11396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MDSIKVLVYCFLLFHFIPTFNALETIVSGQSIKDNETLISKDGTFEAGFFNFGNSNNQYFGVWYKNISPKTLVWIANRDVPLGNSSGVLNLTDKGTLVIVDSKEVTIWSSNTSTTTSKPSLQLLESGNLIVKDEIDPDKILWQSFDLPGDTLLPGMSIRTNLVNGDYKGLVSWRDTQDPATGLYSYHIDTNGYPQVVITKGDTLFFRIGSWNGRILSGIPSETLYKAYNFSFVITEKEISYGYELLNKSVVSRYLVSSTGQIARYMLSDQTNSWQLFFVGPADSCDNYAICGANSNCDIDKSPVCECLEGFVPKSQANWSLQNWSDGCVRKVKLDCDNNDGFLKHMRMKLPDTSKSWFNKSMNLEECERFCIRNCSCTAYANLDVRDGGSGCLLWFNNILDVRKLPSGGQDLYIRVADSASASELGLNKKKLAGILVGCIVFIAIILIILVVSIHRVRRKKLDKPGKNYDFNLKNHTDNKENEEIDIPIFDLSIIANSTNNFSVDNKLGEGGFGPVYKGNLENGQDIAVKRLCNTSGQGPKEFINEVKLIANLQHRNLVKLIGCCIHDDERLLIYEFMINRSLDYFIFDQTRRSLLHWTQRFQIICGIARGLLYLHEDSRLRIIHRDLKTSNILLDENMIPKISDFGLARTLWGDEAKGVTRRVVGTYGYISPEYAARGFFSVKSDVFSFGAIILEIISGNKNREYCDYHGLDLLGYAWRMWSEKMQLELIDECLGDSIAVAEPEILRCIQIGLLCVQERSDDRPDMSAVVLMLNGEKALPNPKEPAYYPRQPGSSSENSKLHSNNEVSMTLPQAR >RHN82095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51247761:51248171:1 gene:gene6219 transcript:rna6219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MNEQDYEGPQVGRFNNLLWNNMNDIRNLTSNVPNGSMKYAYKSVNIVDNQKLYAMVYCVQYLSSDNCSWCLSNAISTSCCRGKIGGRVYFPSCGLRFEFYPFSYPLASWTTIQQPQLPTATVPLSTLAYHQALHNH >RHN55361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17659310:17659926:-1 gene:gene30531 transcript:rna30531 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRERKILCIFVTTGEIHQTHSHIIANSPSFHAGEWFRRGSEHFNRIGHIICNVYTGMITLGARHHNVGRKKKQYWYTLKILIKQKD >RHN73884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21133368:21135159:1 gene:gene9772 transcript:rna9772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MARDNMELFSSILKPLLLAIVSFLPSQEATRTPILLQKWSKIWQSEENIDFNENFFVDSTSDENKQEQRKVFINFITSWIAHFPSRAINRFSLTVSNPQTCGETIERCVAFTIQRGVKELILDFPDPKWEDNDFDGKHALFQLPTHVYQLGLSLESLKLYSCGFDAQDFLNFGALKDLSLGWIEVKIKTLKKLLSICRTIESLNLKKCWNLANFDLGDEPLGLTRLVVNKCDSEYFIFNAPNLKYFKYSGVVFTSDINVRAIEEVDIDFSQESEFNERGNELCQILHDFSAANILTVCSYLLQVVPSGDEPVKMQSALNVKHLTLKTKMHSYELCGFEFMLNSCPLLEKLILDISPQLIFEDYTLPYQVDLKKFWQTRRVFPECLKNSLKVVEVIGLRATNEELMTCCFLMQGKVLEQINIKLWNEDDGKEEFRRGSAQLLVKAQKGSKNLQISID >RHN65865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6002315:6003232:1 gene:gene13757 transcript:rna13757 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MALTTSTSTSTEKKKVLFILGATGTGKTKLSINLGTQFPSEIINSDKIQVYNGLDIVTNKVQESERCSIPHHILGIIDDPEYDFTMDDFRKHVLEALDLITQNEHLPIIVGGSNSYLKKLLEDPTNAFHSKYDCCFIWLDVSLPILFPYLDKRVGEMVAAGMVDEIRDFFVPGADNTKGIRRAIGVPELDSYFEMEMKKGIDDVEKEKILKESIRKTKQNTFILAENQVSKIQNMADTLGLMINKINSTEVFEAILRGEDYQKLHQEIVIKPSMKIVKRFLEETSHGFRNAKYSNGNGKHTTNGV >RHN38883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2611669:2614156:-1 gene:gene44858 transcript:rna44858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ubiquitin domain-containing protein MPSIVISNETPSVITNDVEEIEPQPTNYINLHVKDTDDIKLYFRLKKTTQMRKLMDSIVISNETPSVITNDVEEIEPQPTNYINLHVKDTDDIKLYFRLKKTTQMRKLMDSYCDRNALDFYLMVFLFNGRRIYPHQTPYELDLEDDDAIDAVLHQQWRREPINIKVKGQDGFQASFRIRKSAALKKLMDQYCYQYCLDVNGVGLLFNGYLVQPEQTPFELGIEDGDEMLAMLHLRTGHARCL >RHN60711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29774210:29776265:-1 gene:gene23066 transcript:rna23066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VI-2 family MGTNLVLMYNNKVMIHLWFIICICINSCYSQQPYDSSNCYSNETSPGSRYTCNSTHDTCKTFLVYRANQNFQTISQISNLFNKNTNEILHINNLTSSSQILKQGKEVLIPIECTCSNQFYQAKLSYKNLESSTTFSNIACEVFEGLLKHVTLSDQNENQGNEPKFGDVIHVPLRCSCPKNYSSIMKGVIKYFVTYPLIQGDNFDKLSKKFGISLDDFLEANQLQPLSSVFPQTVVLIPIRDANGPIKIFDIPDSPSPPPNFLPTNPFTQESTQPSNLYIAGPIIGFVLFITLVASGFYMKKLRKTDDVIDSFNPTNSTTLWSPIRTSTTSCLSPDFLVGIKYCLLNYHIEEIEKATNFFSDVNKIGDFAYKGLINGIEVMIKRMRFEDTSEVIDLHSRINHINIVNLIGVCYGESDLISWSYLVFELPKNGCLRDCLMDPCNTLNWHRRTQIVFDIATCLYYLHYCSFPSYAHMNVNSRNIFVTENWRGKLADVGGVSNNLLHGTVSQKVDIFAFGVVLLELISGREKFDGKLVKDCVGFLFGEGSEGGGCFEGLRNFVDPNLKDYSLPEALCLCFLAKDCVKDDPLHRPTVDDIMKVLAKMV >RHN70341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50744503:50750037:1 gene:gene18911 transcript:rna18911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NRAMP family protein MSGPSREENSKEEVKEEDEGNRLLEVNVEGESSSEEEAAYESGEKIVVVDFEFGTVDDSTVPPFSWKKLWMFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATLMGLLIQSLSARVGVATGRHLAELCKEEYPNWARYVLWFMAELALIGADIQEVIGSAIAIQILSRGVLPLWAGVLITASDCFFFLFLENYGVRKLEAAFAVLIATMALSFAWMFGDAQPSGKELVRGILIPRLSSKTIHQAVGVVGCVIMPHNVFLHSALVQSRKIDPNKKGRVQEALNYYNIESTVALSVTFMINLFVTTVFAKGFYGTKQANSIGLVNAGQYLEEKYGGGLFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWLRALITRSCAIVPTIIVAIVYNRSEASLDVLNEWLNVLQSIQIPFALIPLLTLVSKERIMGSFKVGPVLERVAWTVAALIIVINGYLLVDFFLSEVNSVLFGFVACSCTVTYIAFIVYLISQSGALPSALVDRLPKGFCSSEN >RHN81369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45304306:45305182:1 gene:gene5394 transcript:rna5394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAPKGEKKPAEKKPAEKAPAEKTKAEKKIPKEASSTDKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >RHN68272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34144807:34147281:-1 gene:gene16606 transcript:rna16606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MANIPLYLLCIMVLLASNSIHSHSQQDEFFYAGFNEAATNITLNGGAVIEHKGIIRLTNDTERVLGHAFYSTPIHFKNKTTTKAFSFSTSFAFAIVPQYPKLGGHGFAFTISPSKTLSNGYPSQYLGLLNPHDLGNFSNHLFAVEFDTVQDFEFNDINDNHVGINLNNMVSNKSVKACFFTDGSENKQVQIQDLNLKSGSVIQAWIDYDSSRSIIEVRLSPTSSKPSSPILSYQVDLTPIFKETMYVGFSSSTGLLSGSHYIMGWSFKINGESKTLSLKNLPSLSSHNTRTRKALILGLTISFVILIVLTTGLAFYFKMKNNDVIEAWELEVGPHRFPYKELKQATRGFKDKNLLGFGGFGKVYKGVLPDSKTEIAVKQISQESRQGLQEFISEIETIGKLRHRNLVQLLGWCRKRNDLILVYDFMENGSLDKYIFEQPRAILRWEERFRIIKGVASGLVYLHEEWEQTVIHRDVKAGNVLLDSEMNARLGDFGLAKLYDHGENPSTTRVVGTLGYLAPELTRTGKPTTSSDVFAFGALLLEVVCGRRPIEPKALPEELVLVDWVWDRLRLGAALEIVDPKLAGVYDEVEVLLVIKVGLLCSEDSLERRPTMKQVVRYLEGELPLPELDVFGVKKGGGGRQFEGMYPMSPFFESVNTWSSTGGYDSSLSLSGGR >RHN73778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19845933:19848477:1 gene:gene9640 transcript:rna9640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Coiled-coil domain-containing protein MPTRISFVPAENGGGNVHSGAPPPWFETTEGVQMHPKPVLGDLVSHSNKSGKHKKLNPKRVGAAWAEKRKIEMEMEKRGETVRNECDASWFPNFGRVWQSGSRRESRKEFEKEKQELSNVEAQPEMPIKIQPYVSKRMRMDNGGD >RHN75123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39554868:39555994:1 gene:gene11282 transcript:rna11282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Def2.1 MEKKSIAGLCLLFLVLFVAQEIAVTEARTCEHLADTYRGPCFTEGSCDDHCKNKAHLISGTCHNFQCFCTQNC >RHN64051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56183326:56185974:-1 gene:gene26828 transcript:rna26828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MLFSWGRRFQQTLRYNIRPLCSQSQRSTSSSSSPLSSFDGSGNGGDERVRDFEYFLRTITSGAVVVGTTLGFWYWSSLSSPSANNSLHSFSDYATEDQFQQNYQNKSKFLFNDNYRRRVFFNYEKRLRLQSPPEKVFEYFASNRIPGGEVFMTPADLMRAIVPVFPPSESRRVREGSLRGEQFPGSLECDPSEFFMLFDTDNDGLISFAEYIFFVTLLSIPESSFSVAFKMFDIDNNGEIDKEEFKKVMSLMRSQNRQGANHRDGRRLGVKTPIENGGLLEYFFGKDGKDCLQHDKFVQFLRQLHDEILRLEFSHYDHNKRGTISAKDFALSLVASADINHINKLLDRVDELDNDPHIRDKRITFEEFKAFAELRRKLESFSLAIFSYGKVNGVLAKTDFQRAASQVCGVHVTENVLDIIFHVFDANRDGSLSASEFVRVIQRREDISSRFGFGNLITCWLNCVKGKC >RHN46781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34834821:34846921:1 gene:gene41309 transcript:rna41309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Sec7 domain, mon2, dimerization and cyclophilin-binding domain-containing protein MASSEADSRLSHVIVPALEKILKNASWRKHAKLAHECKSVTETLTSPKNIQSPNSDDSGEPEVSLPGPLHDGGAIEYSLAESETILSPLINAASSSVLKIADPAVDAIQKLIAVGYLRGEADASGECPESKFLARLIESVCKCHDLGDDAMELLVLKTLLSAVTSISLRIHGDCLLLIVRTCYDIYLGSKNVVNQTTAKASLIQMLVIVFRRMEADSSTVPIQPIVVAELMDPVEKSDVDSSMTVFVQGFITKIMQDIDGVLHPLGTPSKVAAMAHDGAFQTTATVETTNPADLLDSTDKDMLDAKYWEISMYKSALEGRKGELVDGEVVEERDDDLEIQIGNKLRRDAFLVFRALCKLSMKSPSKETSADPQSMRGKIVALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNSASTLMIVFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRVLENVAQPNFQQKMIVLRFLEKLCLDSQILVDIFINYDCDVNSSNIFERMVNGLLKTAQGVPPGVTTTVLPPQEATLKLEAMKCLVAVLKSMGDWMNRQMRIPDPHSGKKIEAVDNGHEAGDFPMANGNGEDPVEGSDTHSELSNEASDVSNIEQRRAYKLELQEGISLFNRKPKKGIEFLINAHKVGNSPEDIAAFLKDASGLNKTLIGDYLGEREELSLKVMHAYVDSFDFQGMEFDEAIRMFLQGFRLPGEAQKIDRIMEKFAERYCKRNPKVFSSADTAYVLAYSVILLNTDAHNPMVKNKMSPEDFIKNNRGIDDGKDIPEEYLRSLFERISRNEIKMKDVDLEHQQVQAVNPNRLLGLDSILNIVVRKRGEDSHMGTSDDLIRRMQEEFREKARKTESVYYAATDVVILRFMIEVCWAPMLAAFSVPLDQSDDEIVIALCLEGFRYAIHVTSVMSMKTHRDAFVTSLAKFTSLHSPADIKQKNVDAIKAIVAIADEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFAFPQNDSEKVKQTKTTILPVLKKKGPGRMQYAATTLMRGSYDSAGIGSNAAGAITSEQVNSLVSNLNMLEQVGSSEMNRIFTRSQKLNSEAIIDFVKALCKVSMEELRSPSDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSGNLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVIVMRKSSAVEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFTTAAYDDHKNIVLLAFEIIEKIIRDYFPYITETETTTFTDCVNCLIAFTNSRFNKEISLNAITFLRFCATKLAEGDLGSSSRNKGKETSGKISTASPRTGKEGRHDNGEVTDKDDHLYFWFPLLAGLSELSFDPRSEIRQSALQVLFETLRNHGHLFSLPLWERVFESVLFPIFDYVRHAIDPSGNSSQVSEVETDGELDQDAWLYETCTLALQLVVDLFINFYSTVNPLLKKVLMLLISFIKRPHQSLAGIGIAAFVRLMSNAGELFSDEKWLEVVLSLKDAANATLPDFSFLDGGDFVTRNDQHTSKAEDDRDPAESSSHDNAESPRTDRLYAYLSDAKCRAAVQLLLIQAVMEIYNIYRSQLSAKAMLVLFDAMRNVASHAHKINSNTILRSKLQEFGSMTQMQDPPLLRLENESYQMCITFLQNLIVDRPPSYEEVEVETHLVQLCQEVLGFYIEVAGSGSGSGQVSESSHGRQQHWLIPLGSGKRRELAARAPLIVTTLQTISNLGDSSFEKNLVHFFPLLSSLISCEHGSTEVQVALSDMLSLSVGPLLLRSC >RHN74854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37169826:37177208:-1 gene:gene10980 transcript:rna10980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MKPIMNKSLHLLFLSFCLISSFASGATLLQEEAQVMKDVAKTLGKKDWDFNKDPCSGENNWKSSVKVKGFENAVTCNCTFANATVCHIVSIVLRSQNLSGTLPKELVRLPYLQQIDLSNNYLNGTIPPQWGSMNLVNISLIGNRLTGSIPKELGNISTMQKLILKFNQLSGELPPELGNLHQLERLLLTSNFFTGNLPATFAKLTKLKHIRLCDNQFSGTIPYFIQSWTILERMVMQGSGLSGPIPSGISYLKNLNDLRISDLKGSDSPFPQLIGLTNIETLVLRSCNLIGEVPDYLGHITTLKSLDLSFNKLTGPIPNTLGGLKNINMLYLTGNLFTGPLPNWIARPDYTDLSYNNLSIENPEQLTCQQGTVNLFASSSKRNNLGRVSCLGNFSCPKTSYSLRINCGGKQITSNESLTYDDDSSQVGPASFQRTGSNWALSNTGHFFDSNSSLADYYTWSKKTNLAMENAKLYMDARVSPLSLTYYGFCLGNGNYSVNLHFAEIMFTDDQTYNSLGRRIFDIYIQGRLVLKDFNIAKEAGGVGKAIIKNFTANVTSNTLEIRLHWAGKGTTGIPFDSVYGPLISAISVDPDFTPPVEKRSSMPVWKIVVTAVAGGLVILLVFSIYWWRRCRQHIGPLERELKGLDFQPGLFTLRQIKAATNNFDIAFKIGEGGFGPVYKGVLSDGKIVAVKQLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCMEGDQLLLIYEYMENNSLACALFAKENCPLKLTWSTRKKICVGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNPKISDFGLAKLKDDGHTHITTRVAGTYGYMAPEYAMHGYLTEKVDVYSFGVVALEIVSGKHNTMNKPRDECFSLVDWVHFLKEEGNIMDLIDERLGEDFNKEEAMIMINVALLCTRVSPMHRPTMSSVVSMLEGQSDVEEVIQDTSQVFEGNKLEIIQQYHQELEIIQQYCEQSEKVYTPETQEESILTNATSEFTSHTDMHSFNIDSPYRSFNTL >RHN72402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7321494:7328217:-1 gene:gene8108 transcript:rna8108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MSHDQRKKSSVDVDFFTEYGEGSRYKIEEVIGKGSYGVVCSAYDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIMTDFLGTPSPDAIARVRNEKARRYLSSMRKKKPVPFSHKFPNADPLALRLLERMLAFEAKDRPTAEEALADPYFKGLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEFLEGAEPTGFMYPSAVDLFKKQFAYLEEHYGKGGTVAPPERQHASSLPRACVLYSDNTMQNTAEVADDLSKCCIKEVERPPVDRSSDIHPMTRIPLQAPQNMQGLAARPGKVVGSAMRYNNCGVAVTAETEQRRVVRNPPVSSQYAASSCSYPRRNPNYKSERVEDDVIEGSNGLQPKPQYIARKVAAAQGGAGGQWY >RHN48158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45487707:45490312:1 gene:gene42845 transcript:rna42845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone H5 MTSSVEEPTVSAVEQTIVEEPAAVDPLPPVVNESDEPTAAKPKKAPKEPKPRKPASKNTRTHPTYEEMVTDAIVTLKEKNGSSQYALAKFIEEKHKNLPANFKKILLVQIKKLVASGKLVKVKGSYKLPAKSAAPAKKPAAAKPKPKPKAKAPVAKAPAAKSKAKAAPAKAKAKAKAKAAPAKAKPAAKAKPAAKAKPAAKAKPVAKAKPKAKAPVAKANAVPVAAKAKPAAKAKPAAKAKPAARPAKASRTSTRTSPGKRAAAAKPAVKKAATPVKKAVPAKKAATPVKKAAPARKAPAKGGVGSEKGEG >RHN55060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14802728:14806327:1 gene:gene30186 transcript:rna30186 gene_biotype:protein_coding transcript_biotype:protein_coding MASNCSRKCFQIASSSSKTLLSRRSSSSSNSCKFNSSASSSSSSFQASPQKRLLSYSIRFPVQLAGTQVSLTPLHSVTASALFTSLLSLHNNTWGVLSEADVT >RHN48294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46402487:46410823:-1 gene:gene42996 transcript:rna42996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative iron-chelate-transporting ATPase MEGGGSFRISSSSIWRSSDAAEIFSNSFHQEDDEEALKWAAIQNLPTFARLRKGLLTSLQGEAVEIDIEKLGLQERKDLLERLVRLAEEDNEKFLLKLKDRMDRVGVDLPTIEVRFEHLNIEAEARVGSRSLPTFTNFMVNIVEGLLNSLHVLPSRKQHLNILRDVSGILKPSRMTLLLGPPSSGKTTLLLALAGKLDPKLKFSGRVTYNGHEMSEFVPQRTAAYVDQNDLHIGEMTVRETLAFSARVQGVGPRYDLLAELSRREKDANIKPDPDIDVYMKAVATEGQKANLITDYILRVLGLEICADTIVGNAMLRGISGGQKKRLTTGEMLVGPTKALFMDEISTGLDSSTTFQIVNSMRQDVHILNGTAIISLLQPPPETYNLFDDVILLSDSRIIYQGPREHVLEFFESIGFKCPDRKGVADFLQEVTSRKDQEQYWDHKDQPYRFVTAEEFSEAFQSFHVGRRLGDELGTEFDKSKSHPAALTTKKYGVGKWELYKACSSREYLLMKRNAFVYIFKLCQLAVMAMIAMTLFLRTEMHRDSVTHGGIYVGALFYGVVVIMFNGMAELSMVVSRLPVFYKQRGYLFFPAWAYALPGWILKIPLIFAEVAVWVFLTYYVIGFDPYIERFFRQYLILVLVHQMATALFRFIAAVGRDMTVALTFGSFAIAILFAMSGFVLSKDSIKNGWIWGFWISPMMYGQNAMVNNEFLGNKWKHVLPNSTEPLGVEVLKSRGFFTESYWYWIGVGALIGYTLLFNFGYMLALTFLNPLGKHQTVIPDDSQSSEKIGGSRERSNVLRFIKDGFSQITNKVRNGESRSGSISPIRQEIVASETNHSRKRGMVLPFEPHSITFDEVTYSVDMPQEMRRNLGVVEDKLVLLKGVSGAFRPGVLTALMGVTGAGKTTLMDVLSGRKTGGYIGGNITISGFPKKQETFARISGYCEQNDIHSPYVTVYESLLYSAWLRLSPDINAETRKMFVEEVMELVELKPLQNALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFESFDELLLLKQGGQEIYVGPLGHNSSNLINYFEGIHGVSKIKDGYNPATWMLEVTTSSKERELGIDFAEVYQNSELYRRNKALIKELSTPAPCSKDLYFASQYSRSFWTQCMACLWKQHWSYWRNPEYNAIRFLYSTAVAVLFGSMFWDLGSKIEKEQDLFNAMGSMYSAVIVIGIKNANSVQPVVAVERTVFYRERAAGMYSAFPYAFAQVVIELPYVFVQAVVYGIIVYAMIGFEWSVVKFLWCLFFLFCTFLYFTYYGLMSVAMTPNNHISIIVSSAFYSIWNLFSGFIVPRPNIPVWWRWYSWANPIAWSLYGLVVSQYGDEKHNIETSDGRQTVEGFLKNYFDFKHDFLGVVALVNVAFPIGFALVFAISIKMFNFQRR >RHN40736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20087243:20087488:1 gene:gene46931 transcript:rna46931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sec1-like protein MHCTNVKPKPTNNRNNNNNEQRSIQDPNLRQILSNILSLLIHVKDFCKHGVTLYFLIDKDRKPVHDVPAVYFVLAVIVSKD >RHN41450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30096309:30096752:1 gene:gene47773 transcript:rna47773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MLCLFNVCSILYIVLFLNNDEVFWRPWHDSIWNILYGFLGHVGNSSAESGLTKSKDVGGFTKITSSSSSTSGSSKKARAINNGTQIVSSLADGCQADLSNYRGYHMRHKVCKLHSKTSQVTLGGHKQRFFFF >RHN76820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1544892:1548053:1 gene:gene199 transcript:rna199 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSKELLQLERKGTPLSSLESTLLVCDSNKKESNNLTKRLSTSPLPPSQLLGKVKDFLGVMSEANKQLEHDAKEHPEKYDIEGLTGNESEVIEMDLMLGVADLNTPEAVAAAESAISNSQPVISLAADDDSETDSEEESSTDDDGGEIDSNFDDCDNGNDGNKPMSIDQKPTSGKDNIPEKQKGNRRSKKRPAIVELP >RHN68670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37633732:37634929:-1 gene:gene17052 transcript:rna17052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MYDISTFLYSTCEAILNILCPKIRKGYIQHFLHPRVFLFILLPFRAPRENKNSAMVRNQQVKVCSSCTHHCRFFDHFQRTKSTSPNSFYNLMLYQRDFQVLDLPSKLNPTMSLLVDQKINLEDSTGKRWAITVSEVDGSFAFKKGWDDFSSAHKLEIGDLLVFNCINKYNFDVKIYDQSMCERIDFSDKRKRKKRSRCSSGSLDAGGTPNSVEVVSENVNVDRSVKCPRTSEDTSYIRNHVYLNDAAIFNNDPMFEEVLGSGDTSYASKFGLLDRKDFFWSQVQDSQVTESLGTIIYTITLFLFCNGISLLKIVQDSQFLVIRVMISYSWFFIIFSLHWLIFRK >RHN59093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7422623:7425204:-1 gene:gene21081 transcript:rna21081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MGIECEIPILDFPTSGVIEESEGWKEMCKKVREAFENHGCFIVRGDEILNQSREELFTGMKSLFDLPEETKQKFFSPNAYRGFTNKGDHIPHADSFGIYDTLKADTVDEDFVNLLWPQGNPTFSKSLTSMTSKMRELSLLVLKMVVESFGLPQRYNLEVEQLNSNNNTRLTKYKLPEDSKDSEIALAPHSDKCTLSLICDNGVQGLQVLSKTGNWVDVNIPQNGFVVQVADALKAWSNGIFQACNHRVVTRGDKERIAFILMAIPKENMVIEVPSELVDDENHPLRYRPFKYEEYIHYRYLNPVQEGALEKFGGL >RHN70981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55593582:55601609:-1 gene:gene19621 transcript:rna19621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leishmanolysin MELIIRRTSSFNSKIRFILLVFQIILILALVEAHNAHPHEDQLQWGGLERNTNNIVSHSCIHDQILEQRKRPGRKIYSVTPQVYEPGRLKPLQNKGRTILEVSTSSESQNDVKEPIRIYLNYDAVGHSPDRDCRKVGAVVKLGEPPTTSLPGSPFCNPHGNPPIVGDCWYNCTSEDISGEDKKRRLRKALGQTADWFRRALAVEPVKGNLRLSGYSACGQDGGVQLPRGYIEEGVPDADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRDQVTEQVMDEKLGRMVNRVVLPRVVMHSRYHYAAYSGNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYKANYSMADRLDWGRNQGTEFVTSPCNLWKGAYHCNTTQYSGCTYNREAEGYCPILTYSGDLPQWAQYFPQANKGGQSSLADYCTYFVAYSDGSCTDTNSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSMTQGNGCYQHRCINSSLEVAVDGIWKVCPHAGGAILFPGFNGELICPAYPELCNSDPVSVSGQCPNSCNFNGDCVDGRCRCFLGFHGHDCSRRSCPGNCNGNGMCLSNGICECKTGYTGIDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSMLLSSLSVCKNVLVNDVSGQHCAPSEPSILQQLEEVVVMPNYHRLFPGGARKLFNIFGSTYCDEAAKRLACWISIQKCDKDGDNRLRVCHSACQSYNIACGASLECSDQTLFSSEGEGEGQCTGFGEMKLSWFSRLRNGFSLRNNSSLKGMSVRYRKL >RHN41224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28397011:28398005:-1 gene:gene47523 transcript:rna47523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MLYIDLGVMISEVALLSGCPSLEIFDGYFCCDPPDYVTKLLIPPSSRSKRSKSTTDNFTWSWSYLNVRGNTELGIIGHFHSMMEAFLDVFSPVESEFVDPILKHLRDHKEYMNLLSCHSTSKSPLHATVLNYPEFRNLLHLKFILPCFNSNVLVNVLEKCQMLQVLIIQSKKEKLSPLRTWQPESTTVPECLKSHLTYIHIEGYQGFEDELTFAEYLLRNGLVLQTMLIFVDTSMHTTNKYLSVKRLTDIPRGSVTCQLKFDPAVSL >RHN48909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51071361:51072057:-1 gene:gene43675 transcript:rna43675 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASCSRKFKDRLLDCNSFMFIDLYLQHPQNAPLSLQYLLGQLQLQLHQPR >RHN74146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29196841:29197389:1 gene:gene10146 transcript:rna10146 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGRTYQTPVRHFWVRAHVYDKTEAKLEEIEKILIDPTLEGKLREEMGLEPFVCTEIRSSIMGIPVFISEDSIAHVIKRASEGSYKGGIGNSKTSSWNEVVNQSMFNSNKKGVYADLSMEKKMLLKIQNENLLPKGGGCYQPSLEHIIFIHFFITRERANVPRYFFKHMIQQLREIQEKKR >RHN51797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25313920:25316169:1 gene:gene36323 transcript:rna36323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQVIMFVGALIIFLSLFLVETKKTDIPCDSRNDCPQQILPRYVLCVNGLCRIYFP >RHN78587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16466224:16467198:-1 gene:gene2206 transcript:rna2206 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVPANMPHFGFSPCKKNLLFLVLVKSKDFAGTISLINGFSHHVPRVQIITKVEPGTKIKMRHICRDQKQQKKFTVTKTKTRHI >RHN69302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42444063:42445479:1 gene:gene17751 transcript:rna17751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA reductase MLLNGYEPMTWIESVVKYLAMFSACTSLEYKHHGIDIQCQAPMFVSTKMTCDMKTSLFVPTPDKYSKACTKWIGYEKLVVPYFFHNLQSFLIRKIPDVLMDSYMLRFFLYRREKGLIDDSQIKGLEASCNSETNQH >RHN42133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35658378:35661643:1 gene:gene48534 transcript:rna48534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MVDLDNVSTASGEASISSSGNNNIQSPIPKPTKKKRNLPGMPDPEAEVIALSPTTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKEIRKRVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKKYAVQSDWKAHSKVCGSREYKCDCGTVFSRRDSFITHRAFCDALAEENAKSQNQAVGKANSESDSKVLTGDSLPAVITTTAAAAATTPQSNSGVSSALETQKLDLPENPPQIIEEPQVVVTTTASALNASCSSSTSSKSNGCAATSTGVFASLFASSTASASASLQPQAPAFSDLIRSMGCTDPRPTDFSAPPSSEAISLCLSTSHGSSIFGTGGQECRQYVPTHQPPAMSATALLQKAAQMGAAATNASLLRGLGIVSSSASTSSGQQDSLHWGLGPMEPEGSSLVSAGLGLGLPCDADSGLKELMLGTPSMFGPKQTTLDFLGLGMAAGGSAGGGLSALITSIGGGSGLDVTAAAASFGNGEFSGKDIGRSS >RHN42776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40902277:40905876:-1 gene:gene49263 transcript:rna49263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Mg2+ transporter protein, CorA-like/Zinc transport protein ZntB MGGKKTSKTKSLLSFRRRSNKLPSPPPRSPPPTLEITGSPSENRLVPKPKKKTGGARLWMRFDRFGKSELVEWEKNTIIRHAAIPARDLRILGPVFSHSSNILAREKAMVVNLEFIKAIVTAEEILLLDPLRQEVLPFVEQLRQQLPHKTQPKLLGGAGGGDESVPEGAEELPLPFEFQVLEIALEVVCTYLDKNVAELEKGAYPVLDALAKNVSTKNLEHVRSLKSNLTRLLARVQKVRDEIEHLLDDNEDMAQLYLTRKWLQNQQLDAHLGATASNNLLNTSHSVRRINSTRSGSLVTSSDDNDVEDLEMMLEAYFMQLDGTRNKILSVREYIDDTEDYVNIQLDNHRNELIQLQLTLTIASFAIAFETLVAGAFGMNIPCTLYTQNGIFWPIVGGMTAVSILLFLVVLAYAKWKKLLGS >RHN42459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38542450:38543236:-1 gene:gene48903 transcript:rna48903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MAANEKRKTNPNWSLKLKMLLPLNTIKILCLRKETVHLALEMLNDIPEVDAWEEWLKQTLFMHVARALCGFKNLEAAKKLILKMIADNQRPSIYVINIIITAYVKAGEIGQVLEMVMLLERRSWTNAKRRIVSLLYHTLIAGYCRLQKFDIALKSLTRMKDFGVSHINLDEYQKLIHSLSLMAMDIKMAREQLEEMEPMDRKMAEKQLSKIAAMDLHMREEKLEEMYLSIKEFIHVPE >RHN66738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17920431:17927225:1 gene:gene14809 transcript:rna14809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative initiation factor eIF-4 gamma, MA3 MGRGEGSESSEEEGQFVRNREEKRDRRGADVEDLRRKHRGSEDLEEEVDRKKNRDRDRDNRRRYKGSSDEERDVKRIRDRERRHRADRVRDNDNDKERDRRRRYKDDSDEEYDKKRNLDRERRHRVDRDDDNDNEKDRKRERDRRDDDDRRNVERRDRVEKNGGDNEREESKKKEEGSGRARTVIPASVINGDASKLGKSGGVYIPPFKLARMMKEVDDKSSPEYQRLTWDALRKSINGLVNKVNATNITNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAAMVAVVNTKFPQVGDLLLRRIVLQLKRAYKRNDKPQLLAAVKFVAHLVNQQVAHEIIALELLTVLLEKPSDDSVEVAVGFVTEVGSMLQDLSPRGLHGIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRPELDLVEQEDQLTHEVSLDEEIDPETSLDIFKPDPNYLENEKRYEELKKTLLGEEEESEGEEGSDAESDEDDESDEEDEEAMQIKDETETNLVNLRRTIYLTIMSSVDFEEAGHKLLKIHLEPGQEMELCTMLLECCSQERTYLRYYGLLGQRFCMINKVHQENFEKCFVQQYSLIHRLETNKLRNVAKFFAHLLGTFALPWHVLSYIRLTEDDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPTMQDSFESIFPKDNPKNTRFCINFFTSIGLGGLTENLREYLKNMPRMIMQQQKQVSDSDSDKNSASSDSSDSGTSSESESSSDESDRERRKRRRK >RHN68854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39115319:39118846:-1 gene:gene17265 transcript:rna17265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ceramidase MAQRPIITVLGLVCFWSWMQSTYGEYLIGVGSYDMTGPAADVNMMGYANIEQNTAGIHFRLRARTFIVAENLQGPRFVFVNLDAGMASQLLTIKLLERLKSRFGNLYTEENVAISGIHTHAGPGGYLQYVVYSVTSLGFVTQSFDAIANAVEQSIIQAHNNLKPGSIFINTGDVKEASINRSPSAYLLNPAEERSRYPSNVDTQMTLLKFVDSASGKSKGSFSWFATHGTSMSNNNKLISGDNKGIAQLIKATGGKDCNEKSSQASKVRKNDGSLFVGAFCQSNVGDVSPNVLGAFCIDSGKPCDFNHSSCNGNDLLCVGRGPGYPNEILSTKIIGERQFRSAVELFGSASEELTGKIDYRHVYLNFTNIEVELDNKKVVKTCPAALGPGFAAGTTDGPGVFGFQQGDPEISPFWKNVRDFLKEPSQYQVDCQNPKPVLLSSGEMFDPYPWAPAILPIQILRLGKLIILSVPGEFTTMAGRRLREAVKETLISNSNGEFNNETHVVIAGLTNTYSQYIATFEEYHQQRYEAASTLYGPHTLSAYIQEFNKLAQAMAKGDKIYGNGTSPPDLLSVQKSFLLDPFGDTTPDGIKLGDIKEDIAFPGSGYFTKGDKPSATFWSANPRYDLLTEGTYAVVERLQGERWISVQDDDDLSLFFRWKVDNTSFHGFAAIEWEIPTDAISGVYRLKHFGASKKTIVSPINYFTGASSAFAVQ >RHN69372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42973099:42977641:-1 gene:gene17829 transcript:rna17829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MVTVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTNKWVEEVRAERGSDVIIVLVGNKTDLVEKRQVSIEEGDAKSRESGIMFIETSAKAGFNIKPLFRKIASALPGMESLSTKQDDMVDVNLKSTAYSSRTEQQGGGCSC >RHN62767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45713504:45714262:-1 gene:gene25374 transcript:rna25374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative U4/U6 small nuclear ribonucleoprotein Prp3 MEYSVETRYSLHKRKDREHSEDRELDDKIIRVSEAKKERRRFGDKLGSLSSSDALAKAKKALQIQKLLSEKLKKIPQLNKRTKAPFLRLDAQGREIDEHGNVVNVTKPSNLSTLKVNINKQKKDAFEILKPVLDVNPESNPHFDERMGIDKTKLLRPKRMNFLFVEEGKWSRDAESIKWKSKFGEAQAKEQKDKQAQLAKAKAAPDINPNLIEITERVAMKAKLKDPIPDIGWWDVELLHSGNYGDIANGTI >RHN74655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35534984:35536884:1 gene:gene10760 transcript:rna10760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MANEVVLLDTWFSMFGMRVKIALAEKSVKYECKEENLRNKSPLLLEMNPIHKKIPVLIHNGKSICESAIIVQYIDEVWNDKASFMPSDPYERAQARFWFDYIDKKVYATWRTMWLSEEEHEERKKELISIFKTLEEILGDKTFYGGATFGFLDIGLIPFYSWFYTFETYGNFKFEVECPKLMAWVKRCMEKESVSKTLPDEKKVYDYVVSMKKALGFD >RHN77208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5081410:5081840:-1 gene:gene635 transcript:rna635 gene_biotype:protein_coding transcript_biotype:protein_coding MKILICFSILLLVFSMNVGNQMTMPEAKQCELYAPHFCRKWLVLICNTFCKFKCKSIHAKGKCFDDESCHCYCC >RHN43923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49482497:49483855:1 gene:gene50560 transcript:rna50560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MVGSTHNGGGDSGKSLMEDLLGLLRIRIKRGVNLAVRDVNTSDPYAVVKMGKQRLKTHVIKKDVNPEWNEDLTLSITDPVVPFKLTVYDYDTFSKDDKMGDAEFDLSPYIEALKTNLEGLPEGTIITRIQPCRQNCLSEESCITYSDGKVVQDVVLRLRNVECGEVEIQLQWIDLPGAKGLY >RHN57744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41434366:41436825:-1 gene:gene33328 transcript:rna33328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TMEM14 family protein MHDFCFTIPYGLVLVGGGLFGFIHKGSTASLAGGVGTGLLLILAGYLSLNAFGKRKNSYLALAIEILCAGVLTWIMGQRYLQTSKIMPAGMIAGLSALMTLFYLYKLATGGNHIPATAKAD >RHN59144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7867978:7868781:1 gene:gene21135 transcript:rna21135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynamin GTPase MLVDLPGLDHHIMDKSTVSEYVEHNDAILVVIVPAAQALEIESSRALKLAKERRRSSFLPFIGTRIVGVISKIDQAASDQKAIAAVEALLLNKGPTKAQDIPWVALIGQSVTTTTLKSRSSGSENYFETAWRAESESLKSILTGAPPSKLGRMALVDALAQQIQNRMKLRVPNL >RHN41595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31381661:31383713:1 gene:gene47932 transcript:rna47932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MMKNMTKAIKFVYIMILFLPPILVGAGEIPYHQCKFDMECMLMKCVPGKVNVCSLGRCYCVNSFFPHGRKN >RHN54706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11472737:11475956:-1 gene:gene29784 transcript:rna29784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP-dependent synthetase/ligase, AMP-binding enzyme domain-containing protein MAANFNCDMPQNTITHPSWYSPKTGIYNSIHSPRSLPTDPFLDVVCFIFSHPHDGVLALIDSLSGTSISYSNLLTLVKSLASGLHKMGVSQGDVVLLLLPNSIYYPIALLSVMYLGAVVTPLNPLSSVDEIRKRVNDCGVSFAFTIPENVKKLEPLGIPIISVPENEKDLKHACFSCFFNLISGNFVLPQKSVIKQEDTAAILFSSGTTGVSKGVVLTHRNLIAMVELSARFEASKYEYSSSKNVYLDVLPMFHLYGLSLFATGLLSLGSTIVVMRKFDIDETIRVIDKYNVTHFHVVPPILTALTAKAKGVNGSKLQSLRQVGSSAAPLTTKAINDFVQAFPHVDFIQGYGMTESGTVGACGFNTEKFRNYSSLGLLAPNMEAKVVDWNSGAFLPPGRSGELWLRGPSTMKGYLNNEEATMSTVDKDGWLHTGDIVYFDQDGYLYLSDRLKEFIKYKGFQIPPADLEAVLILHPEIADVAVTGAKDEEAGEIPVAFVVKKVGSVLSPKDVIDFVAKQVAPFKKVRKVVFTDKIPRSVTGKILRKQLRNCLPSKL >RHN66683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16449833:16457500:1 gene:gene14733 transcript:rna14733 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKIQFTPEFHLTQTHFMNKLSSKLKPTISFRSFCSSSLSQTQAQTQTQNPEIIVPVRRKRRVVSSSSSDAQLKENWLASISYSSPANSTHFLSEDQNLTPKIEGCKWFLGIDPDVSGAVALLKMHDSVCSAQVFDSPHVQLLVGKRTRRRLNANSIVQLIRSFDAPAGTIAYIEQSIPFPQDGKQGWWSGGFGYGLWIGILVSSGFTVVPVPSFTWKAKFELSGSKNAKDDSRKLASTLFPSLSSLLTRKKDHGRAEALLIAAYGKDQNKSQ >RHN44099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1623635:1628318:1 gene:gene38158 transcript:rna38158 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSEKTGPELELDKIVEGGDLGKEENNVTNIESSVAISEQKEQNVVEEIPSLSCRDEENVEVNIIGNSGFKGVEDNCVDLTVTESSSSSSFGHTDTSSDSAFGDSEEVESQRRHKVWRQPLLLRKKKLTDHWRRYIFPIMWRSKWIELKIKKLNSQAQKYVKEIAAIDQQKQFDFLKFAVDDFGVKSVPRSEGIQRTKVMWRKNRKRAEECDLSSYMSNHCFFSYYENKNQAHDGPVEGFHDDVVGNADNIGEIKLNDMWSSVDHQKDNDKSFVDMIENVEALLSQVENLKTRIDTVKNENPGKFCSATQSNIIGPSNGFNRSVHNSASFAGKEIPVPGSFAGKSELFAEDQLMTDNALSTREGITPSIESANRNQFEVQGENVEEKSNESVEEKKSISRDQVSDSDMVTENAVPNAHSIKPCSTSKTHFPRNTRRVRRKFGP >RHN45218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13132358:13134890:-1 gene:gene39449 transcript:rna39449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MVKQSVFLFLFFFIYVVLSSIIFLVCDATKSDDEGGKLYIVYMGSLPKRTSYFPTSHHLNILQQVIDGSNIENHLVRSYKKSFNGFAAVLNNQQRERIVGIKGVVSVFPSQKFHLQTTRSWDFLGFSQSIKRDQTVESDLVVGVIDSGIWPESESFNDKDLGPIPKKWRGVCAGGGNFSCNKKIIGARFYGDGDQDARDTGGHGTHTASTAGGREVKDASFYGLAEGTARGGVPSSRTSVYKVCGGDGRCSSEDILAAFDDAIADGVDIITISIGAQQVFEFFQDPLAIGSFHAMEKGILTVQAAGNFGPAPYSVSSVAPWLFSVAATTIDRQFIDKLILGNGKTFIGKSINTVSSNGTKFPIAVCNAQASPSEHASSKMCDCIDEKLVKGKLVLCGAPGGEGLAYQNGAIGSIINVPNLEDQVSFVTNLPTLNLDTKDYVLVKSYANSTKYPIAEILKSEIFHDNNAPRVAIFSSRGPNPLVLEIMKPDISAPGVEILAAYSPIGSPSGDISDKRHVKYNIESGTSMACPHVAGIATYVKSFHPDWSPAAIKSAIMTTAKPVNGTYNDMVGEFAYGSGNVNPQQVADPGLVYDITKEDYVQMLCNYGYDANKIKQISGQNSSCRGASNRSFVKDINYPALVIPVGPHEQLNVKIHRTVTNVGSPTSSYKATVIPIQNIKIIVEPEILSFKSLNEKQSFVVTVVGRAESNQTVLSTSLVWSDGTHNVKSPIIVQIKA >RHN71582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1168992:1169681:-1 gene:gene7196 transcript:rna7196 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNKTKKRENRLHVMNIKMSEKMNGVGPFIVKRNTVGSNLT >RHN76655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:164928:172439:1 gene:gene17 transcript:rna17 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEFLTELAKEAISKLGELAVESTLKQIEYMTHYKKIIADLEEEHDKLEGVKEALQGWVDTKRMNREGIEPNIQNWLNDVAAFENVLKSFYEDKVKMNKKCFGGKCPNLTYNYSLGKQASKSIEYITKLKEEKNEFQLISYHKAPPTLGSTFTEDIKSLESRKKIITEIIDKLKDDAFKRISICGMGGVGKTTLVKELIKSVENELFDKVVMAVISQNPDYKNIQSQIADCLGLSLKSESVEGRGRELMQRLKEIDDDGKTKVLIVLDDVWSELNFDWVGIPSRDNQKCIKIVFTSRIEKECQKMGSQVNFHVSILLKEEAWYLFQSMTGDVVYEPHIYPIAKQVAKECGGLPLAIVIVGKALENEKELTAWEDGFEQLQNSQSSSFPDVHNYVYSRIELSFKILGSTEHKKLLMLCGLFPEDFDIPIEILLRHAIGLGLFKAVGEPLKARNRVRSLVGDLKRCFLLLDSNVPGCVKMHDIVRDVVILVSFKTEHKFMVKYDMKRLKEEKLNDINAISLILDHTIELENSLDCPTLQLLQVRSKGDGPNQWPEHFFRGMRALKVLSMHNLHIQKLSSFSQALVSLHTLQVEYCDVGDISIIGKELTHIEVLSFAHSNIKELPIEIGNLSILRLLDLTNCNDLNVISSNVLIRLSRLEELYLRMDNFPWKGNEVAINELKKISYQLKVFEIKVRGTEVLIKDLDLYNLQKFWIYVDIYSDFQRSAHFESNLLQISAIDYQSINSILMISQLIKKCEILAIRKVKDLKNVMRQLSHDCPIPYLKDLRVDSCPDLEYLIDCTTHCSGFSQIRSLSLKNLQNFKEMCYTPNYHEIKGLMIDFSYLVELKLKDLPLFIGFDKAKNLKELNQVTRMNCAQSEATRVDEGVLSMNDKLFSSEWMQQFPKLETIFLEKCSSINVVFDTQRYSYSDGQVFPQLKEMEIFDLNQLTHVWSKALHYVQGFQNLKSLTISSCDSLRHVFTPAIIREVTNLEKLEIKSCKLMEYLVTNEEDGEEGGQINKEEVNIISFEKLDSLKLSGLPNLARVSANSCEIEFPSLRKLVIDDCPKLDTLFLLSAYTKHNNHYVASYSNLDGTGVSDFDENYPRSSNFHFGCMPLCYKLIRQSNKNNKIKGPSVSERKPRVELGGASLLEELFITGDLHDKLFLKGMDQARIRGGPVIDGHLFPYLKSLIMGYSDKITVLLSFSSMRCFEQLEKLHIFECNNLNEIVSQEESESSGEKIIFPALKSLILTNLPKLMAFFQSPYNLDCPSLQSVQISGCPNMDVFSHGFCSTPKLEDCNIRIGSLGSSYIHKNDMNATIQGFKTFVALQSSEMLNWTELYGQGMFGYFGKEREISIREYHRLSMLVPSNEIQMLQHVRTLDVSYCDSLVEVFESIRESTRKRDVTTHYQLQEMTLSSLPRLNQVWKHNIAEFVSFQNLTVMYAFQCDNLRSLFSHSMARSLVQLQKIVVEKCKMMEEIITMEEEYIGGGNKIKTLFPKLEVLKLCDLPMLECVCSGDYDYDIPLCTIEEDRELNNNDKVQISFPQLKELVFRGVPKIKCFCSGGYNYDIELLSIEEGTNRRTFPYGKVIVNTPSLRTLRWDKDGLLVAVNTLGDLNLTIYYVQNSKKYMVELQKLETFKDMDEELLGYIKRVTHLDIVNCHKLLNCIPSNMMHLLSHLEKLSVNECEYLEEIFESTDSMLQWELVFLKLLSLPKLKHIWKNHCQGFDCLQLIIIYECNDLEYVLPDVSVLTSIPNLWLIGVYECQKMKEIIGNNCNPTDCVQQKAKIKFPKLMKIELQKLPSLKCFGQSSFPCYIEMPQCRRIKIEDCPEMKTFWFEGILYTPRLYEISLKNTKFDEYEDVNDVIQRHNK >RHN50567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8111161:8114621:-1 gene:gene34867 transcript:rna34867 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVVSDDVDIPTMLIFLQFYEFVNFRLYHSINLEYPPRLDTRLEAFAADLYALSRYANVTKPSGLIFEASQLDDSKQVEYKRKGTDGTIGVIAFCYSCIWWYCFLGRKGGAFR >RHN62831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46101667:46110553:-1 gene:gene25449 transcript:rna25449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase type 12, double-stranded RNA-binding domain-containing protein MATKERPVAAPKKPALTPKAIIHQKFGKNACYVVEEVKEVCQTECPGLSIPQMRPSLYRCTLQLPELTVVSGTFKKKKDAEQSAAEMAIEKIGIITETTDLTPQEAQESLVARIAYIFSEKFLISDHPLSGHIRASLRTKSDHYGSIPISVIAVCDAKIFSLCKCINPEVESNPFLVLPYIVRSATKLNEFLVTSEQHIWIRKLSPYPQDIIESLMELGDTQECIQVEAIRIPSSMEKYVEAVTLRMSLREYYLDIIANELGLKDGANVLISRNIGKASSETRLFFAAQQSYLLDRSFVSGNGKETESLNARARYLSGQDIVGDAILACIGYTRKSRDLFYEDVTVRLYYRMLLGKTPGGIYKLSREALLTAELPSRFTTRVNWRGSLPRDILCMFCRQHRLSEPLFSIISHPLKIPTESSESCFKAAGSGTDVIECVNGASVNGCPKQSDSGMFKCEIKLLSRCAEVILLCSPDDCYKKQNDAIQNASLKLLSWLNKYFNSVTAPFEQLYETAGNFSIHVFSKNLFREILIGQSIQNRHLYAMQCNKSLEPMCANSLQDMLVNGVCNLKIEGPDSGVCPCNGSLPCISYSVSLVVEGENMKEVIESCNEFEFEIGVGAVISYIEEVVMQMSVGQCAYFNTNLLTSDLIFASAGDSAKMSSLSSKACCVEYEISLTKVAEPPEERMEQALFSPPLSKQRVEFAVQHILESHATTLLDFGCGSGSLLEALLNYTTSLEKIAGVDISQKGLTRAAKVLNSKLDANPDAGVTRIDIKSVILYEGSITNFDSRLHGFDIGTCLEVIEHMDEDQACLFGNVALSYFCPKILIVSTPNFEYNVVLQKSNPPTQEQEELDGQTLLQSCKFRNNDHKFEWTREQFNQWASDLAARHNYNVKFSGVGGFADVEPGFASQIAVFKREWSHEDEVQTHTDDIDSHYNVIWEWSSKKK >RHN52661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37483431:37487657:1 gene:gene37356 transcript:rna37356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MTLKNVNPNVYTFSILIDVLCKDGEVKKARNVLAVMIKQGVEPNIATYSSLMDGCFSVKEVNKATYVFNTIARRGVALDVQSYSVMINGFCKNKMVDEAVNLFKEMHSKNMVPNTVTYNSLIDGLCKLGRMSDAWDFIDEMHDRGQPANIITYSSLLDGLCKNHQVDKAITLLTKIKNQGIQLDIYTYTILVDGLCKNGKLRDAQEVYQVLLNNTYHLDARIYTVMINGLCKEGFFDEALSLLSKMEDNGCTPDGVTYEIIIRALFENNRNDKAVKLIREMIARGLL >RHN74605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35102070:35104471:1 gene:gene10699 transcript:rna10699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MATLLNTVSPVSNLHVISKRNGYSFLYNHVPNFHNNTFTFTLKKKCSSRVLASTHITIPSKDSVFNLPNWKDGKNDRKTRKAVRVMEIMVSSGIIPDAASYTFLVNYLCRRGNVGYAMQLVEKMEANGFPTNTVTYNTLVKGLCMYGKLNQSMQILDRLIKKGLVPNVVTYSILIEAAYKERGVDEAMKLLDDIIAKGGKPNLVSYNVLLTGLCKEGRTEDAIKLFKELPEKGFKPCVVSHNILLRSLCYEGRWDEAYELMAGMDRDGQAPSVVTYNVLITSLSIDGRIEQAFKVLDEMTKSGFKVSANSYNPIIARLCKEGRVDLVVQCLDQMINRRFHLNGGTYNAIALLCERGMVKEAFLILERLGKKQNYPISDFYKNVITLLCRKGNTYPAFQILYEMTVHGFTPDSYTYSSLIRGMCREGMLDEALQIFGILEEHGYVPHVDNYNALILGLCKSQRIDMSIEIFQMMVNKGCMPNEMTYNILVEALAFEEEMELAATLLNELYLKGVLSQSTVERLSMQYDLKQLTG >RHN71651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1678165:1681779:1 gene:gene7272 transcript:rna7272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal biogenesis regulatory protein MEIEMEAKNSSFEVDLGNLMALDSHHSYYPSQQSRVELVKECLQKGTELVQAIADSLFILPSTQDVEGPLVQLPAPSTRLPREKHLPRPKPPTKWDEFAKKKGIINRNKDKQVYDDQSGTWKRRHGYDRANDEEAIPIIEAKPTDDPDEDPFAKRKENKRGRVDKNEKNRIQNLKNAAKFGALPSHVQLAATSLPITGTHVPQKKVTKDELGSVAGFASTATASGGKFDKKLPGEKPPKHKGKNRKFLPVAEGTGVGSKEREQTENILNKIMSKNNHDILNVNKAVTVTNVKMEKNRRNDKSKASSTNSKFKTQKKPFKKGGAKKGNPKAQ >RHN40178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13889265:13892854:-1 gene:gene46292 transcript:rna46292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative G-patch domain-containing protein MDKGPPPTLFVNDGSFMERFRQLQQEQERGKNAKPEDSKPIKVVSGPLTSKPSISKANDAKKSSQGGSSGKLAFSLKQKSKLVPPPVKLADDDEDEIDAGYVSIDALTKRQKLGQDDGIEQSSRQLDVAPPPPSDPTVKKVADKFASFVAKNGRPFEDVTWQKNPGDSPFKFLFDVKCSDYKYYEHRLAQEEKALSQSNEPQAYQNGTSIPSSRPTNGPQRSSQQQSTYQIPASALYDSADVPRGSGSAGQAFSVGSSDEPGGSSKANSLALMEFYMKKAAQEEKFKQPRHSKDEMPPPPSLQGSTYDASASGKKGHHMGDYIPQEELEKFLASCNDAAAMKAAKEAAERAKIQANNVGHKLLSKMGWKEGEGLGGSRKGIADPIMAGSVKKDNLGVGAVQPGEVTPEDDIYEQYKKRMMLGYRHRPNPLNNPRKAYY >RHN71223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57351914:57353925:1 gene:gene19876 transcript:rna19876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S14 MGHSNVWNSHPKTYGPGSRTCRVCGNSHGLIRKYGLMCCRQCFHSNAKEIGFIKYR >RHN82111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51316322:51319608:1 gene:gene6237 transcript:rna6237 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MNYTMSLNSFNLLFLFLFITFLLSSNTKAQNPTHLYQICSMNKTTSNSTYKSNIITLFSYLSSNATTNNEFYNTVPSRNISNSVYGLFMCRGDVSSPLCIQCVANATQRLSSDADCSLSKQAVIWYDECMVRYSNTSFFSTMATRPGVFMMNSLNITNQETFMPLLFDTMNKTADNAANSSVGAKKYATKEASISGFQTLYCMAQCTEDLSQQDCRTCLSDAIGALPQCCDGKQGGRVLFPSCNVRYELYPFYRNLAPSPSPAPSAAPALVPPSTSTPTLGGSSGISSGTIVAIVVPISVATLLLIVGVCFLSKRAWKKKHDSAAQDPKTETDISTVESLRFDLSTLEEATNKFSEANKLGEGGFGEVYKGSLPSGQEIAVKRLSKHSGQGGEQFKNEVELVAQLQHRNLARLLGFCLEREEKILVYEFVANKSLDYILFDPEKQRLLDWTRRYKIIGGIARGIQYLHEDSRLKIIHRDLKASNILLDGDMNPKISDFGMAKLFGVDQTQGNTSRIVGTYGYMSPEYAMHGEFSIKSDVYSFGVLVMEIISGKKSNSFYETGVADDLVTYAWKLWKNGTPLELVDHTVRESYTPNEAIRCIHIGLLCVQEDPEDRPTMATVVLMLDSFTVTLPVPKQPAFFLHSGTDSNMPTIQISQSTTN >RHN56754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33414355:33420220:-1 gene:gene32195 transcript:rna32195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribose-phosphate diphosphokinase MAMVKSPKKHVNLFYSLDCEELANKVASHSQTNITLQNIKWRSFADGFPNIFINNAEELRGQHVAFLASFSSPAQVFEQLSVIYALPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTLARMLSNIPISRGGPTSLVIYDIHALQERFYFGDEVLPLFETGIPLLKQRLLQLPDADNVVIAFPDDGAWKRFHKLFDNYSVVVCTKVREGDKRIVRLKEGHVSGHHVVIVDDLVQSGGTLIECQKVLAANGAAKVSAYVTHGVFPNQSWLRFTHKDEASEKAFAYFWITDSCPVTVKALANQAPFEVLSLAGSIANALQI >RHN68534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36295530:36298645:-1 gene:gene16901 transcript:rna16901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MTSEKVETVVAGNYLEMEREEEGSKSTTGKLSKFFWHGGSVAQVLLTLPYSFSQLGMLSGILFQIFYGLMGSWTAYIISVLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNLGLFFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYMTIASILHGQAEDVKHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKMIYLIATLYVMTLTLPSAAAVYWAFGDNLLTHSNALSLLPRTGFRDTAVILMLIHQFITFGFACTPLYFVWEKFLGVHETKSLLKRALVRLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMVTFASAPARENAVERPPSFLGGWVGLYSVNVFVAVWVLVVGFGLGGWASMLNFVHQIKTFGLFAKCFQCPPHKA >RHN70657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53034686:53035715:-1 gene:gene19266 transcript:rna19266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MYHQAKNHSLRIIAQKQIMRSFMLVIISSLLFKQMSIILADVGTASSYGPPYIPTACDGNRRQQFPPGNIFVAVNEGLWDNGAACGRRYRVRCVSGINKPCKGGSSIDVKVVDSITCTKSSCPHTFHMSTEAFAAISRFPNANINVEYIQI >RHN69425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43306505:43310198:1 gene:gene17895 transcript:rna17895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MNSPSAQFVSSRRMSVYDPIHQINMWGEGFKSNGNLSASIPLIDEADLKFDSSQSEDASHGMLGTSNKYEQEANRPIDKIQRRLAQNREAARKSRLRKKAYVQQLESSRLKLVQLEQELERVRQQGMYMGGGLDSNNMCFAGPVNPGIAAFEMEYGHWVDEQNRQISEMRNALNSHISDIELRMLVDGMMNHYAEIYRMKSAAAKTDVFYVMSGMWKTTAERFFLWIGGFRPSELLKILGPMIEPLTEQQRLDIDNLGQSCQQAEDALSQGMEKLRQTLADSVAAGQFIEGTYIPQMATAMEKLEALVSFVNQADHLRQETLQQMSRTLTIRQSARCLLALGEYFQRLRALSSLWSNRPREPA >RHN42372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37837354:37843583:-1 gene:gene48807 transcript:rna48807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein MIKNSLSPSFFNSNFSISLSLSLLSITFSPPFSIMDENYTPSGSISHTAESNSSSHGADHGWQKVTYAKKHKKKEANGVAGAGNKLTFNGNDAAFKSLELHSEDRRRKILEARTAAEAEFDDGPVRSKQRSRNYDDDDDEDDEDVDRSGENGKAEEVKKVKKPKVKKPKVTVAEAAAKIDSADLETFLVDISASFEQNEEIQMMRFADYFGRAFSSVSAAQFPWVKLFRESPVAKIVDVPLSHISETVYKTSVDWINRRSPEALSSFLLWSLDSILADLGSQQTVTKGSKKAVQQVTSKSQVAIFVALALVLRRKPDALITVLPKLREDKKYQGQEKLPVIVWMVAQASVGDLSVGLYAWSRNLLPIVVSKSGNPQSRDLVLQLVEKILSTPKARAVLVNGAVRKGERLIPPPALETLIRVTFPPSSARVKATERFEAIYPILREVALGGSPGSKAMKQVSQQIFNFAIIAAGEDNPGVSKEAASIALWCFNQSTECYKLWEKVYQENIVASVAILKKLSDDWKEQATKLSPYEPLREILKNFRQKNEKALTTETDAARQVLVKDADKYCKIISGRVSRGHGCKSFLTFTVLAVAVGAVVFYPNMESLDFKKLAVVFNSQF >RHN39427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7030282:7036850:-1 gene:gene45448 transcript:rna45448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MHNINLVFFVCLLFMSKLKGEVENPTSDTNCPYSSEFQCSVPQSDKHDVFVSFRGLDIREGFLSHLVEALSRKEIVFFVDNKLRKGDEIAQSLFEAIETSSISLVIFSQNYASSKWCLDELVKIVECREKDGQILLPVFYKVDPTVVRHQKGTYANAFAEHEQKYNLNKVKQWRSSLKKSADISGFHSSQFLYDAELVEEIVKSVLKRLDHVRVVNSKGVIGIEKQISYVESLLQVESQDVRAIGIWGMSGIGKTIIVEGVYKRLCSEYDGCYFKANISEEWGGHENMYLKKDIFSTLLGEHNLKFDAQYGLPYFVERRLRRMKVLVVLDDVKDPQQLEILIGTLDWFGTGSRIIVTTKDKQVVAKMVVDNDIYEVKALDFDDSFRPISISQCLNITQRMEMAHEGSNISNSILLNIWNWLWQTLIDFIYVCAVQFFMMLVIFSVIYFCVGIFITVVKNVFYVLEEVLSWMQSFCK >RHN79486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29459811:29461135:-1 gene:gene3281 transcript:rna3281 gene_biotype:protein_coding transcript_biotype:protein_coding MENNDLFPAKKQEAEETKFISEEKPPPPVTTKVAKMEYTNWSPFLKENCERYLAKKKEEDRKYLSEILRPPAVKTNIADYKTIADNHTLTTTKPFPNPNEGLKVESFEGDPFATTNLFSKNKEMESKLRCCQPSNIFIDPLLAEKHLVTSDPVTSDTLLAEERPVTSDTLFVMSDPFLAESKFLPCYFFF >RHN53958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5752103:5753095:-1 gene:gene28933 transcript:rna28933 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYKCISQPQCLMPCSSFWWFFVSLWWRCYAYRRHHCYILSFPLPLFCAPCIDSSKWKCIGEHHSICIKMEMYCIMIMAMIAFEIYCVYWFNFSLVVSCIT >RHN65700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4525309:4526227:-1 gene:gene13573 transcript:rna13573 gene_biotype:protein_coding transcript_biotype:protein_coding MRYMASFKSIMLNLAFLFMILLSMKVAATARVPLWKIKPHHHKEAYERLLNSVSVNDRPRFHNYGVPPPPAPIS >RHN82028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50674836:50678544:-1 gene:gene6144 transcript:rna6144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase WNK-NRBP family MNVAASVEAEDSEFIEVDPTGRYGRYNEILGKGACKTVFRAFDEYEGIEVAWNQVKLYDFLQNSEDLERLYCEIHLLETLKHKNIMKFYTSWVDSTNRNINFVTEMFTSGTLRQYRLKHKRVNIRAVKHWCRQILEGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSTVHCVGTPEFMAPEVYEEDYNELVDIYSFGMCILEMVTFEYPYSECNHPAQIYKKVVSGKMPESLYKVNDPEVKQFVEKCLATVSLRLSAKELLKDPFLQIDDYEYNFKELQYQRDCYEVTPTIRQQPMNGNYSIRNALMNVYTDNLGGYEDDFETSEIDLFDCEEDDNLDEVDTSIKGRRRDDGIFLRIRIPDKEGRVRNIYFPFDTETDTALSVASEMVAELDITDQDVTKLANMIDNEIATLVPGWKRGPIIDEIPEDSSASFCLNCAANNTLVDYVSSNNPCAKNLQFFHCSKNGCAAIHGRFEEITYQYEGSANTATEGAPPSQSNGIHYADICAQRDEHESRHEGLKDIHCDESHDISKISTIKEEEEERSVNVDDQIDVNTKKPPSSPAASENLLLLGYENDIRQELRWLKVRYQMQLRELRDHQLEHGKDGFMRPPAKVSHMKIQHNNTLLRSMAYKKHYSVDAEKCNTLADQMIPNYVYEMSQPNNSEQMVTAKDFFARALLPNSLQRATSLPVDAIDL >RHN77827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9658696:9667897:-1 gene:gene1320 transcript:rna1320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSSVSTQPQFRYTQPPSKVLHLRNLPWECIEEELIELGKPFGKVVNTKCNVGANRNQAFIEFADINQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTAADVAGNVLLVTIEGEDARLVSIDVLHLVFALPISRQYLRWQLSAFGERACVFSAFGFVHKITTFEKTAGFQALVQFSDQETATSAKDALDGRSIPSYLLAGHVGPCTLKITYSGHSDLSVKFQSHRSRDYTNPYLPVAQSAMEGSGQSVMGLDGKRLEAESNVLLASIENMQYAVTLDVLHMVFSSFGPIQKIAMFDKNGGLQALVQYPDVQTAIVAKEALEGHCIYDGGFCKLHLSYSRHTDLSIKVNNDRSRDYTMPTAPPPVMNVQPLVSGQQQAPMTGPPAQQYNTAQYAPNNNQNFIPQNQPGWGTAPPHTMQQRMHNNPYMPPGTMPPQNGPGMMQYPGHIQ >RHN76238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48788643:48789766:1 gene:gene12531 transcript:rna12531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IXb family METEINRLKQEQKRTKKKSRSASVGREAGFAKQKVINVHEEDEEERMTTSNEIERNKFIFKRYNIEEIEVATNYFDMDGKIGEGGYGPVFKGVLDNTDVAVKALRPDMTQGEKQFNQEVLVLGSIRHPNIVVLLGACPEFGCLIYEYLDNGSLEDRLFQRDNTPPIPWKTRFKIASEIATGLLFLHQTKPEPVVHRDLKPANILLDRNYVSKISDVGLARLVPPSVENKTTEYHKTNAAGTFFYIDPEYQQTGLLGVKSDIYSLGVMLLQIITGKTPMGVAHLVEEAIQNDNLAKVLDPNVTDWPVEEALSLAKLALKCCEMKKKDRPSLASVILPELKRLRDL >RHN72529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8435132:8435659:-1 gene:gene8255 transcript:rna8255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative petal formation-expressed MHSLKFSSPLNCLPSSNSSSSSSHLSLNRITCCINFPKLPRLCLFSVPKASKTSVVIKKNTQKILQKIIETINKLHENDHGDYDESIYNSSNFNITTIKLYAISEAVSDRIEMHKNLGQQRDNWNTLLLNSINMITLTASTMSAIACCFDSDAPLLALKLSSLLCYFLPPLEYYL >RHN60997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32170518:32172256:1 gene:gene23404 transcript:rna23404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MEETSTTTCRLLSGICRENDKRKDLIHYKVTDPSDHPLNSTPGTLRYGASKIQGKVWITFKRNMNIKLVRPLLISSFTTIDGRGVDVHIADNACLMIYKATNIIIHGIRVHHCRPQAPGMVMGPDGKIISLGQVDGDAIRLVSASKIWIDHNTLYDCQDGLLDVTRGSTDITISNNWFREQNKVMLLGHDDGFVRDKNMKVTVVYNYFGPNCHQRMPRIRHGYAHVANNMYMGWVQYAIGGSMEPSLKSQSNLFIAPVTGKKEVTWRKSSNRIGDTWEFYSVGDAFENGASFMETKGGQVTKSNYSPKQNFKVVDAKYIRSLTSSSGVLQCSKTSAQYAELKFVKKICFTLVILISERLIFTIYL >RHN58386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1343459:1344511:-1 gene:gene20293 transcript:rna20293 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRFLTCFIAVLCTQAISILGFSSEHRALNSSNTSIQTKQPTSNVGNYGSIKEGIKLHREDKKYIKEEKFERAYDSIKKARGKGANGGANDNRSEPRRSRSSAPSISMLSWISTVCVSFVLTFHAKLL >RHN47609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40965173:40965780:-1 gene:gene42229 transcript:rna42229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MGEPYSSSSTVSGSSCLVTAWIDENPGRRFHGCGKYWQRRKCSFFRWFDPEVPQRQKKIIRGLLKKNDALKNKERMLVFTIVILGMLLFVSVLVILIKLG >RHN69276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42270216:42273281:1 gene:gene17721 transcript:rna17721 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MVGTVILSDDLHLKNVLFVPTFHANLISVPQLTKTSKCSAIFTADCCYFLQETTKKTIGTARLVGGLYIIESISNSVCPIISHSVSNCSTNNISNSALWHMRLGHISFDRHQCIANKYPFVHFNKTRIPCDVCHFAKQKKLPHISSITKTTQIFDILHADIWGPYSHTSILGHKYFLTLVDDFSRFTWVILMKSKGETRKHLTNFISFVETQFDTKLKCLRSDNGVEFLMHDFLLSKGILHQRSCVETPQQNGTVERKHQHILNVARALSFQSNLPKTFWNFAIQHAVHLINRIPTPLLSNKAPYEILHNKPPVFLHLKVFGCLCYASTLHTHRTKFDSRARKAAFIGYKEGIKGYILYDLSSHQLFISRNVIFYEHCFPFHHSSNATTPTSPNPSSNDNSAFPFDSFGVENNLTAPTSPSIVSSPSSPLSPPPTSPSLPLTQPIRHSTRITNRPSYLQDYHCNYTSCTNPSSGINTAITYPLSSVLSYNNCSSSYKNFCLSVTTNLEPKTFIQASKHECWQNAMKAELDALSLNKTWTIVDLPAGKTPIGCRWVYRIKYHADGTIERYKARLVAKGFTQMEGVDYFETFSPVAKLTTVRVLLALAAAKGWFLEQLDINNAFLHGDLNEEVYMSLPPGFEISNSDCSTKVCRLHKSLYGLKQASRQWNHKLTTTLISLGYSQSQADHSLFVKASDSTFTALLVYVDDIVLTGSSMTEINFVKKILDNRFKIKDLGPLRFFLGLEVARTKDGISLNQRKYALELLHDSGNLATKPATTPCDPSTKLTNEGSTPYSDHSAYRRLVGRLLYLTHTRPDIAFSVQQLSQYVSQPLESHFRAANRVLRYLKSAPSQGLFFPASTSLQLSGFADSDWACCLDTRKSITGYCVFLGSALISWKSKKQSTVSRSSCEAEYRALASLSCEIQWLHYLLADLYIPIKSPSSVYCDNASAIYLAHNPTFHERTKHIEIDCHVIREKIQKGTIHLLPVPSSSQLADVFTKPLHVTSFQSFISKLGLCNLHSPT >RHN82826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56635045:56635403:-1 gene:gene7016 transcript:rna7016 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLQSFESSPMGSELDFHDVLFDVDYKVSFIGRQANSVVHKIAKEALSIAKSPIFLSEFCFYC >RHN78392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14710237:14710813:1 gene:gene1941 transcript:rna1941 gene_biotype:protein_coding transcript_biotype:protein_coding MYHDSVSLAVQHRWLEFVFLVVIDRSRGDVCLRMIYYGFDEFFVVLVCGGSWWFSCGVDIGFFVVVLVVVFYGDARGGCGSFGGGAGFCAGACSVLVLVTMLLVSSQFI >RHN38751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1759088:1763595:1 gene:gene44717 transcript:rna44717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MKCFSYFKYKHKGRGQRSAPELEEQEKHQFSGSERVTKSSCSSTSSPRGIPKLYEEKGHNLRVFSFSELSRATSDFNRLLKIGEGGFGSVFKGSIKPVGGNGDPVLVAIKRLNKDALQGHKQWLTEVQFLGVVEHPNLVKLIGYCAVDGERGIQRLLVYEYMPNRSLEAHLFNKSYDPVPWKTRLEIALGAAQGLSYLHEELEVQIIYRDFKCSNVLLDENFKPKLSDFGLAREGPEAGDTHVSTAVMGTHGYAAPDYIETGHLTAKSDVWSFGVVLYEMLTGRRSLTRNRPKTEQKLLEWVKNYPPDSKKFDMIMDPRLEGQYSINAARKLAKLADHCLRKSSKDRPRMSQVVERLKEIIQASDKEQEEHHDPNEINSAEVSENDSFEHQEESNSSGSTELWRKRMEHLAKLGEHVEGANRKMFMIQQRANVST >RHN51995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29793035:29793686:1 gene:gene36594 transcript:rna36594 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTQLINLTRFPRFNLHHFSVNNVHILHHQPFPSKLYYNHRSNLHTINCNSKLNNSSGGEPYEIDEGVFGGYNGIEEESDEDDAESSVDLLIKFLNGYEGCEN >RHN67581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28383971:28385206:-1 gene:gene15781 transcript:rna15781 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKMNAKDIQLHLLRMYHVSTESSYMFIRRHPRFSCIFSVCFVIYVFLSYIYTFLAYMSPFLVCGAIFLRIFWSSEKTQLRYVKIDEKKEEQEKKVEPKVQQPKIPLPNNIVRPEQILFRYPSQNATSRRRNLREKKWDVYGGLEEKAKDLSEVFQNEFTSKRNIEHFKKGESSLYYGLSSGRRTHQASKRSTLRSEPSMIDLVEVVDTEIEIEKMEDEDEEEDAKEDVKNAIEWTENDQKNLMDLGNSEMERNRRLESLIARRRARKLLKLQVENGLIDKKSLKPSFMSPLNIRRNSSDIDDLEMPGSAPSIMPRSPYDIPYEPFEERPNLTGDGFLQEFTGHYHHKDVPFCRHESFNLGSDFSSESRQERGTRSYSRFKSLPGDNWFIFITFPSISHSHTHNKEIILW >RHN72526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8425530:8426804:1 gene:gene8252 transcript:rna8252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative petal formation-expressed MSSIQTSVLLSCSLSSSSNRVINASIHLPKLTNISLSKIQTRKQVDDQELKVIKQYKNTPLLENNNITQILQDQQQKHSTISNATVQLYAVLEAVSDRIEMHHNIGEQRNNWNNLLLNSINMITLTATTMSGVAAVTNGEGAPLMAMKLSSALLFSAATGLLLIMNKIQPSQLTEEQRNATRLFKQLQSNIQTTIALGNPSEEDVKGAMEKVLALDKAYPLPLLGAMLEKYPAKFEPATWWPSKKGKTQSKKTGKMNNGWSEELEMEMREVVDVVKRKDAEDYDRLGNIALKVNKSLAIAGPLLTGIAAIGSTFIGNGSSLAAFVPLLAGSLASAVNTFEHGGQIGMVFEMYRASGGFFNLIETSIESTLGEKDLEKRENGELFEMKMALQLGRSVSELRELASKSASYRMEGVDIDEFASKIF >RHN41662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31883167:31883637:-1 gene:gene48005 transcript:rna48005 gene_biotype:protein_coding transcript_biotype:protein_coding MFRCLFFPFVMFVWDVFNIAFGFDVLLLLVCSFKFLHCCMKL >RHN80597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39307553:39311159:-1 gene:gene4539 transcript:rna4539 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTMASPSLSKFCSLTPTLSQHHTQSFPSTTSLRFPHTTTSTNFNTIFLHKQPLSLCFALTESNSPNSTEPDPKTLLQQIADSFDLPPDYFGKFPNDLRLDLNDAAFDLSNGPVLDECGKELGETLLNLSRAWEIADTSSSRSLVAKLPLMEANLTGTAKSALGRRLVSAGKRFQSMGQYGQGETQKIAKAMIAAGRALSASSTSAVIDKQPKEKNKTLKFGALQVEITPTKANIGAAIAFVFGILTWEIAQGIQNTPESSLQYANDNALMLAKSLRGTLLVVFYGSTLLSALTSGGLVLLGIQLKSEKN >RHN48835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50578905:50579652:-1 gene:gene43593 transcript:rna43593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DYW domain-containing protein MKPEHSGYYALLSNIYARTNKWKDATVMRRLMKEKGVRKQPGYSLIEIDGKTHEFTIGNKRHPEIDKIERMWEKILQKIKLAGYMGNTSEALFDIDEEEKEDALHRHSEKLAIAYGIMKIQAPGTIRIVKNLRVCEDCHTATKFISKVFDVELIVRDRNRFHHFKKYHVCIGFNNILTFKKLNLSLFTIFQYYNSIFISLSNAPRAMVSNLLLKMVYVLVWITGKRGMQFLSFRDALQMEGRKM >RHN54104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6944422:6947446:-1 gene:gene29088 transcript:rna29088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein disulfide-isomerase MKCKLCLAYCYFLHQATKDICFEHPVDMARLSLNPIGFHRFQPCLHLQPSQQFTLYPPRHRHIKFLTLASQTDPNHNEKDNTTSTTPEIKVVVEPSTSSSKPTTTSAVDSTSLPQLPSKDINRKIAIVSTLAALGLFVFARLDFGVSLKDLSAVALPYEQALSNGKPTVVEFYADWCEVCRELAPDVYKIEQQYKNKVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEGNVVGRLPRQYLLENVDALARGETSVPHARVVGQYSSAEARKVHQVVDPRSHG >RHN75350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41480478:41484655:-1 gene:gene11535 transcript:rna11535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Lambda-DB family MAGPVSQDWEPVVLRKKAPTAAARKDDKAVNAARRAGADIETMKKHNAATNKAASSSTSLNTKRLDEDTENLAHDRVPTELKKAIMQARTEKKLTQAQLAQIINEKPQVIQEYESGKAIPNQQIIGKLERALGAKLRGKK >RHN41856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33621278:33624085:1 gene:gene48219 transcript:rna48219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rubber cis-polyprenylcistransferase MQKSAGSITGHLLGGLYCCLRRCIFAVLSAGPLPNHIAFIMDGNRRYARRRNLGEGDGHKAGFSALLSVLRYCYELGIKYVTVYAFSIDNFRRKPKEVQTFMELMREKIEELLQQESIINEYGVRLHFIGNMQLLTEPVRIAAERAMRVTAHNQERVLLICVAYTSRDEIVHAVQESCKDKWNEIQPSKSDKVSNGEITRINQGPKRNGLNFHFHDPCKENGTKACSNLHEEGEGAGEKDALFEQNIEKSSDNCSEGEITSCNGIVEITEEQKYKQGEIASIKLVDIEKHMYMTVAPDPDILIRTSGEARLSNFLLWQTSACPLYAPKVLWPEIGLRHLVWAVLNFQRHHFYLEKKKKQF >RHN82301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52678232:52679614:1 gene:gene6457 transcript:rna6457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane transport protein MLEDLHENNDKIRSHSEDIRTALQPLLKLICLTLIGLLLANPRMKLIPKATFKLLSKLVFALFLPCLIFSELGSSITLENFKEWWFIPVNVLLCTFFGCLLGFIVVTICHPPQRFNRFTIIMTGFGNTGNLLIAVVGSVCHTQNTPFGKQCNARGVAYVSLSQWISVILVYTFVYHMLEPPFEYYEIVENEAEIREETILNDISRPLLVEAEWPGIEDKETQHSKTPFIARIFKSFSGISSSIIPDPDFDSLSGSVMADEEESGENNHMSIRCLAEPRVVRRIRIVAEQTPIHHILQPPTIASLLAIIIGTVPQLKTFFFGNDAPMSFMTDSLEILAGAMVPCVMLILGGMLAEGPNESTLGIKTTIGIIVARLVVLPVIGIGVVVLADKLNFLVENDAMFRFVLLLQYTTPSAILLGAIASLRGYAVSEASAVLFWQHVFALFSLSLYIIIYFRVIDYL >RHN80403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37752412:37756093:-1 gene:gene4329 transcript:rna4329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MATMGHGLNLKETELCLGLPGGGGGGGGGGSEVETPRASGKRGFSETVDLKLNLQTKEDLNEKSASKEKTLLKDPAKPPAKAQVVGWPPVRSYRKNMMAQKVNNTEDTEKTTSNTTAAAFVKVSMDGAPYLRKVDLTMYKTYKDLSDALAKMFSSFTTGNYGAQGMIDFMNESKLMDLLNSSEYVPTYEDKDGDWMLVGDVPWEMFVGSCKRLRIMKGSEAIGLAPRAMEKCKNRS >RHN43367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45207157:45207390:-1 gene:gene49928 transcript:rna49928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trans-2-enoyl-CoA reductase (NADPH) MLAAPINPSDINRIQGVYPVRPEPPAVGGYEGVVEVHSVGSAVTCFSPGDWVIPSPPSFVTSHFFNFSFLFFIYFFF >RHN38784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1950598:1954093:-1 gene:gene44750 transcript:rna44750 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNNLSCGLLYFLFCLLLPPLVFSHTHGNPATEIVDILNKNRTDQKLHNLNDSPGLGCMALQYVELCKGNCTDNNVVNCKPPEDDFVEVFAPNCGIELPTFGTITGHIVGCQRKYLEPSLAFSQILIKDKKSLSLLRNKSHTEVGVGLVGLHKKGPFFWCVLFTDGKANSTFVLENRGAGIKQKKGCYSGSNTPCSRGQKHGVSSSNFFFMCYVFILLFKLL >RHN66144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8977592:8980417:1 gene:gene14066 transcript:rna14066 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLERELGRPSFSGKHSRLGQSTIYRSLRDLRWRKPLLFIILSFEQLFKLRYVRVGGSRLSSGKDSTFSNSSLTKNDFKELSLNKPHSCLKAINSGQFHITRPLKLEGKPPLGNESSLGQLYNFKEWRLVKRCSSKGKEEECHPVIERSRREL >RHN70411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51279353:51281877:1 gene:gene18990 transcript:rna18990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-aminocyclopropane-1-carboxylate synthase MLSRKASEDSHGQDSSYFLGWQEYEKNPYHPIHNPTGIIQMGLAENQLSFDLLESWIQRNSDAVEMKKLDGASVFKELALFQDYHGLPTFKNELVKFMAKIRGNVIKFDSENLVLAAGATSANEILMFCIADPGEAFILPTPYYPGFDRDLKWRTGVEIVPMHCSSSNGFRITSSCLEQAYQQAQNLNLNVKGVLITNPSNPLGITMTKSELHKLVDFALDKNIHIISDEIYSGTVFDSPKFVSIMEVINERIHENDEISKRVHIVYSLSKDLGVPGFRVGMIYSNNKMVVSTATKMSSFGLISSQTQYLLANLLGDDEFTFKYMDENKRRLKKRKQILVTGLRNAGISCLKSNAGLFCWVDMRHLLSSATFEAENELWKRILYQIGLNISPGSSCHCSEPGWFRICFANMSQEALQVAMRRIKIFTDSNSTMFSIKQQLVTSTKPIIITTVEGKLLIGFSSYHGKNNQKPINNFSSKIKK >RHN62056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40355767:40362702:-1 gene:gene24586 transcript:rna24586 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDSSPSSIGEESSSSPAPEPASPVSMAEMYSLPSINGEDTSSSPPPPSASLASMAENFQRSAIESARTVQHNSTTHFRTFQNFLPGAVSQYRTYEDAFFNKVKDGVMVARENPAIGVGLAVSTALLVMRGPRRFLFRHTLGRFQSEEARYASAEKNVKDLNLSVDLLKKESIKLLQRTALAEKEMKYGHSELMNTGAQLQRLAKSSYKAEARATDLIDRLRDIPSREALTLRAEVASLASSLKRQRSVLDKRIMKISELGISV >RHN62967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47146375:47158175:1 gene:gene25609 transcript:rna25609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase METSSSSSENCSVKVALHIRPLISDEKQQGCTQCVSVNPSKPQVQIGSHSFTFDHVYGNGGSPSSEMFEECVAPLVDGLFQGFNATVLAYGQTGSGKTYTMGTAYNDNSGIGLIPQVMNALFNKIETLKHQTEFQLHVSFIEILKEEVRDLLDMVSMGKSDNSSSNGHSGKLNIPGKPPIQIRESSSGVITLAGSTEVSVSTLQEMASYLEQGSLNRATGSTNMNNQSSRSHAIFTITLEQMRKLHSSSPSNDTSDEDMGEEYLSAKLHLVDLAGSERAKRTGSDGVRLKEGIHINRGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINADETLNTLKYANRARNIQNKPVANRDLISNEMQQMRQQLKYLQSELCSRGGGSVDEMQVLKERIAWLEETNEELCRELHKYRSRCSFAERCDIDETDGHTYFLKNDGLERRFRSSELSDHLTAGSISGEDSREADEVEKELEHTLLLNTMDKEMHELNKQLEQKETEMKLVGVDTEALRLHFGKKIMELEEEKRKVQQERDRLLHEVENLAVNSNGLAHKTQDVRGQKLKALEAQILDLKKKQENQVQLLKQKEKSEEAAKRLQAEIQYIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLKKEGRRNEYERHKLEALNQRQKMVLHRKTEEATMATKRLKELLEARKSSPRDHSAYSNGHLQPGQINEKSLQRWLDQELEVMVHVHEVRAEFDKQNQVHASLEEELAFLKQADQFSDRQSILTGNNRYSRLLSMSPDAKVARIASIENMLLMSSAALKAMTSQLTEAEERERALNSRGRWNQLRSMGEAKNVLQYLFNATAEARCQLWEKNTELKDLKEQLNEFVILLQQSEAQRKELVKEREIGEQAFASTLNTPASESSRSLKHLADEMSGPLSPMSLPAPKQLKFTPGVVNGSVRESSTFLDDARKMVPIGDLSMKRLAAIGQAGKLWRWKRSHHQWLLQFKWKWQKPWKLSELIKHSDETIMRSRPRAQALINVM >RHN81261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44409619:44414093:1 gene:gene5277 transcript:rna5277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NTF2-like domain-containing protein MTSYKEAFDSTAKKSIADGIIPHILHLYGSCATARDFEIYAPDASFEDPLMRAQGVKQIKSAFYSLPKLFSESKIVEYSVEENIVSPGKGEILIDNKQHYKILGKDIDMVSLIKLSVEEGKVVRHEDWWDKKPISNRETVKLPLLGRVAEMTRRGSMLATHVFMRFGKDPTV >RHN42191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36250648:36252064:1 gene:gene48602 transcript:rna48602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MQGLKDATTNEVYVVLGTIKRIVNRENFWYTACICSKAVIPDSQMFYCEKCDRHVKKVFSRYCLRVRAIDHTDCATLVIFDKEATALFNKSCVDMLAEHGVAVSEGHLPPEIAGIIGKTFLFKVETKVDQNPRFEQSFRVRKICAMPDVINEFKKKWGEEEAAFFKNAMEASSLSVLLDKGKAPMIAGSSDVLNQDDFSLTEPVEKCKEMLLGEGSGIVTQDLLPKFAVADCEFDVVEISQKGSAASSKRGSPTTDDDEMNMSLKMLRKTIKIEKP >RHN61336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34890000:34893076:-1 gene:gene23790 transcript:rna23790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative essential protein Yae1 MEGKLAEELYAESLKVSKLELSSNLADDQGDKLNDCGDDSFWDDSDDKVSDLDREWQRRRDQFHTSGYREGLIAAKEASAQEGFNIGFKQSVCAGYSWGVVRGASSAFANLPDQLKEKLVETLEKRNEFQGLYESVQSLSTTDALRLFHEDYKAQEALKQNEHGDVSSDTVSLQQQTSHNSPLIKYHGQLESLISDSPAIDSHLPEPK >RHN72632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9270353:9272095:-1 gene:gene8375 transcript:rna8375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HECT domain, ubiquitin MSSITKTIAGGCFHQQSINGATADHLSNHSPELKFNDTKTTSSELQFFVRMMWKCNTIVIHASREDTVESILQQISSKTKIPIEYQRLIYNGKQLQQQQSLSQCGIENDANLQLVGQLRSIGRSVVWNSADDIVSMILNLCRGESLNGASMIIHNHFAKYMNNFEYFYFFKLMKIPSLLVALYMSPFACNKNIADFSIESFIEICLDLKCKKLQGFYLEILLDFCELLRGVGFTCDEPLYVSCRDGFGNLLTLVGGVPINNPNMKVLLRGVVDCVHEIADELLMYLDLSMNWDTAKGISYKVVLDFVKFCGPLRMGFAEEQATSDESLNYDICYEEDPLFSGVPDQLHIVFIKLLSKMDECLQVMEDCLVNKEQGKGDVIHNGWSHYLIILKELFHISKFYSGAQEKFWGLLLHRKNVLPHMIVRYVKKTDDHQWLLENKIVTDFESRRHLALMLFPDSKDEISGYEMLIDRSQVLAESFEYMSRAKAKSLQGGIFMAFKNEKATGPGVLREWFVLVCREIFNPKNALFVACPNDHRRFFPNAGEFYLDIQMHNFFLLFTLHSKYFSMTSTFIRVFSKET >RHN53418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1561562:1562560:1 gene:gene28321 transcript:rna28321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, ARF/SAR type, P-loop containing nucleoside triphosphate hydrolase MGQAFRKLFDTLFGNTEIGVVMVGLDNAGKTTILYKLQIGKVVSTIPTIGFNAEKVEYKNVDFIVWDVGGQGRHNLRPI >RHN66591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14436118:14436840:1 gene:gene14610 transcript:rna14610 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVKLATLAVFMLTQFCLIVQIKNVEAGQCARVGMRCSRALPNPCGDIVTCRCVHLHLVGSTCIDYTGDGL >RHN49308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53969142:53971659:-1 gene:gene44123 transcript:rna44123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MATNKCIICIITTIFLLPCAKSIHLDFPAVFNFGDSNSDTGTLVTAGFESLYPPNGHTYFHLPSGRYSDGRLIIDFLMDALDLPFLNAYLDSLGLPNFRKGCNFAAAGSTILPATASSICPFSFGIQVSQFLKFKARALELLSGKGRKFDKYVPSEDIFEKGLYMFDIGQNDLAGAFYSKTLDQVLASIPTILLEFESGIKRLYDEGARYFWIHNTGPLGCLAQNVAKFGTDPSKLDELGCVSGHNQAVKTFNLQLHALCSKLQGQYPDSNVTYVDIFTIKSNLIANYSRYGFEQPIMACCGYGGPPLNYDSRVTCGNTKTFNGTTITAKGCNDSSEYINWDGIHYTETANQYVASQILTGKYSDPPFSDRMPFLLKLKF >RHN80737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40498292:40498993:1 gene:gene4697 transcript:rna4697 gene_biotype:protein_coding transcript_biotype:protein_coding MPIWISLACQISIKDATLQHQVQLSFQPEARFSPFSFGVQVSQFLLFKARRLYDIGVRNFRIHNASPLGCLAHFISLFGTDPSKLDELGCLR >RHN58299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:578850:579863:-1 gene:gene20198 transcript:rna20198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MERQTKRRRKTLNSSLQQQIIASSIQTRSKTRSLVIDFYFPDECWEFVFKFLINYGNSDGNNRLYLRCLSFVSKQFLSITNRLRFSLNISNSTRPLLPRLFQRFTNLTSLNLTHFRGDLDALLAEISCFPLNLTSLDLSQKPTIPANGLRAFSQNITTLTSLTCSFTKSINYSDLFLMVDCFPNLQMLDLRYSYDVSEEAVVHVLRTCCNIRHLNLTRCSRVKLRTLNFKVLKLEVLNLSFTRVDDEALNVISKSCSGLLQLLLFYCSDVTDKGVNHVVENCTQLREIDLGGCDKVHANVVASMVHSRTSLRKIGAPPGFDLSDTEKLFLHHGCYVS >RHN63480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51624705:51633723:-1 gene:gene26180 transcript:rna26180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WTAP/Mum2 family protein MSSPTLDDQEFDFGERVTGTRSDTVSHKRSSAEFDDGNFDNDPYGKKAKTTAEVEAASGVTTGMILSLRESLQDCKETLDSCLNELEDAQYEIQNWRSAFQNAPFIPAGTAPVPKLVINYLQALKSSVESLADQLEKAKKKEVAFIVTFAKREQEIAKLKSAVRDLKAQLKPTSMQARRFLLDPVIHEEFTRLKNLVAEKDTRLKELQDQMSAVKFTSQSKMGKLLMAKCKTLQEENEEIGLQASEGKMHELAMKLSLQKDQNSELRNQFEELQKHMDGLTNDVERSNETVFMLQGKVDEKDLEIERLKDELQQKKLRREQESNAGD >RHN76395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49924085:49927442:-1 gene:gene12714 transcript:rna12714 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PRONE domain-containing protein MVRAGEQEQEGYRSKLFNFRGMFDSNAGKHTKSLSFDAALDQQLPNEDGSASSKSQGSNSDKIPKAKVISKEEIAAKEAREKLLQEMEQMKERFAKLLLGEDMSGGGKGVSSALALSNAFTNLAASIFGEQKRLEPMPAERKARWRKEIDLLLSVTDYVVEMVPSQQKSKDGTSMEIMTTRQRTDLHMNIPALRKLDAMLFECLDNFKDQNEFYYVSKDADDADGDNAKTNSDDKWWLPTPKVPAEGLSDAARKFLQYQKDCVNQVLKAAMAINAQVLSEMEIPENYIESLPKNGRACLGDAAYRSITVEFFDPDQFLSTMDLSSEHKVVDLKNKIEASIVIWKRKMNQKDNKSAWGSAVSLEKRELFEERAETILLLIKHRFPGIPQSSLDISKIQFNRDVGHAVLESYSRILESLAFTVLSRIDDVLQVDYQVQNPSGKRRISVSKPCPSPREEIDNGGAELPGAMTLSDFMGWGSDQPDTDMKKDPFEISDDFYKDIETKQQQKLPAVVTNKKVSYLETLGVMRSPTSRH >RHN43249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44312181:44314545:-1 gene:gene49794 transcript:rna49794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative magnesium protoporphyrin IX methyltransferase MAFSSSMWSSLFVPNPNKTNRFATFSPSSHSKLLTTTFAIPPLSTATAADVTGAIDGTTVAVISGGFVAGLTALLSLSDPERRRREQAEEVGGDDKEVVREYFNNNGFQRWKKIYGDTDDVNRVQRDIRLGHSKTVENALQMLKDEGSLQGVTICDAGCGTGSLSIPLAKEGAIVCASDISAAMVAEAEKLAKEQLVSSENGVSPAMPKFVVSDLESLDGLYDTVVCLDVMIHYPQSKADGMIAHLASLAEKRLILSFAPKTFYYDALKRVGELFPGPSKATRAYLHSEADVERALRKVGWTIKKKGLIATQFYFAKLIEAVPM >RHN74922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37830787:37833973:-1 gene:gene11056 transcript:rna11056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NTF2-like domain-containing protein MSNMVAKDKYRSILHDEAENIHWRHGGPPTYGLVNQLFEEGRTKVWPEGSLEETVQNAIKSWEMELSHKIRLQDFKTIVPEKFKLFVNGRDGLTAEETLSLGSYNALLKSSLPENFKPYKSNEETFESSHEVFKSAFPRGFAWEVIKVYTGPPEIAFKFRHWGFFEGPFKGHSPTGKMVQFFGLGTLKVDDALKVEEVEIYYDPAELLGGLLTSGDGTQISACPFSN >RHN49546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55561710:55565143:-1 gene:gene44388 transcript:rna44388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol 4-kinase MSSAGVSTLSPVDPTEPLLSPNAFHLPSHLSFEDKSISIFLSVSGSLTPFRVMEWDTIESVKFKIQRCESLPFLTNKQKLVYAGRELARSDTLLKDYGVTDGNVLHLIIKLSDLQLINVKTSSGKEFSFQVERGRDVKYIKRRIAKKEKQFDDLEEQELVCNGERLEDQKLIDDICCKHNDAVVHLFVRKRNAKVHRRPFELSIVTTDLADTKTDDVFENSCGRKFEVGGVEDTDAIQRAVPRKLPDRDFLLEPIIVNPKIELASVIRNMVNSAYDGLASEYYPIGSAEGTGGAYFMLDSTGQKYVSVFKPIDEEPMAVNNPRCLPLSLDGEGLKKGTIVGQGAFREVAAYVLDHPISGRRKLFGDVKGFAGVPPTLMVKCLHKGFNHPGDLMAKIGSMQMFVKNNGSCEDIGPGAFPVNEVHKISVLDIRLANADRHAGNILISTVEDNDQSVLVPIDHGYCLPTSFEDCTFEWLYWPQARQPYSAETIEYITSLDAEEDIALLKFHGWDLPIECARTLRISTMLLKKGVERGLTPFAIGNLMCRESLNKESVIEEIVEEAFDSVLPGTSEATLLDAVSQIMDQRLDKIFNSLF >RHN53719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3561544:3562008:-1 gene:gene28657 transcript:rna28657 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMQSCTALYSLGLMPLAFDIFFTNDALDRVLDMLTDRAVIVSCRSLWPITLAYPMRH >RHN65431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2211030:2212899:1 gene:gene13269 transcript:rna13269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MTQDSNHLKVGKKNTQMDFLVVFLFLSLSISCASSTSVEESFMQCMTTIVSSYSESTEKTVFTNSPLYPQVLESLKQNPRWVNSSSKPLLIMTPSHESEIQSAILCSKEIGVQIRVVSGGHDYEGLSYLCKTPFIMIDLINIRLIDINLADESAWIQAGATLGELYYKISKASKVHGFPSGLCPSVGIGGHISGGGFGTLFRKHGLAADHVLDAYLIDVNGRILNRKSMGEDVFWAIRGGSASSFGVILAWKIRLVRVPSIVTVFTIQKTLEEGATKLIHRWQFIADKLHKDLLIRIVAQTNGANSITIQTMFNSLFLGRKKNLITIMNESFPELGLQEKDCIEMSWIQSVLYFAGFKKYDPIELLLNRIVAYKSPFKAKSDYVKVPIPETGLEGIWKMLLKEDTLALLIMEPYGGKMSEISESEIPFPHRKGNLYNIQYMVKWEVNSIEESNKHIKWMKKLYRYMTPYVSKSPRAAYYNYRDLDIGRNKHFNTSYSEASVWGIKYFKGNFKRLAQIKTIFDPQNFFRNEQSIPLLNSITPSHRGGGNK >RHN47938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43720378:43722193:1 gene:gene42595 transcript:rna42595 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYGHEHMYSTRSLSAGSEMGSSFVLESGFYITSFSATIFIAGFATLGLLLVTLLVSMAMMLQSCQNSNGGVIELRNINDDYSYCKIHSLHAKLNNLEEYNVPNICKDLALQYIKGGQYVRDLDSTKSVIEDYFNGVKPSEDGLDVAS >RHN45923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26791602:26795600:1 gene:gene40350 transcript:rna40350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MGFSSCSNLFFVLFILFSYLLRVSIGIDTITSSQFIKDPETLLSKDGSYAFGFFSPENSTNRYVGIWWNSRSTVVWVANRNQPLNDSNGIVTISEDGNIEVLNGQKRVIWSSNVSNIATNTSSQFSDYGNLVLLENATGNVLWQSIQQPTDTLLSGMKLSINKRTGEKSKLTSWKSPSDPSVGIFSSSSVERGNILEVFIWNETRPYWRSGPWNGGVFTGIDTMTTAYFNGFQGGDDGQGNINIYFTMPNNEVFLIYNLNSQGKLEEMRWNDEKKEVEVKFTSQKSECDVYGICGAFAICNSLSTPICSCLKGFDPINIQEWNRNNWTSGCVRRRSLQCERVNNKTTSTKEDGFLKLQMVKVPDFAEGVAVTPDICRSLCLENCSCTAYSNDAGIGCMSWTGNLLDIQQLESGGLDLYFRVPETELDKGTKKTIIITIIVILGTLLIVICGYIMWRRISKNSDGKSEENNSDDAIGGFSQVKLQELLVFDFGKLATATNNFHLSNKLGEGGFGPVYKGKLQDGQEIAVKRLSRASGQGLEEFMNEVVVLCKLQHRNLVRLLGCCTDGDEKMLMYEYMPNKSLDAFIFDPSKSKLLDWRTRYNIIEGIARGLLYLHRDSRLRIIHRDLKTSNILLDEELNPKISDFGMARIFGGRENQANTTRVVGTYGYMSPEYAMRGLFSEKSDVFSFGVLILEIVSGRRNSSFYDNEHAPSLLGFVWIQWREENMLSLIDPEIYDHSHHTNILRCIHIGLLCVQESAVDRPTMATVISMLISEDAFLPPPSQPAFILRQNTLNSTQPEENQGVFSSNTVSITDMCGR >RHN66369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11522349:11523240:-1 gene:gene14337 transcript:rna14337 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIVKFIYVMIIILSLFQLSKNAKGNPFLTFFILGNVNKCL >RHN69205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41796311:41796600:1 gene:gene17643 transcript:rna17643 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSVSYSIEILPLDCWLVMFEYFCKILFCLCLLPICTYSIKDFDLLCYFLLVAIVTLRSIIFISMIFCLIYSCFDVYIRL >RHN64984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63456000:63456453:-1 gene:gene27872 transcript:rna27872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MEDGYTGMRNYSWGGMTLAYLYHCLSEASLHGERALGGSVTLLTGWFLAYFLGLYSVDPNHNYMENYLVAAKWALQKGHGEGVMYRILLDRLQFDDVMWRSYEEHRDIQDFEEIFWYSG >RHN64117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56710517:56713120:-1 gene:gene26900 transcript:rna26900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MSKEEFLKIQKSVLKVNIHCDGCKHKVKKILQKIDGVFTTEIDAEQGKVTVSGNVDPNVLIKKLAKSGKHAQLWSVPKPNNNNNNNQNNLVNQLKNMQIDNGKGGGNNNNKGQNQNQKGSGNNNQPKGGQQIQLQGLNPQQQQQLQLQQQLQQLQQMKGFQDLAQFKGMKMPPNQNAKGVKFDVPEDEDDFSDDEMDDFDDDEDDDEDFDDEFDDEMVGLPPNKMKPPSMPMGNGAHMMLNGNHPQLLTAMKGGNFNGGGGGGNGQKPGGGGPVPVQIHGMNGGNGNGGKKGGGGGNNQNQVGGNKNNGGMPEAKNGGGGGNKNGGNNSNNQNNDGGKKGMSIPVAGGGGNVQAMNNGFHKMMGGGGGGQSHPGMGGGGNNMGPMGPMPMNRMGGNIPAVQGLPAGGGGYFQGGGGGGPGPEMMQGNPYQQQQQQHQQQQQQQQQQQQLMAAAMMNQQRAAMVENDQRFQQPMMYARPPPEVNYMYPPYPYPPPDPYSHAFSDENTSSCNVM >RHN81201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44036651:44040996:1 gene:gene5211 transcript:rna5211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative auxin-binding protein MVERYVITTLLLSFISFSSIVFASSSSSCSSIAGLPLVRNISEIPQDSYGRPGLSHVTVAGSILHGMKEVEVWLQTFSPGTHTPIHRHSCEEVFIVQKGSGTLYIASYSHGKYPGKPEEHFIFPNSTFHIPVNDAHQLWNTNEHEDLQVLVIISRPPVKVFVYDDWSMPHSAARLKFPYYWDEQCSQESSKDEL >RHN48254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46121188:46126565:-1 gene:gene42951 transcript:rna42951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MTMLGENGKEDYTEDGTVDLKGRPVLRSNTGRWRACSFIVGSEVIERLTYFGISSNLVLYLTKKLHQGTVKSANNVTNWAGTVKILPVIGAYIADAYLGRYWTFVVSSGIYFLGMCLLTLSVSLPTLRPPPCAVGIEKQDCQKASSLQIGIFFFALYIIAAGTGGIKPSITTLGADQFDEFEPKEKSQKLSFYNWWVFYIIIGAILAETVLVYIQDNVGFALGYGIPTILLVFSTLVFLFGTPIYRHKLPSGSPLTRMVQVFVAAMRKWKLNVPNDQKELHEVSIEEYTSNGRYRINHSSSLSFLDKAAVKTDQTSPWMLCTVTQIEETKQMTKMIPILIATCIPSIIFAQTNTLFVKQGTTLDRRLGAHFKVPPASLIAFVHIFLAISTVIYDRVFVPIIRRYTKNPRGITMLQRIGIGLVFHVIVMVVSCLIERKRLNVAREHNLLGKLDTTPLSIFILVPQFALMGIADTFVEIAKIEFFYDQAPESMKSLGSSYATTSLSMGNFLSTFLLSNVARLTSKHGHKGWILDNLNISHLDYYYAFLALLSVVNFFFFLIIAKFFVYNDDVTQTKMDLEMNPASSKGYKTEISQSISQLDVKS >RHN69125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41214603:41215727:-1 gene:gene17554 transcript:rna17554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MMQQSATFIKTLTSPSLYTPPLPTLPFDLVAEILCRLPVKLLLQLRCLCKSLNSLILDPKFAKKHLRMSTTHHNLMPDDSVKNLKTLGVVRDYLCIFANSEMCLDIWIMKEYGNKESWTKLYSVPYVENWGLYAFTKALYISDEDQVLMDLHELGSIELKVGVYDFKNGILKILEIQNSNHLMDPKVYIESLSPCS >RHN57848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42137456:42139400:1 gene:gene33437 transcript:rna33437 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTGSTSIILFDCNIANYVGRSVQDLIDAQGQANNSLGCPKELGLLVGKQMLFKVEITDWNLVHNWRNHGVKRTSDDADLIKRFIEKHNIKEIAEEDDSCNNNVPLTQIGEARLESRLIEFGDSTKTGNDLVDNEQTPGSKSGGKRFADAEDSAILGTEEIGDRSINKLQKMICVKVEKLN >RHN63967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55448565:55449444:1 gene:gene26732 transcript:rna26732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MPQITNVTIIIVIIVVAMSLQTIEAEDYTVGGDVIGWTSFPPGGTSFYSKWAANFTFKLNDNLVFNYESGSHSVVILNKANYEECNVNDKNIQTFNQGPTKITLDHIGNFFFSCTLSGHCSFRLKSLDQVFTALPPQSSTTPMAATFTLVFITIAFNFLSHI >RHN81215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44151178:44151534:-1 gene:gene5227 transcript:rna5227 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVNGHTSLIVLPIIAFFENCYECYALLNSCFNYRPPNISSCFRCLTCLQYVWEELDYVAFNTGRCFDLDHIGSFQHFTRIKSGYVMFVKGSKPMLSVWIHIFVREIPIAFTHIIFL >RHN57415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38900369:38903362:-1 gene:gene32958 transcript:rna32958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MRSFFILLPYFTFHLFLLLLLTHFTSYTFSLCSQHDSSALLQFKHSFSVNTSSKPGFLSMCLSFSFKTESWKTGTDCCEWDGVTCDTVSDHVIGLDLSCNNLKGELQPNSTIYKLRHLQQLNLAFNHFSGSSMPIGIGDLVNLTHLNLSFCHLKGNTPSTISHLSKLISLDLSSYSYSNMEINPLTWKKLIHNATNLRELHLNSVDMSSITESSLSMLKNLSSSLVSLSLSETELQGNLSSDILSLPNLQRLDLSFNYNLSGQLPKSNWSSPLRYLNLSSSAFSGEIPYSIGQLKSLTQLDLSHCNLDGMVPLSLWNLTQLTYLDLSFNKLNGEISPLLSNLKHLIHCNLAYNNFSGGIPIVYGNLNKLEYLSLSSNKLTGQVPSSLFHLPHLFILGLSFNKLVGPIPIEITKRSKLSYVGLRDNMLNGTIPHWCYSLPSLLGLVLGDNHLTGFIGEFSTYSLQSLDLSSNNLHGHFPNSIYELQNLTNLDLSSTNLSGVVDFHQFSKLKKLNSLILSHNSFISINIDSSADSILPNLVDLDFSSANINSFPKFQAQNLQTLDLSNNYIHGKIPKWFHKKLLNSWKDIIHINLSFKMLQGHLPIPPHGIVHFLLSNNNFTGNISSTFCNASSLYILNLAHNNLTGMIPQCLGTFPHLSILDMQMNNLYGSIPRTFSKGNAFETIKLNGNQLEGPLPQSLAQCSNLEVLDLGDNNIEDTFPNWLETLPELQVLSLRSNHLHGAITCSSTKHSFPKLRIFDASNNNFSGPLPTSCIKNFQGMINVNDKKTDLQYMRNGYYNDSVVVIVKGFFMELKRILTTFTTIDLSNNMFEGRIPQVIGELYSLKGLNLSNNGITGSIPQSLSNLRNLEWLDLSRNRLTGEIPAALTNLNFLSFLNLSQNHLEGIIPTGQQFDTFGNNSYEGNTMLCGFQLSKSCKNEEDLPPHSTSEDEESGFGWKAVAIGYACGAIFGLLLGYNVFFFTGKPQWLLRLCKSPRMN >RHN70216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49721796:49731778:-1 gene:gene18773 transcript:rna18773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKFSAIHCCRHMQPYRVPSASSLPWISPLNFTKPLEPKLDPPPEIVVAETRKKSKYITHDVAINLIKREKDPQHALKIFNMVSEQKGFNHNNATYATILQKLAQFKKFQAVDRVLHQMTYEACKFHEGVFINLMKHYSKCGFHEKVFDAFLSIQTIVREKPSPKAISSCLNLLVDSNQVDLVRKLLLYAKRSLVYKPNVCIFNILVKYHCRRGDIDSAFEVVKEMRNSKYSYPNVITYSTLMDGLCRNGRLKEAFELFEEMVSKDQIVPDPLTYNVLINGFCREGKADRARNVIEFMKNNGCCPNVFNYSALVDGLCKAGKLQDAKGVLAEMKSSGLKPDAITYTSLINFFSRNGQIDEAIELLTEMKENDCQADTVTFNVILGGLCREGRFDEALDMIEKLPQQGVYLNKGSYRIVLNSLTQNCELRKANKLLGLMLSRGFVPHYATSNELLVRLCKEGMANDAATALFDLVDMGFQPQHDSWELLIDLICRDRKLLYVFELLDELVTSNS >RHN51525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19373609:19375315:-1 gene:gene35980 transcript:rna35980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatid cohesion factor MAU2 MLLMHYLSHVTYVYVFSMKLWSCNFNSQLANALSTEGDYRGSVSAIERGYVCASKVPYPEMQVEPHVDKLDAAVKAYREQLLGDKLQLAPPPIDGEWLPKSAVFALVDLIVVVFGRPKGNFKDCGKRIQSGMHIIQDELVKLGITNCLREADLDRSSIHMAGVYLMLLIQFLENEVAIELTRAQFSAAQEVGFAP >RHN46546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32638253:32639134:-1 gene:gene41043 transcript:rna41043 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHSVQTCSKLNTSSKSNGYVPLYQKPHNVTTNALNKASLSGRIDVNTSGSSILIGNKNATSGPAKTQRSRKSPIQHQSETVTKFEEGEILPSQKFNQVESHAADKPSRTKLTLQNSFELLEEDETLVLGEARPIDGDLPSLTIEEVPGIIDRQCALITAPIFDDNITLQPVITPITTTDEILGPDKRKVQFTVGPKNMSAACLKDGKALSKFLGVEPDTDTITTMDEVLVPTKGKVQVSGGSKNVSAASMKSVQILSKSWGDEVDTDPATDSTMEQDTDSEKPVGYFKISS >RHN61359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35133299:35139739:-1 gene:gene23818 transcript:rna23818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MLQTTRFLSLSFSVIFPFFFLSVFFSFTSKKTKMAQKLSLHFNHNLHTSLHLNRHLHLHLLPPSLLRKNTTIHLTNQCIITSSSQNRRFEFLTACSVQNYDAIDESEEKVQISEVSSKEEVKELVEQSIWIQMKEIVLFTGPAIGLWLCGPLMSLIDTAVVGQGSSIELAALGPATVFCDYLGYSFMFLSIATSNMVATALAKQDREEVQHHISVLLFIGLACGLAMLFFTRLFGATTLAAFTGPKNVHLVPAANSYVQIRGLAWPCLLVGSIAQSASLGMKDSWGPLKALAAASIINGIGDIILCRYLGYGIAGAAWATLASQVVAAYMMSQALNEKGYNAFAFTIPSGKEFLSILSLAAPVFVTLMLKVAFYSLLIYFATSMGTNKMAAHQVMLQIYMLCTICGEPLSQTAQSFMPELMYGVNRSLVKARSLLRSLLTIGAVLGLLFGIVGTSVPWLFPYIFTPDQMVIQEMHKILIPYFLALVVTPATVGLEGTLLAGRDLRFISLSMTGCFCLNGLVLLILSSRYGLQGCWFSLAGFQWVRFSSALLRLLSPNGILYSEDISQYELQKLKTA >RHN61104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32982331:32987213:-1 gene:gene23529 transcript:rna23529 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDRSSSQSSSKSSDSPSRDFKVLSIECYKGSSKSDEWTGDMLQTGDIVEELRVGGSANSLIRFKAPFKNGKSGVNKILQEAYKKKDTSILVRVRRGVDEFAELQGCIVPNENKKSYVLRDIVDPNYLVGFLDRTEAECFQLQASRSSRMVNELTKTRIQDGFVSYPWERRMQELLSVPNSSNFLSILLLPKASDRVASRYNDVEDTLARANAWLNAAQASGVPIVFMNIQTESLLTKISGETASSTVNAGSLSDLCNIANASLYGFEDYHGVDIGVVRAIRLWYAPMGGEFSIEIKLREEDTKLGFAISRTEEGFIFISSSVIDEGKESLPATRSGLSNLYKLATETSRLLVVSRLSNQRVLPWMVSSTGAIRCYDTVSLSQKLSLHRHTKVPILLHVFLWDRTMATSSAESTKSKPLSSSVLPSPPQIQLAHRPNENQIQPLSCEGSDPSDINISDSSQPTHLERGAVGDVSFRFQDFSLSSTNWI >RHN47711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41830489:41837181:-1 gene:gene42340 transcript:rna42340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxymethylglutaryl-CoA lyase MQKIAQRVRFLNPNLLQSQLTSIDTTTRSVQSREITSTRELRNAFDKYKINVLSRYLNWTSGANDNHHAASYVVNRHFRADNNGICTKEFSSKLRKNIPDFVKIVEVGARDGLQNEKAIVPTDVKIELIKLLVSSGLSVVEATSFVSPKWVPQLADAKDVLAAVRDVEGASFPVLTPNLKGFEAAVAAGAKEVAVFPAASESFSKANLNCGIEDNLARCRDIASASRSLTIPVRGYISCVVGCPLEGRIAPVKVAYVAKSLYEMGISEISLGDTIGVGTPGTVIPMLEAVLDVVPIDKLAVHFHDTYGQALSNILISLQMGISTVDSSVSGLGGCPYAKGATGNVATEDVVYMLNGLGVKTNVDLGKLMHAGDFICKHLGRPTGSKAATALNKVTTCNASKL >RHN43259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44406471:44407314:-1 gene:gene49804 transcript:rna49804 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSKVEGKAATMVVTTAMRGGCRRKGTVMVVKSWMVVSETGESRVEDIDKHSIMQRTGLPTRDLRALDPKLSNPSSILGREKAIVVNLEHIQAIITSNEVLMINSTNPFFLRFLQDLQARLPHSNSSNNIQIANNVDGDYEAKTLFDDSPNNASDAGSPKAIAGVVSAPKQLPFEFKALEACIESTCTCLESETQGLEKEAYPALDELTSRISTLNLESVRQIKNRLVSLFGRVQKVNK >RHN70446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51467416:51467775:1 gene:gene19025 transcript:rna19025 gene_biotype:protein_coding transcript_biotype:protein_coding METHHVKILHSRTGDLTTDKGTLVIAVLFATKVVKDFIYSMSSSNLLIFKQPERMRVFRDFNLYVLLGRLHRLIQSSKFIRRILSRCPMLGEISDNIGQSLSTSFSRLGMFEKSRLRVR >RHN41268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28756155:28757619:1 gene:gene47572 transcript:rna47572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative macrophage elastase MPKTLCLGLWDTPFNTPSLFRFEFELHFSILSPNQTNMKKQQLIFPLTISFSLTLLIVSARLFPDVPSWIPPGTLPVGPWDAFRNFTGCRQGENYNGLSNLKNYFQHFGYIPRSPKSNFSDDFDDDLQEAIKTYQKNFNLNVTGELDDMTLRQVMLPRCGVADIINGTTTMNAGKDTETTSNSDSKLRFHTVSHFTVFPGQPRWPEGKQELTYAFFPGNELTETVKSVFATAFARWSEVTTLKFTETTLYSGADIKIGFFNGDHGDGEPFDGSLGTLAHAFSPRNGRFHLDAAEDWVVSGDVSKSSLPTAVDLESVAVHEIGHLLGLGHSSEEEAIMFPTISSRMKKVVLADDDVRGIQYLYGTNPSFNGSTVISSPERNIGNGGCSLTSLWSPWRLFSLLTFVLSHFLL >RHN67127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24125490:24131721:1 gene:gene15274 transcript:rna15274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rab3 GTPase-activating protein catalytic subunit MFVLLCISWTCSYSFSYTINTCMFLIFFSYILCSAMELHVGNSFVSKARTVLHSAAVKAERVLNDFKSDLAGEDFDKHLREDDGMQRNQEDDYAKDENESKFLVELKQIKWRPRHFGTKQHWKDRINNIRKGRKESEDTDKVEDASMDVIPLFDEMCVLHVENDLDAKGSEAFSSVEGLTVAARRLIPPSSVLKQLAIAVEAGMKANSMKNFAASTEGSSPSIEKAGLRLSAVKALVLREKEDKLTSEFTSKEKFVYLISSLFNPGMQILSGLHAYDIIASMNHFMLIHFSVIFAEGYFLRRKINSNQEEIEIPSLIRDIHGAPPESLVVKLAEVIGNLKTLREMEIFWCRVIAEIRKLWSEEKHLPGVPLDDIPDLKSCLLNQQFQVINCCISRKRRHIIASESLDYMLMEANSNNTPESTNCCDGTSASRLLYARLSTGELVLRLGAHCPSGNLTLLETGEPAYSPITQEAPLLTEDLIKETEELILQTGSFGAGCSQLLSDMQAFKAANPGCILEDFVRWYSPPDWTEIEASTEDSCSSDGSGSDSSSNRGHLSRRMRKEGNLWRELWETSKPVPAVKQAPLFDEDLTVEGILDAFKDIQPVELFGQLFVSLLGLGFTIAEPLLSGNDDFSKLFNDCKEFVVTTCQSIRFGEKIDELVQVYETVETLLQNPEEALKLMKHSEESTVTTGETKRRFKKLSHIFAGKDKLLSRSFRKDQINDEEKGSRQSFSSFFDRKSSLFSKKPPKRGNLAAAETTHSLEDDWTII >RHN45059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11262232:11263478:-1 gene:gene39256 transcript:rna39256 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGRASQSPITGVPLPLGLKHTWQPIKGAFTAQVRIRDPHNSSHITNREKFLSPFS >RHN51831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26266455:26267921:1 gene:gene36371 transcript:rna36371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative succinate dehydrogenase (quinone) MAEVTKQLELQKTPLVREEYVESSQNESRLAGKKVKLPLFEGDDPVAWITRAEIYFDVQNTPDDMRVKLSRLSMEGPTIHWFNLLMETEDDLSREKLKKALIARYDGRRLENPFEELSTLRQIGSVEEFVEAFELLSSQVGRLPEEQYLGYFMSGLKAHIRRRVRTLNPTTRMQMMRIAKDVEDELNEEDDDGGRPYGKKHGGDRVG >RHN52423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35180860:35182642:-1 gene:gene37100 transcript:rna37100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MPFFLMAMQLPTSSSSLSYDFNFDVFISFRGTDTRFGFTGNLYKALSDKGIHTFIDDKELPTGDEITPSLRKSIEESRIAIIIFSKNYATSSFCLDELVHIIHCFREKVTKVIPVFYGTEPSHVRKLEDSYGEALAKHEVEFQNDMENMERLLKWKEALHQFHSWVPLFISILNKYEYKFIEEIVTDVSNKINRCHLHVAEYLVGLESRISEVNSLLDLGCTDGVYIIGILGTGGLGKTTLAEAVYNSIVNQFECRCFLYNVRENSFKHSLKYLQEQLLSKSIGYDTPLEHDNEGIEIIKQRLCRKKVLLILDDVDKPNQLEKLVGEPGWFGQGSRVIITTRDRYLLSCHGITKIYEADSLNKEESLELLRKMTFKNDSSYDYILNRAVEYASGLPLALKVVGSNLFGKSIADCESTLDKYERIPPEDIQKILKVSFDTLEEEQQSVFLDIACCFKGCDWQKFQRHFNFIMAIQ >RHN63378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50793961:50794867:-1 gene:gene26072 transcript:rna26072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MRSNMEFERVLSYFDEDGDGKISPNELRSRMAKISGEFQLKEVEIAIEALDSDGDGLLSLEDLIALMESGGEEEKLKDLREAFEMYDDEGCGYITPKSLKRMLKKLGDSKSIEECKVMIKRFDLDGDGVLSFEEFRIMME >RHN49944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2523460:2526617:-1 gene:gene34181 transcript:rna34181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MEMIKQIPKIDSENMEGEILEKVLGEVEFNNVEFVYPSRPESVVLKCGPSGKTVALVGGSGSGKSTVVSLLQRFYDPIGGEILLDGVAIHKLQLKWLRSQMGLVSQEPALFATSIKENILFGREDATYEEIVNAAKTSNAHNFISLLPQVYDTQVGERGVQMSGGQKQRIAIARAIIKMPKILLLDEATSALDSESERVVQEALDKASVGRTTIIIAHRLSTIQNADKSF >RHN82159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51604365:51606243:-1 gene:gene6285 transcript:rna6285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MVIAIIPACFCTRAISKSNCFHKKGHLADCNISNFTFMAIFGSFQILLSQIPDFHELSWLSIVAAIMSFGYASIGIGLSIAKIAERGHHVETGLTGLIVGVDETGMQKLWNTFQAIGNIAFAYAFSMDTIKSSPPENKVMKKSAFTGILITTFFYALCGLLGYEAFGNKAPGNFLTGFGFYEPFWLVDIGNLFIIIHLVGAYQVFAQPIFSIVESWGNKRWPQSKFMTKEYHVNIPLVGIWRMNMFRLIWRTMYVIFTTVIAIILPFFNSIVGLLGAISFFPLTVYFPTEMYLKQAKVPKYSSIWIGMKLLSGFCLIVTLVAAVGSIVGIITDLKTSTLPF >RHN82659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55510141:55511674:1 gene:gene6837 transcript:rna6837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GRAM domain-containing protein MKTSLLHDIVIGTTIISATYDQFQKSVNRYLLDSATHQCQLSTKQQNKSRANSNQEKRSRKADSLSQRVQEHVRSGANISKTIKRTLNLGAQILQMGGVEKMFRQYFSVREGERLSKVSQCYLSTTSGPLSGLLFISNEKVAFCSERTIKVFNQKGQMRRIRYKVAIPLKKIKCVRQSQNVEKPTQKYINIVTMDNFDFWLMGVLKYQKTFKYIEQAISQACLDE >RHN79974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34001031:34007846:1 gene:gene3838 transcript:rna3838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MQAITRRLGHESLKSSTLLKSSYPISDHYYGVNHERYVSTIATKGVGHLVRKGTGGRSSVSGIVATVFGATGFLGRYVVQQLAKMGSQVLVPFRGSEDSPRHLKLMGDLGQIVPMKFNPRDESSVKAVMARANVVINLIGRDYETRNFSYEEVHYHMAEKLAKISKEHGGIMRFIQVSCLGASPSSSSRMLRCKAAAEEAVLRELPEATIFKPAVMIGTEDRILNRWAHFAKKYGFIPLMGNGNTKIQPVYVVDVAAALTTALKDDGTSMGKIYELGGPEIFTVHQLAEIMYDVIREWPRYVNVPLPIAKALATPRELFLNKLPFPLPKPEMFNLDQIHAYAADTVVSENALTFNDLGIVPHKLKGYPIEFLIQYRKGGPQFGSTISEKVSPDAWP >RHN62847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46174624:46179665:1 gene:gene25468 transcript:rna25468 gene_biotype:protein_coding transcript_biotype:protein_coding MADPELEAIRQRRMQELMGRHGTAGNQPNPEQQSAQEDAKREAEERRQMMLSQILSAEARERLARIALVKPEKAKGVEDVILRAAQMGQIAEKVTEERLITLLEQINSQTAKQTKVTITRRRSVLEDDD >RHN71717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2138006:2138932:-1 gene:gene7346 transcript:rna7346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain, reverse transcriptase zinc-binding domain-containing protein MWRLAKNILPTRSNLHKKGILLDLQCPLCHDENESSHHLFLKCNLLKLSLFSSHLGSHIPNDIDLHDWILNWLTCQDPFGVQLFCTLLWKFWAGRNAAVFKGVPLNPTVLANETLSFVNEFNEANPRRNTRTVRIIPVIQPLPMLFSVFVDAGCCAGGPTVWGLTIRNQNGEVILSKCKKEDIDVGPLLAEALGVRWAVQVAIEQGINSVAIHSDAANVVNCINGKASFATINMVAQDCSVLLSSLSNACILFISRDQNSDAHNLASLAKVVGNRTWLGAVPNSFFPGSVMQNYANCNRFSCFLPASC >RHN73080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13274243:13276543:-1 gene:gene8859 transcript:rna8859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MNLKTSSEVETRALLDEICSFDRKGLFDLGHPLLNRIAESFVKAAGIGAVQAVSREAYFTAIDGHKVDKGGVIPQDVSPTNKRRLPAGLRGETSNKSLDAMVINTGKESLQWGVAAGIYSGLTYGLKEARGAHDWKNSAVAGAITGATLALTLEDSTHEHVVQCAITGAAISTVANLLKGIF >RHN67018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22884935:22886533:1 gene:gene15148 transcript:rna15148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gallate 1-beta-glucosyltransferase MGSSEAPIHILLISYPAQGHINPLLRLAKCLAAKGSSVIFITTEKAGKDMQTVNNITHKSLTPIGDGSLIFHFFDDGLEDDDPIRASLGGYSTQLELVGTKFLSQMIKNHNESNKPISCIINNPFLPWVCDVASQHDIPSALLWIQSTAVFTAYYNYFHKTVRFPSEKEPYIDAQLPFVALKHNEIPDFLHPFSKYSFLGTLILEQFKNLSKVFCVLVDSYDELEHDYIDYISKKSILTRPIGPLFNNPKIKCASDIRGDFVKSDDCNIIEWLNSKANDSVVYISFGTIVYLPQEQVNEIAHGLLDSNVSFLWVLKPPSKESGRKEHVLPNEFLEETNERGKVVNWSPQEEVLAHPSVACFITHCGWNSSMEALSLGVPMLTFPAWGDQVTNAKFLVDVFGVGIRLGYSHADNKLVTRDEVKKCLLEATIGEKGEELKQNAIKWKKAAEEAVATGGSSDRNLDEFMEDIKNRGTINIHKM >RHN58783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4856677:4857876:1 gene:gene20727 transcript:rna20727 gene_biotype:protein_coding transcript_biotype:protein_coding MNELFIRESPIQEQNRTLDIRLKECGDDILRLDKVICASYGGSNNNICETTESQELAKIMLVDGCFLLEFLFKLDKYMEDENYYNNDSIFKAEDKVLLLSVLNDVTMLENQIPFIILKKIFRKVFPDGSERKDDHRVANLVRLAFGYPLTYSCGGAHILNLMHMSTVEQNKIYEGKKAKLELLYCATKLRASGGELNIPPLYIKETTEVKWRNLIAWEQSKNWIRCKYTSYALFFNGLICCEHDIELLQKKGVIVNELNKSNEDLLILFQTIAKGAEQMDLSYSEICARLNVYDYMGMKVTKVLRKLPIRAWHQCRRISENFVNYGRNWYKVLIRDHIPTVWKFIGIVAAALLVVLTIVQTYYSSRSG >RHN62573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44428935:44432194:-1 gene:gene25165 transcript:rna25165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (3S,6E)-nerolidol synthase MAFSLSFATSTKHSTFSSNKTSNILEYNKTNLTSVFNQIHIPKSGKCKDDLHIRHAKALDEVKQVFVRNIRKNTDECLSMVDSIQRLGMEYNFEEEIEATLERKHTMLRFQNFQRNEYQGLSQAAFQFRMLRQEGYYISPDIFDKFCDNKGKLKYTFSEDINGMIALFEASQLSIEGEDCLDNVGQFCGQYLNDWSSTFHGHPQAKFVAHTLMYPTHKTLSRFTPTIMQSQNATWTNSIQQFSKIDTQMVSSSHLKEIFAVSKWWKDLGLPKDLEFARDEPIKWYSWSMACLPDPQFSEERIELTKPLSLIYIIDDIFDFYGNIDELTLFTDAVKRWDLSAIEQLPDCMKVCFKALYDITNEFALRTYIKHGWNPLTSLIKSWVRLLNAFLQEAKWFASGNVPKSEEYLKNAIVSTGVHVILVHAFFCMGQGITEKTVSLMDDFPTIISTTAKILRLCDDLEGDKDVNCEGNDGSYSKCYMKDNPGVSIGLTKEHMSEQISDAWKQLNKECLNTNPLPSSFTKLCLNAARMVPIMYNYDGNTPSKLEEYVKSLLNDGGYLQSIHSPTSEHSTV >RHN71558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1032513:1034526:-1 gene:gene7171 transcript:rna7171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MCRYFPLKALSTSNFLCLDTFLLYTQARDVYQVSQPQSPYENIPIFHNLTYLELHNSWHLILQVLHHCPKLQNLKIYEESYAAMGIEDNQENWVDPEFVPQCFLSHLRTYTILNNAGPQSQLMLGKYILKNANSLQTMTISSESEKRKLSECPKASATCQLLVNGII >RHN74488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33924899:33928010:1 gene:gene10573 transcript:rna10573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MSQSAPMPTPSLTHLGLAPIALHCGGGYVPVGRVTLGRVMNVIGETIDDYGELTEKMLPIYRKAPSFYERNKTPVILQTGIKVIDMLAPYQRGGNIGLIGCAGVGKSILMMELMNIVADIYGGLSVFAGIKEQSQEGEDLYRRMVSDGIIKLGDKQASESQSKCAFVCGQINDPPGARSRVVYTGLTVAEGFRDEGRKVLLFVDNHFRFTQADSELSTLLGRIPSAVGYQPTLSIDIHSLQERIAATSKSFITSFHTIYPGDDVDVHLDAATVFSKEIFDRGIYPAIDPLKSTSSLLSPHCLHEDHFEVADGVIRNLQHYKNLQDIIAILGVDELSEDDQLIITRARKIELFLGQPLSVVAYPRSQETYVHLDDTLKGFQGLLDGEYDYIPDAYFHMTCGIKDVIAAYENHLLAGMQCN >RHN61402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35412908:35414264:1 gene:gene23866 transcript:rna23866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cathepsin F MNHRNQTFMLFSVLFLFFSVDLAFSMPKDREDPIIQQVVDKGGAEYQFNEFKQRFGKVYSSKDEHDYRFNVFKSNLHRAKRHGIMDPSATHGVTRFSDLTPREFRNSILGLKGVGLPRHAKAAPILSTENLPRDFDWREKGAVTPVRNQGFCGSSWSFSTIGALEGAHFLSSGELVSLSEQHHVDCDHEYIQKYGGLMRVEDYTYYKTNTARSVAANFSSISVDDNQITANLVKHGPLAAAINAVYMQTYVGGISCPYICTRRLDLGVLLVGYGSGAGADMKEKEKPYWIVKNSWGETWGENGYYKICRGRNICGVDSMVSTVAAAHTTTQ >RHN51650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21646566:21646832:1 gene:gene36132 transcript:rna36132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MAFTTSILAEMKNKVLFILGATGTMKTKLSINLGSRYPAEIINSDKIQVYNGLDIVTNKVPKSEHCSIPHHLLGIIDDPEYNFTMNDF >RHN69409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43228478:43239059:-1 gene:gene17877 transcript:rna17877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MATALTWRFASNNGTTLAANDLERNGDGKAQDSEAPTPHSVLKMGLRERSSSGMEDTDGTLASIAQCIEQLRHNSSSMQEKAHSLKQLLELIDTRENAFSAVGSHSQAVPVLVSLLRSGSLSVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSEEGQVAAAKTIFAVSQGDAKDHVGSKIFSTEGVVPVLWEQLQKGLKSGSVVDSLLTGALKNLYSSTERFWNSTIQAGGVDILLKLLTTGQSSTLANVCFLLACMMMEDASFCSKVLTADATKQLLKLLGPGNDAPVRAEAAGAIKSLSAQCQDARKEIANYNGIPALINATIAPSKEFMQGEYAQAIQENAMCALANISGGLSYVISSLGQSLESCSSPTQTSDTLGALASALMIYDDKAESTRASDPLAVEQTLLEQFKPHSPFLVQERTIEALASLYGNPILSLKLANSDAKRLLVGLITMAANEVQDELLKALLTLCNSECSLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWSITAAGGIPPLVQILESGSAKAKEDSATILRNLCDHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKVYVLDALRSMLSVVSLSDLLHEGSAASDAIDTMIKLLSCTKEETQAKSASALSGIFETRKDVRESKIAVKTLWSAIKLLNVESRSILVESSRCLAAIFLSIKENREVAINARDALSSLVTLASSSVLEVAELATCAVANLLLDSEVAENAVVEEVILPATRVLREGTKYGKTHAAAAIARLLHSRQVDCAVNDCVNRAGTVLALVSFLDSAIDEPVATTEALEALAILSRLKETTAISKPAWMILAEFPKSISPIVLSISDSTPVLQDKAIEILSRLCKDQPSVLGENVATASGCISSIAKRIINSTSTNLKVKIGGAAILICAAKENHQRLVEDLNLSNLCADLVQSLVDMVISSQATLINQDDVNKELISICRHTKDANDGKLTNSISGADVALWLLSVLACHDEKCRISIMEAGAIEIFTDMIANFSSQYNQIDDKEDSSMWICAMLLAILFQDRDIIRAHATMKSIPALANLLKSEESANKYFAAQSIASLVCNGSRGTLLSVANSGVAGGLISLLGCADVDIRDLLELSNEFSLVPFPDQVALERLFRVDDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGILTQLARDCPSNKIVMVESGAIEALTKYLSLGPQDAIEEAATDLLGILFSNAEIRRHESAFGAVTQLVAVLRLGGRAARYSAAKALESLFSADNIRNAETARQAVQPLVEILNTGLEREQHAAISALVKLLSENPARALAVADVETNAIDVLCKILSSGCSMDLKGDAAELCCVLFGNTRIRSTVAAARCVEPLVSLLVTEFSPAHHSVVRALDRLVGDEKLADLVVARGAVIPLVGLLFGTNFVLHEAISRALVKLGKDRPSCKMEMVKAGVIECILDILHEAPDYLCAAFAELLRILTNNASIAKGSSAAKVVEPLFFLLTRQEFGPNGQHSVLQVLVNILEHPQCRADYRLTSHQTIEPLIPLLDSQTDTVQQLVAELLSHLLLEEDLQKDPVTQQVIGPLVRVLGSGMQILQQRALKALVSIADVWPNEIAKEGGVIEISKVILQADPSIPHVLWESAASVLASILQFSSEFYLEIPVAVLVRLLQSGSESTISGALNALLVLESDDETSAAAEAMAESGAIEALLELLTSHQCEDTAARLLEVLLNNVKIRETKVTKSAILPLSQYLLDPQTQAQQARLLATLALGDLFQNESLARTADAASACHALVNVLIDQPTEEMKVVAICALQNLVMHSRANKRAVAEASGVQVILDLIGSSDPETSVQAAMFIKLLFSNHTIQEYAVSETVRAITAAIEKDLWATGAVNDEYLKALNSLFSNFAHLRATEPATLSIPHLVTSLKSGSEATQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPMLQYLLQSGPPRVHEKAEFLLQCLPGTLVVIIKCGNNMKQSVGNPSVYCKLTLGNNPPRQTKVVSTGPNPEWDESFSWSFESPPKGQKLQISCKNKSKMGKSSFGKVTIQIERVVMLGTVSGEYTLLPESKSGPSRNLEIEFQWSNK >RHN81844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49301522:49302040:1 gene:gene5936 transcript:rna5936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MGIRKAIRGWKDLESMTMPGIYNPRYVFEEISKHCKNFRELKVMGCIGIQFAYALTRCLPNLKVLSLRCSGLSKRALILILNKLKHLEVLNISHSCHVELVPDPYYEIEEYKFTGDIDPIIIEKASRLREFHTCIKESCIMCKRTRDDDGLVRWFKYEEGIWKHDEVSSLAL >RHN81469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46200090:46208230:1 gene:gene5517 transcript:rna5517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monosaccharide-transporting ATPase MCSSWRCLVWLVLTLSMLLFGEKMHCQEMNDYDQLDNPAVLPLITQLVYTRISNLTSILSQQISKDSNFCVKDPDSDWNQAFNFSSDLRFLSSCIKKTKGDISNRLCTAAEVKFYLNSLMEKSSSANYLKPNRNCNLTSWVSGCEPGWACSVPSGQKIDLKDSKEMPARTSNCRACCEGFFCPHGITCMIPCPLGSYCPIATLNKTTGVCEPYLYQLPPMQPNHTCGGANVWADFSSSSETFCSAGSYCPTTTTKFPCSSGHYCRTGSTSAKRCFKLSSCNSNTATQNMHAYGVMLIAALSTLLLIIYNCSDQVLTTRERRVAKSRESAARSARKTANAHQRWKVAKDAAKKGATGLQAQLSRKFSRKKDEENLEKVKILNQETSETDVELLPHSQPSNMVASSSAVPTEKGKTPSGLMHMMHEIENDPHVNYNPNTGKETRHKSATKEKQPQTNTQIFKYAYAQLEKEKAQQQENKNLTFSGVLKMATNTEKSKRPFIEISFRDLTLTLKAQNKHILRNVTGKIKPGRITAIMGPSGAGKTTFLSALAGKALGCLVTGSILINGRNESIHSFKKIIGFVPQDDVVHGNLTVEENLWFSAQCRLSADLSKPEKVLVVERVIEFLGLQSVRNSVVGTVEKRGVSGGQRKRVNVGLEMVMEPSLLMLDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALFNMFDDLILLGKGGLMVYHGSAKKVEEYFSGLGINVPERINPPDYYIDILEGIAAPGGSSGLSYQDLPVKWMLHNEYPIPLDMRQHAAQFGIPQSVNSANDLGEVGKTFAGELWNDVRSNVELRGEKIRLNFLKSKDLSNRKTPGVFKQYKYFLIRVGKQRLREARIQAVDYLILLLAGACLGSITKSSDQTFGASGYTYTVIAVSLLCKIAALRSFSLDKLHYWRESDSGMSSLAYFLSKDTMDHFNTVIKPVVYLSMFYFLTNPRSTFTDNYIVLLCLVYCVTGIAYALSIVFEPGAAQLWSVLLPVVSTLIATQQKDSKILKAIANLCYSKWALQALVIANAERYQGVWLITRCGSLLKSGYNLHDWSLCISILILMGVIGRAIAFFCMVTFKKK >RHN61530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36182785:36185111:1 gene:gene24003 transcript:rna24003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA pseudouridine(55) synthase MSSIMSPSLSEGKKKKKNTNKQSEPEEPQNPQDFMIKPEKLTPTIDTSNWPILLKNYDRLNVRTGHYTPLPAGHSPLKRPIADYLKYGVINLDKPANPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCIARLHSAVPDVAKVARALETLTGAVFQRPPLISAVKRQLRIRTIYESKMLEYDAEKHLVVFWISCEAGTYVRTMCVHLGLVLGVGGHMQELRRVRSGIMGEKDNMVTMHDVMDAQYVYDKEKSDETYLRRVVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIEPGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGIVAKIKRVVMDRDTYPRKWGLGPRASMKKKLIAAGKLDKHGKPNESTPQEWMRNVVLPTGGDAVIAGMAAATGTEDVKKEVADEEGEKVKKDDGEGRKRKKHESADSPAPAKKSKVAEVDGEEKVKTKKVDDAAVEVEDDKKEKKKKKKKDKENGAAASDEEKVEKEKKKKHKEKGEDGSPEVEKSDKKKKKHKETSEVGSPEVDKSEKKKKKKDKEAKDNAADISNGNDESNADRSEKKHKKKKNKDAQEE >RHN64300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58022192:58024244:1 gene:gene27103 transcript:rna27103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MAVAKSVVILILLFALASYADAAPKPRPPVGHDVFGVRKSSKDVLLPGEKLVNVLSFGAKGDGVTDCTQAFMQTWQAVCKKPGQNRFYVPAGRFLVSEIIFAGPCLAPKPVTIQVVGTILATTDISEYANGRWFEFQDLNGLKMLGGGTFDGQGQESWKFAEDCKSGNGDTACVPNPPSLYFTKVQNAIIMGIKSVNPKGFHVFVTQCSNIRLQRLRLTAPDTSPNTDGIHISTSYGVKINRCTIGTGDDCVGMIDGSEQIAINKLKCGPGHGISIGSLGRRADEREVKGVRVQNSELIGTDNGLRIKSFPERFAGGASEIFFTNINMTNVKNPIIIDQEYECDDICKKKPSLVKIANIHFSNIRGTSATPMVVDMRCSKMHTCPGVTFNNVDLKFGSALTTARCVNVKPVYTGLAKPPICP >RHN75023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38823227:38823433:-1 gene:gene11170 transcript:rna11170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Bile acid:sodium symporter MFAVEVNSSDENFIEAFNWPVELVTAYVGQFAMKPLGYLLCIISVNVFGIPTAIGEDGPFFRYANISN >RHN65274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:768390:768557:1 gene:gene13096 transcript:rna13096 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVSLPFVFFCLVLGFGFYFFGSARGRRGVYTNPQVYGMPIPPRGTAIANSTFP >RHN77104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4128515:4129396:1 gene:gene525 transcript:rna525 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYNKQIRPYGNSQMMQMEGYYGATNPNYDIRSYSDFSYAQTQRGPNNKDLKLKKGKSISSRSSISKSWSFGDDPEFQRKKRVASYKMYSVEGKVKGSFRKSFKWLKNRYWHVVYSLW >RHN52716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38053747:38054411:-1 gene:gene37415 transcript:rna37415 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQYFGMAYIFNLSSISLNFLILLIISFTLFVQLAPVISADMKMRKLGHLLSSPPSPKLNPSDHVHH >RHN58534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2598741:2599424:-1 gene:gene20456 transcript:rna20456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKAASCSHLPEEIWESIFKFLDDNHHTFKSLSMVSKQFLSITNRLRFSLKIKTDRAIPFLRLIFQRFPNLTSLDISICYMKIDHLAKISTFPNLPDIKSLSLTDYGYDISEDGLRVLSKKMKKLTFLNCTNVKYMDKNHLFLIADCFPLLEELILTESVFRSPLFTRKDDFEDQLLELPKLRKIHLYDNKVMDHQSIIDLCKNCDLLQEVKVIDYLPYWKRVSYRFQ >RHN75719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44528842:44529444:-1 gene:gene11958 transcript:rna11958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MMNRASAEGSNRNCSSGFDCNICLENVQDPVVTLCGHLYCWPCIYKWLNTRNEKSQCPVCKLEISESTLVPLYGRGKTTSTSKGIVVPPRPLGPSSWLGKLSPRSRDNTATVSRPSRQLREQLTPEIRPRSHDTATVSRPSRRMREQSAPEVSPWDIPERDPWFSLDDRLERWLEGLEDMMEQMRPSVRRISSASPYLSI >RHN67492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27673763:27676580:-1 gene:gene15685 transcript:rna15685 gene_biotype:protein_coding transcript_biotype:protein_coding MIVWEPHLQKAVDVILSSANDSNWRTRSATLTYLCTFMYRHTFILSSSKKQEIWRTVEKLLVDNQVEASSRSLKRSANFVVREHAAAVLAGLMKGGDEDLAKDFRDRAYVEANIVQKRRKSSWLPEHVTILARFSGEPSPVKSTVTKAVAEFRRTHADTWNVQKELFTKEQLEILEDTSSSSLYFA >RHN41049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26410523:26411598:1 gene:gene47323 transcript:rna47323 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPDSVEDVSTDERIEIIDEVDLDEDEMIDATYWLCCLIVS >RHN73005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12521960:12525788:1 gene:gene8774 transcript:rna8774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S1 MAMASVAQQLTSTKWSPLLCKPTTTQTHKQRRPGIVCSIAISSANNKERAKLKELFYNAYERCRNAPMEGVSFTLDQFTETLEKYDYESEIGTKVKGTVFGTETSGAFVDITSKSTAYLPLYEASLYKLRHVEEAGIFPGVKEEFVIVGQNEIDDGMILSLRDIQFELAWERCRQLQAEDAVVKGKIIDANKGGVVAEVEGLKGFVPFSQMSTKSPGEEIIEFEVPLKFVEVDQEQARLVLSHRKAVAGIQGQLGIGSVVTGTVQSLKPYGAFIDIGGGISGLLHVSQISHDRISDIVTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPTLVFEKAEEMAQTFRQRIAQAEAMARADMLRFQPESGLTLSSDGILGPLGSDLPPEGLDLSEIPPAEEEY >RHN38760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1847733:1847966:-1 gene:gene44726 transcript:rna44726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MVQETTTLNNNVSSELPKIIKKRTKNLRSSCEMQDHIMAERKRRQVLTERFIALSATIPGLKKVSFLYKPTISLIKL >RHN74459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33600727:33601993:-1 gene:gene10535 transcript:rna10535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAPTNQKVSNHVPDDIVFSIFSKLPLKSANRFTCLGKSWSTLFENPYFINMFYKNIVSKYHSLYHEACLLLNYFESTENQWKLYLLSGERYESKVQMKWPHPFDRNYGYYPNILGSGINDTLCIYDREHDSIIELWNPATGELNSVPQNKARMYYEFEPIFNIHGFGYDHVRDDYKVIQYVVYIGGCEDEWQVAPPGPYWDIYSLRSNSWKKLYVDMPQRYLTSEGSMVYLNGVCHWWGKTYRQPSETFVVSFNLATEVPVTTLFPFDSHGLKRFDRHLTMLNGFVAMIVTYAKTSPSFHISISVLGEPGVEESWIKLFDVGLMSGIDHPIGAGKKGDIFLRKDDGELACLDLSTGVTENIGVKAERFHSQTVIYKKNIIPTGGIRN >RHN69016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40324653:40326464:1 gene:gene17442 transcript:rna17442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Homeodomain-LIKE family MEVLRGYNSNRGEFEIEYDNDAEQVLAEMEFKDTDTEAEREMKLQVLRGYGKKLNERKRRKEFILERNLLCPDPFEKFLSPEELQICEQYKVFMRFHSKEEHEELLQTAIREHRLAKRIKDLKEARIAGCVTSDEAYQFIEQKRTKEAEQGNCKESGQIGTSGKTESFPSTKDAPPAIQAITKTLEEWDISDFEGAELLSESEIKLCNEIRMLPPIFLNITRIMQLEISKGRVTKKSDAYPLFKFSPSKIDRIYDMLVEKGVVQA >RHN63733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53593135:53597490:-1 gene:gene26473 transcript:rna26473 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase MSSSLSGFAPFVFLLLVIGGTARPLYPLPGKGDHGTKQPLQTFRPYNVAHRGSNGELPEETREAYLRAIEEGADFIETDILSSKDGVLICFHDVTLDNTTDIAKYKEFTDRKRTYEVEGVNITGFFVVDFTLKELKSLRVNQRYCFRDQQFNGKFQIITFEEFITIALDAPRVVGIYPEIKNPVLINQHVKWSDGKRFEDRFVETLRKYGYKGSYLSKHWLKQPAFIQSFAPTSLVYISNQTDLPKIFLIDNVDISTQDTNQSYWEITSDTYLDYIKQYVVGIGPWKDTIVPEVNNYVMTPSDLVARAHAHNLQVHPYTYRNENKFLHFNFSQDPYKEYDYWINKMGIDGLFTDFTGSLHNFQEWTTPNRQDDKTASELLHKIAVLASAYE >RHN52792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38924784:38931703:-1 gene:gene37504 transcript:rna37504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative OTU domain, FHY3/FAR1 family protein MKLFIKYKLCELGFPEETMLKPPPRKLATKGAPKRVKSTPKTRSTGRIPSRWENIDAQNPDSQCSHAKSNVSKTKGSRLGTWSRLQTSTPTSKKEPYLQIPYISQIPNLMRPFVEDIVNVKGDGNCGFRVVSRHMGLNEDSHVLVRNALINELKNHKSDYLPFYATEKRYKEIFDGLHPPTTKNGDAPPEKW >RHN76215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48615950:48619910:-1 gene:gene12506 transcript:rna12506 gene_biotype:protein_coding transcript_biotype:protein_coding MCVAKGLVSIIVIITFILPQIEAQAFSPGDPAADNVSEQITQRDNTIRVDPLDNFKKYRGGFDITNKNYWSSLIFTGVYGYAIGMLCFLCGILYGVILLITKVYHHTNGGKRMKKVFPCNYKSCDALLIPLAIFLMLIAIVATGLVLVGSARFHSEAKTSVDIIIKTANKASETIHNTTEALKGMESNLMEANVNVKASSNLDSTAEKLDGASVNIETQARKNRRLINKGLKLVFVTTTVIMCLNLLAVTVLSVSGVLRLRRAMYLLVVLCWLLTVLCWLFFGVYFFLEKFSSDACTALDNFQENPYNNSLSSILPCQELLKAKPVLSEFSAGIYILVNEVNANISMQTNLVLVCNPFSAPPNYTYQPENCPDNTIRIGDIPKVLKPFTCLDANDGSCDNGNFITNSEYARVETFTNSIQDLLNVYPSMEHLLECQIVKDAFSQVLVDHCKPMKKYAKMAWVGMVFLGVIMVLLIMFWTIKASHEQCYHVSDGSVEPHCEVPNSLKSRSAKEIEI >RHN46911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35719678:35722346:1 gene:gene41446 transcript:rna41446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lipopolysaccharide-modifying protein MTRTCQKDHHPIQAFHQDQDPSSSTSTCPEHFRWIHEDLEPWKSTGITRETVESGKNISQLRIVIKKGKAYVETYADSFQTRDLFTVWGIVQLLRLYPGRVPDLELLFETGDRTVLDKKRFQGSQSVTLPPIFSYCGQNDALDIVFPDWSFWGWAETGIKPWEKVLKDIQESNKKITWKDRIPYAFWKGNTHVSSQRYKLRQCNVTDQHDWNARIYSVHWNKEIEHGFNSTKLEDQCTHRYKIYVEGRSWSVSEKYIIACDSMTLFIKPRYYDFFTRSLVPYKHYWPINKQNMCQDIKYAVDWGNTHPGKAEEIGREGTRFIEENVNMKLVYDYMLHLLTEYAKLMRFEATIPAGAVEVCSENLACPMGGIWREFMVESMVKSPSDTLPCTMFSPYL >RHN66335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10979322:10979510:-1 gene:gene14295 transcript:rna14295 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIAADVYLAGTVMLIFGLGLYGLFISNTPHDMSPSVDRALKGSSLFGMFALKAIINPIIM >RHN61896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39191492:39196889:-1 gene:gene24408 transcript:rna24408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MACTISTDAYKIQKHNVDDIISNLPEGVLLHILSLLPTKDAVRTSILAKKWKQLWTYLSAFDFNFRHPRYDLDPRNQNNTENSLLDQKNKENSLLDLVGRLLHESYRVERLCVQIFKSVIDADKVNSLIYFAAKHKMQYLKLSLGHPDDKFVLPHSFSTFESLNELWLGLHFTLHIPSGIHFPKLKTLVVSDVTFANEKSFQRLFSGCSVLQELTLHDWSWENIMHINIAISTLRELTIRFNMLSEDIHDDMTVMIDTPNLLYLRCRCDPTIQFIPVNLTSIVDADIDLGFLYPPNELYTAKCAIELLSGLSNVKSLKLANDTLESLHHTKDTLHLLPLFDNLTHLDVYSVISAKTNEVLMNILQKTPKLEVLEIPAAVLNYLDGEDLILNSVPCCFNSSLNRLCFSNFYGNEYEIQFVTFILKNAPYLRKIDIHCSRRLIADIEKLDDVCNQFEDVCLESCVVMFHPSYYDDESSDDESDEDEAANSEVLPAAESL >RHN78071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11665346:11665612:1 gene:gene1586 transcript:rna1586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MTRYVIFVKKGVYKGKIVIGRVKWNIVVIGEGMDPTIILGSIGCHGNKSNACTYDSTTFGKDMNPSILFYSNFPSFFYVFYLLSSCLS >RHN73517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17276712:17277461:1 gene:gene9339 transcript:rna9339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytokinin dehydrogenase MNAMISTLVGLLWLSIIVFITHGKPLNLREIPIPKDLALKLSRNPQILSQASTDYGHIIHENPFAVLEPTSISDIANLINYSNSLPHSFTISPRGQAHSVLGQAMTQNGIVVNMTQLNWYRNGSGIVVSDCDVKNPLGCYVDVGGEQLWIDVLNATLKHGLTPLSWTDYLYLSVGGTLSNAGIGGQTFRFGPQISNVLELDVITGLTHSVYLLYISYIKYRHISYLKFKHYFKSLGENLILFPRTNFQY >RHN73604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18088379:18097220:1 gene:gene9435 transcript:rna9435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative brevis radix (BRX) domain, transcription factor BREVIS RADIX domain-containing protein MLTCIARPKKLEEDSESNNNAKSVKSLTCQIKDMALKASGVYKSCNPCTPATRLRNGGSESEVSDSEKFRRTRTWGKEMEARLKGISSGEGTPSSSSSSFGGGSGRRVVVLEEEEGKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSRDIFNKWQAQRWWAENYDKVMELYNVQRLNRQAFPLPTPARSEDESSKRESIADSPVTPPLTKEQLSRNLFRPTGMGMGYSSSDSYDQHSMQSKHYQYDLSGMNSTPKVSTISAAKTDISSVAASIRSSSSREADRSGDLSMSNASDPDSEWVEEDEPGVYITIRSMPGGKREIRRVRFSREKFGEMHARLWWEENRARVHAQYL >RHN79724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31598414:31601135:-1 gene:gene3556 transcript:rna3556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MAFPFIFLFASLFFMASSSNAYWPPSPGYWPSSKVRSMSFYNGYRNLWGPQHQSMDQHGTTIWLDRTSGSGFKSNRPFRSGYFGASIKLHPGYTAGVITAFYLSNNEAHPGFHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGKIIGREMKFHLWFDPTKKFHHYAIVWNPKELIFLVDDMPIRRYPRKSDATFPLRPMWVYGSIWDASSWATEDGKYKADYKYQPFVAKYTNFKASGCTAYASRWCRPATASPYRSGGLTRQQHWSMSWVQRHHMVYNYCQDPKRDHRLTPECWG >RHN39624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8809418:8811735:1 gene:gene45665 transcript:rna45665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 3-dioxygenase MATMKPLVTDLVSTLDHVPSNFIRPIGDRPDLQLQFTTTDSIPTIDLQGLDASNRSEIIQKIAHACRNYGFFQIVNHGVPEEVINNMMSVSKEFFNLPESERMKNYSDDPLKTTRLSTSFNVKTEKVSNWRDYLRLHCHPLEDYVHEWPANPPSFREDVAEYSRQLRRLALRLLDAISESLGLEKDYINNTLGKHGQHMAINYYPPCPEPDLTYGLPAHADPNVITILLQNDVAGLQVLKDGKWVTINPVPNTFIVNIGDQIQVISNDRYKSVLHRALVNSEKERMSIPTFYCPSPDAIIRPAPQLIDNYHTVQYKEFEYNEYYNKFWNRGLSKETCVDMFKV >RHN66187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9567242:9575057:1 gene:gene14120 transcript:rna14120 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPVEGSSFEIQSRHVSASLLQEYKGKEHHKFKNIVEKNNQPQLVNASTGSNMTDPAGSMTNNQTKVVNSDYVAIEVVTEPLASALPDASVSSFELLTEHDLHTEVEPQVLEAAVEIDALA >RHN63811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54187831:54188299:-1 gene:gene26563 transcript:rna26563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MASKKGKNIILEVGSSSGTKRRTVEFKKHIYRVAKKVHPDLDITVESIEMMNDIMNDMLHKIVEEVAKNVAALNQKLLVERDLWVGVKELYPKYLARCANLHAYFRRNFYETHRVGDLMARHAIPDSEAIDERESQRLAKY >RHN72712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10080063:10085788:1 gene:gene8461 transcript:rna8461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MKFMKLGTRSDTFYTEQATRSLVSDIPPDLVIKTNDTTYLIHKSPLVSKCGLLQRLCSDTNDSKSVPLELNDMPGGSEAFELCAKFCYGVSINISAHNIVPSLCAAKLLQMNESIERGNFVGKLEAFFSSCILEGWKDSIATLQATEKLPEWSENLGIIRKCIDSIVEKVLTPLSQVKWSYTYTRPGYNRKEHHSVPKDWWTEDISILNIDLFRCIIMTIRSAHVLPPQLIGESLHVYACKWLPCIIKVKSSGSSVSQTEESREKDRKILETIVSMIPADRGSVSVGFLLRLLSISSHLGVSSVTKTELIKRGSIQFHEAAVSDLNSYDVELVQVVLESFLKFWKRIYPGSVDNRNYLLRSIRKVGKLVDTYLQMVARDDDMPVSKFAALAETVPAIGRLEHDDLYQAINIYLKVHTDLSKAEKKRLCGILECQRLSPEVRVHAVKNEFLPLRTVVQLLYYEQEKDSKETSSTKLQKQHELLLGAKERPATRDSHGKREEITRRTSLAESREKGQHKTKRLDDKLCLDFEKKMVIRGVKEESIPGSMLNLDHKEMLIGRTRSYKSEYGSEKRR >RHN64388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58694867:58696735:1 gene:gene27204 transcript:rna27204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TATA-box binding protein MQESQPAGVAIAPTLKNVQNIVSTVNWGRKLDLKAIGLQAPNTRIVTYKPKRIPFLVMKIREPKTTALISASGMMICI >RHN39833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10918894:10919250:1 gene:gene45907 transcript:rna45907 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVGPWSDAVTDLDYIQDPPSWYGLSSSNVMVTKDVLNPNIAHDLEILRPYLKDNDVCASEPRVYTDEEEREATINYLKNRSLAREEPFIEVSKSKKKKVQKGFQVHNTRSKGRPPT >RHN70365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50905778:50907991:-1 gene:gene18935 transcript:rna18935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MTKNGGKGGGRLIETEILYLPLELIIQILVRLPVKSLVRFKCISKSWFSLISDPLFAKTHFELTAAHTRRIVFITSTLDVRSIDLEASLNDDSASTSPNLNFLLPQSEYNLEIKGSCRGFILLSCSSNIYIWNPSTGVHKQIPLPPFGSNVDANYFYWFGYDELTDDYLVVLMSDDPNSANFLSHLEFFSLRANAWKEIECTTGTHFPYMNSCDDPRVGWLFNGAIYWLAFRHDISMNVIAAFDLMERKFLDVNLPDDFDHEPTNCGLWVFGEFLSLRVLDCHNDIVEIWVMKEYKVNSSWEKTLVLPIDGIPSQYFYPLTSTKSGDIIGTDDDTGLVKYNDKGQLLEHRSYCNDPCGCQVVMYTESLLSLPGDNEQA >RHN76608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51623141:51630223:1 gene:gene12958 transcript:rna12958 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIKGCFSFIAGTVTGVYVAQNYKVPDIAKLADTFLFVAKVTEQTYRKPDNNKKDENDD >RHN43234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44204527:44209146:1 gene:gene49778 transcript:rna49778 gene_biotype:protein_coding transcript_biotype:protein_coding MASATEERIGLFMCLCLFFFLHFSTSTAEDPIPAPWPEQFHSVLFLNRSGSLRKQDLWYDWPNGRNFNIIQYQLDVLKYDLEWNNGTTFLYTLDPFNHTCQVTQYDVGIPRPNWLDGANYLGQQYADNFLCNVWEKVGFIWYYEDVLTKRPVKWIFYDGMITDVMTFEVGAVLDDAHWQAPDYCFNKAEPEPQIHNRRSSFSLDLETAIVGDFRWTLMSEMR >RHN70574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52405692:52406126:-1 gene:gene19167 transcript:rna19167 gene_biotype:protein_coding transcript_biotype:protein_coding MFQKCNLLCKAISSNINKLRNLVGKIYLFIACKATLTPRRILWRKNPLFSI >RHN77847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9895628:9897199:-1 gene:gene1343 transcript:rna1343 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSTPIRAKSSSVNVFDITDSDDPKSSPVNVIVITDSDDEPALSHNISLSTCFGEVKGKNLDNNHSQNNEENLDFGEDILFSANTKRKRNSNVVMSESESDHDDDDDDMNNSLTTANLEVDKVVDTQRPRRRLQTLRKLESKSQNDEISSGRHHKGKHLQSIPTNDDDELEEDSTYSEEGNNIDFIGDDFDASDCEDMSNNSLDGSNSDAESNTSNKNSNFQDVSDMQGSQCNSLSTCSAAEKGKNLYSNYDQSNEEDSDFVEDLLCAVIPKRKRTRNVFISEYENDDDDKPISKLIRNRVQERSADELVNVVDDDGDDGDDDDDDMLISQLVRRKEESRRRRRRPLRKCVTKSHDDKVNYQQSIPTNDDAESIEDLSQSEEGNSKGFIVDDFDVSSCEVTSSKSQDGGHNGDVDSDLNNLQDLQDHSKDSDSSDASDEGINLSKIYSKIQRKKKHKIKWESEVDMCADFGKDQVLCMKAVCVLFRQQTPEEQTNFVTLNRNGRGFSSFDADRYAEKLQLY >RHN56925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34861058:34861972:1 gene:gene32394 transcript:rna32394 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEASDTPKICGGGDDDNVKKEPVSCQDFESSFRTCCSKHDTATLPVKRLFDNSNSLHTVKKSKASPYDDHSKHGDGILSSSTAKKPRKSADESFSSLMKELRLVQNSFKKCKRKRRVEKERLQSVKKDIEECCKELEDKNNQVSRFNEIHDVMKGKVEMTEEELRALSQKVAECTVELQVKEKDLDAMNKLVGEEAEKLESAKKKSMHIISEMKNSCALMKEFESKQKQFKGWVKELESKEKLCQERVEELESKEKHYEEWVKKLDSREKQLEDCMKEFESKEKELEGRMNELDTKEIQLEG >RHN69622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45007052:45010193:-1 gene:gene18113 transcript:rna18113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MYRENGLLFPWSYLHNFSQELHQLEDYCRNQKFNASMSDLVQFSAMSEYDFAAEGDLFKAPEPMIEESGIDLDPMTAAISMISCGEDVKSTDISILQNEQLLSDVFYDCKKDLFEKAGMESPLSEILEIKVPLLNIDENIIQENKPLPDMPLLLKSVSSGSLSSMDWMHGSAAMKPAFLGISGIDFDAVYGMRRSFSEGDIKTFGNANMNVVQSPVERPFFTSNCSSEERLQKLSRYRNKKTKRNFGRKIKYACRKALADSQPRVRGRFAKNEETDQAKKE >RHN63914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55016110:55019611:1 gene:gene26670 transcript:rna26670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(+) diphosphatase MSINFNFNAFAGNPLRSILPSAAALQSLNTTILQNDHSSSSPNFKVLPFRNGRPLASSTAGFGDSPPIWHLGWINLDDLRGIFENSGAQLNGDSFVYLGSSVEEDNVYWAIDVSDKVPELGTDKEMELSFVELRTLMVATDWEDLKAMENLTIAGNAKALLEWHKTSQFCGHCGAKTVSMEAGRRKQCSNDSCKKRIYPRLDPVVIMLVIDRENDSVLLGTRPKLISRLWSCLSGFTEQGESLEEAVRRETWEESGIEVGEVVYHSSQPWPVGPNSVPYQLMVGFFAYAKSREITVDKKELEDAQWFSREDIRKALMMAEYKKAQRTAATKVEQMCKGVEKSRSLAADFNVESGELAPIFVPGPFAIAHHLISSWAFSDQNTL >RHN75507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42796520:42797154:-1 gene:gene11725 transcript:rna11725 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDLYESFMYLREIGYKYGISETWKPCANRNTHLFFDDHASQIANKIYATHCFIEKTICKPSGFKML >RHN62390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43077605:43078444:-1 gene:gene24959 transcript:rna24959 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFLLKNLLGAKMRKGIRTFCNTDVSTSTLNQQNSTIGNGIGNHSQVDITSSKVSSPFLQCGNSNSKQSTPTLEDLILQLEMEEEMARKEKLNEYSGIRGRMSCVNNSDILRSARNALNQYPRFSLDGRDSMYRSSFGTIEGRRSVCSEISLEPKLDEKVMCFPPIVAGENVVWCKPGVVAKLMGLEAIPVSVGRKRSDKKERISSVDCRRQNIRRRSFERHDLERKIAMDMQGYRDHIVRRRNRSGFCSKNEYCIMKPVSLEAMAGGPSSWQPRRYV >RHN77349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6213129:6215302:-1 gene:gene795 transcript:rna795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MSCFSGFVYCWKKNGGTNTSEGKGKSVRSYQSNKVATRFSFQELSKVTGGFNELIGEGGFGRVYKGRLQSGELVAVKRLNPNGGQGCQEFLTEVLMLCVLRHSNYLVKLIGYCTSIDQKLLVYEYMPKGCLEDHLFDLTRDKEPLSWRSRMKIAVGAARGLEYLHCNAEPSVIYRDMKSANILLDNDFNAKLSDFGLAKLGPTGGNTHVETRVMGTEGYCAPEYAKTGKLTRQSDIYSFGMVLLELITGRRALDNRRRAGERHLMSWSRPYFNGRRKYEHMVDPLLQGQFPLSSLHRMICMTAMCLREEPKSRPLIGEIAVSLELLASQSYP >RHN57466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39346968:39350269:1 gene:gene33013 transcript:rna33013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative enoyl-CoA hydratase 2 MLFRSLHSSSKLQYLSLRCFSSTTHVLKPGDVLKKTRVFTEEDVLQYSKVSHDYNPLHADSAAARSVGFDGPLVHGMLVASLFPHIISSHFPAAVYVSQTLNFKLPVYIGDQIVGEVQATNLRENKNRYFAKFKTRCFKNGDILVVEGEALALLPTLDYRAVEV >RHN47579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40768468:40772467:1 gene:gene42197 transcript:rna42197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MERDLKQNLLLKKPEEENEQEELSLGKRVWNETKLMWVVAAPAIFTRFSTFGIQIISQAFVGHIGSRELAAFALVFTVLIRFANGILLGMATALSTLCGQAYGAKEYGMMGVYLQRSWIVLFLTALVLLPVFVFTTPILTLLGQDESISEVAGSISLWSIPIMFAFIVSFTCQTFLQSQSKNTIIAFLAAFSIIIHAFLSWLLTMKYQFGIAGAMISTILAYWIPNIGQLIFVTCGWCPETWNGFSFLAFKDLWPVVKLSLSAGAMLCLELWYNTILVLLTGNMKNAEVEIDALSICLNINGWEMMISLGFMAAASVRVSNELGKGSAKAAKFSIVVTVLTSLAIGSFLFLFFLFFRERLAYIFTSNKEVAAAVGELSPLLSISILLNSVQPVLSGVAIGAGWQSTVAYVNIGCYYIIGIPVGIVLGNIIHWQVKGIWMGMLFGTLIQTIVLLIITYKTNWDEQVTVARKRVNRWSKVESTDQETKTKLIKK >RHN45407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19939857:19944780:-1 gene:gene39730 transcript:rna39730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative U6 snRNA phosphodiesterase Usb1 MEALMQCYGDGSSDSDSESSPSNPTLAYAERREPLPHWATNIREPLHKACVQPLPPPPIALLHPPNFLGPQDIQIRQTTKVRSFPHVDGNYALHVYIPINISSSSKKEVAAFLKKISSLHPSLCAVDVDVPLNVLCKNDEKLEQVLLGREFHISLGRTVPIRVHQIDSVVSMLKQKLQTQPLFCSVLKN >RHN57506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39612738:39614135:-1 gene:gene33055 transcript:rna33055 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLLRNLNNTRKSSKVADENMFQQGNNIVEVQIFGHERRRRSQHGWGNIVFSILQAPISILSCVSHPQVNGSDGAWVSGGEFSQISEMNHLMVNDSMRYAILM >RHN79566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30168918:30169588:-1 gene:gene3377 transcript:rna3377 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEEQRGVSQDFGMEEDDDDLFEIDLEAVNCIPPLPYNYWESNYFISTGEALLANCLLPISHISSAVPACNNAVSFGENTNVFVITEPKPLGEYLRLPFLGDFGFIGEKMKAKFHFQFQA >RHN54289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8350504:8355162:-1 gene:gene29300 transcript:rna29300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prolyl oligopeptidase MMSPCLLVFLFFISTVSATPHLLRRRLSESARYLTKEELWFPQTLDHYSPYDHRKFQQRYYEFLDHFRIPDGPVFLVICGEYSCDGIRNDYIGVLAKKFGAAVVSLEHRYYGKSSPFKSLATKNLRYLSSKQALFDLAVFRQNYQDSLNAKLNRTNADNPWFVFGVSYPGALSAWFRLKFPHLTCGSLASSAVVLAVYNFTEFDQQIGESAGVECKAALQETTRLIERKLVTNGKALKASFNAADLEIDGDFLYFLADAAVTAFQYGNPDILCKPLVKAKKDGEDLVDAYAKFIKEFYLGTEGESTQDYNQNNLKNAAITENSSGRLWWFQVCTEVAYFQVAPSNDSIRSSKVDTRYHLDLCKNVFGEGIFPDVDATNIYYGGTKIAGSKIVFTNGSQDPWRRASKQISSPNMPSYTITCHNCGHGTDMRGCPQSPFNIEGNEKNCTSPDAVHKVRQKIIEHMDLWLSQCQDTGRTCI >RHN76366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49704480:49710716:1 gene:gene12679 transcript:rna12679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease Z MAQILNFRNFLFLPSYKPTTHFRLRFLSTLVSSSSRRSNINAPPLHLRRRSTTTSTTPMEVEENSSVGFNKRRAEGTENSGLPKKNLQLKVRKLNPINTISYVQVLGTGMDTQDTSPAVMLFFDKQRFIFNAGEGLQRFCTEHGIKLSKIDHIFLSRVCSETAGGLPGLLLTLAGMGDEGMTVNVWGPSDLKYLVDAMRSFIPNAAMVHTKSFGPTFGTESTVKSQSDPIVLVDDEVVKISAIILQPCQIPSQKTDHSIDIADSLNGKKLLAAKPGDMSVVYVCELPEIQGKFDPEKAKALGLRPGPKYRELQLGNSVESDRQKNVMVHPSDVMDPSIPGPVVLVVDCPTESHLEALLSAKSLDTYGDQVGNLPKAGKSVSCVIHLTPESVVCCSNYQNWMKTFSSAQHIMAGHEKKNIEVPILKASARIATRLNYLCPRFFPAPGFWSLPNQNCSKPVSLASSEDSFSAPSNVIYAENLLKFTLRPYVNLGLDRSCIPPKASSSEIIDELLLEIPEVVEAAQHVRQLWEDSSQAKEDSIPLADHSEVIEEPWLSEDGITPACLENIRRDDLEIVLLGTGSSQPSKYRNVTSIYINLFSKGGLLLDCGEGTLGQLKRRYGVSGADDVVRSLSCIWISHIHADHHTGLTRILALRRDLLKGVPHEPVLVVGPRMLKRYLDAYHRLEDLDMLFLDCKHTFEASLADFENDLQETVNSLDLNNNNAEINASKVDSTLFARGSPMQSLWKRPGSPVDKDTVYPLLRKLKGVIQEAGLNTLISFPVVHCSQSYGVVLEAEKRINSVGKVIPGWKIVYSGDTRPCPELIKASRDATVLIHEATFEEGMVLEAIARNHSTTNEAIETGEAANVYRIILTHFSQRYPKIPVINKEHMDITCIAFDLMSINIADLPVLPKVLPYLKLLFRNDMTVDESNDVVVTVDESDDVVDVATSAS >RHN38773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1897580:1899361:1 gene:gene44739 transcript:rna44739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MDCAKGLFALCHYYPSCPEPELTVGTAKHSDHGFLTVLIQDHIGGLQVLYEDKWIDITPVSGALIVNIGDLLQLITNDRFKSVEHRVLANKIGPRISVACFFSTGHWSSSKLYGPIKDLLSEDNPPKYRETTVADYLAYFVEKGLDGTSALTHYKI >RHN59031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6849286:6850458:1 gene:gene21014 transcript:rna21014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAAAFLPDELIMEILSCLPVKPLMKFRCVNKFFNTVISDPQFVEVHLNKSVRNPHLTSILEQYNEDKQVIDWNLVTFPISRLLENHSTSVLYYDPYYRLTNDYCRWWVVGWCNGLLCLIDMRKSRSQDSRICFWNPATRTKSEYLLPSSNNCIWFAFGYDTLAKTYKVVSFCKELDVEHGNPRIWVKIFSMGNNSWRNIQCFPMLPLCRFNNHGVYLNDTINWLALRDHSVSDIFYWNDRLTTVKQCVILSLDLSSEKYTQFLLPRDFDKVPRYQPKLVVLMGCLCFCHDFEETHSVIWQMKDFGAQESWIQLLKISYSNFFSPMEHKQLDLLPLYLSENRDTLILANDHDDEEAFIYNCKDNRVKRIEITNKILWSRTNDYVESLVLTH >RHN79451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29061886:29062498:1 gene:gene3242 transcript:rna3242 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDNLFIYFYQKLPSSYYFSLCLILMLWCISSCATLFEFLFCSGDHLVSIESSAIQLMIWASILQI >RHN40153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13685540:13687763:-1 gene:gene46265 transcript:rna46265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MLQTFTCCFTFLHKTKSPSNQPKRSPNIKLCSISDISISSFIQNEESGQFRQIFKLIDTNNDGKISTTELSEVLSCLGYNKCTASKEAESMIRVLDFNGDGFVDIDEFMFVMKEDGNFGKGKEHDHDEYLMDAFLVFDIDKNGLISPKELRRVLVNLGCENCSLRDCKRMIKGVDKNGDGFVDFEEFRSMMKIGLKFN >RHN74968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38294268:38294928:-1 gene:gene11111 transcript:rna11111 gene_biotype:protein_coding transcript_biotype:protein_coding MATGINRVQFFIYVLCIVYVLCSGGAAGYIIPPPSVHCIPRMSCPSNIQMCLDYCEERGFSTGIGKCVREDLCCCIR >RHN70515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51945043:51958076:1 gene:gene19099 transcript:rna19099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myosin ATPase MTLRKGSKVWVPDRDSAWLPAEVLESSNKQLRVQTDFSNKIVVVAPEKLFPRDADEDEHGGVEDMTRLIYLNEPGVLYNIRRRYLNNDIYTYTGSILIAVNPFTKLPHLYNNHMMEQYKGAPFGELSPHVFAVADASYRAMVNEGQSQSILVSGESGAGKTETTKLIMQYLTFVGGRAVCDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFAEIQFDSSGKISGAAIRTYLLERSRVVQTTDPERNYHCFYQLCASERDVEKYKLGHPSHFHYLNQSKVYELDGVSSAEEYIKTRRSMDIVGISHEDQDAIFRTLAAILHLGNVEFFPGKEHDSSIIKDEKSIFHLQMAANLFKCDLNLLRATLCTRSIQTREGNIVKALDCNAAVAGRDVLAKTVYARLFDWLVDKINKAVGQDINSRMQIGILDIYGFESFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKKEEIEWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQHFLSHARFGKEKFSETDFTVSHYAGKVTYHTDTFLDKNRDYVVLEHCNVLSSSKCPFVSSLFPSLPEESSRSSYKFSSVASRFKQQLQALMETLKTTEPHYIRCVKPNSSNLPQKFENTSVLHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLIAPEFMDGSYDDRATTQKILQKLKLENFQLGRTKVFLRAGQIGILDSRRSEVLDNAAKFIQRRLRTFIAHRDFISIRAAAVSLQACCRGCLARKIYASKRETAAAISIQKYIRMCQMRCAYMTLYSSAIIIQSNVRGFTIRQRFLHRKEHKAATIIQAYWRMCKVRYAFKQLQFSIVAIQCLWRCKQAKRQLRRLKQEAREAGALRLAKTNLEKQLEELTWRLHLEKKKRVSNEEAKQIEISKLQKMLEALNCELDGAKLATINESNKNAILQNQLQLSAQEKSALERELVAMNEVQKENALLKGSLDAMEKKSTALELELLNAKKDHNETIQKMREFEQKSAQLAQNMKSLEEKLSSLENENQVLRQKALSVSPKSNHPGFAKSSSEIKSRAIAPHIEQNPVFESPTPTKLMSSLTRGLSDSRRSKLTAEKHQALLLFPLFRNPTLLVFGILPYLNYSFVCLFSFHLYVLNLNNLDKNIRELIDFEYY >RHN80775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40772857:40773654:1 gene:gene4741 transcript:rna4741 gene_biotype:protein_coding transcript_biotype:protein_coding MNMWREVEALELLPPDYKNGPGRPRKLGIREFDENGARMRRQGVDYRCTKFDQFGHNQRRCNSAIQNPEAAKRKRKTPRQKATSNATVQDGAPVQEPAMAHAYASQPKMALAHASQPQRPHASASQPPMHTEPVQRPPKLTSVQGPSRFTSVEGPPREIAKNKFQIVKTLGLKKSVKPKDSRKSSRLMKLKTKAIKGVGSSIVDPMVIEESEEGTLTRENDGVIKSGTCITVLRGLL >RHN58175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44340428:44340769:-1 gene:gene33792 transcript:rna33792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MKLQLFVLFVVLMSYADARKQPLSDGWSRIKDINNPHVIDIANFAVIEFNKQTGAKLKFEKVIKGESRLALAEDAIYRLIISTSNSVPNIFQAVVIENKLNHDRNLTSFILTH >RHN54197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7716651:7716911:-1 gene:gene29197 transcript:rna29197 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSTRLTFLQVLMDSNSNQTDEKPQVKPAQIVTFCQRNKSRDGSFVSKLRNHFHEFIHASVDEHRRCLRNTIQNVYFLPWMYIHQ >RHN56151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28193489:28194671:-1 gene:gene31508 transcript:rna31508 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYSRIGQEIMVIVDEIKVVPWKWNLARLKGSPCLFYEWCWDRGKCVKRQKVKVFSMFCFHVAATVCASTDGCFLFSFPLRFAEPAAVCGEVFSCFSVFCFSRGMLLLLFSCCKLGAICFGSYPCLFYGFFQGCSTYRRCFCFVSSASLCASCVVLVSNKFSPFRKEKNTVTNTI >RHN38836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2371951:2375732:-1 gene:gene44805 transcript:rna44805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAQVRNKQVILKDYVSGFPKESDMNIIESIITLKLPQGSNDVLLKNLYLSCDPFMRNLMNKPQGAPINPLAYTPQSVIAGFGVSKVLESGHPDYKEGDLVWGFCKWEEYSLVPSSQILFKIEHTDVPLSYYTGILGMPGITAYAGFFELGSPKKGETVFVSAASGAVGQLVGQFAKLTGCYVVGSAGSKEKVDLLKNKFGFDGGFNYKEEPDLDAALKRHFPEGIDIYFENVGGKTLDAVLLNMRVHGRIPACGMISQYNLTQPEAVTNLAHIIYKRIRMEGFNSADFFHLNPKLLEFVLPHIRDGKIVYVEDITEGLENGPAALIGLYSGRNVGKQVVVVARE >RHN38944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2950959:2960143:1 gene:gene44921 transcript:rna44921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-tubulin complex component protein MNQTAAAGFENLIGYYDPPVQELIVIDEVLSAMVGVEGRYISIKTLRGKKDEIINFLVDPSMDLALQELAKRIFPLCRSFLLINQFVESRSQFENGLVNHAFSAALRAFLLDYQAMVAQLEHQFRLGRLSLQGLWFYCQPMLRSMQALSTVIQKASVNNFTGSAVLNLLQSQAKAMAGDNAVRLLLEKMTQCASRAYMSILERWVYEGVIDDPYGEFFIAEDKSLQKESLTKDYDAKYWRQRYSLKDGIPSFLANSAGTILTTGKYLNVLRECGHNVQVPPSENSKLMSFGSNHHYLECIKAAYNFASGELVNLIKEKYDLTGKLRSIKHYLLLDQGDFLVHFMDIARDELAKKPDEVSVEKLQSLLDLALRTTAAAVDPYHEGLTCIVERSSLLKRLGTFNVTEVNQRDVINNDILEEPVSITGLETFSLSYKAHWPLSIVLSRKALTKYQLIFRFLFHCKHVDRQLCGAWQTHQGVRALNTRGTAISRSSLLCRSMLKFVNSLLHYLTFEVIEPNWHMMYTRLQSANSIDEVIQHHDFFLDKCLRECLLLLPELLKKVERLKSMCLQYAAATQWLISSSIVLHSPDEPKVDSTGAKQSKSGQVLKSTTRNAAVTESILKFEKEFDAELQSLGSILSSSSQAEPYLAHLAKWVLGVKHEHNGL >RHN61032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32399338:32401220:1 gene:gene23442 transcript:rna23442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MKKEDTVKLISSDGFEFVVDKEAAMVSQTIRNMLTSPGSFAEREHGQVTFPEISSTILEKICQYFYWHLEFASGKDREFPIEPELTLELMMAANYLHT >RHN67673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29108976:29114887:-1 gene:gene15882 transcript:rna15882 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFEARSGLTFSFFFFLLLCSATARNPIIFSSDEALNMVGRSLKVINLDDYGGPTANRGHDPTLNRPATGRGRRVGRKG >RHN81509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46593164:46603560:1 gene:gene5566 transcript:rna5566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cadmium-transporting ATPase MATVTAPPSLPFVRATTTPSSKKKKNHSKQRALGNFGHFGQVVRKDMEFLKRGFNNGVAWANDAFRIPQIAKKVDDLVWLRNLEDPQATSFSTPSWPEPWYPGLSGVDLLMYDLKALEAYASYFYHLSKIWSKPLPETYDPQDVAHYFSARPHVVALRMLEVFSSFASAGVSIRTSGLRKFLPINAEGGMDDKTSEYNFGLVLKETMLNLGPTFIKVGQSLSTRPDIIGVEMSKALSELHDQIPPFPRTVAMKILEEELGAPLESFFSYISEEPVAAASFGQVYFARTTDGVNVAVKVQRPNLRHVVVRDIYILRLGLGLLQKIAKRKSDLRLYADELGRGFVGELDYTLEAANALKFREVHSSFSFMRVPKIFLHLSRKRVLTMEWMVGESPTDLISVSTGNSTEYSDRQKVDAKRRLLDLVNKGVEATLVQLLETGLIHADPHPGNLRCTSSGEIGFLDFGLLCQMEKRHQFAMLASIVHIVNGDWASLVNALIDMDMVRPGTNIRLVTMELEQALGEVEFKDGIPDVKFSRVLGKILSVAFKYHFRMPAYYTLVLRSLASFEGLAIAADKKFKTFEAAYPYVVRKLLTENSAATRKILHSVLLNRKKEFQWQRLSLFLRVGATRKALQLVTSNSETSPDQSPNKAAGTFDIAYLILTILPSKDGVALRRLLMTADGASIIRAMVSKEGKVIRQQLCKVIADALCQWMIKLCGQGVIDTQYPRVMLANGTSNKESGRSPRSSSPSYDYISIFRDRRLRVIFSKVVKSASSHKILMLRFCWSSLVIIITASALACHRVVLSLSEAYLGPIFDAPKRKRYAVIA >RHN73761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19721057:19724905:-1 gene:gene9623 transcript:rna9623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L18 MLTSSLSFLHSCTFPSSSSSSSSSLFTIPTPNPNSSSSSLSFVVQATTRREDRIARHVRLRKKIEGTPERPRLSVFRSNKHLFVQVIDDTKMHTLASASTMQKAIAEELNFTSGPTIEVAKRVGEIIAKSCLEKGITKVVFDRGGYPYHGRVKAIADAAREHGLEF >RHN39605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8614786:8618834:1 gene:gene45644 transcript:rna45644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoesterase metallo-dependent phosphatase MNLSKKIDFDTKRKMGLNFMILVVMVSWFWSIPTTCALTAKQQKSRQKLRFDQNGEFKILQVADMHYANGKTTLCLDVLPSQKASCTDLNTTAFIHRMILAEKPNLIVFTGDNIYGYDSSDSAKSMNAAFAPAIESNIPWVAVLGNHDQEGSLSREGVMKYIVGLKNSLSKVNPPEVHIIDGFGNNNLEIGGVQGTVFENKSVLNLYFLDSGDYSKVPTIPGYDWIKPSQQLWFERTSAKLRKAYIKGPAPQKEAAPGLAYFHIPLPEYTSFDSSNMTGVKMETDGGDGISSASVNSGFFTTLVGAGDVKAVFVGHDHLNDFCGKLMDIQLCYAGGFGYHAYGKAGWSRRARVVVASLEKTDKGSWGAVKSIKSWKRLDDQHLTGIDGEVLWSKSTSGSSGKKEIGGN >RHN67283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25502585:25509856:1 gene:gene15462 transcript:rna15462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MDAAGDTQFRRQTPAGEMEHHSEDPNRTGSSSRPDSFSDGPTTSGARYKLMSPAKLPISRSPVLTIPPGLSPTSFLESPVLLSNMKVEPSPTTGSLPRLQQTVHGFVTSSTSAAFPVTSACFNSNSVDDGKSSFFEFKPHSRSNMVPADFYNHACEQSTQIDGQGNVKSFVSSALVKSETAVPSDEISLSSSPVQMISSGASAHVEVDLDESNPSGSKATGLQVSQVDGRGNGLSVAADKASDDGYNWRKYGQKLVKGCEFPRSYYKCTHPNCEVKKLFERSHDGQITEIVYKGTHDHPKPQPSRRFSGGNMMSVQEERSDRASLTSRDDKDFNNYGQMSHAAERDSTPELSPIAANDGSPEGAGFLSNQNNDEVDEDDPFSKRRKMDLDITPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARHNSHDMAGPSAAGGQTRIRHEESDTISLDLGMGLSPTAENRPNSLGRMMRNEYGDSQTHNGNSSFKFVHSSTPPPVYFGVLNNSANPYGSRENPSDSSSLNRSAYPCPQNMGRIIMGP >RHN44507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5532723:5534308:1 gene:gene38635 transcript:rna38635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative naringenin-chalcone synthase MVSVSEIRKAQRAEGPATILAIGTANPANCVEQSTYPDFYFKITNSEHKTELKEKFQRMCDKSMIKRRYMYLTEEILKKNPSVCEYMAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIVCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPIPEIERPIFEMVWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPGIVSKNITKALVEAFEPLGISDYNSIFWIAHPGGPAILDQVEQKLALKPEKMNATREVLSEYGNMSSACVLFILDEMRKKSTQNGLKTTGEGLEWGVLFGFGPGLTIETVVLRSVAI >RHN80067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34758670:34759275:-1 gene:gene3943 transcript:rna3943 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIDKPPASFSFFIGVSNFNDLERGGRLTNLSGELVELSSGVIKLLLGGFNAGVADISLAGVDTNLAGVDIASTVLKDPSLLSAYSMVLLGVASCNSGWCEAV >RHN52911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40289505:40295117:1 gene:gene37648 transcript:rna37648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll(ide) b reductase MATTIVKLQVFPECNLNKHKLRNGFGSSNSSSGVFGFGQNFGGLCLKKCRAFKSEDGGDVKEKKLRNLKKNEVKVQRENGFWSNFRNVLLGNFMMGSKLDDEYRQAVVRVDEVLSKIAVQIGRYIVTMMSTGVILAIGFQMSGGDSQMDALIWYSWLGGVIIGTMIGANWVLEDYCREGPRNVVITGSTRGLGKALAREFLLSGDRVIVTSRSPESVQATVKELEENLKEGIANAVGSSLTKLSQAKVVGIACDVCEANDVQRLANFAVSELGYIDIWINNAGTNKGFRPLLQFSDEDIKQIVSTNLVGSILCTREAMRIMRNQTKPGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQFHGSLLTECKRSKVGVHTASPGMVLTELLLSGSTIQNKQMFNIICELPETVARTLVPRMRVVKGTGKAINYLTPPRILLALVTAWLRRGRWFDDEGRALYSAEADRLRNWAENRARFSFTDAMEMYTENTWLSVFSLSVVCAFIILSSTSSNLPGT >RHN38649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1013981:1014891:-1 gene:gene44607 transcript:rna44607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKRSCVEKAKVESTRNPNWLQLPIDLIRNILQRLDTVEIVTSARNVCPLWWHICKDPLMWRTIHISNINVIPLDYHCLHKIYGHAIDLSRGHVEDISLELFGTDDILKYTAPSPRASHLRRLRIKYLVEFSDKRLSEFVKEFPLLEELTITFKNNTISRDSLEVIGRCCPRLKSLNLWRLIYPCVEYKYNDVAFAIAKTMSGLRHLKLSGIWLKSVELLAILDDCPLLESLDLLGCLCASLSPSLKRRCCEQIEDLRPRKYFLQHDCDADE >RHN61893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39170682:39172008:-1 gene:gene24405 transcript:rna24405 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNMNNFTPLPRLRRTDSRAYDAPPHTSNNPHEFNEVPVFFRSITLLEPMMRGRSTPLVGSQKNSYRTSQDTIVRLNLKFSYVFSYVALTL >RHN79036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21512659:21517183:-1 gene:gene2724 transcript:rna2724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEVEMENSTTTESSVPGSDVQDQNPLVSSQPTQPPVITPVLPSLAPIPTAPSSLVRPLAPLPMRPLVIRPPIPQNGEVGSSDSDSDDDDGADTRINKGTGEYEISEESRLVRERHEKAMQDLMMRRRAAALAVPTNDMAVRARLRHLGEPITLFGEREMERRDRLRMIMAKLDADGQLEKLMKALEDEEAATSAPKDEAEDDLEYPFYTEGSKSLLDARIDIAKYSLVKAALRIQRAQRRREDPDEDVDAEIDWTLKQAANLNLEFSEIGDDRPLTGCSFSRDGKGLATCSFTGATKLWSMPNVKKVSTLKGHTQRATDVAYSPVHKNHLATASADRTAKYWNDQGALLGTFKGHLERLARIAFHPSGKYLGTASYDKTWRLWDVETEEELLLQEGHSRSVYGLDFHHDGSLAASCGLDALARVWDLRTGRSVLALEGHVKSILGISFSPNGYHLATGGEDNTCRIWDLRKKKSLYTIPAHSNLISQVKFEPQEGYFLVTASYDMTAKVWSGRDFKPVKTLSGHEAKVTSLDVLGDGGYIVTVSHDRTIKLWSSGTTSEHAMDVD >RHN73818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20321704:20323287:-1 gene:gene9689 transcript:rna9689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MSYIWIESGSGTQLNSIKVGVGADGFRQTGCYNDNCPGFVQVNSNKDFTLGTVMSPTNSIGATEKFAIFLKIKQDRSTGHWWLLMRHESIQVGYWPRELFNHLGMGASKIRFGGQTYAPPNTDSPPMGSGRLPKEKFENSGFMGQLRIIDSQYNEADVKPENMKPYRDTNSNCYDVIYNGFEGRLYRQAFLYGGPGGRNCGI >RHN59786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13086427:13087777:1 gene:gene21934 transcript:rna21934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAD-binding domain, FAD/NAD(P)-binding domain-containing protein MVGLHETKLLRFSTCAARGFTEYPNGHQFPSEFAMMSRGQVQLGMMPMTDKLVYWFVTRLNTAQGHSIISKDPALIRQSLMESMEGFPIHAMDMISNCKLNSLHLTDLKYRPPWDLLLNKFSKGTMVVAGDAMHATGPFIAQGGSASIEDAIVLARCLAQKMHNTTNGIMARSTVEEAFDKYVKERRMRIFWLSLNTYLVGKKLDAKSRIVRFVVIAIMFVLFRDPNCHLRYNCGTLHEEENLNYI >RHN66773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18526244:18526459:-1 gene:gene14852 transcript:rna14852 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVFSYGSNVVPYDYGTSDDKKSGSSKAPRFNGDPETFSWWKTKCIVSLWVDMMSFGMFLKMVLVTWP >RHN77630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8255162:8255998:-1 gene:gene1102 transcript:rna1102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MLDEEVFSLLGNFSHAKSIKFEVSKVLRQPQKLCVFPMLTDLEVGLVSVNILLALLQKTPVLKTLVLKGIRTFVEELLSSAVVPDCLASLHVVKFEEINRDDHELFLATFFMENGMILESMCFSAARQILDKYEMMQEFKEKLYLLNFNSVVEFSYE >RHN65382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1619649:1625027:1 gene:gene13214 transcript:rna13214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-fructofuranosidase MKASPSNDNHALHTPLLESKQKSSKFIFVTFGSIVFLMSMVALALTINQIQNSLESIEITPLTNISSSEKLPRGVAQGVSAKSNPSHFNEVSYNWTKDMFSWQRTAFHFQPQNNWMNDPSGPLFHMGWYHLFYQYNPDSAVWGNISWGHAVSSDMIHWLYLPIAMEPDKWFDINGVWTGSATLLPDGEVIMLYTGDTDNYVQVQNLAHPANLSDPLLLDWVKYANNPILEPPPGIGSKDFRDPTTGWIGPDGKWRVLIGSKKGQTGLSLVYKTTNFINFELNDNYLHAVPGTGMWECVDFYPVSINGSNGLDTSVNGPHVKHVLKASLDDTRVDSYAIGTYFIENDTWIPDNPLEDVGIGLLLDYGIYYASKTFYDQVKKRRILWGWINETDAESDDLEKGWASLQTIPRTVLFDQKTGTNLLQWPVEEVESLRLSSDEYAEVVVTPGSVVPLNITQATQLDIFAEFEIESLTSKENISNDNIDCGRGSIDRSDFGPFGILAIAHDTLSEQTPIYFRLSNTSLGSSTNLFCVDGTRSSKAPDVEKRVYGSKVPVFSDEKLSMRVLVDHSIIESFAQGGRVVISGRVYPTEAIYGAAKLFLFNNATNINIKVSLKIWHLNSAFIRPFPFDQSQ >RHN42939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42052807:42054084:-1 gene:gene49447 transcript:rna49447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MTMENLEVLILDKTAIKELPSSLHHLVGLEELSLRSCTKLKTIPSSIGNLSKLIKLDLTCCESLETFPELEVIMENLEVLILEETTIKELPSSLHHLVGLEELSLRSCTKLKNIPSSIGNLSKLIKLDLTDCESLETFPELKVTMENLEVLILDKTAIKELPSSFHHLAGLEELNLRSCTKLKIIPSSIGNLSNLVKLDLSDCESLETFPELEVTMENLEVLILDETTIKELTSSFHHLVGLEELSLRNCTKLKTIPSSIGNLSKLIKLDLTNCESLETFPELEVTMENLEVLILDKTTIKKIPSSFHHLAGLEELSLRNCTKLETIPSSIGNLSKLIKLDLSECESLETFPELEVTMENLEVLILDKIAIKELPSSFHYFIGLEELSLRSCSKLKTIPSCIGNLCSLSKLDVTNYESLQSSNFF >RHN61415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35450725:35450982:1 gene:gene23880 transcript:rna23880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPSIIKRASSSKSVGVPKGYLAVYVGEEMKRFVIPISYLKQKSFQELLSQSEEQFEYDHPMGGLTIPCGEDVFLDITSRLN >RHN79818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32553456:32553879:1 gene:gene3660 transcript:rna3660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-exporting ATPase MLFAARASGVENQDAIDAAIVGTLVDPKERGFRSLAVARQEVPEKTKESPGAPWQFVGLLSLSDPPRHDSAETIRRALHLGVNVKMITGKNN >RHN47298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38597467:38600476:-1 gene:gene41877 transcript:rna41877 gene_biotype:protein_coding transcript_biotype:protein_coding MALMFSSHNLPLHLNYNKTPSAFQSQIIPSSKPNIHFLQFPSIQCSSSSNNKTSSNINLRTCKNCKTQFDPQLNHPLACRFHTAHFGGETKRKFESVHEGGTMNTPGSGKVLQYWHCCGSEDPFDIGCTASPHSSYDD >RHN74740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36268160:36269152:-1 gene:gene10848 transcript:rna10848 gene_biotype:protein_coding transcript_biotype:protein_coding MRHIEGKEMRHCYRKIIRRIHHYACKHFVSLGINLTSKISSVVGCKDLQVGGRYSDG >RHN74585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34913242:34915000:-1 gene:gene10679 transcript:rna10679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAVEAHHLHLFSPQLITNREMMNPVETNTNIIYNNISQMGYSSIPPSTIKTTATETMILPPYNSITTDSLPQKTAMNSDSGLTYNVPPLRKRSRDSRDYSNSINFPYPNSYISPSTPQQQNNHRSCASSSFSFLGEDISLQIQRQQLDIDQLISQQMEKVKYEIEEKRKRQAMRLIQAIDMSVTKRMKAKEEEIEKIGKMNWALEERVKSLCMENQIWRDLAQSNEATANALRTNLEQLLQQRAPAGDGNEDTVVPARPVALMDDAESCCDSNESINDDDAVDQWRNVVGHNGKNIGAMKMVGNCGGGDSNFVNSMKLCSNCGKDESCVLILPCRHLCLCAVCGSSLHICPICKSFKTASIHVNMS >RHN75306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41113617:41115047:1 gene:gene11486 transcript:rna11486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucoside 2''-O-glucosyltransferase MTWKTFEKVIEHSTLSAMESPSSLHIAMFPWFAMGHLTPYLHLSNKLAKRGHKISFFIPKKTQTKLEQFNLYPNLITFYPLNVPHIHGLPFGAETTSDVSFSLGPLIMTAMDQTQPQVELLLTQLNPEIVFFDFTFWLPKIAHNLGIKSLQCWIVSPATVSYNASPSRMCEGTNLTEFDLMKPPKGYPISSFNLYYHEAKHLALKRKLEFGSGIFFYDRIYYGLSLSDAIGFKGIREIEGPYVDYLEQEFGKPVLLSGPVLPEPPKTVLDEKWGSWLNGFKDGSLVYCALGSESKLSQKQFHELLLGLELTGYPFLAILKPPIGFETVEDAFPEGFEERVKEKGIVHSGWIQQQLILEHSSVGCFVTHCGAGSLTEGLINNCQIVLMPHLDGDHITNTKIMGRELKVGVEVNKGDEDGLFTKESVCEAVKIVMDDENEIGREVRNNHDKLRNLLLNQDLESSCVDGFCEKLQKLVR >RHN81200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44006634:44028885:-1 gene:gene5210 transcript:rna5210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Piezo family protein MGKFLAGFVLPSLLLTASLINWSLISLIDLIAFLLILYNVSQFGFHFRRRLLLLWPIIIFSVVVILSQVTYLVLWAIKPMSWMRDAWWAKLIGFMTVQSWKSPIVIYFLVTQLLAFLVALLDIYGKRQFLNTWKDSYWGRLISIVEHLGSHLRVASCLLLPAIQLVVGISHPSWASLPFFVGSCVGLVDWSLTSNFLGLFRWWRLLQLYACFNIFVLYIYQLPVEYPSMIRWIADLIGLYKISANTEWTKICSSLSLILYYIMMSFIKSDLEEMGVIISGSDCSLTEQLLPSKHSFFIRESRSGVRHTNVLLRGAVFRSFSINFFTYGFPVSLFALSFWSFHFASLCAFGLLAYVGYIIFAFPSLFRLHRLNGLLLVFILLWAVSTYIFNVAFTFLNLKLGRDMKIWEMVGLWHYPIPGFFLLAQFCLGILVALGNLVNNSVFLCLSDEGGQTSNDYSSVKVEGETKVLIVATIAWGLRKCSRAIMLALIFLIAIKPGFIHAVYMIFFLIYLLSHSISRKLRQALILLCEIHFALLYILQINLVSSALEKKGSVSMEIVMQLGFLEEDSAWDFLEVALLACFCTIHNHGFEMLFSFSAIIQHAPSPPIGFSILKAGLNKSVLLSVYASSSVRNSDETFSYERRIASYLSAIGQKFLSIYRSCGTYIAFLTILFTVYMVKPNYTSFGYIFLLLLWIIGRQLVERTKKQLWLPLKAYAILVFIFIYSLSSFSSLEMYLSRMIDLYFYLGYDSKASSFDNVWESLAVLIVMQLYSYERRQSKQNRQVYLDQLEPGPLGFIKRLLIWHSQKILFVALFYASLSPISAFGFLYLLGFVFCSILPKTSSIPSKSFLVYTGFLLTAEYLFQMWGEQAKMFPGQKYSDVSLILGFRVYSPGFWGLESGLRGKVLVIVACTLQYNVFRWLERMPSIVLSKEKWEEPCPLFVPTEDEFDDVTVCNEESKPSCNSHPPAALQEEASSKSLKIMTSDLPRAHDTSSANTDSNSGKYSFGFIWGSNKESHKWDKKRIVSLRKERFETQKTLLKIYMKFWMENIFNLFGLEINMITLLLASFALLNALSMVYIALLAACILLNRQIVRKIWPIFVFLFASILILEYFVIWMDMSPLNPSATSEIHCHDCWKTSTLHFHYCEKCWLGLAVDDPRMLISYFVVFMLACFKLRADRLSSFSGSSTYRQIMSQRRNTFVWRDLSFETKSMWTFLDYLRLYCYCHLLDLVLILILVTGTLEYDILHLGYLAFALVFFRMRLEILKKKNKIFKFLRVYNFVVIILSLAYQSPFVGGPSAGKCDTANSIFEMIGFYKYDYGFRITARSAIVEIIIFVLVSLQSYMFSSQEFDYVCRYLEAEQIGAIVREQEKKAAWKTAQLQQIRESEEKKRQRNMQVEKMKSEMLNLQIQLHSMNTSTNCIDGFSHSAEGLRRRRGASLIPNNDIGIPDKEDQLLGRLDHTIREDAVFPTESRESSASMDVETPLTEEYAKHSVDSPLCEITEIDIDAFSCDSEKKEKVKGQAKENALKSAVQLIGDGVSQVQSIGNQAVNNLVSFLNISQEDSDSNEHTNAEDQINDEMESQKPQHIYLDRTSSLQSDKSSDPASLQLGRIFRYIWYQMRSNNDVVCYFCFVLVFLWNFSLLSMVYLGALYLYALWVNTGPSYIFWVIILIYTEVYILLQYLYQIIIQHCGLSIDPSLLRELGFPTHKVTSSFVVSSLPLFLVYLFTLIQSSITPKDGEWMSSTDFKFKRNDLHTKDNPTSYSWREKAWDVLTQLTNMVKLVVRNSFRYWKSLTQGAESPPYFVQVSMDVDFWPEDGIQPERIESGINKLLRVIHNDKCKEKNPNICSFASKVNIQSIERSKENSSVVLAVFEIVYASPLTDCSSAEWNKSLTPAADVAKEILKAQRAGFVEEVGFPYRILSVIGGGKREVDLYAYVFCADLTVFFLVAIFYQSVIKNKSEFLEVYQLEDQFPKEYVFILMAIFFLIVLDRIIYLCSFATGKVIFYIFNFILFTYSVTEYDWQLDPSRQHAAQLALRAIFLAKAISLGLQAVQIRYGIPNKSTLYRQFLTSEVSRINYLGYRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDINASLYLVKCDSVLNRATHRQGEKQTKMTKCCNGICLFFVLICVIWAPMLMYSSGNPTNIANPIKDASFQVDIKTEGGRLNLYQTTLCERIQWDSLDSDVNADPNGYLNAYNKNDIQLICCQADASTLWLVPHVVQTRLIQSLEWYSDMEIFFTWILSRDRPKGKEVVKFEKPIDSLYLPTQSDVQKVLNGSMNSFRIYNVYPRYFRVTGSGDVRPLEEDSAVSADLVINREQLEWWAFKDINPSNLSRFCGGLTGPMAIIISEETPPQGILGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDIYNARAEGELGVEEVLYWTLVKIYRSPHMLLEYTKPD >RHN71367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58542789:58545707:-1 gene:gene20043 transcript:rna20043 gene_biotype:protein_coding transcript_biotype:protein_coding MHQTLLSNIQKRKRSLEPKQHDTKQPIDGTPETQNERRRPTNKQHRTKTTNKAAHIDAKVAKQQPGNQQISTDMNQTQHRETREPPPGEARGKAVRRRRHRPPKPATTHKT >RHN52592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36883103:36883456:1 gene:gene37286 transcript:rna37286 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIIDEGFDSFVIQSFSEIVDKTYTSIFTLKAYEHMVGEGSGGELLICHDTSNDSLFFLILFMVDQNAVVKSNNKYTNILTSFVTTQ >RHN74492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33952701:33953285:-1 gene:gene10577 transcript:rna10577 gene_biotype:protein_coding transcript_biotype:protein_coding MYRIFGLFDLRCDVYLSLTHLYWVQLPLLYGTLLYKRKKCFLIQVDIGNSDQSILLRSASSRVEFPGYRTVFTVRLVLADTLLCDLMLFSLVIVCNHSYTCSLRFTNNGFYLFIRLELIIIIIIVIVVEIL >RHN65414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1963786:1965391:-1 gene:gene13249 transcript:rna13249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDSEGNHQGDTVSSPSLTDETLAKTLTSSCSLHAPPQLPTLTLDLISEILTTLPVKHLLQLKSVSKSWNYLISDPKFAIKHLRLSNTYLVHPNRSSNNVLQSYLLDSIFTDGVTTNSIAQLELPSNQFSCVGSFNGVLLLVSEHGGFLNLLLWNPSIRKFKELPSLEKKQNVLRHELIYGFGYDVTANAYKVVVGLHVRDTNHEVKVHTLGTNSWKTIPKFPFGCVPLQFLGKSVSGTINWLVAREYDNKFQDCIVSLDLGNESCKEVLLPKEVDASTLRLRWYLGVLRDCLCLVFGHDVWIMKEHGNNDSWAKLYSVSFMRDFPSSFATIEVLHIFEDGRLLLDCIYEGERTRKLVFHNSRNGTFKFSESKIMRDVCVESLISPCS >RHN71940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3753581:3756082:1 gene:gene7601 transcript:rna7601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA synthase MSNESEEFSTEIVKRGVESNGPISFSVRVRPKMPDFLSSVNLKYVKLGYGYLISHRLYLLLAPPLLAVLVNHIAKLTWEDLFIKYDITEAVFISGLLLSILYLYIDSTPRSTYLLDFSCFRPSNEYKVSRAEFIELAKRSGNFNDTAIKFQEQVLKKSGIGDETYLPKGVFRPGYTSSLKDGREELSMVMFGAIKNLLVTTKVKPKDIKILIVNCGILNTTPSISSMVINHFKLRPDIHSFNLGGMGCAAGITAIDLAKDLLDAYPNSYALVVSTEAVSYSWYSGNDSDMLLPNCFFRMGAAAILLSNFRLDRWHAKYELKQLVRTHKGMDNKSFKSIHQREDSEGKRGLSVSKDVMEVGGHALKANITTLGPLVLPVSEQLHFFTNLLFKKKKTKPYIPDYKLAFEHVCALATSKKVLDEIQKNLELTEEYMEASRKTLERFGNTSSSSNWYELAYLEFNKRIKKGDRVCQIAFGSGFMCNSVVWKALRNVRTPKQSPWIEDEN >RHN48765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50056978:50057322:1 gene:gene43517 transcript:rna43517 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVAQIEGRVRYSVFKKTVKVMITPTDSLDNLKAQLNTYFEHLGENQYTRHLFGQMPCIDLGEDRDEYAWKTASYMPLLIRDDGDVGFMFRNMVEDNILYMYVRSICNCIECK >RHN52454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35508050:35520261:1 gene:gene37137 transcript:rna37137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, EAG/ELK/ERG, transcription regulator, NtcA MNDFEKDEITILSEKRPQPSEQHQDSNFQRNISRTQSASVFIPMASLDPYERQPNLVRHTARNSPISQMSGPLYATPATGNIVVAGNKFHNFLYGDANCFGRKLLSFCSSCIPRVMNPHCKVVQQWNTIFAISCSVAIFFDPLFFFLYYVNKDDKCIVINWTMATLLSLLRSIMDVLYFLNILLQFRLAYINPEYKGIGAADLVDHPTRIAHNYLKGYFFIDLFVASPLPQIMILLSLGSLVAHNTKNLLPLVISLQYIPKLYRYCSLLIGQSPTPFIFASGGAYLTIGLLTFMFFGHVVGSCWYLFGLQRVNQCLRDACHHSNLLGCMDLIDCDSKVGNISATLWSEDKSADACLNATSGAFSYGIYGNAIPLTIETKVINKYVYALFWGFQQIITLAGNQTPSYFVWEVLFTVSIMALGLILLALLIGNIQGFFQTLGKRSLEWLSRGSDVEQWMSRRHLPENLKRRVRQAERYSWAATRGVSEKMILENLPEDLQIDIRRHIFKFVKKVPIFSLMDENEPILDAILERLIHTMYNRGSRILSQGCLIQKVVFIVRGKLESIGEDGIPVTLTEGDACGEELLRWYLERSSKSKEGKKVKLQGWGLTSTRSVKCLTNVEAFSLRVKDIEELATLFAGFLRSPHTQGVIRNESPYGKSYRANKIQIAWINRKKHLRRANATQ >RHN76318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49340101:49343639:-1 gene:gene12620 transcript:rna12620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M20 MHVFMDFFKCFNMFIIIFIFFLSATSIFSDSSSSTTSNDHLSIPNFLDLAKEPKVFDWMVSIRRKIHENPELSYQEFETSKLIRTKLDELGVQYKHPVAVTGVIGYIGTGLPPFVALRADMDALLMQELLEWEHKSKVPGKMHACGHDAHVAMLLGAAKILKQHEKELQGTVVLVFQPAEEGGAGAKQILDTGALENVSAIFGLHVLSNLPLGEVASRSGPMAAGCGFFEAVISGMGGHGAIPHHAIDPILAASNVVVSLQQIVSREVDPVDSQVVTVGKFQGGGAFNVIPDSVTIGGTFRAFSRESFTHLRHRIEQVITGQAAVHRCNATVNFLEEETPFIPPTVNNGGLHDYFESVAGRLLGVDKIKDQQPTVGSEDFAFYQEAIPGYIFLLGMEDVSVERLPSGHSPYFKVNEDALPYGAALHASLASRYLVKLHQEVPVVKGKIHDEL >RHN73594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17953790:17954230:1 gene:gene9421 transcript:rna9421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MPDHYLDKAIKTGLFDYILVQFYNNPPCQYDQINSNATLLLQSWNAWTSLSLPNNTVFMGLPAAPNASHSGGYIPPDDLISKVLPSIKPTSNYGGIMLWDRCYDVRSDYSNQIKEYVRRSVLRFVTQVSEAIVGSISAALNSMFPN >RHN72618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9182430:9190379:-1 gene:gene8361 transcript:rna8361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDLQLKSSCSGCGETTGLYGSNCKHLTICAPCGKTMAENRSKCSTCGALLTRLIREYNVRASSANDKNYFIGRFMTGLPDFSKKKSAENKWSLQKDGLKGRQVTDATREKYKNRPWLLEDETGQSQFQGHLEGSQSATYYLLMKEKKEFVAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRKKTADGYQRWMMKAANNGPAAFGEHGKLDDKESNAGGGRGRKKTGDDEDEGPSSDKGEEDEDEEVDRKKRPNKKNTFDDDEEGPRGGDHDEDDYDVEKGDDWEHEEIFTDDDEAVGNDPEEREDLAPEVPAPPEIKQDDEEEEEDEDNEEGGGLSKSGKELKKLLGRTGGLSESDDDDDDDDDDIDDEDGVPAVTATKQKEPKEEPVDNSPSKPTATGPARGTPTSKSSKAKRKANEEAKPSISVPPKKVKTENEPKSSAKDVNGSSSKSNAPPRGTPPPSSNSGSSNAASGPVTEEEIKAVLLQRTPLTTQELVAKFRARLRCKEDKEAFANILKRISKIQKTNGSSYVILREK >RHN67982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31918575:31919201:-1 gene:gene16254 transcript:rna16254 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKEDPSQNVEDLSSSSLVKRELEQLLSKKHLDYENLSLLTDFFVKHPSVRLKDTSLSNRYKGYAYNCLAELLKFLQTHSVLDVLGSSHSEFVELLQDVRKCGFDKKWLDDVEKRALFPGSQVSQDALQKLLDSKHILTQHVKDLKHQLASSEAVLQSITQQEAQILQTRGALSDPIGY >RHN56901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34578299:34581823:-1 gene:gene32365 transcript:rna32365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamine synthetase MSSLLSDLINLNLSETTEKVIAEYIWVGGSGMDMRSKGRTLPGPVEDPSKLPKWNYDGSSTGQASGDDSEVILHPQAIFKDPFRRGKNILVMCDAYTAAGEPIPTNKRHAAAKIFSHPDVVAEEPWYGIEQEYTLLQKDAHWPLGWPKGGFPGPQGPYYCGVGAEKALGRDIVDAHYKACLYAGINISGVNGEVMPSQWEFQVGPVVGISAGDEVWVARYLLERVCELAGAVLSFDPKPIKGDWNGAGAHVNYSTKTMRNNGGYEVIKKAIGNLEKRHKEHIAAYGEGNERRLTGRHETADINTFKWGVANRGASVRVGRETEKAGKGYFEDRRPGSNMNPYVVTSMIAETTILWKP >RHN56568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31925936:31933192:-1 gene:gene31986 transcript:rna31986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine C-palmitoyltransferase MMASAAVNFVNTTLNWVTYALDAPSARAIVFGYNFGGHLFIEVFLLVVILFLLSQKSYKPPKRPLTNKEIDELCDEWVPQSLIPSLDKDMHYEPPVLESAAGPHTIVNGKEVINFASANYLGLIGHQKLLDSCTSALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSILYSYGLSTMFSAIPAFSKKGDIIVADEGVHWGIQNGLYLSRSTVVYFKHNDMDSLEETLEKLTSKYKHTKNLRRYIVVEALYQNSGQIAPLDDIIKLKEKYRFRILLDESNSFGVLGSSGRGLTEHYGVPVEKLDLITAAMGHALATEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDVLDENPNILTKLKNNIAVLWKGLLEIPGFTIVSHPESPIVYLRIKKSTGSLNDDLRLLENIAERVLKEDSVFVATSRRSTLDKCRLPVGIRLFVSAGHSESDVHKASESLKRVAALVL >RHN55222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16248492:16250352:1 gene:gene30367 transcript:rna30367 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDLMHDNEYEGYEVFLEPINLPDFDAFLQPLNFVQDPEPQIPQPLPEPQPQPQMMVHLADLNPVVELYEVLPVAGFPYPPLVQDSEPQIPQPLPEPQPEMMVHLADFNPLVELEEVLPVAGFPYPPMAYQRRSEGAFADVESLALFTAFHLNGNVTDIDTLRDMFPYILGLRNNVSLNTKLRNSRNSAVLHLIELLALVPQLS >RHN66736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17902439:17902782:-1 gene:gene14807 transcript:rna14807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAKLSDPENSPVPKYMQAAELGQECKELIPTLPLEKGWITSHFHQYQGFWLTTRILQGTLSCHKQFQALDTDILIVTTPKAGTTWLKALTFCFAKSRQIFNY >RHN50044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3495425:3501071:1 gene:gene34292 transcript:rna34292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein MNGFTETRESEMTVNGIKQSITRTSSVTMSFQKKIKKNQVHITKFDNHSQHCQLSFITLLSKQKNTTMAASTMSLSSSSFVGKAIKLSPSTQDIGVGRVTMRKTTTKKSVPSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVQFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWAVQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLADHLADPVNNNAWAYATNFAPGK >RHN81742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48625187:48629286:-1 gene:gene5827 transcript:rna5827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SPX domain, major facilitator superfamily MVAFGKKLKERQIQEWQGYYINYKLMKKRVKQYSQQIELGTQDRRFVLKDFSRMLDVQLEKTVLFLLEQQGLLASRIARLGEQQDGAQQEPEMSKRSELREAYRTAGQDLLKLLYFVEINAVGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKQVGLGAVVGALSRNLNELQDHQGSYLSIYDEPTLPLQDPVIDLIIAAVDRLTHSTNFLHFLGQHALIMQEELPAPTDELVDDQSYHFMSLLLNLANTFLYMVNTYIIVPTADDYSMYLGAAPTVCGIVIGAMAVAQLFSSVYFSAWSNKSYFQPLVFSSIILFLGNAMYALAYDLNSIWILLIGRLCCGFGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQTNFKIYKITFNQDTLPGWVMTVAWLVYLIWLWISFVEPSREFEENHTTNKSNAVDNNALEKGLKQPLLISSEDKEDEEADDGGEAPEGSRRPANSIGSAYRLLTPSVKVQLLIYFMLKYVMEILLSESSVVTTYYFNWSTSTVAIFLAGLGLTVLPVNIIVGSYISNMFDDRQILLASEIMVLVGILSAFHVIIPYSVPQYICSGLLMFVSAEVLEGINLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITLAGYLGVSRLLNITLLPSLFICIFSIIATCYTYNSLY >RHN58382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1326423:1328195:1 gene:gene20289 transcript:rna20289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MYHFLIARFTHTHRTLHRHNTFSLFSVVCNTDQHKGDTFTLLSLINSCGLSPEKALKLSTRLQLINPNGPNAVIQLFRSYGFSDSQLSSLVKKHPFVLLSKSDKTLLPKLKFLQSIGASTIDFPKILIGDKFLTASLEKTIIPRYKILKSLVCDDKKVVLALRRGSWNFYNDSMVNDSVPNIEVLRKLGVPQSSISSLVSNFPCVAFTKHSRFVEAVNSVKEMGFDPSKLYFVLAIRVIVSMDKEIWESKFKIFEKWGWSRDICRFAFLKYPEYVMISEKKIMKTMDFLVKDVGLAPEDIATCPGILTRNLEKTLVPKCAVIKILKSRGLVKSGLRVSTFIMTSEEKFVQKYVTPFQKDLPLLLDAYKVQKSD >RHN48352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46862991:46863218:1 gene:gene43061 transcript:rna43061 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLILLLFFFLSLSFHFDLHLPLSLSEDRTHLLPLPLLSFRSTSLFPSLHVRSTPPPLSLLHYFLESLSV >RHN42389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37936039:37937535:-1 gene:gene48825 transcript:rna48825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MGACHAIWLDNLMQELMIKKEGVVELFVDNKSVISLAKHPVAHGRSKHIETRYHFLRDQVTKGRLKLSYYRTDMQLADIFTKALKSETFKKLRNLLNVVDCNELV >RHN49701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:406531:406725:-1 gene:gene33906 transcript:rna33906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MCKNYRSSPGDRPGSLHGGTAHVCGPVLIDKLNEDIQLRGLKDQISVMACSHIGGHKYAGTYLF >RHN41300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28978862:28980385:1 gene:gene47607 transcript:rna47607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MEAMAWFIITALLVLLLCLIILRLRSSQSIHLPPGPLYLPIITDIFLLQISFSKLKPIIRKLHAKHGPIITFHFWSTPHIFISDRLLAHQALIQNAVVFADRPKFLKNHQFNIASSCYGPTWHAIRNNLASIMLQPSRFKSFSKARKCVLDALISKLKFEANFLNNSVELINYIRHAIFCLLFSMCFGENVDSRKIEKIKDIQRRLILSSGQLGALNFFPKIVSRVLFRKRWQEFTQLRKLQNDLLVELIQARKKVIEKNNDDDEYVVCYVDTLLNLRLPEEKRKLNEGEVVALCSEFLTAGTDTTSTTLEWVMANLVKDKHVQQMLVEEIEEVVGEREEREVREEDLEKLPYLKAVILEGLRRHPPTHYTIPRVVTEDIVLNGYLVPKNGTVNFMVADIGLDPTVWEDPTVFKPERFLKDAKNRNEFDAFDVRGIKEIKMMPFGAGRRICPAYKLAMLHLEYFVANLVLNFEWKTSLPGSNVDLTEKQEFTMVMKYPLEAQISLRN >RHN48332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46724945:46739759:1 gene:gene43038 transcript:rna43038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MVEEAPPSSLITLCIDHLANQLLFGEDEVIAIIPVIYELPSHLLDDLISRLTPHALYHFHLHMPFQDVNEEDFSRDDSTNNKRKRSRDWNLNTAWQKLFELRWPDLINQIQPSDWQKAYWEAHLQNCLDEAAEIALISPFKGRLADINISESILRHIGFVRLAEHEYDKYSKLSYHCLQFGSHVSCLRLQNILCSAETTRLLRECKLQSMVVRCIRSVEQVNGLCRLINQHSRTLTSLEFIHCTLYENFLNTLLDSVVRKSVHKYALQKHGLQHLSIVSSSFGPCTGSLPTGLQSLLSSARSMCSLKLCGSRLGRNFAKALFVTLLSVSSCISVLDLAENEISGCLSHFNRRVPSYLRESHIGIGESLQLLRVLNLRGNNLRKDDAENLGYAFAYMPNLEDLDISDNPIEDEGLRYLIPYFAGTSEMCSRLACLKLEACDLSCDVVNHLLDSLPVLNGTLKSLSIAENCLGSKVAGALGRFLSTPIEVLDASGIDLLPSGFLELQNMLTIEEELSLVIINISKNRGGIQTARFLSKLLPQAPRLVDVNASSNCMPIESLSIISSALKFAKAAGNVLNLDLTGHDWDYTPELTSLCTEFVHNGKPILMLPVSSATAAPIDHDP >RHN59531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11713119:11714773:1 gene:gene21573 transcript:rna21573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MSGSLIVPLADDMMNTKNMTIEDLIESTDLGNVIVFASICGIEPEYGWYYDACTKCAGRITIVAGRMFCPRCKQSRNAVPRFKLHVQVMDTTGSTSFILFDRNVSNYVGRSVQDLIDAQGQGDNSLGYPADLEVLVGKKMLFKVDITNGNLLHNWRNYGVKRTSDDAELIQMFIKKHNVKVIEDDDEAAAIDVHVTQEVALAKEVPTIEGGDSNMIKSLEGPAIEGDDSNKSLVNQQDGELTPCSKVGGKRSADQDVSDVAIVAEVGERSINKPVKLKSVKIEKNP >RHN47967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43893181:43894218:1 gene:gene42628 transcript:rna42628 gene_biotype:protein_coding transcript_biotype:protein_coding METNNSTAPTTTSASSATTDAKVQRVTKKSSDELLRKFAEVGTNEKKELRLIKRRKKMKENQSESSSNGSTAVVERRSLLPATVSRKSVLRQLRVRDNRNKSSLLGTIHKTWRRTVEGASRVFMEKHYNRHKRLINDIV >RHN76344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49534454:49538538:-1 gene:gene12653 transcript:rna12653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MTRRCSHCSNNGHNSRTCPSRTAAAGGVKLFGVRLTDGSIIKKSASMGNLNLAALHHSSSSSSLNPGSSLNPGSPCFEPPHDPDGYLSDDPVHASSAFATRRSERKKGVPWTEEEHRLFLVGLQKLGKGDWRGIARNFVVSRTPTQVASHAQKYFIRQSNATRRKRRSSLFDMAPDVCPDSTSMPEEQVLLPPSENSQPCNGKSQPSLNLSLKSEYEPMETTSEENIEEANETTMGSNGFTPMTQGFFPPYLPASFSIWPSIGAPFEEVNRGETSHHQVLKPIPVIPKEPVNVDELVGMSHLSIGETRVLDREPSPLSLKLLGEPSRQSAFHANAPVGGSDLNSGKNNAIQAV >RHN48756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49992220:49994276:1 gene:gene43506 transcript:rna43506 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQKALSLCCSSLKLCHTTSYSTQKPPFMTPNIHMLNYPLLTSTTRCKLHRKLKPLSASLSVEPPLDLTEDNVRQALVDARGELGQIFDTSVGMTGVVELVELDGPYVTISLKGRFWHKRSTVLARLANYLKQRIPEILEVDIENEKQLDDSPANF >RHN47526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40303488:40303808:1 gene:gene42139 transcript:rna42139 gene_biotype:protein_coding transcript_biotype:protein_coding MALFFLLQFNISEFSSHVMLSLRFYISVSLKMSVELLQSMFSNELLELSEMSNRKVKNCEEPIFNIVPVISAYFIDILDPPQRKVFLDMHGTSIFWLHKRIPMCSA >RHN65447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2315896:2320184:-1 gene:gene13286 transcript:rna13286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSHHQEDDAEYLVDENKMEDVEEDEMDIQSINKEGNDVDSDDDEYDFSITKALDTTADQARRGKDIQAIPWDKLNVTRESYRQSRLEQYKNYENIPLSGDSSGKDFNKSTKKGNSFYEFRRNSRSVKPTILHFQLRNLVWATSKHDAYFLSQFSVMHWSSLTCTSSEVLNVSGHVAPSEKHPGSVVEGFTHTQVSTLAVKDNLLVIGGFQGELICKHLDRPGVSFCSRTANDDNGITNAIEIYATPSGAVHFTVASNDCAIRNFDMEKFQLSKHFCFPWPVNHTSMSPDGKLLLIVGDNPESILTVASISGHLDFSFASSWNPDGVTFATGNQDKTCRIWDMRNLSKSVAVLKGNLAAIRSIRYTSDGRYMATAEAADYVHIYDVKSGYEMEQEIDFFGEISGISFSPDSESLFIGVWDRSYASLLEYDRR >RHN67951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31750611:31750865:1 gene:gene16215 transcript:rna16215 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTFVSGLSSLTKLFKCLFMDQPFCLLLQLVALVCILAIYSVLSAPTYFICDRRSRSWLRIF >RHN62278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42295077:42295727:-1 gene:gene24833 transcript:rna24833 gene_biotype:protein_coding transcript_biotype:protein_coding MFISFIELITSLDISVKMVRFFTYKFNISIILAMIRSTNSELKSMA >RHN77622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8201746:8202716:-1 gene:gene1094 transcript:rna1094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MQLCRKLIRDVRGYTQKVCSLTRDVRGYAQKFVDNGKEKTITYGLKYSLTTYNLGKSNDADTRAGVSHILKTQSGNPHIPFLLFFVTLLFLSPTMAEIISVAINSDTQPIVSLRKFVFTIRGCISISVSSVTVLSSSAQPTSSCFGFFLANDEGYRLAKMEIQKNPNLCFLNSRFIYRLFTFRDLFPPPLSSFNYSFPVFAPNQYTLFFVVCLCETSVSMLVRTELFNINSDGGKDYLSAEQTRLPLVLLLFFLAYVAIDIMCCGAIMCVVFLSISFLETSRTDGKTVSGKVDHF >RHN53832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4586371:4590986:1 gene:gene28784 transcript:rna28784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQTFLNTTKWIEEVRTERGSDVIIVLVGNKTDLVEKRQVSIEDGEAKARELNVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDLVDVNLKSSSGNAQSQTQSSGCSC >RHN46553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32693075:32695806:-1 gene:gene41051 transcript:rna41051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative intramolecular oxidoreductase MPCIYISTNLNLDGVDTDPIFSEVTTAISTIIGKPEKFVMVLLKSSVPISFEGNKEPAAYAEIISMGGINKEVKKNLIATIGTILQSKLSIPRTRFFLKVFDTTAFPTRSKL >RHN81155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43701586:43702371:1 gene:gene5159 transcript:rna5159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MEKVMRLATEKGVVVFTKSSCCLCYAVNILFQEIGVYPVIHEIDKDPEGKEMEKAITKLGCNAPVPAVFIGGRLVGSTNEVMSLHLSGSLVPLLRPYRI >RHN76402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49965385:49969996:1 gene:gene12723 transcript:rna12723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MGTESPKNEKPIASSEAEKVSFSAQEPIDKRTAEQKAIDDWLPITSSRNAKWWYAAFHNVTAMVGAGVLSLPSAMASLGWGPGVVILILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQEAFGEKLGLWIVVPQQLICEVGVDIVYMVTGGKSLQKIHDLVCKKNCKSMKTTYFIMIFASVHFILAHLPNFNSIAGISLAAAIMSLSYSTIAWVASLKKGVQPDVAYGYKATTPTGTVFNFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVLLAYIVVALCYFPVALIGYWMFGNSVADNILTSLNKPTWLIVAANMFVVIHVIGSYQLYAMPVFDMIETVMVKKLRFKPTRLLRFVVRNVYVAFTMFVGITFPFFGALLGFFGGLAFAPTTYFLPCIMWLAIYKPKRFSLSWFTNWICIILGLLLMILSPIGGLRLIILNAKSYGFYT >RHN63112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48568406:48568624:1 gene:gene25771 transcript:rna25771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MSDGEDDQECWEEPEFVPQCLFSCLTTCIIQDFLGWKNELRLVEYILRNAQNLQTMTIKCESEPLKIERKLS >RHN80106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35143376:35144627:-1 gene:gene3989 transcript:rna3989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone H5 MATEEPIVAVEPVPEPTIAAEPPALEKDQSEPKAAELAEKKTKKVAKESKPKKVSKPRNPASHPTYEEMIKDAIVSLKERTGSSQYAIAKFIEEKHKQLPSNFKKLLLQNLKKNVASGKLVKVKGSFKLSSATKPAAKVKAKPAAKPKAKAVVKPKTKSVTAKPKAAAATKPKPAATKSKAASKAKTAAKAKPNAKVAKTTARTSPGKKVAAAKPAAKKVAAAKKKAPVKSVKPKTKTVKSPAKKVSVKRGGRK >RHN81693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48174739:48178545:1 gene:gene5772 transcript:rna5772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB family MQLGDATVMMETCSGENIVPVTAQNGGGREEKGREVGGEEDDKMNININGGGGNRWPRQETLALLKIRSDMDGVFRDSSLKGPLWEEVSRKLADLGYHRSSKKCKEKFENVYKYHKRTKEGRSGKSEGKTYRFFDQLQALEKQLTFSSYHPKPQTNNNTPTTNPIETTQAISYVTTTVPSTNPTTFISPSPQPNNNNVPNSLPNMNNLFSTATTSTSSSTASDEDLEEKYRKKRKWKDYFRRLTRQVLAKQEEMQKKFLEAIDKREKEHIAQQDALRIQEMERISKEHELLIQERSSAAQKNAAVIAFLQKLSGQPPPQPPLAPELSVCQTALASQVQTQQLVIPNNNIVEFQNMNNGYKSGNGGASPSPSRWPKSEVHALIRIRTSLEPKYQENGPKAPLWEDISAAMKRQGYNRNAKRCKEKWENINKYYKKMKESNKQRRDDSKTCPYFNELEAIYKEKNKTQNLFGSNSFHSMKSNETMEPLMVQPEQQWRPPTTFEEGDVVKKNVDEAKEEDDNGDVDDDEDENMDEYEDGDSMEEDEGGVSSRYEVVTTNKLSSMDTIV >RHN74656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35537569:35538451:-1 gene:gene10761 transcript:rna10761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MADEVILLNFWPSPYGMRVLIALEEKGIKYVNKEEDFSNKSPLLLQMNPIHKKIPVLIHNGKSICESLNIVEYIDEVWNDHSPFLPSDPYQRSQAKFWTNYVDTKIYDIGMKYTKSKGDEKEAAKKELLEGIKVMEEQLGKKPYFGGDNFGLVDVALVPLFCMFYTYTFAGKFIDDEKYPTITSWARRCIQKESVSKAIPQEEKLKRFLDENRLLHRGD >RHN44366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3883687:3885339:1 gene:gene38478 transcript:rna38478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLKNRFIYYSNNFLIPPFRASFCHYLHPFIPKSNDFDVNNDVSSFHRMLRMRPTPSIVEFNKILGSIVKANNNHYTTAISLSHQLELKGITPTIVTFNILVNCYCHLGEMTFAFSIFAKILKLGYHPTTITFNTLINGICLNGKLKEALHFHDHVIALGFHLDQVSYRTLINGLCKIGETRAALQMLKKIEGKLVNTDVVMYNIIINSLCKDKAVSDAYQLYSEMITKRISPDVVTFNSLILGFCVVGQLKEAFGLFHEMVLKNINPDVYTFSILVDALCKDGNITRAKNMLAVMMKQGVIPDVVTYSSLMDGYCLVNEVNKAKHVFSTMSRLGVAPHAHSYNIMINGLGKIKMVDEALSLFKEMCCKGIAPDTVTYNSLIDGLCKLGRISYAWQLVDEMHNNGIPADILTYNSLIDVLCKNHHIDKAIALVKKIKDQGIQPSMYTYNILIDGLCKGGRLKNAQDVFQDLLIKGYSVNAWTYNIMINGLCKEGLFNEAEVLLSKMENNGIIPDAVTYETIIRALFRKDENEKAEKLLREMIIRGLLLCKH >RHN53119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42123317:42126447:-1 gene:gene37887 transcript:rna37887 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTCQVQNSIEAFAAQVRQSKRELVKLPVNGQDQTTRTQVEHVGREEKTRQHMSLLRYTVNLSQLACP >RHN61323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34795488:34798543:-1 gene:gene23776 transcript:rna23776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellular retinaldehyde binding/alpha-tocopherol transport, CRAL/TRIO MEDPLQSRDSVTFSSSGSDENRKERRSDFKKKLLNAFSKFKHSFKKKRVDELQAVNVVPAVVDAFRKLLIMDELLPQKHDDYHMMLRFLKARKFDIGKAKHMWADMLEWRKEFGADTIMEDFEFNELNEVIKYNPHGYHGVDKEGRPVFIERFEKLDRNKLMQVTTIDRYVKYHAQRCEEMHAIKFPACTIASKRHIDSSITILDLQGIGFCNLEEADREIMKRFLKILIDNYPQTGGQSFIINVGLELRSLRSICEYFMDPKVASKVHVIGDRYQRKLLKVIDASELPTFLGGTCTCANQGGCLRSDKGPWNNPEILKVKGSDTLTAESSSEAEDNVIAVCEEDPMASALEKLPSEQGKLLTELKMDMDHIKEGLSEQINELLSEFKKKTLS >RHN49125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52613399:52613701:-1 gene:gene43920 transcript:rna43920 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLHESHDAAFTEVAERATNLEKNKFDFERCIQQLQANQTKCAAKCKQASERMSNVDEELQSLKLFHAKVEKNNLKISPFLVLRYVLLLPHTTFILSQP >RHN43250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44318382:44326122:1 gene:gene49795 transcript:rna49795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal recognition particle subunit SRP68 MGKENQSSAMEVDDPKSTNSDQIVPKFSITVLQLLKSAQMQHGLRHGDYTRYRRYCTARLRRLYKSLKFTHGRGKYTKRTLTESNVTEVRFLHVILYSAERAWSHAMEKRQLPNGPNASQRIYLIGRLRKAVKWATLFSQFCAVKADSRTSLEAEAYESYMKGSLLFEQDQNWDVALKHFKSARAVYEELGKYGDLDNQVLCRERVEELEPSIRYCLHKIGQSNLQASELLNIGDMEGPALDLFKAKLEAAMAEARSQQAASMTEFHWLGHRFPISNAKTRVAILKAQELEKDIHGPLAENISADKRLVTFDKIFSAYHDARGCIRADLATAGNAESVKDDLNGLDKAVSAVLGERTIERNLLLVKVAKSKLAKRNDDKNEKLTKPEELVRLYDLLLQNTSDLSDLVSSGRDQKPEEVSFAEECSCKSLAFRAERCFYVAKSYSVAGKRAEAYALYCRARSLAEDALGKLQKLEGNSKTMVKELEVLCNECRSNSCIEHALGIMEEKRTQENISEGISNISLTGAERLEKFLLEKLDVYESAVGDSNVKSAPRIAPFPPAFQAIARNPIVLDLAYNTIEFPDIQSRMKKDKRAKGGFISRIFG >RHN41933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34182104:34187760:1 gene:gene48309 transcript:rna48309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosinetriphosphatase MGKKKSEDAGPSTKAKPGSKDVSKKEKFSVSAMLAGMDEKADKPKKASSNKAKPKPAPKASAYTDDIDLPPSDDDESEEEQEEKHRPDLKPLEVSIAEKELKKREKKDILAAHVAEQAKKEALRDDRDAFTVVIGSRASVLDGDDGADANVKDITIENFSVAARGKELLKNTSVKISHGKRYGLIGPNGMGKSTLLKLLAWRKIPVPKNIDVLLVEQEVVGDDKTALEAVVSANVELIKVRQKVADLQNIASGEEGMDKDDTNEEEDAGEKLAELYEQLQLMGSDAAESQASKILAGLGFTKDMQGRPTKSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVCSEIIHLHDLKLHFYRGNFDAFESGYEQRRREANKKYEIFDKQLKAARRTGNKAQQDKVKDRAKFAAAKESKSKSKGKVDEDETQVEVPHKWRDYSVEFHFPEPTELTPPLLQLIEVSFSYPNREDFRLSDVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLVPSEGEVRRSQKLRIGRYSQHFVDLLTMDETPVQYLLRLHPDQEGLSKQEAVRAKLGKYGLPSHNHLTPIVKLSGGQKARVVFTSISMSRPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCDDEERSQIWVVEDGTVRNFPGTFEDYKEDLLKEIKAEVDD >RHN75250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40659414:40662591:1 gene:gene11423 transcript:rna11423 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L24 MVAMAMASLQSSMTSLSLSSNSFFGQPLSPITLSPLLPGKPTDKQCRIVMKLKRWERKECKPNSLPVLHKLHVKVGDTVKVISGHEKGQIGEVTKIFKHNSTIIVKDINLKTKHVKSRQEGEPGQINKIEGPIHSSNVMLYSKEKNVVSRVGHKVIDNGKKVRYLIKTGEVIDSVENWKKLKEATKKTEELVAA >RHN66687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16568133:16568872:1 gene:gene14737 transcript:rna14737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MGKIAKFVCILIIFLSLFLFEITVGGRYTTPWCVRDIDCPKEKCKHPFKPRCLTHSCVCRLWGSQDVI >RHN62222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41838621:41839580:-1 gene:gene24776 transcript:rna24776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MEKKNTFEMVSDDSDHKFLSHNISGNCFRDTKSALYKRIMKEWKILENNLPDSIYVKAYETRIDLLRAVIVSAAGTPYHDGLFFFDIQFPDDYPNSPPKIHYHSFGYSLNPNLYPDGTVCLSLLNTWPSTKGEKWDPTRSTLLQLLVSIQALVLNECPLFNEPFYRIFKRSFHETKSFTYNEDVFVKTCHAAYLIIRTPPKNFEVFVKEHFRERGHVLLAACKEYVNGHVRVGYYGYNTMDSSSNRDNSSTVIEVRESFQVSLRSAYRRLYTKFKECGASLETFHHDLEFEVQVNAGSGICEKAMAMIKQALGWKKEST >RHN82760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56171709:56175395:-1 gene:gene6945 transcript:rna6945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nitrilase MQCNMMRLSSCWVNNNIRINNTNGTSIRRRLRASLSVTTGESTMATNSVRVAAAQMTSITDLASNFSTCSRLVKEAASAGAKLLCFPEAFSFVGAKDGDSVSIAQPLDGPIMDQYCSLARESSIWLSLGGFQEKGSDPRHLFNTHVVVDDTGKIQTTYRKIHLFDVDVPGGRVYKESNFTESGKDIVAVDSPIGRLGLSVCYDLRFPELYQLLRFQHGAQILLVPAAFTKVTGEAHWEILLRARAIENQCYVIAAAQAGTHNDKRESYGDTLIIDPWGTVVGRLPDRLSTGIVVADIDLSLVDSVREKMPIAKQRKPFDFWKAASL >RHN64066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56326064:56326341:1 gene:gene26846 transcript:rna26846 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFSGSPRKSFFFFRLNTCFDLLIYFWFLFWFLNYKVFQFDPITFLPFTILVIYVSFFQKP >RHN39843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10994512:10996407:1 gene:gene45918 transcript:rna45918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylenetetrahydrofolate reductase (NAD(P)H) MYVYQNAYLEFFCSKEKLDALIEKSKDRPFLTYMAMNKEGIWKSNAGQTDVNAVTWGVFPAKEIIQPTIVDPAASTCGRMRHLKFGQEDGQAYTLMLMHPGNWLKRWAAATSWSAWWTTITSMVIFLVC >RHN50761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10230095:10235796:1 gene:gene35087 transcript:rna35087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MSRHPEVLWAQRSGKVYLTIALPDAKDVSVKCEPQGLFIFSASRVQHESYSFSLELYGSIQPEGCKTKTGSRNILCSIQKGQRGWWKRLLKSEEKPAPYLKVDWNKWCDEDEESESEPISDDDGRFAGEDDESSDDEGMLYLPDLEKARAK >RHN70127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48937154:48939572:-1 gene:gene18679 transcript:rna18679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Coiled-coil domain-containing protein MREQSENKSKSAKPKTGTKEKKKSEFEFCKVCKINHDQGLRHKYFPKHKQSLSTFLSRFKNKLSDVRFFLKTPSPLTPQLASGNRFWCVFCDQDIDEHSSSFACENAIRHLASVEHVNNLKHFFWKYGGTVDQLDVFTVSHNDVAKWDKRCANLKKEASLQSEESPGAVFGPSSDIHNQSNNENIDSFKNNIYSNSVNSNVVLPLHCYTNEYQVSSSGHSGVGNTGLLDIGKSSLPSEACSSANTLALQDFAGMLTLSIGNVIPYSLQLSVLDNGKVVSGESGPQGIQMLTRISFVPAENGGGNVHSGAPPPWFETTEGVQMHPKPVLGDLVSHSNKSGKHKKLNPKRVGAAWAEKRKIEMEMEKRGEIVRNECDANWLPNFGRVWQSGSRRESRKEFEKEKQELSNVEAQPEMPIKIQPYVSKRMSALCLTEPKLGMFGIWVAKWAESGAVGFISMG >RHN82057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50951587:50957230:1 gene:gene6174 transcript:rna6174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MIHQKQMLHHFKFSTTVYVFFCFTLIITIITTQAATDLSFIQSYCPNTNTFSSNTTYQTNLNKLLSILSSNSTNKNGFYYTTVGANNPVNTVYGAFLCRGDRTQKECKDCVSAASNQIPQKCPKAKESVIWLAECMIRYSNVSFFNVAAEVPVLALMNTGTVMEQNRFMQLLADTMNAAAVEAVNGGGDKKFGTKVANFSSFQTLYTLAQCTPDLSNSGCEKCLKIATNYIPSCCSGKQGARVLIPSCNIRYELYPFYHELNVPAPDEPRPNPQGKKSRNSVVLIVAIVAPIVIILLLTLFVCWIISKMKRIKFNSVPQESVEISRVEFLQFDFDTIATATNNFSGDNKLGEGGFGEVYKGMLFNGQEIAVKRLSRSSGQGIEEFKNEVVLVAKLQHRNLVRILGFCLDGEEKMLIYEFMPNKSLDYFLFDPEKAHQINWPRRYKIIEGIARGMLYLHEDSRLRIIHRDLKASNILLDENLNPKISDFGMARIFGVDQTRGITNRVVGTLGYMSPEYAMHGEFSIKTDVYSFGVLVLEIITGKKITSFRESGYAEDLLSYAWKKWNDGTPLELLDMTLRDSYTSVEVTRCIHVGLCCVQEDPDQRPSMQTVVLLLSSHSVTLEPPQRPAGYISSKTDQSFATKDFDSSDKSTSKSQSVAVSVDDASITQVYPR >RHN40918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24313964:24333589:1 gene:gene47164 transcript:rna47164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 26S proteasome non-ATPase regulatory subunit 5 MDEQSSQQLLQAATDFANYPGSHSDDSATDFLNRFPLPLIINALQTQFDVPGLETTLVVCLERLFKTKFGASLIPQYMQFVQVGLQSDSQAVRSLACKTVTSLLENLDNSYTVAAHLIKEFNIYPLLLDCLINGNEEVAAGAIDAIKKLASFSEGLDIIFPSTKGGDTDLGIIASQCSSLGRVRVLALVVKLFSVSTSAASAICSLNLLKLLEAEIRSTDDTLVTLSVFELLYELAEVEHGTEFLSKTSLFQLLSSIISDDSKDSILRSRAMMISGRLLSKENTYSFIDEPCAKSVISSIDGRLQSLDPSNKDEFETALESLGQIGLTIRGAKLLLSGTSPAARHAIDAAFDRQGPERHGRQLAALHALGNISGETRSENDVILDAEAEENLLRLLYETASRSSKLTPSGLFLSVLQQDSEIRIAGYRMISGLVSRPWCLMEICSRQEIINIVTDPSTETTKIGMEARYNCCVRINKSLTQSSRVSADPAFAGIAAKLQEAVGLGPYLHHRKRVEAQPIVMTADRF >RHN71149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56791098:56791668:-1 gene:gene19798 transcript:rna19798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MELEGGCLVGNFRVEPPGLFIGRGEHPKIGKVKRRIHPSDVSINIGKYAPIPECPIPGERYNCSKTSWRTSLERNLIQRIESHCYLLKLLICMPLAAGRK >RHN81401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45578025:45580662:-1 gene:gene5432 transcript:rna5432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MQRFKMGFSDYIQALEQERRKIQVFPKELPLSLELVTQAIEACRQQLSGTTTEYNLNGQSECSEQTTSTDGPVLEEFIPIKKRASSYSQEVFDDVEDYDQHFHHKQQKLSLDNKKKSDWLRSVQLWNSDPSSEEDVTKKAVPVLELKRNGCGGGAFHPFHKEDRVNNTTSELLSKGQPSSTGVAAVSSNAATVTSNNVENRKRDEKEEKRKQRRCWSQELHKRFLKALQQLGGADCATPKQIREVMNVDGLTNDEVKSHLQKYRLHTRRPSSTNNESANSQTAAPFVLVGNIFVQQQEYGGVASSTTTGEMTKVVAPSGIYAPVATHPQVATIKKPEFKKFEHSISEERGNNSEGAVHSNSPTSSSSTHTTTNASTGY >RHN71137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56733867:56737207:1 gene:gene19786 transcript:rna19786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MAVEASGKLNTSHKFIRSSESNQLHSNTRKSTSHSHDGRSYKNTSNVLSSNDQSSSSQNGKVIRSAMASAVESSVGISNASTSNLKTYTRSPSTKHLKEDRREDSADEEDSMPLSVIRMKMNNANVKKATPNVLKKSYEDFDDDIPLSARLSQITNYDDSDKQEKASTLSVKRPLDEFESLHSSGKKSKLSHPASSINAKQTTMKCDVKAEEEEEDDDIPISRRMNKLANKSSYSKKLTNDTKVNKVDAPSFKKKARLKKSGNKSKHVKSTKHQLSSGDGQKKWTTLVHNGVIFPPPYKPHGVKILYKGKPVTLTPEQEEVATMFAVTDTKYSQNEIFKVNFWNDWRKLLGENHMIQNLKDCDITPIYDWCQIEKDKKKQMSSAEKKALKEEKMKQEEKYMWAIVDGVKEKVGSFRVEPPGLFIGRGEHPKIGKVKRRILPHDVTINIGKYAPIPECPIPGESWKITHEDTVTWLAKWCDPIDPKLIKYVWLGASSSLKGKSDKEKYEKARMLKSYIGNIRAAAYTKGFKSKYITKQQIAVATYFIDKLALRAGNEKVQI >RHN64829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62273491:62279095:1 gene:gene27694 transcript:rna27694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MAMAAALRREGKRLAPLMSSQPPINTTLRSSLISPLDQSSIGGARSISTQIVRNRMKSVKNIQKITKAMKMVAASKLRAVQTRAENSRGLWQPFTALLGDATSVDVKKNVVITVSSDKGLCGGINSTSVKISRALSKLNSGPDKETKYVILGEKAKAQLIRDSKKDIALSLTEIQKNPLNYTQVSVLADDILKNVEYDALRIVFNRFQSVVQFLPTVSTILSPEIVEREAEAGGSLGELDSYEIEGGDTKSEILQNLTEFQFSCVMFNAVLENACSEQGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELIEIISGASALTG >RHN49292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53867308:53868337:-1 gene:gene44107 transcript:rna44107 gene_biotype:protein_coding transcript_biotype:protein_coding MACFKLNLLLLASLFTFLFLSNPAHSSDKEDSVLKGINSFRQTKNLAPLNKNDKAHCLADEVAEEIEDTPCEKVNQYYPVSGPGGNQRIPNLQKHIDKCDININTTTDGVILPVCVSKLEPTVVLSNYTHSDIYAKFLNNSKYTGVGLGSEDDWMVLVLTTNTTTGTFSAATTSLHANFVLMVLLLVVIINYFSA >RHN61457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35577029:35577938:1 gene:gene23928 transcript:rna23928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGIRLPFMALQAKQIFKSTSTQQQSNVPKGHIAVYVGELQKKRFVVPISYLNHPTFLDLLSSVEEEFGYNHPMGGLTIPCKEDAFINLTSQLRAV >RHN46734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34434211:34434912:1 gene:gene41256 transcript:rna41256 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGNVRRGDIPSKVAETTFVFGSFGLKRVKARITQTITKTSNSNNTMHMQVTHLFLPFFGGFIKACSSWYCLFCESFMALCFLTQAELRNGEIEMLP >RHN74333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31918121:31920116:-1 gene:gene10374 transcript:rna10374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein MLSSHSNSKKKRSPLIETSFKLPSDIPVLPPGDGFASGIIDLGGGLLVSQISTFNKVWTTNEGGPDDLGVTIFEPTGLSEGFFVLGYYCQPNNKPLHGWVLVDIRGYIWLPIAPDGYKVVGHVVTTSQEKPSLDKIMCVRSDLTDECVKYKSIKLWRTENKRFNVYDVRPMKRGVEAKGVYVGTFLAQCGRKNSKTLPIVCLKNTNAIKFSSMPNLHQIETLIKAYSPYMYLHPMDKYLPSSVEWFFINGTILCEKRDGVINVSPIEPTGSNLPQGCSSIGMSSYWLDLPMDEAAKERVKKGDLQSSKAYVHVKPMLGGTFTDLVMWVFYPFNGGARAKVAFMNIPLRTKGEHVGDWEHVTLRVSNFSGELWMVYLSQHSKGQWVDACDLEFKNGNRPVLYSSLHGHALFPRPGCVMQGVRGFGIRNDACKSDLVMDMVKGYEIVAAEYLGSEIREPPWLNYEMNWGPREGPKGPKQKDFWKGDER >RHN78893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19689157:19692479:-1 gene:gene2561 transcript:rna2561 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVEGVLKIYYETECMSMCPGLAVFSSMIWCLCRCGKVDDTEVFEDYVIYVKFLLLSFKQIRILTHQLAFIIWLCILEIKKGGSEVVDIPSLQHRNCIESKLLGPCLISEYHFIAECKNFQTDISVEAIMSYIYFFLSDTTWLPEID >RHN54115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7014596:7015105:1 gene:gene29103 transcript:rna29103 gene_biotype:protein_coding transcript_biotype:protein_coding MACGLANLTASKYGGNQLWVSIGEAIMPSSVVKLWVRKKELYIHVNDTCVNHEFCHAYRQVVWKKSVQLGCSQATCTDKKEAGLTICFYDPPAPRRVIGESPF >RHN51515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19265221:19265577:1 gene:gene35968 transcript:rna35968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exoribonuclease II MLVLDTNVVLNQIDLLENPAIDDVVVLSIVLDEVKNKNLSVYLERGNFSALFGHFSFFFFFKQSYTLLQIYCRIMVLYGDYCLHNT >RHN72065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4725065:4726192:1 gene:gene7736 transcript:rna7736 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVDSAICKMKEEWSCVTYDLLSNIANRLELIDFTCFHCVCKDWRYATEPLHEAIFSGCDPWFLLYGEEGPRCLVLINNDKVYTVQIPELDRSTCLASYDGWLLVFRHDSLFFFCPFSGAKIQLPNCPLTKSHDYIAAISSAPTSQDCIVVVLDRPNHFQFELHTLCRGDDIWVKYQFNLNEHLFPLKRCAMFIEGVFIYASNKDVFIFDVANTKQWSKCSLRSIQDQPDDESGLKFRLKDLLSKKAKKEKKHKSKDLKRKLGYDDNVAIATCGTIYDAQNMVWGKFIHSVTGSNSRPLKGVWIVPRDHKHQDQIW >RHN54788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12281616:12284215:-1 gene:gene29874 transcript:rna29874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit DAD1 MAKPSSASSSSSSTTKDAQDLLRALWSAYSATPSNLKIIDLYVAFAVFSALLQVVYMALVGTFPFNSFLSGVLSCVGTAVLAVCLRIQVNKENKEFKDLAPERAFADFVLCNVVLHLVIMNFLG >RHN62701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45247930:45254662:1 gene:gene25301 transcript:rna25301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative taurine-transporting ATPase MTSMCLPSSGCCEIKTSSESVLQRTVQDIEANTTTLEMMMKREKEDEVDGTCLTWKDIWVNTISNGKNGSKSILQGLTGYAKPGQLLAIMGPSGCGKSTLLDTLAGRLSSNTRQIGEILINGHKQELSYGTSAYVTQDDILLTTLTVREAVYYSAQLQLPNTMSKEEKKERADITIKEMGLQDAMNTRIGGWGVKGISGGQKRRVSICIEILTRPRLLFLDEPTSGLDSAASYYVMKRIASLDKKDGIQRTIITSIHQPSTEVFQLFHNLCLLSSGRTVYFGPAYAACEFFALNGFPCPPLQNPSDHLLKTINKDFDQVMFIKHNNIFFLLHDFIPLHCNIHKKDSKKIYFKYMLKLFQDIETGLAGTGTIPTEEAICILVSSYKSSEMSQDVQNEVAVLSNKHTSSMDHKKKGHAGFLNQCLILIERSSMNMFRDLGYYWLRLVIYVALAISLGTVYYDLGTSYDSIKDRGSLLSFISGFLTFMTIGGFPSFVEDMKVFQRERQNGHYGVIAYVIGNTFSSIPFILLVTIIPAAITYYLPGLQKGFEHFLYFASVLFSSLMLVEGLMMIVASIVPNYLMGIITGAGIQGIMMLVGGFFKLPHEIPKPFWRYPLHYVAFHSYVFEGLFKNEYEGLRFDIKNGQGTNSYITGEEVLRDTWQSNMSYSKWVDLAILLGMIVLYRVLFLIIIKVTEKVKPIVGSLLSCMVVSPNTTIHVVEKDDATPLNGHTGGEPEGVRAEKRLEPKF >RHN79840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32721511:32722225:-1 gene:gene3687 transcript:rna3687 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQQEQPDVGLQHMEEQELEEELHAMDVEMEDAEPQQRRKKKEKVVDPEPLDDYPSGPHEIDMMWKYHVHVARKAADGEWREKLKCINNGKKIEEMHDDDTRPIMAARWWENRLQGTDLG >RHN45732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25099048:25102195:-1 gene:gene40122 transcript:rna40122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MNQDKAEKFTNMILDRTIFPRSSINTTASDPEGKFLVLNVCINMPPKVTSDGNWANHDQAAMPMQSTLPLLELQILTIFAITQCFHLVLKRLGVPYFVSQIMAGLVLGPSLKFSKTWTGFKNILFPYGTEDVISVISLIGYAFFLFLTTVKMDFTMITRTGRKAWTIAFCSFVIPMFFGLVVCYRFQEFWKLEMGNFEAKNLPVIVIGQSGCYFAVIASLLSDLEILNSELGRLALSTAMVMDSFNSIVTGIGTAFISSIKTDSHDNGDGKGTLKAFLNVFYYLCFMVVTPLVLRPILKWFVKKTPEGRPMKKVYMYIVFIIALAVGMLGLLTKQSVLGGICIVGLIVPEGPPLGTEMIKQLELFCSWFLFPIFVTSCAMKIDLSVYVKSDYIYVWLGIIVAVHLFKMLVTIGICWYCNMPMADGLCLALMLSCKGLLSSEALSVLSINVLVIGTLARIGVKYLYDPSRKYAGYQKRNILSLKPNSELKIVSCILKPSHIIPIKNVLDICSPTSSNPLVIHILHLLELVGRSSPVFISHRLQERVGSSSHTFSEAVIVTFDLFEHDNAGTASVSTYTAISPVRFMHDDICYLALDKLASIIILPFHLRWSEDGSVESADETTRSLNTKVLERAPCSVAILVNRGHSSPFNHNENSKQIAMIFLGGSDDREALCLAKRTIKEDTYHLVVYHLVSTIKNDEFTSWDVMLDDELLKGVKGVYGSVDNVTYEKVEVENTSDTTEFISDIAIQHDFIIVGRRNGIKSPQTQALASWTEYPELGVLGDLLASPDTNTKASILVVQQQVMPKAS >RHN55246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16398025:16399301:-1 gene:gene30393 transcript:rna30393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGRSQSHISNDLFFSLLSKLPIKSLKRFGCVHKSWSLLFDNPHFMTMYRNNLLTKDHSYYHDTSFLLHQTFSPFEGYYHDETFDLYSLAGKRFENRIKLDWPSVKLDPIYRDQTEYDSGFNILGSGSVLGTLCLFCASHVNILLWNPSTMEFKHIPPSPLDSEPNCHVFHHAFGYDFVNNDYKVIRQGTVVDKTGYIWEIYSLRNNSWRKLDVDMQKSPMCENQLYIDGLSHWLCYGETHNETHLLSFDWSNEVFLTTPIPSDMDDNRFDCNSVWRHLVLLDGSIAFILNYIETGTFHISILGEFGVKKSWIKIFILGPLPYLEYPIGAGKKGDMLFRKKDDDNYGELVWFDLNTQMIEDLGITTERFSCKIVIHKESIIPLEGANI >RHN49179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53095726:53100181:1 gene:gene43983 transcript:rna43983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSNTTSNSAAGVDNTFRRKFDREEYLERARERERQEEEKAKPKGRGPPVQRKPLKHRDYEVDLESRLGKTQVVTPVAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRAERSSLKQVQERFENLKKRKDLGSFTEQDFDERILKQQQEEEERKRLRREKKKEKKKEAVEEPEMDPDVAALMGFGGFRSSNKK >RHN79874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33065338:33070938:1 gene:gene3724 transcript:rna3724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronate decarboxylase MIYKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGERSCYDEGKRTAETLAMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKQPLTVYGDGKQTRSFQYVSDLVNGLAALMDGEHVGPFNLGNPGEFTMLELAQVVKETIDSSATIEYKQNTADDPHMRKPDISKAKELLNWEPKVPLREGLPLMVSDFRNRILNEDEGKGMR >RHN81259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44395218:44395712:1 gene:gene5275 transcript:rna5275 gene_biotype:protein_coding transcript_biotype:protein_coding MNCAMDDMNDDIHLKPENIEFLLPRDIFDELFVEKPRAKLFESLSVNVDLGSQEEITAQDEILLHDSFLQARAIINELFLEKPTESLLESLRGTVNKDIWKEIFAQAVNFAQDSSTHIVLNDEVFPFLFSFFYWINFYYHNNITQEFSHKIFSMVGRSSRVTSS >RHN58806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5043111:5049268:1 gene:gene20755 transcript:rna20755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin synthase MMASEGWPEPVIRVQALAESGISSIPQHFIKPKSQRPTKTNSFTSQTFHHVHDENNKNNINIPVIDLQHLYGEDEKLREETLKRVSEACREWGFFQVLNHGVSHDLMKRAREVWREFFELPLEVKEEYANSPTTYEGYGSRLGVKKGAILDWSDYFFLHYMPCSLRDQTKWPSLPTSLRNVINEYGEEVVKLGGRVLELLSINLGLNDDFLLNAFGGENDLGGCLRVNFYPKCPQPDLTLGLSSHSDPGGLTILLPDDYVSGLQVRRGEDWITVKPVPNAFIINIGDQIQVLSNAIYKSIEHRVIVNSNKDRVSLAFFYNPRSDLLIQPAKELITKDRPALYPPMTFDEYRLYIRTKGPCGKAQVESLVSQK >RHN74396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32630179:32630607:-1 gene:gene10453 transcript:rna10453 gene_biotype:protein_coding transcript_biotype:protein_coding MYLDICLSIVTNTAMSHDETEFPDSTDSSGSTDEFIQNLEDELDDDGSDNSSSDEEAESEDSRCSHHLFHITCVTVLVKLLPG >RHN80918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41895298:41900667:-1 gene:gene4902 transcript:rna4902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome A MSTTRPSQSSNNSGRSRNSARIIAQTTVDAKLHATFEESGSSFDYSSSVRVSGSVDGEHQPRSNKVTTAYLNTIQRGKQIQPFGCLLALDEKTCKVIAYSENAPEMLTMVSHAVPSVGDHPALGIGTDIRTIFTAPSASALQKALGFAEVSLLNPILVHCKTSGKPFYAIIHRVTGSLIIDFEPVKPYEVPMTAAGALQSYKLAAKAITRLQSLPSGSMERLCDTMVQEVFELTGYDRVMAYKFHEDDHGEVIAEVTKTGLEPYLGLHYPATDIPQAARFLFMKNKVRMIVDCHAKHVKVLQDEKLPFDLTLCGSTLRAPHSCHLQYMANMDSIASLVMAVVVNDSDEDGDSADAVLPQKKKRLWGLVVCHNTTPRFVPFPLRYACEFLAQVFAIHVNKEIELEFQILEKNILRTQTLLCDMLMRDAPLGIVSQSPNIMDLVKCDGAALLYRNKLWILGATPSEPQIREIALWMSEYHTDSTGLSTDSLSDAGFPGALKLNDTVCGMAAVRITSKDIVFWFRSHTAAEIRWGGAKHEPGEQDDGRKMHPRSSFKAFLEVVKARSIPWKDFEMDAIHSLQLILRNASKDTDMIDLNSKAINTRLNDLKIEGMQELEAVTSEMVRLIETATVPILAVDVDGMVNGWNIKISELTGLPVGEAIGKHLLTLVEDSSTDIVKKMLNLALQGQEEKNVQFEIKTHGSKTDCGPISLIVNACASRDLHENVVGVCFVAQDITAQKTVMDKFTRIEGDYKAIVQNPNQLIPPIFGTDEFGWCCEWNAAMIKITGWKREEVMDKMLLGEVFGTHMSCCRLKNQEAFVNFGIVLNKAMTGLETEKVPFGFLSRKGKYVECLLSVSKKIDAEGLVTGVFCFLQLASPELQQALHIQRLSEQTALKRLKVLTYMRRQIRNPLSGIVFSSKMLENTELGTEQKRIVNTSSQCQRQLSKILDDSDLDSIIDGYLDLEMAEFTLHEVLVTSLSQVMNRSNTRSIRIVNDVAEHIAMETLYGDSLRLQQVLADFLLISINSTPNGGQVVIAATLTKEQLGKSVHLVNLELSITHGGNGVAEAVLNQMFGNNGLESEEGISLHISRKLLKLMNGDVRYLKEAGKSSFILSVELAAAQKLRG >RHN78972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20418918:20420263:1 gene:gene2645 transcript:rna2645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MKCRAFDCSQFIDGGHEEGADIGEDVMVEEGEYNGNMENDGSGYESGHNGEFEQDGGSGQPGEDEGVNYGDMEEDGSDEEGVDYGSMEDGSDEEGVDYGDMEEDGSDDENQHIQVDSADDIVALDLSGLGPLFVRRIEFGSQDIAYEFYKSYGRANGFTVRKGKLLYCKSGEVVQLTFLCHREGYRIKGITEANRKHREKPYTRCGCQAMFRVHINSFTNRWSATVFNNQHNHELASQEHCGLLSSHCVMTDSDIMQMNNMRI >RHN79441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28911125:28911370:-1 gene:gene3231 transcript:rna3231 gene_biotype:protein_coding transcript_biotype:protein_coding MENEVVSPFSIRMCTYNYVNLLAKCFLKQHPVSLMRVIKWNDKGGNDMIFDGSSLAISVSPVLGAFLGMGMVLGSMDLLKI >RHN66424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12208009:12208622:1 gene:gene14407 transcript:rna14407 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 2 A MLLGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGLYFLVSIGLITSVVSIYYYLKIIKLLMTGRNQEITPHVRNYRRSPVRSNNSIELSMIICVIASTVPGISMNPIIEIAQDTLF >RHN76873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2013479:2014276:-1 gene:gene258 transcript:rna258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MGDRIHVVTWYRDLDWKALLEDNKTYVLHNCLVFDNDAAFKYVDHPFKVVLGPGSKVTRNDKLRDIPSHEFRFKSFKKIENGNFKPDVLYEIIGFIHEIVKTSVFGYGKKPCTNLVLRDEVGNLVDATLWDKYSLDLMKFLAERNDAGPVVLILTYAQCKLAG >RHN40585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17866328:17869744:-1 gene:gene46750 transcript:rna46750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-3-methyladenine glycosylase I MCSSKAKVGIESKTTATATSPVAKINGRPVLQPTCNRVPNLERRNSIKKLPPKSLSLPSSPPLPTKTSSTPPLSPKLKSPRPPATKRVNETYLLNTSSEKIVIPRNSTKISTIKKSKSFKEGSTEASLSYSSNLITDSPGSIAGLRREQMALQNAQRKMKIAHYGRSKSAKFESVSIPLDSSNNLISKTSEEEEKRCSFITPNSDPIYIAYHDEEWGVPVHDDKMLFELLVLSGAQVGSDWTSILKKRQDFRTAFSEFHAATLANLTDKQMLSISLEYGIDISRVRGVVDNANRILEVNKDFGSFDKYIWGFVNHKPISTQYKFGHKIPVKTSKSESISKDMIRRGFRFVGPTVVHSFMQAAGLTNDHLITCHSHLKCTLLSC >RHN41892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33922255:33923195:1 gene:gene48256 transcript:rna48256 gene_biotype:protein_coding transcript_biotype:protein_coding METISMLPSTHQPLRSISLPTRIHPSSQRVEALLNHLKPQSSSQSLSNTLCFESETIQSDLVVLAELYNCMEELFQSQQTQQALLHYQNGKLVEDSLSGSVTLLDACGSSRELLLNLKEHVETLQSAIRRRRGNSTSSIESSIYEYDCFRKKAKKEISKQLGEMKKMENKVKLFSIMGQDQNLIFLAKVLREATTITISIFRSLLLFISTPRLRTTKGSSLISKLNPTRLFSSENEHKNTDFVALLRVLETLNVNVCGLEGGLDCIYRCLVRNRVSFLNMLAH >RHN76892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2176052:2178379:-1 gene:gene279 transcript:rna279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-pyruvate monooxygenase MDPFKEKVKCVWIHGPIIVGAGPSGIAVAACLSEQGVPSLILERSDCIASLWQNRTYDRLKLHLPKHFCELPMMSFPQTFPKYPTKHQFISYMESYADHFHIHPRFNQTVLSAEFDSTSQIWMVRTKEGDFQYFSPWLIVATGENAEPVFPTIHGMEHFHGPVVHTSDYKSGSEYKNKKVLVIGCGNSGMEVSLDLCRHNAMPHLVARNSVHILPRDMFGFSTYGIAMGLYKWLPLKLVDKFLLLVSSFFLGNTNHYGIKRPKTGPIELKLATGKTPVLDVGQIAQIKSGNIKVMEGVKEITRNGAKFLDGQEKEFDAIILATGYKSNVPSWLKGNDFFTKDGMPKTPFPHGWKGEQGLYTVGFTRRGLHGTYFDAIKIAEDITNQWKTLKSKSCCDSHIILLNNS >RHN75356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41553737:41555844:1 gene:gene11541 transcript:rna11541 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQSNSLLNWAYFCQGKSMEDLRQSLLYTTLELEQTRVTAQEELTKKDEQLMNLKDLINNIIRERDEAQEKCQRLLLEKLVFHQQQNDPLSGVSSIEDEQVTRKGIDSNNGFSLSSSDCEESIVSSPIIDQSMIEVLTPNRPLPEKGKLLQAVMKAGPLLKTLLLAGPLPQWKHPPPPLESFEIPPVSIPRILHQDSIFSSNIDTTNANSHCGRVNMKRVFFDDSDSPNENKYQRVVPY >RHN68371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34922550:34923971:1 gene:gene16713 transcript:rna16713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MASSRPPPSKSIDLDLTIISAKHLKNVNWKTGDLKPYVVFWLDPDRRLATKSDDSGNTSPVWNERFTLPLSLPLQDSTLTLEIFHSKPSDTPKPLVATLRLPLKDLPDLHHSTIVKKFSVVRPSGRPQGKIHLKISLLGRSPPPPSPPISQMFDYNNTIPNPHPNPNPNSSNPSFVYYRGNSSSAPSPPPSPSPYNYYTNYSDNNYSGGSYFPGYYPGGAYPPPPRPFFERTGSYAAAAGPSGPSAPLEYSSSFDPRPKGGKMGLGAGLAVGAAAGALGGLSLEEGMKYEERRLADMVESDVASARDDYGDAHYRDY >RHN48208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45794719:45795966:-1 gene:gene42898 transcript:rna42898 gene_biotype:protein_coding transcript_biotype:protein_coding MSCISLRLPPARKVWKSFTSKLGSKLHNIRKSKAMKKQRKHLNTKAIKPSTSITTKAPKFLASKRFRRKKLATVRSVFCSFNKKPAPVYIDKLFREPPCDLVGYLKPRTPIQRPQIEITAKIGDYQLEKKDVPEGASNRSCDRTNTSDDMWESVALASPQMQGIDERAEEFINRFRKQMAAQERLARNL >RHN39066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3870144:3875424:-1 gene:gene45058 transcript:rna45058 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin 11-oxidase MEFQWFWMSVSTMLAIFLYILVSKVVRNLNEWYYDIKFRNKQFPLPPGDMGWPLIGNLWPFFKYFSSGRRDIFINNIIRRYGRSGIYKTHLYGNPSIIVIVPAMCKKVLNDEVTFKLGYPKAITVLAYNRVLNNEHGRLKRLVTAPIAGNNVSTMYLERIEDIVINKLEELSSMKHPVEFLKEMRKISFNFIFQIFTGSCDQGTINKISDLFDVMGSALFSLMPINVPGFAFNKALKARKKFAKIVQNIIDERRMMAKERQIGEKKDLINILLEMNDEAGEKLEDKDIIDLLITLLFGGHDSIAAGMMLTIMYLTEHPLCLKKAKEEQEEILKARPPSQKRLSIEEIQKMTYLSQVFDETLRITSVFATFREATTDANINGYLIPKGWKVLVWLNAMHMAPEHHSNPDEFNPSRWNDHNPTTGTFLPFGIGRRLCPGRDLSKYEMLIFLHYFVLNYKLERINPECPLTSLPYIKPTDNCLAKVTKLSDA >RHN72425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7508155:7509681:-1 gene:gene8134 transcript:rna8134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEKSATPATGKVSNHIPDDVAISILSNLPLKSLKRFESTCKSWSMLFQNPYFMKIYRNRIIQGNHSDHADASLILRHTIVLDNVVRPVVEPLFQSTLYFISGEKFENRVKLNLSLPFQVLGQDIYILGSISINGFLCLSNLLDDERKAVLWNPTTKEFIVIPSSPVESLPYRKFEAFIHGFGYDHVMDDYKVIRYVEFDSLSFYDIMSRGLSEQEASWKDVPMEPLWEIYSLRSNSWKKLDVDMSMVMSPETREETVRFYMDGMCHWWDKIEKDSDDGETYFVSFDVTNEVCFTTPMPSDIDDTFDIRLVKRQLVMLNRSIGLISYSGETNTLHVSILGEIGVKESWTKLFIVGSLPHVKYPIEAGKNGDIFFIKKDGELACFNLDTQTIKELGVEGDMSQIVIYKESFHSIRSIHN >RHN54312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8547588:8550784:-1 gene:gene29323 transcript:rna29323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKRKKISIAAARAKVDEAESRELCPYFDKLPSHLTAHILLRLPFKSVLICKCVCKVWKTIISESQFAKSHFERSPISLMIRTRHRVSRTLYLLECEPDKFEIGSNNHVKLAPIFKLPLRSFRDKRDQINNESKRPFRAARLVSGKNDENSDRGRQSLYIACNRDIDKFDIVNSCNGLLCLSDPSFGNPIVICNPVTGEFIRLPESTTNRTRVRMQGQAGFGFQPKTNEYKVISVWIRHVKHANQWVFERVILEINTLGTTSWRNVEVDPQISFSSLKYPTCVNGALHWIRFEGQQRSILIYNIDTSFNPLDRVPRGYGLSWPIKHFEEGAAILSYHSSNCFTYYEPEKYGFKVFRIHGSRINYFEVIPHIPSLISLKDVLKGVNIEVLNIHSRCAKFKLRGEKEVLSLSQQIV >RHN77153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4477541:4483123:-1 gene:gene578 transcript:rna578 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MEHIIRTCHGSVSVAVYGDQDKPALITYPDLGLNYVSCFQGLLFCPEAYYLLLHNFCIYHISPPGHELGAAAIDPDYPVLSVDDLADQIAEVLNFFGLNAVMCMGVTAGAYILTLFAMKYRQRVLGLILVSPLCKEPSWSEWLYNKVMSNLLYFYGMCGVVKEILLKRYFSKEIRGGTQFPESDIVKACRRSLDERQSLNVWRFLEAINGRPDLSEGLRNLHCRSLIFVGDMSPYHSESLHITKKLDRRFSALVEVQACGSMVTEEQPHAMLIPMEYFLMGYGLYRPSRKSVSPRSPLSPSCISPELFSPESMGLKLKPIKTRITGEI >RHN46961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36054435:36060169:-1 gene:gene41505 transcript:rna41505 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSQRFPVQYEKDQSGCMWGFISMFDFRHARFTRRLIADKRHNNKHALGAVLTKNKFEALSNLDEEYQANLDRGESKRLTVAIDADKLSVKKLIEEEMFIDQDEIKNQGTDLGSEDSLKTDSKRKRKSRKKSRDMDTNDPSATLKSEFSHNQHSNQQSKDNIDLDKIMEDFCQIERACSLMHDDDNSKSHDQSNQKNVNSEELARDAIHDFVNQKILNGKDMVEDKKFLCSNEVMETLQVISSDKELFLKLLQDPNSHLLKYIQELENAQGKTEKEYNSVANSNFTEQDLHNLKQTREIVSRKHRKFFWKRVKSPSKVPTNKNTETEIPNRIVILKPAPTGMQNSKNESNVDSRDIVHYKGPSSVRVGSHFSLTEIKRKFKHVIGKEKHGNHERNVERENNGSRGKTIGNDKFEMRSPNKDRFFTEKIARPMFDVVKGDKIATVKDSKFNAQRESGSTKGKVSNIYVEAKKHLSEMLDNGDDNTGISSSQIPKTLGRILALPEYNFSPLGSPGGNLEHHLVTAHSRLSSSDKTLEDNEDHLSPKDATSIDQPDKETSNSANQSSVCGENERSNEVLEIESESTFSHELGHVDTSEAGYSVGDEIVAEGNVEFTKDINVLESSSNPNGCIAGKDQQNHDIAEIPDDGRCSECLNEDVKEENQPSSPLSSPSHSSITNTIEELESSTDVSGRPSPVSVLDIPFSDDDPGYSACQPVKLRVQPLHIRFEEHDSSPVERFDRGKCCFEQNELIYEYINAVIHTAGLTQDQLLMKCLSSDKILDPSLFDQVEFFSNMLCHEQKLLFDCINEVLMEVCWHYFGVSPWVSFVNPSIRPTPNMKKVILKVWEGVCWHVLPLPPPHTLEQIVRKDMARNGTWMDLRLDAEIVGFDMSDTILAELMEDTILSLVSQSTES >RHN67134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24211696:24212463:-1 gene:gene15283 transcript:rna15283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MDHLKSSNKSFQVCMAILGNRLDVKKILTEMEEKKIVLNEVGQNYLVYGFLQCKDLSSSLHHLTTMISKGIKPSDRSLRKVISSLCDVGKLQKAVELSREMGYRGWKHDSLIQTRIMESLLSLGFVENAECFLDRMKEESLTPDNIDYNYLIKRFCEFGRLKKAVHLMNIMLKKSNIPISTSYDFLIHGFCAQNELDTASNFSYEMLNWTFKLRIDTVEMLVFSFCERGRTEQAEQFLVDMIHGGETPTRKCIAL >RHN78556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16239976:16240653:-1 gene:gene2174 transcript:rna2174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat 2 MKDTAATTVLSKRWKPLFLSQLILNFEDNPFPNPSQFRRFLNSFIAERDNNLPILSFNLKCRFRYFKYDITKFVTNVVQRGVQNLSIDLLFHGRVPTCVLTTKTLAVLKLKRLTFDVPHVHLPSLKVLHLEHVTFGYFEYITKLLSGCPILNELETKDLFIEQYSRVLRVVVLSLPNLVRANISDDLIRYDWLHMAQHLRIRQVCMCISIYLSIYLSMQISRSNL >RHN41238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28527808:28528359:1 gene:gene47538 transcript:rna47538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MAEEENNGGENNDGIDRISTLSNSLLCHILSFLPTKTSVHMSFVSRKWRNLWKNLEVFDFRDKCNEYSYQAPDDEFNEQFMLFTVFVNTVLSLRRSRVVRKFRLSSDHIPNNPLFAYSVDTWLSIAIGPHLQEFHLTLFTAGAFDNLPPTLFSCSNLISLRHATIPISSSFLYFCFVHFIIIV >RHN74257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31197816:31198893:1 gene:gene10292 transcript:rna10292 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKEKLLILGFFVIVVLISSKVTARDLAKDSSVSTKFEVFEENNDASGVKYNKNISTRNNSVHPDWFIIPWIPKIPWIIPWIPKIPWIPWLPMPGGGTPPGGGYGGPGEPSAPGGGYGGPGEPSAPGGGYGEPPKEPSAPGGGYGGPGEPSGSINPGQPGDPGEPVEPGPVGPGEPPGKPGGLSEHGGSMTARMPRVETDRTPGGGSGVAGGGSPNQKDYHGGHI >RHN60758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30221203:30226859:-1 gene:gene23116 transcript:rna23116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MRGTQIVFVLLWFLGVYVPASFCSNVTYDHRALVIDGKRRVLMSGSIHYPRSTPQMWPDLIQKSKDGGIDVIETYVFWNLHEPVRGQYNFEGRGDLVGFVKAVAAAGLYVHLRIGPYVCAEWNYGGFPLWLHFIAGIKFRTNNEPFKAEMKRFTAKIVDMMKQENLYASQGGPIILSQIENEYGNIDTHDARAAKSYIDWAASMATSLDTGVPWIMCQQANAPDPIINTCNSFYCDQFTPNSDNKPKMWTENWSGWFLAFGGAVPYRPVEDLAFAVARFFQRGGTFQNYYMYHGGTNFGRTTGGPFISTSYDYDAPIDEYGDIRQPKWGHLKDLHKAIKLCEEALIASDPTITSPGPNLETAVYKTGAVCSAFLANIGMSDATVTFNGNSYHLPGWSVSILPDCKNVVLNTAKVNTASMISSFATESLKEKVDSLDSSSSGWSWISEPVGISTPDAFTKSGLLEQINTTADRSDYLWYSLSIVYEDNAGDQPVLHIESLGHALHAFVNGKLAGSKAGSSGNAKVNVDIPITLVTGKNTIDLLSLTVGLQNYGAFYDTVGAGITGPVILKGLKNGSSVDLTSQQWTYQVGLQGEFVGLSSGNVGQWNSQSNLPANQPLTWYKTNFVAPSGSNPVAIDFTGMGKGEAWVNGQSIGRYWPTYISPNSGCTDSCNYRGTYSASKCLKNCGKPSQTLYHVPRAWLKPDSNTFVLFEESGGDPTKISFGTKQIESVCSHVTESHPPPVDTWNSNAESERKVGPVLSLECPYPNQAISSIKFASFGTPRGTCGNYNHGSCSSNRALSIVQKACIGSSSCNIGVSINTFGNPCRGVTKSLAVEAACT >RHN70944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55299624:55303747:1 gene:gene19580 transcript:rna19580 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:pglcat1 MASFRRTLSPAYHDRHYINGDGTAFSPSSPSHKLPSSNTNYSAIASSFQRLIGGVFMRRYNRKGQWRKVAFRCVLCFFVGFLLGMFPFGNLIHEIPQQQRENNDNIIAFDINKTPQSDAKSILNNRVLKKHVSVGGGDADDEDEDKKGKGFMIEQVSLSSNMNAEKDNENLKERELGESEKIDIVARKQLIIVTPTYNRSFQSYFLNRLGQVLRLVPPPLLWVVVEMNSASMETAELLRKTGVMYRHLVCTKNSTDVKDRGVHQRNKALEHIEHHKLDGIVYFADDDNVYSLDLFQTIRDISRFGTWPVAMLAPSKNKAILEGPVCNGSQVLGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWRRPTSNPIRQLDSVKEGFQETTFIEQLVEDESQMEGSPPGCKKIMNWHLHLNVHNIVYPKGWMLEKNLDAVIHIK >RHN41277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28807794:28813315:1 gene:gene47582 transcript:rna47582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MCLSSPSLSLSLSLSLSPQRRKVVFLSESRRFVPIHPLFARLTACKTTMMGTRRQRKRQPKDDSQIQDRISVLADCLLIHILSFLNTREAVQTCILSKRWINLWKTLPTLTLDCYQFSDCEIYENFLFMFLSLRDHSTALSALLLHNNHFENISLYQMVIEYAFTHNVQHFKINYTTAELFSPFFLSSHTLTSLTLTGEDLLLPGPRFDQIFPHSLSFPTLTTLSLKHLVFGCNDDGCVDPFSTFNMLTTLIIDKCVLVDNAQNLRISSTKLVNLTICVYDFLSGCNPRTADFEIYFGIELYAPTLHSFDFTGGHYIPKLFGSKTALSSIKHVNINLKQTMEDSLWENPSILFKWLVNLVNIESLTITKPALQVLSYAHDDLLKVDFPSLCNLKSLKFNQIIRPPLVTVIDFLLQNSPSAKVDFSSSSLWTRW >RHN75481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42604146:42607821:1 gene:gene11699 transcript:rna11699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDSPITTIHPYILQSHILSRLDGTSLTSVSSTATHLHNLCTEHHLWQKIITSTWPSLNNPSATSLISTFPSSHRSIFSDSFPSIHYSSSSSDTSSSSSLALSAPKELFSAVDLYYKGRPVFSKLLRTETHKGWFLCSPLWIEILEPNEIIETNIKFEQNDVVEWLEQNLSLSWIIIEPTRKRSVNLSSRLPVTVRRHWLTGELEVLYGTVMGMVQCMVKVTCCGKVGGEMHVTGVSFSMEDMDGRHLIGRDSLGILVGAMEKGERWKVDIDREKKRFEEFCGRKRERREKKLRRERVMDMIIMVVAIVVFAFLFRFVRFWV >RHN60212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23647529:23655010:1 gene:gene22474 transcript:rna22474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metallo-beta-lactamase MEDGLISVDRWARGSEAYFLTHLHSDHTKGLSSKWSHGPLFCSPITARLLPIKFPNFNLSLLRILHTGTSHTISLRSPSSSNPTTVIVTAIDACHCPGSIMLLFRGDFGCELHTGDFRWEGSCEKARIAREMLGVALKEHDGVVDVVCLDNTYANPTYEFPSRSVAAQQVIDIISSHPDHDVIIGINNYGKEDLLLQISRAFDIEIWVSPQRLQTMHLLGLPDVFTTDTTVTRVRAVPMYSFSRKTLEGLNLIRPTIGILPSGLPWVKKSLKKNEFLSGSFLTSGSKRSRCDTDSTQVQMDKKIDKTGSPKMFDKYIFSVPYSDHSNCAELEDFIKLVKPSSLKGIVSSSTCYIEPMYYFGRLCTGNQPAPMLHNVEDVNVELDRNISKESYLLKGSGKRVVTINPETSFEDDNVELDRNRYRRKTSKVKLGSRMTKFIKRGGVKILEHVEE >RHN68937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39748252:39753592:1 gene:gene17360 transcript:rna17360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MSSNMKIDENLDGESITLESTLSETHAPIAIHETIQRETENQQDSKTSITKGKTTNVVPFYKLFSFADSLDHVLMFVGTIGAIGNGLATPLMNVVFGNLIDAFGRSTSPGEVVHDVSKVALNFVYLAVGSFVGSFFQVSCWIVTGERQASRIRNLYLRAILRQDTSFFDMEETNTGEVVGRMSSDTILIQDAMGEKVGQLIQSVATFIGGFVIAFVKGWLLTLVLLSSIPPLVFASAVMSIVIAKVASRRQVTYSEAETVVEQTLSSIRTVASFTGEKQAIAKYNQSLAKAYKSGVQEGLVSGFGIGSVYFIVFCAYGLAIWFGGKLVVEKGYTGGNIMTVIFAIMTGSLSLGQASPSLSALASGRAAAFKMFETINRKPDIDAYETTGQQLDDIGGDIELREVSFSYPSRPDQAIFKGFSLSIPRGTTAALVGQSGSGKSTVINLIERLYDPQAGQVLIDGINVKEFQLKWIRQKIGLVSQEPVLFTGSIKENITYGKDGSTEKEVREAADLANASGFIDKFPQGLDTMIGERGMQLSGGQKQRVAIARSILKDPRILLLDEATSALDVESEKIVQEALDKIMINRTTVIVAHRLSTVRNAATIAVIHQGKLVEKGSHVELTKDPDGAYSKLISLQETEKEAEVQNVATDSDRPENISYSSNQRFSHLQTISQVGNSGRHSFSVSHALSTTIVPLETSGWEVEVPPLGTSQQPPPPKVPLRRLAYLNKPEIPVLLIGTMAAVVNGAILPLFGLMIAKMVNTLYEPADELHEDSKFWALIFVVLGVSSFLIFPTRSYFFSIAGEKLVKRVRLLCFEKIIRMEMSWFDETENSSGALAAKLSTNAATVRGLVGDALGLLVQNIATAIAGLVVAFQANWSLALIILGLLPLLGLNGYLQMKFIQGFSADAKKLYEEASQVANDAVSNIRTVASFCAEEKVMDLYQKKCEAPIKAGIKQGIISGVGFGMSFLLLFLVYACSFYAGAKLVGDGKTSFKEVFLVFFTLNMTAVGISQSSSLAPDSAKAKCAAMSILAIIDRKSKIDPSDDSGLELEDVKGEVEFHHVSFKYPSRPEVQIFRDFCLTIHSRKTVALVGESGSGKSTVISLLQRFYDLDSGHITVDGIEIQKLQVKWLRQKMGLVSQEPVLFNDTVRANIAYGKGKDATEAEIIAAAKMANAHKFISSLQQGYDTVVGERGSRLSGGQKQRVAIARAILKNPKILLLDEATSALDAESEKVVQDALDRVMVDRTTIIVAHRLSTIKGADLIAVVKNGVITEKGNHETLINKGGHYASIVDSNH >RHN52934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40494912:40498536:1 gene:gene37676 transcript:rna37676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MHIKTDSDVTSFDPSSPRSQKQTPYYVQSPSRESSHDGDKSSTMQPSPMDSPSHQSYGHHSRASSSSRISGGYNSSFLGRKVNRKVNDKGWIGSKVIEEENGGYGDFDGDGNGVSRRVQFFVAVVGFVLVFSLFCFIIWAASLHYKPQLSVKSLTVHNFYFGEGSDVTGVPTKMLTVNCSMRMLVHNPATFFGIYVSSKSVNLMYSDLTVATGELKKYHQQRKSRRTVSVNIQGSKVPLYGAGADVASSVDNGKISLTLVFEVKSRGNVVGKLVRTKHTQRVSCSIAVDPHNNKYIKLKENECRYNI >RHN65421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2083072:2085144:-1 gene:gene13258 transcript:rna13258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MVKTTIVMYTSPTVGHVISMVELAKLLSHQHQQEQDFSITMLLTTGFLDHPSIDPYVNRISTSHPLISFYRFPSVAVTHSNTQSLAATGFQFIKRNVVNVESKLREITQTSVIKSFIIDMFCTSAMEVASTMGIPVYYFFTSGAAVLALCSYFPKIHSETAVSFKEMVGVEIVVPGNAPLNAAFMPGPVLDREDPAYWEMLYFCEHLSMAKGIMVNTFRELEVVAVKAVEDGACFPDLKRSPPPVYCIGPLIADPQESDEARESKDCLSWLDKQPSRSVVYLCFGSRG >RHN48931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51232258:51237329:1 gene:gene43702 transcript:rna43702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dephospho-CoA kinase MRIVGLTGGIASGKSTVSNLFKSNDIPVVDADVVAREALKKGSGGWKKVVEAFGEEILLDNGEVNRPRLGQIVFADPDKRQFLNRLLAPYISSGIFWEVVKLWSKGYKVIVLDVPLLFEAKIDKFTKPIIVVWVDPETQIQRLLARDNSSEEDGRNRVNAQMPLDVKRSKADIVIDNTGSLDDLNEQFQNVLVRVTGPLTWYEFWRSRQGVSIILASLTSGVVLCMKVFNDNSL >RHN45787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25565642:25569752:1 gene:gene40186 transcript:rna40186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MESRHPLRRRNQENFDRFIPCRSAMDFGYAITMVTMKNSERNRKENSSEYSVLYRQKLAQAADLPSRILAFRNKPLKPIQSPSSPQPKPSKPPRHIPQTSERKLHAPDILDDFCLNLLDWGCSNVLSIALENDVYLWNASNKSTAELVSVDEEDGPVTSVSWCPDGSRLAIGLDSSLVQVWDTIANKQLTTLKSGHRAGVSSLAWNNSHILTTGGMNGKIVNNDVRVRSHINSYRGHTDEVCGLKWSLDGKKLASGGSDNVVHIWDRSAVSSSSRTTRWLHKFEEHTAAVKALAWCPFQSDLLASGGGEGDQCIKLWNMRTGARLDSVDTGSEVCALLWNKNERELLSSHGLTKNQITLWKYPSMVKMAELNGHTSRVLYMTQSPDGCTVATAAADETLRFWNVFGTPKATPKTNHEPFANFNRIR >RHN49951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2583888:2585334:-1 gene:gene34189 transcript:rna34189 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSCLVDINALLDSAEPFSMVGVCIYKVPSAIRTLNEKAYTPTLVSIGPFHHDHPQLQNMERHKLIYLKAFLQRTNACLDTLVSNIKSNLSRFKSCYSETLPFSDNELVKLILIDSCFIIQLFWTYYYDDGFLFKPWLDDGIALDLLLLENQLPFFVIEEIYKLSSSSTNASVPKTTIPGFLELTIKYFYSSNKSNLFFDNGDISIMHFTDLIRIFHLQLPIEIRPSNNATDERMIHLPSATELLEAGVRFKVSTKSKCLLDLRFSKSGGVLEIPQLTVDDRTEILFRNMVALEQCHYPYESYITDYVAVLDYLINTGKDVDILVPNKILENWLGDSDSVANLFTGLCKNVTHCNSSPHFTILCEDLKDFCRNPWPKFKYSLIGTLRRDYCSTPWKAGASFAGILLLILTIIQTVCSVFQVVQPNGS >RHN39201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4893653:4898643:-1 gene:gene45205 transcript:rna45205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator MED6 family MATPGMGMLDGGVPTAQPPGTDMTGICFRDQLWLNTYPLDRNLVFDYFALSPFYDWTSNNEQLRMRSHHPLDSSQLTKMIGIEYVLSEVMEPHLFIMKKQKRDSPDKVTPMLAYYILDGSIYQAPQLSNVFAARIGRALYYIEKAFTTAASKLEKIGYVDSENETTIPEPKVAKETIDLKEIKRVDHILASLQRKLPPAPPPPPFPEGYVPPSTAETEKGPETQEAAESLAPTVDPILDQGPAKRMKF >RHN74194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30059119:30063781:-1 gene:gene10209 transcript:rna10209 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YB1 MEPMDIVAKSKEDASLPKATMTKIIKEMLPPDVRVARDTQDLLIECCVEFINLVSSESNEVCNREERRTIAPEHVLKALGVLGFGEYIEEVYAAYEQHKMETVQDSIKGAKWSGAAEMTEEQALAEQQRMFAEARARMNGGTITSNQPDADQSLEN >RHN46516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32434276:32438884:1 gene:gene41009 transcript:rna41009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molecular chaperone regulator BAG-1 MNSAAYSAGVSPSNEADIDWEMRPGGMFVQRRESGDDDHTDGPMINISVAYGSSQHEVYLPAQSSFWDVKKLLAHKTGLKPEQQILFFNGKEKENEENLHMEGVKDMSKLLLLEDAASKESNIEEVRKQNEMLKAFQAVAVVGSEVDKLCDRVSALDVAVNGGTKVSDKEFVVTTELLMRKLLELDGIKAEGEAKLQRKAEVRRVQNAVDTLDSLKAKNSRLSF >RHN77655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8461050:8462597:-1 gene:gene1129 transcript:rna1129 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVHSFHLFLSSCSCLGGDNEKEASREWKHQPLDSIASRECKIKTYVILTHSYLCVL >RHN81477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46259327:46266309:-1 gene:gene5526 transcript:rna5526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phenylalanine ammonia-lyase MEFSNGDNSNGSNGSSMNLRNGGTKTSNNNDPLNWGIAADSMKGSHLDEVKRMVEEYRKPVVPLGGKGLTIAQVAAVATYSTGVAVELAEEARSGVKASSDWVVDSMNKGTDSYGVTTGFGATSHRRTNKGSALQSELIRFLNAGIFGNGTEASQTLPPTATRAGMLVRINTLLQGYSGIRFEIMEAIAKFLNHNITPCLPLRGTITASGDLIPLSYVAGLLIGRPNSKSIGPNGQVLNAQEAFQLAGIETGFFELQPKEGLALVNGTAVGSGLASLVLFDTNLLVVLSEILSAIFAEVMLGKPQFTDHLIHKVKLHPGQIEAAAIMEHILDGSYYGKAAQKVHEINPLQKPKQDRYAIRTSPQWLGPQIEVIRYATKMIEREINSVNDNPLIDVSRDKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFTELVNDIYNNGLPSSLTASRNPSLDYGFKGAEVAMASYCSELQYLASPVTTHVQSAEQHNQDVNSLGLISARKTAEAVEIWKLMSSTFLVALCQAIDLRHIEENFKSVVKNTVSQVAKRILTVGVNGELHPSRFCEKDLLNVVEGEYVFTYIDDPCSPIYPLMQKLRYVLVDHALQNGDKEANSSTSIFQKIGAFEEELKALLPKEVENARVEIENGNPAVPNMIKECRSYPLYKFMRETLGTSLLTGEKIRSPGEDCDKVFTAMCDGRFIDPMLDCLKEWNGVPLPIC >RHN79799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32304157:32307153:1 gene:gene3639 transcript:rna3639 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMRFDNIDFELDSKITRDAFHSRKTDVSEFGSIIDACRDLFSNSFTNSRVEFIRRQANAAVHALAREATSLASPHIYYEIPLCIETIIINEML >RHN73427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16356315:16356716:1 gene:gene9239 transcript:rna9239 gene_biotype:protein_coding transcript_biotype:protein_coding MINLSIIFCSFFFFIFCPSIVQLTQVEGVCTNIVANCADEHVHCPSQCEAFGRGVKPIGSSCDFYNLCTCTYAHQVTGQFGVNQCEIGMGLCTDDCRNDCCYARCEIKYPKSGVGYCVQDYGLDYCSCTYRRR >RHN54049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6514693:6517597:-1 gene:gene29028 transcript:rna29028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A(2) MEAVKPVNFITVLSIDGGGVRGIIPGVILAYLESQLQEIDGEDARIADYFDVIAGTSTGGLITTMLATPNRKANNRPLFAAKEIVPFYLQNLPNIFPQQSGIFAPLISTTKALTGSKYNGEYLHKLIRNMTQDTLLSQTLTNVVIPSFDVQKLQPTIFSSYQIEAEPALDVLLSDICIATSAAPTYLPAHYFEKKDEHGNVIKEYNLIDGGVAANNPSMVAIREVTKHIIRKPDGSGDNGIGYDRFIVISLGTGSNKSERKYNAKMVAKWGALTWLFNNGSTPILDCFNEASNDMVDYHNAVLFTALQSQDNYLRIQDDTLQGELASVDISTKENLNNLVKVGEQLLKKKFTRVNLDTGIYEIVPYKGTIEEELKRFANLLSEIRKCKKSNPQNGK >RHN44694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7416232:7422357:1 gene:gene38844 transcript:rna38844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin, winged helix-turn-helix DNA-binding domain, anaphase-promoting complex subunit 2 MKFNLSASRRITAMEDCDSSLGKLDKLTRDEVNEIIESYNAFCNATQSLLLHDHISFTTHQFVSHVHTLCKHGLQSLLTPHFLKVLEETFERNGALRFWQHFVPYAGLNNNDDINIDEDEIESVLCNALEEISLEKQYHEKCLLILVHALQSFNDQMSEEGMHNNFEAETNYLTSKYQWIVSSVLMTTLPRVFPVILHWYFKRRLEELHTPMDGEFADDVSQNKDGMDLDEKGKICNKDGDMDVDECYSDRRFSENSKMVKNIGKVVLDLKSLGFTSMAEDAYASAIFLLLKAKVYDVAGDDFRSSVLQSIQSWIQAVPLQFVHALLVYLGDSVSYEITSSGLKSPLAPTPSSCCPGIDTPSESLVRWKLRLEYFAYETLQDLRIAKLFEIIVDYPESSPAIEDLKLCLEYTGQHSKLVESFISALRHRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGAPIRDYLRGRRDTIKCIVTMLTDGTSGNSSASGNPGDSLLEELNRDEEIQENFGIDDDFNTDDRQAWINATRWQPDPVEADPSKGSRNQRKVDVLGMIVGIIGSKDHLVHEYQTMLAEKLLNKSDYDIDSEIRTLELLKIHFGESSLQKCEIMLNDLIGSKRVNTNIKATISQPPRTSVEVEDNAISMDKIASTIISSNFWPPIQDEPLNLPEPVDQLLSDYAKRFNEIKTPRKLQWKKSLGTVKLELQFEDRVMQFTVAPVLASIIMKFHDQTSWTSKNLAAAIGIPVDVLIRRINFWISKGVIAESSGADSSDHVYTVMENMAQTSKNGGGSGIAHELLADNEEEDRLGASVENQLRKEMAVYEKFILGMLTNLGSMTLDRIHNMLKMVCIADPPYDKSLQQLQSFLSGLVSEEKLKFRDGTYILK >RHN73933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21782710:21787019:-1 gene:gene9833 transcript:rna9833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MKHFWRFTLKASKSSKFNQLHTLSNPTFIKRYSNSNTPQNPNKVLDLVSFLKPSTSIPNPESTEQIPNQALDLVSLFKPLNQEDKVAILVKDLICVASDSVSVTSILDENSEFLIGSHPVYIQLLNQLDSKPSLLLEVINWRRKRKVSFSDACRNSMQAHEYSKGIKAAGRSRNIDLAVALFKEAEFKGVKITSTYNALMGAYMFNGLSDKCYSLFLDMKKDPTCFPSVATYNIVISVFGRLMLIDHMEATFKEMNELPLAPNISTYNYLIGGYISTWMWGDMERVFQVLNSGPVEPNMKTYLLMIRGYAHLGNLEKMEKIYSLVKDNVNDMPIIRVMICAYCKSSDADKMNKVKALLKLIPEKEYRPWLNVLLIKLYAEGNCLEEMDNAINEAFERRTVVTTMGIMRCIITAYFRNNAIESLEKFTRRSVLAGWRMCYSLYHSKLVMYGSQKNLREMQNVLEEMDSVNIQRTKKTMWIMYKAYWNCGQRSMVLKILGQMFKHGHEVPIDAFPS >RHN42321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37391039:37391464:-1 gene:gene48749 transcript:rna48749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MEVMLRPAPTLVTPKTPALFKPIGVTDFCIGYLSKELRGKSFLDSLRIQNEDEKHVHLGIE >RHN78219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12892413:12893155:1 gene:gene1753 transcript:rna1753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MMLSVAKCLMIPRNFAERYWNDVPNPISLKLPNGSACKMNWVQRGDGIWLQNWKRFAWSLRSGDLLVFQYKGGSDFHVIILDDSKLEIDYSSMRCNDDQANTKESDDDDDDDDDYECVEIPSDSENTKIPLKKKRTNSNGIATTATTPQGSNIHMRKTNINASQQKVSGKLIYFLFFIHLFMGKICF >RHN38467.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000011.1:23992:25207:-1 gene:gene50701 transcript:rna50701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ccmB MRRLFLELYNKNLFPSTPITSFSPFLSYIVVTPLILGFEKDFSCHSHLGPIRIPSLFSFPPAPFPRNEKEDGTLELYYLSAFCLPKILLLQLVGHRVIQISCVLCGFPMLQLPYQFGRSGMDRLNILLGSLVFTLLCGIHSRLALGITSSSGWNSSQNPTTSPTSLPPTVSRTSIETEWFHVLSSIGYSSPFVSLFPIAVSMSLQD >RHN49517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55323643:55324335:-1 gene:gene44358 transcript:rna44358 gene_biotype:protein_coding transcript_biotype:protein_coding MFYPSHPIPTSSNQCFLLNNSSRGNSAAVVIGCFFLIQTKFTSIQSEICHICIDHSISRGCNYTHSCYLIHIQRGVALD >RHN41592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31352457:31353263:-1 gene:gene47928 transcript:rna47928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ZF-HD family MFTSIPLFVILSSLCLFISKPMENKENEEEVVMANITEPTSYVETTKTLNHHDEETLMNSQSNSFEEKFKECRKNHASSIGGYALDGCGEFLPAGIEGTIEFFKCAACNCHRNFHRRENGVVNEENISLPFNNPRFPQPTPFSTVFQTPTGYHHVTGTSRGTTTSLPSSVVHDEAHFPRGDLGEGFVEPIYHGDTYSGGEGSSKSKRFRSKFTHYQKERMLGFAMKSGWKINKQDENLVEQFCNEIGVKCKTFRVWMYNNKHTHGNKH >RHN78053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11536373:11537002:-1 gene:gene1568 transcript:rna1568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSLNSLSGGLPPCVNNFTSMAVDTMNSTSLADDSYSPKNSTFFVPYVVNIFLIWKGVDQPYRNADRLLKSIDMSSNHLTGEIPMEIEYLIGLISLNLSRNNLSGKIIANIGNFKSLEFLDLSRNRLSGRIPSSLAHIDRLTWLDLSNNQLYGKVPIGRQLQTFSASSFEGNYNLCGEPLDIKCPGEDSPKHQVPTTDAEDDNSIFLEAL >RHN50728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9843252:9844138:1 gene:gene35052 transcript:rna35052 gene_biotype:protein_coding transcript_biotype:protein_coding MFNMLNKFPLRFLFFFIVFSCFLLASSSLQTTRNFFSKDEKSSIQTTLDKESVIGFSNGEDLLDKREEFLVKKRMNLEIEDYPGTGANNHHDPKVPGRV >RHN65730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4797384:4797805:-1 gene:gene13608 transcript:rna13608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTHKLVYAIILFIFLFLVANNVEGYILCKTVNDCPPNTRNLRYRCIDGKCKSHRVLYEWDESHTQDITITPCIEE >RHN66605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14865369:14868628:-1 gene:gene14632 transcript:rna14632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC transporter A, ABCA MTNAFTLVTQQYKALLKKNILLSWRNKRSILLQLMSPIFFIFLIFAIDKAIKAQSSTTSAFKSITNPPLIPPPSIKPCEEKFFVHKPCYDFIWSGDDDPKFHTIVERIIKNNPGREIPVEKVKSFRDKGEVDDWLFKHPLKCPVAVHFGQKNGSVISYGIQTNSTSVQKRGQYEDPTFDFQLPLQLAAEREIARFLIGDPGFKWNVFFKEFPHPGIAPFSTVGSMGPTFFLATAMFNFVLQMSSLVTEKELKLRQAMTVMGLYDSAYWLSWLTWETVVTIISSLLLVLTGMVFQFDFFLKNSFAVLFFLFFLFELSMTGFAFLLSAFIRKSSSATTVGFSVFIVGFMTQA >RHN74438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33323427:33324194:-1 gene:gene10511 transcript:rna10511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myrcene synthase MAFPILGTLPFSYTKNILPPLGKKYSSLATCNPNTFSIKTQCNASSKDAKDDSQTITRRSANSQPSIWTYDYIQSLTNLEVKHTKEFLMLKEKVRMMFNKMENEIDQLEFIDVLQRLGVAYQFNNEIGKILDNIYNSQTSMLKNNLYATSLKFRLLRQHGYNISTGY >RHN63677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53120508:53120986:1 gene:gene26408 transcript:rna26408 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNLNRTNRFSSSYYSLSSLVLPLQSPSLAVISASVVAMAFTSHLSAKIVVMVKNCCWVGEEMSCCFWG >RHN55176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15758879:15759416:-1 gene:gene30313 transcript:rna30313 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQDDLDTGLAKLADQATKCNILRFHNAIKVKDLLVEAARYGRSYVVQGMAFIEFAAKVINHIIDMLLMLVHNTCN >RHN62119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40910182:40916318:1 gene:gene24654 transcript:rna24654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SLC26A/SulP transporter MDSNTFSMHSHCVQMEIHQVVPPPHKSTLQKFKVRLKETFFPDDPLRQFKGQTLQKRLILGAKYFFPILQWGSIYSFKLFKSDLVSGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLIYAVLGSSRDLAVGPVSIASLVLGSMLRQEVSPSAEPVLFLQLALTSTFFAGLFQASLGILRLGFIIDFLSKAILIGFMAGAAIIVSLQQLKSLLGITHFTQQMSLVPVMSSVFQNIHEWSWQTIVMGICFLVLLLIARHVSMRKPKLFWVSAGAPLMCVIISTLLCFAIKAQNHGISLIGKLHEGINPSSWNMLKFHGSHLGLVVKTGIITGILSLTEGIAVGRTFAALGNYKVDGNKEMMAIGCMNVVGSFTSCYVTTGAFSRSAVNNNAGAKTAASNIVMSVTVMVTLLFLMPLFQYTPNVVLGAIIVTAVIGLIDIPAACHIWKIDKFDFLVMLTAFFGVVFISVQLGLAVAVGLSTFRILLQITRPKTVMMGNIPGTDIYRNLHQYKEATRIPGFLILSIEAPINFANITYLNDRTLRWIEEEEEDNIKDSSLRFLVLEMSAVSAIDTSGISLFKELKATMEKRGVELVLVNPLAEVIEKLKKADESNTFIRADNLFLTVGEAVASLSSTMKSQSTTTEEAHTIVPHY >RHN71767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2504015:2505647:-1 gene:gene7401 transcript:rna7401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MQAFYFVMLCVISFFALVFPNGFFCLADDTNRETGSLCDKADKNPVNEDTFQTNLKTLLDSLASNVVDSHGFYQTIVGKKPNRLYGTILCRGDISANNCFNCVLNSTRVSSNDCPKSRDVTIWFRWCFLRYSNESFFGDMQGTATAITNETDIDDPSLVSQGLSFMSGVAAAASEKSFMFHTEVLNFNQSEKRYGMTQCTRDISRKDCRRCLDAQLVNFETFIGNKRRWEVHGLNCFMWYDDYQFYSNVSTLLSASWRLSSCRSLVSGITVVVSAALLIVF >RHN78487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15554994:15557680:-1 gene:gene2059 transcript:rna2059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin protein, neddylation MSNKKKKKNNMKKKKDVPIEAFKDMSAEYGDKAWNILEHAIRRIYNHNARNILSFEELYRNACNMIFHGFGEKLYSGLVAIMTSQLKEMATSVAATRTSSFLKELNRKWNDHSKALRKIRDILMYMDTTYIPKTNKTPVYELGLSLWRENVIYSNQIRTRLSNMLLVLVCKDYAGEVVDRKLIRYITNMLMDLGPSVYMQEFENPLLQVSAEFYRAESQKLIERYDCGDYLKKAEMRLNEVIDKVSHFLDPNTQKKITIVVEKEMIENHMLRLIHMENSGLVNMIGDDKYKDLIRMYNLFRRVTGGLSQIREVMTSYIRDYGKQLVTGPERLKNPVEFVQRLLDEKDKFSRIINMAFSNDKLFQKDLYFSFEFIINLNPRSPEYISLFLDDKLQNGLKGISEDVVEITLNKVMVLFRYLQEKDVFEKYYKKHLAKRLLSGKTVSDDAERSLIAKLKTECGYQFTAKLEGMLTDMKTSLDPMKSFYASHPELGDADGATLTVQVLKTGSWPTQSSVTCNIPTEMLLLCEKFLLYYLSNHTDRKLSWQTNMGTADLKATFENGQKHELNVSTYQMCVLMLFNNADRLSYKEIEQATEIPASDLKMCLQSLALVKGKNVLRKEPMNNYVSEIDAFFVNDKFSRKLYKVKIGSVVAETEPEPEKLKTQKKVEEERRPQIQASIVRIMKSRKKLEHNNLVAEVTKQLQSRFLANPTEVKKQIESLIERVFLERDNSDRKLYRYLA >RHN67989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31980131:31981964:1 gene:gene16262 transcript:rna16262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bis(5'-adenosyl)-triphosphatase MILDLTRSTIAQCFIQLIFLLPLSTYVLLSLLHVLICPKREVKRVADLTDDENVELWRIAHKLGRQLESYHNATSLTFCIQDGPQAGQSVPHVHIHILPRKNGDFENNDDIYDLINEKEKELKRKLAVDLERKDRSLDEMAQEADAYRKFVL >RHN59040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6960608:6962742:-1 gene:gene21023 transcript:rna21023 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIF6 MSPVGLLFGNSTEIGFYCKLTNAYCLVGTGGSNNFYRFSIFSCTNEIYFLLEFCIWFQMDWNSVPVLQMNRYMCFWYSAIEAELEDAIPIIKTSVGGGCSGVGRFCIGNKNGLLLPHTTTLEELLHIKRSLPDGVALQLMQEELCASSRFIACNDYVALAHADLHSDAKELITDILGIEVFKPEDYYSFFSGSYCALSNIGGLIHPHASKEVLDELSAALGVPFETGTVNRGSQAIAPGMTVNDWTAFCGSSTTRAELCVIDRVFKLREPL >RHN66270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10217060:10220648:-1 gene:gene14219 transcript:rna14219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEADWSQLPRELLQLISQKLDNSELYLLRFRSVCSTWCSSVPNYRCNNHSFLKQIPQFPNEIKPPTTSNRPAPTSNYVRCLSKQNIFLIKPPNQQTPLRPWLIRIGPDLDGITCLWHPFHLYQKYPLRFAHDLIDFNQLPVLHLGHMFYIHGPDSDQTTRLHYGKVVVATSDVGQPLALLTYDSSLVPKIFRCGDNSWTSIPTMSRSLWGDICLFKGRPCVADKDGRTLMIGPDSTVHLLANPVFGGNVKHLVESECELLLVDCHGIDTSNADKDIRFDVFRLDENEKKWVKLANIGDIVLFVGGDCSFSAKASDLQVRSGNSVVYNISADFSNLDKIQSGMRIFRLDQGRVSKLSGYHNYIRLFWPPPEWIVRLHSHPEY >RHN44492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5354575:5363204:-1 gene:gene38618 transcript:rna38618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-beta-hydroxyhyoscyamine epoxidase MDNMLVSSWFHLHSTVPLSYVQPPESRPGTASVISGKSIPVVDLGSHDHAETLLQVLRASEEYGFFQVVNHGVSKELMDDTMNIFKEFHGMPELEKISESSKDPNGSCRLYTSREINNKDFVQYWRDTLRHICPPSGEFMEFWPQKPSRYREIVAKYTQEMRALGLKILELICEGLGLDPKYCSGGLSESPLLLGHHYPPCPQPSLTLGTPKHRDPNLVTILFQENDINALQVFKDGEWIVVEPIPYAFVVNIGLLLQIISNGRLIGAEHRVVTNSGTARTTVAYFIRPTKESIIEPAKPLTCSGAPPIYKPIAFDDFLRIFMTKGPDIETFL >RHN63710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53425924:53430217:1 gene:gene26446 transcript:rna26446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MGFHLALFLFLASLRLISTQDIEHGSIEVNGVQGIAENDDNFICATIDWWPQEKCDYNYCPWGSSSVLNLDLSNPILAKVIQALRPLRIRVGGSLQDQVLYEVGSLKSPCQNFQKMKGGLFGFSKGCLHMKRWDELNHFFKNTGAMVTFGLNALRGRHQISRKVWGGDWDPTNAYDFINYTISKEYEIDSWEFGNELSGQGIGASVDAAQYGKDLIKLKQNLNKLYKYTKFKPSLIAPGGFYQKEWFDKLLEVSGTGVVDVITHHVYNLGPGNDAHLENKILDPAHLSKVESIFSNLSETIQTHGPWSAAWVGEAGGAFNSGGRDVSNTFVDSFWYLDQLGLASRYNTKVYCRQTLIGGNYGLLNVTTFTPNPDYYSALLWHKLMGKGVLAASSDVFSPFLRTYAHCSKGRDGVTLLLINLSNQTKFILNVHDSVHVSNGENEDAKSNTHMDNSFINQLKKAFSWVGTKLSDVTFREEYHLTPEDNDLNSKTMLLNGSPLKLTDDGEIPKLDPVLNNVYSSIHVDPLSIAFIVYPNLDAPTCFGNSKL >RHN47034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36630300:36631360:1 gene:gene41583 transcript:rna41583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNTKSARFFPNDLITEVLSVLPVKSIIRFRCVSNSWNILISDSTFVKFHLKRSKARNPFFTLITDHFTYTQGESPYGSDDESEYDRTVVPYSIRSLIENPSFNLTVDPYYELNAKGCSGIVGTCNGICLLDSFDGFLLWNPSTRETSKSFDCDFDFSGSDHSGFMFGCDDSTDIYKVVAFSYSHAHLKNDVRVLNFGDDRWRNIESFPAVPLQIYTVVDYVYLSGTINWLAIHNEYCYPESNIKDITVDQFVIVSLDLGTEKYNQYRLPPSFDEVPSAYPIVGF >RHN47164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37605211:37605964:-1 gene:gene41728 transcript:rna41728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative crocetin glucosyltransferase MEKKVITNKVHCLVLPYPAQGHINPMLQFSKDLQHEGIRVTLVTTLYHRKTLQSVPPSFTIETISDGFDNGGVEEAGGYKAYLGRLDLGDMSSFFSTKGENPVLLDLLVGQFSNIDKADWVLCNTFYELEKEV >RHN70612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52730349:52731354:-1 gene:gene19214 transcript:rna19214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKFIQLFCFLSLSALFNTKALQGDKPEAVVDKQGNPLKPGEGYYVFPLWADNGGITLGQTRNKTCPLDVIRNPEAIGSPVYFYEYEHDYIPTLTDLTVEIPILGSPCSERKVWKISKEGTRARFWFVSTGGFPGNLFSQFKIERLEGEHAYEIYSFLYCPSVPGTLCAPVGTFVDTDGTKVMALGAGIEEPYYVRFQKASTFTQNKNQDFSGV >RHN51174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14808828:14810540:1 gene:gene35560 transcript:rna35560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MWNSIDMIRSLLDRPLSHVLYFLPPKTCVTISLLSRRWCCYI >RHN62590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44548262:44548908:-1 gene:gene25182 transcript:rna25182 gene_biotype:protein_coding transcript_biotype:protein_coding MRGISSNFFKIELFTPSLCTFKFTGIPFHKICGSGLSSVKQVNIAAVMYSIGDKAPMVLFNWLREFTNVKSLIVSSTTLQILSLVPDLLEVELPSFGNLKSMEIKLEPIEVQLGLPFILKDAMLKRAIATSRKEAAKVRKAFKAGWKPPSIPDGIVNFLLQNSPSAKVDITTIY >RHN55274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16642462:16647682:1 gene:gene30426 transcript:rna30426 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEMNNTDLRNPYEGENERNRGLRIVNEISKCMRGGRWMFLVLPCFLLPNESNEHKWVLLASFSSYLSYFIIFSIINL >RHN72138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5308715:5310506:1 gene:gene7816 transcript:rna7816 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MKQIIFFTLLVLFAQIFFTTSSAQSPAAAPKAAAKPAPATPAPATAPAKPLVPSLPQPPSSDSSSGQDIIKILRKAKSFNTLIRLLKTTQIINQINSQLVTTKNGGLTILAPDDGAFSQLKAGYFNSLGEHQQKELIQFHVLPVYVSSSNFDSLSNPVLTLASDSPSGYHMNVTAYGNNVNISTGPVNATLTGIVYSDKTLAIYHVDKVLIPLDFSKPKALAPAPSTAKAPKADKDSSSEDGDQGETTKATSGANNLISHQGTMFVSLFVVSLKLYVL >RHN47275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38435096:38435947:-1 gene:gene41852 transcript:rna41852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MMKMKVVCALMIMVVLIVEVATIAEAANCSPIELSPCLGAITSNAPPSTNCCSKLREQRPCLCGYIKNPNLRQYVNSPGSKRVASACNVVIPNC >RHN54973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14090276:14092788:-1 gene:gene30087 transcript:rna30087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDPNPNPNDFPILCFLLNHLHPQTHPPLPPQLHQNLLTNFPHLTNSKLIPSLTHLITNLNITQTLSFLTTLGPRPNPSSVAASRDVDVHVYQALLRVEDMHDQCVKQLRVAEEKLVEGYGVFVEKMKEEVGDEDVNEGVGGLLRKGEEGEVVEKVDFSGMKLRIFPEGVEKMKGLVVINFANNQLQVIPDSITGLQKLAELDMSSNLLPSLPDCIGLLVNLKVLNLSGNKLTTLPESISLCRSLVELDVSFNNLMCLPTNIAYGLVNLEKLSIHLNKIRFLPLSIGEMKSLRYLDVHFNELHGLPQSIGKLTNLEYLNISSNFNDMTQLPETVGGLVNLKELDLSNNQIRALPYAFCRLEKLTKLNLDQNPIIVPPLEVLNQGVEAMKEFMAKRWLEHIDEERQKNMAETQNQQAQTGWLAWGTSLLSNVAGVSESVVEYFGVRKAPRDTWMEQQL >RHN58780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4842614:4843371:-1 gene:gene20724 transcript:rna20724 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHVKTILSKTEKQESNDRGASMGPSYQNDRLPSSLISFDETVSRQVKTIFSTTEKQESNDRGASMGPSYQNDRLPSSLISFNETVSRQVKTIFSTTEKQESNDRGASMGPSYQNDRLPSSLISFDETVSRHVKTIFSKIEKQESNDRGASMGPSYQNDHLPSSLLSFDKTIRQSIQSILPEENNIVKGILLEEKNAVKSGPVPSLLKNYRKNAVDSRPVPSLLKNYRKNAVKSRQVPSLLKNYR >RHN64745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61479476:61481152:1 gene:gene27597 transcript:rna27597 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEHVHIIKDSNYDEVESVGEEEEEALSLCDLPLNENSSESLEDKLFSINNIQRPTSLPESNEFFNGFSSSSSSDMCPADDIIFCGKLMPFKEIFNDQRNENLNVESNKSRKNRRRSESVSLMIRSNSISGGGSNHLMMRNSRSLNYCKLREYSSSFPISKVPEVDRNSSIRSAASMEGVAKKAMKPRWYSLMFGKMKNPPEMELNDIKNRQVRRNPSKSMFPASETSGNLNLNRSSGKVSWKILKALSCKDHNSVAVTTTFSLPQTSS >RHN49695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:354680:356110:-1 gene:gene33900 transcript:rna33900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MLLPLFWNLWQVHDLVTEALKQQHRSTCEFMGPWFTNMNYLVTSDPMNVHHMMSKCFDNYVKGQEFHEIFEAFGDGIFNADSEKWKYNRSLFHSIFKQRDFELFQKKIIQNKLERSLIPLFDHVQQQGSVVDLQDVFNRFTFNNICSVVLGCDPNCLSIDFPDVACEKAFNQIEECIFYRHIVPKSVWKLQKWLQIGEEKKMTKACKVFDQFVYANIESRRKDIRKCSKNMEMVDLLTTLMKEEKGSQVVVHDDKFLRDVAFNLFVAGRDTITSALTWLLYLIATHPLVEAKILEQIKENFGTINIEKKLGIYELKKLVYLHGAICEALRLFPPIPFERKQAIKGDILPSGHIVNPNTIILFFLYSMGRFEEIWGKDCLEFKPERWISERGGIVHTPSYKFISYNAGPRTCLGKDLSFIQIKMTTIAILSKYCVQVVDGHVPILSHSIVIVMKNGLKVKITKMIFFLKEDKLAYLN >RHN59328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9590720:9597473:-1 gene:gene21339 transcript:rna21339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cadmium-transporting ATPase MVAMLPSSTITQQPISPWIHGSIPISNLTSSPSFKSRRLTLLNSQFRAALVEAKPSSQSSVSLSASPKDDTLKALALSKDRAEDIQAEAKALARAVNASVYSPQLVASRYGSKPLKVAGRAFQIVFNLGSFGLKLLWEQRNGVADQNKRIRAIELRNIFTKLGPTFVKLGQGLSTRPDICPSEYLEELSELQDGLPTFPDEDAFECIERELGLSLDSIFSTISPSPIAAASLGQVYKARLKRSGKVVAVKVQRPGIEEAIGLDFYLIRGLGFLINKYVDRITTDVVALIDEFACRVFQELNYVQEGQNARRFKKLYADKEDILVPDVFWDYTSAKVLTIDWIEGVKLNDQEAIERQGLNVLDLVNSGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARFAIIGHVVHLVNRDYEAMAQDYYALDFLSRDIDVSPIVPALRNFFDDALNYTVSELNFKTIVDGLGNVLYEYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKFRWNRLENLLVQGKKDRDFSAKEALQPVLKVLLSPDGEVLRNLVIKEAIRVSEAFTLGTISETYRYVPDFLRNLVFNGNAKDPLMMSETERQSIVELRDQVIRIWRILQSSNDFDPSLLLPILQVLQQPEARRLGGRVVGGITQRLAARFLMQLLGAPKTTST >RHN45651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24131136:24132154:-1 gene:gene40032 transcript:rna40032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 2-beta-dioxygenase MGHESTYFKENCLPNTCYLRLNRYPPCPIASEIHGLMPHTDSDFLTILYQDQVGGLQLVKDKKWIAVKPNPSALIINIGDLFQAWSNGLYKSVEHRVVTNPKVERFSMAYFLCPSNESVIESCKKPSLYKEFSFQEYRQQVRDDVQKLGTKIGLPRFLLF >RHN42158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35947232:35951877:-1 gene:gene48563 transcript:rna48563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MGDVRLNRVDQGQAKIRNVPIAVTPEGFWCCPSPVVFQKSHKPQNPLNKTKPSSSPPQPQPKTSVQKKPVAVQVTERRGSAPAPSRLVISDDQQCSIGVERSLAGTSLAAERVSSRPKIETMPRKVAIEFGEPGTCDMKVVLLGKQGFSVKLSVHRDVLIEKSSFFSEKISEQSDMSCLQIGDCEDVEIYVETVGLMYCKEMKQRLMKQNVSRILRILKVAEFLGFSSCVQSCLEYLEAVPWVGDEEEEKVVSTVLQLQGEGIGVNPVLKRISSDVSNVPKDTLSHIIELVLKSNEEKGRREMKSIVLKLLRENNSLPSYARSTDSCNDMIYRSCRSCLDSLVSLFKQAAEPTFADKPSDGRDSVVKHIALEADNLSWLLDILVDKQAADEFALLWANQQELAVLHAKLPIVSRYHVSCISGKLYVGIGRGELLPLKDTRQLLLQTWLQPLMSDYNWLQHGCRSFDRKLVEEGIGRTILTLPLEDQQSILLSWVGSFLKTGDSCPNLQRAFEVWWRRTFIRPYVENQGNAVSDSSTMSKKDE >RHN62631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44825797:44829300:-1 gene:gene25226 transcript:rna25226 gene_biotype:protein_coding transcript_biotype:protein_coding MKWCLLGKWGMKKNIQFSFPPCVEIGYRSLRSDAALEAIAKASEDKVPNIVLYNYPSFSGAFSSLFAHLFHTRHNLPSLSLPFSSVPSLAFRVEDLCIESLQTCYLLDFLPPNEFIFKLSHQSNCKIIGFDHRKSVLSQIPSTNECPENIMINLNHEKSSSRAVYEYFTDKHEDIKTSNGVVPSLVDSKDKGRVELILKYIEDADLRHWSLPDIKPFNIGLSEWRSRFSCISNPYMFKQLLELSVEELIAKGNSSLLARRNAASKLLDKVFRVRLGRGFYGECLGVRADGNSNLSDEIGMLLSVKSASIGLRPIGAVIFMQRNNLKMCLRSSDNATDTSEVAKAYGGGGSASSSSFIIRMDEYNQWLSANSL >RHN73840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20542579:20545668:-1 gene:gene9722 transcript:rna9722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine adenosyltransferase MATETFLYTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRKTCRNIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKRPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNGTCPWLRPDGKTQVTIEYFNDKGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILNIVKETFDFRPGMISINLDLKRGGNNRFLKTAAYGHFGRDDADFTWEVVKPLKGGKLATA >RHN53234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:279385:281815:1 gene:gene28122 transcript:rna28122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S14 MGHSNVWNSHPKTYGPGSRTCRVCGNSHGLIRKYGLMCCRQCFHSNAKEIGFIKYR >RHN58027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43188570:43188932:-1 gene:gene33632 transcript:rna33632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated domain, type 1 MDGVSHWWWWDIKETNTWCWNKTYTYNICLSSFDFSNESFITTPIPSYINDGAKDNLVKRHLMVLNGSIAFMLNHTKTSTFHILILGELDVKESWTKLFIVGPLHYLEYSKSLEAHSLWD >RHN76469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50624711:50628902:1 gene:gene12801 transcript:rna12801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bicarbonate transporter MNADMKSFKTPFKGIVDDFRGRAVHYKDDWISGLTSGTGILAPTMYIFFASALPVIAFGAQLSRETDGSLSTVETLASTAICGIIHSIFGGQPLLILGVAEPTILMYTYLYNYAKNKEGLGRELFLAWVGWVCVWTALLLFLLAIFNAAIIINRFTRIAGELFGMLITVLFIQEAIKGMVSEFEVPKEGDPTLDKYQFHWLYANGLLGIIFTFCLLYTSLKSRRARSWLYGTGWLRSFIADYGVPFLVDMGKVSLEHIFAAFVPALMIAGLYFFDHSVASQLAQQKEFNLKKSSAYHYDILLLGFMTLLCGLIGLPPSNGVLPQSPMHTKNLAVLKKQLIRRKMVKSAKESIGKKASNSEIYGMMQAVFIEMDNDPNEQRVSNLLQSLFVGVAVFAMPAIKMIPTSVLWGYFAYMAIDSLPGNQFWERILLLFVRPSRWYKLLEGDHASFVESVPFKHIVLFTLFQCVYFLVCFGVTWIPIAGMLFPLPFFLLITLRQYILPKLFSPNHLMELDAAEYEEIPGAPRLSFNISYKEVESPKVGSKEIGNAEILDELTTNRGELKVRTIYEL >RHN53271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:529278:529979:1 gene:gene28162 transcript:rna28162 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKWICQLLSLATLLISYNVYVCECQEPEALPPSAENCNGVFISYDFLDRRKGFPRVKNVTAQSWSFNATATVLNTGKDVLKAWKLFIGFQHHEILVSANGGIPFEAGDFPSSVGNGTTLVGSSLPDLETSIDTANDLSQIQALIQIAGTQFGVRPPAIPMPKNIKLVNDGFKCPRPSVRSEYFSSSKHHYYYHTFFFFFEEEALLLSYYHVIFHVDPSNSRVRTSTSIYTM >RHN40135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13557684:13559482:-1 gene:gene46244 transcript:rna46244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-alkenal reductase (NAD(P)(+)) MKMQKAWFYEEYGPKEVLKLGDFPIPSPLENQLLVQVHAAALNPIDSKRRMRPIFPSEFPAVPGCDMAGVVIGKGVNVKKFDIGDEVYGNIQDFNSMEKPKQLGTLAQFIVVEEKLVARKPKCLSFEEAASLPLAVQTAIEGFKTGDFKKGETMFVVGGAGGVGTLVLQLAKLMFGASYVVSSCSTPKLKFVKQFGADKVVDYTKTKYEDIEEKFDFLYDTVGDCKKSFVVAKKDGAIVDITWPASHERAVYSSLTVCGEILEKLRPYLERGELKAVIDPKGEYDFENVIDAFGYIETGRAWGKVVVTIPHHHSTTILSEIDTNTLQLNGLAAKNLCLK >RHN76724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:718922:734570:1 gene:gene94 transcript:rna94 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase-like ATPase domain-containing protein MERGMINHNPKKRKLVLNDDDDDTGIRKMRKFKVLLPNGTSVELKVLNTENAMHFGEFVGLIRTRYLQVQRKNESMRKKREINWNSGGLFLEDVSDNKIKNVVDFKNFIPNKCHILRLNDGKGDVADTFENMWDLTPDTDLLLELPEDYNFEAAIADLIDNALQAVWSNEKNSRKLIRVNVTNKKITIFDNGSGMDDSNENSLVKWGKMGASLHRLSKSQAIGGKPPYLMPYFGMYGYGGPIASMHLGRRTFVSSKTKHVKKVYMLLLQREALLKRSNSEATWKTNGGIREPLQDEIRDSHGSFTKVDIFEPKVKDVDINKLQCHLKDIYFPYIQNDDLSERGKTITPIEFQVNGVDLTEIQGGEVATTNLHSCNGPEFVLQLRMSLVQDHGSREFQEANARLRFVYFPFSEGKESIERVLENLTADGYIIKESFENFSRVSVRRLGRLLPDARWTLLPFMDWRNKRVLTNKTRILKRCSLRVKCYVETDAGFKPTQSKTDLAYHNPFTIALKNLGSKISYKDNDVSVEISTASKMLNPLQLEKEYNNWILQMHTRYDEEADAGDDKPVILVNPPNKKALGISDDVVRVHRVLKRKEKTWSHGQRIKVLKGACPGCHNNNVYATIEYFLLEKFEGDPGGEAQIICRPIDIPEENGCSLDVSNDENPTLNIGSSLSLPLSVIDAEKLLPVESIEWERRLNKIQQKSPACIDSPGSNRHKHYKRKQIDVVDSISKSFEKRVTGKPSHCAKKYELLTDDQSPELDVRVGSTFPTLAIACYDIHGNRAPFQTIPDVTVQLQAAKDLYFKVHGTKIGLSTDKMILKIMDAMVTLTSNDLDKIRPSYMTNLIIASENIPLSLSFPCRVSPAYPEHVELKPNIREDQLIPGFIVKELVLEMFDAYRNHVSEGMEVNIVVDGFEMLNHCSAYKVADKGKIDLSGLLKLTAGYGENASISVTFEGRPIFKQDFSIARRILRIASKVPDFCAAGGQLENVKFEIVNIDGDVDTKIHHDNQDYQFHMLTIKSDLINAEESIRYLFKQGCCTVPFIRVPEIEGTFCFEAFHSQYTKLCLAVKVRVIKMSNAKDVAQLLSPDKNIFPLQELSPFNHENNLMISVLNSEGKICQLGSKIKKVEEYLKTCHEEKAEKEKEMLKLRDNVNVNTKEEVITKIKSMENSATSVLCSLSAHEKQQNHFLEDIIGVVALLGSVKSPKLSRMLAEYLGEDQMLGVICRSFDTAVSLYKQNGEIDCLHAEAAGLGEAIISKRFLVMSFEDLRPYKGYLQEHDPQMKLALPNPKLHNGRTPAGFIGYAVNMIDLDSHHLQTRTALGHGLRETVLFSLFKKLHVYETRENMVDALDCIEDGAVSLDGGIIRENRALSIGYGNPSVYFPCTNKLDISPEAMGILTQIEEELLLIEEKIDKATNYHQKCLHKFERKEKKYKKLMDKIEPMAELLEPKPEIGTLVNLAD >RHN46141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29114312:29116185:-1 gene:gene40584 transcript:rna40584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MRCTILTNSFLKIIIFLILQTLPLTTQTKILTLPLKSQVISSGYLPRPPNKLRFHHNVSLTVSITIGTPPQNVSLVIDTGSELSWLHCNNSTNRVMPDPVFNPNFSSSYKPISCSSSTCTTQTRDFPIPASCDSNNHCHATLSYADFSSSEGNLASDSFGFGASDNPGIVFGCMDSSISTNSDGDFNTTGLMGMNLGSLSFVSQLKIPKFSYCISGSDFSGILLLGESNFSWVGSLNYTPLVQISTPLPYFDRAAYTVRLMGIRVSEKLLNLSSDMLAPDHTGAGQTMFDLGTQFTFLLGPVYSVLREEFLNQTNSTLQVLDDPNFAFQVAMDLCYRVPLNQSKLPELPSVSLVFDGVEMRVSGEQLLYQVPGRVVGNDSVYCFTFGNSDLLGVESFIIGHHQQQNMWMEFDLVKSRVGLAHVRCDMVGQKVLGFV >RHN51849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26705148:26708603:1 gene:gene36394 transcript:rna36394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molecular chaperone regulator BAG-1 MLRMRNNHNHGNKTNGVSSPISSGGCRAEPGSNEWEMRPGGMLVQTRTTDSNRNPALVPTIRVRVKFGSIYHELNISSQATFGDLKKMLSGPTGLHHQDQKLFYKDKERDSKAFLDVVGVKDKSKLVLVEDPISQEKRILELRKNAKMEKAAKSISQISLEVDRLAGRVSAFESIISKGGKVVESDMLGLIELLMNQLLKLDGIIADGDVKLQRKMQVRRVQKYVETLDMLKAKNSMTNSNDVNAQVQPQQKHSNGKRLETIQEQPQQIHSNGYHGSIVPIQEQQQEQPRKNPNELSLVFQEQQQHQPSGVVVTTKWETFDSTPPLITFPSTSTTTPSMTNNNSGPPKFSWEFFE >RHN61208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33859891:33864496:1 gene:gene23647 transcript:rna23647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome assembly chaperone 2 MKFIPEEGKHLHEDCSTLILPAVSIGNVGQLTADLLVSSMGSEKVGYLDDPYVLPCVGNDAYGPFPQGDLALPLEAYDSPSNGLTVIQQRSPVIKGMMLEFAKNMADFIAGSGKKHIIILSSLDFGKWQKVDMSSGLQIYYLSSANSNGADENCEQLGWKKLQEYDPSQKHWKYLNDLAEGNATPEDTTSIEDELEEENYYASLPFAALFSFLKAKGLKVTCLLCYCSEGDNTSDAFQLADAACKLLRLSHPNSGIEGGKWRIPLSWMSVYGPPPDVSIF >RHN56916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34727690:34729220:-1 gene:gene32382 transcript:rna32382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MAARCNGSILVVMSLLLLVTFSNVAEAYGRAKLRPQDCKPRCTYRCSATSHKKPCMFFCQKCCATCLCVPPGVYGNKQVCPCYNSWKTQEGKPKCP >RHN74151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29289454:29291994:-1 gene:gene10152 transcript:rna10152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zein-binding domain-containing protein MEEIQTMCRTRSDVSVVGATETLRAQQQLLQKLYVELDEERDASATAAREAMDMILRLQGEKAVVEMEASQFKRMAEEKIGHAEATLEAFEELLYEKEMETASLKFQLQAYKDKLVSLGCDLNASEFQYVQSNTSDQNGSPVMRRLKSMPPIRFKKIPTLRESVDRKGERSSASAQVSGDQEPSLVCGSGTLDSYWNKIRMLHEQVKLISDSNNIGTNLRNKGGRLCSILSQASNKINSESTLARTAFSDHSCSTNVHDVFEVPQATGKHEFSYNEGKRMLEKRKSVADIRLTKLESVSKGMVESHFKHDADKGNGTVTIAGQEKEWMGFDHNSQDEVDQRIVRLQRQRASRRYHDITCGFESGYGEEQLRLLKDIKTELKLIQSEMSG >RHN76898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2220079:2220615:1 gene:gene287 transcript:rna287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MEKKESQAENATKNNKMSQITLPGLLNFIDGIWSASTGERLIIFTTNYAEKLDHALICRGRMDMLIELPYCCFDGFKMLATKYLSLESHFLFDKIACLLVETNMTPADVAENLMPKVDNEDVATPLLRLIQALRSIEEEAEKEEGTSAKQESDGEDSSAEKKEDAEMVTSSMLRLESY >RHN50535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7976732:7978758:1 gene:gene34831 transcript:rna34831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MEFEEDIIHRKLSPKLIGQILSFLPTRDAVRTSVLSKKWAKNWTFITKMDIDDGLYYDESHSIQNCTRCKDECNWEFYVSDKVGFADFILCKECYIEHHVLNNNGTNLRPTELREVKVGGGKKFGEHFIKFVYKALLLTQQNNPNSSMLERFSLVIDNRHDIDHLNTWISHILKRGVKNLKIHSPFFKIPFYDSTSSYLLNSTLLEGLEFVLRMFTIINVPTNSVHFGHLKHLKLFGIFFDLSSDIFTLSLPTLKTFVIKNCDWSIGKELNVEASLLEVIFIHQDFIDLPGRSIKFNASCLKEFSYYGDGISHQINLSGCGFLSNASVKISLKECENIIPNTYCFAFQLFHHFHQVKCIKLEGSEVLTQSEVVYPVNALPELGNLIHLEIGLVSIEVVLGLLQRSPVLKTIVLKKIRMFDKERLNLAAVPECLISALQIVKFQNINGDKQELLLAEFFLEKGKMLKRMSFYIDPKLIKFESVADFIQKKVCSFKRGPSFSFFTYHKCY >RHN56510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31513161:31515723:-1 gene:gene31918 transcript:rna31918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fatty acid desaturase domain-containing protein MAISTSIHHISEKRETLGQETMVVTNGGYNYNHSEFDPSAPPPFKIADIRAAIPKHCWVKNTWRSLSYVLRDVVVVTALIVAAIRFDNWYFWPIYWPLQGTMFWAIFVLGHDCGHGSFSDSSLVNNFVGHILHSSILVPYHGWRISHKTHHQNHGNVERDESWVPLTEKVYNSLDNMTKFVRFSVPLPLFAYPIYLWSRSPGKSGSHFHPYSDLFSPNERKEVTVSTVCWLLMFSLLLYLSFTTSPLLILKLYGVPYWIFVMWLDFVTYLHHHGYTQKLPWYRGQEWTYLRGGLTTVDRDYGWINNIHHDIGTHVVHHLFPQIPHYHLVEATKAAKPIFGDYYREPEKSSPLPFHLIKYLLQSMNEDHFVSDTGDVVFYQTDPQLHKKHNE >RHN68930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39694492:39695899:-1 gene:gene17351 transcript:rna17351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MKRFNNSETMASLLSMYQSKEVDVDQRNEAKGYSEEFQAMLDRLEQEDSYEDGSPMLEKKRRLGYDQVKALEKSFELDNKLEPERKVKLAEDLGLQPRQVSIWFQNRRARTKTKQLERDYSVLKSNFDVLKVEYTNLQQENETLTRKLRELKAKLLRVESNELEKEVECPISRGIERGGSESNSSGIIKEESNVDSPMCFNGSLPSSMINLVHYYDSRGSQENVFQNQFMRMEEQNFLSNSELFSFFSVDQSPTL >RHN59898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14542061:14543605:1 gene:gene22066 transcript:rna22066 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNGRSVSRMAALFWVYFCWVVLLCFHFIFCCCFAWPRTMCCYEIMNRWLSIMGSGVVFWISVMAASLFLVFWQKHVAVLLLKQLQ >RHN68447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35616359:35617136:-1 gene:gene16806 transcript:rna16806 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRSPMPHHHTLQIVLFALFFSISYLIIKFLARSGSPLHPSLPLLSPSLCISPCDFLHIFLLQFYHSKLINWNFSITVGFS >RHN43990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:563135:563666:1 gene:gene38034 transcript:rna38034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling ARID family MASTSCFFNNNEFPMKVVAPMSHSYPEPLAKYDDVVANPKLFMLTLEKLHASMGTKFMVPIIGGKELDLCRLFIEVTSRGGIEKVRCQLFCECCFVKINISSIFMKNTS >RHN41288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28902765:28908210:1 gene:gene47593 transcript:rna47593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Iwr1 MAESCSAPSPAPAKPVVVRVKRKPFHSPLDAFWLEINERPFKRPLLDFGNLSISQSQSSSQNVEIHNKKVLVQHVETISSSDVTLDIVQSFVEPGSRSASESKPNVVERKNFFKKVKKQDQLLVKAKQEKQFSAKDARFEQIWKSRKVNKATADENVLQEICQFYDIVRVDSEENIKEVQQEDISLEDQRLLSSFLPLLREVIPNAAAEVEADISDHSKQEDYVYDLYTVTDETIVEENSSHSYPLVQVDEEDYFDGPDNSDYETDDSNAEDNPMNDYPDEISEDEGSECESEESKHSGSSNELSDDDEDVDDELRRFAKGLTSDPLYDEDFDDYEGQGVGYDNDEDGDEDVGNEH >RHN55911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25374911:25375318:-1 gene:gene31196 transcript:rna31196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MSKEMGQSAGSVGSSLRKRAITECRCGEESVVRTVTDSYNPNCGKKFWGCRNYKNQFDKGCSFFKLVDEEFTDDRDLKIAKLEKKNTKLKNELGKTRFWLKMSLIVGLFWFGVCLVLGTILLCRNSGNWSHVYLK >RHN46237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29860592:29865024:-1 gene:gene40694 transcript:rna40694 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNLTSIRLGQFSSIPLQQFPARLPQIVLWQFHLNIISVCIRSHI >RHN67465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27482110:27483619:1 gene:gene15657 transcript:rna15657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MLWSCFCHRCPPVHVDEVIKKYKNAKSNVEELQKLGAYVLHGVDATAMKFHPDLKMRRFDRVIFNFPHAGFHRKEDNLMMIKMHMDLVFGFFKNACHMLRANGEIHVNHKTTPPFIDWNIEKLAKQCFLTMIDCIDFNKEDYPGYNNKRGDSYRCDDPFPLGKCSTFKFICNPRSMKNHLRGNHIEISRQQTILPFHEEIQSIAQFPPPVDLNYLPRTSLFPKMNQFNCYPQTNHFPKLNEPIRSEFDLRNGYNTISREINNVTEIHGSVACSADGYRYARDMTQDTRRLVPPMESLQSLQPWPTPTNCRYSLTEPLRRTMDMAPPLSLGARNGDYQYKAFEGSSSYMQEELYRNAQRPSYFQEEPYRNAPLPSRSFDIARYDLERYNAEVPRRVFNREIYAMQ >RHN80888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41584911:41589742:-1 gene:gene4864 transcript:rna4864 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-GSK family MASVGVAPTSGFKESLGDGEIGVDDILPEEMSDMKIRDDREMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYSKLNQRMPMIYVKLYTYQIFRALSYIHRCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPAEAVDLVSRLLQYSPNLRCQALDCLTHPFFDELRDPNARLPTGRFLPPLFNFKPHELKGVPVETLMKLVPEHARKQCPFLGL >RHN55408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18033371:18034849:-1 gene:gene30583 transcript:rna30583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MYLKQSKYVNELLKKFNMEKSSSCPTPMITGKDFTVKAELMNNPSLFRKAIGALQYLTNTRPDITYAVNKLSQYLSSPTILHWQGVKRIFRYLQGTKNFCLHIKPGADLDLTRFSDADWATSHDDRKSIAGQCVFLGETLISWSSRKKKVVSRSSTESEYRALADLAAEITWIKSLLQEITLPITRVLTLWCDNLSAKALASNPVLHARSKHIEVDVHFIRDKVLKNQITVAYVPSVDQTADCLTKPLTHTRFNHFRDKLGVVPAPTSLKEGVKEQ >RHN39957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11900264:11900680:-1 gene:gene46041 transcript:rna46041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MASFNGFYDVVTIIIGFTLLFTTSLCSEISQPPSGGPSNDVASAPKPLSPYEKYLTNCASKLKPAECGKQIFSGVFVGNRIISYYCCHSLVNDVGKSCHYDLTRNALQWPAYAKNKTEILKRNDKVWNDCIAVRPILP >RHN72855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11260772:11263619:1 gene:gene8617 transcript:rna8617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MNPLGLSATAFCCVVFVFIGGVPFSNAQLDPSFYNSTCSNVDSIVRGVLTNVSQSDPRMLGSLIRLHFHDCFVQGCDASILLNDTATIVSEQSAPPNNNSIRGLDVINQIKTAVENACPNTVSCADILALSAEISSDLANGPTWQVPLGRRDSLTANNSLAAQNLPAPTFNLTQLKSSFDNQNLTTTDLVALSGGHTIGRGQCRFFVDRLYNFSNTGNPDSTLNTTYLQTLQAICPNGGPGTNLTDLDPTTPDTFDSNYYSNLQVGNGLFQSDQELFSTNGSDTISIVNSFANNQTLFFENFVASMIKMGNIGVLTGSQGEIRTQCNAVNGNSSGLASVVTKESSEDGMASSF >RHN79736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31701638:31702066:1 gene:gene3568 transcript:rna3568 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTNNNKKKKKEQDVLLTSAKGKKFQTPQYCKEPAASNQSIEEKKINEGETKLGSKVVKNFDLDELPSDDMKNFDLNELPSDDAKNFDLNEYPIDDVKNFDLNKLPSDDVKNFDLNEYPIDDVKNFDSNVLPRNNFEDEDI >RHN72152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5430869:5434164:1 gene:gene7833 transcript:rna7833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MADHSSSNGNHETVLNVNGDASQNCDESCIQDYVPLLQKLVAEVVGTYFLIFAGCAAVVVNLDNDKVVTHPGISIVWGLTVMVLVYSVGHISGAHFNPAVTIAHASTKRFPVKQVPAYILSQVLGSTLASGTLRLIFNGKENHFSGTLPTGSDLQAFVVEFIITFYLMFVISGVATDNRAIGELAGLAVGSTVLLNVMFAGPITGASMNPARSLGPAIVHHEYRGIWIYLVSTTLGAIAGTWAYTFIRYTNKPVREISKSASFLKGVQNGGAK >RHN46393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31302127:31304091:-1 gene:gene40875 transcript:rna40875 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIALKSLHPSFKLNKIKCNIHCKRLHNTRSYTLCLCKSNGSDSQAPQPGDTKKQELLAQIVTLQTRKIRLTEYLDERSAYLTQFGEKANSEFEKIGEDALKELDEASARITANMESQMLEFEESAEINRQEIQERENKLAEFEVQMENGRNEGLFFKNLRKKVPVVDKAKAKEEAEKIKDVTRLKAGSGIRKNAYLFFIGLLTFTVVYSIASPSTDWRKVAVFGAILVALTSQFVYEQNMSAETRTIRKTNNEEENK >RHN64155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56993018:56993755:1 gene:gene26941 transcript:rna26941 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYKVHSSWTKILVLPIDFISTRYFLPLCSTKSGDIIGTDGSVGLVQYNDKGQLLDNQSNSHERGGYEVTVHTESLLSLPGEQDLPED >RHN58934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6052481:6053116:-1 gene:gene20891 transcript:rna20891 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKSKRHLKLFYKSLAIEKTHLSSYGYTISATTPKIKSSMLFTIFICFTIPINYPTERRIRNCDAKTYGKK >RHN56099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27694000:27696797:-1 gene:gene31436 transcript:rna31436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trehalose-phosphatase MTNQNMLGKSRVIVNEKILELAMSISNSNVLPRTSMPELMALFDGLLGQRKNNLIKPLEDDNDHKGATKVNAWIDSMRASSPTRTRHDSENRDQTHWTLFHPSALNMFSKIMYNTNGKQIVVFLDYDGTLSPIVADPDKAYMSKKMRVTLKDIARHFPTAIVSGRCLDKLFSFVRLAELYYAGSHGMDIKGPTNRRSTKKGNNDGVLLQPASEFLPMINEVYKILVEKTKCVPGAMVENNKFCLSVHFRNVDEKSWEALGEQVSLVMNDYPKLKLTQGRKVLEIRPIIKWDKGRALEFLLESLGFANSKGVFPIYIGDDRTDEDAFKVLRNRGQGCGILVSKISKETNASYTLQDPSEVGEFLRHLVDWKRTSSRSHKL >RHN80830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41133127:41136996:-1 gene:gene4797 transcript:rna4797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MSKAELSRMKQPLVPLATLIGRELRNGKTEKPFVKYGQAGLAKKGEDYFLIKTDCHRVPGDSSTSFSVFAILDGHNGISAAIFAKENIINNVMSAIPQGVSREEWLQALPRALVVAFVKTDMEFQKKGETSGTTATFVIIDGWTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENVEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEYIVPIPHVKQVKLSNAGGRLIIASDGIWDTLSSDMAAKSCRGVPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDYPVLPMPATPRKKHNVLSSLLFGKKSQNLANKGTNKLSAVGVVEELFEEGSAMLTERLGNNVPSDTNSGIHRCAVCLADQPSGDGLSVNNDHFITPVSKPWEGPFLCTNCQKKKDAMEGKRS >RHN59532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11732830:11733525:-1 gene:gene21574 transcript:rna21574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRKKMKLAFIVNDASRKATYNNRWRGLLKKVYELSTLCGVEACAIVYGPYEPQPKIWPSSQGVQTVLSKFRTMTERDKSKKMVNQETYMKERVLKAKEKLKMQRHDNKEKEMAMLMFQYLYEGNFMQSNMSLVDSKHLCWLIDQKLKEVGRRLGEEDNNGQHEIQFQMAPPLTSRNEEMARMGHGHAGMTVNNGEIIESQLLMGLMMNGNGDETVPFGEVDPRFLPNIMP >RHN45882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26518134:26522735:-1 gene:gene40306 transcript:rna40306 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTDSLITITHLNHTNLILHWVGQLNPAILTIITIFRVKISFANYILS >RHN74878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37411436:37412529:-1 gene:gene11009 transcript:rna11009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MENTMFVIWKREEKNMYEILKYVYVMLIMIFIFLVACNAEAGEREEITPCNTDFNCPSSLCTFPLKLLKPICTNHQCICQHI >RHN82768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56220834:56226786:-1 gene:gene6953 transcript:rna6953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling ARID-HMG family MASTSCFSKSPLPMKETALSHGEYPPPMATYEEVVDNPKLFILCLEKLHTLMGTKFMIPVIGGRELDLHRLFVEVTSRGGFEKIIKDRKWKEVTLVFNFPSTATNASFVLRKYYTSLLYHYEQIYYFKARDWTNTTSDVLQSQSSIPAPAPKMQFSHPSPQVQPAVFQQLKVNSAPPEAMGSSSAGSQVVGVIDGKFDSGYLVTVTIGSEKLKGVLYQAPQNPVLPASHHSVPANNNNVTASVGVHRRRRRKKSEMKKRDPAHPKPNRSGYNFFFAEQHPRLKPLHRGKDREISRTIGELWNKLPESEKAVYQDKAVKDKERYITEMEYYREKLKNDEVISDAVPLRQRLPEPDTDMLNAEADSLQTPEQSSLDGSDDYEDDKAKEKDFSVDSLPVIGLGAESMDSVERSSKLGL >RHN48920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51154701:51156290:-1 gene:gene43688 transcript:rna43688 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRAKSLIVKSKPLVQESCLKCCTYIIHTFRPTYTLDIDILFFLWNEVLREEKQCCFVLKPAVQMFSCIDHVVVPNVLSEDIVHQPLLCWPCVL >RHN64523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59771860:59778628:1 gene:gene27346 transcript:rna27346 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:sucS1 MATERLTRVHSLKERLDETLTANRNEILALLSRLEAKGKGILQHHQVIAEFEEIPEDSRQKLTDGAFGEVLRSTQEAIVLPPWVALAVRPRPGIWEYLRVNVHALVVENLQPAEFLKFKEELVDGSANGNFVLELDFEPFTASFPRPTLNKSIGNGVQFLNRHLSAKLFHDKESLHPLLEFLRLHSYKGKTLMLNDRIQNPDSLQHVLRKAEEYLSTIDPETPYSEFEHRFQEIGLERGWGDTAERVLESIQLLLDLLEAPDPCTLETFLDRIPMVFNVVILSPHGYFAQDDVLGYPDTGGQVVYILDQVRALESEMLSRIKKQGLDIIPRILIITRLLPDAVGTTCGQRLEKVYGTEHCHILRVPFRDTKGIVRKWISRFEVWPYLETYTEDVAHELAKELQGKPDLIVGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPESDIYWKKFEEKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDKVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADQTIYFPYTETSRRLTSFYPEIEELLYSSVENEEHICVLKDRNKPIIFTMARLDRVKNITGLVEWYGKNAKLRELVNLVVVAGDRRKESKDLEEIAEMKKMYGLIETYKLNGQFRWISSQMNRVRNGELYRVICDTKGAFVQPAVYEAFGLTVVEAMATGLPTFATLNGGPAEIIVHGKSGFHIDPYHGDRAADLLVEFFEKVKVDPSHWDKISQGGLQRIEEKYTWTIYSQRLLTLTGVYGFWKHVSNLDRLESRRYLEMFYALKYRKLAESVPLAVE >RHN78962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20314359:20314715:-1 gene:gene2631 transcript:rna2631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative unspecific monooxygenase MFDNFLYESITFSKQEQSKCSSSEEMDDFLKALMKEGSGKGEMNEKYLRDNLLTLFLAGNGPVSTGLSWFFWLVSTHPIVEAKIIQEIKDNWPTQEENQTPLRDVDLDKLVYLHGDLC >RHN79923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33543998:33546172:-1 gene:gene3781 transcript:rna3781 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLLTCCLSMENHHHPSTLLSMDSSGCSHDELDLEMNRQVILSRPPDINLPLSVPQPWNSDPCDILDVGLGTQGGYETESLLNLPKAVKKCSRRVDSIWGAWFFFRFYFKPVLLEKSKVKVTRDDNNGVSGFDKTDLNNDVFIVQHDLENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHRMQRKHYRGLSNPQCLHGIELVSSPNLSSLDRDEQKKWMELTGRDLNFIIPAEASDFGSWRNLPNTDFELERPPPPIKSAPIPHSKKLLNESGLNLSTHMPNGDAADLSPISSKKRKDFFLNGNDEECYLAVNPPSDRIPDLEMHPSEQHWLNEFSGVMKNVCGPATAAKTIYEDEQGYLIIISLPFVDLPSVKVTWRNTLTHGIIKVSCVSTSRKPFIKRNDRTFKLTDPSSEHCPPGEFVREIPLLTRIPEDANIEAYYDGPGSVLEIMVPKLRPGSEEHEVRVCLRPNLGGNLDGNDLMLT >RHN81471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46224473:46226589:-1 gene:gene5519 transcript:rna5519 gene_biotype:protein_coding transcript_biotype:protein_coding MSALARFLQRRFISTQSFHHDRHPIFQASSGHSSINAILNGRGILKRGVSTQTNLNQNICEDVKISEADTLKSGVNNVPTSMSITEDSAIKGSAGFSVKVSSRHDLAMVFTCKVCETRSITVDGLVKKELLRTSWLLMEKKLKEGQLIH >RHN81550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46925966:46934801:1 gene:gene5615 transcript:rna5615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol O-acetyltransferase MDCNCSHFQPPPSSSSNPYNLLLQSLSLIPINHYLLATAFALTYFLYNFLEIHFLQDLLTGFSGSTVHFTYNSSSVIYDAVVSKCRILHGRYSVTPWLSSPHLQTVFLNFFGNPPTFKYKRQLFNTPDGGTIALDWVINSHDAESAVAKDESNPVVVVIPGLTSDSFSPYLKHLAYHTAKRGWKVVVSNHRGLGGVSITSDCFYNAGWTVDARTVVNYVHKANPRAPLFLIGTSIGANVLIKYLGEDGENIPVAGAVAVCSPWDLLIGDRFITRKRVQKFYDKALAFGLQGYAKLHQPHFSRLANWEGIEKSLSIRDFDNHATRIVGKYETVDTYYRRNSSSIYVQSVSIPLLCISALDDPVCTREAIPWDECRANKNVVLATLKHGGHLAFFEGITASSLWWVRAANEFLDVLLSSNCMHVQKKISAPNKLLDSAIDQGPYVNVSEDGMVAALNNGPTVDNVNQIDARQDKHHDGHDRVPEGSKRDELVTNTNKESVDSSSCIAQTTSAHNPVPLDVVTPFKRYLGQLSRQNRWSIWLLAYIAITTSWPLVGSALHLVFGKRLRNILPRGLVRR >RHN77072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3775951:3781663:-1 gene:gene483 transcript:rna483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MEVLLYVVTVSIRVGNLVCNNSIIATHMDASRFKVMADAGSLSNSVAKVSNETVVGSDDCHDNGGNLDVEIGITKVTQPVLEKEGESPLMDMISQNKGVLVASDVGLAPESEDDDSLSLEGEQFIDSSCSLSVVSENSSIGGEEFIASDNTSEVGTPCSIDIEKIVSSVNIVAQTADLGESNVDTDIMNEPLAVAVNLDQEIGVESDLKPSTVAHQLPQEEGTSVAVVRSVFELDYTPLWGFISLCGRRPEMEDAVATVPRFLEIPIQMLIGDRAPDGINRCFRPQMTHFFGVYDGHGGSQVANYCRERIHIALTEEIELVKESLIDGGLNDGCQDQWKKVFTNCFLKVDAEVGGTTNNEVVAPETVGSTAVVALISSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPSIIPDPEVQFIPRAKEDECLILASDGLWDVMTNEEVCDLARKRILLWYKKNGMELPSERGEGSDPAAQAAAELLSNRALQKGSKDNITVIVVDLKPQRKYKNKT >RHN79037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21520763:21522201:1 gene:gene2725 transcript:rna2725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MDLSKHIFNEVMIERTGFSFAIEITYERLPAFCTHCGNIGHHISSCRWLHPVKEIPVIDKKKKSIVSHKQQPPEWQPKENLDGIGSSKAFEDPVGNNNNNDAEDIPVPQEVAKNLPVQADNTESAAAQHSTNVATDQHDIEAIPVVDDDIRKETLPSTSIHVLEKISDFAETQEGDTSAASQPRFTDVMEFEVQTQTNSAEVETIAADVNIPACVAPVQHHEIPIAKNVQNDLDLWARIREYDKRMAEEGFMQVLSKKQQKEAKKQVLGKALYNTRAKGTRPPPSS >RHN56255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29311476:29315787:1 gene:gene31627 transcript:rna31627 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAFTNLSWWLWSGKHQEPRISSKGTSINSSTDLNMLESEVMRFPLVQANIGSSSKKVKRKWNSREERRKVDREYDVVLVPSDGGCVSGSESDDSDWSIGWLEPHGPGFPSDDETDNSFAVLVPCYGRDYGRIEEDTKSNLLNGVGHFLDSYSDESKKYVENWLSSIRNT >RHN47323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38857915:38858328:-1 gene:gene41909 transcript:rna41909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose synthase MFIKDSLASLLDFLRPHTHKILTLMLNGRIHDISKFHSALVKVEDNVSDVVQVLWCVGCEEEENAKVVYRVSVFDCYKGDLVGISVFVPQILVLIYGNLHRKVVPVKCLMKFSKNLAYAWAVSDHGDILALLCNCIM >RHN81927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49868395:49868889:-1 gene:gene6025 transcript:rna6025 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNYSNSLSLIPHLTMNHHYLVPSYYGFYNSFSSHHYQHHDHEHDLCPISTNYHHFFHSFSYYSSSCSCFQALENTPPSTILLLVFSSLFSNHPFSFLHPLIPTTPISSSSYFSLPFHFHPPNHHSLFFLPSPLFQSNPSHNPSHFHSSSIHHQPSPSHIPQS >RHN62786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45808410:45811643:-1 gene:gene25395 transcript:rna25395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sec1-like protein MSLNLRQKQTECIARMLNLNQPITGTTTTTTTTNEEAYKILIYDKFCQNILSPLIHVKDLRKHGVTLYFLIDKDRKPVHDVPAVYFVQPIQSNVQRIIADASRSLYQSFHLNFSTSIPRPMLEDLASGTIASDSIQRISKVHDQYLEFVTLEDNLFSLAQKSCFLNLNDPSAGDREIEEIVERIVNGLFCVLATLGVVPVIRCPRGGPAEMVATSLDQRIRDHLLSKNNLFTEGGNFVSSFQRPVLCVFDRNFELPVAIQHDFRYRPLVHDVLGLKLNRLSVQGEKGGMRSYELDSADSFWVANGGLEFPEVAVEIETQLNKYKNDVDEVNKRTGGNHGAEFDGTDLIGNTKHLMNAVNSLPELTERKQVIDKHTNIATVLLGEIKERSLDSYAKKENDMMVRGSIERTELLGVLRGKGTKMDKLRFAIMYLISSETINPTEVESVETALRECEVDTAAFQYVKKIKSLNVSLANANSASRSNIVDWAEKLYGQSISAVTAGVKNLLSSDRQLALARTVEALIEGRPNPETDMYMAFDPRAPKSGSGASGNHLKGPFKEAIVFMIGGGNYVEYCSLQELAQNQQPPKHIIYGTTELLTGMDFVEQLTLLGKKMGLGNVGSAPPQ >RHN57656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40828947:40835440:-1 gene:gene33234 transcript:rna33234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PH domain-containing protein MASPRAVENTENSLEKIKRQLASASGRNLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKLRRNEPTVKGTILFDANSTITVSPVNFNGLPKYDGCCIYIGTPQKKDYFLCAETPGAARAWVSTLHATQLVLKAHKEAVNSLSGNGSTKLGTVATVVAAANSTASECSKEIEAAMQISLRNALGMMPNRTTDGPMDDLTIMKETLRVKDEELQNLARDLRARDSTITEIADKLSETAEAAEAAASAAYTMDEQRRIVCAEIERLRKDSEKQQQVYAQKLKEYKEKITCLSKEREQLTDQRDAAIKEANMWRTELGKAREHDVILEATVVRAEEKVRVAEANAEARIKESVQRESVATKEKQELLAYVNMLKAQLQRQHIDATQVVEKTESCSDTKHVDPTEENVDKACLSVSRANPIPAENVVHMATDQVNNIQPVGDNEWSDIQATEARISDVREVATPETDGSSLDIPVVSQPGINHHHEQGSNSFNQP >RHN71264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57698417:57703994:-1 gene:gene19922 transcript:rna19922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAYQGPSSGSSSSSGFQLLNSPFGDTTYTKVFVGGLAWETQSETMRRYFEQFGDILEAVVITDKNTGRSKGYGFVTFRDPEAARRACADPSPVIDGRRANCNLASHGRPRGPPISYGRVRPASPYVGSLQPARGAYVGGYGYQQPLSYGYQQGMVYPPYGYTTYGPEYVYPQGMYNPYAGQQQYLQIYGVPGAMNTTIYPYGQLGQAIPGGHGYTAMQGYTVPGHQIVPYGGSNVNAITTSPVPAIQVPYPSGIAAPVPGQPQFIVSPQFMQGSGPDQTDG >RHN40271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14720469:14724006:1 gene:gene46405 transcript:rna46405 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFQPQGTSKQTCTLLAVTSETRSVEQKQLQNQHKYPIPELVSSGRLEVQTLCNPEKEQFRKVLESCKPNFVYFQGEQLLDEEVGSLVWKGVELSNPEEISELFDTTLPTAVYLEIPNGESFAEALHLKGIPYVVFWKNAFSQYAACHFHQALFSVVQSSSTHTWDAFHLARASFQPYCVQNNQVLLPSISSLDEVSAFEQAY >RHN82386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53359749:53362150:1 gene:gene6549 transcript:rna6549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK-LRK10L-1 family MAPLDFFCFLLYCLLVLILSARYGNGNQYNCPHSFSCGARGIFHYPFTKAEQPDCGSILIRGCDDSYYSPKMIQLDKNAKPIELTGVVDQSTITLSDQDFYKRLQDNACDTLNHNYTLPPPSPFVSFYINYNVTLFLCNRSHNINPPEQYFKHNCTSFSYDIYYNRKPYLNVTNEADKFFSSCSVLQFPTKDLPDTEHILSFVSGQMVIKIVLSADCNACCNHRGGQCRLDANQMFYCDNERKRKYFKLLLGLGLGIGLSITLFVLGILIIRCLSRRKRAPSDLQNQSRSAYADVTDPYRNPDQENGTVYFEIPLFSYKELEEATNNFHHGHQLGSGGFGIVYYGKLHDGREVAVKRLYEHNWRRVEQFTNEIEILARTRHTNLVSLYGSTSYHSNELLLVYEYVPNHTVDCHLHGNLARSGTLPWHIRMKIAIETASSLAYLHASGIIHRDVKTKNILLTDNFSVKVGDFGLSRLFPLDITHASTAPQGTPGYVDPEYHQCYQLTSKSDVYSFGVVVIELISSKLPVDMKRHKDEINLSNLALKKIQEGALIELVDPYLGFDSDNEIKRMIVSVAELAFQCLQRDKELRPSMEEVLKMLMRIESGKDVPEHIVEEDVCPPSPPSPDGDGNEIEIGLFQKMMPQPSPKAVVDKWDSKSSTF >RHN62185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41518915:41524487:1 gene:gene24733 transcript:rna24733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-URK-1 family MFLYKLLFLLGFLHFLGMHLPLLMADCPLNFAASNFTLASSLCSDQGERGKCCRYINANIAISVAHFANATSNLGVPLNTSDICLQTISQNLQLYGVPRLATVFCGFGTKIRVNYECKGRTSVMQMLQSPRFVEVTKHCRLPLAKESKCKKCVNASIGYLRQLGIEDNITLSTCRDATFAALASQVDEMYTTDIASCFFGVQGLLRTPVSESSPSLPAPEVSPSPPVAADSPSQLLLGLPTKGKHHSYHLALVPCIAIAVTAVAFVMFIVLMILIRQKSRELNEPHNFGKPSSKTVPSMAKWKFQEGSSSMFRKFNFKEIKKATEGFSTIIGQGGFGTVYKAHFSDGQVAAVKRMDRVSEQGEDDFCREIELLARLHHRHLVTLRGFCIKKQERFLLYEYMGNGSLKDHLHSPGKTPLSWRTRIQIAIDVANALEYLHFYCDPPLFHRDIKASNTLLDENFVAKIADFGLAQASKDGSICFEPVNTEIWGTPGYMDPEYIVTQELTEKSDIYSYGVLLLEIVTGRRAIQDNKNLVEWAKPYMESETRLLELVDPNVRESFDLDQLQTVISIVGWCTQREGRARPSIKQVLRLLYETSEPMHTEFLQAVEDEESQGNEQRGRRSKGKMLRNEGNFHSGDGRYLASSSSTSRSYCSRSFLLENGSAQSSPNVFSV >RHN62560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44349581:44350728:1 gene:gene25152 transcript:rna25152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MIQLIIYFHILIDSLLNSIFSWLCGKNDFLVFQIYNKILISFSITYLISSYEVKLNMQLMFANMFNFCKLKLFNSDQNQRSSLDWVKRFEIICGIARGVLYLHQDSRLKIIHRDLKASNVLLDAAMNPKISDFGMARIFGEDEIQARTKRVVGTYGYMSPEYAMEGRYSTKSDVFSYGVILLETIAGQRNTHCEKGRESPNLIGHVWRLWTEGRALDIVDPELNQFYPPTTVMRCIQIGLLCVQENAMNRPSMLEVVFMLANETPLCPPLKPAFFFNGNQDLQESPTSGEGPSINELTETTISAR >RHN72295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6561659:6562102:1 gene:gene7992 transcript:rna7992 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSMISHDQENWRNPLKPFHRSSLISSFLKAHRLRFFNNQYAVRSCTYDFLKYVLTRIDDSEWKNHEYLKLKSHMMYLFYNQYWIHTQYSEKDFSGKYLRSEKRKKHSPCLTKDSEKYLRSEKRKKHSPCLTKCFEKGLCDVIFKV >RHN66346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11128190:11129832:1 gene:gene14310 transcript:rna14310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAAALVAGACLSATTQTIADKLSSSEFRGFIRNTRFNYSPLAELKTTLFALQAVLVDAEQKQFTDLPVKQWLHDLKDAIFDAEDLLDLISYDALRCKVENMPVNQLQDLHSSSIKINSKMEKMIKRLQTFVQIKDIIGLQRTVSDRFSRRTPSSSVVNESVIVDCGTSRNNNLGVVAILGMGGVGKTTLAQLVYNDEKVEHHFDLKAWVYVSEDFDVVRVTKSLIESVVRNTSSSASKVWESNNLDILRVQLKKISREKRFLFVLDDLWNDNYNDWDELVSPLIDGKPGSMVIITTHQRKVAEVARTFPIHKLKLLSNEDCWSLLSKHALGSDEFHNSTNTTLEEIGRKIARKYGGLPIAAKTIGGLLRSKVDITEWTSILNSNVWNLSNDNILPALHLSYQYLPSHLKRCFAYCSIFPKDFPLDKKTLVLLWMAEGFLDCSQEGKMAEEVGDDCFAELLSRSLIQQSNHVGRGKKFFMHDLVNDLATIVSGKSCYRLECGNVSKNVLHLSYTQEVYDIFMKFKSFNNFKCL >RHN57153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36928936:36942862:1 gene:gene32656 transcript:rna32656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative clathrin, heavy chain MAAANAPIAMKEVLTLPSVGINAQFITFTHVTMESDKYICVRETSPQNSVVIVDMSMPNQPLRRPITADSALMNPNSRILALKAQLQGTTQDHLQIFNIELKTKMKSHQMPEQVVFWKWISPKMLGLVTQTSVYHWSIEGDSEPVKVFERTANLANNQIINYRCDPTEKWLVLIGIAPGSPERAQLVKGNMQLFSVDQQRSQALEAHAASFAQFKVPGNENPSVLISFASKTFNAGQVISKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEATSAGGFYAINRRGQVLLATVNEQTIVNFVSGQLNNLELAVSLAKRGNLPGAEKLVVERFHELFSQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILVYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGSPIDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRVAQLCEKAGLYVRALQHYTELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLANLRGNLQIIVQVAKEYSEQLSVEGCIKIFEQFRSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPENFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDEELINVTSKNSLFKLQARYVVERMDGDLWDKVLNPDNEYRRQLIDQVVSTALPESSSPEQVSATVRAFMTADLPHELIELLEKIVLQNSAFSGNHNLQNLLILTAIKADSSRVMDYINRLDNFDGPSVGEMAVEAQLYEEAFAIYKKFNLNVQAVNVLLDNIRSIDRAVEFAFRVEEDAVWSQTAKAQLREGLVSDAIESFIRADDTTQFLDVIRAAEDANVYHDLVKYLLMVRQKAKEPKVDGELIYAYAKIDRLSDIEEFILMPNVANLQTVGDRLYDEALYEAAKIIFAFISNWAKLAITLVKLQQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGYFNELISLMESGLGLERAHMGIFTELGVLYARYRPDKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTFLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVAVKVANVELYYKAVHFYLEEHPDLINDILNVLALRVDHTRVVDIMRKAGHLRLVKPYMVAVQSSNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGERELAEELLVYFIDQGKKECFASCLFVCYDLIRADVVLELAWMHNMIDFAFPYVLQFIREYTGKVDELVKDRIEAQNEVKSKEKEEKDVVAQQNMYAQLLPLALPAPPMPGMGGPGYGGSYGPPPPVSGMGMPQMPPFGMPPMGSSY >RHN82756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56144921:56145724:1 gene:gene6941 transcript:rna6941 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTTQLINLTRFPRFNLHHFSVNNVHILHHQPFPSKLYYNHRSNLKTINCNCKLNNSSGGEPYEIDVGVFGGYNGIEDESDEDDEESNVDLLIKFLNRYEGCEN >RHN54443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9637610:9637930:1 gene:gene29484 transcript:rna29484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat 2 MTHCSVHLPLLKTLDMMNVRFDDMEDLNKLIYGCPILENLTISYVKAESAGSIIAGGGYSKPLPKLIKASIHLFEVPLRAVSNVQHLSVLEVIRYIILCMLFYYEQ >RHN74455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33571517:33576235:1 gene:gene10531 transcript:rna10531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoglycerate kinase MASATAPTTISLLPTTKSSSPSTTPCPSRIHLSSFLGARPLRRLGFAAADPLLAVQVASKVRTVSGRGVRGVVSMAKKSVGDLSGAELKGKKVFVRADLNVPLDDNQNITDDTRIRAAIPTIKYLIQNGAKVILSSHLGRPKGVTPKYSLAPLVPRLSELIGIEVIKAEDSIGPEVEKLVASLPDGGVLLLENVRFYKEEEKNDPEHAKKLAALADLYVNDAFGTAHRAHASTEGVTKYLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKVDILLLGGGMIFTFYKAQGLAVGSSLVEEDKLELATTLIAKAKAKGVSLLLPSDVVIADKFAPDANSQIVPASAIPDGWMGLDIGPDSIKTFNEALDTTQTIIWNGPMGVFEFDKFAVGTESIAKKLADLSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVLALDEATPVAV >RHN49772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1021297:1027542:1 gene:gene33981 transcript:rna33981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MAKTTLSGLFSALSAATVAFSDGAIPSSSSGTDSSSKASNDNPRTTSSGFDPEPLEKGLKALKQISASSYAKKVFEILKKQEETRQAELAAKVAEFNQMKAQLETEKQRIVYDEEKKLAQHQAQTKSQMAKYEDELARKRMQAENEYHRVRNQELVKLQEDSSIRLEQTRLETEKHIQALRKQTIEEQAKLEHEKIRETALAKAVGRVDEIKQNEEINRRDQLVEGDLVREKWISIINTTFDHIGGGFKAILTDRNKLVVTVGGVTALAAGVYTTREGARVIWGYVDRILGQPSLIRESSRGKYPWSGTFSRAKSTLARLAKPESVSNNGKGFGDVILHPSLSKRIEQLAFATENTKLHQAPFRNVLFYGPPGTGKTMAARELAYKSGLDYALMTGGDVAPLGSQAVTKIHELFDWAKKSNRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEEERYKLLKLYLDKYIAQAGSRQSGLSSLFKANPQKIEIKGLSDDIIKEAAAKTDGFSGREIAKLMASVQAAVYGSDNCILDASLFREVVDYKVAEHQQRIKLAASDKA >RHN63735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53607771:53611548:-1 gene:gene26475 transcript:rna26475 gene_biotype:protein_coding transcript_biotype:protein_coding MASPITVGERQAVIEEKISSTRVSDGGRGRHPSGRGGFRGEGFRGRGRFGGGRGFGRNEFRNQGEFSGRPRSSHRTSQNGGGRGGRHGVGNHNVTPSTPV >RHN78867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19428416:19429012:-1 gene:gene2531 transcript:rna2531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 3-dioxygenase MCREKMGRYCQEVKKVGLELISTIIESLEINPSYLTKKLEDGMQVIAVNCYPPCPQPDIALGLPPHSDYSCLTILYQSCEGLQIMDFEDKTWKAIPHIPGALQVHVGDHFEVLSNGLYKSVVHRATLNRDKTRISITSLFSLGMDDKMETAKELVDDQNPKKYRESSFKDFLDFLATNDISEGKSFIDILKINNDNHY >RHN65728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4790226:4791820:-1 gene:gene13606 transcript:rna13606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAHKLVYTIILFIFLFLVANNVEGDIVCITDNDCPPNTLVQGYRCIDGKCESVFLSYR >RHN53845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4655884:4659649:1 gene:gene28799 transcript:rna28799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S16 MVVRIRLSCLGCKNKPFYRVMASDSRSPRDGKHLEVLGYYNPLPGQDGGKRMGINFDRVKYWLSVGAQPSNPVERLLFRAGLLPPPPTVAMARKGGPRDMSPVDALTGRIIGQQKPPNSNNSGTAVTENP >RHN67838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30664998:30665516:1 gene:gene16077 transcript:rna16077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MGGTGKTTLAKEVGKQLKTSEQFNHVIKTTVSFTPNIEKIQDDIAGSLGLELEGISSSNRQNKLWSRLTNGEKILLILDDVWENLNFEDIGIPKSDNHEGCKVLVITRILKVCNQMAGENIIQLDLLKEEEAWSMFKLHANLTDNSSQSILEKGSKIAIECKRLPIAIGYCR >RHN74473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33818387:33819845:-1 gene:gene10556 transcript:rna10556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MTTTHSFHFSPIFILLPNMHSFIFLFSALCSLYSPSFVESTKNPSGFEVELIHHDSPLSPFYNSSLTSSELITNAALRSISRSKRLSLFQNNELNESPESIIIPNGGDYLMKIYIGTPPVERLAVADTGSDLIWVQCSPCQNCFPQDTPYYDPNKSSTFMGLSCDSQSCSLLPHRCGESNKCEYFYTYGDKSYTIGDLGTDSINFGEKDVTFPKSIFGCGHQNDVTFKRSRKATGLVGLGAGPLSLVSQLGDSIGHKFSYCLVPPSLNSISKMKFGDEAIIKDNGVVSTPLIIKSSNPSYYFLNLEGITIGQKTVETGRTDGNIIIDSGTTLTYLEQNFYNDLVASLKGVIGVEEVKDPPSPYTFCFTFGDITKFPNFVFHFTRADVTLKPQNLVVELGNHSYCLFVVPNKGISIFGNRAQFNFLVGYDLKGKTVSFSPTDCSKT >RHN76405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49992425:49993308:1 gene:gene12726 transcript:rna12726 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRKTICKTRFLLKKTLKNFKCFIFGGYQKLPRSLSFNPFLGRSSNSARTYTSDQFYNEFYDILQSDLNRINRNGEISMNVSREKTMEDAASIEKKHVSFVKQISPENGVKEKKDKGNKKNDSCVNELALKMKELEMNDSGDVEQVLDIEEALHYYSRLKSPIYLDIVDKFFTDMHSELSVSQSSVSIKRSKSKGRFGSIRL >RHN63434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51276802:51281302:-1 gene:gene26132 transcript:rna26132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MLLIISSIFLILFLLIKWYFNSTKTKNSPPSPPKFPIIGNLHQLGLFPHRTLQSLAKEYGPLMQLHLGSVPVLVISSPEGASEIMKTHDRVFANRPQTKLYDILLYDCKDVSTAPYGEYWRQIRSISVLHLLSAKRVQSLSTVREEEIGLMMEKINHCCSTSLPVNISDLLSTTINDIVCRVALGRKYSGESGKGFKKLLLDFTELLGTFVVGDYVPWLDWMTNVSGFYARAKKVAKQFDDLLEDVVEDHINRRKGASDEQVCLDTEDQGGDFVDVLLWIQRTESLGFPIDKTVIKALLLDMFSAGTDTISTLLEWSMTELLRHPNIMKKLQEEVRSVAGNRTHITEDDLGNMKYLQAVVKETLRLHPPIPLLVPRENRQDITVKGYHIKAGTRVIINAWAIARDPAYWDQPEEFKPERFLNSSIDIKGNDFHLIPFGAGRRGCPGIVYAMVANELVLANLVHQFNWELPGLETLDMSETFGLTMHRKTPLLAVATLKNK >RHN59478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11102365:11102754:1 gene:gene21509 transcript:rna21509 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFDIIYNLNETYKVVVYHIEEEEDDDHGNAISEMKVFSLSDNYLINIQCFLVVVPINWLFLSTNSGSVYLSGTINSLACRDYCYKGFIVEQYVILSVDLSTETYTQLLLPQGFVDLPHYRPKHLWS >RHN81711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48371235:48374126:-1 gene:gene5793 transcript:rna5793 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPMASATLTLLLAIIVSLSIPSQISANSYLYSSPPPPSKVYPPVSPPYHYPSPPPPKVYPPHSPPPKVYPPVKPPYHYSSPPPPVYSPPKKPYYYHSPPPPVYSPPPPYHYSSPPPPPKKPYKYSSPPPPYVHPKPYYHSPPPPKKPYKYSSPPPPVHVSPKPYYHSPPPPKKPYKYSSPPPPVHHYSPKPYYHSPPPPYYPHPHPHPHPKPYPHPHPVYHSPPPPKKPYKYSSPPPPVHHYSPKPYYHSPPPPYYPHPHPHPHPKPYPHPHPVYHSPPPPKKPYKYSSPPPPYHPYPHPHPHPHPHPHPYPVHHSPPPSPKKPYKYPSPPPPTHHHYPHPHPVYHSPPPPKYKYSSPPPPVHHVSPKPYYHSPPPPKKPYKYSSPPPPVHPPYTPKPVYHSPPVHPPYTPHPVYHSPPPPVHSYIYASPPPPYHS >RHN58222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44763985:44771176:1 gene:gene33842 transcript:rna33842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA-guanine(34) transglycosylase MALRFEILGKFKRARASRLTLPHFVCQTPLFMPVGTQGTIKGLTTSQLEDIGCQIILGNTYHLALRPTSELLDQLGGLHKFMNWPRAMLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEDSIQIQNRIGADIIMALDDVIKTTVTGPRVEEAMYRTLRWIDRCIAAHKRPHDQNLFGIVQGGLDPMLRDVCVKGLVERNLPGYAIGGLSGGEDKNSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKNRDMADDTRPIDPTCLCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMMQLSRNLHSSIVEERFPKFVCDFLHQMFPKGDVPEWVCNAMEVAGIDISSCCAPLSSCQEYDSKNVSEEFIHCGRTDSNIGGHDRCSGEM >RHN61198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33784653:33785160:-1 gene:gene23636 transcript:rna23636 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAGCCFKFGYGWCFMVKALCFCLGVESLVISSSTCVDMHMAHCMYTPVLFFRRFSATFLVWFCRVDAGVCMWSVICICRRQLPSCVYGWFFKRVFVRADVSMATNFPFFVFFFSTQAF >RHN76116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47808697:47814209:1 gene:gene12395 transcript:rna12395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rRNA-processing protein Fcf1/Utp23 MKELMKVKKQKRHRKSLTFYTACFGFRKPYKVLCDGTFVHHLVVNRITPADTALANILSATVKLYTTRCVLAELKRLGKSYSEALDAANNLIIARCEHDKCARADSCIMEVVGENNSEHFFVASQDTDLRKKLEEVPGVPLIFGLRNALFLESPSAFQKEYVKTSEERRLHMTDKEYQIFKDRAMKRLADGEADNSNAEIVENNDLGDSIASAQAIKRSIISRNHNGVKDKPQFKRRKAKGPNPLSCKKKKSRENQNNPTKETKGDNTVKRSRKRKRSQKGKKSTETDS >RHN39497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7587538:7591348:1 gene:gene45524 transcript:rna45524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LSM domain-containing protein MSWAAPEDLYLSTSLASYLDKKLLILLRDGRKLMGTLRSFDQFANAVLEGACERVIVGDLYCDIPLGLYVIRGENVVLIGEMDVEREELPPHMTRVPTEEIRRAQKVERDASELKGTMRKRMEFLDLD >RHN57346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38278210:38279790:-1 gene:gene32874 transcript:rna32874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain, protein accelerated cell death 6 MLKDILQQKPTWIHLTDTYKRLPLHYAASIGYLVGVVYLTGKCKCCTNQRDKYGYFPIHLASYGGHVEVVEKLLEYCPDPTEMLDTSFKRNILHVAAYNGKHEVVDYILQQSRRICELDKMINQKDNKGDTPLHLAAQSCHPKAVFYLTWDERVDMQLVNQNNQTAVEVINASSKLRNSSAREQLTRMALNSAGVKPRLRRLVHDKARQSDTNLPLSKPSNAEPFDTKQQTVESDSKSNENKETDRRYFFLTGSDKQFRDRVETLTLVSTLIITASVAACFAVPGEADGKANNLCHAMFHVFIIFITISLFSSISSTIILFWAKLGIPELVTFSLKIVMPLLGIALVSLSLAFMAGLYTVISELTWLANVFLVVASILVVVVFLSYIVLFVPSSSTKKPLRLISYYPFLFLAYLAENQN >RHN41123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27211700:27212448:1 gene:gene47413 transcript:rna47413 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTHTRTRDDIIIRRNCLRSAIIVYPSQKFGETNKRTHWSGW >RHN72336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6889466:6890125:-1 gene:gene8036 transcript:rna8036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MEYNIQDIHDVHVFQLVKNSMPPNFYERYNKDGKTAKQVFIETHAPLVKEGSKWLTKTAESCSVVAALAAAVAFTTSTSIPGGPNQNNGIPLFMKEPAYKLYAAASLVALCFSVTALVSFLSILTSRFEEKDFVVDLPRRLLVGLTTLFTSIASVLISFCAGHYFIVEPQLRFAFYPIYAATCLPVSFFALVQLPLYFDLSLAMCRKVPQRSYKVFFHE >RHN72476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7984366:7989483:1 gene:gene8192 transcript:rna8192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MSCALSLNTIIHMLASNIKKCHANWQVQCYAMVFYTNLKSMFVEILFIFLLLISFSICPQRALSNRFDPSPLSTNFLFGTASSAYQYEGAYLSDGKGLSDWDVVTHKTPDKIKDGTNGDIAVDQYHRYLEDIDLMEAMKVNSYRFSISWARVLPKGRFGEVNSGGISYYNRLIDALLLKGIEPFVTLSHFDLPQELGDRYEGWLSPESQEDFVYLADLCFKSFGDRVKYWATFNEPDYLITYGYRKGIAPPFRCSKPFGNCSEGDSEKEPYLAVHNIILSHAAAAYIYRTKYQAEQGGKIGIVLHFDWYEPISNSMADKLATERARSFTNNWLLDPIIFGEYPPVMQKILGDILPKFSNNNKEKLKSGLDFIGINHYASYYIKDCIYSKCEPGPGITRTEGLFQQSAEKDGVPIGKPTSIDWQYVYPQGMEKIVTYVKTRYNNTPMFITENGYGELDNPNNTEEQYLNDFDRKNYMAGHLLSLLEAIRKGADVRGYFAWSLLDNFEWLQGYTVRFGLHHVDYATLKRTPRLSANWYKEFIAKHKTEVFRQEK >RHN68557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36525963:36531579:1 gene:gene16925 transcript:rna16925 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSEPALVPQWLRSAGSVASSSPHFASSSNHTDSHSVANHTRNRSSKTAGDFDSPRSVFLERTFSSNSRRSAINGSAKHAYSSFNRNHRDKDRDRDRDRDKDRSHFLDHWDRNCSEPLADLFAGRTERDTLRRSHSLVSRKQSEPVNHRGTVDTKSGSNCNQSNGNDVLSGGSIGSSFHKAVFDKDFPSLGGDERPGSAEIGRVASPVLGLGGTASQSLPVGSSSLIGGEGWTSALAEVPSMIGSSSTGSPTAQQTVTPTSGSVLSSTSVGLNMAEALVQAPSRSQSIPQASVKTQRLEELAIKQSRQLIPVTPSMPKALVNNSEKSKPKATLRNSEMNMVAKSVPQQPSALHIVNHSVRNGNAKVVDAPKTSGKFTDLKSVVWENGVSPTAKDASTPTNYSNSKPGNHLAVPSAVASAPLRNTNNIKSTTERKPASLDLKLGSTADKKQFASQVKSRHDFFNLIKRKTLNSSVLPDSSSVVSSATSDKSGEVNMEAVGPPASLQDLGNSTEMTSNGNAHLENHRLPNIRLKDSTPDEEEVAFLRSLGWEEDSGDEDEGLTEEEINTFYQECLKMGTTTLKLCPGMQPKLSKFFESYATNLNGASAGSSTSDSGSEV >RHN59907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14611292:14611939:-1 gene:gene22075 transcript:rna22075 gene_biotype:protein_coding transcript_biotype:protein_coding MASITMTAAFFGGSSAIANRSPVATQRKLVVANAAKAVEGGKMKVNYDNEKEETNGRRNIMFAAAAAAVCSVAGMALAADEPKRGSPEAKKAYAPVCVTMPTARICRY >RHN71115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56550061:56553651:1 gene:gene19759 transcript:rna19759 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEDSKPVKKQDDNDKISSLKKPKNSNTKPLSKGSKLKKLLKEETEPISRATSASRSKVKKELKDDDDDSDEDDDDKPIAKKISKTKVVKEEVKKKKKVKKEEEVVVTETKVKKVKKVYDLPGQKRDPPEERDPSRVFYETLHEQIPTSEMAQIWLMESGLLPKEVAKKVFEKKQKKALQQVTSPVKSVTAVKKSTKSVTVKKESPATPNSSIKKKPTNSTSKQTNSTLKPTKKRKSESSSSEDYDSDFDVGSATKKKRKVA >RHN41526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30768806:30780551:1 gene:gene47859 transcript:rna47859 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCNWPLWWKIWQGNLKIKHLNCLSNRWSKTNIRMSTPQSNNHQALYFFLIKIILQIFIHGDK >RHN44740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7971072:7980289:1 gene:gene38899 transcript:rna38899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative separase MDVYTRNATLLVILDETNNIKITKKLIDTLKNWSSANDLFEKLPVPIPVLKMWVKKECKRFQDVGERVDSPSLLYSLLSSNTEFSKTNIGTILEQELTAYEEMTDYCPEFCQKMQMKITNILLQKIYITPNSFFQKAQTLVRKGKAFRLYGIVGLRDCIQCLSEAIIIMISGEMCTKSIPIYHELCVAYCLRALCTQEATPCSQQIFEDVKAALDLWLGISCLDHFEEGEYSALFDNIMILLYNIIDLLQLKGFMELFNDAYRLVIRLFKLKNVSTEKWLTLLWESRRLSYALCVSPVNEAFIKNSLDEFSDLSNINFWMHNLKGNESSFIGFQQNFSFLFASSHKNSCDHGSTFQVEITVEEVQKAVLKLISNVPVPNHCTFLAGYLYGDLSQRLVANGKLLEALSFAKEAHRLHDQLFKVKYRHIFQKHIEANNIPVNFSKKLMDRIDQIEVDMSVVREMFLFDSKSWDLKDNYLSPWKIMQCYLESTLQIGNIYEILGDGNKAETYLQWGKAISCSLQLPLFIVAFSSLLGKLYVTKKIWDLAEKELLYAKKILDDNNTPFCCSKCKLILEATLYGYRGDLFQSKFNARDEGISTEFAKKLYITAMNKLTISEWKNPLSCPEDDGDATITDAKCAAGKTCTCSIMNEAKLGPGTKVGPKPNTKSKKEAKVISKEPNIVVESTTRITRSRYRAMQKQNTSISRKLEVNENVEGNQISGPSDMLSQKESILTGIGCSISSKCTITCDLSKMKCWNCLPSEVLKSGLLNDFIILKWEFVRRKLSMKLLTRVVKCFAYPRQIDEAREILLSSISLLFGRNSFCHTFSSIPLDYFHQMVAKEIPGDVFAIERAEIVYSISWHYLKCYNSKFTRTIFCDRSHIKFEDIASWLMVAFVLCREVPIVFQKVSNLLAVVYAVSSLSEQFSMPSLSKVLDENYWSSYFHQAAIGTYPTYQFISHLTERCKGPFVTGSSCMKEGTIDSLRIAPDSTIDLTEYVKKFFASLPLTIIISISLLQNEYTSLLQDLLPCPTRVRAWMLVSRLDYKTEPVVMLLPLDSILPDKGDLDTSSEFFQMCGKPSKTWRCPWGFAMVDDIAPAFKAILEDNFLSFSSNFENTEHNRMLCWKQRLNIDRRLEKFLRNIEDLWFGSWKCLLLGEWLNRNYFDSVLKNLVNDLRSKCNLEVNEGLLKIILGGSKKDFCFDKGGYCEEARSGIFGNAAHKLISSEVAFELLNDAFYVLEVDDSRNREPVILVLDSEVQMIPWENLPILRNQEVYRMPSVSSIFAVFDKGNYQKVQVGRNSAPFPSIDPLDAFYLVNPGGDLVGTQIKFESYFRDKNIEGKAGSKPVVKEMISALESHDLFIYVGHGSGVQYIPIHEIQKLQQCGATFLMGCSSGSLTLYGSYAPHGVPLSYQLVGSPFVVANLWEVTDKDINLFSKVMLDAWLKERSKVTMQCLQCNLLSEKFEAINLKGGKGRAKRKVPKKKSLKSPESDSLENKCSHRRTIGAFMGEARNACVLRFLNGAAPICYGVPTGISRKKDI >RHN47135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37402034:37409679:-1 gene:gene41694 transcript:rna41694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin assembly factor 1 subunit A MQIDTTVHTTPPPPPLPPPQDPTPNRPKSNPRKRKKEVNSRTPEEKQAQIETLEKELEGLFAYYRGVLAQKVVIDLKQCGGSRNVVVAALMEESELPLSKLVDEIYEKVNCEVANAGIVLAEGVNSALVKSSVLFVGQRMMYGVPNADADILEDHSDSCLWCWETREVKLLPKSVRGELVIRRTCRKKIHDRIMAVSEMIASLKKQESEPNYSQNLIKASKKLSKTSTEADIRVIVEGLLQKNNEDMDKKKANQEEKLLIKQLDRNRREAEKEKEKEKEKESLQRELQTETIAIETSSKLSQGEAKTDEKCWEQRKQQKKLAEEAEKDQRRREKEEAELKKKRSLQKQVSIMERFLKRSKPNPSVQSDKVSTEPTASDLLSSKNESVSMSATLSMDSVLASSSDIKPEDLRKSHFHSWHSLGQSIRSNRKQRWGLRQNPKTEAFNKLKLTDTKSAIHEDELGTEKDADRLGESSPDGNSCSMNADSTHLDAKKYYRGRQLLQFDNTPRPAFYGFWPVKSHVVGGRHPLRKDPSVDYDVSSDEEWEEEPGESLSDCEKDCEKDEEECQEESSKSDGESEDGFFVPDGYLSDDEGAQLDRMETDVGLEEVDSSSCSKDDIETEEFCALLRQQKYLNNLTEHALRKNNPVIIANFVYDKELSLLDHSINGTPKQEQMCLQALRMYTIPGGSYIELELSTDKMQEEDQEASPSTGKGAATPLPDLAAIPDTDLPIIVTTIQNCSQGINKVLGSLQQKFPSASKSSLRIKVREVSDYVDNRWQVKKEVLAKLGLTVKSEKSSGGPRSIAAFFSKRCLPPGGESGKPCETSPQPSLKSYSAIHDPQDSRSHEI >RHN82425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53643017:53643659:-1 gene:gene6590 transcript:rna6590 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWECCRKKKNGGKDGKDECGKVYECRFCSLKFCKSQALGGHMNQRETETLNHARQLVFRNDHNLAPQPAPSHLVGYVSNAPLFPLINTLLCSLL >RHN71937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3726579:3729430:-1 gene:gene7597 transcript:rna7597 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAFFVICILHSIIAITSGALMMFYMKEVYTFGHGVQTATKLLGSTPHDQLLIKTSDSFSGLLLVAIGFLLFMVSFVKDRDFQHFFAKGCFLLHVFMALWRIYFERKVEDLAWDWLRQTVGDVLLALSWVFFLVYSWRENYHFPT >RHN74838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37071018:37071817:1 gene:gene10963 transcript:rna10963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MEMKKNMVQISSLFYVLIIFRFIFLVTTNSAVSILCKRNSHCPKYMCSPPLHQECIRNMCMCL >RHN75256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40710551:40710997:1 gene:gene11429 transcript:rna11429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleolar GTP-binding protein MVQHSIGETPPNDFAMDTESTQEASTVTKKNSLTQQLPSRQGRKRERSPETTSIDADHGDKPSKMKKQRLSRSVSRFSRSVQQPLHVVVPGEGFRDSAQKMKAIKLAKKASKKRNKAARRGEADRVIVNLRPKHTLAGKRPKGKAHHR >RHN70453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51527228:51527605:-1 gene:gene19033 transcript:rna19033 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESATAECTPEALARKLHELLPDSDDEVSEFCFEEETIEKLMQEFYKEIIAFPEQPSTTTPLISKVEEFSPVVDLHSNANANELVEEYKYMEEDEEGDFDDEWLARVLNWSQNIKVGDTSEWF >RHN48347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46819842:46820412:-1 gene:gene43055 transcript:rna43055 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWCMLLLVLALVVATTSARNVPTDAGLKDQKNFMTFAGGYSGIGNNGLPFGGIGGGIGGDLGGGGGLGGLGGLGGLGGAGGGVGGGVGGGVGTGVGGVHPFP >RHN75295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41056914:41059081:1 gene:gene11473 transcript:rna11473 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MATIVQALVLFCLLVLLMHKGDAYEFVVGGQKGWSAPSDPNANPYNQWAEKSRFQVGDSLVFNYQSGQDSVIQVTSQQDYENCNTDASSEKSSDGHTVIKLIKSGPHYFISGNKNNCLQNEKLLVIVLADRTNKNSNQTTSPPSPSPSVAPSPSPLSSHSSDALTPIPPPSPLNGSSTPPSPVLDGSSPPPSPLDGSTLTPPPVQQVGSSPPPLGTDVTNPITPTQSPVSEPPPPNAASSILVSFGCSVGALMVSLLVFSK >RHN60533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27785990:27786223:1 gene:gene22854 transcript:rna22854 gene_biotype:protein_coding transcript_biotype:protein_coding MARNSEPLEEGTSTSPVLAIKRKYIKRKSPNKVSKKKMKTDHNGDRTKRETNTKSKNVFVFEDLGAEYLEQLLSLTS >RHN60233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24056361:24057638:-1 gene:gene22500 transcript:rna22500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MLVKSQNKVDGHKSLADTLARWKEYNARLESSGEAPKPVRKVPAKGSKKGCMKGKGGPENSRCNYRGVRQRTWGKWVAEIREPNRGNRLWLGTFSNAVGAALAYDEAARAMYGSCARLNFPNVEVSNFSQVESLKDSPAADQSGFVKTTAENTESVVSLDNTRVDAAEDIDMKDLSLSLSVTVNHLEREGESLSVSS >RHN42578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39480834:39481193:-1 gene:gene49034 transcript:rna49034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative X8 domain-containing protein MASPKLHSVMIMLTIFIAMILMNVMIVESKTWCQVRSSATGPALQNALNYACSNGADCGPIQPGGSCFNPNTLQSHASYAFDSFYQSKGQNPSACNFGGLATIAVTDPSTNKMPYSFIS >RHN66831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19721941:19722303:1 gene:gene14930 transcript:rna14930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MAGKKFISLSMLVMILGMLVTKFDARQIDDVSCTSALFSLVPCLPFLQGVGPATPTSYCCAGANDLNQKADSTQSRRDVCNCLKPAASRFGVKSDRSTQLPKLCNITLNVPFDPNVDCNT >RHN50794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10546579:10547054:-1 gene:gene35126 transcript:rna35126 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKMKQFFAMLVVAMMMAASSVSAADAPAPSPTSDATTLFVPTIIASFVALVFGLLF >RHN82118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51379046:51381709:1 gene:gene6244 transcript:rna6244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MGFGTTNAVNGVFHCRGDVSSTTCQDCVTTAARDITHLCPNQTESIIWYDECMLRYTSRYFSPTASLVPRLNLKNNTDISISTHDLVNYNQLLLSFLGSLATEASDSQTEKKFATGEESFDFRGYSKKVVTVYGLAQCVPGVTNVQCEGCLLCLRGVISDMIYTGSTIQPVVIQLRHRFLLLQERKSLGCYLLMRRSRWKKYKTLLRENCKLQSLQYNLATIEEATKKFSPENKIGKGGFGEVYKGVLIDRRQIAVKKLSRSSGQGSVEFKNEVLEIAKLQHRNLVTLIGFCLEGQEKMLIYEYVTNKSLDYFLFDHKKSRLLHWFESYKIIEGIAHGIHYLHDYSRLKIIHRDLKPSNVLLDDNMNPKISDFGMARMVALDQDRGSTNRIVGTYGYMSQEYAMHGQLSEKSDVFSFGVIVLEIISSKRNSRSLLSDNSDDFLSRNGGDQTPLELIDQDIKESCNHSEVVKCIQIGLLCVQEKPDDRPTTATVVSYLTSPSAELPFPGEPTKSMHSEILQKMVAGELSSASFSTVNEMYSSVVPSSTLSDNA >RHN80297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36951063:36951425:1 gene:gene4203 transcript:rna4203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSGSRGGAKNNGGPVQYRGVLYTPWGKFAAGIRDPNREGRKLWIGCFHTADEAARAYDRAAYNMSGSSAILNFPNEYNMASHAGSTSSNNVFKFEYLDLDNWLDLLEVVVDHAEKNNKGP >RHN57786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41725977:41726939:1 gene:gene33373 transcript:rna33373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MYRFSYVFLCNYMAHMIMSLKCICSMLCATTCLLIRGFIVLKRRIVFLLEYTHLNFSDYLFKGKVYQDIVGSAYYVAPEVLRRKYGKEIDIWSAGVILYVLLSGVPPFWAETEKGIFDAILQGRIDFESLPWPIISNCAKDLVSKMLIQDPNKRITASQHPWLKEGRDASDKPIESAVLSRRDSAQYFYLQSHMRNCWQDYKDSAQYSDN >RHN54410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9348961:9349518:1 gene:gene29442 transcript:rna29442 gene_biotype:protein_coding transcript_biotype:protein_coding MITDTMLQIKENVQSLHSSIRRRKGESSIEKCVAEYKLFTKKMKKNVTKLISSLKHMETKFGASSLLNKDQDLVAVITVLREVIAMNMSIFQSILCFLVGAASKSKATKWLKVAKLMHKRGTCEENMENFNELECVEASLSEGSNVAHERFEALENAVESIENGLENIFRRLVKTRVCLLNIMTQ >RHN82433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53752659:53752925:-1 gene:gene6600 transcript:rna6600 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAGCDRISGDVRSRSERDGGVDDRGGKEDGENREKIHEEMKKREDGGEYDGGKDGGRERIVGEGVLCFCREDEEERCRVITVMPQL >RHN62659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45026488:45027273:-1 gene:gene25256 transcript:rna25256 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDPDFEGPIVELEGRFMLVVHHGGVFFEFHNTKYIGKQTVLEAEPDYWSYFSLLATIKKLGGPMITSLWYHDPYLEENLIRLRDDNGCRRMQDIAEMDKMVHLYVIHFVDPRAFGNLNPLDEANDFPVPNAGVALEEIVDDMENGGVLMIEYPVVVEENGGNVVDMVENEGNVDDMHENELRG >RHN48020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44341199:44341669:-1 gene:gene42688 transcript:rna42688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSSTTKKITLKSSDNETFEVPEAVALESQTIKYMIEDDCTDNGIPVPNVTGQILAKVIEYCKKHVDAASSDEKPSEDDLKNWDAEFVKVDQATLFGLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTSEEEEEIRRENQWAFE >RHN47498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40171891:40173004:1 gene:gene42109 transcript:rna42109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MEHKHAHNRSYEDFDPVFKWRREQDRDTIELHLPGFKREQIRIQINHLGFLVISGERPFDGTKWKRFKKEFELPKYCNEDAIRGNFMQNILSVVLPKKVDLIPQEEQEEEEKIPELEDLDKYQEKNTYKSLGFGGRDREEEIGTLSEYTYRTDNKFGENDVETTREVALKFMVVIIVVMVIVNYLVDMSKTVMAQGQSYFQN >RHN79482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29435426:29447810:-1 gene:gene3277 transcript:rna3277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine--tRNA ligase MELSAVTLGGKGSSLSSSAVYATAIGKSQIKIDSSALDRLTSPPSSKQNITIPEFLTLDETRAFLIVLLNKLILCNSSKNVALAISESLNSNQTTFRFDQEFDVTEGEHSLLMNNECAALLAVSAVLDHQSAGLLGFADVAAAFSCEALKADVTVFNLMDSGDGHSSKEEVGVAGDMRILLNGSKFVGKESVPEVVKIVKVHGVMREKAKSVHSRMRVELNSGHKLGKLELGSEHTVCDVLFPLAMALRELGECSFARAKSNLLSIGSDNLKSSLSEIFGKECPTDASLVSSFNESFKLYSGRVYDNFAHEINVLFGLVWKIVAWELVTAYAVLEAADLSEKIGIVRENGENTKVEKKKKKAVLGKGTSSILALIKERLSSEKKNDVENLRKLESWVADFLSFLDIAKPEFNEFLLKVKDVVESNESRRLPKIPKGTRDFAKEQMTIRKKAFSVIEEVFERHGATALDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARFVAMNGLTSLKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGPAEKMAPDFEVVRILTELLDELNIGDFEVKLNHRKLLDGMMQICGVPPEKFRTICSSIDKLDKQSFEQIRKEMVEEKGLTAETADRIGTFVKEKGHPLTLLSKLKQEGSAFLENAGSVDALNDLEILFRALDKSKRLDKVVFDLSLARGLDYYTGVIFEAVFKGGAQVGSIAAGGRYDNLIGMFGSKQVPAVGVSLGIERVFAIMEQQQKDQNQMARPTKTEVLVSILGNDVTLAGELAGELWDAGVKAEFLVNKRRPKHFDYAKESRIPWMILVGEQEIKEGTVQLKSLESGNDVNINIPRENFVEELRKRLNP >RHN57727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41345207:41350353:1 gene:gene33310 transcript:rna33310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase transcription factor WD40-like family MNFKDSLHAATFLALFSCIFALFSSVPISGSKDGLQADRLLHVTGAVGPESLVFDSHDEGPYTGVADGRILKYEGEERGWTEFAVTSSNRSDCVVPFAPELEHICGRPLGLRFDKKNGDLYIVDAYLGLNVVGPAGGLATQLATEAEGQPFRFNNDMDISEDEDVIYFTDSSTVYQRRQIPLLLLSGDKTGRLMKYVKSTKEVKVLLSGLNYPNGVCLSKDGLFLLVGETSTFRILRLWLHGPNAGQVNTFAVLPGYPDNIRRNSDGQFWVALHNAAIKLSDEGEILEIVEGKTMRYVSEADEKDGKLLIGSVLMPYIGIYSL >RHN72091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4916147:4918447:1 gene:gene7764 transcript:rna7764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative actinidain MGSHQKNLLLLFTLLWCSLTFLSCYGIPSEYSILAFDLNKFPSEEQVVELFQQWKKEHQKFYIHPEEAALRLENFKRNLKYIVERNAMRNSPVGHHLGLNRFADMSNEEFKNKFISKVKKPISKRASNLHVKVESCDDAPYSLDWRKKGVVTGVKDQGNCGSCWSFSSTGAIEGVNAIVTGDLISLSEQELVDCDTTNDGCEGGYMDYAFEWVINNGGIDTEADYPYIGVGGTCNVTKEETKVVTIDGYTDVTQSDSALFCATVKQPISVGIDGSTLDFQLYTGGIYDGDCSSNPDDIDHAVLIVGYGSDGNQDYWIVKNSWGTSWGIEGFIYIRRNTNLKYGVCAINYMASFPTKESTSISPTSPPSPPSPPPPTPPSPTPSKCGDFSYCTTEETCCCLYELFDFCLAYGCCEYENAVCCTGTKYCCPSDYPICDTEDGLCLQNYGDLMGVAAKKKKMGKHKFPWTKYEQTKKTHYPLQLRRGAFATVR >RHN49854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1712418:1719611:1 gene:gene34078 transcript:rna34078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MDLESVIYKNPVKDDSWKHVLVLAYQSLGVVYGDLSTSPLYVYKSTFAEDIEHSDTNEEIFGVLSFVFWTLTLIPLLKYVFIVLRADDNGEGGTFALYSLLCRHARVSLMPNTQLADEDLAQYTMDETVAVDKKNVGSRLKSLLEKHRVFQRVLLVLALIGACMVIGDGVLTPAISVFSAISGLELSMSKEQHKYVEVPVACAILIFLFALQHYGTHRVGCLFAPVVLTWLICISTIGLYNIIHWNPHVYQALSPYYMFKFLKKTQWGGWMSLGGILLCITGSEAMYADLGHFNQLSIQIAFTFLVYPSLILAYMGQAAYLSKHHALESDYRIGFYVSVPVKLRWPVLAIAILQAVVGSQAIITGTFSIIKQCSSLGCFPKVKIVHTSSKIHGQIYIPEINWSLMLLCLAVTIGFRDTKRMGNAAGLAVITVMLVTTCLMSLVIVLCWHKSGWLAICFLLFFGSIEALYFSASLIKFLEGAWVPIALSLIFLIVMYVWHYGTIKKYEFDVQNKVPINWLLGLGPTLGIVRVKGIGLIHTELVAGIPAIFSHFVTNLPAFHQVVIFLCIKSVPVPHVAPRERFLVGRIGPKEYRLYRCIARYGYRDIHKDDMEFEKDLICSIAEFIRSDTSEYGLGLGSFEDDTKMTVVGTSSSNSEGVKMSEDDQDDNSQIEGTSELKEVKSPERVKKRVRFVVPDSPRMDIDTREELLELMEAKEAGMAFIMSHSYVRAKRGSSWIKKVVINYGYDFLRRNSRGPTYALSLPHASTLEVGMIYHV >RHN57311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38044720:38049123:-1 gene:gene32836 transcript:rna32836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MLEISLLTNAASKEEYMNLETLHERVQALLGVPKSTFTFSQSASVNTSEFSTHDGNTEEFDDNFPDVWSCIDFGEHQPQKLDINHKRRKIMEYGVDVSLVNDASHDQPIHEMIPSKGLQASQISVGIDSMPTLEKDVIIIDADDDEEDIQGRTAFNQKGVNTNRELIIIDDDGEEEKDLGRHDIVDNISMEMDIGANSNMHAPIDVEDKQEGIGFNQEGTDAQKEVSEPKAEQEKQTKSTKRINDAVSLIDSFRWKQITEHVSSLRKESVQITSKAEARIDANTCQLCEKERLYFAPVPLFCLHCGNRIKRTYFCTKEDDFDAHGCICSTCYKTSKGGKIAFNGTSISKKNLEKRNNDEVLEEPWVECTKCERWQHQICALYNKKADLDCRAEYICLLCRLKEIENGRHVPSKAANYCAKDLTRTVLSDHLEKRLFERLLQERENWEKVEGNEKLDEVLAAENLSIREVLSVDKQLKVNKQFLDIIPEENYPTEFSYRSRVILLFQKIEGADVCIFGMYVQEFGSECSNPNQHCVYISYLDSVKYFRPERRTKSGEALRTVVYHEILIGYLDFCKKNGFSTCYIWSCAPSEKGDDYILYCHPEEQKTPKNDKLRRWYLSMLKKASEENIVVGLTNVYDRFFLPTEKWKSKVTASRLPYFDGDCWCGNAMVVANTLEKESRVNYEKLLKQVSNRTIKDMGHAKPSKDILVMQKVGQNILPTKENFLVAHLRSSCIHCHEVIVSGKRWFCTECKKFQECERCHSSEEHTSKNGEVHTLCQASVDDIPSDTKRNDIVLESELFENRDNFLIFCQKSQFQFDTLRRAKYSSMMILYHLHNPTVMMTQNEHQLSQNCSTPVCQSGNQESNEEMVKLLNILKHASQCRATKSEPCSHPNCSQIKKLFSHASKCEIRVNGGCQHCKKIWFILTAHSRNCKDSECRIPRCSDLKKHVEQKSMHSES >RHN61039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32442298:32442744:1 gene:gene23450 transcript:rna23450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A.1 MDASPKATKKGAGGRKGGGPRKKSVTRSIRAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLNGVTIAHGGVLPNINPILLPKKNEKAVPKEPKKAGKSPKKA >RHN49716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:561368:564964:-1 gene:gene33923 transcript:rna33923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MQAHCRRAWSPAMKGFTFPRISNSIYSLSDSIFTKYSSFSSSSSSLILHDSDSNPISSPFYNLLPPTHNPNNIVNLISTALKQKSFHLSHFQTQFKTILPHLGAHEISRVLIRTQSDASSALTFFNWVKNDLRFTLSLQNYCLIVHILGWNQIFDQAMKLLCELIQLNNVNVVSYDDVYKCLIDCTEDCNWNPVIFDMLIKAYVKLGMVEKGLETFWKNVEGSFVPNVVACNCLLNGLSKINYIGECWEVYEEMGRLGIHRNGYTFNIMTHVLCREGDSDKVNGFLEKMEEEGFEPDLVTYNILINGYCKKRRLEDAFYLYKIMGIRGVVPNLISYSALMNGLCKEGKIKEAHQLFNQMVQRGIDPDVVSYNTLISGYCKEGGKMQMCRSLLHEMIGIGIRPDNVTCRIVFQGYTREGKLLSALNMVAELQRFGIKIPENLYDYLLVALCKEGRPFAARSFLIRISQDGDYVPEMSTYIKLAESLCSFNNVEEALILKSEMAKKSMKLNLTTYKAIISCLCRVKRTSEAENLLEEMVSLGILPDLEIKRALINGYCEENDVDKAVSLLKFFAKEFQVYDTESYNAIVKVFCEVGNVAELMELQDKLVKIGYVPNSLTCKYVIRGLQKGMELDDDDDISDGDMLEV >RHN49401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54534730:54536911:1 gene:gene44226 transcript:rna44226 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AMT2-4 MELPSNLLPDEASPEWMNKGDNAWQLTAATMVGLQSIPGLVILYGSLVKKTWAINSAFMAFYAFASVLLCWVSWAYQMSFGEKMVFFLGKPNVALDEKFLLGKAFLGNFPNATMVFYQGVFAGLTLILIAGALLGRMNIRAWMLFVPLWVTFSYTVVAFSIWCPDGWLAKRGVIDFAGGYVIHLSAGVAGFTAAYWVGPRADKDRETFPAATNNMIMVLAGAGLLWMGWSGFNGGAPFVASTIASLAILNTHVCTAASITVWVMLDTFYFGKPTVFGAVQGMITGLVCITPAAGVVQGWAAILMGFISGSIPWYTMMVLHNKVNFLKKIDDPMAVFHTHAIAGALGGILTGFFAVPKLCRLFYMVPDWEKYIGLAYGLQNKGATQAGLKQMVIQIEAIVFVICYNVLMTSLICLIVRVIVPLRLNGDALQMGDKAIHGEDAFALHSEATKFVNIKRNQVYDTQDFSSIPESRSLGELQMV >RHN43784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48458325:48460326:-1 gene:gene50407 transcript:rna50407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S19/S15 MADVEVDAAAAAAGVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFSARARRRFQRGLTRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >RHN69965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47635476:47636584:-1 gene:gene18500 transcript:rna18500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysine methyltransferase, S-adenosyl-L-methionine-dependent methyltransferase MKESPLKVLGLTWGVWGSSIFDLRLTIILGADVLYDSNAFDDLFATVTFLLQNSPGSIFITSYHNRSGITLSSS >RHN78406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14840047:14840643:1 gene:gene1958 transcript:rna1958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MTGLLLFFCVFVMVTSPHADAKNQGNEADALLKWKASFDKQSKEILSSWIGNNPCSSIGLSWEGIICDNNSKSINKIDLTSFELKGTLQSLNFSSLPKIQKLVLRNNFFYGVIPYHIGVMSNLNTLDFSQNYLYGSIPNSIGNLSKLSHIDLSENDISGIIPFEIGRLRNLTILDFHSCNLYTNFNRNVGQYINSIVI >RHN40785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21115606:21121271:-1 gene:gene46993 transcript:rna46993 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPASVAVFMFLYQEPQCRSLEFILSLGFLIFMDWCFDILFWNVDIKNFTVFSLLLWIVFFKSYMFIFELLVLVFHN >RHN57767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41606398:41607177:1 gene:gene33352 transcript:rna33352 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPESERYLFRVEIVPICCGDLIFLPPNVVASFGENIGPIVICTRVAKTFTLLDPFTLTHCFLDAHQYWKAPFTHSFNRTQLVEYVVLDTVLLQDNQDEEEEEDKEKKKNYDADAVAAAKKYCLADAVVARVKDIGNNDTTFQIRTHLGRILKPGDHALGYDLSGGEGGADTNININLPAAILITKISYAEENGRVVALHDKWESDYQLFFNDLQQDTKLLFNVRAAYRDQTKSYTYDGPFVIPPTRPFVPLEDLLDG >RHN57919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42559785:42560456:-1 gene:gene33510 transcript:rna33510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLYNFNLEISSIEDDPFTVSKDDPFNNYFYINFHYNQVNFPLHTNASNSSFDYKTTITRTLLIPSNILCNCNEITILDREDNIFLHDSFSSVPISSHILKEILPEIGEYAREMVADDDDECRNMWEIDVNLDVTTWYVEDNDVVKAILVVDRLKKVGMDDSSCYYNDQCTICLEEFFNGSKSEHVMTKCLHVFHKECIFQWLKRCISRQSSLSCPLCRNNQIL >RHN62902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46630675:46633672:-1 gene:gene25537 transcript:rna25537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Smr domain, tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MIHNRRFLLTYSNLYKLTHHLPFLPRSPFAPKLTFLPSTPKFTSTSKLPGSFKNPNFIRFYCNNNSGSKEWTEEIEYLDESGSVIYKGKGVRSVEPGIDDHVMVGEVKKPFLNALAVAKIVEVVKRWKWGPELETQLDKLQFVPNMTHVAQALKVINDGDACLSLFRWAKRQCWYAVSDDCYVILFDGMNSRNDFDGIQSLFDEMVGDPGNSGVSLVVSCNRVIRYLAKAERLEVAFCCFKKILDGCCEVDTETYNSLITLFLNKGLPYKAFEIYESMEKANCKLDISTYELMIPNLAKSGRLDAAFKLFQEMKGRDFRPGLNIFASLVDSMGKAGRLDSAMKIYMEMRGYGYRPPPTIYVSLIESYVKSGKLETALRLWDEMKLAGFRPNFGLYTLIIESHAKSGKLDIAMSAFSDMEKAGFLPTPSTYACLLEMHAASGQIDHAMKLYNSMTNAGLRPGLSTYTVLLSLLANKKLVDVAAKVLLEMKTMGYSVDVTASDVLMVYIKEGSVDLALRWLRFMGSSGIRTNNFIIRQLFESCMKNGIYESAKPLLETYVNSAAKVDLILYTSILAHLVRCQDEENERHLMSILSATKHKAHSFMCGLFTGPEQRGQPVLTFVREFFQSIDYELEEGAAKYFVNVLLNYLVLMGQINRARCVWKVAYENKLFPKAIVFDQHIAWSLDVRNLSVGAALIAAVHTLHRFRKRMLYYGIVPRRIKLVTGPTLKIVIAQMLSSVESPFEVSKVVLRAPGDSVMEWFKKPIVQQFLLNEIPSRADILMHKLNILFPNSAPEIRSLSPPKPLIAGRAM >RHN67433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27180127:27180759:1 gene:gene15622 transcript:rna15622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin B3, G2/mitotic-specific MPETLYLCVNILDRVLSKINFEVKTMEKLKLIGLSSLLLASKYEQRRAVGVYDVEYLADYIYMPEEICQMEKLILQELGWILTVPTPYVFLVRNIRACNLSDEDKIMEHMVFFFSELSLTNHSIVCDYKPSMIAACAVYLARFIVGRYPFWSNDLKMCTGYSEDKLLSCAHVMMESCIQICGEGIMEVFMKFSSLYQCRVSCIAQEFLEV >RHN50143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4458757:4460439:-1 gene:gene34398 transcript:rna34398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MSNFAERIKPHAVITPYPLQGHINPLLKLAKLLHLRGFHITFVNTEYNHKRLLKSRGPKAFDGFTDFTFETIPDGLTPIEGDGDVSQDIISLSDSIRKNFYHPFCELLARLKDSSNDGHIPPVSCLVSDIGLTFTIQAAEEHGLPSVLFSSASACSLLSALHFRTLIDKGVIPLKDESYLTNGYLDTKVDWIPGLGNFRLKDLPDFIRTTDPNDIMIKFIIEAADRVHEANSIVFNTSDELENDVINALSIKIPSIYAIGPLTSFLNQSPQNNLASIGSNLWKEDMKCLEWLESKEQGSVVYVNFGSITVMTPDQLLEFAWGLANSKKPFLWIIRPDLVIGGSVILSSDFVNETSDRGVIASWCPQEKVLNHPSVGGFLTHCGWNSTMESICAGVPMLCWPFFAEQPTNCRYICNEWEIGAEIDTNVKREEVEKLINELMVGDKGKKMRQKAMELKKKAEEDTRPGGCSYVNLEKVIKEVLLKQN >RHN68598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36926024:36931515:1 gene:gene16969 transcript:rna16969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDQFNNVDLEFANFEDYVEFEFSDFEDEEQQTVPENPPRRNTYNTDSDSDSDCEDDFQTSNVKTDTSAFEARNGKDIQGIPWEKLNYSRDEYRETRLKQYKNYECLTRSREDLDKECLEVEKGNSFYDFQFNTRLVKSTIAHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLQRGKEVLNVAKPVIPTLQKHCGLAHPVSRVQISTMAVKENLMVAGGFHGELLCKNLNHSGVAFCSKITTDDSAITNAVDVFRNPSGSLRVIAANNDSQVRVFDAENFASLGCFKYDWSVNNASVSPDGKLLAVLGDSTEGLIADANTGKVTGNLKGHLDYSFSSAWHPNGQILATGNQDTTCRLWDIRNLSESIAVLKGRMGAIRSLKFTSDGKFLAMAEPADFVHIFDSHSGYVQSQEIDIFGEIAGLSFSPDTEALFVGVADRTYGSLLEFTRKHYYQYLDSMI >RHN60840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30823396:30827531:-1 gene:gene23226 transcript:rna23226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MLDLNLNDSNSTDSTQNQNHNSPMISKNFPQTVDESGTSNSSIVNADGDEDSCSTRDFTLSFDILKTEGSNSNNVVTKEFFPVKLQATSSSFSMKNGSVDFSINQNEEMKIVQAPQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVGADINFNLNDYDDDLKQTKNLSKEEFVQILRRQSNGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIQNNGREAMTNFEASTYEGEMKSAAINEGGSQNLDLNLGIATPGPSPRENWGQLHFPSSVPYTMQAGRSSKMETNVSGIGDPSLKRLLATEERHSLWNGMYPAFFSNEERADSINKAPPKGLPNWAWQPHGLVTASPVPPVSAAAAASSGFSINSAPIPSTRMFPPRSMNSIPQSLYFTSPNAPNGNRSPYYYQVKPPQAPT >RHN62791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45855466:45856181:-1 gene:gene25400 transcript:rna25400 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTNYSGLNFWYFKRYHNDKGFCSCVGCESKVLNRKPEYR >RHN75165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39918253:39919875:1 gene:gene11328 transcript:rna11328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGTNFLWFLSYVVVGLSISTLLIMSKLCLCDIQYIGTISPGMEGSQANFIDEGSKFLVSKNRNFAFGFVTTVNDTTKFLLVIVHAASSTVLWTANRALPVSNYDNFEFDKKGNAYLLKDGTVIWSTNTISKTMELQNTGNLVLLGKDDNNTVIWQSFSYPTDTLMHSQDFKEGMKLTSEPSSNNLTYVLEIKSGDVVLSAGFKTPQTYWTMQNDNRINASWIAVLGKDGVIIFSNLNGGGSNGDSSTRIPQDHCDTPEPCDPYNICANNRRCSCPSVLHHRSCKPGFVSPCVDDKSEKSIEFLKADDGLSYFALDFLHPSLNTDLAGCQTSCRGNCSCLAMFFHTSSGNCFLLDGVGSFQKSDDADSGYVSYIKVSSHGSGSANKHIIIVPVVVVIITLLLLLSVVILYCRKKKNLPAENSEKTISWRI >RHN69100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41034871:41035349:1 gene:gene17529 transcript:rna17529 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATTATAIMKINGGLLGRIICDRPIPKRGQVMVGIVLGLANSVVCIFIRSISCAAPSRVQLLA >RHN74900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37644829:37645238:-1 gene:gene11034 transcript:rna11034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MKHVQRIQEDPPLYRTIYYGHHNCKTSLLNSEITLLEPNSTSSMFLSFNDSLQIKEQYPAFSSPLSVPTKQESIDAINPYHNQIAQDQLTSSDNPLSRDYKLEFDYVRHATMLSSTKSVPFNDGF >RHN63842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54378203:54391466:1 gene:gene26596 transcript:rna26596 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLCFLRACFYFSLINQLHMIKGRMSHTLSTLMCTCRFFHTFKGVPNELETPSVHSEVEDALLVGKLTFFSLARSLISSLDWLSNQSTVLLAFSKPNIS >RHN82169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51667777:51675819:-1 gene:gene6296 transcript:rna6296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arginine--tRNA ligase MLIELDAPASVRKQLATLFREALIATVPTEPDVVPLIDACVPKNGVKHADYQCNNAMSLFAKIKGKRPEFKSPRSVGEAIMKNLPPSEMVESCSVAGPGFVNIVLSKKWISKSLQKMLTDGIDSWAPRLPIKRVMVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFCQPECLIRRNHIGDWGTQFGMLIAYLFEKFPNPEDVKESDIGDLQAFYKASKLKFDSDPDFKKKAQLSVVELQSGEDPKYRTAWKQICDISRTEFNKVYQRLGIRLEEMPESFFNPLIPPTLEKLEKLGLIEDNDGARVIFVEGVDIPLIAVKRDGGYNYFSTDLASLWYRLNVEKLDWNIYVTDVGQWQHFDMLFKAFRRAGWLPKDPNEYPICTHIGFGLVCGDDGKRFRSRSSETVRLVDLLDEAKRRCKVALLERDNAKDWTEEEIEKTSEAIGYGAVKYADLKINRTTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRKSGKDIEELKKTGNLVLEHEDERTLGLHLLQFTEVFVESCSNLLPNVLCEYLYNLSEIFTKKFYSSCQVVGSPEETSRLLLCEATLIVMRHCFYLLGIDPVYKL >RHN39728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10048369:10050085:-1 gene:gene45788 transcript:rna45788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monogalactosyldiacylglycerol synthase MDKGKGVMGSSGRRWAVDFSDNSTSRDFIDPPGFSRASLNQDDSTLSRQKKDAESNWKSQACFPSLLQFNLGEGNDVGGNGNGVGVEDEGLGLKSGEGKKAKKVLILMSDTGGGHRASAEAIKAAFYQEYGDDYQVFITDLWSEHTPWPFNQIPKSYSFLVKHGPLWRMTYYGTAPRLKAWEVAQAPFKNLLMMWMAGNTVHLFSIGITFSALWQPISALQSVGKSKYHFYLSCTA >RHN45575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23116604:23117026:1 gene:gene39947 transcript:rna39947 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFSNSPLTMLVALIISRTSKKQNVSIERGEQSLKSLIIKKTQGHENVTDRKLFQDSKKKASISAENQDGKENKCKPVINSKKCFCSPTTQLKVHSGADFTSLVQQRYQQLLNFDHYLSWNLLKKFAIWNFLVVETLFF >RHN64276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57836411:57839767:-1 gene:gene27078 transcript:rna27078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin MSNSERRIISFEEGWDFMQQGIQKLLEGLPELNITADDYMTLSTTIYVMCTQKPPHEYSEQLYEKYKETFDGYIKSTVLPSLREKKDELLLRELLERWSNHKIMTKLLSRIFRYLHKYHIRKRGLSSLEETGFLSFYYLVYDEMHRQVMDAILAMIDRKRAGEPIDQTLVNNALAFYSEIGESTRKNDPKHFAETMTKEYAAFYTM >RHN61176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33670322:33676312:1 gene:gene23613 transcript:rna23613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Nin-like family MEGQYSSKERGGISLWTPFDSGMKSSLNSDDMFNNISELMNFDSYAGWCNGSSSITDQTLTNDLSSFAYDGLNLSEHINGPFFMTEIGGNYNVMDSYDEKVLLQEMETQLEFLDNNSNETNNNLDSQQNGSYDMCNYIISKSPSWSLDERMMSALSFFKESAGGGILAQVWAPIKYGDDFILTTSDQPYLLDQKLAGYREVSRSFTFSAEMKMGSCCAGLPGRVFNSHVPEWTSNVGYYHKSEYLRLDHAISHEVRGSIALPISDMNSEVSCCAVLELVTTKEKPNFDKELEFVSHALQRVNLRTIMPPRLLPQCVSSNKRAALTEITDVLRAVCHAHSLPLALTWIPCCYSEGKGEESERIRIKEGHITSSNEKCVLCIEESACYINDKMVGGFVHACSEHHLEEGQGISGKALQSNHPFFYTDVKAYDVSEYPLVHHARKYNLNAAVAIRLRSTYTNDDDYVLEFFLPINMIGSSEQQLLLDNLSDTMRRICKSLRTVSEAELRGMEGSQYEFQKENVSGFFPMSRGNSQIAFSSGDNDLFQMSFNETNLKNSGNQAAYSQATSGSRKQAEKKRSAVEKNVSLSVLQQYFSGSLKDAAKKIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDSVQGVEGVLKFDPHTGGFVAGGSIIQQIGKHNKTLKFPEKASVPKLEDEDVLVSNSCEVELEKDNAISVDCIEDSTTWLWTKTQDCPKQTTIDYVLEKEQDQCGLDNSSLHDIGSHSSFSMIELGFEEGKGADEHNNPTSSSMTDSSNASGSMVNGSSSGSQSIENQKHSKVKSICVDSESKFAVKANYRGDTIRFKFDPSVGCCQLYEEVAKRFKLQNGSFQLKYLDDEEEWVMLVNDSDLKECVEVLSDIGTHCVKLLVRNIHGGSDS >RHN63091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48325268:48326542:-1 gene:gene25749 transcript:rna25749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase with an alcohol group as acceptor MKMRVDDTIGGLAGGRYYNRDNVAAITLGMSTNAAYVEPAQESELARSPNSNELVISMEWGNFNSSHVPLTSFDTILDAESSNSGSGIFEKLISGMYLGEIVRHVLLKMAQETALFGGSVPPKLMTPYSLRSPDMAAMHQDKSEDREVVSEKLNEVFAVSLFSPLHILK >RHN75504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42779933:42780316:-1 gene:gene11722 transcript:rna11722 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRPSTTNNHRHFIAPPSQFAPTPPPPLSFLRPSQHHHHHLSPHIHSDLRSHKNHRHPLVFFSDPASLFTYHHGSPISPSLAITNVILTTTTTSFFSDQLTQPPPCFFHSDLNHHASFSGDTGSS >RHN58892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5759433:5764066:1 gene:gene20848 transcript:rna20848 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQMQLFHLQPWDNFQCNSAVPYTKKKTQGSRIKGNKSHLKAMSENNHEITVKSDRSDCSCVQSVESLVMWTLPYDLKKLKPWLRDYMSGLCYEGYLHIQHIMEEEHEHISKRILWRPKMVSPMDLDELRAIFL >RHN69511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44063312:44067898:-1 gene:gene17990 transcript:rna17990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MALVKNSRGGDGRNSSNYCSTVPVAVFVAFCLVGIWIAMSSIVPLQDSVMEVSETINEVKNIANQTGSRQYEDKIGDTPNESAMRDNLTPISQSENRSESAETEKLDGQNDNNQLRGSSETLDEIKSDKSLDDSKLGTENSVSEVSQQDEGETKKEEIKENLQTNTQDSTGESNMESLENSKDETLIEAGTKNGTWLTQDSESQHDKDSQKSSISIDSSKYDWKLCNTTSGSEYIPCLDNLKAIKKLQSISHYEHRERHCPDEVSTCLVSLPEGYRSPIRWPKSREMIWYNNAPHTKLVESKGHQNWIKVSGEYLSFPGGGTQFKHGALHYIEFIEKSLPNIAWGKRSRVILDVGCGVASFGGYLFEKDVLTMSFAPKDVHEAQVQFALERGIPATLGVMGTKRLPFPGSVFDLIHCARCRVPWHIEGGKLLLELNRVLRPGGYFVWSATPVYQKDPESVGIWKDMRTITKSMCWDLVVIAKDRLDGVAAAIYRKPTDNKCYERRLKNEPPMCSESDDPNAAWNISLQACMHKVPLGESERGTIWPEQWPLRLEKPPYWLNSQTGVYGRDAPVEFTADTRHWKVVISHSYLNGMGINWSSVRNVMDMKSVYGGFAAALRLLKLNVWVMNVVPVDSPDTLPLIYERGLFGIYHDWCESFSTYPRSYDLLHADFLFSALKERCNIVAVIAEVDRMLRPEGYLIIRDNEEIIGEIENMAKSLHWDIRSSYAKNGEGLLCLQKTFWRPTKVETVVSAFA >RHN73470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16770181:16770688:1 gene:gene9285 transcript:rna9285 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLKISNNPSPSKAKERNKTTTEVKSKKKSSRRKMWFVYICDEEEKELGRQKASGCCPYCGGKVEAMDVEMQWRFCFLPMCFKIKRKFFCTLCARRLELQYY >RHN49679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:246364:246798:-1 gene:gene33883 transcript:rna33883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MMVSISKLVLPILLLLIIFVAFQFKGGESGLFHDKVHMHIFNNLTNGVKLTVHCKDKDHDIGAQTLNFGESYNFVFRPGTVVESTLYFCGFRFNTEFHYFDVYDQKRDDFFVGKDCHWEVHESGPCRLNVLDKPNSIECFPWNP >RHN72477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7984527:7985808:-1 gene:gene8193 transcript:rna8193 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVEMVRKKQYQRGSWWIMEMDQTYLIELSEDILKMRLAIKK >RHN57155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36950919:36954158:1 gene:gene32658 transcript:rna32658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MRNCMMFLFYFAASQMLVYYFIPSTTASLSLSSQTDKLALLALKEKLTNGVPDSLPSWNESLHFCVWQGITCGRRHMRVSSLHLENQTLGGTLGPSLGNLTFLRLLRLRNVNLHGEVPKQVGCLKRLQVVDLSNNNLKGEVPTELKNCTKLQSINLLHNQLNGNVPTWLESMMHLTELLLGINNLVGTVPSSLGNISSLQRLILGRNQLEGTIPYTLGRLQNLIDLTLSSNHLSGEIPHSLYNLSNIQYLVLAGNQLFGRLPSNMNLVFPSLKEFLVGGNNLSGTFPSSISNLTELDAFDISYNNFNGNIPLTLGRLNKLQRFHIGDNNFGSGKTNDLYFMSSLTNCTQLQKLIMDFNRFGGLLPNFIGNFSTNLTLLSMIYNQIYGEIPGTIGQLTGLSFLDIGYNFLEGPIPNSIGKLKNLVRLVLQNNKFSSYIPTSIGNLTILSELYLVENNLEGSIPVTIKYCRQLQILTISDNKLSGDVPNQTFGYLEGLINLDLSNNFLTGFLPSEFGNMKHLSILNLYSNRFSGEIPKELVSCLTLTELLLEENFFHGDIPSFLGSLRNLNLLDLSNNNLSGTIPHELENLKLLNTLNLSFNDLYGEVPKEGVFSNVTAISLIGNKNLCGGIPQLKLPPCFKVPTKKHKRSLKKKLVLIIVLGGVLISFIASITVHFLMRKSKKLPSSPSLRNEKLRVTYGELYEATDGFSSANLVGTGSFGSVYKGSLLNFERPIVVKVLNLETRGATKSFIAECNALGKMKHRNLVKILTCCSSVDYNGEDFKAIVFEFMSNGSLEKLLHDNEGSGNFNLNLTQRLDIALDVAHALDYLHNDTEQVVVHCDIKPSNVLLDDEIVAHLGDFGLARLIHGATEHSSKDQVNSSTIKGTIGYVPPGGPVSPEGDIYSYGILLLEMLTGKRPTDNMFYENLTLHKFCKMRIPEEILEVVDSRCLIPLVEDQTRVVENNIKECLVMFAKIGVACSEEFPTQRMLTKDVIIKLLEIKQKLLS >RHN61766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38169581:38170716:1 gene:gene24261 transcript:rna24261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MDQFGSDQPSSSNTTKVERRLVEKNRRNQMKILYSKLNSLLPNYNPKELPLALPDQVDEAINYIKSLEANVQMAMEKKERLLAGKNKRSREYCLDLPKSPCFEIHEIGSSLQIILTCGLDNQFIFYEIIRVLHEENVDIRSVNSSKVGDNSLLHVVHAEIPPSCVQFGATKVSERLKSFVYGSSSDVEIQPELWDFEIGPDDHNMWGF >RHN52850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39524304:39529935:1 gene:gene37574 transcript:rna37574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSRSLVQPIGQKRLTNVAVVRLKKHGMRFEIACYPNTVLSWRSGVEKDIDEVLQSHTVYTNVSKGVLAKSKDLNAAFGTDDHSSICLEILKKGELQVAGKERESMLSSQFRDIATIVMHKTFNPETKRPYTISMIERLMRDIHFAVDPNSTSKKQALELIQELQKHYPIKRCPLRVRAAAPEEEVPILLEKLSEWKATVISKEGTAAQLSVVFELEPGLYKDCHDFVMNKMHGRFEVLAHSLYVDGDTHVDYNDHEDMPAPLPQRTPESVLELNDKLQKQTISSGPKPNEGQQPKQNKCNTCDACFEDAKLYREHHKSEWHKHNMKRKTRQLPPLSEEECTADMELFESKSDLKDYSF >RHN59455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10912995:10916842:-1 gene:gene21486 transcript:rna21486 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTYSAQTILWGAKHGNRIQKQVVKKRELKLKKFVRISIITVSRLRPVVTSFRVFRIVVAFG >RHN51362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16704349:16704591:-1 gene:gene35788 transcript:rna35788 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFVEVVELLKYQVREHCVQLFWHLWYYEMSVSHCAPTSWLLGQLQKVNLDRNKVSLRGFPLKQRF >RHN60787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30423067:30423616:-1 gene:gene23166 transcript:rna23166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKHKKNMAQTLISVFALILILSPFLVVTDRDPIYCKSDADCPKESYPLFVKCVDNFCDFIIV >RHN70642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52955220:52959939:-1 gene:gene19251 transcript:rna19251 gene_biotype:protein_coding transcript_biotype:protein_coding MHMIGRYVPSWLCHILDCMGRGCLGCFSKPLVISMGEADASKGLKTQAQTMNNDNRSDDFFWSSSAIELDHGAIQSQRSISSISVSNHPSDPQSSDGIQTDSPEFVNHGLLLWNQTRQQWVGNKRSGRPKQVGEPKLSWNATYESLLGTNKPFPERIPLGEMIDFLVDIWELEGMYD >RHN71258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57649982:57652889:1 gene:gene19915 transcript:rna19915 gene_biotype:protein_coding transcript_biotype:protein_coding MASIWTLHFHSLSVRPSCPFFIDSNSSTRRRRRHRFLITNGISTQQFKQQCITSISKFHHQLLTSISIPMPQFLTNRNIGINLPIWVCVAVVILVASLRALSKFSRKKERPGSVADLVRRGQLRSDRRGISRNLKYEDPFDNPLVKVSKSKSSVEMCGKVYRLAPVTLTQEEQAVHQRRRSRAYQWKRPTVFLKEGESVPPDVDPDTIRWIPANHPFATTSTDIGEDFAHKNVSQKHGVPFRIQAEHEALQRKLEALQNEEELNKVVINPINAKEFERPFNSHGRLNDHAEKTSLNNQVKDPLSSKLDSSPNNFGSASSSGEDQNLKL >RHN79004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20848140:20851829:-1 gene:gene2680 transcript:rna2680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MEQADAYMILQTQNSSSLFTKMTFVALSGYDSEEATFPYWFLENVHTLDSLIVEMSSFKKIFQDRGEISEKTHAQIKKLILNELPELQQICEEGCQIDPVLEFLEYLDVDSCSSLINLMPSSVTLNHLTQLEIIKCNGLKYIFTTSTARSLDKLTVLKIKDCNSLEEVITGVENVDIAFNSLEVFKLKCLPNLVKFCSSKCFMKFPLMEEVIVRECPRMKIFSAGNTSTPLLQKVKIAKNDEEWLWQGNLNDTIYNMFQDKEGFDGLEHLFSL >RHN52171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32310377:32311444:1 gene:gene36805 transcript:rna36805 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFIIIANTVLPSFIFLSLQNPSTNVLKVYTSGLMFSNIILLNRSIASFSLPTMKNPLIKAL >RHN75625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43847364:43853150:-1 gene:gene11858 transcript:rna11858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative universal stress protein A MNPQSPVRPEPDQPARFSLPSGSNRKVAIAVDLSDESAYAVRWAVQNYLRPGDTVILLHVRPTYVLYGADWGSVTSPTADGGDASEESRQKMEDEFDNFTSTKATDLAQPLVESETPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGATKRSSNGKLGSVSDYCVRHCVCPVVVVRYPEESNGGGAGVEGNDGEKVELHPVIEEEHEDEYHDADDDEHKDA >RHN70662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53058875:53060820:1 gene:gene19271 transcript:rna19271 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSNKKLKLIQNKVLKIRWGHRVPVGTGKKLIPSSRSIRSSSSFSMATQVVSSKSPSSSKGWNISSIAARIYLLIIIFQVPLFRVTCRSGLCTTPLHVTSSQLVASDVFPVPLVKGLLYPGAALNGLIHNMTVPTWDSLLDVYNLTTIKEASAVTDLQRLEVLAGSYFAVAGALVGILKPGRMSMFGSLLVIWGLVKEGILGKPVNTDPAKAVYVYPTMVFAMICAFLSVKYDMKKVTARAAPVRSTAKPLKSSSKSKLK >RHN61460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35592174:35601555:-1 gene:gene23931 transcript:rna23931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CDP-diacylglycerol--inositol 3-phosphatidyltransferase MAKKPVPRSSKLSVYLYIPNIIGYIRVLLNCLAFSLCLRNKIVFPILYFFSFVCDAVDGWCARRFNQVSTFGAVLDMVTDRISTACLLVVLSQLYKPGLIFLSLLALDIGSHWFQMYSTFLAGKASHKDVKDSTNWLFRAYYGNRMFMAYCCVSCEVLYLILFYLAENQTETLVDVLSSNLQKITLISFLIGTSLFGWATKQIINVIQMKTAADMCVLYDIDKKHKH >RHN52313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33798684:33799301:1 gene:gene36975 transcript:rna36975 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHITEFDSCSWFGFVSIFFSPFLCGLAVPVCLPVDNGVLYLVEYRGWVSLFCVVLGDVCVDGDVGGSRCCWCCFALTVRWWLLVLGWELGCFRLLVLVVVMLNEELVVFWRGFDRFDDFLEEFWSVLEGFGVSIGGYVFEICVNGV >RHN76057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47341258:47343983:-1 gene:gene12331 transcript:rna12331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MAPLRFASEKNYDHLIKLLLIGDSGVGKSSLLLRFSDSYFTTSYITTLGIDYKNRAIELDGKKIMLQVWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNITNWIRSIEQHSSDNIVNKILVGNKVDMDESKRAVPFSKGQALANKYGIKFFETSAKTNLNVDEAFFSIARDIKQRLPDSKKTPSRIRINKHNNATAARGSQKSECCG >RHN67878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31126991:31129212:-1 gene:gene16123 transcript:rna16123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RING/FYVE/PHD-type MGDHKKKTMEEKPKLEELYQGIPDESVNLTFQDLPNWNRNITISEGSAINTPTRNIPSPSLSPMSMSPTHDEFKKGFKVYSNHKYNQQNFRHRGVGVQSTPSKASEHSMGYDAMSGESLASGKGGAIRRRRQGIPHSKICTICNDYVYFFRTRCLVCGRVYCKQCVEIGMGELREGRKCIECLGLRFSQRYIERAGLLGCLSWRYPSTLKQSELKWAEKGPRRNGDKGYGHQSRPTTPTTPRSPLSIASSSEATYAMSAAYSPFSPHHRVPL >RHN74391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32580096:32583036:1 gene:gene10448 transcript:rna10448 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVHLLVLILVSFSLRCESWGWFSSNKKTHSNDRSYGNQGSFRGSSAEFSIEAFNDPKGMKLIENAKNKMVGSNTCWQNAYQHLFAGCSEILAADEKRSRLAWHLSDCFQRDSGRVSFPRCDAETSIATCLRNLDDLAHKVYLEFYLETNSICYQLQTHAFKHETERLVTELKSSAQYVEEKLDNIEEKSDNLLQGSKQIFDSLESVNSHTQLVAQTVKNVETHIDVVLRHSESVYEQTTKIAASQSQLEEGQEDMKMKLEDGVALLKESYSYLGKEIEKLREEAIEIENEVIKVGDTMSSKMNTLQTKAEDIGNIARVSLEKQHELLDGQSTALKGLNSLNEVQFKALEESRKSLQYFSEYGHKQQEELLRRQEQMQGLHDRLMENSKTILSAQETFEAKQATMFVALDKIFALQNAMLIESRVIKAFFIYAISIFVIFMLTSTKQTYNVQPLLYIELCVALFVEVLIIRLTNDDIEQQTCIINKVRLFFKVAASAHLLYAIFTYRDYERLNHQMLLTLVNKINSMQKLKESTWEFDTTDYVDWSQWVDTDLPDDVNCLDDPDFVIPEEVAENSITTSTTKSYNLRAAIVYID >RHN52532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36435167:36436946:-1 gene:gene37221 transcript:rna37221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone METKVAGATSNRLYEDFEPYCKWITKEGQKILEIDLKGFKKEQLKVQTNNKGILKIYGERTLGSKKCSRFHKEIRISRDCDVNGIQAKFSQGILSIIMPKTEVFQHTKDATKEKLSFWGVQERKITTIQIVMGVVAMVALGTYVARVVVNKQHYDAFGNVNVVNV >RHN60993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32121757:32123170:1 gene:gene23399 transcript:rna23399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin fusion degradation protein UFD1 MLFELRNTSAERTTHCGVLEFTADEGIVFLPNWMMEDLFLQEGNIVSLKSTSLVKGKFVKLQPHSKDFLEISDPKAMLETSLRSYSCLTTGRTIMIPYNKKKYYIDVVETKPSPAISIIETDCEVDFAPPLDYKEPEKPLPSDLSHKKPLQVEEERAAKAPRLMPFSGIGRRLDGKPSTQSVEQASTPVPKKQHTENNSNNSNRTSGKLVFGSKANAPKVQTQPKAFLNSASQESSKKTDTPQFQAFTGKKYSLR >RHN60243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24186281:24189319:1 gene:gene22512 transcript:rna22512 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAIRRFNTAAEQTARLTKFCLQAPKYVEVEFANGSVFKLSAEFLRINSPAADGKIRSIGGEKVTSPFQSYLWSIYRVCLD >RHN63409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51025647:51031054:-1 gene:gene26106 transcript:rna26106 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKKVTVIVSILLFSILRFQPFVSSSPLLYGNQVYEESSGESRNLNQEHEHAPEVHCSRERSRVASKVIEEYLTPFVEKENYQLSRKCRLHPENDMFRDQEEHRIYLDRNEWRCGYCKKSFREEKFLDQHLDNRHCNLLNLSHGKCLADLCGALHCDAVTNSKSSRSKCNPAAAARNRHLCESLADSCFPISGGLSASRLHELFLHQFCDAHSCSGKHKPFSRGGKGQGSFFRLAAGALILVLLPVFYLFIYLIQCDMNGRTQDLRRISKSGWKAKPS >RHN47481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40070491:40071812:-1 gene:gene42091 transcript:rna42091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H(+) exchanger CHX21/CHX23 MLLACWLMTAIAGPVLAIIAKTLTSSKLLGSQRKSMHGTRPDSPLRVLACVHSKHDADAIIDLLKASSPSVRSPIQVLAVELVKMTDRPTSSLIIRDARKPSFRSNSSKVYSLKRENGDNLGSFDNLSQAIFADKLRIVSHYKSMHKDIINLCTRRHVNLIITTLYKQPTYDGLGAGTATARAVNIFNRDHASKDQKRIVLENLAKEAPCCLAIFVDRDFGGEKHSKVKKIAMFYIGGVDDREALSYAWRMSRNMDVQLTVVRLVWDNPEDEFGEMDREYLREFVCQTVDTPTMRYLEKAVKDEKETVRLLNKIGNKGFDLYIIGRGHRRKMSLAQTLDPVLDEPVLGPLGDTLTDLNSAAKTSILIFQKEAESNGLWKKKRTVSIASAQFFAGGMDQQEQALFLHQ >RHN40865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23496933:23500988:1 gene:gene47104 transcript:rna47104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative treslin MSSNSDPMIRYSHTNRIVLLIDLDPLLLLLHNTTTTTNYIKNILSTSKTLFSFPPLSTSLFAFKFFFSSLPPHLSFSKLHPFLPKHSFSFDHPFSTFNLLSKTLSTFPNFPLTYNPKAANLIDSLTQLLHDYPWEPNSDADTDTTTPLVSPNLILLFTPFFNSFNSLAGFFDSDEDSLRIENSFCDRFLGFFGNVSRRFRSKGVHCSWIGVNSDDKEDEVGMIRGLFEIGTGKLGWGFCSLDSILLGSALVPFGLIYPKIGVSWISVRCCSREVKVQLTLEILDVNGSPIEYNCCDLEVLDFRVFGRGEDVNLQGGGRKERLWNVCSDGMAKLKVTVVRKCDAFVKFRSCLSDSVLVREVLGECMKGDSGGFFADRVLELLATEFGCQGRRKSVPVWEMLLSYLYKEDCWALVSVDSGKGGGSCVGILRPFTVSSALLSVLEDPQSASDFGAANMNSFIRTGILKSDRIFHKNRDLVDSQVKDVVGIKGEQKKKMTDLSALRNLTWSSFYDLVYDQFEMDLHEVYYAMECNKSKKLKFLKCWMKQVKKSSCHDLNLSEYPKPNQIFAEGTDSKLNELPQNGEQPIPQVVMSAGINAEVDTKKDDAVLDCGLETSEAFFRNLSNRIQQGIESDVIDLVALAERLVNSSIYWLCQKVDRETIPLIQVHSPLKDNNACGSMVVSELVKQLLKDPKDIAAKHKSRDSSSQAFDAAGPTTIITEHVVREYELQILFRLEILQSEVGRGIEDSSKQKFVKQICLLLENIQCHMEGGFFGDWNLENYVAKIIKSRYSHTLEDIVHKIYNKMDLLLFVNEDEAPDCSFNSEDSSKSLDRKFYGDEMGENDVGNGPFSAENKPFHLQKNVRGKLQRNIEGGHNKTLIEALKIRMPALRVWAPKQKGMKSKKDHLSKIPKRKDRTSACYDTVCETPMTRNTRSMTRNTVCETPMTRSTRSSPQSIGSDDHNYMADGNQVCGSVAKALFQV >RHN59675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12630149:12631820:1 gene:gene21758 transcript:rna21758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein TIC214 MEKKMDLFTKDKISYNDNELSNYWSSNNKEKRKKLSNEFFKRAKVLDKKYKKYKKFIPVDVFENRIRLSNDKRKIKYLTKIYDPFLNGPFRGQSFSPSIQNETYTTNSILINKIHGLLLINSNYPEFDNSNYPEFDNSNYPEFEQKIDQFDRKFLLTEIGFFFNLISQFSEKSVSSFNFDGLYLFPEHEQVKIYSEEKKRKKKFLFEAIRTDQNNQTIFNRKKCTGINEISKQVPRWSYELIDELEQMTERLTKEFQIRSAKAERMVIFNGNTDSLTLNIGPRNDNDAIPEVDLNHEFFLVNFLREPDFDRDIIKGSMRPLRRKIATTKLSQGNAQPHSPIFLEMIDPLYFIFGDLFDDLSQIFKEMFRKPGTDNSEFVEFQERLEHKYEEDAKDDAEIRRLKIEEDWESILYGLIIRSFVLLIQSFFRKYILLPSLIITKNIIRILLFQNPEWSEDFRDWSREVHIKCTYQGIPVSDKELPKNWFDEGIQIRILNPFVLKAWHKSKVQSTEKKKKKDPQKKNILKTKTSGF >RHN71434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:131976:135561:-1 gene:gene7038 transcript:rna7038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MSEEAAGGSMLVVYDDDDVDVDVDDDPSDQHLGGGGGGGGCDTSSTEDSPSHQTTLHLVDPTTTTHHHIPYIGQMFPTHDAAYDFYTHFAKTCGFSIRRHRTEGKDGVGKGLTRRYFVCHRAGNTPAKSSTQTKPQRNRKSSRCGCQAYMRISKTTEFGPPEWRVTGFANHHNHELLEPNQVRFLPAYRTISDADKNRILMFAKTGISVHQMMRLMELEKCVEPGYLPFTEKDVRNLLQSFRKLDPEEETLDLLRMCRNIKDKDPNFKFEYTLDANNRLENIAWSYASSIQLYDIFGDAVVFDTTHRLTAFDMPLGLWVGINNYGMPCFFGCVLLRDETVRSFSWAIKAFLGFMNGKAPQTILTDQNICLKEALSAEMPMTKHAFCIWMIVAKFPSWFNAVLGERYNDWKAEFYRLYNLESVDDFELGWREMVCSFGLHSNRHILNLYSSRSLWALPYLRSHFLAGMTTTGQSKSINAFIQRFLSAQTRLAHFVEQVAVAVDFKDQTGEQQTMQQNLQNVRLKTGAPMESHAATVLTPFAFSKLQEQLVLAAHYASFSVEDGFLVRHHTKVEGGRRVYWSPHEGIISCSCHQFEFSGILCRHSLRVLSTGNCFQIPDAYLPIRWRRISVPSSKILQNAPSDHAERVKLFQNMVSSLITESAKSKERLDIATEQVSILLSRIREQPISLQCARDTSTIRNL >RHN58105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43783584:43787539:-1 gene:gene33714 transcript:rna33714 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-transporting ATPase MTQASMSLESDGANIELGASLLITTTTTTTTSSSKYNKKWRKSLYIGFLISLKKPTTSTENYQESPPPSPISSVPYTSLPPTPPARTSSAKSSVGIDIPSHTQEGDIAEIVKKKDLKSLLELGGIGIVCDFLHGQSQHSSKKITRNLGVSFSGILWNSCKHNLYTISMLLISAFLSFATEFKQEGPKYGWHDGVAMVFALLLLIAFSSITNFCRERKMMKLAKKKGQWKFNVKRREASKPVPLTVSDIVVGDMVYLSPHDEVPADGLLVSGDTDILVLSEGMKNEKIDCEENPFLIAGSKVIEGHGCMIVTSVPNNSNSTEMKGSMGYHPKKRALLESLIEKPISYLDKASLFVFTLVALVLFIRLICKKDVDGGGLPDIKGNNVSVGLLTQLLENIFSRPRGRISILAGLFSVVILCVQHGVPIMVTLSLHYQNDKVVLDQEAVLNDLSTCTTMGLVTVICIDVSGEIISKPMEVNKIWIGEAETDINKVEESETCPVVLDKLKQGVALSVLASRLSPSPMSNSFVSLAEKTWEMDIESFRENFHILEHGKLDSNQEGGGVLVRNVRDNEQVMHLHWSGAASTILEMCSQYYDRQGKCHSMENQKIKYGQVIQDMEDNGLKPIALAYRQTQVQELKQDELTLLALVGLKYKCRESTKKALKNLQNDGIHIKLVSTDDIMVVKETACELGIEVPVDGHLEGKELQYLNGKARLVKLGKAIAMGSFSPEDKLLMVRCLQDKGDVVAFIETQQLMTNHTSEVLKIADAGIVHNSLSKLIGSREGSGLSITCFSALKPIVKAGRSEYHNIQKFIQLQLTVGISGLLITLITTIFTGNSPLTEIQLIWVNALMCLLGGLMMVMELSSEEELVKQPYDRNQLIITKKIWKNIVFQVLYQASACIILEFGGHVTDREKQVRKTMIFNTFFLCQLFNLLNTMGFLKAEVFKIDVQKHCFSVALGSCFVMQVVVIEYAKGLAYCMRLNATRWAICVMVGAFSWVLEWILNKILSVFFSNTDTSPLDPPESTPQPLFYFYCGLPFMMLLLFPLGLAGIKFD >RHN60530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27736329:27737200:1 gene:gene22851 transcript:rna22851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde oxygenase (deformylating) MFNVTVLYRDELKELERRVTVSNGSLALSQINTSKIWLVGDDWDEDEQMQASEGSLFIPFSHFPPKKMRKGCFYHYTPAMITPTALINLHSCEVGCYQNFIIFNI >RHN56246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29237796:29238083:1 gene:gene31615 transcript:rna31615 gene_biotype:protein_coding transcript_biotype:protein_coding MNWKCEETLMKILMLSLLLLLLLLFLEFIVVEIEFEMFVVVVFDGNEEIVVVSVNGNRFMEKEIVDGNEEFVVIICCESMVSHVCFLNPIVDQES >RHN47090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37061465:37064277:1 gene:gene41645 transcript:rna41645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MAIKAPFQLLMLLGIFFLASVCVSSRDDRHDQENPFFFNANHFQTLFENENGHIRLLQRFDKRSKIFENLQNYRLLEYHSKPHTLFLPQHNDADFILAVLSGKAILTVLNPDNRNSFNLERGDTIKLPAGSIAYLANRDDNEDLRVLDLAIPVNRPGKFQSFSLSGSQNQQSFFSGFSKNILEAAFNANYEEIERVLIEEHEQEPQHRRGLRKDRRQQSQDSNVIVKVSREQIEELSRHAKSSSRRSGSSESAPFNLRSREPIYSNEFGNFFEITPEKNPQLKDLDILVNYAEIREGSLLLPHFNSRATVIVVVDEGKGEFELVGQRNENQQEQREEDEQQEEERSQQVQRYRARLSPGDVYVIPAGHPTVVSASSDLSLLGFGINAENNERNFLAGEEDNVISQIERPVKEVAFPGSAQDVESLLKNQRQSYFANAQPQQREREEGRSQRQRELISSILGVF >RHN79996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34206170:34209961:-1 gene:gene3866 transcript:rna3866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin repeat-like-containing domain, exocyst complex component Exo84 MQMESSSAPRFRFRDHSLPEPRNSIHSSEPSSDDVVSVLSLSNSNNSIDRPDDNAKLDIESITGRGIKHLCDELQELKEAANLDLHKNIFANYSSFLRILEEVTGVENELVHLENHFLSHQMLVKDLKDRIYPKILSINSTLEESIDFVVPSPPSELEALINDVSEKLDILMSENKLDEALQLLESAYEDESLTNSDDEIILYNTMISEKKSMVIKQLVQIVENKRIEGPELKSALATLCRLGDTQLAIHLLLKYYHLCIVTGTNNFQWEDSSMNEIYIRKLARFVFSKISQAAKSFVMLCGETSAYASELMLWSYEETMSFITCFEKFVKSTSEVCVGLSSAIKAAKFAVMYCSLLEDQKLMLLPYLVNQLFPCIEEVLNTHINHFKKVIPIFSISDSWILEKYLVSGVFGGAGSSTEQPDYCLLTSSGRKVLTLLQAIAEDISPLVELEMGNLVISGLKNLFNEYIIILERALTYETSATEQDSSRIKLPESLTQQVSILANLSTLVQFLSTMVKDIFSMDSQLVENHSVGFKHHELDVFLMFIEEGFNKLRNVFCQQLILRALSTCSSHEIASAIHYNDQFDANMIHNPMPSAIFQVLFLELRKIEKLEEENVFEVNWLMELLREVMVCMFIWVSKSKKINATTEEHVSLQTDEAKQFIMDVQFLVEIGMYGGYFSTDPLLLLTVMKSTFNSAGLDPFKDADSDDWAIDIATKTIQKLLEIEKTNLHRNESIVTIKDKEELHEHENQIKQSSNECNSSEIGDKHSLGDNIDEEEYESEVAFDAETDSSTFSPREGSLEERDYFDIDNIDFEKAADAGNDELTLTPSSGVEGQSSDQTESKSEE >RHN57597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40426077:40426220:1 gene:gene33170 transcript:rna33170 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGSHAPAVSVMEVRMPMVDDGHGWCAEALNRTYGGTMDLVTLKKA >RHN55214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16179869:16182989:-1 gene:gene30359 transcript:rna30359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MDPAYHLPEIWQSRPPHLAPGYPDFSIQDLTSEQKPLNHARKITRVTNEDSVQCVSTTNGGARGNSNAVNDDGGDGKRSKTSGNSKGEENSSGKHAEETSDEPHPKKDYIHVRARRGQATDSHSLAERARREKISERMKTLQDLVPGCNKVIGKALVLDEIINYIQSLHHQVEFLSMKLEAVNSRPTPGMEVFPPKTFDQQTFDTTAIPFASHATREYSRGASPEWLHMQLGGGFERTS >RHN52488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36009665:36014790:-1 gene:gene37172 transcript:rna37172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MSPVGLPPGFRFHPTDEELVNYYLKRKINGQEIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRKVSCQNRPIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKECEDNTGLQDTYALCRVFKKNGICSDVEEQQGQCSMLQIECSQTIINNECEMMSPEILGTSSSCLEEEDKDDSWMQFITEDAWYSSNTPMVGGEEISHVTFTN >RHN55292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16861511:16866300:-1 gene:gene30445 transcript:rna30445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKSDITVTKLVANGLYKEALNLYSHLHSSSPTPNTFTFPILLKACSNLSSPSQTQILHAHLFKTGFHSHPHTSTALIASYAANTRSFHYALELFDEMPQPTITAFNAVLSGLSRNGPRGQAVWLFRQIGFWNIRPNSVTIVSLLSARDVKNQSHVQQVHCLACKLGVEYDVYVSTSLVTAYSKCGVLVSSNKVFENLRVKNVVTYNAFMSGLLQNGFHRVVFDVFKDMTMNLEEKPNKVTLVSVVSACATLSNIRLGKQVHGLSMKLEACDHVMVVTSLVDMYSKCGCWGSAFDVFSRSEKRNLITWNSMIAGMMMNSESERAVELFERMVDEGILPDSATWNSLISGFAQKGVCVEAFKYFSKMQCAGVAPCLKILTSLLSVCGDSCVLRSAKAIHGYALRICVDKDDFLATALVDTYMKCGCVSFARFVFDQFDVKPDDPAFWNAMIGGYGTNGDYESAFEVFYEMLDEMVQPNSATFVSVLSACSHSGQIERGLRFFRMIRKYGLDPKPEHFGCVVDLLGRAGQLGEARDLVQELAEPPASVFDSLLGACRCYLDSNLGEEMAMKLIDIEPKNPAPLVVLSNIYAALGRWSEVERIRGLITDKGLDKNSGISMIEVT >RHN81947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50030218:50037367:1 gene:gene6048 transcript:rna6048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative post-transcriptional gene silencing PAZ-Argonaute family protein MPVMQLKESSEQHIVIKTNLQNPKNTPKASSQNGKAPPPTSIQNNNQTSPPQPRNKGRRRGRGGRKSDQGEILTRPSSRPCTEITSAINGNVENGYNSGDIDVGFPTSSKSMNFTRRPGFGQVGTKCIVKANHFFAELPDKDLNQYDVTITPEVSSRTVNRSIIAELVRLYKESDLGMRLPAYDGRKSLYTAGQLPFSWKDFTIKLVDEEDAVNCPKRVKEYRVVIKFVARANLHHLGQFLAGKRADAPQEALQILDIVLRELSTKRYCPIGRSFFSPDIRRPQRLGEGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVVEFVGQLLGKDVLSRPLSDADRIKIKKGLRGVKVEVTHRGSVRRKYRVSGLTSQPTRELVFPVDENSTMKSVVEYFQEMYGFTIQYTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPRDRENDILQTVQHNAYDQDPYAKEFGINISEKLASVEARILPTPWLKYHESGKEKNCLPHVGQWNMMNKKMINGMTVNRWACINFSRSVQDSVARTFCNDLAQMCQVSGMEFNLEPVIPIYNAKPEQVEKALKHVYHVSTNKTKGKELELLLAILPDSNGSLYGDLKRICETDLGIISQCCLTKHVFKITKQYLANVSLKINVKMGGRNTVLLDAASYRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPARGTVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFPNNHRDRSSTDKSGNILPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPEMQENGSTGDGNSSHSSKGTTRAAGECGVKPLPALKDNVKRVMFYC >RHN52612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37088927:37089900:1 gene:gene37306 transcript:rna37306 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIDVIGLCAKLREVPSLEGHVLLKKQRDALEYLKGRFTGRNKEDVANSISMVEALAVKLTQKNEGELIQEKFKVKKLLNFLKQSFACAEIENARAVVLRFGEALEEEKVTQASKKIKILILIKSSQTSK >RHN42455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38491114:38492063:-1 gene:gene48899 transcript:rna48899 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKDSHIDEPSQNHKFLAHPCYLKAGLKSSNPYEEPSCHEDTSHLLKVGSLSTSLHFG >RHN38871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2528634:2529653:-1 gene:gene44845 transcript:rna44845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSLKNVLTCYKFSKSNKFSLKLGILEYLSLHIHTPHSHSHSLSLSIVVITIMEEKIIEHKSVTTKLVKSSFMSSLPKSVTISFTDNYATDSSTEDEEQHVITTRKIVNEVRFQQCSTLSNKNNKKRQEETKNKNAVVVCLEQNNENPRQINDVVHQKFRGVRRRRWGRFAAEIRDPRLGRRRWLGTYDTAEEAALVYDRAAIDCRGADAVTNIIKPPQKKRSVKDEFVCDRNGYFGDNVSVEDCNGSSSYSQESVSEIWSERMNDQEWIKEITGEEYLDDGFTFVDESYGSCSYYEPVLPTMVSNESDCIVDTIPFHLEEDFESCEWEVNNYFNESLEF >RHN52963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40714379:40714984:-1 gene:gene37705 transcript:rna37705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor EFTs/EF1B MKVTRFVQFNLGEGLEKKSQDFAAEVATQIVAKPVISPVKEEPAAVEAKETGMCIY >RHN64989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63489568:63493584:1 gene:gene27878 transcript:rna27878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminomethyltransferase MRGGLWQLGQSITRRLAQGDKKAVARRCFATDSDLKKTVLYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTLNCRQNGSLFDVAHMCGLSLKGKDAVSFLEKLVIADVAALAPGTGTLTVFTNEKGGAIDDSVITKVTDHHIYLVVNAGCRDKDLAHIEEHMKAFKAKGGDVSWHIHDERTLLALQGPLAAPVLQHLTKDDLSKLYFGEFRVLDINGSQCFLTRTGYTGEDGFEISVPSEHGVDLAKAILEKSEGKIRLTGLGARDSLRLEAGLCLYGNDMEQHITPIEAGLTWAIGKRRRAEGGFLGADVILKQLADGPSIRRVGFISSGPPARSHSEIQDEGGNNIGEVTSGGFSPCLKKNIAMGYVKSGLHKAGTKVKIIIRGKANEGVVTKMPFVPTKYYKPT >RHN58616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3339970:3353379:-1 gene:gene20542 transcript:rna20542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA(adenine(34)) deaminase MYNAYFSSTVYGVSCKESFRLSFDGYSNLRYERFDRTSSNCSSCLGCCDFCALSTYRVPVKPCLLNGLRQSTFLQLSATRRFIFRGENLYCSRVPYGLVRGSCELKCSTNERNICNTSRRSRIKERCVCSASQKGREREDFPSFASDESELVLSFLSEEADKDATCTKSKNVSSSNRAEAKKKTNNLSRERHFNLCEKTKTKKKGNLKQHEVSSIDLRRECEINDTEKEAFAKDENCKKQRDMSSCSSYYTLSSGDFESDMEVQHNMGLEEFSLGYEEDEANRVEGNVKEEFNRQRVDQKKVHDISNKEKIVLGADIDWNIRKKSEKLLTEGTFQETDTTRDHQYMHRKGSTMHESGYGKASISQKQVHSEEDNSSFVEHLDKKTNKAYIQTGNRRKNQSSYAQESGRDEIETTLLSGKRFSGSEENLEISNSSKKTSDKHEKFVGSTSTTGTESLKSKKTFGGKEGSLGISETRLQERGDKHKNFIGSNSTTTEDVIERSSQNYIGNFKIEDSERTSDTRMKNMGEKKNSILSSAQGVELQHRKGEKTIAHDKDRRKSQLFSEESQVHGSHVEDTSIPMSKTSVKNQEEISYLSSHERDTRLQTDRRRTQSVQHSKGYEHFSTSSEGFDSDEKQVSSSQITYEKMRLMPKSKSASAVKTRESSSQTEERIFEFANDHQRSRNPSSQTGRVSAHVEQPAGFESPDIYLEVSESGSSALYGNSGRSPAMFSRSHSQYESDKSYSEPSIFMTPEDVLGSANRLEESSKQFVDEFVERVRHEVTTSERQQEIEVAGTMLASDVEDNQINSSRQQGTQNDSQSKSHESSHSTGFLGAKGISDEMWDVKEPSVGHGLSSEEPEINNETAKPIVKRTGRSMWGMLSDIVQLRWSSHAGSSTSSGRSGDRNSPNKSDSETWHSGQEREETGKSVLPQAMTSDKSKPGTHYTQSEGDVSDTKILKDKGKHIEVGSSFPNKLESGSTSKGTPYAGKEFSSRTENEKDLKVTTSGLKKMQSPNPLSVRGQPIAVEIVNIGGSDISRSESVMPIKEPVAPVKTEMSGSDRKDGELKQRKFQRKGQVSRDRFDDWEETYNVELEQRRTDELFMKEALLEARKAGDTWEVPVGAVLVQHGKIIARGCNLVEELRDSTAHAEMVCIREASKHLNSWRLSETTLYVTLEPCAMCAGAILQARIDTVVWGAPNKLLGADGSWVRLFPDGGESVSEARDIPPAPVHPFHPKIKIRRGVLATECADVMQQFFQLRRRKKKEDPLPITTHHPSKLLNKIQDIFHKKVKKRKTTTP >RHN78385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14625888:14627096:1 gene:gene1932 transcript:rna1932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MACSYVEYDRLSNLSDDLIYCILSFLSTKESYRTCVLSTRWRSIYTKIPDLHFEFPEESDLVSSKEIKYVYAALLRRTENLRKLSLYSRDHDIDSWQPQDIHMWVSKALDLKVKELDLGLELHEKTLLPCRLFTYESLVVLKLRGRIQPKLDSSFDVYLPSLKILHLQSTMFNCIFDDHIEYSLTNFLSGCPNLEELFLEESFTQLINVSLNSLKRLYICLFMPISHPDLSIYPLQINAPSLEVLTIMDFSLSPRKYEFTNLSNLDRAALCICKHSDFNSSYTILKEFSNVKSLTLGHKTFHFLSMEDKLDNTHLLTFHNLLFLSIEISKNCNWNMLVSFLHNAPKLKDLSIKVRMKSFYFLLYLHTFLLER >RHN44675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7373016:7373600:1 gene:gene38821 transcript:rna38821 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 5 MLFSMLVLVLFTFFVGSIGISFSQEPIDLDILSKLLIPSIDLLHQNSKNSVDWYEFFTNATFSVSIAFFGIFIASFFYKPVFSSLQNLNLFNLFQKSVLKKMIADKIINVIYDWSYNRGYIDAFFEVSLIASVRKVAKFNYFFDRQVIDGIPNGIGISSFFIGEAIKYVGGGRISSYIFFFVLIFLLICYSIFI >RHN78849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19184322:19185160:1 gene:gene2510 transcript:rna2510 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNRECDDMGRVISPTKFDERDQLRRRQVGQEICRYISPSSLPRREILYLTHSRDKKMNNFAEEFLGMVSSYVSPLDVNFFRSKMDISSTENEKDVTVSPCDVGERVCDQRLESVVDESFLMYMAVLEEFGVMIPFTDFETDVLKFLNVAPSQICHNIWAFIRGFEILYKALSLEPYVGVFFHFYGTKHVIKGTWVSISAHPGKKNSLPMLLTLRKTGGIPLLGCRGHLSA >RHN66821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19400543:19400761:1 gene:gene14916 transcript:rna14916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MVVKVYGPDYACPKRVIVCLIEKDIEFETVHVDGFKGEHKEAEYLKLQVLFLCFFYFYCTFGPKFLFMAFFF >RHN44441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4788919:4791992:1 gene:gene38562 transcript:rna38562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MSNGNGKTGKQIEQQPNTTCRRKRVGGGDEFAQSIAKVAVAQVCESKGFQGFQQSALEAMSDVTARYIMNIGKSANCYANLAGRNECNVFDVIQGLEDMGSMQGFTGASDIDHWLEDSGVVREIVQFVNEVEPVMFAHPIPPFPVVKERVLPPSFLQRGKEPPDEHIPAWLPAFPDPETYLQSTTVNGRGTEPRTTTFEHERENGKGDRPLLNSQQQMVSTMFENSTMVDPAVAKAKIVGAESNPFLAAPLKIEDKEVSSVAPPAKFFNNVSSDTPVGENLIQNEPGSVLETFAPAIEAINSASCDSKEDQTKFPVKEKPTVRFKVGTKNKFLGRSIGLIPQNEEHKKTLPWFAMEDEKDDRKRRAEKILRESLENPDQLVQL >RHN65589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3467639:3476123:-1 gene:gene13443 transcript:rna13443 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MtN21 MGAEKPRSCATYFENSKPYFAMILLQFGYAGMNIITKLSLNGGMSHYVLVVYRHAFATIAIAPFAIIFEWKDQPKITFSVFMQILLLALLGPVIDQNFYYAGLKLTSPTFSCAMSNMLPAMTFVMAVLCRMEIVNLKKLRCQAKVIGTILTVAGAMLMTLYKGPVLELMWTKYIPHSNANITSSSSKDNWFLGSILLIIATLAWSSLFVLQAKAIETYKNHQLTLTSLICFFGTILAFATTLIMENKDSVWTIGWDMNLLAAAYAGIVTSSISYYIQGLVIKKKGPVFATSFSPLMMIIVAIMGFFILAEQLFLGSVIGSILVVIGLYSVLWGKHKEQVECKVSPDDIPLPIKSARINGNMGAFSASFDKHSEVKLDQKEESNISLVIINYNRS >RHN43332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44988199:44991908:-1 gene:gene49888 transcript:rna49888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease Nob1, ribonuclease, PIN domain-containing protein MEEPKASCWSSVVKKEAPPPQQQPPLLQTEQVLEDARNRHGISVAVVDANAVIEAGEKLHGIADKFVSVPEVMEEIRDPVSRHKLSFLPFDIQTMEPTPESINKVVKFARVTGDLQTLSDVDIKLIALTYTLEAQIHGTKHLRDSPPPVQTVNVKRLPEKDMPGWGLNVPNLEEWEALEQETEDNANSGSRILPLQDLSLNILPQDDQSEVGLVEHTGETLLEILEGDERGGSMRRKNYIPKKKVINIEGKMVADGIDASQGQVDDNGGDWMPAVSRSTHRRFLRRKARREHYDALSSNQDQQDMEENIDGSVCEDDKTSNLDVHQSDDEKHIENVVSKDDMIFAENNDGETISATLKQMTLEEGSLEVLNEEHKPSLSPEDSSANLAAKSCETDSVNDIVNHSDIASQSSEAADVSYADDASEQSWMLRSLSESTVACITGDFAMQNVLLQMGLRLLAPGGSQIHQLHRWILKCHACFTVTAEIGRIFCPKCGNGGTLRKVAVTVNENGLMMAARRPRVTLRGTKFSLPLPQGGRDAVTKNVILREDQLPQRVLHPKTRKKANKDDDFYMVDNVFSHHNSKGSPFQPPVRRALATFSGKRNPNDNHYSRPRK >RHN62243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41967033:41967997:-1 gene:gene24797 transcript:rna24797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MAFTTNIVHVLTLLLVIITTSMFTVTMANKDWPSFGNFNYTDWWSRFGNHHHQINKTEQQPKNIIVGGSQNWHFGYNYSDWAIKNGPFYLNDTLVFKYDAPNATSFPHSVYMFPTWQSFMKCDVKKAKMVANHTQGVGEGFKFVLNKWKPYYFSCGEKNGLHCNVGQMKFAVMPMLRPFWSSWP >RHN55376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17769099:17771697:1 gene:gene30548 transcript:rna30548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MASSGAVPFWRAAGMTYITYSNICANLVRNCLKEPHKTEALSREKVHFALAKWSDGKPEKATIRSDTPDI >RHN47930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43638927:43640749:-1 gene:gene42587 transcript:rna42587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MYIKYWIGTCYCLSPLLEHVTPVAISQKCNPQDKKALLQIKKELNNPTSLSSWNPRKNCCDWVFIHCDVTTSRVIWLAIQFSSPDQFTTPFPNPEFIGHISPSVGDLSYVERLEFNQLPNVTGQIPSTISKLKNLKYLTISGTSVSGPIPSFLGQFKNLELLDLYSNKLTGSIPSSLSQLTNLKQLFLHENKLSGHIPASLGQLNLERLALSKNRLVGDASVLFGSNKRTEYIDLSRNLFSFDFSKVDVPKKSSFLLDINHNNIYGKIPVGWTKVKELQMFNVSYNLLCGQIPQGGNLQTNFDVFNYYHNKCLCGSPLPKCK >RHN44696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7432547:7433585:1 gene:gene38846 transcript:rna38846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-VII-1 family MTILVFIDYYWISNPENSLNKASEIGEGVFRTIFKVPLGSQQGRNVAIKKLITSNILQYLEDFDREVRILGNARHPNLIASKGYYWNPQLQLLASEFAPNSNLQSKLHENLPSSPPLSWPNRFKILLGTAKGLAHLHHSFRPPIIHYNIKPSNILLDENFNAKISDFGLARLLTKLDKHVMSNRFQSALGYVAPELACQILRVNEKCDVYGFGVMILEIVTGKRPVEYGEDNVLILNDHVRVLLEHGNALECVDPSLMSEYPEDEVLPVLKLAMVCTSQIPSSRPTMAEVVQILQVIKTSVPQRMEVF >RHN43871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49107721:49109820:-1 gene:gene50505 transcript:rna50505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MHTKTDSEVTSLDASSTTRSPRRPAYYVQSPSHDGEKTTTSFHSTPVISPMGSPPHSHSSSSRFSGSRKLNNNHRSNTKPWKEIDVIEEEGLLNNQDHHHSLSRRWYFLAFILGFFLLFTLFSLILWGASRPMKPHVMIKSIKFEHVRVQAGSDATGVATDMITVNATVKFTYRNKGTFFGVHVSSTPLDLSYSEIVIGTGNMKKFYQSRRSQRLVSVAVMGSKIPLYGSGASLSSTTGMPTLPVPLKLSFEIRSRAYVLGKLVKPKYYKKVHCSVVFDPKKINVSVSLKKSCTYD >RHN58458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1879830:1881726:-1 gene:gene20375 transcript:rna20375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MLLKDFPQECWESIFKFLGQGKDLESVSMVCKKFLSITNQVKFSLTIHDSTILFLSRLLSRFLRLKAIDFSHFNGELEDILHQISQSGLDLDLINLSNQRTLPVDGLRELGTKMINLRVLICSNVGSLRDSHLVVIAYCFPFLEELDISFPLDSQASDFGLLRLSSMLENLCKIDLSGNHLITDKSLLSLCQNCRSLEEISFFQCFKISQDGIASAIRMRPSLSSISFNIEKKRIHGPGLTPLPINLDLIDSFVSLKRLNAIDLSNSFISDEFLISVADGAVNFLKKLVLQDCCNFTFSGIFYVLSKCQYVQSLDLRKADFLTDQCINKLSIFLINLTSINLSGCCQLTNSTFFILTRNCPLLLEIKMERTYIGVEGEEDSNSMSDFVVNRQVKAVHLGDNILLNDASLIKFTSICAGLQLLDLNACEGITGECVAEVMKRCYVIRHLNIAYTGIEKFEINFEVSQLKVLNLSGARIEDESLSIISKWCSGLMLLDIQNCWYVTAKGVREVIENCIALKELNLRNCSLVDDDFVCGLMHARPSLRKIITPSGVDFYG >RHN64915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62940310:62945450:-1 gene:gene27792 transcript:rna27792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pseudouridylate synthase, tRNA pseudouridine(38-40) synthase MENSDKTPSISSSPALPSAVAEEPATKKVKMSTTTSDDEGCTTAEGSKVRYKRRKVAIFFAYCGVGYQGMQKNPGAKTIEGELEEALYVSGAVPEQDRGLSKRYDWARSARTDKGVSAVGQVVSGRFYIDPPGFVDRLNSNLPSQIRIFGFKRVTASFSAKKFCDRRRYVYLIPVFALDPCCHRDRETVLASLGSENELVKCLPCSERGRKVEGVVGNSKRNLELEAVDVENGSSNKNDVVDSGVTKDVEVSLSKGDDNHLNKESINDNEGKVSVEEVNSKTVVSDQDEVAPINGGSENNLGILEEEKVNREDTAANGSGFCYGEKERERFNNILKCYVGTHNFHNFTTRIKPEDPSAKRFIISFDASTTVVVEGMEFVKCEIVGQSFMLHQIRKMMGLAVAIMRNCAPESLIEKALQKDVSITVPTAPEVGLYLDECFFTSYNQKWKDTHEEVSMKAYEKEAEDFKMQYIYPHIASTEYKEGTVGLWLHSLNHRNYPDLRILDGEGVTNDKKVEVDMNDKKTEIEVVTE >RHN75258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40723774:40725302:1 gene:gene11431 transcript:rna11431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2AX MAGRGKTLGSGSAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKAGGNNKGADDE >RHN82475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54084863:54087066:1 gene:gene6644 transcript:rna6644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MAVEGVNSLRLSQTKNLIIQVITGRWFVVFASFLIMSASGATYMFGLYSSTIKTSLAYDQTTLNLLSFFKDLGGNLGVFSGLINEITPPYVVLAIGSLLNFFGYFMIWLAVTKKIPKPKVWQMCLYICIGANSQSFSNTGSLVTCVKNFPETRGVVLGILKGYVGLSGAIITQLYSAIYFDDPKALILLIAWLPAAISFLFLRTIRYMKPVKQNNELGVFYKFLYISLGLAGFLMVMIILQKKVSFKQSEYIGSASVVLILLFLPLAVVFVEQKKSQKLAFVDPFSVKIVTDQEVKESAAKNCDTNAMVCVVEVKETRWWQNIFSPPEQGEDFTILQALFSIDMLLLFFAGTCGVGGTLTAIDNLGQIGTSLGYPKTSISTFVSLVSIWNYLGRVFSGFVSEHVLTKYKFPRPLMFTLIMFLSCVGHLLIAFDVQNGIYFASVIIGFCFGAQWPLVFAIISELFGLKYYSTLMNFGGVASPIGLYFLNVRVAGHLYDKEAKRQLIEKGVKRKLGQELNCVGASCFRLSFIIITAATLFGAIISLILVARTNKFYKGDIYKRYRSEQVEVEGATAEMTVVQNDGKRGKEEAKATVM >RHN60665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29252554:29252947:1 gene:gene23015 transcript:rna23015 gene_biotype:protein_coding transcript_biotype:protein_coding MTTILLGSRAGFQSFCPLKCRCINDEAVTLLSLPELESICIMVGNCTVYITVIIILHDNVLHLQIEVFRTCIKFCFL >RHN74587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34933432:34933731:1 gene:gene10681 transcript:rna10681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MAKSRLVCYCGVESPLVTSWTDENQGRRFHGCGKYFQRRKCSFFRWFDPEVPERQKKLTRGLLKKNDAMKKKTKKLELTIVVLGMLLFLSLFVIFIKLG >RHN56667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32679651:32680454:-1 gene:gene32104 transcript:rna32104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70 MRSMLNQIVRWVTQPMIWRFVSFVSSVVGLLCYALSSSFTHLFGNWNFFKVFLYSIFSFVICLTILFANKWQNSNTSLPLKAHLVFSVFIITTVYSFFFDKANGKPDLYSLISCAAFAIMSLSLSKQTHFGFEVDLLYFFCGYLTLQLMKIKLVLVIVGASFSYSLIIFRYYLGKPRESGSHLGLQIQDQTPIVIQVHQDSEQSSTGQVSFYETNTDTERAEIGPRINIDAQQEDRDLGPVTHIKLSSQKGKHDNVYNKALTLVKWS >RHN38417.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000024.1:8371:17759:-1 gene:gene50767 transcript:rna50767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MELTPRGRNQGHVVTFDRDADSFVEEDKELQSKWAAIEKLPTFKRIKTSFVDEITQEENGSRWQRSSSKRVVDVTKLGAVDKRLFIDKLIKHIENDNLNLLQKLRERMERVDVKLPSVEVRYKNLNVEAECEVVQGKPLPTLWNSFSSLFSGLVKSIACNSQETKMGILKDVSGIIKPSRLTLLLGPPSCGKTTLLMALAGKLEQSLEVSGEICYNGHKLDEFVPQKTSAYISQYDLHIPEMTVRETIDFSARCQGVGSRADIMTEITRKEKEQGIFPDPDIDTYMKAISVEGQSENLQTEYVLKILGLDICADTLVGDALDRGISGGQKKRLTTGEMIVGPIKALFMDEISTGLDSSTTFQIVTCLQQLVHITDATAVLSLLQPAPETFELFDDLILMAEGKIVYHGPCSQALQFFKDCGFWCPERKGVADFLQEVTSKKDQRQYWYRTDIPYSYVSVDEFSQIFKTSYWGRMLDDELSQPYDKSQSHKSSLSYSKYSLGKLDLFKACMKREILLMKRNSFIYIFKTVQLTITAIITMTVFLRTQLDIDLLGSNYLLGSLYYTLVRLMTNGVAELIMTITRLPVVYKQKAFYLYPAWAYCLPAAILKIPFSVLDSLVWTSMTYYVIGYSPEITRFLRQFLLLIALHMSSTSMCRSLAAIFKTDVAATTVGSLVLVLMFLFGGFILPRPSLPKWLRWGFWLSPMSYGEIGITLNEFLAPRWQKIQEGNITIGREILKSRGLDFNANFFWISIGALLGFAVVFDILFILALTYLKEPKQSRALVSKKRLPQLKGGEKSNEMELKNKSVAVDINHTSKEAQTGKMVLPFLPLSIAFKDVQYFVDTPPEMKKHGSNEKLQLLCDITGAFRPGILTALMGVSGAGKTTLMDVLSGRKTGGIIEGDIRIGGYPKVQKTFERVSGYCEQNDIHSPYITVEESVRYSAWLRLPREIDSATKGKFVEEVLETIELDDIKDSLVGIAGQSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAVVMRAVKNVVTTGRTTVCTIHQPSIDIFETFDELILMKSGGKIIYNGALGHHSSRLIEYFQSISGVPKIKDNYNPATWMLEATSAAVEDELKIDFANIYKESHLHRDTLELVRQLSEPEPSSKDLHFSTRFPQSNLGQFMACLWKQHLSYWRSPEYNLIRFVFMIVAAIIFGAVFWQKGKEINTQQDLFNVFGSMYIAVIFLGINYCSTILPYVATERSVLYREKFAGMYSSMAYSFAQVAIEIPYILVQAIIYVAITYPMIGFHWSVQKLFWYFYTTFCTFLYFVYLGMLIMSLSLNLDLASVLSTAVYTIFNLFSGFLMPGPKIPKWWVWCYWICPTAWSLNGLLTSQYGDMDKEILIFGDKKPVGTFLKDYYGFRHDRLSVVAVVLIAYPIIYASLFAYCIGKINYQKR >RHN70340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50735469:50736811:-1 gene:gene18910 transcript:rna18910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MDDFSDRFPIGMRVLAVDDDPTSLLLLETLLRSCQYHVTTTSEAITALTMLQENIDMFDLVIAEVHMPDMDGLKLLELVGLEMDLPVIMLSAHGETELVMKAISHGARDFLLKPVRLEELRNIWQHVIRNKESQFVWSVELHRKFLETVNQLGVDKAVPKKIFDLMNVENITREDVATHLQAFFQSFTL >RHN66525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13330196:13332818:1 gene:gene14528 transcript:rna14528 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIIGFTVFNSYIHSSCLQTKRFSRLPRHPSNSTLFHRSLQVLDGKIKRASMCKVNGLPDIPLMAILVEQLEGQRDLITEKTIWHLSDQQIKNVYAWYIMFTVWGVLFFGSMKDPYYDSETYRGDGGDGTGNWIYEKQEVMEAEAREALWREELIEEIEQKVGGLREIEEAAKKEEELVK >RHN49551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55592193:55595294:-1 gene:gene44393 transcript:rna44393 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEREGNGKGAKVRFLIEKATNSTAPDVDHRLLKAIKTVVRYSDLELRLSSQTLMDLMKRDHSQVRYLALQIIDELFMRSKLFRTLIVENLEQLMSLSVGFRRNLPLPAPPSVASLLRTKAIEFLEKWNVSFGVHYRQLRLGYDYLKNTLKLKFPNIQANLERIRQERKEREMRSKEILLNKYESLKEKFSSIKGEILSTMDEIDGCLDILHSKQELESDDILDDEELDDFRSLELQQLRREALNEGEKVYESGDNKVVFDALRELYKLLVTKHLVSIQEWVSVLVRVEVADNRFRDSTLKEFIDIQNRVKSVKKRCEEAGCSFLNSSKLDGEEDFWEEGNVVSIETPSSAPDNKNKHPDVASTSQNMSSDKLGSYAKESKSSNTDSLLHGGNQSESHPLRSKLLTKAPVVRWGSHLDTWGSNRVFMANQRGLDLESHWGRVDDDAVIPSDIISELSVPAMPYEEKQIEIQPCRAPLRKGGLCRRKDMKICPFHGPIIPRDDEGKPLGASPSEDMNVNITKTDLAEKLAKQAVKNVRETDKVAAKKREIDKQELKRAKLAKIREHNDAVLRDAALASTSRSAAFGEDDEVTNRDKKASLASMLKKKVTSKDRIAQKLLSSRAR >RHN43295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44723824:44731175:-1 gene:gene49843 transcript:rna49843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MNGDGEELTAQETALYDRQIRVWGADAQRRLSKAHVLVYGIKGTIAEFCKNIVLAGVGSLTLIDDRPITEELLSSNFLIPPDENIYNGKTIAQLCCDSLKDFNPMVRVFVEKGDLSSFDVEFFGKFDVVVVSCCSRSAKKLANEKCRKASKRVAFYTVDCRDSCGEVFVDLQDYKYQKKKMEETIECHLKYPCFEDALSVPWRALHRRMSKLYFAMRVIEKFEEVEGRSAGDVSDADLSNVLKLKKELCTAQSLNESHVPDTLLERLVADTTEFPPVSAVIGGILGQEVIKAISGKGDPIKNFFYFDASDGKGIIEDISDSNIGN >RHN49094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52350063:52354811:-1 gene:gene43884 transcript:rna43884 gene_biotype:protein_coding transcript_biotype:protein_coding MDEESGRPIRMMNFVSEEQLAESKRTRGERVEDGTAHRDRPLFEILKENKDKKDAEFNERFKHRPPKALDEDETEFLDNYESTKREYEQKLADEEAQQLRSFQAAIAMQSNTVHELKEKASVLAVQEEKPAAGKRKPASRPLNMIIKVKPQAKKAKVDEGNTEDVPKAGITYVNDKSKPLEPVQPLNGIADRSNEVAQTGLVSYSDESDDDL >RHN51263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15520602:15521141:1 gene:gene35673 transcript:rna35673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 15-cis-phytoene desaturase MSKTELSRMKQPLNPLATLIDCELRNGKTEKPFVKYGHAGIWAILRNNEMLTWPEKIKISIGLLPAMLGGQAYVEAQDGVSVKEWMRKQVS >RHN44130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1912994:1919061:1 gene:gene38198 transcript:rna38198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MYRVRSEMDKGEWIPAVLREKLEQNWEDNKWKNKEAVNKRNRRSSNGPLHTCVPIPTIEHSKRLKTDSNMTPSCWELYLKTHKMKGDP >RHN65100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64181185:64183138:-1 gene:gene27997 transcript:rna27997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MGEKEKVKVTIMKLKVDLQCAKCYKKVKKVLCKFPQIRDQVYDEKNNIVTITVVCCSPEKIRDKICCKGCGAIKSIEIVEPPPPPKPKEPEKPKEPVKPKEPEKPKEPEKPKNPEKPKEPEKPKEPEKPKEPEKPKEPEKPKEKPAPPPPEPKPEPPKQPEKPKEKPAPPPQPMPEPAPYIPVCPPPMAVPIGVCCTPCYEGRGGGPCFEGYGGPPPAPISCYDGYYGRPVYDSYGGGRPCYVSRCDEYLCEDNATACTIM >RHN80648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39758332:39760012:-1 gene:gene4595 transcript:rna4595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA/RNA-binding protein Alba MTTIAAPVTETANESHKKNRIQVSNTKKPLFFYVNLAKRYIQQHNEVELSALGMAIATVVTIAEILKNNGLATEKKVLTSTVGMKDENKGRLVQKAKIEITLGKSEKFDNMMAPADTTTPESEAATDDKK >RHN78547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16129330:16132976:-1 gene:gene2127 transcript:rna2127 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKIKIKQCQSFWKDRWLRFLWLYCYFVLLLCHSNYGWIIPENHLGRYKLCYLDLSFGTFSCDLLIISVYCH >RHN82241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52231883:52236414:1 gene:gene6386 transcript:rna6386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase transcription regulator GNAT family MAAAMVAEGKKAPRPGKGGFEGHGLSEEEARVRAIAEIVNSMVDLSHKGHKVDLNALKSAACRKYGLSRAPKLVEMIAALPDSEREVLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQTRGRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFTRNLHDALSGHTSANVEEAVMYSEHSATKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDMESFREFFESPLFRTDGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIIARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMEDLGLKCRDVRTREAGIQDIHHQIKPEEVELVRRDYMANEGWETFLSYEDTRQDILVGLLRLRKCGRNTTCPELMGKCSIVRELHVYGTAVPVHGRDSDKLQHQGYGTLLMEEAERIASKEHRSTKIAVISGVGTRHYYRKLGYELEGPYMMKYLL >RHN53843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4652142:4652493:-1 gene:gene28797 transcript:rna28797 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRPIMRKIRKSYINIVDEKGLNWRKKPCMGKRNRKSNKIHKNILEILGLLGVGEKCLNFKVKMHPLIYII >RHN69476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43683754:43685952:-1 gene:gene17952 transcript:rna17952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MPSIHSKDNQITTNTAKLFPDFFKVFLIEKHYERMLIPIAFVKLMLSKQRVLKYFILRDHRGTDWHVKARSIGRKLYFDDGWKLFREENSLEDNDFLVFRHIENNVFKFKIYELSSMCKKMKVMDGEENNNMLEDEEDDDDGEDDDDDERRLYNGRGRRRGKR >RHN80647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39753909:39757720:1 gene:gene4594 transcript:rna4594 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MANNDLILGQNHNLALGHEEQSMLGHNHDLGLGENHEIEMGSAHEHQLGQTHEHELDLGPTHEHELDLGQTHEHVLDLGHDIDNNMGLEQNHAQEGDDGQTYEHELTMEQKSGHDDHKSPLPGQNHDLVIENNNLNVFENQGFDENMDLAVIQHPEMGADSDNDMSMQQSQFMLSSESHVIQARTLAVSPTYELTVGQEFPDVKSCRRALRDTAIALHFEMQTIKSDKTRFTAKCASEGCPWRIHAAKLPGVPTFTIRTIHESHSCGGISHLGHQQASVQWVANSVEQRLKENPNCKPKEILEEIHMVHGITLSYKQAWRGKERIMAAMRGSFEEGYRLLPQYCAQVKRTNPGSIASVYGNPTDNCFQRLFISFQASIYGFLNACRPLLGLDRTYLKSKYLGTLLFATGFDGDGALFPLAFGVVDEENDDNWMWFLSELHNLLEVNTENMPRLTILSDRQQGIVDGVEANFPTAFHGFCMRHLSDSFRKEFNNTMLVNLLWEAANCLTIIEFEGKVMEIEEISQDAAYWIRRVPPRLWATAYFEGHRFGHLTANIVEALNSWILEASGLPIIQMMECIRRQLMTWFNERRETSMQWTSILVPSAERSVAEALERARTYQVLRANEAEFEVISHEGTNIVDIRNRCCLCRGWQLYGLPCAHAVAALLSCRQNVHRFTESCFTVATYRKTYSQTIHPIPDKSLWKELSEGDANVTQALEVVINPPKSLRPPGRPRKKRVRAEDRGRVKRVVHCSRCNQTGHFRTTCAAPI >RHN53774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4062972:4065530:1 gene:gene28719 transcript:rna28719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HD-ZIP family MSIEKEDFGLSLSLSFPQNPPNPQYLNLMSSSTHSYSPSTFNPQKPSWNDVFTSSDRDSETCRIEERPLILRGIDVNRLPSGADCEEEAGVSSPNSTVSSVSGKRSEREVTGEDLDMERDCSRGISDEEDAETSRKKLRLTKDQSIILEESFKEHNTLNPKQKLALAKQLGLRARQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTDENRRLQKEVQELRALKLSPQFYMQMTPPTTLTMCPSCERVAVPSSAVDAATRRHPMASNHPRTFSVGPWATAAPIQHRTFDTLRPRS >RHN61613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36825893:36835914:-1 gene:gene24096 transcript:rna24096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleolar 27S pre-rRNA processing, Urb2/Npa2 MVDLEANQNKQNRKRKQKIHDQPQKPSKLHRSESAQKPQDQQPAKSSTPWNNLQLILCIQDKNLDLNSKLNQAFNFVRSRVDDGAHIDQNCETIKLPRLLGYLNDWILTVLFPPNGKKDWGEGKTPQLDGVEAYMDLRCWEIFKFCLDESLKCRVSLNMSRNLLQTVQFVVRNIMLLLDAFSTSSGEHFKSDETFKLYETALDCVSLVFSSHGGLSNENLDLWVETTGAALGLVQKVYDKNLDGSCVGACALRLLWLVLQPFSKFLRVHPARKGFESFVVKLLEPLLHLSGELHRRVSGSDPIWTGRLVKVIEEVLSHGLFHPVHIDEFLSLHVSEKYVASCDDKPKDSKATIKSYHRHLFDALNEIISRKKAIAMGSLGLIFRLYADSARKFKGTLVVYEGSNTTEKINDLKQPVPGGTSSSNNTSVDIQKSLFNFLVLIMEPLLLEMNACIQAKIDAKLLFSDLCGILKSIGNLLASFMQEKVYVKTEDTSGGACLNFLKKIFNTLIASSTGILCLSNYDTAIMMEMETFILSANEALVAMGYLLEIEYEVIGEDLVNLWLILLSYSAINCNIANAFDQSSLSSTIPALGCQIVNLYSQLRQVQIAILALCKALRLMMTCDGDAEESSSKLLTFLSNDIYSESVERLLSSHKFIHTIYKAMEYIPEGQVSGFIRQITDDISETLRWMKDCSPLVDGNKWRKINLQAELLGRGLSRLYSLVLDSATITEGNSNLVGVAVKELISLLRPYLSNLVLQQPDTICPDTICLDTICKFFTPIIGETVDRAVGKGKVLKKFGRSSQWIFVFFLQLFVSSRSLLRQAISLMPPSLSKKMSVEMGDYSAYSAFELMERADDTDSGFFSWISQPSASLLFVMKLISKFYLKYGSDDSSPLVYIFQSMALQRLVDLDRRIILLTYLQKKHYKSRIKALKEEAAGLASFIMENLACVYQSPVFVSDDVRCEDLVSLAPQINKWNQGIYIANKNSLPIAIWSNLCKNIDIWGKHGSKKQLKEFFSHLLCVSLHRVSSSFPEPDILDDCMLLKRVTLPHISSDLLSDSILFEQKFAHRNLAKIFCSALEESVLPLFRNIACTAVELQSEPNWVEFLSALDNSALVENKEVPVNCSAIQKPVAHSCDDTDVSSRENSSPLTVKSFTDCHQLLNLLSLMSDVNARSFSDIVSCIFNLERLLVNALVYFQSTMYRDYYCEYLRLFVSCRKALRYILAEFIEKTDTIQSSPDSVIFGSSFPVLWLSKSLSVIVGIQEVFSAENISFKSLMFSLMDHTSYALLCIGKHQIIHAFSFDNEAEMPCEEISDHKISHAENHLLSPSEYVDSSKLEALKCLTLMADNLKEHMQNLPVSQKGVRCHVNVGRSLSYENINRLSSAVSCFSGVLWGLTSSLGQTDAKDSGHKEKVLMWKREHGSELNSCIFSFVEVVDFFINKLLNENNQLSESLHDTQNFEKPILNLSLSGTNNLSPECSVSKANSSAGTQKESKRESTCSTSSAIDIVSKIGSDVESMSNPENVNFVASLLATDDSPVPLGLNKPLLQSLVKGDNPEVAILLRQLLIASASLLRLNLLSDDSPLTSSFVPAFIEISQVLLLEFTEMVGVPQQSAFLLLDGVLSYLRELASYFPSTDPTSSNKVYTKLVQIHMRAIGKSILLQGKRATLTLHERQSSTKTLHKGSFEACSSNETYDFCLNELKTRLRVSFKAYIERQSELHLLSMIQAIERALVGVQEGCAVIYDIKTSKDGGEISTLVAAGIDCLDMIIEFVSGRKSLKLIKSHSQSLVSAIFSIIVHLQSPHIFNGNLRCRTVAGTPDPGSAILMCIEVLATVSRKHTLFSMDVGHVGHMLHIPAALFQNFDQHRISKASGPSDSFMVSEEQNYDPAEGVNISHVDHQFTVNLFVACCQLLCTIIRHRPSECKQCVAHLEASVTVLLNCLETVLEKKSIVNEGCFSWEVDEGVKCACFLRRIYEEIKQQKDIFGRQCCLFLSNYISVYSGYGPKRSGIRREIDEALRPGVYALIDACSVDDLQYLHTVFGEGPCRNTLATLQHDYKLNFKYEGKV >RHN82457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53939891:53948658:1 gene:gene6625 transcript:rna6625 gene_biotype:protein_coding transcript_biotype:protein_coding MSMADEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLTENNDDDDEVLLAMAEELGVFIPYVGGVEHASVLLPPLEAFCSVEETCVRDKAVESLCRIGSQMRESDLVEYFIPLVKRLAAGEWFTARVSACGLFHIAYPSAPEASKTELRSIYSQLCQDDMPMVRRSAATNLGKFAATVEYTHLKADIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRAELVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPDLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKEATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLQDKVHSIREAAANNLKRLAEEFGPEWAMQHIIPQVLEMITNPHYLYRMTILRAISLLAPVMGSEVTCSKLLPAVVAASKDRVPNIKFNVAKVLESIFPIVDQSVVEKTIRPCLVELGEDPDVDVRFFSNQALQAIDHVMMSS >RHN74067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:26208939:26210300:1 gene:gene10024 transcript:rna10024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MAKLSQQQTQKNNARNNNNLKATNTVVVDNKVKRTRRSVPRDSPIQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQGAYDDEEAAAHAYDLAALKYWGPDTILNFPLCTYEIQLREMEDQSREEYIGSLRRKSSGFSRGVSKYRGVARYNLQFF >RHN49486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55137642:55153670:-1 gene:gene44323 transcript:rna44323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytoplasmic FMR1-interacting MNQLLLLKSTDGSDVDWSKEVKGNMYDMIVEGFQLLSRWTARIWEQCAWKFSRPCKDASPSFSDYEKVVRYNYSAEERKALVELVSCIKSVGSMMQRCDTLVADALWETIHAEVQDFVQNTLASMLRTTFRKKKDLSRILSDMRTLSADWMANTNKSESELQSSQHGGEESKANIFYPRAVAPTAAQVHCLQFLIYEVVSGGNLRRPGGLFGNSGSEVPVNDLKQLETFFYKLGFFLHILDYSVTVATLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDCVLESPNSGLLESVLMPFDIYNDSAQQALVLLKQRFLYDEIEAEVDHCFDIFVARLCETIFTYYKSWAASELLDPTFLFASENAEKYAVQPMRLNMLLKMTRVKLLGRMINLRSLITERINKIFRENIEFLFDRFECQDLCAIVELEKLLDVLKHSHELLSIDLSVDSFSLMLNEMQENISLVSFSSRLASQIWSEMQSDFLPNFILCNTTQRFIRSSKTVPVQKPSIPSAKPSFYCGTQDLNSAHQSFARLHSGFFGIPHMFSIVRLLGSRSLPWLIRALLDHISNKITLLEPMITGLQESMPKSIGLLPFDGGVTGCVRLVKEHLNWETKLELKAEVLHGIKEIGSVLYWMGLLDIVLRETDTMNFMQTAPWLGLLPGADGQILPSQDGGDSPVVSLFKSTAAAMVSYPGCPSPASFHIMSKQAEAADLLYKANLNTGSVLEYALAFTSAALDKYCSKWSAAPKTGFIDITISKDFYRIYSGLQIGYLEESAQVQSSSPERLGDSVAWGGCTIIYLLGQQLHFELFDFSYQILNIAEVEAASVVQTQKNSHFAVQGWEALLEATKKARRLNNHVFSMLKARCPLEEKTACAIKQSGAPLHRIRFENTVSAFETLPQKGA >RHN72874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11426419:11431446:1 gene:gene8637 transcript:rna8637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative o-succinylbenzoate--CoA ligase MAHICQCLSRLLTFRRDFPVTIAGNNRKTGQELVEEVVSLAHGLLQLGVTPGDVVAISAYNSDLYLEWLLAIAFVGGIAAPLNYRWSFEEAKLAMKAVKPVMLVIDESCYSWYFKFQQNDVPFLKWHVLLDSPSSDFTNKWNVLTPGILKRHHVKPLEFNYSWAPEGAVIICFTSGTTGKPKGVTISHEALIIQSLAKIAIVGYSEDDVCHSLLHPVYVYLHTAPLCHIGGLSSAMAMLMVGGCHVFMPKFDAELAVGDIERYNVTSLITVPAIMTSLISIVRRKETWKGGETVKKILNGGGSLSFELIKDTHIFFHKAKLISAYGMTEACSSLTFLTLYDPMQKTISHPFQTYGEEESKHLIHQPQGVCVGKAAPHVELKICKDGDTGRILTRGPHLMLRYWDQTLTNTSNQRSEVWFDTGDIGLMDCHGNLWLLGRTNGRIKSGGENIYPEEVEAILLEHPGIARVVIVGIPDARFTEMVAACIQPRENWQWLEHSTSNEEFHLSRKNLQQYCLENNLSRFKIPKIFIEWRKPFPLTTTGKIRRDQVRKEVLSEIQSLHSNL >RHN46560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32774171:32774311:1 gene:gene41058 transcript:rna41058 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVALNAKLTDVIIAKKILINMVGALFGLNQINVNFLDFQVLQS >RHN69820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46522109:46525091:-1 gene:gene18338 transcript:rna18338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S26e MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVYDQYTLPKLYVKMQYCVSCAIHSHVVRVRSRTDRRKREPPQRFIRRRDDAPRPGQPGQAPRPAGPGAPPARA >RHN79624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30704125:30704855:1 gene:gene3441 transcript:rna3441 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRRRLQLWYLSPSQLILLHVTSESFVSQGSWRFVVFLPVVVVCVSGVADGGVYGWLCSLSSSCCSLFGTSVVWGLWALGLDTVLTANPFAEFYTLIVLCECPLFTLFCNLVSRPYAGSLGCASD >RHN52550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36567051:36572513:-1 gene:gene37240 transcript:rna37240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative succinate--CoA ligase (ADP-forming) MTFHRVTKLFSSIASNNRRNFSSTPSPAVFVDKSTRVICQGITGKNGTFHTEQAIEYGTNMVGGVTPKKGGTEHLGLPVFNSVAEAKTETKANASVIYVPPPFAAAAIMEAVEAELDLVVCITEGIPQHDMVRVKAALNRQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVYQTTAVGLGQSTCVGIGGDPFNGTNFVDCLTKFLADPQTEGIILIGEIGGTAEEDAAALIKESGTEKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKISTLREAGVTVVESPAKIGAAMFEIFKQKGLVQ >RHN81577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47202347:47205065:1 gene:gene5646 transcript:rna5646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inorganic diphosphatase MSHTHFLPLLKAVSCCILNKQLFTFSLLPISVLSLQPYFPSVAEMSPPIETPNKVATSSPSPPPLNERIVSSLARRSVAAHPWHDLDIGKRSRRVNSLITKSRYPVSFLYLLCNPLFGILILFPNNVGTEAPKIFNCVIEIGKGNKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTICEDGDPIDVLVIMQEPVVPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVDDFLPSSSAYEAIEHSM >RHN47888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43329228:43330050:-1 gene:gene42539 transcript:rna42539 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKPAEYSFVGLLTVLCDEGICNEAANMSKLADDSKIFVIMMNIRSLKCKFHIFWPSILTLNLTFC >RHN82357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53143801:53146890:-1 gene:gene6519 transcript:rna6519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rad60/SUMO-like domain-containing protein MADETEELEPLFDYSRVQPNIVCLDDDEDDDVVAFPKKRKKNSKSQHVVENGKNTKVETVNVVDLEDDDWLPSPPRVTGKAHKKTDEEDSTLKNLRLKKQELAAFAESAKKMLETVENSVEIENSNSVDDVSEKTSKPSERAKILISVQDKDETKQIRMYMDDRFERIIKTYAEKMKCDLKQIVLSFDGDKISSSQTPASLDMEDNDIIEVHVKSS >RHN76928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2466977:2473273:1 gene:gene321 transcript:rna321 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGNRKRKRGSVEERIDLIHVTLRVANIGNRTAYMRDLKEYLEAMDDLQTLLDSGLDYGMLAAGSIIEDILMHLKGPSVMMRRLCCKTLAQIDWGPKEEEEQHKRCICGSGVIKDLMNILEMCQRQESSSAVLDTKTICVVMNAIACLIDCPGGIKIDDRIPLVHWFFAKSNFEVKTSSLHALRYLCFHNEENAMEISRVAPKIMLSLADMLVCKDEKICHSVLQLIFSLAVSAPALVDHMDFPTDMVLTSVLKIIRKENENLVVLGLCILCTIVIRKGKYKVALAQLGVIPILMQTVQSDNEQIKLYTVGLLHELGKDFHNQVAMVDEDCLPKIFDLFNIQHQGMRKAVRGLLFNFVNNKVVISQFITGGWFETILELKGGTFGYYDDDPSEAAKIIKKLAKNHHHVRGELLNLMRESSQLEKVRIAVALAHFSKVPKHFKLIFRDNGGLDFLVYSLLDASNKEHVAVALCKLTNKFLLVEKKTNKVLQDVPIEPNLQVDNVKKCYEHSRAKSDRRLSAECIIFTIRNFQEVLLRDGLFADEMVGEIRSYLRNLLKRI >RHN71782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2581287:2583872:1 gene:gene7417 transcript:rna7417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NPH3 domain-containing protein MELTSSNVSMLCSAAQFLEMEECDSLEAPSLKPHIENFLDGIRFWTWFELMEALKQFQESFPSKGYLEILDRIMDQLIERLASPCLVTSPYTCSSNRSSFQFSCDTSSNNSLRNNFSEATWWFEHLLFLKIDLLDNVIRKMISHDFDHSIVSKFLFYYLNLSHGGAEQDVKIETIKVVIDLLSLLDIGSISCKDLFNLNRIAISLKISKFCRNNIESLIGPLLDQVTIDYLLLPSPNGKDHAYDVDFVTRLMKIFLLGGGSFELNLNQVKRVVKMMDLFLVEVAPDPHLQPSEFEALITVLPDAVRESHDQLYIAMDMYLKVHAGIGEKEVMNICCTLNHEKMSHESLRHLTRNLVFPSESKPRAHLTRQSRMKTLLQENDHLKNFFDSMFGKSFKNIDVKEDVEEIKRYGELEGMECGTHLACVKKLGVHTLSNNTIYLPKFCS >RHN41279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28818909:28819396:1 gene:gene47584 transcript:rna47584 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPTQEILSTKTFNPRVPPFVIQVSLSKLFSKICTRTLKY >RHN75768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44907674:44910245:-1 gene:gene12015 transcript:rna12015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein NUCLEAR FUSION DEFECTIVE 6, chloroplastic/mitochondrial MASVAGTAARSIFRSSSISRSAFRLASEAKSARTPFRIASNKPISQSAFRCPVELSFCMESMLPFHSATASALMTSKLVVSQRSYAWLPEDCNDDV >RHN48938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51262231:51263410:1 gene:gene43711 transcript:rna43711 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYAEPSFFNSSSFGLTGATGDGELSTPISALRSLMLCSNSFIRLPISIFFEMMESDIVWNLVCWKQNYC >RHN47794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42560916:42566275:-1 gene:gene42436 transcript:rna42436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nitric-oxide synthase (NADPH) MAILFSTIALPSTNVTSKLSILNNTSHSHALRHFSGNTTKRFHKASSFIAFAVKNNPTIRKTTPRRDSRNPLLSEGRDEDEALGPICPGCGIFMQDNDPNLPGFYQQKEVKIETFSEEDYELDDEEDDGEEEDNGSIDDESDWDSEELEAMLLGEENDDKVDLDGFTHAGVGYGNVTEEVLERAKKKKVSKAEKKRMAREAEKVKEEVTVCARCHSLRNYGQVKNYMAENLIPDFDFDRLITTRLMNPAGSGSSTVVVMVVDCVDFDGSFPRTAVKSLFKALEGMQENTKKGKKLPKLVLVATKVDLLPSQVSPTRLDRWVRHRASAGGAPKLSAVYLVSSRKDLGVRNVLSFVKDLAGPRGNVWVIGAQNAGKSTLINAFAKKEGAKVTKLTEAPVPGTTLGILRIAGILSAKAKMFDTPGLLHPYLLSMRLNREEQKMVEIRKELKPRSYRIKAGQAIHVGGLARLDLIEASVQTMYVTVWASPNVSLHMGKIENANEIWNNHVGVRLQPPIGNDRAAELGTWKEREVKVSGSSWDVNCMDVSIAGLGWFSLGIQGEATMKLWTNDGIEITLREPLVLDRAPSLEKPGFWLPKAISEVIGNQTKLEAQRRKKLEDEDTEYMGASIEISA >RHN59527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11677409:11677870:-1 gene:gene21569 transcript:rna21569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MASLSSKKIILKSYEGETFEIEEAVAMQSQTIKLLIDDDCANDTGIPISNVTSKILAMVIEYCKKHADDVSSDELRKWDAEFVQVDQDTLFNLISAANYLNIKSLLDLTCMTAADNIKDKTPEEIRKIFNIKNDDYTPEEEEAARCENSWAFE >RHN39259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5367852:5374058:-1 gene:gene45267 transcript:rna45267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAMQQFDQYYHYQQDERSNINTLFVSGLPDDVKAREIHNLFRRRPGFDSCQLKYTGRANQVVAFATFFNHQAAMQALHSLNGVKFDPQSGSVLHIELARSNSRRKRKPGGGAYVVIDKRSKGEANVQGSSSDDGESDPDEPSENGSNHGDIATAQSGDAVVGSDNHVPVARAQHGKGGGDGGPCSTLFIANLGPNCTEDELKQALSVYAGFNLVKMRSRGGMPVAFADFEEIDQAVKVMEELQGSSLPSSDRGGMHIEYARSRMRKKR >RHN43577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46981925:46993029:1 gene:gene50180 transcript:rna50180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative processing peptidase MELLPSEAAAPISRKQGFRSLKLVSTDMNQLLSDQPVGVDFGTLDNGLRYYVRCNSKPRMRAALALAVRVGSVLEEEDERGVAHIVEHLAFSATKRYNNHDIVKFLESIGAEFGACQNAVTSSDDTVYELLVPVDKPELLSQAISILAEFSSEIRVSKDDLEKERGAVMEEYRGSRNATGRLQDAHWTLLMEGSKYAERLPIGLEKVIRTVSPETVRHFYKKWYHLCNMAVIAVGDFSDTQSVVELIKVHFGQKIPAPDPPPIPTFQVPSHDDPRFSCFVESEAAGSAVMISYKMPANELKTVKDYRDLLAESMFLYALNQRFFKISRRKDPPYFSCSASADVLVRPVKTNIITSSCRGKGTLEALESMLTEVARVRLHGFSEREVSIVRALLMSEIESAYLERDQVQSTSLRDEYLQHFLHNEPVVGIEYEAQLQKTLLPHISALEVSKYSEKLRTSCSCVIKTMEPRAFAVFDDLKNVVKKVNLLEEEGGISLWDDDHIPEEIVTTKPNMGHVVKELEYSNIGATELILSNGMRICYKRTDFLDDQVIFTGYSYGGLSELPESEYFSCSMGPTIAGEIGVFGYRPSVLMDMLAGKRAEVGTKIGAYMRTFYGDCSPSDLETGLQLVYQLFTTNLTPDEENVKIVMQMAEEAVCAQDRDPYTAFTNRVKELNYGNSYFFRPIKKCDLQKVDPLEACEYFSKCFRDPSAFTVVIVGNIDPTIALPLILQYLGGIPKPPEPIMHFNRDDLKGLPFTFPTAIHREVVRSPMVEAQCLVQICFPVELKNGTMVEEIHFVGFLSKLLETKIMQVLRFKHGQIYSVGVSVFLGGNKPSRTGIVRGDISINFSCDPEISSKLVDIALDEMLRLQDEGPTEQDVSTVLEIEQRAHENGLQENYYWLDRILHSYQSRVYSGDVGTSFEIQGEGRSKVRSSLTPSTAQLALQRILPYPCKKQYTAVILMPKSSPFKFLKSVFQSTRINGGREAKILAGIAGLAVLALSLWRHSRSGHINSRHLLGSATK >RHN70692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53237743:53238737:1 gene:gene19303 transcript:rna19303 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSCCLRLYPTTSNASLIPKNSPQLSSEIKNSGCWRRRCVVIGVASCFSIIGLQFNNSVSLEHEAVAKENTMLVAMSNSIDDDDEHVFLVGGAAKWSQKRMCPSWQGNNPLETIVPENLPRPAARRRYETVRSTSKIAPPLSMSVKLKTNRDSCFSM >RHN61038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32439882:32440614:-1 gene:gene23449 transcript:rna23449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ctr copper transporter MDHGGNMHGGGMEGMTMPPKSPPSSSSSTNNTMMMGHKMHGMMHMTFFWGKDALILFNNWPNGDTGMYVLALILVFVMAVLIELLSRTRFIKPGSNHVAAGLFQTLLHVLRVGLAYLVMLALMSFNGGVFLVAVLGHALGFFLCSSAFRKPKQHDEAYDLPPLSC >RHN40140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13596415:13601058:1 gene:gene46250 transcript:rna46250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLHSLPPPSKFLNVHKTTLQRSFKTCLKLFHSLKKHEHNLFEKIPQPNASSINRSMLNFLHKNLPFQALSVFKNQTQFPFLQNIDEVTLALSFKACRGEFILGAQIHGFVVATGFVSRVTVSNSLMKMYCKAGRFELALCVFEGLSCPDIVSWNTILSGFEKSVDALNFACFMHLNGVVFDPVTYTTALSFCWDRDYWDDHGFLFGLQLHSLVVKCGFGCEVFIGNALVTMYSRWGGLDEAGRVFNEMTIRDLVSWNAMLSGYAQEGECYGLEAVLLFGNMVREGMLLDHVSLTGAISACGYTKNLEFGKQIHGLAQKLGYGTHVAVCNVLISTYSKCKVLRDAKAVFQDMSARNVVSWTTLISIDEENVVSLFNAMRVDGVYPNDVTFIGLLHAITIRNMVKEGLMVHGLCLKSCLSSEQNVSNSLITMYAKFESIQESKKIFEELNYQGTISWNALISGYAQNGLCKEAFLTFLSAIKEIKPNQYTFGSVLNAIAAAEDISLKHGQRCHSHLIKLGLNTDPFVAGALLDMYGKRGNINESQRVFNETPEKTQFSWTGMISAYARHGDYESVMSLYKEIEREGSNLDSITFLSVLAACCRKGMVDVGHIIFDSMVKKHSIEPTPEHYSIMVDMLGRVGRLDEAEELMHQIPGGPGLSVLQSLLGSCKLHGNVEMAERVVDSLIQMDPGSSGPYVLMANLYAEKGNWEKVAEVRKGMRGRGVKKEVGFSWVDVANVDSLHLHGFSSGDKSHPESETIDRMAEFLGLQMIFSKESGGTGGDWHREFELVSHG >RHN74453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33534801:33541152:-1 gene:gene10529 transcript:rna10529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MEQPQPLVDQNFPLFKPSRKPSINPTLPISNKPQNDVVTHETLNSNPENTTATFSDLGLSEWAVKTCKELGMKTPRRVQQHCIPQILAGRHVIGIDQTGSGKTAAFALPILQRLAEHTFGVFALVVTPTRELAFQLAEQFRALGSSLRLRIAVVVGGMDMLRQTKELVARPHLVIATPGRIKVLLKDNPEIAPVFARTKFLVLDEADRVLDVGFQDELKFIFQCLPENRQNLFFSATTTSNLQKLRERYQDKLYAFEAYEGFKTVENLKQQVVFIPKNVKDVYLLHILSKMEDMGIRSAIVFVSTCRDCHRLNLMLEVLDQEAAALYSFRSQAQRLEALHDFKSGKVPVLLATDVAGRGLDIPTVDLVINYDVPRFPRDYIHRVGRTARAGRGGLALSLVTQNDVDLIREIETLIERQLEMIEYKENEVLSLMKKVFSAKNVAKMKMMDDGFEEKAKERKKQKLKMLEEKGLLKQRSKRKRNKEFPKGNKQEKVELDASVDLTSLKKRSKKRE >RHN66308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10672324:10673024:-1 gene:gene14262 transcript:rna14262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MIFSTSPSSTDKNVKIVRLDAMVGVRTPTPALVRTMQKGNRKTIDKDNNVLATANISNNLWRLYDEKMSLLLQFTFYADACVKATWIAVFGRDGFITFSTLNNGGINSDSSTRIPQDLFGTHQRYDPFMAISYSQSTLCLAVLGCFQL >RHN56340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30090133:30090976:-1 gene:gene31725 transcript:rna31725 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLGRTEGDSSSSNFKSSDVSAMYPELFEDDPVFQYLRETAKKAERERLHHMHKPVETTKIPVGQIVEKQVTINGNVELQRIYVPDPKVRETMEYMVKIAWERQSSNQPLRSQDRMAIDYYNAVLRHLPQPINGPNQPVLPHLQQSNYHAQNEVFNIVYFLSSKQINI >RHN66171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9454244:9454652:1 gene:gene14101 transcript:rna14101 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSATTMKLFVVFVIVFTTVASAQDLSPSLAPAPGPDAGAAGFVTSSVAMIGASIVLSMVAIFKN >RHN65169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64662734:64663088:1 gene:gene28077 transcript:rna28077 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHVPEADFLSRIGPTEFLKDLHNYAGPEAVQEWQKLLDAVLPLSTAAMALPPLSVRGDFGVLYTAAARYALLFSIPFSKWVLRLLFVPHNFSHLFPKYLTLCN >RHN43951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:60087:61716:1 gene:gene37989 transcript:rna37989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVGTLKFLRVLISFLTIFLMIIICAFYFIPDSGPCVTDKDCEQEIGYIVKCDTNTGFCVKILQRS >RHN64777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61734549:61738489:-1 gene:gene27634 transcript:rna27634 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:UGT78G1 MSTFKNEMNGNNLLHVAVLAFPFGTHAAPLLSLVKKIATEAPKVTFSFFCTTTTNDTLFSRSNEFLPNIKYYNVHDGLPKGYVSSGNPREPIFLFIKAMQENFKHVIDEAVAETGKNITCLVTDAFFWFGADLAEEMHAKWVPLWTAGPHSLLTHVYTDLIREKTGSKEVHDVKSIDVLPGFPELKASDLPEGVIKDIDVPFATMLHKMGLELPRANAVAINSFATIHPLIENELNSKFKLLLNVGPFNLTTPQRKVSDEHGCLEWLDQHENSSVVYISFGSVVTPPPHELTALAESLEECGFPFIWSFRGDPKEKLPKGFLERTKTKGKIVAWAPQVEILKHSSVGVFLTHSGWNSVLECIVGGVPMISRPFFGDQGLNTILTESVLEIGVGVDNGVLTKESIKKALELTMSSEKGGIMRQKIVKLKESAFKAVEQNGTSAMDFTTLIQIVTS >RHN69564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44433972:44441658:1 gene:gene18047 transcript:rna18047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sec1-like protein MALTSSARDYINRILQDISGMKVLILDSQTVSIVSVVYSQSELLQKEVFLVELVDSISKSSEPMSHLKAIYFLRPTSENIQYMRRQLASPRFGEYHLFFSNILKDHHIHLLADSDEQEVVQQVQEYYADFVAIDPYHFTFHIPSNYIYMLPAVVDPSALQRFSDRVVEGLAAVFLTLKRRPVIRYQRTSDIAKRIAHEASKLMYQEESGLFDFRRMEVSPLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIQDNKVDVKSIGKFPKDQEVVLSSEQDSFFKANMYENFGDIGMNIKRLVDEFQQVAKSNQNIQTVEDMAKFVDNYPEYRKMHGNVTKHVTLVTEMSKIVEERKLMSVSQTEQELACNGGQGAAFEAVTNLLNNESISDMDRLRLVMLYALRYEKESPVQLMQLFNKLASRSAKYKPGLVQFLLKQAGVDKRTGDLYGNRDLMNIARNMARGLKGVENVYTQHQPLLFQIMESIVKGRLRDVDYPFVGNHFQQGRPQDVIIFIVGGTTYEESRSVALQNASNTGVRFILGGSSVLNSKRFFRDLEEAQRVARSSTSVV >RHN59015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6672741:6675766:1 gene:gene20996 transcript:rna20996 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKNNQNDSSSFVSELFGSKKTHPSSSSGVFGSLVSSQSPNVLGKDSIRTEVSEKSIKEQWDSILGVRTHDDFSKVYGGESQKSSIYYQDQRIGPCNLSSSIFYGGQDIIYPAQSSQNERKKKSLSKKDGEEDDLGIATRGDWWKGGLHY >RHN79116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23422355:23431685:-1 gene:gene2832 transcript:rna2832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactinol--sucrose galactosyltransferase, Alpha-galactosidase MLRTTTTSISIASEQYSTGWIKIPPSCVPPHRNHSNSSITFSTYYHHYKSLVVKCSNMTVTPKISVNDGNLMVHGKTILSGVPDNIVVTQGSGKGIVTGAFLGATASNIKSLHVFPIGVLQDLRFLCCFRFKLWWMTHRVGTCGRDIPLETQFMLIEIKESKNSPVIYTVLLPLLEGQFRAVLQGNDKNEIEICLESGDPTVETNQGRHIVYMHAGTDPFEVINQAVKAVEKHMQTFLHREKKRLPSFLDWFGWCTWDAFYTDVTAEGIEDGLKSLSEGGASPRFLIIDDGWQQIESKPKDTDCSVQEGAQFATRLTGIKENTKFQKDGESNGLRHVVDQTKQRHNVKYVYVWHALAGYWGGVKPAAIGMEHYDTSLAYPIHSPGVLGNQPDVVMDSLAVHGLGLVHPKKVFDFYNELHAYLASCGVDGVKVDVQNIIETLGSGHGGRVSITRSYHQALETSIARNFRDNGCIACMCHNTDGLYSAKQTAVVRASDDFYPHDPASHTIHVSSVAYNSIFLGEFMQPDWDMFHSLHPAAEYHAAARAISGGPIYVSDKPGMHNFDLLKKLVLPDGSVLRAQLPARPTLDSLFVDPARDGTSLLKIWNLNKCCGVVGVFNCQGAGWCKIEKKNRIHCETPGTLSGSICTSDVDLIAQVAGADWNGDAIVYAYRSGDIIRLPKGASLPVTLKVLEYELLHFYPLKEIAQGISFAPIGLLDMFNTGGAVEHFEIHKGATASASLRVRGSGRFGVYSSQKPVKCVVGDNETDFNYESETGLLTFYMPVSVEDMYKWPIEIQF >RHN62671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45102605:45111382:-1 gene:gene25268 transcript:rna25268 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKVVGIEGRFGSEVTAGNGGMLRDGIVGIFVGRLGSGGIVSLGIEGIVGNGGRFP >RHN67624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28743847:28748335:-1 gene:gene15831 transcript:rna15831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoesterase MNNRRVPFTIFLFYLLLTATADETLHRKKHKITGPIKTIVVIVMENRSFDHVLGWLKQTRPEIDGLTGTESNPIHVSNPSSPKIPVSNDAVFIDSDPGHSFQAIREQIFGSNQTKSNPAPMNGFAQQAEKTQTGMSKTVMSGFKPELLPVYTALAEEFTVFDKWFASVPASTQPNRFYVHSATSHGAMSNVRKNLIHGFPQRTIFDSLNDNGVSFGIYYQNIPATLFFKSLRKLKNSVKFHSYGLKFKRHALKGKLPNYVVIEQRYFDVKVSPANDDHPSHDVAIGQRFVKEVYEVLRKSPQWKEMAILITYDEHGGFYDHVPTPVVGVPNPDGIIGPTPYYFRFDRLGVRVPTFLISPWIDRRTVIHEPDGPTPYSQYEHSSIPATVKKLFNLKSNFLTKRDAWAGTFEKYFYIRDTPRDDCPETLPEVKVNLRPFGPKEDLSLSEFQMELIQLASQLNGDYILNSYPNIGKSMTVKEANRYAEDAVKRFLEAGKAALKAGANDSAIVTMRPSLTSRVSVGGSRKQLESY >RHN63844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54399843:54410826:1 gene:gene26598 transcript:rna26598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MDENFDGFNDKLPELKLDSKQAQGFLSFFKTLPHDPRAIRFFDRRDYYTAHGENATFIAKTYYHTTTALRQLGSGSNALSSVSVSRNMFETIARDLLLERTDHTLEVYEGSSSNWQLAKSGTPGNIGSFEDVLFANSEMQDSPAVVALSLNFRENGCTIGLGFVDLTKRLLGMAEFLDDSHFTNVESALVGLGCKECLVPIERGKSIEYKMLCDVLTKCGVMLTERKKSEFKTRDLVQDLGRLVKGSIEAVQDLVSGFEFAPGALGALLSYAELLADESNYENYTLRRYNLDSYMRLDSAAMRALNILESKTDANKNFSLFGLMNRTCTAGMGKRLLHMWLKQPLLDVNDINSRLDVVQAFVEDTVLRQDLRQHLKRISDIERLVHNLQKGKAGLQHVVKLYQSSIRLPYIKSALDRYDGQFSSMMKSRYLKDLELLTGADRLNKFIELVEICVDLDHLENGEYMISSSYDTTLTGLKEQQELLESQIHDLHKQTAIDLDLPVDKALKLDKGTQFGHVFRITKKEEPKIRTKLNTQFIVLETRKDGVKFTNTKLKKLGDKYQQILEEYKSYQKQLVVKVVGISASFSEVFESLAELISELDVLLSFADLASSCPTPYTRPDITSSDEGDIILEGSRHPCVEAQDWVNFIPNDCKLIRGKSWFQIITGPNMGGKSTFIRQVGVNILMAQVGCFVPCDKASISVRDCIFARVGAGDCQLRGVSTFMQEMLETSSILKGATDKSLIIIDELGRGTSTYDGFGLAWAICEHIVDVIKAPTLFATHFHELTALADENGSNDTHKQIVGVANYHVSAHIDASTRKLTMLYKVEPGACDQSFGIHVAEFANFPESVVALAREKAAELEDFSPSALSLMESTEEVGSKRKRVFEADEMSKGAVKARQILEAFVALPLETMDKTQAWQEVRKLKDNLEKDAQHCHWLQKF >RHN57938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42653948:42654571:1 gene:gene33530 transcript:rna33530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MFVAGSDTSLAIIEWAISEMLKNPTTMIKAQQEVREHFGSKGYIDETSLQGLKYLKAVIKETLRLHPPFPLLLPRECRETCEIKGYTIHAGNKVIVNAWAIGRDPKYWSEPEKFIPERFLESSIDYKGSNIEYIPFGAGRRICPGILFGVSSIELSLAQLLYHFNWELPSGLTMENLEMSEAMSSSSRRKIDLILVPVSCYDHVPAL >RHN40479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16703430:16703657:1 gene:gene46634 transcript:rna46634 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSGTPVNMKCHESLCYVAAGSSVIAVDLRTMQNVVTAAVHRPEIRSFAAVTSKYLLCTGGYDDRYGKLALAS >RHN60120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21037638:21038567:1 gene:gene22350 transcript:rna22350 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAMVGAKEKRRWRWIDVRSERSAGDCTCSSSPEFSLAWSFKLVVVMDELEKRGCDSVVD >RHN50086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3933250:3935325:-1 gene:gene34339 transcript:rna34339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MTWSHSKTTLSQTTTLSSLALHFRSLLRQCSRATALRPGQQLHATAIVTGLISSPNHFLRNALLHLYGSCSLPSHARKLFDEIPQSHKDSVDYTALIRHCPPFESLKLFIQMRQFDLPLDGVVMVCALNACARLGGGDTKVGSQMHVGVVKFGFVKFDKVCNALMNVYVKFGLVGEARKMFEGIEVRSVVSWSCFLEGLVKWESVESGRVLFDEMPERNEVAWTVMIVGYVGNGFTKEAFLLLKEMVFGCGFRLSFVTLCSVLSACSQSGDVCVGRWVHCYAVKEMGLDFGVMVGTSLVDMYAKCGRINAALSVFRSMLKRNVVAWNAMLGGLAMHGMGKIAVDMFPSMVEEVKPDGVTFMALLSACSHSGLVEKGWDYFHDLEPVYRIKPEIEHYACMVGLLGRAGRLEEAEIMVKNMRIPPNEVVLGSLIGSCYAHGRLQLGEKIMRDLLEMDPLNTEYHIVLSNMYALSGKVEKANSLRQVLKKRGIKKVPGMSSIYVDGKLHQFIAGDKSHTRTSEIYMKLDEMICRLRSAGYVPNTSCQVLFGCSNRDDCSESLEEVEQVLFTHSEKLALCFGLMSTPSGSPLHIFKNLRICQDCHSAIKIASKVYKREIVVRDRYRFHSFKHGSCSCSDYW >RHN81430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45859024:45859409:1 gene:gene5470 transcript:rna5470 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHYFPVICKIIFPCCDFKFLQRILFCKDKKEASILLMIIFHIFLTVLISQIELVHAQFKRAKAQTEFLDLKLDLDIAVAQIDKDPDPVILKRLSEKLHLRSCI >RHN46532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32563879:32564382:1 gene:gene41027 transcript:rna41027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSKELRYLSLLHNNLTGCGLNNGTMRLDMIQRLQSLNTLGLSYNNLSVDITFNENHDGLSSFPKMKYLLLASCKLMEFPKFLRNQPHLNGLDLSNNYIHGAIPNWILRFNYLVYLNLSNNFITDLERPFDDLNSNLYSLDLHSNKLVGKIPTFMKYAVHLDSIFPSV >RHN78033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11411338:11415143:-1 gene:gene1547 transcript:rna1547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RanBP2-type MIQNILKRCHHFHYNPTITILNGKTFHTSLIHTLSNPNQNEFDEPKWKNQQLQENPAFQISHPWPEWVYLMEYLIKKGHFHAEGNPFENPPLGAKESNLIRTACLNFGRDHAHILRFLSRKDIGVIVTFGCPSLDRKVVNSGKRLRAYAGIDEGNVCSSCNLRGDCERAFVKAREDEGGRTVDVMRIILTYGLDPIIGSVDNKPSLNKMVKESVRRLLKKIVECGTEENPSTFPDITEDAVEEVHPNPLDKGKKDVPLKQGDWLCPKCNFHNFARNIKCLHCDNFCEEKIKQLKEDNNHLPLKKGDWICDKCNFLNFAKNTRCLQCKEGPSNRRINPGEWECESCNYINFRRNMVCLKCDHRRPKVSNASNSSPQSQGEDRNHYEKSRPTFAGYWFDGNKESPMASERKSRNRDSHKWRFVEEGIENHKYLENSNDSSEYLDFPIAGGKTSMSEPQRGEVYKNELPNQSKRHAWQSEADDEFCSSDNPSTDDDEMAEWFGKGKNSR >RHN75287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40946737:40947006:-1 gene:gene11463 transcript:rna11463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, isopenicillin N synthase MEPLITPIYVSLQALSNDVYKSIKHRVVAAEEVERFSTAFFYCPFNDAVIQSENKPAVYKKFTLREYRQQTLNDVKETGDKVGLSRFVL >RHN79212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25940122:25949663:-1 gene:gene2956 transcript:rna2956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MGFSLAFEEAEQQEQVDASFLGTNNNSMLMASKNSHESLQQQQNLLHHHQQQQQNQHHPHHMHDHQISFGMMPSSSSSSMPSNYLNKDSSAYDLGELDQAFFLYLDGQAQADPSNVPDQRQNSSSSGMKPPPTLNIFPSQPMHVAPPPSNSKVSMGLTSPITSGSKKPSQPSMELANQRNENPSAPAPPKPVKKENNRKGTTSSSEHEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLETSRIKLNQLEQELQRARAQGVLMGGGAVLGGDQGIPISLNSISSEAAMFDVEYGRWLEEHHRLVCELRAALDEHLHENELRLYVENCLAHYDQVINLKNILARTDVFHLVFGMWKTPAERCFMWIGGFRPSELIKIIVSQIEPLTEHQILGICGLQQSTQEAEEALSQGLDALNQSLSETITSDSLSNPPNMANYMGQMALAMNKLSTLESFVRQADNLRHQTVHRLHQLLTVRQAARCLVAMSEYFHRLRALSSLWLTRPRQE >RHN66847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20111196:20113089:-1 gene:gene14952 transcript:rna14952 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAQLIEEAKSMKPLYEAASHTWIKEKINVVQLGRLIQTWAAT >RHN43272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44534107:44536434:1 gene:gene49819 transcript:rna49819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MAMTRESWAFVFGIIGNIISFAVFLSPLPTFYVIFKKKSAEGFQALPYVVALFSAMLWIYYAFVKRESALLLITINTFGIVVESAYIIMFLIYAPKKQRLSTIKLLLLLNVFGFGAMLLSTLYLSKGAKRLAIIGWICLVFNISVFAAPLFVISKVIRSRSVEYMPFFLSFFLTINAVMWFFYGLLLRDYYVALPNTLGFVFGIIQMVVYLIYRNATPVVEAPMKGQELSGGHIIDVVKIGTDPNRAGGGAGSKV >RHN64146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56889845:56897951:-1 gene:gene26932 transcript:rna26932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine aminopeptidase, S33, alpha/Beta hydrolase MEQLVNFIIRPPRAEYDPNSDLLDKEFLLRGKWYQRKDVELKNSRGDALQCSHYIPIGSAEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSGGEHVTLGWNEKDDLTAVVNYLRNDGNVSLIGLWGRSMGAVTCLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKVRLPKFTVKYAIQYMKRIIQKKAKFDITDLNTIKVAKSCFVPALLGHAIDDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEGEVGESFYDPVNDVFGKDAWRSVHELGYINESSSKNKGMVQVPNYLIISFAWFPLEFFSV >RHN46033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27950998:27951321:-1 gene:gene40470 transcript:rna40470 gene_biotype:protein_coding transcript_biotype:protein_coding MLACWSRSRRQHCPSFCALYVPSKNVRKTIYYDDVDYDDDLPLSGILSRMSNLGTWSTGVVTMIFLLRRGILLLQDQLRGNLITADSLQSSVKKSKFILYVNQVKDT >RHN80358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37432888:37441890:1 gene:gene4273 transcript:rna4273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SPX domain-containing protein MFTTRLLLSLYKIISIRFYRIKLHPLHNNLIPTFLLYIYIYIYNSFLHHIEKEMVKFSKELEAQLIPEWKEAFVNYWQLKKQIKRIKLSKIPKQNHNHAEGGGSIFNSLCFHVKKISLKLSPESDNDNTNIIKVRKKTIKGSGEEIYQTELVQLFSEEDEVRVFFAMLDDELNKVNQFYIKQENEFIERREALNKQLQILQDLKQIINDRRRKNYPPPKANNTETFPRSPTRDSDYSLECLGDSDETNSEISHTEEVIASLEKNGVNFVNSAMRTKTKKGKPRMAMRIDVPGTNATRAITAITSMLWEDLVNNPTGDFIHKKKIQCAEKMIRSAFVELYRGLGLLKTYSSLNMVAFSKILKKFDKVSCQKASSSYLKEVKKSHFVSSDKVLRQMDEVESIFTKHFANNDRKKAMKFLRPQQHKDSHMVTFLVGLSTGCFVSLFCVYAILAHLCAIFSPSNESAYMQNVYPVFSVFALLSLHLFMYGCNLYMWKRTRINYNFIFEFSPRTSLKHRDAFLICTTLMTTVVAAMVMHLLLRAAGFSPSQIDALPGILLLSFIALLICPFDLFYRPTRYCFIRVIRNIICSPFYKVLLVDFFMADQLTSQIPLLRHLETTSCNLLAKVFKTHHPETCHSGRLYMEITYIISFMPYYWRAMQCARRWFDDSDVNHLANMGKYVSAMVAAGARVTYSRQSDHLWFAIVLITSVVATTYQLYWDFIKDWGFLNPNSRNPWLRDDLVLKKKSIYYMSIALNIVLRVTWVETIMHFKVGHVQSRLLEFLLAALEVIRRGHWNFYRLENEHLNNVGHYRAVKTVPLPFRETDSDY >RHN79631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30757710:30766319:-1 gene:gene3448 transcript:rna3448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucomannan 4-beta-mannosyltransferase MEAFKVASEGVVMQLEFIWKNIREPLIVPMLRWTVYLCLGLSLIMLVEKVYMAIVICYVKLFKRTPEKRYKFEAIEDDVELGNSYYPMVLVQIPMYNEREVYQLSIGAACELSWPSDRIIIQILDDSTDPTIKELVQEECRRWRNKGVNIKYEVRDNRNGYKAGALKEGMKHSHVLQCEYVAIFDADFQPEPDFLRRTIPFLVNNPEIGLVQARWKFVNANECLMTRMQQMSLDYHFKVEQEVGSSAYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLEGWKFLYLCNLEVKNELPSTFKAYRYQQHRWSCGPANLFRKMAMEILRSKKVSLWKKLYIVCNFFFVQKIVVHIHTFVFYCVLLPATVMVPEIVVPKWGTVYVPSIITLLKAVGTPRSFYLVIFWVLFENTIALHRTKATIIGLLETSRVNEWIVTEKLGDALKSKVGGKGIKKPRLKIADRIHMKELCVGFYLLFCGCYDFMYGKNYFFIFLYMQATAFLIVAFGYVGTFVPNS >RHN79868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33023512:33026690:1 gene:gene3717 transcript:rna3717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MGNSHGHHRKHRFSVPNNTVPPPPYKYENSQHHYPPNQNQGTSNSNITMTTLPYANADISLRSLAAQAEGFGRCAIGGLHGSLYHVTSLLDDGPGSLRDACRKKEPLWIVFEVSGTIHLSSYLSVSSYKTIDGRGQKIKLTGKGLRLKECEHVIICNLEIEGGRGPDVDAIQIKPNSKHIWIDRCTLSDFEDGLIDITRGSTDITISRCHFHQHDKTILIGSDPKHVDDRCMKVTIHHCFFDGTRQRHPRVRFAKVHLYNNYSRNWGIYAVCASVESQIFSQHNIYEAGQKKVAFKYLHEKAADKDAEATGHIISEGDLFINGSQSGLMTENVGCNKFHPGEHYQTWTVEPPTDDLKQVLHHCTGWQSVARPADQAV >RHN66656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15783011:15787768:1 gene:gene14695 transcript:rna14695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKVEMENSTTTESSVPVSDIQDQNPLVSSQPTQPPVITPVLPPLAPIPTAPSSLVRPLAPLPIRPPVIRPPVPQNGEVGSSDSDSDDDDGAATRINKGTGEYEISEESRLVRERQEKAMQDLMMRRRAAALAVPTNDMAVRARLRHLGEPITLFGEREMERRDRLRMIMAKLDADGQLEKLMKALEDEEAATSAPKDEAEDDLQYPFYTEGSKSLLDARIDIAKYSLVKAALRIQRAQRRREDPDEDVDAEIDWTLKQAANLNLEFSEIGDDRPLTGCSFSRDGKGLATCSFTGATKLWSMPNVKKVSTLKGHTQRATDVAYSPVHKNHLATASADRTAKYWNDQGALLGTFKGHLERLARIAFHPSGKYLGTASYDKTWRLWDVETEEELLLQEGHSRSVYGLDFHHDGSLAASCGLDALARVWDLRTGRSVLALEGHVKSILGISFSPNGYHLATGGEDNTCRIWDLRKKKSLYTIPAHSNLISQVKFEPQEGYFLVTASYDMTAKVWSGRDFKPVKTLLGHEAKVTSLDVLGDGGYIVTVSHDRTIKLWSSGTTSEHAMDVD >RHN51014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12890023:12891701:1 gene:gene35375 transcript:rna35375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative squalene monooxygenase MKPGAFWSFCKLHQLISDVTIPPELYNSFIAAVDKGNIRSMPNRSMPASPYLTPGALMMGDAFNMRHPLTGGGMTVALSDIALLRNLLKPLHNLHDASALCKYLESFYTFRKSTSSTINTLAGLLYTICIASPDPARKEMREACFNYLSLGGVFSDEPIALLAGLNSSSSTLLYHFIAVAAYGVGRLMMPFPSPKRIWIAARLLSVRTFYIPFFLKIQN >RHN70738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53653739:53654808:1 gene:gene19352 transcript:rna19352 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCHCFFPTHCLFAGISQPISCFFFLTHPRFKQKNCTHHLASNRSRIVPEDFKGEGEKVLHLMAQTGAWFLGKHRMKVAISNLNNQIDIIQD >RHN47083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36976564:36979687:-1 gene:gene41638 transcript:rna41638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MRLKHLTELVLNENPPLGGLLPFWIGNFSANLERVQLGYCSFSGSIPESLLYLKSLKYLDLGSNLLSGNLVDFQQSFVFLNLGSNQFTGTLPCFAASVQSLTVLNLSNNSIVGGLPACIANFQALTHLNLSRNHLKYRIYSRLVFSEKLVVLDLSNNELSGPIPSKIAETTEKLGLVFLDLSHNQFSGEIPLKITELKSLQALFLSHNLLSGEIPARIGNLTYLQVIDISHNSLSGTIPFSIVGCFQLYALILNNNNLSGVIQPEFDALDILRILDISNNRFSGAIPLTLAGCKSLEIVDFSSNDLSGSLNDAITKWTNLRYLSLAWNKFNGNLPSWLFAFQAIETMDLSHNKFSGFIPDINLKGSLLFNTRNVTVKEPFVEATKVFEPRVSVVVSDSNQLSFTYDHSSMFGIDLSDNLLHGEIPRGLFGLSGLEYLNLSNNFLNGQLPGLQKMQSLKAIDLSHNSLSGHIPGNISSLQDLTILNLSYNCFSGYVPQKQGYGRFPGAFAGNPDLCLESPSGVCEDGRIPSNQGSYFKEDKMDGPISVGIFFISAFVSFDFGVVVLFCSARTRKYILQTKT >RHN56202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28678717:28679062:1 gene:gene31567 transcript:rna31567 gene_biotype:protein_coding transcript_biotype:protein_coding MIEFSISHFCHLSWCSFQIQTSVISPASSFGGLQRTTRDEDGGGEKNGGVRWNCERTKKRRR >RHN60518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27548730:27555327:1 gene:gene22839 transcript:rna22839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MDQFGSIAHFLEDKNVLVLGAAGFLAKIFVEKILRVQPNVKKLYLLLRATDAESATRRMHNEILRKDLFKLLKENHGAKFNSFISEKVTMVPGDISQENFNLKDSNLLQELYNKTDVIVNLAATTNFDERYDVALGLNTFGAKHVLNFAKNCINLRVLVHVSTAYVCGERGGLIVEDPYQLGVSLNGVQGLDIDEEKRVVEEKLNMLQQEGATEKDTRIAMKDLGMERAKLYGWPNTYVFTKAMGEMLVGTMKEKLSIVIVRPTIITSTYKEPFPGWVEGVRTIDSLIVAYGKGKLTCFLADLEAVFDVIPADMVVNAMLVAMVSHANQPCDDSIYHVGSSVGNPVRYESLRDYCFRYFTAKPCFDKEGKAIKVGKVTVLENMNSFQRYMYIRYLLPLKGLELVNAAFCRYFQSTCFDISRKVHTVMRLVELYRPYVFFNGVFDNMNAEKLQIAARQSGVEMDLFYFDPKMINWEDYFMNIHIPGIVKYSFK >RHN72707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10007776:10012683:-1 gene:gene8456 transcript:rna8456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-RSK-2 family MDSKSSARSLSKQHQKTSGIQTPEAKDLKFSSLSLQKTGKSEPEDLSKSVQNTSKQNIGEIYESKKFGVPSQKGSSADSLSSKFGSGASSSVVNVNTGSQESSIDQDKKTSEYGSVKNSSVSAKASDGASSIAKTSGSAKISDRADFVESGKSSICRGSTSSDVSDESTCSSFSSSVNKPHKANDMRWEAIQVVRTRDGALGLGHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKFSCLVMEFCPGGDLHTLRQKQPGKHFPEQAVKFYVAEVLLAMEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVKSSSIDSEPLRKNTGYCAQPACIEPPSCIQPSCVAPTSCFSPRLFSSKSKKERKAKTELGNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTYGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPEAPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKAVEFEKIPSPAASSAGEKAVVNHMSTAPNQKGSDNYLEFDFF >RHN79313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27488736:27489112:1 gene:gene3088 transcript:rna3088 gene_biotype:protein_coding transcript_biotype:protein_coding MNENKRKRDGGVGPQIKTQMPRTNKLVDINRKLSDAQRSRIMKTPFRYLVEMKTYIGMNGTLLKELLHRWDASSLGFRVGVRTVAFKHLDLY >RHN45916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26774574:26775804:1 gene:gene40343 transcript:rna40343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MNEVMVISKLQHRNLVRLFGCCVEGDEKMLMYEDMPNKTLDAFIFDP >RHN75430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42257766:42259538:-1 gene:gene11639 transcript:rna11639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MTLEISEWFQNSIPTTIFTLFFTFTLIFSIFSFLIFISRMKLWCNCHICWSYITMNWAKEFINLSDWYTHLLKKSPTGTIHIHVLNNTITCNPQNIEHMLKTRFDNYPKGKPFSTILGDLLGNGIFNVDGVSWNFQRKMASLELTSVTVRSYALEIINEEIHTRLVPFLFSFSRDEKVFDLQDIMRRFSFDNICKFSFGWDPQCLQLSLPVSDLAAAFDTASKISARRALSPSPLIWKIKRFFNIGSEKKLKEAINVVNKLTEKIIKNRKEIGFSARNDLLSRFMCSVNEEKYLRDIVASFLLAGRDTVAAGLTCLFWLLSKNQEVEEKIQEEVNRVMNPAHEYASFKEIREMHYLNAVVYETLRLFPPVQFDSKFAKNDDVLPDGSYVTKGSRVTYHPYAMGRMERVWGSDCRVFKPERWLKDGVFVSESMFKYPVFQGGARVCLGKELALMEMKCVVVGLIRRFEIRVDGDNQELKFGPGLTASLKDGLMVRVFQK >RHN61971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39672556:39675951:1 gene:gene24488 transcript:rna24488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific protein-tyrosine kinase RLK-Pelle-DLSV family MSFITYILFVLSLIASYSIASNDTSSITQSQSISDGETIVSPKGLFELGFFSITNPNKRYLGIRFKNISTQNVVWVANGGKPINDSSAILKLNSSGSLVLTHNNNIVWFTNSSTKAQKPVAQLLDTGNLVIKEDSVSETYLWQSFDYPSNTLLSGMKLGWDHKRNLNRRLIAWKSDDDPTPGDFSWGVVLNPYPDIYMMKGEKKYYRLGPWNGLRFSGRPDLKPNDIFSYNFVWNKEEVYYTWNIKDSSQVSKMVLNQTSKDRPRYVWSKDVESWRVYSRIPGDICDHYGQCGVNGYCSSTNSPICGCLQGFKPKFPEKWNSIDWSQGCLRNHTLNCTNDGFVSVANLKVPDTTYTLVDESIGLEQCRGKCLNNCSCMAYTNTNISGAGSGCVMWFGDLIDIKLIPGGGQFLYIRMPASELGKSKTEGNYERHIDDLDLPLLDLSTIITATDNFSEKNKIGEGGFGPVYLGKFESGLEIAVKRLSQSSAQGMREFINEVKLIANVQHRNLVTLIGCCIQREEKMLVYEYMANGSLDYFIFDRTKSKLLDWPKRFHIICGIARGLMYLHQDSRLRIVHRDLKSSNVLLDDTLNPKISDFGLARTFGGNQIEGNTNRIVGTYGYMAPEYAIDGQFSVKSDVFSFGILLLEIICGKKNRVCHRTKQTLNLVAYAWTFWKHGRPLQIIDSNIVDSCIVSEVSRCIHVGLLCVQQYPEDRPTMADVILMLGSEMMTLDEPKEPGFTTRKESAEANSSSSGKDTSSNYEMTMSSFSAR >RHN55196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15979587:15979902:1 gene:gene30337 transcript:rna30337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MKPQKMKRIYANRPVVDYEYVARYVMKVKTRFQHAGHVYSSFLDILQMYRQKEKNLDEVIREVCGQNDN >RHN56556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31852856:31856221:1 gene:gene31972 transcript:rna31972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEPCKLHQLLNFVYTNYIFSHRSSFYYNTSHIFNSFFSEKKMAEALLGVVFENLLSLVQNEFATISGIKSKALKLSTTLDLIKAVLEDAEKKQITDRSIKVWLQQLKDAIYILDDILDECSIQSTRQKGISSFTLKNIMFRHKIGTRFKEITNRFDDIAESKNKFLLQECVAVRERSINVAEWRQTSSIIAEPKVYGREDDKEKIVEFLLTQAKGSDLLSIYPIVGLGGIGKTTLAQLVYNDHRVSDNFDTKIWVCVSEAFSVNKILCTIIESFSREKCDALDLDVIQRQVQELLEGKRYLLVLDDVWNRNQELEFGLSQEKWNKLKSVLSTGSKGSSILVSTRDKDVAEIMGTCQAHHLSGLSEYECWLLFKQYAFRHDREQQTELVTIGKEIVKKCGGLPLAAQALGGLMCSRSGEKEWLEIKDSRIWSLPNENSILPALRLSYFHLNPTLKQCFTFCAMFPKDIEIMKGDLIHLWIANGFISSRENLEVEDVGNMIWNELCQKSFFQEIKMVDDSGGISFKLHDLVHDLAQSIIGSECLILDNTNITDLSRSTHHIGLVSATPSLFDKGAFTKVESLRTLFQIGFYTTRFYDYFPTSIRVLRTNSSNLSSLSNLIHLRYLELFDFHDIKTLPDSIYSLRNLEILKLKHFSKLRCLPEHLTCLQNLRHLVIENCDALSRVFPNIGKLSSLRTLSKHIVRLEIGYSLAELHDLKLGGKLSITCLENVGSLSEAREANLIDKKELQEICFSWNNRRKTKTPATSTEEILEVLQPHSNLKILKIHGYDGLHLPCWIQIQSSLAVLRLSYCKNCVRLPSLAKLPSLKKLQLWYMDNVQYVDDEESSDGVEVRGFPSLEELLLGNLPNLERLLKVETGEIFPRLSKLAIVGCPKLGLPHLSSFKELIVDGCNNELLESISSFYGLTTLEINRGEDVTYFPKGMLKNLTCLRTLEISDFPKVKALPSEAFNLALEHLGIHHCCELDSLPEQLFEGLRSLRTMEIAFCERLRCLPEGIRHLTSLEVLTVYGCPAVAERCKEEIGEDWDMIEHIPKLSIN >RHN41939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34231609:34237437:1 gene:gene48315 transcript:rna48315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyamine-modulated factor 1/Kinetochore protein NNF1 MEKSVAIGSNDASSSSTTTATAIGSRFSTLNKSFKFAIRSLLTSCSKEEFVEAFSSFTNAEKDYLHRLFLQVITSLHEDLEEDFEAICLKTKVGTTLDAVEEIVEEQDLDLLFSNRSNIVDVAENLSAAKKNEIQTLMHMVQSGEEHNRRLRNQLQLLKEGSQVLSDASHAIEKIRSINLNYGANSSDEMHDDV >RHN68789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38617665:38619427:1 gene:gene17188 transcript:rna17188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylinositol N-acetylglucosaminyltransferase MDVKTAASNSSPPRAKWRKVAYGGMQPGYDDNHTDETFLEGMVMNASVVKRNMLKVMLDAVSISEYLCIVALVVLVWTCTLSSSLDENSLFSDSIWAVTVSLLILHLFLHDYSESTVKAPGALKNPALTSCISVNASVVASVFIASRLPSRLHVFAIMLFSLQVFLFAPLVTYCIKKYSFCLHICFSISLMVLTLSFVYTLHRLLFVLLLSLLVFVNLVCPYWLIRIQEYKFEINGPWDEAKLCFDITD >RHN51112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14105295:14108039:-1 gene:gene35490 transcript:rna35490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MEKEIKNQIPHCLIIPYPTQGHINPMLQFSKRLLQKGLKVTLVNTISSSKTFKTINLNTSIEFETISDGFDDGGLTAAKNIETYIETFRRTGSQTLTQLLHKLTKSNNSIDCVIHDAFLPWVVDVAKEFELYVAVFLTQACCVNSINFHAFKGWLDLPLLEKEIVLPGLPKLEAADLPSFLYKYGTHPGYFDILTNQFSMIDQVDWVLANTFYELEPEVVDWLKKIWSLKTIGPCVPSMFLDRRIQDDNDYGINIFNPNSESCIKWLDDKPKGSVVYVSFGSRSSLSEDQTEEIAYGLKNCGRFFIWVVRESEKSKIPKGFSETLEKGLIVTWCQQLEVFAHEAVGCFVTHCGWNSTLEALSLGVPMIAMPIWTDQITNAKFIVDVWKIAVKGVGDEKGVVRRESIEDCIREIMETEKGNELKNNAIKWKNVSKKSVDEGGSSDKNIVEFVNELMLRRKKF >RHN40831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:22441571:22443755:1 gene:gene47057 transcript:rna47057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MSSIKILSTTTIHASNHNLSDSTYHTIHLTPWDLQFLPFGFNQKGLLYQHPFELDRTNQIQHLKDSLSSTLDIFWPFTGRLKITTEHEANTISCSIVCNNEGALFVHAVAKNISVADIVRPTYHPPIHHSFFALNGVKNYEGTSQPLLAIQVTELVDGIFIGFTVNHVIVDGTSFWHFINSWAEISKGCLKISKLPTFDRWFSKGIECPIRFPFTIESRYNDNDEEGKINFPERVFHFKKESIAKLKFKANLEVGTKNISSLQAIFTHIWRSIIRCKKLDPQAEVKFVLDIGIRPRLIPPLPEDYFGNAVIDCVVSIRADDLLKDGGLGKGSLEMNKMIVLHSDERLKNHYENWLITPSFVTSGMANSDSLVIGGSPWFDVYGNDFGWGKPVGVRSGGSNKRNGKIYVYAGVEDGSMDLEVCLPCEILEAIRNDPEFMDVVSY >RHN56952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35209136:35212628:1 gene:gene32424 transcript:rna32424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme METSENSPPTLEDQNTSVSVRRALELLHLDNQDMKIQAAKDIRHLTKTSHRCRRQLQQAITPLVSMLRVDLPESHEPALLALLNLAVQDEKNKINIVEAGALEPIVNFLKSQNQNLQEYATASLLTLSASTTNKPIITSYETIPLLVNILRNGSQQAKSDAVMALSNLSTHHDNLNTILESNPIPFIINILKTCKKSSKTAEKCCSLIESLVDYEEGIVSLTSEEGGVLAVVEVLENGNPQSKEHAVGTLLRMCQSDRCKYREPILSEGVIPGLLELTVQGTTKSKAKSCTLLQLLRDSDVETRCEIEGETLENIVYDIISQIDGDEQFGKAKKMLDEMVQVSMEQSLRHLQQRALVSTTSDVSITSCASEVSSK >RHN66578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14179330:14184226:1 gene:gene14591 transcript:rna14591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MSLYQAPGFRFHPTDEEIVCFYLKRKLTGKLPPCFDHLAFIDIYKFEPWDLPNMSKLKTKDLEWYFFSALDKKYGTGCRTNRATDRGYWKTTGKDRVIKNGEETVGMKKTLVYHLGRAPHGNRSNWVMHEYRMIDEELAKTGGQDAYVLCRIFEKSGSGPKNGEKYGAPFVEAEWQNVDEPVNPLPPVDNQLLEQVAAPAPDYAEFLQSLVAFDNDLLNESVPTATDDNYLEILDLDQELDTSVTVGNADLPPNFYYGECSSFPQHSQSEAAFGIYDHQVGGLYGVDSVQDGYNGETNHNENPLNFDFASEDPDLYFDAAEYLRGLNEEYVETNDLKNLDGVDSTVIDPSVSELLDEYLECPDEEDITKYICFDSESPIANHVFEAQYSNGVLPKEDQGAASNWVSGGENAFVKQANKLLAGIPAPPAFASEFPSKEFAVGMHSAAEFSNSAHITTGIISITDITYSGNMMDWMAGKNGGFDTIMSNEFSQTAAINSATGLVCRKTACMLSHGWVYLMGFSVVILSMSLKIGSFMYMGK >RHN80790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40884016:40884936:-1 gene:gene4756 transcript:rna4756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MYHYSSNQQNYQNTDDNGLAFIDADHVKFPTHSDGFISKENVSADENKVPPLVNKINKGQYHWDEEQHRLFLEGFEKYGKGKWIKIAQHVGTKTTTQVASHAQKHFIRIKESAKLSKIRKRRSIFYTTTTTNNSWDGNFHPLLYRDNIPSPPSPPPNIETESIVEQILQQLQQAQEALWNDNVILS >RHN62792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45863797:45868730:1 gene:gene25401 transcript:rna25401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAKGSRGRYRVASRQYRLAPYPLARCKRDTCEDMCHKKCSKVLDKKECEDVTCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSLRHSNCLDQYKKAYTKVVSARNGQPVEGSIDNPFMFHDSNSPHEKNEVTELACPLCRGQVKGWTVVEPVRDFLNEKKRSCMQDNCSFVGNYKELKKHVRAEHPSARPRTVDPDHEQKWRWLEWEREREDVISTVTSAIPGAVVFGDYVIEGRHNNDFDTDDEEGAHEAGNAERNGRFEMGMEAMNFFLLLHAVRQGNDLSSFSRRMRPEMDPDMLADQNEDQNEDAEMGVIMDVSDGDNDDDDDDGSYNEGNDDGVSLVSRLRRQGGGRVLLNRSSRRRRRRETHATMGGSG >RHN50329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6236574:6236979:-1 gene:gene34602 transcript:rna34602 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKASNAAQSAKESMQETGQQMQAKAQGAVDSTKDSTNKN >RHN53757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3898677:3904138:1 gene:gene28701 transcript:rna28701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MGVSVGKAWRLGVLSTNLALLSNNNNKKKQQQHVCSRKNNMTISWSLVCGLMLFVLGLISLLTGHMLSDLEWYSHRLVHPTFYSRLDGHYRAPIDIWKSKLSKYYYECSDRGRDYAPAVREQMSNGYLLIAASGGLNQQRTGITDAVVVARILNATLVVPELDHHSFWKDDSDFINIFDVDWFISYLAKDVTIVKRVPDKVMRSMEKPPYTMRVPRKSEPDYYLDQVLPILLRRQVVQLTKFDFRLANHLDDELQKLRCRVNFHALRFTKPIQELGQTIVTRMQKMAHRFIAVHLRFEPDMLAFSGCYFGGGEKERNELGEIRKRWTTLPDLSPDGERKRGKCPLTPHEVGLMLRALGFTNDTYLYVASGEIYGGDETMQPLKDLFPNIYTKEMLADEELKPFLPFSSRLAAVDYIVCDESDVFVTNNNGNMAKILAGRRRYMGHKRTIRPNAKKLSTLFAGRHQMDWHTFARKVKACQRGFMGEPDEMRPGRDDHHEFPSSCVCERPYVDEKLGTKIDT >RHN82419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53581510:53582576:-1 gene:gene6583 transcript:rna6583 gene_biotype:protein_coding transcript_biotype:protein_coding MKPESKLHGFYDQQKNMINGPRPSPLMIRKPNSSHKQQRVPIIIYTQSPKVIHTKAQDFMALVQSLTGMSTTNQVLPRQLEVSENFESSLSDGSNNNGDETTSTSSVIKREYNIDENCDKGGVNSNVDYKHSPSNMMKFADMPLFTPTSHDFFCSPSSRPVYKFSDSPYGILGSLISPSGLGFIQDLPEY >RHN58081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43598148:43599113:1 gene:gene33688 transcript:rna33688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MTPENVQVSNYIPDDIALSIMSKLPVKSLKRFESVRKSWYLLFDNRYFMTIYRNNFLSKGYSYYGDDTSLLLHITRDQECVLYSLSGENFENRVKLDSFPEDEENQLLFREENDDNSDEDECGFEILGSSINSTLCLRTFYHRNMKLILWNPTTNEFKVIPPSLVLSQPYREYVHHLVGYDHVQDDYKVIWFSIPYDLPPSFVSFWEIYSIRSNSWRKINIDMSPSYLKNKNKVNMNGVSHWLDDIRSDPHLVSFDLCSESCITTPIPSDVVGFFDSYLVWSVGDLMILNESIAFILNDTKISTFHISILSELDRCTRIMY >RHN39822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10831152:10831729:-1 gene:gene45895 transcript:rna45895 gene_biotype:protein_coding transcript_biotype:protein_coding MLQALLLLGLQTYTIFVHSTHRFHFSIRCFSFVYSIQKSMLLIFLCYTY >RHN67623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28740600:28741001:-1 gene:gene15830 transcript:rna15830 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKMALSRRPQHGYSKMDKEDSEERKHRQAQFLIYKALEKVDSRRKPSYLRIKIFKLKVKLGNSCRRIKKRFFLKCQRSWSLFY >RHN78074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11684830:11689541:-1 gene:gene1589 transcript:rna1589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha,alpha-trehalose-phosphate synthase (UDP-forming), Trehalose-phosphatase MVSRSYSNLVELASGETPSFGLMNRRIPRIMTVAGLISEVNIDDDQVESVASDLSSSSVHRDRIIIVANQLPIKAQKNHDGNRSYWSFDWDENSLLQLKDGIGDDDIEVIYVGCLKEDVHPNEQDEVSMTLLENFKCVPTFLPPEMFTKYYHGFCKQQLWPLFHYMLPLSPELGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDYVWIHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLTYESKRGYIGIEYYGRTVNIKILPVGIHMGQIQSVLRLQETEEKVCELIQQFSDQGRTMLLGVDDMDIFKGISLKLLAMEQLLVQHPRYRGKVVLVQIANPARGRGKDVKEMQEETKATVKRINERFGKPGYDPVILIEEPLRFYERVAYYVVAECCLVTAVRDGMNLIPYEYIVSRQGNETLDKVLKIGSSPKKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDSALGMADSEKELRHEKHYRYVSTHDVGYWARSFLQDLERACCDHVRRRWWGIGFGLSFKVVALDPNFRKLSVDHIVSAYRRTTCRAILLDYDGTLMPQVSIDKSPTGKSIDILNSLCRDKNNMVFLVSARSRATLSEWFSPCENMGLAAEHGYFLRMKRDGEWETCVPATDCSWKQIAEPVMKLYTETTDGSTIEDKETALVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNYVEVKPQGVSKGLVAKRLLSSMQEKEMSPDFVLCIGDDRSDEDMFEVITSSMNGPIAPKAEVFACTVCRKPSKAKYYLDDTAEIVRLIQGLACVSDQKILF >RHN39987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12070337:12073669:-1 gene:gene46074 transcript:rna46074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MEEYTERYAVVTGANKGIGFEIVKQLASAGIKVVLTARDEKRGLHALETLKASGLSDFVVFHQLDVADAASVASLAEFVKSRFGKLDILVNNAGIGGIEINDGDLYTKLIMTKGAALSDEESRRVITQTLESAKECIQINYYGAKRTFEYLLPLLQLSDSPRVVNVSSRAGTMEYVSNEWAKGVFSDVENLTEQRIDEVIKELIKDFEQGSLERKGWPRHLAAYIVAKASMSTYTRITAKKYPNFCINCVCPGYVKTDITANTGLFTVEEGAAHPVRLALLPDGSPSGLFYSQNKVISF >RHN50724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9817263:9817630:-1 gene:gene35048 transcript:rna35048 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDNKALCAARKELITENIVVKKILKMTFCESCGGPSFPMHEHERFMQKMRMENEMLKEKIEKISTLLARYEQKEISQLEFEHTFACLNAF >RHN65391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1714797:1715718:-1 gene:gene13224 transcript:rna13224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MATNVLLFLLLTLLSIATSTPTNFIKSSCSTTSYPTLCVESLSVYATTIQQDPHQLVQTALSLSLNKTQSTKGFVTKCKKFKGLKPREYAALHDCVEEITDSVDRLSRSLKELKLCKINGQDFNWHISNVQTWVSSSMTDASTCSDGFGGKALDGRMKTSIRSRMVNLAQVTSNALSLINQYGTNH >RHN60100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20290596:20292663:-1 gene:gene22322 transcript:rna22322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative replication factor-A protein MEIQLQVLNIAILRLVKGMNDSVYFLLSDGESVRYAFLCPTLSIEYVKLGIKLGSLIRLKRYCFRTENGNEMIYLMEVVVVGHFKDVIKIANAPLPSVKDVPLPTYPTNPTIRI >RHN71502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:596314:596911:-1 gene:gene7110 transcript:rna7110 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHHKSSKTTIFSSHSNLNFSQHKKESHHQQHSIQICENPFSGSVNGVVKI >RHN38814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2207043:2211054:-1 gene:gene44782 transcript:rna44782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NDUFAF3/Mth938 domain-containing protein MAVRQRAVTALPNLIRSLRNEHPLKLKPSPHNNPNSLPSLRRAFSLYDQINLIDNVPEDQLRFQGFKDTGFTVNGVEYEGSLLCVGNLIMSWKPTKFSEITAESLSFFQIVRPIPEILIVGCGRNIQHVDPELRQFIRSTGMKLEAVDSRNAASTYNILNEEGRIVAAALLPYGVTS >RHN81270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44472371:44476984:1 gene:gene5286 transcript:rna5286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NACHT nucleoside triphosphatase, P-loop containing nucleoside triphosphate hydrolase MNLNLDVSVSVETPVVRGEALPPSCSTIVEVAMEKLDQIRSRQNLITSNKVIEMVEEVKDGLKDLKNIVSKLKSTNQRETVWLEEVNEVCNYSEKVAGNFISTREKWLKMYGWKKVLYLYEGYASVWEFKSQMRYIRSCEIGDTLQRGITYGVVGDTHEIKTQRSTVPVPNLSVESLIVWELIPFAISFAASFLVPDNSGNTWNFLYVFLSQTFEVVAEQGARECNITKTRRKLEKTNEKTGRKGRAILRRLIRSIIYYTYINLPVLILSLYLPLQVVLIFQLYFPLRDVIVWIVKLWRSMDNNLKCTQRDLALMHAFLRDIAAESEQVPMNERQKVWVEQLRIVAQNGKSLLDAAAKGGCWRRRIMFPKDINCFLDEILNLSHRKTVYGISNIIIQQGTQQNTVVRSCPSINNTVVRLCQSINMHEERVIQRIVEQHGYISRDMEGNPAPEPAASSSYRPVTGLKQEVQSIREEEELMFALFQDVLEMRDLDRRSTIWVEQMHGIVNEIESVIQRYDAKLKYKSILLYTLKFWTRHVISEEINAIRNKIEDASRRRRAYGLGKTIESSMSSTVHILRGTAQLSLVAKESNVVGFDDDAQVLMAQLLSDEKSHCITWIVGIGGTGKTTLARLIFKDKAVGDHFECCLWVSVSSTSESNSHLNSNCTAQQLFEEIAKEASKQIEGADSPSEPMLKTLARKRYLIVVDGIEETSQVYLLDTLKDVLPDMSTGSRLLLTARNANIARHAAGTITTFVHPLQLLDDDSSWVLFTRHLKVDIPKEELKKVRREIVKCGGLPSEILKLSNLLSHEEEWSSMLNQEQIQSQIQAWSETVNEINKHLPLYLRGCLFYFGLFPAEFGIPIRRLVALLVAEERVHHGEDQEPPEQVAEGYLTKLIDQNLVQIAKRKRNGKVKTCRLPYALRQLWWTKANESIFLKSKSTSTDSNADPNNSIIRWVTDHLNTDHIWYDHIHGDTHNTRNKSVNLRTYYHDVRSFLSFDTREGSKPGQEVGYFLRECILGDCFLLLRVLDLERVYKPKLPKSIARLSQLRYLGLRWTYLESLPSFISRLLKLQTLDLKYTYIHTLPTSIWEMDLRHLFLSDTFHSRFPAKQKDPFSAIRFLLPQVRDNFLYDLQTLWGLFVDEETPVKDGLDTLVNITKLGLACQQMSLEEEAMPKQLEVVADWIAKLEHLQSLRLKSRDEEGKPWILPLKSFEKNENLTDMYLLGSLSRSSIVSQFPKSLVELTLSHSKLKEDPMKLLKYFSELRILCLLADSYMGHTMVCESQSFPKLHALKFWVLKQLEEWKIEQGALPCLRQLEIRLCPNLKMLPNGLKHVNTLLELKLTDMPREINDEAQNIPTFLPNCRVV >RHN46528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32530564:32531664:-1 gene:gene41023 transcript:rna41023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase/kelch, beta-propeller MIMKRLPLKDYLRLRAICRSCRETVSNIIENKHCCPLPEMPSLSTKSLLCLRTPLLKSNKCIGSVEGWLIVVDNSDKGFAKFFFLNPVTDVRITIPSKLHLPSIIGQRIYVRKMTASSKPNCDGSDCYLVGLLSDYCHIAIYKLFEKSWTIVEPDKDSGTYFTDIEIIGRKLYVIGSSSNSILVYDLKDSTNGPPKAQVLAEFPRMPAGSSSYCIFLAKDKTLRELYFISMFYNCEIETQRVVSDRFSIILAYAKPPHVTCFEVFKLDTNKSPIGWQNVRLDDKVVFLSCCKSMVISRDELNNIEELVRGNSIYFAVTFRCPRYNPSTSLEFGMFCLNDSSIKYFPEKTLKHGDVLHPVWFVPSLW >RHN49987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2904775:2905191:1 gene:gene34233 transcript:rna34233 gene_biotype:protein_coding transcript_biotype:protein_coding MVALEQCYYPHESYITDYVVFDYLINTGTDVDILVRSKILENLLGDSHSAAKLFNDLCENVNISSHFSILCKDLNDFYSNPWHELNLKASLRHDRCNTILGKLLLPLLESCYFYYYYHRCTLYVSIYSFIIFCVLCVR >RHN40748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20233495:20241910:1 gene:gene46945 transcript:rna46945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CST complex subunit CTC1, plant protein MEHPNTITLSNLLQLSRPFTASASLPFLSTHPPPQSHTPTTDHRILTTLNRPTIILGTLTLPNHSPPYHFPCSCFKFSDASATVCCDILSFRLAAVGKQIRITAWNFIPFKNPGNNGSNTGFLEIIKWCFFNPNDESNLVDSLPVTPNLPGSNSIAGGKYFRGVHGVVESVTPISTVPCITPASSAKLEYNLNLGSRVNTLGFLVQLLCCECRLCSSKDLVNNLRNGSFKIDNMNGHSFTKMEILYFCGNASTFQPVMTKLIGNRVVVSGLKKKLVYINKEESCLMYLTLEETVLHVCPRLEKLRPRLKSEIKGKGECGCYTGVIRGVYMKGMALELDNDVWLLLTDQLHTLMHGLRVGSIISVRNVHFVNPKFSWTKVVILGACVKTSIIVESFSPLETVCNVVLQSTSMLEKFIQSLPFSARLWVLLLISNFRKKFAGSLSDKEILGSKHNEGLAQMYASSLFPTSVFQTERGAFMGLCRHDFNGCGREMHCGFLKLVVTMSIFIHHCINTLQRMLKSESPCKLLSVGNHFSILPRQARYNSRSGRKIISSEDVGVVLHGYLKIDPLTRRLQLIDATGGIDVLIPDLPLTWNSNDIFEVTNYDVIMDGIDELVDQLEFSESLSCRMIFNCTKVGRRFSTSITVYCLWKNVRCRKSPLYPCINSKNEAKMLESGSYHFLRVSHKFPLQEKYSNNVSSNKSSTFVEAILLPYILLLDGKEEILHPGNVYGDKAIELSKSCFSDNNEERVSSKRQKLIKKSVNTSKDEFHTSVYELNACSNTFKESKENKKLEDLSSADILCTVTFRGLENEDVVHQALLRSTSRSKDTCFNSKPNARKILLEFSSDSFLKYQLLHIGGYYIIEHNAKDCFSATNDAAFGSSGTANFLIDSGKHIWSLAFISDDAIDGVRPKVQIQQQLLSSNDDSSSVCSDVCLYLPVNLTGLLEDNVTESEDGQIQKFETSELSANICFNIGTVVAWPNFCSEPQSSNFVFPEGKLISLKGNVVGIHDISSGFCNSCSSGASLDALQMKGLVGAKGSFCIHVLVHHNVVNIFGSISKHAFPTGFGPGVTATFHRILDARAQKFMLLPVSFIEINSIEVYDKPCSNRLHTLRPLKDAYSVSQDSFPCLISQLPQCPSQKQIVLRCRVVAVIVLVLERKTTNLYTKAKMNSKGILLDIPLVCFLLDDGSSSCYCWANAERAATLLRLQHEPTTSCHLARILKKYKRITVKNRGSLINFPYQDLAVSGASCDALNPSDESLMKYIIFNACVGRTWNVVASVMDSEEVAQLKNEYLTHMVNMKPMQNIWAKEVSCSRGLAEARNMLRELLKS >RHN54876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13152571:13160781:1 gene:gene29973 transcript:rna29973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NORK MMELQVIRIFRLVVAFVLCLCIFIRSASSATKGFESIACCADSNYTDPKTTLTYTTDHIWFSDKRSCRQIPEILFSHRSNKNVRKFEIYEGKRCYNLPTVKDQVYLIRGIFPFDSLNSSFYVSIGVTELGELRSSRLEDLEIEGVFRATKDYIDFCLLKEDVNPFISQIELRPLPEEYLHGFGTSVLKLISRNNLGDTNDDIRFPDDQNDRIWKRKETSTPTSALPLSFNVSNVDLKDSVTPPLQVLQTALTHPERLEFVHDGLETDDYEYSVFLHFLELNGTVRAGQRVFDIYLNNEIKKEKFDVLAGGSKNSYTALNISANGSLNITLVKASGSEFGPLLNAYEILQARSWIEETNQKDLEVIQKMREELLLHNQENEALESWSGDPCMIFPWKGITCDDSTGSSIITKLDLSSNNLKGAIPSIVTKMTNLQILNLSHNQFDMLFPSFPPSSLLISLDLSYNDLSGWLPESIISLPHLKSLYFGCNPSMSDEDTTKLNSSLINTDYGRCKAKKPKFGQVFVIGAITSGSLLITLAVGILFFCRYRHKSITLEGFGKTYPMATNIIFSLPSKDDFFIKSVSVKPFTLEYIEQATEQYKTLIGEGGFGSVYRGTLDDGQEVAVKVRSSTSTQGTREFDNELNLLSAIQHENLVPLLGYCNEYDQQILVYPFMSNGSLLDRLYGEASKRKILDWPTRLSIALGAARGLAYLHTFPGRSVIHRDVKSSNILLDQSMCAKVADFGFSKYAPQEGDSYVSLEVRGTAGYLDPEYYKTQQLSEKSDVFSFGVVLLEIVSGREPLNIKRPRIEWSLVEWAKPYIRASKVDEIVDPGIKGGYHAEALWRVVEVALQCLEPYSTYRPCMVDIVRELEDALIIENNASEYMKSIDSLGGSNRYSIVMDKRALPSTTSTAESTITTQTLSHPQPR >RHN55424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18168743:18171292:-1 gene:gene30602 transcript:rna30602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MISSQENQSLSSSSSFSFPNSSMHMVYADLGSLSINTNYGVVSSLDKESKNSYAFKSFVMENGSVFPFMSECLSKRYEEHNMDDDMEGKGSYWSEEGENSERINHNNTNFNDELENPNGSGKEEDNGQSSKCARGHWRPAEDSKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLMQAHRIYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSNAYRRRRLSQNVYSRMEQNPSFGRRDTATMETESSPPYFLNGAPLGNSMSIFQYATSFQHCAHGGRVEYGLNVLPHMSGEREAMQGPLHVGLYAQQAPFDYFSGVCFGRSNDMVEEPFNQIRYWDGTKDEYQQLNGFYHHYPQQYLMTKQNQNNHNFHIFSNSSAASATHHNAENSEKVLVDDTPDAVETPQFFDFLGVGAT >RHN53092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41959180:41961711:1 gene:gene37853 transcript:rna37853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleosome assembly protein (NAP) MVLKVLTDKRGKVLKTVPKFWLHAFTAHPIIVNLLNNKDHEIFDEYLSSIEVEDNQDVSTAYSITFNFNDNAYFDNQSIAKSIIFI >RHN68482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35903594:35904954:1 gene:gene16844 transcript:rna16844 gene_biotype:protein_coding transcript_biotype:protein_coding MREGNTSCDNPSYPHRDIYAMLFDLTIEQGTSFTPVSFAISVVNDSICTISSKFSIFEQPERMRVFKDFN >RHN72727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10209864:10220316:1 gene:gene8477 transcript:rna8477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MASSGNPNQNQQQTQFDLQKLFKGTTQTNQIPSNLNTSPSFPSPSLSTPPPSSYPTPSSSYPPPTGTYPYHLPYNIPFPENPLILQHHPQMHAPQTQRPIFQSPSSPNPNTTSGARLMAMLNTQNPPSNQETSSVSSEYSVSANPVVSSGSSTVNVASPQSTPARMLSAKVPKGRHLKGENVVYDIDVKLPGEMQPQLEVTPITKYASDPGLVLGRQIAVNRSYICYGLKLGAIRVLNINTALRYLLRGHTQRVSDMAFFAEDVHLLASASTDGRIFIWKINEGPDEEDKPQITGRVILAIQILGESESVHPRVCWHPHKQEILIVAIGNRILKIDTMKAGKGETYSAEEPLKCNIDKLIDGVHLIGKHDDNITELSMCQWMKSRLASASADGTVKIWEERKATPLAVLRPHDGKPVNSVTFLTAPHRPDHIVLVTAGPLNQEVKIWVSGYEEGWLLPSDSESWICVQTLDIRSSSEANPDEAFFNQVVALPRAGLVLLANAKKNTIYAVHIEYGPNPTATRMDYISEFIVTMPILSLIGTSDSLPDGDHLVQIYCVQTQAIQQYGLNLSQCLPPPLDNIELEKTEPSVSRAWDGSADLETVNMPQVPLSSSESAVNLSSSNIHGPPEAFVSDNKIKPNDLPSHNSFEYVHAAPPPLPPSPRLSRKLSASKSSSNILATSSASTGDHKNEPANLDPSVEERIKSEKDNVADVPVSGDNLQESDKVVQTDVSVVSDSPITFKHPTHLVTPSEIFSKAALSPANSNISEGVAAHSDAEKFEVEVKVVDEIETGSNQENTEHDRDRGSHTDAAKKKEKLFHSQASDLGIRMARDAYNIERVHQADKDTYNTEGVRQADRDIYNIEGDLQADNTNTIDASENNRASIEGEVQDTSKEVPENIREPEVVAATLPSPAPSTKGKKQKGKGSQVSGTPASPSPFNSADSSKDQGGNPAGSSMESALPQLSTIQDMMGQLLNMQKEMQKQMNAMVSVPVTKEGKRLEGSLGRSMEKVVKANTDALWAHIQEENAKQEKLEQDHVQQITNLISNYINKDMSSLLEKIIKKEISSIGTTITRSLSQNMEKAISTAVTESFQKGVGDKALNQLEKSVSSKLEATVARQIQAQFQTTGKQALQEALKTSVEATLVPAFEKSCRVMFEQIDSTFQNGLLNHTTAIQQQYDSTHSPLAATLRETINSASSLTQTLSGQLADGQRKLLEMAANSKVASDPFVTQINNGLHEMTEDPTKELSRLTSEGKFEEAFTGALHRSDVSIVSWLCSQVDLSSILTMVPLPLSQGVLLSLLQQLSCDINTDTPRKLQWMTDVAAAINPEDTRIAAHVRPILDQVYRTLSHHRSLPSNSPSEASTIRLLMHVINSVLMSCK >RHN45167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12569193:12571120:1 gene:gene39386 transcript:rna39386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MENSLYDELLQEIFQKLPSSSFSSISLVSKRWLHLYRSSKTSLSLRFNNQHLSSFISFLNQHPSLSSLSLILLSSSPSTTPLLLSVISSSKLLSLKFLAAPLPLSSITSLSNSCTKLTSLSITLSRPIFLNWVLLFPCLKHLSVVFCSSEEGIQQIELKTCRSVVDGVLLNLAQHCNSLESLLVHDGGSREGLLHFFSNCSSNNLHKLDFRLPMDLENNHLFAIAMTTNFRGLSSIRLQSCCLVTGEGLKVLSVALSNGLEELALINCDVVEREKGLLATLGQHLRQLKKLDLSHNEMLFDKDFISMLVSCIHLVDLKVRGCKGLTNLALVTMVRSCKRLENVDVMHCLGIESMAIELFVKNCSHLRRLEVEGSKLSDATKLWASNKFIELVL >RHN60772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30271381:30271638:-1 gene:gene23133 transcript:rna23133 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAVLYKPLMDASFNAFNSSNYYIILAPPKFSFSVRFFSAESSSGDDNNEDDSDDVHDKNSNQLHLRDDHFVKDVNTILDIINE >RHN63014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47616056:47624217:1 gene:gene25662 transcript:rna25662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MARPLTHLILILILHITHTIAGDTAFLRNRHHAMILPLYLTTPNSSTSALDPRRQLHGSESKRHPNARMRLHDDLLLNGYYTTRLWIGTPPQMFALIVDTGSTVTYVPCSTCEQCGRHQDPKFQPDLSSTYQPVKCTLDCNCDNDRMQCVYERQYAEMSTSSGVLGEDVVSFGNQSELAPQRAVFGCENVETGDLYSQHADGIMGLGRGDLSIMDQLVDKNVVSDSFSLCYGGMDVGGGAMVLGGISPPSDMVFAQSDPVRRCFRGGDSPYYNIDLKEIHVAGKRLPLNPSVFDGKHGSVLDSGTTYAYLPEEAFLAFKEAIVKELQSFSQISGPDPNYNDLCFSGAGIDVSQLSKTFPVVDMIFGNGHKYSLSPENYMFRHSKVRGAYCLGIFQNGKDPTTLLGGIVVRNTLVLYDREQTKIGFWKTNCAELWERLQISSAPPPMPPNTEATNSTKSVDPSVAPSVSQHNIPRGEFQIAQITIAVSFNISYDDMKPRLTELAGLIAHELNVNTSQIHLLNFTSSGNDSLSRWAITPRPYADYFSNSTAMNIIGRLAEHRMQLPDAFGSYKLIDWNVMPPSKRNWWQRYYMIVGLAVLLTSLLGLSIFGFFIWKRRRQSAHSYKPVDVAVPEQELQPL >RHN52247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33012935:33021733:1 gene:gene36898 transcript:rna36898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MYKHGLRASVALRSISTCKLKCHHYQYVHKAEIEFSRNLTTTTIGPEFIESVAGSSVQLQIVKALHSGERKKASHLLLDFGHRSHSLSADDFVNIFKYCAESPDPLFVMEIWRLMESKDISINNTCSSLMTRALCKGVCINKDFSIMDFLAESRHFYPVLPLYNSILRSYTEIQNLVQARKCLDLMEKQMIGKSEVTYTALLKLAVVQKNLPAVHIIWQEYIKLYSMSIVPLRKFVWSFTRLGDLKSAYRTLQQMVSLVMRGNISIAKTVYGKLYSTRLDIPVPSNGRLGSTILDIRKSKQHDSCILSPMYLPNIVSASKEQQIICMDDKKAKSGEINGLNGKKHSLPMKVLRWSFNDIIHGCANEKNYMLAWKLILQMQSLGLQPSSHTYNGLIRAIVSQRRFGDAMRMLKKMQQENLKLVDSTLATLSVAFSRELQLDLAESLLNQISECLYPHPYNALLASCDALNQPERAVRVFAKMRKIKLLPDMRTYELLFSLFGIVNAPYEDSNMLSQVDAAKRINAIERDMANNGFQHSYLSLKNLMKSLGEEGMIRELIQYLHVAENLFLFSNPSLGTDMFNIVLHYLVEAQEGHMAIEIFKKMKLCGCHPDSTTYNIMIDCCSIIRSYRSASLLISMMIREGFCPVACTYTALVKILLEDENFNEAVDVLERIKLDGIKLDVLLFNTFLRQACYRGRIDVIEFIVEFMHQEKVQPDPATCGYVFSAYVNSSFHNTAIEALQVLSLRMMSEDGNILKERKDLVDEFILNEDLASESHIFKLFEDSEDEVATGLLNLRWCAIIGFPLCESADRSLWAKRLELRFQKRLASGSRKGVHDF >RHN42544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39192591:39195954:-1 gene:gene48996 transcript:rna48996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative micro-fibrillar-associated protein MSVTAGVSDTVIAVRDKLRGKIGQTKVNRYWPGKAPEWADKEIEDASGDIRSDREVALDKAFPRHEEDTAIVRKDDRRLRRLIESRVDNREEVREDHRRIRQAEIVSTIEEEAKRQEGLDLEEQDEDAMAERRRRIKEKLRQRDQEEALPQEEEEEEEEEEEEEEESDYETDSDEEYTGVAMVKPVFVPKSERDTIAERERLEAEEEALEEARKRRMEERRNETRQIVVEEIRKDQEIQKNIELEANIDDVDTDDELNEAEEYEAWKVREIGRIKRDREDREALLKEKEEVERVRNMTEEERREWERKNPKPSQSSKQKWRFMQKYYHKGAFFQSNSDDRAATVGTDNIFTRDFSAPTGEDKMDKTILPKVMQVKHFGRSGRTKWSHLVNEDTTDWNNPWTYNDPLRAKYNERMAAMNAPIAKPKGSRKLKDWESR >RHN40809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21468046:21472554:1 gene:gene47023 transcript:rna47023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MTCFPFLFGKKVSSDVRRDPEIDQGLSGIRIKVYTYKELKIASDNFSPANKIGEGGFGSVYKGVLKGGKLAAIKVLSTESKQGVKEFLTEINVISEIKHENLVILYGCCVEGDHRILVYNYLENNSLSQTLLAGGHSNIYFDWQTRRRICLGVARGLAFLHEEVLPHIVHRDIKASNILLDKDLTPKISDFGLAKLIPSYMTHVSTRVAGTIGYLAPEYAIRGQLTRKADIYSFGVLLVEIVSGRSNTNTRLPIADQYILETTWQLYERKELAQLVDISLNGEFDAEEACKILKIALLCTQDTPKLRPTMSSVVKMLTGEMDINETKITKPGLISDVMDLKIREPKKNINMGTAPSSYNASSSSDSQGTTTISLAASSSATTSSFTVKYD >RHN73516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17269374:17270453:1 gene:gene9338 transcript:rna9338 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDSVSIYDIIVSWLSPSFIFLIVNLVIGTIAITSHFATQKKRQPNSPLELVRSSSSIFGRVTSFGLSCCKFEPASAASTTTTTPEETQSIDLGLSKTEMKGGLGNSTPLIRAPSLLERLMSGNFRRLDSVKVVEEEKKAESEVELKPEREIVRGRVEEEEVDAKADDFIKRFKQQLRLERLDSILRYRDILHRN >RHN52950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40666399:40668263:-1 gene:gene37692 transcript:rna37692 gene_biotype:protein_coding transcript_biotype:protein_coding MFDWDNKILGHWEKKKKKKKGLLKLTIIQKNRGIFFYEKRILDALFLYEGNLMPSYHE >RHN43977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:433846:441641:-1 gene:gene38020 transcript:rna38020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLCFVILKNHGFSRRFTSIFTFKRKSLCYYSSSSTQLQPISQTMVQRVCSLVCESYNQHAHMRVSSQRLHFGIEVDFLTHEQAVSVVASLASDAGSMVALSFFHWAIGYPKFRHFMRLYIVCATSLIGNRNSEKACEVMRCMVENFSEVGRLKEAVEMVIEMHNQGLVPNTRTLNLIIKVTSEMGLVEYAELLFEEMCVRGVQPDSVSYRVMVVMYCKIGNILEADRWLSAMLERGFVVDNATFTLIISRFCEKGYATRALWYFRRLVDMGLEPNLINFTCMIEGLCKRGSIKQAFEMLEEMVGKGWKPNVYTHTSLIDGLCKKGWTEKAFRLFLKLVRSENHKPNVLTYTAMISGYCREDKLNRAEMLLSRMKEQGLVPNTNTYTTLIDGHCKAGNFERAYDLMNLMSSEGFSPNVCTYNAIVNGLCKRGRVQEAYKMLEDGFQNGLKPDRFTYNILMSEHCKQANIRQALVLFNKMVKSGIQPDIHSYTTLIAVFCRENRMKESEMFFEEAVRIGIIPTNRTYTSMICGYCREGNLTLAMKFFHRLSDHGCAPDSITYGAIISGLCKQSKLDEARGLYDSMIEKGLVPCEVTRITLAYEYCKVDDCLSAMVILERLEKKLWIRTATTLVRKLCSEKKVGMAALFFNKLLDMDLHVDRVILAAFMTACYETNNYALVSDLSARIHKENRLEIKVTK >RHN56483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31223686:31224537:-1 gene:gene31891 transcript:rna31891 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAMLKGVRFDNKGLRSGHRGIAALICCLMFFLEESLGDSSFVLFVCGRCVIFFNHNRSIQLRWCLLMRRVARLMPLSGNDCFIFLIDKQGASMGAALSPSNAAESGEIVGSPESSCQEEFGEGFDEVSRKRSKKSAKSAKVVKD >RHN78153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12345663:12348279:-1 gene:gene1677 transcript:rna1677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MAHRYSNKALGCFILFSLLVPSFSTISFDGPQFPVVVSGEGDDPSKYEFGFTFDDTPAQSSDDPAQAEAPTQLLDVMAPSQAPALSPTPLFSFDIGHSPASSPVIESGNGGNNKNNDDNNYHSPAASPVIAPAASPVIESGNGGNNNNNDDNNYHSPAASPVIAPAASPVTVIESGNGGNNNNNNDNNYHSPAASPVITPAASPVIESGNGGNNNNNDHSPAASPVIESGNGGNNNNNDDDNDHSPASSSVIESGNGGNNNNNGGNNNNNDDSVTSFPATNFPNERLKHAYVAFQAWKDAIHSDPFNTTGNWVGTDVCSYTGVFCAPALDDPKLNVVAGVDLTHADIAGHLPEELGLLKDAALFHVNSNRFCGIIPESFKNLTLMHEFDISNNHFVGNFPSVVLTWPGLKYLDIRFNDFEGCLPHELFEKELDAIFLNNNRFTCGIPETLGKSKASVVTFANNQFTGCIPKSIGNMANLNEIVLLGNGLGGCFPQELGMLGNIVVLDVSQNGFVGTLPNLSGLKNVEVIDITHNKLSGYVSNTICQLPMLTNFTFSDNYFNGEAQTCVPSSNPNVVFDDANNCLPGRKDQKTSKECLPVLTKHVDCSQHCGGGAPKQETPKVQPPLPPTLPPVEDIPPTRAPVEDIPPTLPPVEDIPPTRAPVEDIPPTRAPVEDIPPTKAPVSSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVYSPPPPVHSPPPPPPVHSPPPPVHSPPPSVNSPPPPTWDDIILPPHIGAEYRSPPPPTIEGY >RHN66608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14908359:14908943:-1 gene:gene14635 transcript:rna14635 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVKSFEWTNQISSHDTSDVRTNYNMDHLLHMFHRGQVYFKILTVRFTWCLCYCGTPFTHDFSYNSKSLSSLSLMHLSYFFIFFIYTYYLPSIFLSNHHVTLQHLHHTFIIFIFFV >RHN61500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35955868:35956989:1 gene:gene23973 transcript:rna23973 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCRIRRSCNRFEPRTGQTNRLELEKCEWFLVEARNRCFQVLGYQHLLEYLAILCSHICSQM >RHN65804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5522838:5523161:-1 gene:gene13694 transcript:rna13694 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSGKERRKYLEALKEKHASGEHISSDPVGVILRKGAKKRDHVARSEPAAGEVETMPEKRAEGEVTVDEVHDLTVSPQAKKKKTTRKGGGRALSVEAETAFETSF >RHN82709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55820733:55830169:-1 gene:gene6890 transcript:rna6890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MMVSRGLFGWSPPHVQPLTPVSEVSEPPESPSPFMDIGGETSASQQMEAEEEMEEMEDIEPPPAAVPFSRLFACADRFDWFLMVVGSIAAAAHGTALVVYLHYFAKVIRVPQEQDMFHRFKELALTIVYIAGGVFVAGWIEVSCWILTGERQTAVIRSKYVRVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIAFINCWQIALITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLSAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVIHGKAHGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSSSSFDHDGSAPVSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRDNIAYGRDTTTDQIEEAAKIAHAHTFISSLDKGYDTQIGRAGLALTEEQKIKLSIARAVLLNPSILLLDEVTGGLDFEAERSVQEALDLLMLGRSTIIIARRLSLIKNADYIAVMEEGQLVEMGTHDELLSLGGLYAELLRCEEATKLPKRMPARNYKKTAAFQIEKDSSESHSCKEPSSPRMMKSPSLQRVSAVFRPSDGFFNLHESPQVQSPPPEKMMENGQSLDSTEKEPSIKRQDSFEMRLPELPKIDVQSVHRQTSNGSDPESPVSPLLTSDPKNERSHSQTFSRPDSYSDEFSVKMNETKDARHRGQPSFWRLAELSFAEWLYAVLGSIGAAIFGAFNPLLAYVIGLVVTTYYRIDDTHHLRGEIDKWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEIGWYDDEENSADNLSMRLANDATFVRAAFSNRLSIFIQDIAAVVVAFLIGVLLHWRIALVALATLPVLCVSAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLNRIFKQSFLHGLAIGFAFGFSQFLLFACNALLLWYTAICINKSYVEASTALKEYIVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPKIDPDESSALKPPNVYGSIELKNVDFCYPTRPEVLVLSNFSLKVSGGQTIAVVGVSGSGKSTIISLMERYYDPVAGQVLLDGRDLKLYNLKWLRSHLGLVQQEPIIFSTTIRENIIYARHNASEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVILKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGTHDSLVAKNGLYVRLMQPHFGKALRQHRLV >RHN39365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6485866:6491306:-1 gene:gene45381 transcript:rna45381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 9S-lipoxygenase MFGIFDKGQKIKGTVVLMPKNVLDFNAITSIGKGGVLDAAGNLIGGVTSIVGGVVDTATAFLGRNVSMQLISATKTDASGKGLVGKETFLSKHLPQLPTLGARQDAFSIFFEYDANFGIPGAFYIRNYTQAEFFLVRVTLEDIPNRGSVQFDCNSWVYNFKSYKNNRIFFTNDAYLPSQTPAPLNHFREEELQNLRGDGTGERKEWDRIYDYDVYNDLGNPDGGDALVRPILGGSSTHPYPRRVRTGRKPTRKDLKSEKPGAIYVPRDENFGHLKSSDFLMYGIKSLSQDVLPLLQSVIFDLNFTPNEFDSFDEVRGLFEGGIKLPTDILSQISPLPALKEILRTDGEQALKFPPPQVIRVSKSAWNTDEEFGREMLAGVNPNVIRLLQEFPPKSTLDATVYGDQNSTITKEHLETNLGDITVEEALDGKRLFLLDYHDAFMPYLERINLNAKAYATRTILFLQDDGTLKPLAIELSLPHSNGVQYGAESKVFLPAHEGVESTIWMLAKAHVIVNDSCYHQLMSHWLNTHAVMEPFIIATNRHLSVLHPINKLLFPHYRDTININGLARQALINAGGIIEQTFCPGPNSIEISSAVYKNWVFTDQALPADLIKRGLAVEDPSSPHGLRLVIEDYPYAVDGLEIWDAIKAWVQDYVSLYYPTDEAVQKDTEIQKWWKEAVEKGHGDLKDKPWWPKMQTVQDLVQSCSIIIWTASALHAAVNFGQYPYGGYILNRPTISRRFIPEPGTPEYDEMVKSPQKAYLRTITPKYQTLVDLSVIEILSRHASDEVYLGENNNKFWTSDTRAVQAFQKFGSKLTEIEGKITKRNNESSLRNRTGPVQLPYTLLLRSSEEGLTFRGIPNSISI >RHN63700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53335932:53338496:-1 gene:gene26435 transcript:rna26435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor IF5A, translation elongation factor P MSDEEHQFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTSKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLKLPTDDSLLTQIKDGFAEGKDLVVSVMSAMGEEQICALKDIGGKN >RHN53815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4349303:4353381:1 gene:gene28765 transcript:rna28765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casein kinase II, regulatory subunit MYRERGGVGSKAEVASVDRKRINDVLDKQLERSSPSTSRTINGGKDRSSSSSLLTVKDHRDPRSNTLSKNASDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELIESAAEMLYGMIHARYILTSKGLAAMLDKYKNYDFGRCPRVYCSGQPCLPVGQSDIPRSSTVKIYCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLLLMTYGQLKPQKPSQNYVPRVFGFKLHKP >RHN73240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14677135:14678918:1 gene:gene9036 transcript:rna9036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling CW-Zn family MAENNPAPEMIPTAVAAPHLINEDWVACDSCQKWRLLPTGVTPDQLPEKWLCSMLYWLPGMNSCDISEDETTKAPCALYQMPMSEGQNNLQTHASETAFGESSADALKFGLNQKNSSSDVLPDRGMKKHVVKEKMMSGKINAQAYGKNRSMNDVNQHATDSKPTKTMSSRHSSRFGNIIEDKYLSEERDQVEVTSGVCSLDAVGD >RHN67777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30167688:30183420:-1 gene:gene16008 transcript:rna16008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, N-acetyltransferase B complex, non-catalytic subunit MASKFGFAGGIPERKVRPIWDAIDSRQFKNALKHVTTLLAKHPNSPYVLALKALVLERMGKIDDASAVSLTAKENLFSNDLLSMDDLTLSTLQIVFQRLDRLDLATECYEHACGKFPNKMELMMGLFNCYVREYSFVKQQQTAIKMYKLAGEEKYLLWSVCSIHLQVLCGNGGDKLLVLAEGLLKKHVASHSLHEPEALMVYISILEQQAKFGDALEILSGKLGSLLTINVDKLRMQGRLLALAGDYTAAADIFHKILESCPDDWEGFLHYLGCLLEDGSIWCDEAVNDPVHPPKFVSCKVSHLTDEQFDSRISIASAFIRKLQTDTVDNSVRGPYLATIEIERRRHLRGKGNDNNLMDGIVQYFCRFGHLGCFTSDVEMFFEVFTTDKKAELSEKLMKINDTLSTPPTKTLGLSISLFKIKQQLLLGDMFNSSANDVEVSCVQMFEMYCKNIPLSKDLDPQESMHGEELLSITSNILVQLFWRTKNVGYLVEAVMVLEFGLSIRRYVSQYKILLLHLYCHFGALSVAHEWYKSLDVKNILMESMLHHILPQMLVSPLWTELNSLLKDYLKFMDDHFRESADLTFLAYHHKNYSKIIEFVQFKDRLQRSSQYLVTRVETPILQLKQNADNIEDEEGILQSMKCGVDFLELSNEIGSKSLTFNEDLESRPWWTPTVEKNYLLGPFQGISYCPKEILTKERETSLKREIEKKSLLPRMIYLSIQSASLSIKEHVEVNGSVKPDITLELKLLLERFSQFLGFSLSEAIEVVKGSFSNGERSVVSDSNLIDWLNFTVFLNAWNLSSRELVHPDRNEGRPIIWNILDSLLERYILENVRSMEPQLCSPCSKVQLLMQLVTEPLAWHGLVIQSCLRSCLPSSKKKKKSGSAYQSSSNLAHAITESVQQLSLVLEGVIKQISESNRRPEDENSDGILSLLRKDEHNDGPGRVFHVLETFISSMSNAEVGDRIYHSLKSWSPADVARKMMTGKLKVLTAFSAICESKLKLLQSLKQQIAQV >RHN56779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33688248:33691631:-1 gene:gene32229 transcript:rna32229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Coiled-coil domain containing protein MWSRWSNGLLRKRAYSIFNGNHSYGGKIQSFDPFLMNMRDFRLEYGEKRKGVGYFSVVVMNQMKRGMCSSSSDSSNNDSSENGGVQSNGKGKDETISFSEAKKLMRLVNVESLKMKLGMDGKEVISYNELIEACESMGVARNSEEAAAYAKVLDEAGVILLFRDKVYLHPDKVVDLVRRAVPLALTADNDPLREELKKLLDKKEEIDVLAHRQMRRILWSGLGFGVVTVSLFFRLTFWEFSWDVMEPITFFTTATGLVIGYAYFLYTSRDPTYQDFMKRLFLSRQRKLYKRYNFDVVRCKELQYMCKTPLDAKTILKNRIGVDCDLDDALHKD >RHN58239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:195254:202146:1 gene:gene20128 transcript:rna20128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inorganic diphosphatase MMDEDIMETGTYHDRPRTFPNMRSKPYTPLIFRILLGINVRVLFILLLLGFGAIFYMGASTSPIIVFVITICILSFLVAIYLMKWVLAKDEGPPEMVQIADAIRDGAEGFIRTQYGTISKMAMLLALVILFIYLFRSTTPQQEAAGMGRTTSAYITVASFLLGALCSGFAGYVGMWVSVRANVRVSSAARRSSREALQIATRAGGLSALIVVGMAVIGIAVLYATFYVWLGVGTPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVVSSVGIFSIRGTRESGVMTPTEDPMAILQKGYSVTIVLAVLAFGLSTRWLLYVEQAPSAWFNFALCGLIGIITAYIFVWITKYYTDYKHEPVRTLALSSSTGHGTNIIAGVSLGLESTGLPVLVISVAIVSAYWLGQTAGLIDETGNPTGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVSSFSREPFKQVDIAIPEVFVGGLLGAMLIFVFSAWACAAVGRTAQEVVNEVRRQFIERPGIMDYKEKPDYARCVAIVASASLREMIKPGALAIISPIVVGFVFRILGYYTGQPLLGAKVVAALLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSDAHKAAITGDTVGDPFKDTAGPSLHVLIKMLSTITLVMAPIFL >RHN65722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4727376:4727763:-1 gene:gene13600 transcript:rna13600 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGKGNQNFYFCCLSYHDFLSYRREMRQLMFLECQRINFVLEKL >RHN54295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8372247:8375418:-1 gene:gene29306 transcript:rna29306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator Znf-B family MRTLCDACESAAAIVFCAADEAALCRACDEKVHMCNKLASRHVRVGLASPSDVPRCDICENAPAFFYCETDGSSLCLQCDMIVHVGGKRTHGRYLLFRQRVEFPGDKPSNADNPASQPLDPGDIKRGQSPLPKQKMGEKQQNHRMPPVPTSEPNADGNSKMENKLIDLNMKPNNRIHEHASNNQP >RHN76121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47844260:47849210:-1 gene:gene12401 transcript:rna12401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloroplast envelope protein translocase, IAP75 MQLSPSQLQNMSSLVPSNNIFSGPRKNNNKPSLPKCNNNYSQPSRRRLAFKPLTIITAAAISVHCNKPVFLYGNITGGGINGGSGSGGWWFGGGGGGGGGFWSRFFTPAAAIADETKSDEFDSHGMPVNITIQLNKLSGFKKYKISDITFFDKKRKTKTSSDDSFSEMITIVPGGVYTRAQLQKELDGLTSSGMFEKVDLEGKTNADGTVGITVSYSESTWEAAEKFRCMNVGMMPQTKPIELDSDMTEKERMKQYLSQEREYKRRMEKARPCILPNTVHLEIMDMMRKERSLSARLLQKIRDRVQKWYHDQGYACAQVVNFGNLNTKEVVCEVVEGDISQLQVQFIDKLGNVVEGNTQATVIHRELPKELRPGNTFNIEAGKQALKNINSLSLFSNIEVNPRPDETSEGGIIVEIKVKEMDQKTADVSTEWSIIPGRGGYPTLASLQPGGTISFEHRNLQGLNRALSGSITTSNFFNPQDDLAFKMEYAHPYFDGVDNPRNRTLHVSCFNSRKLSPVFTGGPGLDEVPPIWVDRGGVKANITENFTPQSKFTYGLVMEEITTRDEGNQICANGQRVLPGGGISADGPPTSLGGTGIDHMAFLQANITRDNTRFVNGAVVGARNMFQVDQGLGIGTQFPFFNRHQLTLTRFIQLMFVEEGAGKPPPPVLVLHGRYGGCVGDLPSYDAFTLGGPYSVRGYNMGELGAARNMLELAAELRIPVKGMHVYGFAEHGNDLGSSKDVKGNPTEVYRRMGQGSSYGVGAKLGLVRAEYAIDHNSGTGALYLRFGERF >RHN38877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2558456:2561242:-1 gene:gene44851 transcript:rna44851 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDLVFLFQNLREGSGTSNHVQNEKEKFEEVVRLPIRKIRQRRMKIAELEQVSSRPDVVEKKDY >RHN77919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10508064:10508693:-1 gene:gene1424 transcript:rna1424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSSSTESYFIYIEESQNTIPQSFSPHECFLIKLHHFHSTSFNHYDFLIPGNILCDYDEFESVDQDSLSYTFLRNTFLSVDLPHEVLEETLLLMGEYARYMNTVNFEGYRILEMDVFVDTTSNISDDENAIASLSKMLEKLKVKDVDTSFCSREQCSICLEEFCDGSEVPHIIRTKCMHFFHEHCVARWLKQCSFHNRLYSCPVCRSQIQ >RHN67177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24636634:24641478:1 gene:gene15332 transcript:rna15332 gene_biotype:protein_coding transcript_biotype:protein_coding MNETSTPTKKINFAEESLLPKTTTNINRHKFNRSISHVNDELHTFRSYLRWMCVDQSNAIHATLSWFVFFVFALAVPALSHFFLACEDCDARHSRPYDSVVQISLSSVAVLSFLCLSTFVKKYGLRRFLFLDKLCDESENVRMNYMVQLNRSLKLISVFSGPCFIAMATYKIWWYASGGSQIPFLGNVYLSDAVACILELCSWLYRTTTIFLVCVLFRLICHLQILRLKDFATLFHVDSDVHSVMSEHLRIRRHLRIISHRYRAFILLALVLVTGSQFACLLVTMKARHDLNVYKTGELALCSVTLLSALSIMFRSATKITHKAQAITGLAAKWHVCATLDSFDGVGEGETLSAQISRERIYPSVGTDGESETDDAGSEEDEIDTTKMIPSYSYSTISYQKRQALVNYFENNKAGITVYGFMLDRSTLHTIFGIEMSLVLWLLGKTIGSF >RHN79816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32537466:32538355:-1 gene:gene3658 transcript:rna3658 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTLFLDIIIRKSSSIFQLLPCKDQSLLIRWNAFLVLNLRLHVVDCVRRFNFQGYGFPC >RHN62470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43659508:43661848:1 gene:gene25050 transcript:rna25050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MQHLRPFYNQNTYSTISGLKYSTCQPLTFTLRVNGLDWKQTLLESQDLELPKPHHLMRKQQQQQSQQQAEHLNCPRCDSTNTKFCYYNNYNKSQPRHFCRACKRHWTKGGTLRNVPVGGGRKNKRIKKPTTPVTSSTTITTTSTTCTTSITNMNSNMAIQTPLQVGDHKSIPSSLYQALIHPPSLLLQQNMMNNLSENKDFGIGNGNGNVNVNGMFTSTSSTLHLPHQNQTMLFPFSTSSSFDTTTCSSSNVYYYGEDFKTIEEPIIPSTGCTNTKPWEIPAASTSGGMESSKYWNWEDIDSLVSTDLKNPYWDDSDIKP >RHN73755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19631151:19631814:1 gene:gene9617 transcript:rna9617 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKPFISMVFLCALIIISVMEIESSKDGKQSDAKEEYNTQARIDAWKAWNYEPSRFISYGKKKGGNGSGGLGSGGNGGERVTHGGGEENEGKKG >RHN52865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39675958:39678382:1 gene:gene37590 transcript:rna37590 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSDLWFSFLVKVASISRIYELFACLIALIEIGCYTKHIEVK >RHN49128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52627190:52627534:1 gene:gene43923 transcript:rna43923 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSLIQNQKRSNSINMNTSPLFRSDSFGYYSHEQHNYTLMEKRQLFLRSYQFIRKKSFSERIKGSFVRLRKVVIRSARKFRRLEFSMFKIKCGFYYRRYHNKFQKSQFSSCLC >RHN48657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49282675:49285988:-1 gene:gene43397 transcript:rna43397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative solute carrier family 35 member SLC35F1/F2/F6 MDWTTISTWWSRTATLQILGILLLGQLVSFLLALMSITSSLIAQFGVDAPLTQSLFTYGSLALVYGSILLYRHQKPLVSWYWYLLLGFADAQGCYLVIKAYQYTSVTSVTLLDCWTVPWAILLTWIFLGTRYSLWQLCGGTLCVLGLSLVLFSDTWDGGGGGGGGGSKPILGDVLVIVGTVFFAVSNVVEVVQILRIHLCCKIICNLTFFHLIKCRNFVLRKKIVLKQLPCLVSVLELKTLKSIKWSGDIVLAFAGYGVSSFIYCSLAPFVLKFGGSAMFNLSLLTSDMWAVLFRVFIYHQKVDWLYFVSFLVVGIGLIIYSTTEKKLVPASATTEDENLNTEYQILNGESESTTLDQSDSLAS >RHN52476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35736748:35745105:1 gene:gene37160 transcript:rna37160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MLQRALNSTLEAVLHRLLNISNDVTGAGIDLCKKGIHTFFDDRELQGGDEITSSLFKVIEESRIFIPVLSINYASSSFCLDELVHIIHCFKENRRLVLPIFYDVEPSHVRHHKGSYGKALDDHIERFQNNKHSMDRLQKWKMALTQTANFSGHQINPRNGYECEFIEKIVKYISNKINHVPLHVADYPVGVESRVLKVNSLMDVGSNGEAQMIGIYGNRGMGKTTLARAVYNFIADQFDGLCFLHDVRENSAKYGLEHL >RHN82696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55758967:55760237:1 gene:gene6876 transcript:rna6876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKRERETDHNTNSITMAKYLMLLSGGSDKIFDQVNYSSNFNNRVFECKTCKRQFSSFQALGGHRASHKKPRLMEMTSDGDDHHGSILTSTTKAKTHACSICGLEFGIGQALGGHMRRHRRTESSKANNSNGNMHNFMTTTTTSSSNSGCSTIDNSTNTDSAKRSKGNSKRFLFLDLNFTPLENDLKFLKVGQPTPNLVDRFNSIH >RHN45345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17250413:17250733:1 gene:gene39625 transcript:rna39625 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSEAFRKLPRLIMTNVKTLRMYFLMLPHNPFDFEVKLSSLSTISVSLNDNAFIQLSSIIEEQFPEDDDFRDSKSKPSPTNSIPLPLTSQTLPMPFEGIFSINLI >RHN82066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50995975:50996873:-1 gene:gene6183 transcript:rna6183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MDKDGDAGQKLLTMEEAIAFVSDVKVKFQDKREKYNEFLKILGDYRAQIIDIEGVTTRIEDLFKGHSDLILKFKYFLPNRSGISDDTEEKEEDSEQNPPDRLGSPTQEVKLLSQKTDTI >RHN73307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15259730:15265318:-1 gene:gene9106 transcript:rna9106 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLRWVMDGGGFWDLDISTPKTLDGSACPVPEDPLTLGLSRGTRLSRPRQLQFMQLFMNAPLHPTFSQPQGFSLQRVLSLPFSDNWFVFLLGQFNLQKFVSSVKSSDEKPARFSSWLKNFGRHLQQKSLYALGLCSEFQLTPDDTLLFGLDSYDYTDKPRGKAVFHHKFPHHDLTVEAVYPGLFVDKIGNYWDVPLSMAVDLASLTTSDSSAGYHLSAHYNSGSPKQFESIQYENDRVPQTLLPGLAFKSVFSYRKNLDIWRSETPKLKLIQPYDIFLSNPLLSASGMIGAAATAYFGENSTRAQIDDDGQQSSGLFLQAPGIKSSFLADIFGSVSFTAQHGNFQRLFLDLSRFQARLDFPSGSKFLLGATSLAQDLLNSQKPNMEAVQAICPNATLSLQQQIVGPISFRVDSGIAIDLKNHKWPIQAQDPIYAVEWALQVLGSAKAVAWCCPKRQEFMVELRFYET >RHN81923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49833353:49834816:1 gene:gene6021 transcript:rna6021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA synthase MEATSKPNHVSIFNECTNFTLLSNYLVSHFSHFLWLSSITLATFVEAFLFLQNYEPMFHVFLLLFLLLSFLLKHFLSKPSPVYLIDFSCLKPPNYCRVPFSTFLENASLFECFDNESMAFMEKVLHSSGQSEETCLPPSLHYIPPKTHHSESIKELHMLLFPIIDDLFAKTKLSPFDIDILILNCSGFCSSPSLTSIVVNKYAMRSDIKSYNVSGMGCSASAICIDLAQNLLKVHKKSNVIVLSTEILSHGWYPGKEKSKLLINCLFRMGSAAILLSNKKEASKNAKYLLLRTLRTQRAFDDKAYLSCIREEDSDGKLGVTLKRDVLHVAGETLRSNISIIGSEILPVSEKFWYLVSVMKKRFVFMKCEGIYVPDFKTVIQHFCLPCSGRGVIREVGKGLKLGEKEIEPALMTLHRFGNQSSSSLWYELAYLEAKEKVKKGDNVWQVGMGSGTKCCSVVLKCNRPILGESHKGPWGDCIHQYPIFAS >RHN69293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42385937:42389648:1 gene:gene17741 transcript:rna17741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADALLEILIETLGTFVGEELATYLGVGELTQKLRGNLTAIRAVLKDAEEKQITSHVVKDWLQKLRDVAYVLDDILDECSITLKAHGDNKWITRFHPLKILARRNIGKRMKEVAKKIDDIAEERMKFGLQVGVMERQPEDEEWRKTTSVITESEVYGRDKDKEQIVEYLLRHANNSEDLSVYSIVGLGGYGKTTLAQLVYNNESVTTHFDLKIWVCVSDDFSMMKILHSIIESATGQNHNFLTLESMQKKVQEVLQSKRYLLVLDDVWNQEQVKWEKLKHFLKSGNTTKGASILVTTRLEIVASIMGTHPAHHLVGLYDDDIWSLFKQHAFGPDGEEHAELVAIGKEIVRKCVGSPLAAKVLGSLLRFKSEEHQWFSVKESELWNLSEDNPIMSALRLSYFNLKLSLRPCFNFCAVFPKDFEMVKENLIQLWMANGLVTSRGNLQMEHVGNEVWNELYQRSFFQEVKSDFVGNITFKMHDLIHDLAQSVMGEECVASEASCMTNLSTRAHHISCFPSKVNLNPLKKIESLRTFLDIESSYMDMDSYVLPLITPLRALRTRSCHLSALKNLMHLRYLELFSSDITTLPVSVCRLLKLQTLKLEGCNYLSSFPKQLTKLQNLQHLMIKNCRSLKSTPFRIGELTCLKKLTIFIVGSKTGFGLAELHNLQLGGKLHIKGLQKVSNKEDARKANLIGKKDLNRLYLSWGDYTNSHVSSVDAERVLEALEPHSGLKNFGLQGYMGTHFPHWMRNTSILKGLVSIILYDCKNCRQLPPFGKLPCLSTLFVFGMRDIKYIDDDLYELATEKAFTSLKKLTLCDLPNLERVLEVEGVEMLPQLLKLDIRNVPKLALQSLPSVESFFASGGNEELLKSFFYNNGSEDVASSSRGIAGNNLKSLRISHFDGLKELPVELGTLGALDSLTIKYCDEMESFSENLLQGLSSLRTLNISSCNIFKSLSDGMRHLTCLETLRINYCPQFVFPHNMNSLTSLRRLVVWGNENILDSLEGIPSLQNLCLFDFPSITSLPDWLGAMTSLQVLHILKFPKLSSLPDNFQQLQNLQRLYIVACPMLEKRCKRGKGEDWHKIAHIPEFELNFILQSDAKPTKPTICENIINQRMQLLRDDLIKDDFDSMIGQIEDV >RHN50035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3385678:3390376:1 gene:gene34283 transcript:rna34283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin protein, neddylation MKKKPVVIEAYRHRVVADPNYAEKTWKLLEHAIHEIYNRNASGLSFEELYRNAYNMVLHKFGEKLYSGLVKTVTAHLRQISQSIEAAQGDIFLEELNRKWVDHNKALQMIRDILMYMDRTFVPSNRKTPVHELGLNLWRDVVIHSNETKTRLLDTLLDLVLRERNGEVINRGLMRNLIKMLTDLGLSVYQNDFEKPFIKVSTNFYCCESQKLIESCDCGDYLKKAERRLNEEMERVCHYLDSSSESEITRVVDKQMIEKHIMTLVHMENSGLVNMLMDDKYDDLERMFNMFRRVPSGLTIIKDVMTSFIRDTGKQLIMDPERLKDPVDFVQRLLDLKDKYDKVITTAFANDKSFQNALNSAFEYFINLSARSPEFISLFVDDKLRRGIKGVGEEDVEIVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDEAERSLILKLKTECGYQFTSKLEGMFTDMKTSYDTMQGFLADHGAELGDSPTLSVQVLTTGSWPTQPSPQCNLPSEIRGLCEKFRNYYLGAHSGRRLTWQANMGNADLKATFGKGQKHELNVSTYQMCVLMLFNNADRMTCKEIEQATAIPMSDLKRCLQSLALVKGKNVLRKEPMSKDISEDDVFFFNEKFTSKLFKVKIGTVVAQRETEPENIETRQRVEEDRKPQIEAAIVRVMKSRRVLEHNNVIAEVTKQLQARFLPNPVVIKKRIESLIEREFLERDKVDRKLYRYLA >RHN59338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9697640:9698319:-1 gene:gene21351 transcript:rna21351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQIGKNMVETPKLVYFIILFLSIFLCITVSNSSFSQIFNSACKTDKDCPKFGRVNVRCRKGNCVPI >RHN40851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23110987:23115453:-1 gene:gene47086 transcript:rna47086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MRCNACWREVEGRAISTTCGHLLCTEDANKILSNDGACPVCDQVLSKSLMKPVDVNPNDEWVNMAMVGVSPQILMKSAYKSVMFYIGQKELEMQCKMNKIVGQCRQKCEMMQEKFTEKLEQLHTAYQKMAKRCQMMQQEIESLTKDNQELQEKFAEKSRQKRKLDEMYDQLRNEFDSVKRSAIQPASNFYSRNERDLFSNPPNILDERETGRKGPPVFTPTTPGPREDVWPARQNSNNSGHFDISVGSPAKQTIIPGDAGNRRVGAHPVFGPGATSNPSMNLRNLILSPIKRPQLSRNRTNLFT >RHN40959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25010942:25015006:-1 gene:gene47210 transcript:rna47210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome C biogenesis protein, transmembrane MEICVLKNRTIQIEQRNIANRHNSTQDQVGFLAFPSSVPFRVRIEMSFSMSYYSSSSNILQSCRTINSHGKRIIATKKVKTEHENCLRSKSKINGNSIMTFIGGLTAAQLVAPVTAKAEIVAPVFTLADGGIGDWFGGILFSAGQQANEAVLDQLSSLSFTSLAVIYGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKSRAQVIGDSIAFSLGLATTLALLGVGASFAGKAYGQIGQGLPVAASGLAVIMGLNLLEIIELQLPSFFDSFDPKSLAANFPSSVQAYLAGLTFALAASPCSTPVLATLLGYVAASKNPVIGGSLLLTYTTGYISPLLLAASFAGALQSLLSFRKYSAWINPISGAMLLGGGVYTFLDRLFPAATMAM >RHN78397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14758067:14760699:1 gene:gene1948 transcript:rna1948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MSYMQISQKFYDAFKQCSFTHKSPHIARKLHAQLILSGLDSSLFLLNNLLHMYSNCGLTHDAFQVFQETHHRNIFTWNTMIRALVSSSRMSDAEKLFDEMPVRVKDSVSWTTMISGYSQNGFHSRSFETFSLMIRDTNDGGKNYDPFSFTSVMKACGSLGDSRLAIQLHALVSKLGFGMETCIQNSVVGMYVKCGDVDLAETVFFDIERPSLFCWNSMIYGYSQMYGPYKALQIFNRMPERDEVSWNTLISIFSQHGFGVQCLAMFVEMCNQGFSPNFMTYGSVLSACASTSDLKWGAHLHARILRMEHSLDLVFGNGLIDMYAKCGCLDLAKRVFKSLREHDHISWNSLITGVVHFGLGEDALILFNQMRRSSVVLDEFILPTILGVCSGPDYASTGELLHGYTIKSGMGSSAPVGNAIITMYAKCGDTDKADLVFRLMPLRNTISWTAMITAFSRSGDIGKARGYFDMMPERNIVTWNSMLSTYVQNGFSEEGLKLYVSMRSNGVQPDWITFTTSIRACADLAIVKLGMQVVTHATKFGLSLNVSVANSIVTMYSRCGLIKEAKNTFDSIDDKDLISWNAMLAAFAQNGLGIKVIDTFEDMLKTECKPNHISYVSVLSGCSHMGLVAEGKHYFDSMTRVFGISPTNEHFSCMVDLLGRAGLLEQAKDLIEGMPFKPNATVWSALLGSCRVHHDLRLAETAAKKLMELDVEGSEGYVLLSNMYSESGELDNVADMRKLMKVKGIRTSRGCSWIEVDNRVHVFTVDETSHPQIKEVYLKLEEMMKMIEDTGKYITVESSVHRSKKYHSEKLAFAFGLLNLPSWMPIHVMKNLRVCDDCHLVIKLLSLVTSRELIMRDGYRFHHFKDGICSCKDYW >RHN73376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15807242:15808135:1 gene:gene9179 transcript:rna9179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSKIFREYIGVKPFSTNLRDFPVEIIKTNISEFHFILGFATEEYDAQNKGTGVFKETWNTRAFGPEAVRNLKGNNPNVKVVISIGGNDTVKTPFNPVEETIWITRAVSSLKVIIQKYKDQTGNIIDGIDINYLNVFHTTNDTGKLRFARCIGEVITQLKNDNYLRIKIVSIAPSETNEIHYRNLFWQNEANINWVNYQFYNQSKAVSTLDDFLKLYDQVSRNYKPSIVLPGVSTDKLHIEPVDKMPREHFIAGCRHLLQIASLPGVFLWNADDSTIPLPNENKPFVLEDILQSLLIG >RHN80911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41836094:41838100:1 gene:gene4895 transcript:rna4895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRHSCCYKQKLRKGLWSPEEDEKLLNYITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQQEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKRLRQSGIDPNTHKPLSEVENDNEKSLTSNKTNQKGSVSSNEVMSLMIEPTKPSIEGYPLEVSTTSKINNSSSSSHELFLDTRFGSSSSYFSFQNLNYGSNIGISENPNASSICFTPTSTSSQIMSDTNSVITSNMLHSVSPSIFSTPTHVKPTVSVSSVDGVHNWEASSYNNINNTNNGALPLQEEMKWSEYLNTPFLMQNQPSPSMYTEAKPETGFITDESCTSWQQQQQQNFQLSDIYSKDLQRFSVAFGQSM >RHN62697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45218336:45222772:1 gene:gene25297 transcript:rna25297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MGGHEGEKGGITVTWENLEAIVTNGKNRKLILHGLTGYAQPGKLLAVMGPSGCGKSTLLDALAGRLKSNIQQSGKILINGKKQALAYGTSGYVTQDDAMLSTLTAGETLYYSAQLQFPNSMSIAEKKRQADITLAEMGLQDAINTRVGGYGSKGLSGGQRRRLSICIEILTHPRLLFLDEPTSGLDSAASYYVMSRIASLSLRDDIQRTIVASIHQPSSEVFQLFDDLCLLSSGETVYFGTASEANQVFASNGFPCPTHYNPSDHYLRIINNDFEQDTEEGFGKGVITEDAIGILVNSYKESEIKRQVLIEVAKISERDLSAIRNRRTHAPFQTQCMVLIKRSSQQLYRDISNYWLRLVVFVAIAISLGSIFYQVGSSTRSIQVRGSLLSFFMSVLTFMTLVGGFSPLIEEMKVFKRERLNGHYGITAFLIGNILSSIPYMVMISLISGSIVCYLSGLHKGLEHYLYFASILFAIMMWVESLMMVVGSIFPNFVMGVIIAGGVEGLAILTGGFYRIPSDLPKPLWKYPCYYISFLTYAFQGSFKNEFEGLTFVGYQEGGTITVSGRDVLTDIWHVQIGHSKWVDLFIMFGMIVVYRVLFLVINKVKEKSNPAVPSINRSQAKTFSRTNMDEL >RHN74524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34337564:34337842:1 gene:gene10611 transcript:rna10611 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKFAMPLVIHPFPTSDAVALPPSPDLDSQFDIVAASSSSLRINALSFSIAIDDNYHLMFIAFDNVFHAAVYQICRTFVLEKIQFSAEFLQ >RHN45109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11878231:11878643:1 gene:gene39317 transcript:rna39317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MKLECILFDPYVDDLDAYLQSGYTKNVVVLAQFLKVKMFNGKVQLQNAMNCTKLLFNPELPETIKLSDNIGSPTQPLSFMKDASVMSLTKEYLNLSHRKTIRILKQ >RHN40860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23349849:23358024:1 gene:gene47097 transcript:rna47097 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSSPSVTSSISGWKQFCSEALICGFFSWFLEPFFIILSSPSSPTPVSPPSSMAAPTLVVLSLLQPSLIDAAVPGSIPDDSQFSACFLLSP >RHN70082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48562702:48568810:-1 gene:gene18626 transcript:rna18626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MSKEQQVSSFQNNQNQGKEHSDSEGSSPLTVTSRVLCMLGDITAGPAIMFTQWLQSVRKRTSSHRSSGFPRSSSTLPFCLRESAEDAKIDQLPEQTEISLWDRLGKAEMLDIESSSFSWDMLSSLHHTEHAISNEHSEDEMNRALEVTVNSGGVVFFAIFNVQGSDDASPKEAAAVIKISSSRMATQSERLGYEFAKRLGVQTPQARVIHNTSLEWLQIKKAAEKARDSASSESDIIGETTCSELLEALELSRCLLFLSYVHGSPLLESSSAFESQDSAERISAALGRVMMLDLVIRNEDRLPCRQLRWRGNPANLLLAEKTISSNLDKIGDAFDFAMNRYGSGVIGTLQKERSILVDSRLSSHNYGIRSKSSHLTQIRESSDDICLKSQTSGDSMFTGSNIVAIDSGVPRRPPTGKRADDQVNYPKLVELVLNSSKFSSNLLHDITGGKLGSADIQGSDITSIVREFRSGFRAALMDLQGFHVFLHSLHKKLDDLLRSFNSTISKISSGESEKEDSPSPATGSCHSPTSKEKFSNDSHRDSCDSDSQRSVPRASPSSGNRDFCDSASPMSREGCHGKSSKGSVEPLLGSRFTAKLRDFHKFAKVDAESYKELEHWNEMLKNDAINLCQENNFNSGFFEGSDSNTVVDAYELKVRLEHILERIALISEVENTERPSAVTNNLFIGGAQCARSVHTMQHLGITHILCLCANEIGQSDSQFPDLFTYKNFSVCDTENSDISILFDEACDFIEDVERAGQRVLVHCFEGRSRSVTVLLAYLMLRKNFTLSVAWNTMRKAHSRAQPNDGFAKILQGLDKKLHGKVSMEWQRRKPTMKTCPICGKNAGLSSGSLKIHLQKSHKRLSSGSVDSAMTMEIQKALTNLNISRGGRVSPTQRQSHSMTD >RHN43406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45549048:45552041:-1 gene:gene49977 transcript:rna49977 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate synthase MGLVSMDQPQLLSKIATGDGHGETSSYFDGWKAYDKNPFHPTKNPQGVIQMGLAENQLTADLVQNWIMSNPEASICTLEGVHNFKEMANFQDYHGLPEFRNAVAKFMSRTRGNRVTFDPDRIVMSGGATGAHEATAFCLADPGDAFLVPTPYYPGFDRDLRWRTGVKLVPVICESANNFKLTKQALEEAYEKATEDNIRIKGLLITNPSNPLGTVMDRNTLRTVVNFINEKRIHLISDEIYAATVFSHPSFISIAEILEHDTDIECDRNLVHIVYSLSKDMGFPGFRVGIIYSYNDTVVNCARKMSSFGLVSTQTQYLMAKMLSDDEFVKKFLTESAKRLAQRYRIFTSGLTKVGINCLQSNGGLFVWMDLRGLLKEATFESELELWRVIIHEVKINVSPGVSFHCSEPGWFRVCYANMDDRDVQIALQRIRSFVVQNNKEVMVSEKNTKPCWHSNLRLSLKTRRFDDIVMSPHSPFPQSPLVKATT >RHN65662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4204794:4207399:1 gene:gene13530 transcript:rna13530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MATIVGEALLAASLEVLMEKIVSGEFVDLFRSTKLDVALLEKLKITMLSLQAVLHDAEEKQITNPAVKQWLEMLHDAVFEADDLFDEINTEALRSKVEAEYETRTATAQVLKTLSSRFKSFNKKVNSKLQILFERLEHLRNQNLGLKERGSSSVWHISPTSSVVGDESSICGRDDDKKKLKEFLLSEDSSDGRSKIGVISIVGMGGLGKTTLAKILYNDSNVKRKFEARGWAHVSKDFDVCTITKTLLESVTSEKTTTNDLNGLQVQLQQSLRDKKFLLVLDDIWYGRYVGWNNLNDIFNVGEMGSKIIITTRDERVALPMQTFLSVHRLRSLEKEDCWSLLARHAFVTSNYQQRSNLEKIGREIAKKCDGLPLAAIALGGFLRTKLSQDYWNDVLKSSIWELTDDEVQPALLLSYRHLPAPIKGCFAYCSIFPKNSIIEKKMVVQLWIAEGLVPKPKIEKSWEKEAEEYFDELVSRSLLRQNSTGDEEMGFEMHDLINDLAMVVSSSYCIRLGEQKTHKKVRHLSYNKGKYESYDKFEKLHGLKCLQTFLPLPLQRRSWSPYYFVPGRLICDLLPQMTQLHVLSLSNYKNITEFPNSIGNLIYLRYLNLSHTEIRMLPAETCKLYNLQTLLLSDCNRLTELPKDMAKLMNLRHLDIRGTRLKEMPVQISRLENLQTLSDFVVGIQDDGLKISDLGKHSHLRENLTISQLQNVTDSSHASQANLVMKKQIDELVLQWSGTSPSNSQIQSGVLEQLQPSTNLKSLTINGYGGNNFPNWLGSSLFGNMVCLRISHCENCLVLPPLGQLGNLKALY >RHN63171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49038532:49043130:-1 gene:gene25844 transcript:rna25844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MGCFNSKPTRQYPGKEDPVILASQTAFTVSEVEALFELFKSISSSVVDDGLISKEEFQLAIFKNKKKENIFANRIFDLFDVKRKGVIDFDDFVRSLNVFHPNAALEDKIEFSFRLYDLHNTGFIERPEVKQMLIALLFESEMKLADDVIETILDKTFLDADLNQDGKIDIVEWRKFVSENPSLLKIMTLPYLRDITTSFPSFVFNSNVDEIVA >RHN52211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32732020:32740421:-1 gene:gene36858 transcript:rna36858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MQVLRNIDGLLVQPNVVMYSTIIYSLCKDKLVIDAFDLYSQMLLKRISPDVITYNTLMYGCLIVGRLKEAVGLFNQMLLKNIKPDIYTFNILVDGLCKEGEMKKARNVLAVMIKQSVDPDVITYNSLMDGYFLVKQENKATFVFNTMARRGVTPDVHSYSVMINGLCKTKMVDEAVNLLKEMHSKSMAPNTVTYSSLIDGLHKSGRIFDAWDLVNEMHNRGQPADVITYNSLLDALCKNHQVDKAITLLTKIKDQGIQPDIYTYTILVDGLCKNGRLKDAQEVYQILLIKGYHLDVRMYTVLINGLC >RHN65837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5717887:5721805:-1 gene:gene13729 transcript:rna13729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative splicing factor 3B subunit 5/RDS3 complex subunit 10 MQASDRFNINSQLEHLQAKYVGTGHADINRFEWAVNIQRDSYASYIGHYPLLSYFSIAQNESIGRQRYTFMQKMLLPCGLAPEREED >RHN70649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52998584:52999985:1 gene:gene19258 transcript:rna19258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SPX domain-containing protein MKFWKILKSQIEQTLPEWRDQFLSYKNLKKQLKAMCPKDAHTPPIWDAHQVSHFLCLLEVEIDKFNTFFVNKEEEYIIKWKELQDRVDRVMDYSDLELMSLWREIVDFHGEMVLLENYSALNYTGLVKIIKKHDKRTGGLLRLPFIQEVLNQPFFETDVLNNLVKECEVLLNILFTNNDSPSCPCTSTSEENEENKEKLMQVPEEIAEIENMENVLIKLTLSALRTLEEIRGQSSTKQGVKTKTSGEACGDKEKVAHS >RHN57898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42475135:42475896:1 gene:gene33489 transcript:rna33489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MDFYFADVDFFKDFLKKIKNNIILTNHCIWREYKNYNLFFSCDVWKGVGDGKAQAEVRRVFDRKGYVVETELHQLIYLKSVIKETMRLHPAIPLLIPRESMKPCQINGYDIPAKTRVLVNAWAIGRDPRYWVEAENFKPERFVNSPIDFNGTDFEYIPFGAGRRMCPGIAFGIPNVELPLAELLYHFDWKLLNGMKCEELDMTESFGITVGRKHDL >RHN53133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42205711:42206958:1 gene:gene37903 transcript:rna37903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MAASSESILDHIPLFAKELLAGGLAGGFAKTVVAPLERLKILFQVVSPTKLNVSGMVNNEQVYRGIRDCLSKTYKEGGIKGIYRGVELLC >RHN66356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11336934:11337348:1 gene:gene14322 transcript:rna14322 gene_biotype:protein_coding transcript_biotype:protein_coding MYKMDTKRITVVTMIFLLEFFIHASDMCMKLEGKGVEGTHPCKYDSDCQPGCPPNTHGCCIHGRCWCFNSPIFADKIPGLIPQGNCFIR >RHN44631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7007577:7009496:1 gene:gene38772 transcript:rna38772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MESFPEESLLPPTLHTLFLDKCSKLRIMNYKGLLHLKSLKVLYIGRCPSLERLPEEGIPNSLSRLVISDCPLLEQQYRKEGGDRWHTIRQIPDIEIFPTVSS >RHN43206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44022816:44026155:1 gene:gene49746 transcript:rna49746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellular retinaldehyde binding/alpha-tocopherol transport, GOLD domain, CRAL/TRIO MSHDDSSNQTTPHVYVPQPLYSHPQPQKKNNPVTLQSHLSKPNTEQEQTFNKPSDNIPNNENNSLQELQNLIQQAFNNHAFSAPPLIKEQKQSTTTTVAAEPAQENKYQLEDKKENVVSSVEDDGAKTVEAIEESIVAVSASVPPEQKPVVEKVEASLPLPPEQVSIYGIPLLADETSDVILLKFLRARDFKVKEAFTMIKNTILWRKEFGIEELMDEKLGDELEKVVYMHGFDKEGHPVCYNIYGEFQNKELYNKTFSDEEKRHNFLKWRIQFLEKSIRNLDFNHGGVCTIVHVNDLKDSPGPGKWELRQATKQALQLFQDNYPEFVAKQVFINVPWWYLAVNRMISPFLTQRTKSKFVFAGPSKSTETLLSYIAPEQLPVKYGGLSKDGEFGNSDSVTEITIRPASKHTVEFPVTEKCLLSWEVRVIGWEVRYGAEFVPSNEGSYTVIVQKARKVASSEEAVLCNSFKINEPGKVVLTIDNTSSRKKKLLYRLKTKTSLSD >RHN59547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11850009:11850137:-1 gene:gene21589 transcript:rna21589 gene_biotype:protein_coding transcript_biotype:protein_coding MCFFARKQKIEATIGYQVPQNDGKKHHLTLTISDYKKRIGLL >RHN56318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29813976:29814545:-1 gene:gene31701 transcript:rna31701 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCSSKQGKKWDDDKGDKKKKNDGAQHVSKATKVTKRSGSAPKNDNMFIMSAGAMSISSNGNQAGGCDGGGGHGHGNGGGGTGGGCGGHGQVNSGGGTGGGGGGHGQVNSGGGHHGGGDHGGGGGGGCGGDHGGGVGGGCGGGGGGCGGGHGQVNSGGGTGGGGGGHHGGGDHGGGGGGCGGGCGGCC >RHN52543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36495633:36496889:1 gene:gene37232 transcript:rna37232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDEKTVFATKGKVRNYILDDIALSILSKLPLKSLKRFGCVRKSWYLLFEDTHFMNMFRNNFLSNLHCGPYYDGASLLLQLNEPYYEDGFYSLSGERFENKVKLDFLNPFANLYNFRIFGFGSINGILCLYEYDHSGKIILLMPETQAIKILPSYNIGSIKWFIPDDAKDFVDVRIISDVHGFGYDHVINDIKVIRYVHFIIVPSLVYPGYVEEIMSLYWSGEISLGPLWEIYSLRSNLWRKLDVSMPSSSHYTEGTQVYLGGVCHWLSEKDEEENPDGPCLVSFYLSSEVFLVTPIPSDLDDCFDVEALWINLAVINDFIALISYHEQTTNFHISILGGLGMKESWTKLFILGPLSCIKHPIGVGIKGEIFFQRKDEELVWFDLSTQKIEELGYKARGCSTRIIIYKEYILPIGGASS >RHN39515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7813287:7821703:1 gene:gene45542 transcript:rna45542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator SAP family MATKQDSPMELHEIITESEGSDPYSECDDSEEDPDFDMLEETHRSFSNLSIKNKAKKRIVDDKGLAGIEMNSKVLEMNAPSLDDDGFEKVQKIIKAGLVEKLKVDECKMYLKKSGLRLTGNKDTLIQRIKEHQEIINGGGENKYPPHSFVLNCKGDACTGDVVLFEQNVYEMFNIASRSGGGPPCGKRIVAGSIVKESYGAAKQQHTFTIEVLWSKGEKPLPPLHPLLIKGRNLYRLKTLRQKWEDEGKRQKLLMEKHSRGSVARADREARIQEKEMRKSMKENRISKKDSAKNQFQSHSTMARSQNQPQETTTVFINPKKTEFPSQHSALSTPAIVNKPAAVTEQRVQPTYSRTVVMGSDQLRNSGKSSFIANNYYADYHYRDNPTSTAERYNAFIAETNRRASYQRKPLTSANHFVPRSANANHFVPRSENANHMHTSISNRESYQQKQFCRHFARGRCHYGDNCKFLHGSRD >RHN51941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28826424:28826718:-1 gene:gene36516 transcript:rna36516 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYHCLTGPLANNPVTDFEKAVNKLRQKYRSYIVEYDTEEGF >RHN77523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7419535:7420226:-1 gene:gene987 transcript:rna987 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKAPSSYSSAMKTLKDDTHRRHRFLVLRRGYASPRSPRLSHRVKSQGTQTHHRHPQKVRNPNPNPNRPTPSLLALLGDAGEWEGGVEIDCSKGGCCMLIPKTAEKMTTDGALSDSEVVIELANYLLLSVYCCLRMIS >RHN46835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35226493:35229627:1 gene:gene41366 transcript:rna41366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MIFRIVFLFLALMVTNSVALSAQQTYIVHMDKTKIEASTHSQDGTKPWSESIIDFISQASIEDEDEEEEEEEEVLLSPQLLYAYETNMFGFAATLSEKQLKHLNQVDGFLSAIPDELSTLHTTHTPHFLGLTNGKGLWSAPSLASDVIIGVLDSGIWPEHVSFKDSGFSPVPPHWKGVCEQGTKFSLSNCNKKLIGARYYFRGYEKFIGKINETTDYRSASGMRYTSRIAAYKVCWLSGCANSDVLAAMDQAVSDGVDVLSLSLGSIPKPFYNDSIAIASFGATKNGVFVSCSAGNSGPFASTVGNGAPWIMTVAASYIDRTFPTKVKLGNSKNFEGTSLYQGKNEPNQQFPLVYGKTAGKKREAVFCTKNSLDKKLVFGKIVVCERGINGRTEKGAEVKNSGGYGMILLNSANQGEELLSDPHILPATSLGASAGKAIRIYLNTTKKPTASISFLGTRYGNIAPIVAAFSSRGPNIIAQDIIKPDVTAPGVNILAAWPSKTSPSMIKSDKRRVLFNIVSGTSMSCPHVSGVAALIKSVHKDWSPAMIKSSLMTTAYTLNNRKLPISDLALNNSAPANPFAFGSGHVNPESASDPGLVYDINTKDYLNYFCSLNFTSSEITILTKTNFKCSKKPVFQVGDLNYPSFSVLFSKTTHNVTYKRVVTNVGKSQSAYVVEVLEPHGVIVNVEPRKLKFEKFGQKLSYKVTFLAVGKARVTGSSSFGSIIWVSGKYKVRSPIAVTWQ >RHN41024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26061877:26062920:-1 gene:gene47296 transcript:rna47296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding pseudobarrel domain-containing protein MASRNIQTLLSYHCLTGPLVKNPLSDLDKAINKLRLKYRSYIVEYDIDDGAICLPNMFGGDFGDQIGRYAILTDPKSNKFEVLVDTILLYTGNVPQMLDTLKTTVNIIDDCGNLWVCELNFATFPYEHFKIERRWNRFVEARRLREGVKIIGGAPMVGSHDTIYLDVIYN >RHN75031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38864156:38866807:1 gene:gene11179 transcript:rna11179 gene_biotype:protein_coding transcript_biotype:protein_coding METEKHHRTTSNNSSTSELFVCFTSRLSSSSMKLSSKSILSPSRSRDQPQISLSSSLSRRLKSNGSMKGGQASPMFPTGGKKRGCGFENPEPSSPKVTCIGQVRVKTKKQGKKMRSRSKRRGEASFRRGGGEGTNPDLTRQNSQSLYQNHQSLQQECLKHRNQRWVHLPLTICEALREFSCFFPCRSSCMSNERDKEEKGSLEGRRGVRSSGEHSREGSCGAAFARWLVAYQDGDGKGREIEVMVEHHDEMEMVMDDEDDGGREKGGERSYSQRRHIFEDLDIDLVDEKIQEEFGGEVEEDEEKGRVSICVPPKNALLLMRCRSDPVKMAALANRFWESPLHKEQDQVEEHHQIEDVEQAQDEDSVDVEHEVEQEVEQGYNIEMEQVEEETKQVVEVERVSQVDSICESETQGSEENNEIESKINEEVDEVANEDEVGNGNVIDDDDKVETFPCVLVDLLHADLEKPETEETHQREDEEKQESSELSSSSSSSSPETFIASSEQENYEAEAEEKVSTEEEETTHQEPDPEVGLVVLPEQENGSKKEERERETLPECLLLMMCEPKLSMEVSKETWVCSTDFIRWLPERPTGKPAGVEKKVTVESSSSTKPKVKVKPAHPPLMQPPRSSCCLPVTGAGMSMATMIEQKLVGCSKSRNGYEPFVLTRCKSEPMRSATKLAPEASCFWNNRKLEPHPPPATLGVGAPAGVGIINVVIVCRCRLLLLLQLWSALGIDWL >RHN70973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55519716:55521524:-1 gene:gene19613 transcript:rna19613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroperoxide dehydratase MASSSLTSSISYTFLQPEFPFKRTIKHHNRCSISKCPFTPISATPLKASVSNPDTTSSPIPITPIETTTTTTASGTDTTSSLVSPEPPKKLPMRRIPGDYGLPFVGPIKDRLEYFYNEGGRDKYFRSKMHKYKSTIFRANMPPGPFISSNPNVIVLLDGKSFPVLFDNSKVEKRDLFTGTFMPSTELTGGYRILSYLDPTEPKHDQLKRLLFFLLKSRSSHFIPEFHSSYTNLFNTLEKELAKTGNAIFADANDQAAFNYLAKAFFGTNPTETNLKSDGPKIVTKWTLMQLSPILTLGLPKWIEEPALHTFRLPPFLVKKDYQKLYDFFYESSTGPVLDEAVRLGVSKEEAVHNLLFATCFNSFGGMKIFFPNLLKWIGRGGVNLHRKLAEEIRSVVKSNGGRVTMAGMENMPLMKSVVYEAFRIEPPVPLQYGKAKHDFVIENHENAFQVKEGEMLFGFQPFATKDPKIFDSADEFVGDRFMGEEGEKLLEHVFWSNGRETEQPTAGNKQCPGKDFVVLFSRLLVVELFLRYDTFGIQVGKSPIGSAVTFTSLKKAKF >RHN78451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15269417:15269755:-1 gene:gene2018 transcript:rna2018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzyl alcohol O-benzoyltransferase MNHTLSDASGMLQFLSALGEISRGMSKPSISPVWSRELLNARDPPRVTYNHREYDPEPDNKGTMFPLDDMVHRTFFIGPTEVAAIRTLLPPNQMQQYSNFEIIAAYFWVVVQ >RHN40730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20049436:20049787:1 gene:gene46924 transcript:rna46924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bulb-type lectin domain-containing protein MISFKIKKQVVLIYLWLWWITSTNICVNATNDSLKPGDTLNSKSKLCSKQGKYCLYFNRTLDSEDAHLVIGVNAEYGAVVWMYDRNHSIDLNSAVLSLDYSGVLKIES >RHN66041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7873636:7874997:1 gene:gene13951 transcript:rna13951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MHLAPIEAQTCGFLFQKSATFKVKYDPLVKTGEVTATMADLQCLRPPRQISPLIMQLNAERLRFEQEENNGDFVWHLPPSFEDDLLAGTKVQEMTAKYCSKWMPPSPNLKFIYVPLRTIHGHWYLIIVDMEHGVIYHLDSFLTVDFMEVRRRRIRKIADMIHHLVLDPMYSSSFGNKHIDFKNMSITEARGIPNMGCSDNSGVWVLDWGESAHCIDLKNLPGKLNENEVRMTTAAKLMLGKHNELKAKVEHHTSMFIDSFPSDTPINLDA >RHN56673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32698948:32700066:-1 gene:gene32110 transcript:rna32110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MIPVIIRIRMCLLQTKVWRFVGFASAAVGLLCYALSTSFNHLFGNWNLLKIFLYTLFSFIMILYANIWKNSRSLRFKAHTAFLVLTITSVYSFFFDKVVNGKPDAYSLISCAAFAIMSMSLSRQSQCGIEVDLLYFFLGCLIMQLMKIKLQLVIVGAGFSYSLIIIRSSLSSTNSVPENEYFGVGLRGENSVVIEMDSLLRPSNDIYSGMMQQLTTCINALQQDSLNIIDRLMEEYNQGKCDFEMDTLPSEKLHNLHEIVKLMLCAGYEKECSAVYISWRKVLLQKGLLNKIFVLPEAKINTERERERYLDTMFQRWMTASDIATTVLFPIEQKFCDLVFSGFSSATSHCFIEICQEATFQLLNFADVTLLQ >RHN65705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4603652:4605160:-1 gene:gene13578 transcript:rna13578 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFKFILNLAMLLVIFLSMKVAATARVPSWKIEPHQEEAYGRLLYIVNDRPRSHNYIVVPPPPPPY >RHN51783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24808995:24809432:-1 gene:gene36301 transcript:rna36301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MKFREIDTQEEFEEILHKIKQEPFDCSKKDNCRCDDPADIKYDSTRTWVKYKPNIPKTPKGFKRISVLRDDYSKLDSYYITPTGKQLRSRNEIAAYLIDHPQPNGVSALDFDFSSPKVMQDTIPDIIVKQKDSANKKVKIAKDEV >RHN60074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:18408853:18413110:-1 gene:gene22278 transcript:rna22278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator C3H-WRC/GRF family MNSSGGGSSNGGGVGMMMGYSKSSPFTVSQWQELEHQALIFKYMVAGLPVPPDLVHPIHSSFHSLSSNNFFHHPIPTLSYCSFYGKKVDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVESQTMAQSTSTVTSLTVAGGSSRAGNFQNIPTTNAFGSVQNTTGSGTDRADYHLDTIPYGIPSKEYRYLQGLKSEGGEHSFFAEASRGDNKGLQMESQLENTWPLMSTRVASFSASKSSCNNSMLQSDYPQHSFLSSEYGSGEAVKEEGQPLRPFFREWPKSRESWSGLEDERSNQTAFSTTQLSISIPMSSSEFSATSSQSPHDN >RHN52655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37446370:37446978:-1 gene:gene37349 transcript:rna37349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MINGFCKIKRVGEAINLFEEMQCKKIIPDVVTYSSLIDGFCKSEKISHALNLVDEMHDRGQPPDIIIYNSILDALCKNHQVDKAIALLTKFKDQGIQPSVYTYTILIDGLCKGVRLEDARNIFKDLLVKGYNITVNTYTVMIHGLCNMGLFDEALALLLEMKDNSCIPNVVTYEIIIRSLFDKDENDKAEKIIREMITRDLL >RHN44809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8699096:8701018:-1 gene:gene38974 transcript:rna38974 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSLAELNRLDDNGIEAMEIINKLGLMNLANVIIPSESDTDNNNYNNHFIILHDILRELGIYQSTKEPFEQREKLIIDKNKNKSGLAEKQQGLMTRILSKFMRFCVKQNPQHFAARILSVSTDETYALDWSQIQTAQAEVLILNLHTKQYSLTEWIAKMSKLKVLIITNYSFHPSKLNNIELLYRT >RHN66135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8917106:8918086:1 gene:gene14055 transcript:rna14055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb/SANT-like domain-containing protein MNNALIDAFVHQVSAGNKQGGTFTSIAYTNITKEMSEKFQRPFDKEKVKDRWKLVKRNFTKCHDIFNGMSGFAWKSDTHMWDALPEVWKTLIEAKPEAAQWMNKPFANYDKLVIACGDERATGGKVMNDEDIRQNHPLNRESESIGTSDQVTLESLQEGGNEQDVTSPEVQIPPEPRAKRSRKSRDEDEVEGIKAALLNVADAFRESTASHDKYFKDSIAAYEKANLKLPISEEEVFKLLEELQVDSHMIIRAYSYLLEFPEKVRALLGLPKHLRKSFLLESMVGQGYSSR >RHN55908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25349590:25352728:-1 gene:gene31193 transcript:rna31193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MPEFCVTGGTGFIAAYLVKALLEKGHIVRTTVRNPDDLEKVGYLTQLSGDKERLKILKADLMVEGSFDEAVTGVDGVFHTASPVIVPYDNNIQATLIDPCIKGTQNVLNSCIKANVKRVVLTSSCSSIRYRDDVQQVSPLNESHWSDPEYCKRYNLWYAYAKTLGEREAWRIAEESGLDLVVVNPSFVVGPLLAPQPASTLLMILSIVKGSRGEYPNTTVGFVHIDDVIAAHILAMEEPKASGRLVCSSTVAHWSQIIQMLQAKYPSYPYETKCSSQEGDNNTHSMDTTKITQLGFSQFKSLEQMFDDCIKSFQDKGFL >RHN53984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5961759:5964010:-1 gene:gene28959 transcript:rna28959 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFNKELLSWYLITLKLRETLEAGIPSSSPNSGTRSIQLPQQQKLEQQPSESLQVLLTNQNGENLEEEASSPVSEWVISIKEKLEEANQDDVASSWTKLSIYKIPHYLRDSSGDDKAFAPQIVSLGPYHHGKKRLRQMERHKWRSINHVLKRTKHDIRLYLDAMKEMEEKARSCYEGTIGLSSNEFVEMLVLDGCFVIELFRGATEGFKELGYSRNDPVFAMRGSMHSIQRDMIMLENQLPLFILDLLLGIQIGKPDLKGLVANLALRFFDPLMPTDEPLTKSDRNKLESTFRKSTTNATFDPLSDQGGLHCLDVFRRSLLRSGPQPAPRIWIKRRSNANRVADKRRQQLIHCVTELKEAGIKFKKRKTDRFWDIKFKDGILRIPRLLIHDGTKSLFLNLIAFEQCHLDCSNDITSYVIFMDNLINSPEDVGYLHYRGIIEHWLGSDTEVADLFNRLCQEVVFDINNSYLSPLSESVNRYYNHRWNTWCASLRHNYFNNPWAIISLVAAVVLLLLTFAQTYYSVYGYYRPAQ >RHN47466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39967835:39973064:1 gene:gene42075 transcript:rna42075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylyl-sulfate kinase MSTLSNATNIFWQDCQLGKPERQKLLNQKGCVVWITGLSGSGKSTLACSLSSELHSRGKLSYILDGDNLRHGLNKDLGFKPEDRTENIRRTGEVAKLFADAGLICVASLISPYRRDRDTCRAMLPDANFIEVYMNMPLSLCEARDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEIEIKEDDGDCPTPKVMAGQVVTYLEEKGFLEC >RHN61874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39024879:39025915:-1 gene:gene24382 transcript:rna24382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartate transaminase MAIRNLLNRSFVAGARFMSSWFRNIEPAPKDPILGVAEAFLADQSPYKVNVGVKCAYHNDKGKPVVLECVREAERRIAGNQFMEYLPIGGSIHMIEESLKLAYGDDSEFIKDKRIAAVQALSGTGACRLFAAFQQRFHPKTQIYMPVLTWAK >RHN44438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4742847:4743140:1 gene:gene38559 transcript:rna38559 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSILILSILAQYLDNVFIRCSNPHLTSRFYGVVLDPTTISKIVSEPFQDPLGHLLSDFRYQTTNQLCPQTNLIVLVVRSVLRVSHQIQDGLLICL >RHN51396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17219129:17222385:-1 gene:gene35826 transcript:rna35826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADALLGIVIQNLGSFVQEELATYLGVGELTQRLSRKLTLIRAVLKDAEKKQITNDAVKEWLQQLRDAAYVLDDILDECSITLKAHGDNKRITRFHPMKILARRNIGKRMKEIAKEIDDIAEERMKFGLQVGVMEHQPEDEEWRQTTSVITESKVYGRDRDKEQIVEYLLRHASNSEDLSVYSIVGLGGYGKTTLAQLVYKDESVTTHFDLKIWVCVSDDFSIMKILHSIIESATGQNHNLSTLELMQKKVQEVLQSKKYLLVLDDVWNHEQIKWEKLKHYLKSGNTMKGSSILVTTRLDIVASIMGTHPAHHLVGLYDDDIWTLFKQHAFGPNGEEPAELAAIGKEIVIKCVGSPLAAKVLGSLLRFKNEEHQWLSVKESELWKLSEDNPIMSALRLSYFNLNLSLRPCFTFCAVFPKDFEMVKENLIQLWMANGLVTSRGNLQMEHVGNEVWNELYQRSFFQEVKSDFVGNITFKMHDLVHDLAHHISYFASKVNLNPLTKIESLEPFLTLNHHPSLVHMSCHLSALKNLMHLRYLELYKSDIKTLPASVCRLQKLQTLKLEGCDYLSSFPKQLTQLHDLRHLVIIACQRLTSTPFRIGELTCLKTLTTFIVGSKNGFGLAELHNLQLGGKLHIKGLQKVLNEEDARKANLIGKKDLNRLYLSWGGYANSQVGGVDAERVLEALEPHSGLKSFGVQSFMGTQFPPWMRNTSILKGLVHIIFYGCKNCRQLPPFGKLPCLTNLHVSGMRDIKYIDDDFYEPATEKAFMSLKKLTLCDLPNLEKVLEVEGVEMLPQLLKLHITDVPKLALQSLPSVESLSVSGGNEELLKSFSYNNCSKDVASSSRGIASNNLKSLRIEDFDGLKELPVELSRLSALESLTITYCDEMESFSEHLLQCLSSLRTLTINGCGRFKPLSNGMRHLTCLETLHIRYCLQLVFPHNMNSLTSLRRLLLWNCNENILDGIEGIPSLQKLSLYHFPSLTSLPDCLGAMTSLQVLDIYEFPNLKSLPDNFQQLQNLQYLSIGRCPKLEKRCKRGKGEDWHKIAHIPQVELNFKLQSDAEPTKPTISGN >RHN48107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45066404:45068458:-1 gene:gene42782 transcript:rna42782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived nuclease domain-containing protein MAPIQKKPKKKTKYKHKQVSLVVPVEPKTNEVDWWESFWHKNSTAPGYSVPNDESQGFKYFFRVSETTFEYICSLVREDLISRPPSGLINIEGRLLSVEKQVAIALRRLASGESQVSVGASFGVGQSTVSQVTWRFVEALEERAKRHLNWPDSDRMQEIKSGFEALYGLPNCCGAIGATHVVMTLPAVQTSDDWCDQEKNYSMLLQGIVDHEMRFIDIVTGLPGGMTFSRLLKCSTFFKLSQNGERLNGNVRNLGGDMIREYVVGGYSYPLLPWLMTRYETSGGSDSMSTFNHKHEAARLLAVRALSLLKGSWKILSKVMWRPDKKKLPSIILTCCLLHNIIIDCGDTLDPEVALSDHHDSGYREQYCKQVDPSGRTVRENLAKHLRHGMQLDAHGTSATSVHLI >RHN62834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46128141:46130489:-1 gene:gene25453 transcript:rna25453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MCFVSRDFVFLEAILLLLFGTTCSSSFVKAEDKIKSAVFLSPKFELGPGSVINKHYYDIDFPRGHIALKSFNAEVVDDAGNPIPLHETYLHHWLVQRHYQRKYVTHTEDVSHMMLQNSDHVLVRNSGICQGNAISQYFGLGSETRGTTTDIPDPFGIEIGNPEEIPQGFEDKWLLNVHAIDTRGAEDKLGCTECKCDLYNVTVDEYGRSIGPDYKGGLLCCYDHTQCKLKEGFEGPKRSLYLRYTVKWVDWDDFIVPVKIYILDVTDTLKPSDDSKGLNSNHDCKTEYQVESCGTDHKERNGCVDVKRTSLPLQTGGYVIYGVAHQHSGGIGSTLYGQDGRVICSSIPSYGNGNEAGNETGYIVGMSTCYPKPGSVKIVDGETLTLVSNYSSTKEHTGVMGLFYLLVAEQLPDQHFRHSTRSSFFMDINNMLLDN >RHN72323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6763474:6767128:-1 gene:gene8021 transcript:rna8021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MALGGIIHFNTLFMHQPLTHLHRFKPHFFSTPPPNSPISLKSSPLSSSFSSMATHFNISQLPSSPSLSIGQHDLLIVGPGVLGRLVAHQWRQEYEGSQVFGQTMTTDHHEELVQLGISPALNWTKSEHKFPYVIVCAPPYQSLDYLGDLRQAAECWNGEGSFLYTSSSAPYDCNDNGLCDEDTPVVPIGRSPRTDVLLKAENIVLEFGEGKGAHAYYLEKGIVESRPDHVLNLIHYEDAASLSVAILKKKFRGRIFLGCDNHPLSRQELMDLVDKSGKFSKKFEKFTGTDDPLGKKLNNSKTRQEVGWEPKYSSFAHFLETL >RHN41064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26562839:26564721:1 gene:gene47340 transcript:rna47340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MNPIPILHHELNVAAEAGDIGLLYGVIQVNSSILEIIDSNEFVKTPLHIAATRGHLPFAIEVMNLKPSFALKLNQEGLSPIHLAIQNNHRSMVFCLVDMNKDLVRLKGKEGMTPLHFASQNGEDEILAKLLFACPESIEDVTVRGKTALHIAVQNNQYEVLKLLVCFLKKNTKRGARKLEYKILNQKDEIGNTILHILAALMMIQPNPQPEEAAQALRLLVKSGVNLNAKNSENKTALDIAANEDIARILLSVGARHGEEVVDAPLLANQLRSDTTIMNKVTIYVSRFRSEILEEQRSTWLIVATLVATATYQTVLNPPGGFYQTNATNKNLNTTSSDSTISSDQGNAGKSVLSNLNFILYSHLNVFSFLISVMAILIMTPWGAVGGTLVFGPVVWFALSYMYAMTVISPAPFSPLIHILISSLIGLSIFYVLILNIIKLYRNRQLQLIVDQHGH >RHN75891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45972532:45973165:1 gene:gene12150 transcript:rna12150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase C1A, papain MYLQIAEIQGDPFSIHPDSSIHSIRAAMEDFGCLVGAFEYDATFDLYLGPPNVYFIAPAEGGVNRPLHYVLICGVGSLDDADDGRPFLQIQNSFGTNWGNGGYGYIAKDLFHHIHGLRGVTLFREEGSCPPLYLVHPQSLCFIRCREARKHCSKIFIQQGCLEDLCNWFMLCCLPFLLLLLIFL >RHN68154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33217425:33221206:-1 gene:gene16463 transcript:rna16463 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHFDSQVFKHACRPYQTFKRSDSSIKSKSMIGRRPDLDLQKIWQALRSLARPNLFLPLYGTCTTHARWAIQTCVLKKLFSFYFDSPWTDARHLYYIHTSMWDNSDKSLNFLSTTTHRKFKS >RHN49649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:58197:59200:1 gene:gene33851 transcript:rna33851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MGALDYLSNFCTTVSVTNSTIKRAKRKAMQTVEIKVRMDCDGCERRVRNAVTSLKGVKSVEVNRKQSRVIVSGYVDPNKVLKRIKSTGKVRAQFWPYVEQQLVYYPYAYGAYDKRAPSGFVRNVVQAAPMASSNHQEELLVSLFNDDNVNACSIM >RHN44710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7580670:7581278:-1 gene:gene38860 transcript:rna38860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEESHVETETSKFPQKDIAEEESIDSPKEISPFLLFGFIVDQRKGIQNAYSCKFCSRKFTTPQALGGHQSAHKFERSLVKKRIQAFSKAWINYSNGNQGISLNNVTPFHMGCGCQCYGFNNMIQHAGSSNFYAGNLFGALSHIPHIDEVNEADQGILSHKVNMTEIEFGSILEGGVVSDEVDQEDNETQEEEASKIDLTLKL >RHN76486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50730278:50731880:1 gene:gene12820 transcript:rna12820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAT/LH2 domain-containing protein MKSLTLIFTFSIIATFSHATPSLLVTLLHTQQINETALSSGSCIYKIIITTSCSSPRLTTDAIDILIGDADGTQIFASPDPNTGLFKQCATDIFAVHADCIGKICNMHFVSVGRDGWIPETAIVYHRDYPPITFNFDSFLPSGGPFGVNYCEHY >RHN65046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63832583:63836071:-1 gene:gene27939 transcript:rna27939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative importin subunit alpha MDEKMLESLPAIVAMVWSDDNSKQLEGATKFRKLLLTHNPPIEKIIQSFVVTRFLEFIPRDDFPKLRFEAAWVVTNISSGTSENIKVLVDHGAVPILVELLSSPSYDLRYQAVWALGNIAGDSPRCRDLVFSHGALIPLLTQLNEQAKLSMLRVATWTLSSFCKGKPPPPIEQVRPALPSLERLILSNDDEEVLSDACWAFSYLSDGTNDKIQAVIEAGVCGRLVELLQYPSPSVLTPVLHTLGNIVAGDNMQTQAIINHGSLPCLLSLLTHFHDKSIKKEACLTISNITAGNREQIQAVIEAGLIAPLVNLLQNADFEVQKEAAWALFNATSGGTHEQIKYLVTQGCIKPLCDLLVCPDPTIVAVCLEGLENFLEVGEAEKNFCNTGDVNLYAQMIDDVEGLEKIENLQSHNHIEIYEKAVRIVETYWLEGETLTRGHGRQDRDLKCKILRFYGLCTPWCAPVWSKSKFIGRGQDSCEKSLWKRWNHVKCK >RHN48921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51162060:51163814:-1 gene:gene43690 transcript:rna43690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine hydroxymethyltransferase MIYCLQTLRITKSSGVVANCRAFANRLIEHQYKLVSGDSNNHLILVDLRPSGIDGARGEKILGMASITLNKNSVPGDKSAQVPGGIRIGTPAMTTRGLGEKEFELIADLIHEGVQISLEAKCLVLGTKVQDFTNFVSSPEFPLGEKVSDLRRKVEALATHYPISGI >RHN38464.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000011.1:14811:15338:1 gene:gene50697 transcript:rna50697 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNLQGSHLLWPDLPTFSQLQFTAPFKCAGACWFFHHPIHNKSNETWRKRSEHFAAEEFIILERVFVFLQTPIRSRSPLLTGSRLISLPLATKMFQFAKFEKSKERRLAAELGYGFPIGDPWITDGISPWPFASESVLPSQCPGIHPMHSFRSCTQQENECANAQLNPLLVRFA >RHN75375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41732377:41732984:-1 gene:gene11564 transcript:rna11564 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRPDEPVERLRAAETSCLIERCNSSCISIQASSILCILFILCCSLTMSILKSLILSHTSAKGNRLCPANEFTVPRR >RHN71908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3513671:3514297:-1 gene:gene7559 transcript:rna7559 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIPRPRGVDDTRLLLRKLELLPPAEVWHRLNMFGGPCTDPDDSPRPVRSNPLDSRSLESNNIDYGTNGLWPRKHRMIERDAAFALNTSLDIMGSRRDVITTSWKTGLEGVWYKCIRCMRQTSAFTSPASANIPNQNERESWWVSRWATNCPMCGGRWVRVV >RHN71572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1100944:1101530:1 gene:gene7185 transcript:rna7185 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLDPTNKLLIFISWWVNYPPFFKGNLKKTKKKKTRDVFLSFTFYLPPSHFSGNHSLSTTQTQILTFFTFNFSDFSSFCVFLLDIEKILK >RHN58592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3056420:3059755:1 gene:gene20516 transcript:rna20516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSSRHLHSHSNPSPPSVTLPDEVLTEILSRLPVKSLIQMKSVCKSWKTLISHPSFIKIHLNHSLSSQNPYSYLFYVNNWTQNHKYVFIPFPVNHLLENHPITLPEDPYFILNGDKDCHEVVGSCNGLVCLRGYSFPDEDNTLMWLRLWNPATRTISNKLGFLYDSDYNWCFWNLVFGYDNSTHTYKVVALDCDGIDGKVRVYIFGDKVWTSIQNFPVTAMRLYSRDSRMRGRFTAMRLAMRLYLMDLRMYSGVHFSSTVNWVTTVSNDNVYQVVIVSLDLGTETYTQLPPPPGYEKVARVIPSVCVLANLFCFYHDYEATDFVIWKMEEFGNENSWSQIFKFSYQNLGMNRKLEPGRLRFELMPLHLSESDDTVVLANNLQDCAILYNRRTNRAKKTRIDNRIRWFSMNDYVESLVSTS >RHN67251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25172093:25174129:1 gene:gene15422 transcript:rna15422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MLLKLHKQKGDKPPEDTSQMNRELLTNEVRNYLQQKRYVVVFDDVWTVHFWDDFKFAAIDSKNGSRIFITTRNKNVVNSCKKSSFTEMFELQCLTQEQSLELFNKKAFKFDYGGCYPNELIGIANEIVKKWNGLPLAIAAIGGLLSTREKNLSEWQRFRENLNLELKTDTDLIGIKEVLSLSYDDLPCYLKSCLFYFGVYPEDYEVKSKRVIRQWIAEGFVKEERGKTLEEVAEGYLTELIHRSLVQVSSLRIDGKAKGCRVHDLICNMILEKHEDFNFCKHSSDDGQRSSSEIVRRLSITTIDDAFWECIHGSHVRSLFCFGNQEKSSSYFKGNSTKYKLLKVLDFEDFDLKNIPNNLGIFIHLKYLSYNNSNSGAEVPKSIGMLQNLETLVIRGIYYCELPKEISKLIKLRHLIGKTMSLIQLKNGIGEMKSLQTLRRVSLNMDGAAEVIKALGKLKLIRNLGLLDVHKQNESILSSSINEMQHLEILYIRSCFNDNESIDLNLISPPPMLQNLILQGKFKEFPEWTLDLQNLTMLRLVWPCSDKDPLQSLKSLQHLLSLYLDLYRYEGLQLHFQDGGFQKLEVSTVIRLSRVREIIIDKGSMPSLKTLRLMYLRNLKNIPTGIQHLEKLEYVYIWGVVDEFGERSSTEDWNWIMEHVPLVKILPQDYEEIKYLRS >RHN48096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44963821:44965385:-1 gene:gene42771 transcript:rna42771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MAQRSIEMLLSMLFVMVALRGVTVAQTDSNCANVLISLSPCLDYITGQTSTPSSGCCSQLASVVGSQPQCLCEVVDGGASSIAASLNINQTRALALPMACNIQTPPINTCPGSTTSSSLPAPAGVSISNIPNSPSGYFTSTTGSSGSIRGSTSSYRTSSSVKLQCSLLVLVIIANLTFTFMTMT >RHN43057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42870945:42871802:-1 gene:gene49579 transcript:rna49579 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAPSSSCWRKMKIVEYDQHLINECDVDAAQKLMDLSDEEKSNNNIRGRASKRMRSNRQEEEEEEEEEEKKQQSDDIVMAKIQEIFGKDVEVFPIVKKQRRYRSLVNIYMVTTPINNDTRVSA >RHN46469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31972583:31978405:-1 gene:gene40957 transcript:rna40957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PRP1 splicing factor, tetratricopeptide-like helical domain-containing protein MVFIVPPTGKILSLDINPNTTTLHNLKTEIENFHGIPISHQRLFLSQSLKLLADNDSTLISNLGVGNYSTLTLHVPFYGGTQPPAVPKPPRFDFLNSKPPANYVAGLGRGATGFTTRSDIGPARAAPDLPDRSAPGAAPAGAAPIGRGKGREDVAEEDDEGEDKGYDENQKFDEFEGNDVGLFASAEYDEDDREADAVWEGIDKRMDSRRKDRREARLKEEIEKYRASNPKITEQFADLKRKLYTLSTDDWQSLEKFESGGYSSKNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAASANGTETPWSQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGMTNVDPKGYLTVLNSMKITSDAEISDFKKARLLLKSVTQTNPKHPPGWIAAARLEELAGKLQAARQLIQKGCEECPKNEDVWLEACRLANPDDAKAVIAQGVKSIPTSVKLWMQASKLENDDMNRSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVEFCPLHVELWLALARLETYDNAKKVLNKAREGLPKEPAIWITAAKLEEANGGAKEFITLADGTKVNKVETITRRGIRALQNGNMVIDREAWMKEAEAAERAGSVATCQAIIKCTIGIGVEKEDRKRTWVADAEECKKRGSIETARAIYDHALSVFLTKKSIWIKAAQLERSHGTRETLDSLLRKAVTYRPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNIEEERKLLNEGLKQFPSFFKLWLMLGQLEERLAEAAKQQDQTEKQHSHKMEAKKVYDSGLKSCPNSVPLWLSLANLEEEMSGLSKARAALTMARKRNPQNPELWLAAVRAELKHGYKKEADILMAKALQECPNSGILWAASIEMAPRPQRKSKSMDALKKCEHDPHVIAAVAKLFWIDRKVDKARNWLNKAVTLAPDVGDFWALLYKFELQHGTEENQKDVLKRCVAAEPKHGEKWQPVSKAVENSHQPTESILKKVVIALGKEEKAAEDSKH >RHN66598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14710261:14710925:1 gene:gene14621 transcript:rna14621 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVKLVTLAVFMLTIFLIIQTKNVEAAGQCPSVGSMIDMSQKLKTNHACYPP >RHN67903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31290131:31290809:-1 gene:gene16152 transcript:rna16152 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPMRYLFFLRDLGKLIIYKKKKKKKKRRGSKNHVSLYFYVITAHLNFFYQYHNLMNEYGICTWNSISNNT >RHN58854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5455311:5457428:1 gene:gene20808 transcript:rna20808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MTGLCNLKDLVELDISKNMFGAKLPECLSNLTNLRILDLSHNLFSGNFPSFISNLTSLTFLSLYENYMQGSFSLIILANHSNLQHLHISSKNSTGVHIETEKTKWFPKFQLKSLILRNCNLNKDKGSVIPTFLSYQYNLILMDLSSNNIVGSLPSWLINNDAIQYLDLSNNNFSGLLPEDIFLPSITYLNFSWNSFEGNIPSSIGKMKNLEYFDLSHNNFSGELPKQLATYCDNLQYLILSNNSLRGNIPKFVSMEVLLLNNNNFSGTLDDVLGKGNNTRILMLSISNNSITGRIPSSIGMFSNMYVLLMSKNQLEGQIPIEISNMSSLYILDLSQNKLIGAIPKFTAGSLRFLYLQQNDLSGFIPFELSEGSKLQLLDLRENKLSGKIPNWMDKLSELRVLLLGGNNFEGEIPIQFCWFKKIDIMDLSRNMLNASIPSCLQNMSFGMRQYVHNDDDDGPIFEFSMYGAPTDISFNASLLIRHPWIGNSLKEELQFEVEFRTKHNEYSYKGIVLENMTGLDLSCNKLTGVIPSQIGDLQQIRALNLSHNHLSGPIPITFSNLTQIESLDLSYNDLSGKIPNELTQLNFLSTFNVSYNNLSGTPPSTGQFGGFVEENYIGNPGLCGPFVNRKCEHVESSASSQSNDDGEKETMVDMITFYWSFTASYITILLALITVLCINPRWRMAWFYYISKFMRRFFPTFPLY >RHN77049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3546430:3549982:-1 gene:gene458 transcript:rna458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase MDQNILEEVTVLEEPIIADFQRIVELTDYTDIGSSQLANLVKHWEYKQANAVCLLREELDNLSKQKEEVELKKCEILEDNNRFEEGSYGGEKHPDSISDYVAIRENDVIQNKRVEIEAEYDSVVYWKHRAIELERQLEASYRREEILKEKLQESIEAIQRQSSPVEELSQILKRADNFLHFILQNAPVVIGHQDKELRYRFIYNHFPSLQEEDLIGKTDVEIFTGAGVKESQDFKREVMEKGVPAKREITFETELLGSKTFLLYAEPVFSKAGETIGVNHMGMEITDQVRKREKMAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSDVVSMAKILTTTKLDREQRQLLDAMISSGDLVLQHITDILDPSKAESG >RHN45067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11281111:11281985:-1 gene:gene39266 transcript:rna39266 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLEIKKLTESILKYHSFQVCFQVFSLLLSSPALHNLECLRLNSHLPLRPDHVGLHHPDHHNWFINLHKRRTTPSMNKWPVPRPSTIRLVSFRCWDLMGCFLWIAGVQLHPVQGIV >RHN55816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24252885:24263843:1 gene:gene31084 transcript:rna31084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionyl aminopeptidase MALTASLGHSAFLQLSTSFYGDTSPSSSISTTFPGNQSFSRKQFVVFARKMSGLEEARRIKRERELQVVKKDRKGPPLRRGKVSPRLPVPDDILKPPYVGSDILPEMGTEYQIHDSEGIAKMRAAGELAARVLNFAGTLVRPSVTTNEIDKAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQNGDIINIDVTVYLNGYHGDTSKTFFCGDVSDAIKNLVKVTEECLEKGIAVCKDGTPFKKIGKRISEHAEKYGYGVVERFVGHGVGTVFHCEPYIYHHRNDEGGCMVEGQTFTIEPILSMGSTDCITWPDNWTTVTTDGSPAAQFEHTILITRTGAEILTTC >RHN55387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17847604:17847834:-1 gene:gene30560 transcript:rna30560 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKRCKSMKYRNKRNNTYPYIYLSSSIFFLHHRQACRNPPRKIDDVVLVTESEAYNSNKNSFLPCGALTMAEIGF >RHN40701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19666449:19667678:-1 gene:gene46895 transcript:rna46895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVLCSAQEKLQALLLFTYFCLWWCTTISTYVKAENTDSMKPGDILNASATSTLCSKQGRYCMNFNRNPDPENLTYLSIFGKGKDDWLVWISNRNQPVDINSATLSLNYSGVLKIESKIGKPIILYASPPPFNNSNYIVATLLDTGNFVLKDIQKNIVLWQSFDHPTDSLLPGMKLGVNRKTGENWSLVSSISDTILSPGPFRLEWEATRKELVIKRREKVYWTSGKLMKNNRFENIPGEDLKVKVVSDEYFAYTAQNENGLTKWTLLQTGQLINREGGEFGDIARADMCYGYNTNGGCQKWGEAKIPACRNPGDKFDNKHVYSNDNIVNNIENASYGISDCQEMCWTNCSCFGFNNYYGNGTGCVFLVSTEGLNIASSGDDVFYILIKNADHKGMYNSNYYIANIIFPK >RHN75387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41818413:41825023:1 gene:gene11577 transcript:rna11577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CMGC-SRPK family MAEDRKDASDYSSEDEGTEDYKRGGYHAVQIGDTFKNGCYVVQSKLGWGHFSTVWLAWDTLKLRYVALKIQKSAQHYTEAAMDEIKILKQIAEGDLEDKKCVVKLLDHFKHSGPNGQHVCMVFEFLGDNLLTLIKYSDYRGIPLPMVKEICYHVLVGLDYLHRELSIIHTDLKPENVLLVSPIDPSKDPRKSGVPLILPTTKVKTVSKNGTTKPDKSLNGDLTKNQKKKMRKKAKKAAQKESPEVAEEDSEAPEQDDCSNDVKPNVENGEGKPNSPTSKDESAKTSETKDVPQGSQVSRRGSCSTRKKLLAAVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVLLGSKYSTPADMWSFACICFELATGDVLFDPHSGDNYDRDEDHLALMMELLGIMPRKIALGGRYSRDFFNRSGDLRHIRRLRFWPITKVLTEKYDFSAQDASDMSDFLVPLLDFVPEKRPTAAQCLTHPWMSAGPRTLEPSVNSIHADAINAEKPGKLREKDEQEAVEVSMGNMTIDGTPKTLKDFQSTKPSE >RHN62035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40214356:40216410:1 gene:gene24562 transcript:rna24562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MGKNTVVDDVQNKQVIFKDYVNGNLQEDDLYISTSKIKLQVPQGSNAVLVKNLYLSCDPVMQFLMRKDEFLMSGYHYYVPGSPINGFGVAKVLDSGHPNFSEGDLVWGITSWEEYSLIQNPDKLYKIHHTDVPLSYYTGILSMPGITAYAGIFEVGSLKKGESVFISAASGAVGQLAGQFAKLLGCYVVGSAGSQEKVDLLKNKLGFDDAFNYKEELDLDAALKRYFPEGINFYFEQVGGKMLDAVLLNMKLHGRIAICGMISQYNLPHPEPLKNLLHIAFKRLTIKGFTHRDHHHLYPKLLKTVLPYIREHKVFYVEDIVEGLEKGPAALVGLFSGRNFGKQIVKVAGE >RHN70939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55262275:55264887:-1 gene:gene19575 transcript:rna19575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MAINLGMYISQNYQSNEEVSDVYRLIGKWLAEIRSSNSRTILEKHLKPAVSIAEDVKSTDKKAMEKKCHTHFHLAHYTDALFRSHEERLDSNGGRIKEQNVAKFISTLAG >RHN47438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39752658:39753231:-1 gene:gene42039 transcript:rna42039 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIHHHLLKGYLFKYFENWQKKMIKLMNSYSSSRFFLVRIVLINACVLLRYS >RHN56280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29449692:29451473:-1 gene:gene31652 transcript:rna31652 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTIPSSSSSWKPARVRFNTKKRVHVNGIATSRKVARVEGRGRPSLKSNSNSEEENASFEVDEGTGVPSSKSSLPATKPQQPPPPPIDSPLPSDVSLCHGSKRKKRKTSTRTNASSSADTNRQFPPKRRLRKARVGGKSSDNPKNENLDNQNLKPSPMALRVRSKGARKSRVRNRMPSPKSISLSKSKLPCDNISISDQNILAGGQGSNWELSSFERGKSISDSCKPQPLKFSDQQNVIAGGQRSSERGGKSISGSSKPQPLENICIDEASPKSGSPVTEPQQPLNDEEEDLSYMNSHLTFEQMVENMKKVNELALDESESESEHNGMQCNCDFNNCTCLKSYYEYLALDYAELDFSLR >RHN71375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58585816:58586481:-1 gene:gene20052 transcript:rna20052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Homeodomain-LIKE family MGRSPCCEKGHINKGAWSKEEDERLLTVRDAGALSQKPLVFSVAVRVAVSGGSTTYAPTSNVEISPNKKINSS >RHN56481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31164624:31171283:-1 gene:gene31889 transcript:rna31889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADALLGVVFQNLTSLLQSEFSTISRIKSKAEKLSTTLDLINAVLEDAEKKQVTDHSIKVWLQQLKDAVYVLDDILDECSIKSGQLRGLTSFKPKNIMFRHEIGNRLKEITRKLDDIADSKNKFFLREGTIVKESSNEVAEWRQTSSIIAEPKVFGREDDKEKIVEFLLTQTRDSDFLSVYPIFGLGGVGKTTLLQLVYNDVRVSGNFDKKIWVCVSETFSVKRILCSIVESITREKSADFDLDVLERRVQELLQGKIYLLVLDDVWNQNQQLEYGLTQDKWNHLKSVLSCGSKGSSILVSTRDKFVATIMGTCQAHSLYGLSDSECWLLFKEYAFGYFREEHTKLVEIGKEIVKKCNGLPLAAKTLGGLMSSRNEEKEWLDIKDSELWALPQENSILLALRLSYFYLTPTLKQCFSFCAIFPKDGEILKEELIQLWMANGFISSKGNLDVEDVGNMVWKELYQKSFFQDIKMDEYSGDIFFKMHDLVHDLAQSVMGQECVYLENANMTSLTKSTHHISFNSDNLLSFDEGAFKKVESLRTLLFNLKNPNFFAKKYDHFPLNRSLRVLCISHVLSLESLIHLRYLELRSLDIKMLPDSIYNLQKLEILKIKDCGELSCLPKHLACLQNLRHIVIKGCRSLSLMFPNIGKLSCLRTLSMYIVSLEKGNSLTELCDLNLGGKLSIKGLKDVGSLSEAEAANLMGKTDIHELCLSWESNDGFTEPPTIHDEQVLEELQPHSNLKCLDINYYEGLSLPSWISLLSSLISLELRNCNKIVRLPLLCKLPYLKKLVLFKMDNLKYLDDDESEDGMEVRVFPSLEILLLQRLRNIEGLLKVERGKIFPCLSNLKISYCPELGLPCLPSLKLLHVLGCNNELLRSISTFRGLTKLWLHDGFRITSFPEEMFKNLTSLQSLVVNCFPQLESLPEQNWEGLQSLRTLRIIYCKGLRCLPEGIGHLTSLELLSIKNCPTLEERCKVGTCEDWDKISHIPNIQFNY >RHN66164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9357867:9363014:1 gene:gene14092 transcript:rna14092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAATLVGGAFLSASVQTMLDQLTSTEFRDFINNRKLNVSLLKQLQATLLVLQAVLDDAEEKQINNRAVKQWLDDLKDALFDAEDLLNQISYDSLRCKVEDTQAANKTNQVWNFLSSPFNTFYREINSQMKIMCDSLQIFAQHKDILGLQTKIGKVSRRTPSSSVVNESVMVGRNDDKETVMNMLLSESSTRNNNIGVVAILGMGGVGKTTLAQLVYNDEKVQEHFDLKAWACVSEDFDISTVTKTLLESVTSRAWENNNLDFLRVELKKTLRDKRFLFVLDDLWNDNYNEWDELVTPLINGNSGSRVIVTTRQQKVAEVAHTFPIHKLEVLSNEDTWSLLSKHAFGSENFCDNKCSNLEAIGRKIARKCAGLPIAAKTLGGVLRSKRDAKEWTEVLNNKIWNLPNDNVLPALLLSYQYLPSQLKRCFSYCSIFPKDYSLNRKQLVLLWMAEGFLDHSKDEKPMEDVGDDCFAELLSRSLIQQLHVGTREQKFVMHDLVNDLATIVSGKTCSRVEFGGDTSKNVRHCSYSQEEYDIVKKFKIFYKFKCLRTFLPCCSWRTFNYLSKRVVDDLLPTFGRLRVLSLSKYRNITMLPDSICSLVQLRYLDLSHTKIKSLPDIICNLYYLQTLILSFCSNLIELPEHVGKLINLRHLDIDFTGITEMPKQIVELENLQTLTVFIVGKKNVGLSVRELARFPKLQGKLFIKNLQNVIDVVEAYDADLKSKEHIEELTLQWGIETDDSLKGKDVLDMLKPPVNLNRLNIALYGGTSFPCWLGDSSFSNMVSLCIENCGYCVTLPPLGQLSSLKDLKITGMSILETIGPEFYGMVEGGSNSSFHPFPSLEKLEFTNMPNWKKWLPFQDGILPFPCLKTLMLCDCPELRGNLPNHLSSIEAFVIECCPHLLESPPTLEWLSSIKEIDISGDLHSSETQWPFVESDSPCLLQWLVIDGCTGLESIFISESSSDHPSTLQSLSVYSCKALISLPQRMDTLTTLERLHFYHLPKLEFALYEGVFLPPKLQTIYITSVRITKMPPLIEWGFQSLTYLSNLYIKDNDDVVHTLLKEQLLPISLVFLSISNLSEAKCLDGNGLRYLSSLETLSFHDCQRLESFPEHSLPSSLKLLRIYRCPILEERYESEGGRNWSEISYIPVIEINGKMTI >RHN72468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7903446:7904650:1 gene:gene8181 transcript:rna8181 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALEMEDDLFFANLSKEIALLIMDEDEDPLASQPPNSLQDFSRAIHPPPQFDFFYEQALIRRESKGTGVFIPQATQPRRRYKKGRSNSFSKYQKQSQDTRMISQVPNKNSIKQ >RHN79623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30685938:30697194:-1 gene:gene3440 transcript:rna3440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA glycosylase, helix-turn-helix, base-excision DNA repair, demeter MEVGENDRKKPEAEIPWIPSTPVKPVVPKSAPICTPKANGAFACLEFSHGGEKNRESHDGIVPAAAITDIGGENGKICDKTGSENVSCWSDMGFNESLVPTEAASANSYATQLGNINGLNDLFVSSVICDNSRDPHGHETSDNACCSKRDYEDDPAKKLDKDGTPPNKELYDPAVEFAAVSSQLKEIYNPDEGNSLCTGLNKTPEKKPRRKKHRPKVIREVKPKRTPKPATPKPAQAKENTTGKRKYVRRKGLNTSTTQTEVTSELAEKTPEATKMSCQRSLNFDIGSKDESSTGRENENPTALLDGVAVQETNLGPVCDLNTSVKHASSGSMSLPEDTQAPDTSSQSTSPGEKSKENPTGKKKRVRRKMNKTSAPSTEMTGELTTEKMCELAKPTSKSSINFDKGGVEESSAVKENATIHLSKENEVTDRTNPDVPLTEDTQATKSSSRMPHEAKPKKSPGVKRQYVRRSGLNKSSTPTEVSGDLPGKVMPESAITSCRMSINSDRGANDESSADRENGTVHSCNKTGAEIQEIDVGLSDDIQTFMNPAVENNYLSFCNNEQTPTVHPCKETAAVMQEVDVGISYDMKTFMNQATESNYMSFCSNGQTSSTSPSQTNPLGDKSKEKLTGNKYERRKRLNKSPICQTKMTGELTGPMMPDSKETPMRRFSDFDMGTEDESSACRQVLNVHIGDTVEETPAGLAYNKDTWMKQALHSYMPLPEGAQTPSTCPSKGNHPGAKPKENSDGDKKCVRKKRSKMTSTPTKRTGGLTEPIMSEPTTISCKMSINFDKGGRDESYMCNESLTSDQNTLVKEILHYCASLSENTQSPSTCLPESNPPGEKQNARNENKRKGLATAEDGNISNSQVSTIKLQMVGCEREHAGTIEHADNSSMNLIGAHYNGLASYQSKFPLQFSNIQKKRRTEKGKTSNSHITSSVITENGAPLIFTPEDAQMHPYASNYNSWMYGFGYNAPVFPIINEYRENYIHNTQTFDEFRLSLRRVTEKSQFPAETSDYNSLTRIRNFIEPNYTANQLDFSDQQAMRDAERPQTCIDVLVEDVPVSSVKKKQNRKRSALSSSAHPNTDQNQMQQCHNVALGNHHLALGKSSGTARGGRRKKVYDVEALVKQFRQLNINAGVGDIVLYKQNALVPFQGSFDPIKKQRPRPKVDLDEETDRVWKLLLLDINHDGVDGTDEEKAKWWEEERKVFRGRADSFIARMHLVQGDRRFSRWKGSVVDSVVGVFLTQNVTDHLSSSAFMSLAARFPKKSGSTACDGEGTSQAVNKQQVDIVEPEENTECDVNLLNQSVCNQSSMTKDIIEHSGEKAVSSNDSCRITSSPISLTDESNCKLTESSQSSGPMVMIEEGEEKSCYDGAGKELNDIVSSQSSVISSQISGEFSNDQNPEKIGSCSDSNSEVEDLSSTAKYNSVEDLSSTAKYKNYGSFCKLLEMVSSTKFYEIESQRSKSTENMRDVTHSSLEESIIPSHECNLRLTHNSEAHDPFKAEASSSGILKNKHENEMNTPSFQTAKSAGLVEVTHSQTIASQVHPQEQTNHMQQNFFNSSGQTHDLIQNERYLNLGDHKDVVRSETNEISSTPIKVKTKSQLKEEQEQFDWDSLRIKAQAKAGKREKTENTMDSLDWDAVRCADVGVIADVIKERGMNNRLAERIQKFLNRVVDDHGSIDLEWLRDVPPDQAKEYLLSVRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLEMYPVLESIQKYLWPRLCKLDQKTLYELHYQMITFGKVFCTKSKPNCNACPMRAECRHFASAFASARLALPGPEQRSMVSVSGNGVTDENPPVVMSQLHLPLPENTNQVVEEIPETEVSGQLAKSEVNICQPIIEEPTTPEPECSQLELSDMEDAFIDDPCEIPTIKLNMEEFTLNLQNYMQQNMELQEGEMSKALVALHPEAASIPGPKLKNVSRLRTEHCVYELPDMHPLLEGWEKREPDDPGKYLLAIWTPGETADSTQAPECKCNSREECGQLCNEMECFSCNSFREANSQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHGSSINPVSVPRSWIWNLNRRTVYFGTSTTSIFKGLSTQEIQQAFWRGYICVRGFERETRTPRPLMARLHFPASKLAKTNEKTKKESGPAKEKPAPKPKQKPGPKPKQKAAKNSQGTKPDPESPVKNSQEPKPNPEQPELILNSDSLQE >RHN69522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44122960:44124099:1 gene:gene18001 transcript:rna18001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rossmann-like alpha/beta/alpha sandwich protein MDSPPSPSCIIVACDATRDRNEHDIKLVIDHVHAKDIILSSGDRLLVLCILHKVSHPMGYQTLACPESFAGTNFRAMEEEVRKKVHVYANELLSCLEDFEIQGVTIDIQVTAGFPIRQIILQEVKNYNASWIVLDRYLRRDMRFHLNKIPCNVALVKDDLSVDIWRSQNAHDKIAETKPVYSLSKFVSLSDCHSMQDIEQSIISCKSYPNSLASSDSSGTIKSYSMHSYATSSLHSRSSSKHEKSG >RHN63211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49394925:49396801:-1 gene:gene25887 transcript:rna25887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HD-ZIP family MMMVEKEDLGLSLSLNFFYNTPKPHPHPLNLISSSIQTFTSSDRNLETCRGESGKYLRGIDVNRLPSTAIECQEEEEAGVSSPNSTVSSVSGKRSLREEDHDVENRENISDEEDAETARKKLRLSKDQSAILEETFKEHNTLNPKQKLALAKQLGLRPRQVEVWFQNRRARTKLKQTEVDCEVLKRCCENLTEENRRLQKEVQELRALKLSPQFYMQMTPPTTLTMCPSCERVAVPSNACVDASNRHHSMAQAHPRAVPIGPWASAAPPLTNRMFDVFRQ >RHN59409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10375828:10381589:-1 gene:gene21435 transcript:rna21435 gene_biotype:protein_coding transcript_biotype:protein_coding MENVRSVKAGGSRRPTTQSPAEGFDLPFQARSSVELKKMFQHQIKQEKIEKLHERLHGNNREMPQGIIEVVDETPKKGTQQEQVQKVDGNLEKDAMTKKLKKAKLSQPQAMKDGAHRDKEVVQRKFCYLSYVYYFKLLLISFDEF >RHN82054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50924875:50929696:-1 gene:gene6171 transcript:rna6171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSILFFLLLFISFHTPSFSQTPPKGFLINCGTLTTTQINNRTWLPDSNFITTGTPKNITTQVLLPTLKTLRSFPLQVKKHCYNIPVYRGAKYMIRTTYFYGGVNGVDHPTPPVFDQIIDGTLWSVVNTTVDYANGNSSFYEGVFLAVGKFMSFCIGSNSYTDSDPFVSALEFLILGDSLYNTTDFNNFAIGLVARNSFGYSGPSIRYPDDQFDRIWEPFGQSNSTKANTENVSVSGFWNLPPSKVFETHLGSEQLESLELRWPTASLPSSKYYIALYFADNTAGSRIFNISVNGVHYYRDLNAIASGVVVFANQWPLSGPTTITLTPSASSSLGPLINAGEVFNVLSLGGRTSTRDVIALQRVKESLRNPPLDWSGDPCVPRQYSWTGITCSEGLRIRIVTLNLTSMDLSGSLSSFVANMTALTNIWLGNNSLSGQIPNLSSLTMLETLHLEENQFSGEIPSSLGNISSLKEVFLQNNNLTGQIPANLLKPGLSIRTSGNNFLSPPAP >RHN75690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44335543:44340683:1 gene:gene11928 transcript:rna11928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase 2 MMYSRFRTVAKNLKSTTKPFSFTTATTTTTVSSSEFPQNLTELRARLARESPSLSDFISLKSNNAYSVEVGTKKNPLPKPKWMKESIPGGWKYVQIKKKLRELKLHTVCEEAKCPNMGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPTNVAEAIASWGLDYVVITSVDRDDLPDQGSSHFTETVQKLKILKPSILIEALVPDFRGNAECVEKVSKSGLDVFAHNIETVEELQSAVRDHRANFNQSLDVLRMAKDYAPAGTLTKTSIMLGCGETPDQIVKTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYITPEAFEKYQTLGMEMGFRYVASGPMVRSSYKAGEFYIKSMIDSDRAVSSQS >RHN44473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5138604:5138822:1 gene:gene38596 transcript:rna38596 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCDEFWLLKLGIFVRVTDVAGSRFSDEFGRFLVLCSMNFGAPSADELQMLFIVSWFGLRVCWRMQNLCLY >RHN70750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53713262:53715461:-1 gene:gene19364 transcript:rna19364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Dim1 family, thioredoxin-like protein MSYMLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLSSVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFIDIIETVYRGARKGRGLVIAPKDYSTKYRY >RHN62531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44170231:44172970:-1 gene:gene25121 transcript:rna25121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MLSKAPNMQIAIEQPPKPVDEMPFGETIGLNLMFNKVWKSLEDNNVGIIGLYGMGGVGKTTLMKRIHSELGKMEHSFDIVLWAVVSKDCDINKIMTDIRNRLGIDENFWKESSQDQRVTKIHEQLKGKKFVLMLDDLWGKLELEAIGVPVPKECNNKSKVVFTTRSKDVCAKMKAETKLEVKCLSDEQAFDLFRKKVGDETLKCHTEIPNLAHEMAKECGGLPLALITVGSAMAGVESYDAWMDARNNLMSSPSKASDFVKVFRILKFSYDKLPDNAHKSCFLYCALYPEDFELDGDELIDRWIGEGFLHEDGKSMYGMYIKGKTIIEKLIVSCLLEEGIGTGINIVAGWRSRRIKMHDVIRDMALWLGRDEDENKDKIVVQREAISMSEMNFERLNVVKRISVITRLDSKESLKVPTCPNLITLCLSLEMDLGMDLNAPVLSLNFQSIKKLRVLDLSRDLCIKNLSSGIGELVNLEFLNLSGSKVFELPIALKKLKKLRVLLMDDMYYYDYAKIIPLEVIESLEQLKVFRFSTRDLCSSPVQKEISLLEKLESLPKLEELSLELRNFTSVQRLFQSTKLRDCSRCLGISFSNKEGSQSLEMSSLLKSMSKMRHLDSIRLWARNNLMDGSSIADKCDLGNLRRVHISSCHSINHLTWLMYAPLLEILVVGLCDSIEEVVKEGKDNEQAGSDSKNDMIFANLTDLCLYGMPKLVSIHKRALDFPSLKRIKVTDCPNLRKLPFNSRFAFKINLIAIQGETEWWDNLEWDDTIIPTLLRPKLIESSSIKLLFK >RHN39736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10104218:10112183:-1 gene:gene45797 transcript:rna45797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative angiotensin-converting enzyme 2 MKNLQNSEELQSSTQASHEPKSEHPNNHTTDAPVADSGSASASSNDSKKVSRQDIELVQNLIERCLQLYMNKDEVVKTLLNRAKIDPGFTALVWQKLEEENADFFRAYYVRLKLKKQILLFNHLLEHQYHLMKCPMPPKVPLAPIQNGIHPMPVNNLPMGYPVLQQHPMPAAGQPHMDSMGMSSCHVVNGVPAPSNFHPIRMNSGNDMVMDHSAPDMAPMIPQNGTMSSVSEMPVSPTSVASSGHFPFTASEISGMGTDASVLDSAFNSDVVSSVGLQLAPDGGNGISRSLDQIQWNFSLSDLTADLPNLGDLGALGNYPGSPFLPSDSDMLLESPDQQDIVDDFFVNSEPPCSQSDEEKPST >RHN50413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6917094:6920969:1 gene:gene34695 transcript:rna34695 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLEMQPSPYSSMKQDDSEFNITEWGVKSRIISRENTKSRRYSASIIRSIREDSKSFRSNITISSTASSPGYTLKDEIDPSTYSFTTALKALQARSVYKSWECLSPDGFALNSKWNEAEKYICNPLSGEVPMECLSAKTLSGRLFQNSTTNKITMSAPLVFSSRQIQTKAMASTYSFTKEDVALQFHSPEKKNDGMTRDACTQSGTLPSISSSNPSTNLTPSIVEISTNEDSQNSDDNQTKSEEEVELKDKETWETIEETLKEKKDEQLCRQGGCFSWIRKKKMKGKENQRRNNIIFLINNVC >RHN43352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45099443:45102005:-1 gene:gene49911 transcript:rna49911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MMRTQHHRLMSLYKLKFLNKINVIQTLHFSTLLHQFLEPRIPPIIEQRKIGRELANLLQSPHIPCCKKIHSKIVVFGFHKHDIFLVNTLLHAYSKLNLVNHANKLFDTMSHKNLVTWSSMVSMYTHHSHCLEALMLFVQFMRSCNEKPNEYILASVVRACTQFGGLNPALQIHGLVVKGGYVQDVYVCTSLIDFYTKHACIDDARLLFDGLQVKTSFTWTTIIAGYSKQGRSQVSLKLFDQMKEGHVCPDKYVLSSVLSACLMLKFLEGGKQIHCYVLRSGIVMDVSMVNGFIDFYFKCHKVQLGRKLFDRMVDKNVVSWTTVIAGCMQNSFHRDALDLFVEMARMGWNPDAFGCTSVLNSCGSLVALEKGRQVHAYAIKVNIDNDDFVKNGLIDMYAKCDSLTDARKVFNLMAAIDLVSYNAMIEGYSRQDKLCEALDLFREMRLSLSSPTLLIFVSLLGVSASLYHLELSNQIHGLIIKYGVSLDEFAGSALIDVYSKCSRVGDARLVFEEIQDKDIVVWTAMFSGYTQQSENEESLKLYKCLQMSRLKPNEFTFAAVITAASNIASLRHGQQFHNQVIKMGFDDDPFVANTLVDMYAKSGSIEEAHKAFISTNWKDTACWNSMIATYAQHGEAEKALQVFEDMIMEGLKPNYVTFVGVLSACSHTGLLDLGFDHFDSMSQFGIEPGIEHYVCMVSLLGRAGKLYEAKEFIEKMPIKQAAVVWRSLLSACRVSGNVELGTYAAEMAISCNPADSGSYVLLSNIFASKGMWVNVRRLREKMDISGVVKEPGCSWIEVNNEIHKFIAKDTAHRDSAPISLVLDNLLLQIKGFGYMANTDALLLDD >RHN50689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9484349:9493344:1 gene:gene35011 transcript:rna35011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-reducing end alpha-L-arabinofuranosidase MAFDPTEAQTAKLVINASRSSGKQIPDTFMGVFFEEINHAGAGGLWAELVNNRGFEAEGEKVPSSLHPWEVIGDKPAIVVSIDQTSVFQRNRNALRMEVHCDRSSSCLPDGVGISNPGFWGMNIEKGKKYKVVFYVRSTEGIDLKVSFVGTNGGKLASSDISGVGVNASTWRRVERVLEATETNHYSSLQITATRKGTVWLDQVSAMPLDTYKGHGFRMNLFQMVAELKPRIFRFPGGCYVEGNVLKNAFQWKQTIGPWENRPGHYGDVWDYWTDDGFGFFEGLQLAEDLNALPIWVFNNGISHSEQVNVSAISPSVQDALDGIEFAIGSPTSRWGSIRASMGHPKPFDLRYVAVGNEDCDIDKLRPFYLENYPIFYDSIKRAYPNIQIITNCDASKHQLPHPADLYDYHQYPQTAEDMFHKAKELDSSPRVGPKAFVSEYALNGGDAGNGTLLAAVAEAGFLIGLEKNSDVVSMVNYAPLFVNANNRSWTPDAIVFDSYQVYGTPSYWLIKLFKESSGATFLNSTLQTNSPTLAASAISWKSPVDGKSVLRIKVANLDKKAVIIEISIEGLESSVSFSKLTKTVLTSSNPMDENSFSEPNKVLIHIVNFLSFFKLVMLSTCLGECLLCEQYMCNDVFCTRRWCRRKA >RHN75950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46418540:46424293:1 gene:gene12214 transcript:rna12214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & lipid binding HD-SAD family MAMAVAQQQRDNSIERHLDSSGKYVRYTAEQIEALEKVYVECPKPSSLRRQQLIRECPVLANIEPKQIKVWFQNRRCREKQRKEASQLQSVNRKLSAMNKLLMEENERLQKQVSQLVNENGFMRQQLHPTPAAPNADGSGVDSAAAAPMNSLRDANSPAGFLSIAEETLTEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQGGNGVAARACGLVSLEPTKIVEILKDRPTWYRDCRSSEVFTMFPAGNGGTIELVYTQTYAPMTLASARDFWTLRYTTNLENGSVVVCERSLSGTGAGPNAAAASQFERAEMLPSGYLIRPCEGGGSIIHIVDHLNLQAWSVPEVLRPIYESSQMVAQRLTIAALRYIRQVAQETSGDVVYSMGRQPAVLRTFSQRLSRGFNDAVNGFNDDGWSVLNCDGAEGVTISVNSIKNLSGTSNPASSLSLLGGIVCAKASMLLQNTTPAVLVRFLREHRSEWADFSVDAFSAASLKAGSYGYPGMRSTKFTGNQAIMPLGHTIEHEEMLEIIRLEGLAQDDSFVSRDVHLLQLCTGIDENAVGACSELIFAPIDDMFPEDAPLVPSGFRIVLLNSQPGDTKNTTTANRTLDLTSGLEVSPATAHANGDASCPNNRCVLTVAFQFPFESGLQDNVAAMARQYVRRVVSAVQAVATAISPSSVNTSGGAKLSPGTPEALTLAQWICQSYSHHLGAQLLRSDSLIGDMLLKHLWHHPDAILCCSLKQVPVFIFANQAGLDMLETTLVALQDITLDKIFDESARKNLIAYFAKLMQQGFACMPAGICMSTMGRHASYDQAVAWKVHAEDNSVHCLAFSFINWSFI >RHN44794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8525131:8525481:-1 gene:gene38956 transcript:rna38956 gene_biotype:protein_coding transcript_biotype:protein_coding MRMFALDAIQLRSITNIGIVMRLKIFGIKSLTRNIGVKLFGLVLYAWLDWNLTCKAHGETPKWVAHGSYILSSRGSSVCRGFVRDRKGFFIKGKIISPFNALVAGLLAILSSFQFC >RHN44020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:998669:1005661:-1 gene:gene38064 transcript:rna38064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SNARE associated golgi family protein MAGWWWKTAVLIAISALLLRSYGGEAIGIGFDKESFMKWMRDLSDKLGVWAIPLYIAIHTISIALCLPSAIFLETAASLLFGWFASVLCVFSAKILAASLSFSIGRLVFRNSTSAMDWARRNKYFKILANGVERDGWKFVLLARFSPVPSYIINYTLAATEVRFFLDFLLPTIVGCIPMILQNTSIGSLAGAAVATASGSKKSQFWLVFRNSTSAMDWARRNKYFKILANGVERDGWKFVLLARFSPVPSYIINYTLAATEVRFFLDFLLPTIVGCIPMILQNTSIGSLAGAAVATASGSKKSQFWSYFFPIVGILSSVLISLRIKKYSSQISVPEISSDKDNTVESKQQK >RHN53315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:899628:900806:1 gene:gene28208 transcript:rna28208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-rRNA-processing protein TSR2 MYIYNIHFLSFLSHSLCDVWTTHIERKRVLLMMDTINRETETLSRIRLQESIILLLSRWYALQMAIKNQWGGCDSLQKSHQLASHLFSWLSKSNAPIRIEDLENLLYESMLLTFNTEIEDGSIEQVYIHAYILCLSHFPFLILPNFMFVKVFNLFEVCEILYMFKIDH >RHN67168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24536763:24538293:1 gene:gene15319 transcript:rna15319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MARTLQFVYYMILCFSVFLFAKNIDALHCNNDNECPPSTWKPFVRCKMNRCIYSRVQPPWAC >RHN71551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:973981:975295:-1 gene:gene7163 transcript:rna7163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSKQECSTAAVDRIGSLPDDTLIHVLSFVPTKKAVATSILSKRWIHLWCYAPVLNFTERKLEGQESVLCFHKFVCSVLHSREAAGNYSINTFILHIEYFFADAPIPKLPISDLTTLVVLKLHRVSSKTFDSISNFPSLKTLHLKDIYFDQLSNVQFFRWMLVDGCPVLEDLQLSNINFFICYTHHSFDDFENSSMLRKLNRADITDCECYFPVKSLSNLEFLHIQLYEVYHPYDFPTFNNLTWLLLNYDWDIVVQVLHHCPKLQNLELYQVRDDDDWVYESELIKKYYQEKENWANPEFVPSCLTSNLTTCTMWDFAYAGQQRNHIMLARFILENARVLETMSMWCYTKGSKVELERVLSSCHRASSACQLSMYCL >RHN63975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55550132:55569141:1 gene:gene26741 transcript:rna26741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative structural maintenance of chromosomes protein MMRGEDDYMPGNILEIELHNFMTFDYLKCKPGPRLNLVIGPNGSGKSSLVCAIALGLCGEPQLLGRATSIPAYVKRGEDSGHIKITLRGDHKEDHITIMRKINTINKSEWVLNGNIVSKKDVAETIQRFNIQVNNLTQFLPQDRVCEFAKLTPVQLLEETEKAVGDPRLPEQHRALIDKSRALKHVELSLVKNEGTLNQLKERNAELEKDVERVRQRDELLTKAESMKKKLPWLKYDMKQAEYREAKEREKAAAKEFEKAAKLLNELKEPIKKQKEEKAALDAKCKKANNRISDNAKKRMELMEKENQLEVELQGKYKEMDELRKQEETRQQKLKKAREDLAAAELELESLNPYEHPRDEIHRLREGILELDDSANQARQNKSQAESEIKQKKFSLTKCKERLKEMNNKSTKCLNALRTSGVERIFDAYKWVQEHRNEFHKEVYGPVLVEVNVSDQSHAGYLEGQVAWYTWKSFITQDPRDRDFLVNNLRNYDAPVLNYTGRDNQREPPPEISANMRALGIHSRLDQIFDAPVAVKEVLISQSNLDHSFIGSKETDQKADEVPKLGITSLWTPENHYHWSKSRYGNHVSAVVEQVQRPRLLTNNSNVRDIEDLSSQERELQEQIASLEESLKKFQDEEKSSVNQAANLRKQMEDIRSEAQNKQKERQAIVRCIEQKKGKLKSMEEQDDLDTELAKLVDQATNCNIQRFHNAIKIKDLLVDAAGHRRSFVEQRMAFIEFDAKIGEAEANLKQHENFAMQTSLHYNNSKKEAEECRQKLTDLLNYAKSIARLTPDLEKEFLEMPTTIEELEAAIQDTTSQANSILFVNSNILEQYEARQRQIEDLAKKLDADKKESTRCLAELDNIKGKWLPTLRNLVAQINETFSQNFQQMAVAGEVSLDEHDMDFDQYGIHIKVKFRENGQLEVLSAHHQSGGERSVSTIVYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASKPNTPQCFLLTPKLLPDLQYSEACSILNVMNGPWIEQPSKVWTAGDRWSIITGHVEETMC >RHN65270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:719968:720414:-1 gene:gene13092 transcript:rna13092 gene_biotype:protein_coding transcript_biotype:protein_coding MIDYSYHISHFFSSLTMAITTQKVCILGLSQDLKDHIEKISVCILGLCMSFFSYDPPTSEIVKEFTIFAASSFVCYILSIILKKTLMLCPTWTSRRAITLICDFLFFFGWCFYALLMLQYVIPLKDLGLSTFTTTILYLLSTVVAAPF >RHN82014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50572393:50573553:1 gene:gene6130 transcript:rna6130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Seed maturation protein MFSEKLLLTIQIQNPENLATEFFIYLSNLRKMSQEQPRRPQAGQDPIKYGDVLPVSGDLSQKPITPEDAAMMQSAESRVLGQTQPGGVASVMQSAATRNEQAGIVGHKDVTDVTGDRGVTVTETQVPGRRIITETVGGQVVGQFVEPTPVQVGLTGAVRESALTIGEALEATAHTVGDKPVEQSDASAIQAAEVRATGSNVITPGGLASMAQSAAAFNAECQREEEKIKMGDVLTGATAKLPADKAATRQDAAGVASAEMRNNPDATATPGGVAASVAAAARLNENVGNVM >RHN79532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29914661:29919479:-1 gene:gene3336 transcript:rna3336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-fructofuranosidase MILKNCVTVFIVVCVICNNGVQAFHRKYPHLQSVSARSVSKLHRTGYHFQPNRNWINGPMYYRGVYHLFYQYNPKGAVWGNIVWGHSVSKDLINWKELQPALYPSKPFDKYGCWSGSATIIPGQGPVILYTGVVDKRSNEVQCIAIPANASDPLLTKWVKPNRLNPIVIADHNMNGSVFRDPTTAWLGKDGHWRILVGSKREDMGLAYLYRSRDFVKWTRAKHPIHSAKTTGMWECPDFYPVSLEGKNGLDASTIGNSVKHVLKNSLDMTRYEYYTVGTYIQNKDKYIPDKTSEDGWGGLRYDYGNFYASKSFFDPSKSRRIIWGWANESDTKEDDVKKGWAGIQAIPRTVWLDPGGRQLRQWPVEELNRLREKEVGINNKKVKKGGYVEVKGITAAQADVEVTFTFSSLDKAETFDPKWVNAEDLCAQKGSKVQGGIGPFGLLTLASKKLEEYTPVFFRIFKASNKHVILMCSDAKSSSLNRELYKPSFGGFVDVDLANNKKLSLRSLIDHSVVESFGAGGKTNILSRVYPTVAVNEKAHLFVFNNGTEQITVEKLKAWSMKTASRN >RHN56291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29549390:29557155:-1 gene:gene31666 transcript:rna31666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rhamnogalacturonan endolyase MSSQAVQLRIKSNHVVMDNGIVQVYLSNPGGFVTRIQYNGIDNLLEALNEKNNRGYWDVVWSEYGSTGTTGTFERIVGTSFNVIMETEEQVEISFKRTWNPSLKGKLSPLNIDKRYVMLRNSSGFYSYAIFEHLKEWPAFNIPQIRIVYKLRKDKFHYMAMADNRQRLMPLPDDRLPGRGKELIPPEAVLLVNPIEPEFLGEVDDKYQYSSENINLKVHGWISAESETIPATGFWVIIPSNEFRSGGLVKQNLTSHVGPISLAMFLSAHYAGEDIVLKLQPNEPWKKVFGPTFVYLNNLLDHDEDPLAQLWEDAKFQMNKEVQSWPYDFPASDDFQKASQRGSVCGTLLVRDRCVSDKDIIAKGAYVGLAPPGDAGSWQRECKGYQFWSKSNEEGYFSINNIRSGDYNLYAWVPGFIGEYWNNVVLKITPGCEINVDDIVFEPPRDGPTLWEIGIPDRSAAEFYVPDPNPKFINKLFKDHPDKFRQYGLWERYAELYPNEDLIYNVGVSDYTKDWFYAQVTRKKNDGSYQGTTWQIKFNMDDVQENGLYKLRLALASANVSELQVRVNDEKQDPPLFTTGVIGKDNAIARHGIHGLYWLFNIGVPSILLIKGDNTIFLTQTMATGPLPLFQGIMYDYIRLESPY >RHN59254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8796026:8800156:1 gene:gene21257 transcript:rna21257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S15 MGRMHSGGKGISSSALPYKRTPASWLKISTQDVDETICKFAKKGLTPSQIGVILRDSHGIAQVKAVTGNKILRILKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >RHN68500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36004487:36005441:1 gene:gene16862 transcript:rna16862 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVRASTIVRSCASTIVLTNHVLKEEIVGTDVDHDRSRRLPNKDDFCL >RHN82212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51994812:51999673:-1 gene:gene6357 transcript:rna6357 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAILRNRSKVSHFMTMQMFTNHSINQIKLHSNKHYSKPYFRLPNNFFTKKTRQCEISPSSFSSSSSSSSSTASIPKVGFVGWYLGMIKSRPILTKSVTSALIYTAADLSSQTIERQSSKSFDFIRTLRMAGYGMIILGPSLHFWFNFVSKLFPGRDIFSTLKKMAMGQGIYGPAMTVIFFSLNAGLQGENSKEIVARLKRDLLPTMLNGVMYWPMCDFITFRFAPVHLQPLVSNSFSYLWTIYMTYMASLEKAETAS >RHN66481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12893331:12903672:-1 gene:gene14480 transcript:rna14480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MATQSPSDSLDVDDYVLDNGPEGLSWDTFHYVYELVQKGNLAFRDNRMEEAINFYSRANNIKSSDPIILGNRSAAYIRISQYLMHRSSSSSEHRPLSGLDPTTLAELGLKDAAKLVELQSSSVKPYLLKANALLLLEKYDVARDVILSGLQVDPFSNSLRECLQRVERVSSSSTGRSTHIQPERNDDFDCTLCLKLLYEPVTTPCGHSFCRSCLFQSMDRGNRCPLCRTVLLISPRTCSISVTLKSIIQKNFSEEYAERKQENDSLVNIGVDMLPLFVMDVVLPCQRFPLNIFEPRYRLMVRRIMEGNHRMGMVIIDASTGSLAEFGCEVEITECEPLPDGRFYIEIEGRRRFRNLRSWDQDGYRVAEVEWIQDIMPPEGTKEREDLQELTQNAAESARTWIGRAKEAARQDQRRLEKLVNVEGMIPSLRDPERFSFWLATLSNRRPSERLDVLRIRDTTERIRRGLIFLRAEEQGCRIQ >RHN40972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25200192:25201325:1 gene:gene47229 transcript:rna47229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MALIYHKVSNHIPNDLVFSILSKLPLKSMKRFKCVHKSWALSIETPDFKSEFLKNFISKYDSSYDDECLLLKQTIPGNDDYRCAVYILSGERFETKVKLELPLPFQYDDTCIDISGSSINGTICLFQGIDHKTFVLWNPTTREFKVIPPSNVGSLYYIKGLTTIQGFGYDSVKDDYKVIQHVKLLPFNSRVVMPKIYKNLWEIYSLKSKSWRKLDVDMPCRDTNIDDVYMNGMCHWWGIRNNGEYLVSFDLSDEVFLTTQVPLDMYVFSKYVERHLTVLNMFISLLTYHEYRACFEIFVLGELGLMESWTKLFVVRPFHGVEHPIGVGKKGHIFFQKENDELAYFDLCTGILEDIGIQGELFNCQIVIYKENIIRLP >RHN58233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:122733:130657:1 gene:gene20120 transcript:rna20120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:bas1 MWKLKIGEGKNEPYLFSTNNFVGRQTWEYDPEAGSEEERAQVEEARKNFYDNRFKVKPCGDLLWRFQVLRENNFMQTIDGVKIEDGEEITYEKATTTLRRGTHHLAALQTSDGHWPAQIAGPLFFMPPLVFCVYITGHLDSVFPREHRKEILRYIYCHQNEDGGWGLHIEGHSTMFCTALNYICMRILGEGPDGGQDNACARARNWIRAHGGVTYIPSWGKTWLSILGLFDWLGSNPMPPEFWILPSFLPMHPAKMWCYCRLVYMPMSYLYGKRFVGPITPLILQLREELHTQPYEKINWTKSRHLCAKEDIYYPHPLIQDLIWDSLYIFTEPLLTRWPFNKLVRKRALEVTMKHIHYEDENSRYLTIGCVEKVLCMLACWVEDPNGDAYKKHLARVQDYLWMSEDGMTMQSFGSQEWDAGFAVQALLAANLNDEIEPALAKGHDFIKKSQVTENPSGDFKSMHRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLLLSMLPPEIVGEKMEPERLYDSVNVLLSLQSKKGGLAAWEPAGAQEWLELLNPTEFFADIVVEHEYVECTGSAIQALVLFKKLYPGHRKKEIENFISEAVRFIEDIQTADGSWYGNWGVCFTYGSWFALGGLAAAGKTYTNCAAIRKAVKFLLTTQREDGGWGESYLSSPKKIYVPLEGSRSNVVHTAWALMGLIHAGQAERDPTPLHRAAKLLINSQLEEGDWPQQEITGVFMKNCMLHYPMYRDIYPLWALAEYRRRVPLPSTAV >RHN54814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12588055:12589554:-1 gene:gene29902 transcript:rna29902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MVDRDRQKNISEMEGVEVDRPLKIHFIPYLASGHMIPLCDIATLFASHGQQVTIITTPSNVETLTKSLPSILTLHTVDFPSEQVDLPKGIESMSSTTDPITSWKIHNGAMLLHGPIDDFVVNNPPDCIIADSSYSWGNDLARKLQVPNFTFNGSSLFAVSLMESLRKNNLLHTNSDSDSDSSSYVVPNFPHRITMCSKPSKVLSKFIGLMLDTVFKSTGYIINNFVELDGEECVQHYEKTTGHKAWHLGPTSFIQKNIQEKAGRGNEGAASEHESLSWLNSQQVNSVVYICFGSINHFFDKQLYEIACAVEGMGHPFIWVVPEKRGKEDETEEEKEKWMPKGFEERNIGKKGLIIRGWAPQVKILSHPAVGGFMTHCGGNSIVEAVGAGVPMITWPCHGDHLFNEKLITQVRGIGVEVGATEWCTNGNGERKKLVGRDGIEKAMRRLMDGGYEAENMRLRAREIGEKARRAVQEGGSSHNNLLSLIDEIKRFRDCKPHD >RHN77050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3554907:3561221:1 gene:gene459 transcript:rna459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MLVIAGVSWDLNRFLQFIFTALVIAIGLHTLVKNTASKYFEVDANFEGDHHPSSPTSPMPGVLNSDEPVCAVCASHATKKCSRCKGVRYCTTKCQQSHWNSGHKEKCKSNSGANVFNSASNGATNGGFKASAAGGKGSNLIALVPGGYSTSRPIKKPKDVLFPYHEFVKLFNWDNPGFPPCGLLNCGNSCFANVVLQCLSFTRPLVAYLLEKGHRNECTCNDWCFLCEFESHVERARLSSQAFSPMNILSRLPNIGGTLGYGRQEDAHEFMRFSIDTMQSVCLDEFGGEKAVPSNLQETTIIQHIFGGRLQSEVICTKCDKTSNQYESMMDLTVEIHGDAASLEECLDQFTVKEWLDGENMYKCEGCQDYVKAWKRLTVKCAPNILTIALKRFQSGRFGKLNKRVAFPETLNLSPYMSEAGDGSDIYNLYAVVVHIDMLNASFFGHYICYIKDFRGNWYRIDDSKVVCVELEEVLSQGAYMLLYRRCTARPSSLQIQTTESSGKVEERTVEVEPGKTEQAECLSNVKALVCNRDCEVSPSDISPESKVSSGDEYESSIELNSEAKREQSEDTLSNVKVLACSRDCEISPSDISQELKVSSGYEYESSVQLNSEAKREQSADTLSNVKALACRRGCEVLPSDISPELKVSSGYDYESSVEVNSEAKREQSEDTNMIDIESTDNGNDISYSAVDESSYLPISHVVENLMDVDMGRPIEETSGCAQDQDDTGVAGSCPSPGLPNDFSFLDKHSSVSIDYRNVEEDLEDTDAVKCKLLTANNDAYYGNGYVSANLSATPHEDTGTLFSSGASFPTEKDRGNGIKKVEISADKLIM >RHN59984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15994533:15994829:-1 gene:gene22161 transcript:rna22161 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSTSDRVCSEYENHVFPMYEVVFKDMSFRLPFSEFQREMLGWTKLSPSQIHPNSYAFMRAFELLCDYLHLPASKNVFFFLLYYTKRYGLGFFPTNP >RHN70916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55026666:55042150:-1 gene:gene19550 transcript:rna19550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tyrosine-protein kinase ephrin type A/B receptor MARFRFQSLCFFAIAVVLFARDCVCDELEEHEEFSVTDLDWNLFHQDYSPPAPPPPPPHPPSVSCVDDLGGVGSLDTTCQIANDANLTRDVYIAGKGNFNILPGVRFHCEIPGCIITVNVTGNFSLGNNSSILTGAFVLEAANAGFGNFSVVNTTAMAGSPPPQTSGTPQGVDGGGGGHGGRGASCLEDTAKLPEDVWGGDAYSWATLQRPESFGSGGGSTSKESDYGGLGGGIVNMVVHKVLEMNASLLAEGGDGGTKGGGGSGGSIYIKGYRMTGSGMISACGGNGFAGGGGGRVSVDVFSRHDEPKIYVHGGSSLACPENAGAAGTLYDAVPRSLIVDNFNMTTDTETLLLDFPYQPLWTNVYVRNKARATVPLLWSRVQVQGQISILQGGVLSFGLPHYATSEFELLAEELLMSDSVMKVYGALRMTVKMFLMWNSKMLIDGGEDISVATSLLEASNLIVLRGSSVIHSNANLGVHGQGLLNLSGPGDWIEAQRLVLSLFYSIHVGPGSVLRGPLENATTDDVTPKLYCDKKDCPYELLHPPEDCNVNSSLSFTLQICRVEDVLVEGLIKGSVVHFHRARTISIESSGTISASGMGCTGGMGRGNILTNGICSGGGHGGKGGKACSSDDCCVEGGISYGTPDLPCELGSGSGNGSSTGTTAGGGIIVIGSLEHPLSSLSIKGSVNADGENFDPTIRMEKFAIFDNFTGGPGGGSGGTILLFLHSLAIEESAILSSIGGYSGISGGGGGGGGRIHFHWSGIPTGDVYQPIATVKGDIQSGGGKGKGIGGSGANGTISGKACPKGLYGTFCEECPPGTYKNVTGSDRSLCQVCPVHKLPRRAVYISVRGGITETPCPYQCISDRYHMPDCYTALEELIYTFGGPWLFGLLLTGLLILLALVLSVARMKFVGVDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRVEESQSHVHRMYFIGPNTFSEPWQLPHTPSEQIHDIVYESAFNTFVDEINAIAAYQWWEGAIYSALSFIGYPLACSWQHCRRKLKLQRLREFVRSEYDHACLRSCRSRALYEGIKVNATSDLMLAYVDFFLGGDEKRSDLPPRLHERFPMTLLFGGDGSYMAPFILHNDNILTSLMSQSVQPTTWYRLVAGLNAQLRLVRRGRLRVTLRPVIRWLETHANPALSVHGVRVDLAWFEATSIGYGHYGLVVYALEGGGYRGTIDGALRSEEISRVQTVKKEHPLGLASGAHLSPHGRTEENCMRRKMHGVALDVNNLQMLDEKRDIFYLLSFILQSTKPVGHQDLVGLVISMLLLGDFSLVLLTLLQLYSISLVDVFLVLFILPLGILLPFPVGINALFSHGPRRSAGLARLYALWNLTSFINVAVAFLCGYIHYNSQSSSSKRHPSIQPWNIMDENEWWIFPAGLVLCKILQSQLINWHVANLEIQDRSLYSNDFELFWQS >RHN67728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29778889:29779780:-1 gene:gene15948 transcript:rna15948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MCARVGIVSIPYALASGGWLSILLLFTIAIACCYTGTLVKKCMDMDPNIKTFADIGQHAFGSKGRLIVSIIMNVELYLAVTGFLILEGDNLNKLVPNSEIHIANITIGGTTMFTMVAGLVILPTVLLEDLSLLSYVSAGGALAALIFIFSLLWNGAIDGIGFHGKGRVFVNWSGIPSAVSLYAFCYGAHPILPTLYNSMRNKSHFSTVSKH >RHN42243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36641666:36647736:-1 gene:gene48659 transcript:rna48659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ternary complex factor MIP1, leucine-zipper MNARARSTLHSIKEKMEGGGSKGMKTGRPSNREKKIALLQDVDKLKRKLRHEENVHRALERAFTRPLGSLPRLPPYLPPYTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYISSKRNAENLNDPIDQNHMRSNSKHQRSKSYSQSEFNSMTTRLPPQNSLARSASSRKLLFSPDTVTNHGGSNGKQLHRKQDSFSSIQEEGRGKENLLFSNFLKDKQSPVKKISKLITPLKKSPLKHESAHKSMDQLKLQLEWRLSEHERAHSSSNSPTDNKVSEVHSTPNRVSEDLVKCLSNIFVRIGTSKEKFVESKTPSTSGSYFSQCSKEKDQFWDPYHICSESKTREVGPYKNLCEIKGSNVDLTRTTNAMFLIHRLKYLLGKLSSLNLKGLNHQEKLAFWINTYNSSILNAYLEHGIPESPEMVVALMQKATIVVGGQLLNAITIEHFILRLPYHLKFTCPKAAKNDEVKARSIFGLEWSEPLVTFALSCGSWSSPAVRVYTASQVDNELEAAKRDYLQASIGITKTNKILIPKLLDWYLLDFAKDLDSLLDWICLQLPDEIRNQAVKCLEGRERESLSKLVQMKPYDFSFRLLLHQ >RHN67870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31074975:31075861:-1 gene:gene16115 transcript:rna16115 gene_biotype:protein_coding transcript_biotype:protein_coding MNLELSDFNERIRLLRRIRSRGREDYLIGNQEAIQSSILLILMVILHLLQNASHSIL >RHN72775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10614932:10615970:-1 gene:gene8529 transcript:rna8529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MARFSLPRLLYYHYTVSHSSSSSLLSVSSTFHLRHFSAACIKHSKEQQLRNIWISGLVDPAKSPVSERYMLYTGQIEKMEEARNKIQRRDARLWWDIHEEQWLNFSYRRSSTRKSSYPTQICDSFPADVTFFNWKHHKMSVIDTPDCVDFTSEVDNALRAFDAAVFVLSSVGGMNSLSISVDKQMIKYQLPRLVYINNLDNKGADPWDVLNQVNFRLMDLRSSYFHMLLIPYKIL >RHN44315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3472642:3474067:-1 gene:gene38418 transcript:rna38418 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSNSVGSIWSLSLPLLNNNTREREENVHAGPTKNNVGSKCCKDLLYVFLLQ >RHN65841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5731340:5737419:-1 gene:gene13733 transcript:rna13733 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEFETIEGRITSMLSQLQCECGILERLVYKNKNQHRRCSYFQHLMKVRRDLRLLQLTNLEELVRSCFSVIKEDRPKQKIHLLESLKRRKCNDEKRNFLDRLLGSARLLEEMVEPMLKAATEISVLFARSFFMGLSVTIMALLARLRVLVQQILLDIVDLFNMVSSLSKKKQSIKITHEGIEVFREFYPASDDVEYVTLECVWKSDKFILHERKHKKENESQGEDSGGNLSVQASGVNYDTIESILGDDQRDSETGEADAAAKEDPPRVKDTNTDLLTIPSQLDDFMETVFGSEEGGENLSTTKASFRESSPEGDLHALSQSSTSSKLHSGSKKVAFLSIKNPTLVPQSVQSSISVLTSNAKPKSFHFMGNESDQTKDEKEDSLASILTNVKAKDSLF >RHN81663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47906140:47917227:-1 gene:gene5735 transcript:rna5735 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPYPSPNLHVIKYAHNIKEVRFCSLCLTRYIQSIFLKHRS >RHN77654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8460061:8460989:1 gene:gene1128 transcript:rna1128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LIM family MLDAILMALFLPCIGMGVILLVYMCLLWYATTHPSTITIILKPVINTGLSPSDLQKLPTITGKDLSAGPECAVCLDDITQEQSARVIPGCNHAFHLECADTWLSKQPICPVCRAKLDPTLFIPSSHDHQNPC >RHN62746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45612136:45612711:-1 gene:gene25351 transcript:rna25351 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIGPYTEEISLAARMQHLEFRENHVKWLEDGNLHSAVFSPVDAQLKETGDYKIKDSTKKYRGKYV >RHN74463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33626860:33629864:-1 gene:gene10540 transcript:rna10540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MGCLLHTKLTKQNMGGIIKIVYAFVIFISLILIVTSNVHSLLPCGTDDDCANDPCIHPEYPHCHMEQCHCV >RHN49240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53502998:53503254:1 gene:gene44051 transcript:rna44051 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKIGTVACGSQHVLAVVWMKSLLFNSTRVLYLWLKMIFWVCFKISLWMLKMILWACVEDEDL >RHN81931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49890589:49890949:-1 gene:gene6029 transcript:rna6029 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVGVVGFQVVAGMVDFQVIDFPTVVVEVVAATGVGTNGEAYVLFNMGT >RHN53326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:988163:989531:-1 gene:gene28222 transcript:rna28222 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNAAKNVRLMLAQLGGAGKRSFATSTTPKLKPMSTTIDATHDAHSTSRLSTLKAELAPVYIVCGMVGVALTIATHTAYQQLARSPNVHVSKKRRESFPEAYDPDRTINSADKFINGSFLRKMAHVQDTKPTVHDPVHPNPFTRPRTAETLKSVGVHPGRN >RHN60967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31956371:31959196:1 gene:gene23373 transcript:rna23373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-VIIa-2 family MGINIVSAMGVCLGNQIKAEGPINSVSGLSSKSVNDDTENVGSPCCKVSDELISSSNAGVILQSSNLKNFALTEIQAATRNFRVDSVLGDGDFGSVFKGWIDERSSSAAKPGTGITVAVKRLNQDGLKGHNELLADVNYLGQLSHPHLVKLIGYCLEEENKILVYEFMPRGTLENHLFIRGSYFQPLSWSLRLKVAVGAAKGLAFLHGAQTKAMYRDFKTSNVLLDSNYNAKLSNFGLAKDLSTVDKSHVTTKLTYGYAAPEYLATGNHTAKSVVYSFGVVLLEMLSGRRVVDKNRPQRQHNLVEWAKPYLSNKRKILRVLDSRLEGQYELEDIYKVATLSLRCLSVEAKLRPNMDEVVTNLEQLQVPHVNESNKNRLRRRSAGDVTHVRTAASAFPQRSSSMLCT >RHN73400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15983565:15986932:-1 gene:gene9204 transcript:rna9204 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTRPQLAMASRRRAIPIANRDYTPPTRNGEWKASPLAMVNDFTREAS >RHN53531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2330139:2330495:1 gene:gene28447 transcript:rna28447 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDGGDPFKGVDWKAVGGEMQQNPNVKPTIAKRLPKKVRNIPDHYFLPRYPLSHTLLFCGACIAAGVGAGMLVESWIDKKVKSVFGWGNVLRECNILREFNYFKGEFYCLNSPQSS >RHN58422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1603904:1604923:-1 gene:gene20332 transcript:rna20332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MDPNSKILCMHCGISEKCTPMMRRGPEGPRTLCNACGLMDLSRAATLPAQTSPLNKNEVENHAFIKFILMFLFPT >RHN72579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8850061:8854631:1 gene:gene8306 transcript:rna8306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SEP domain-containing protein MASRDNKKASSSRAGRIRTLSDLNRPSADSDSDSDGPQEYYTGGEKSGMLVQDPSKGNDVDAIFNQARQLGAVERPLDQLQEPPRSTSFTGTGRLLSGDTVPTASNSQQPESVVHNIVFWSNGFTVNDGPLRRLDDPANASFLESIKKSECPKELEPADRRSAVNVNLIRRNENYREPERSQASFQGVGRTLGSSSASMEPETNVASTTPPTSAPTPSAGLVVDQSLPSTSIQLRLADGTRLISQFNHHHTIGDIRAFIDASRPGGRQNYQLQMMGFPPKVLADETQTIEQAGLANSVVIQKF >RHN51569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20091995:20093336:-1 gene:gene36032 transcript:rna36032 gene_biotype:protein_coding transcript_biotype:protein_coding MKISPEFLSSIIFTKDRMNFDNPNHRISFRLMNIDYEMSLQHFCDEMSFANTGFIHDSWDQSLKPVDYQPATFWEHITNLRQFNTRSNKASHIHNPVLRYLQRVMTCTIWGRTELRNTRTDELFMSWAMLNNHLVNTCFYLLDYLSHEGNRFDSTGEIVVGGIITYIARQLGVGEDQGITKIEGNNRLNIKTLIFMNFIKPHPPMSYALKLNVPILIILSNPSRTNTEVEENLFMLLMIHRYMKNTIMKARKMHIFTKKRKVHNCTMMRSTMTMMPDKQMKMQDGHGCIPRTRG >RHN65332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1260838:1262288:1 gene:gene13158 transcript:rna13158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exostosin MPMLRKYLVQFWFMFLIASSIFCVSLLSLANYYLASNNSGMAFLLPNMNNAKQEDEVFKKLPQKIVDPCLSQYIYIYDLPARFNVDLLKGCHSLQKWENMCVFLSNLGVGLEIIEKSKKEVLSKNSWYATNQYSLEVIFHNIMKHYKCLTNDSSLASAAYVPFYAGLDAAQQSQWKRLHGKDHFMVGGRIGCDFWREGDLDHNWGTKLMFLPEVSNMSFLLIESCKCLYDNEFPIPYPTYFHATNDDEIFKWQRKMRNKKRDYLFTFVGAPRPDSPSSIRNQLIEHCESSKSCKRVGCYHGSSKKKSCRDPVQVMDNFQNSVFCLQPPGDSFTRRSIFDSILAGCIPVFLHPLSAYKQYLWHFPKNGSGYSLFIPEIDVKEGKVMINETFFNVSKSEVLAMREEVIRLIPRIVYRYPGSRLETIEDAFDIAVKGVLGRIEAMRRQITNVNDSYHAKVVAL >RHN72118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5124634:5125002:1 gene:gene7791 transcript:rna7791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MFNNTSLQYLYLGYNNMTGVLPSNVCQWLPNLRLLYLFHNDFSGEIPNVWRDCKELEDLQLSGNNFDKGRIPADIGKLIKLQVLYLAENNFEGKIFVSIYIYIYIYIYIYIYIYIYINCLFF >RHN76443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50282343:50286642:-1 gene:gene12769 transcript:rna12769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MRNNVTLKAGEVPSRLTRARAVALSTTGQLPPMKEVAPGTQNQKQPLRANSKRAVSDVTYLPHKKRAILQDVTNNCGENTNMSCLNPTEIQAKKRKVAKPAQSNVSNEVPSAAELPPSIADSKPVSSLEMRLRSSEDFRCLDDLEDSASFRMSANQCGTDNNLIQSQMSRISAWPSSSQKKASQTVAAKKGNISELLDVSKHPDVADIDADFEDPQLCSHYAADIYDHLRVAELSRRPYPNFMETVQQDITPSMRAILVDWLVEVSEGYKLQANTLYLTVYLIDWFLSKNCIERERLQLLGITCMLIASKYEEVNAPRIEDFCFITDNTYTKEEVVKLESLVLKSSSYQLFAPTTKTFLRRFLRAAQASYKRPSIELEYLANYLAELTLMNYGFLNFLPSMIAASAVFLARWTLDQSSHPWNPTLEHYASYKASDLKATVLALQDLQLNSNDDCPLPAAIRKKYIQDKLNCVATLSSPKLLETMF >RHN42712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40401262:40404210:1 gene:gene49195 transcript:rna49195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MQEEELVDGKVDWKGRRAVRHKHGGMKVSLVILGAFALENMATFALAVNFVSYFVGFMHYELADAANMVTNFMGVCYMLSIVVAVFADTWIGRHKSVLISGCFEFLGLALLTVQAHYPNLKPAICNLYDKNAVCEKISGNHEAFLLIGLYLLAFGSAGLKASLPAHGADQFDEKDPKETRQMSSFFNGLLLAICVGGSVSLTFNVWIQDNKGWDLGLGISTIAIVFAMITFAFGLPLYRIHVAQRTNPIVEILQVYYIKLARVQTATNMVVYIAAIRNRNLSLPADSGELYEIEQDKEALMEIEYLPHRDIYRFLDKAAIERKSDVQLEKQENPSPWKLCRVTQVENAKIFLSMIPVFFCTIIMTLCLAQLQTFSVQQGFTMDTRITKHFNIPPASLPIIPVVFLIFIIPFYDRICVPLLRKFTGIPTGITHLQRIGVGLILSCISMAIAAIIEVKRKNVARDNNMLDAVPGVQPLPLSIFWLSFQYFVFGIADMFTYVGLLEFFYSEAPKGLKSTSTCFLWSSMALGYFLSSIMVQIVNSATKNVTASGGWLAGNNINRNHLNLFYLLLSLLSLINFFVYLVVSKRYKYRPQGHAIKGVDSQ >RHN78826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18780062:18780406:1 gene:gene2482 transcript:rna2482 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYFAVVIMVPPISERGRRNNKEDMISELSDCIIHSYFNLSGYQNCCSNLRFTKEMERYWKQIPSLTFTSTEFSTSDKLSIFISSLFSIIIGFGIRTGEGNGLINSIINHTYQ >RHN80225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36281445:36283689:-1 gene:gene4125 transcript:rna4125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin-dependent kinase inhibitor MGKYMKKLKSKSESPSPNSTPITNSPPTPITTNSPPPATTPNSSDGVITRARTLAFENSNNQNQNLSVSSDSYLQLRNRRLKRPLIRQHSAKRNKGNDGSPKSPIGNSTAEEKTVQKSPEPENAEFGVNAEDAERSARETTPVHLIMRSDVLRPPRSITKRTFSTEANPRTEQPTIPISPEFEEYFAKHEAEQQREFMEKYNFDPVTEQPLPGRFEWEKVSP >RHN65622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3840248:3853129:-1 gene:gene13485 transcript:rna13485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative THO complex, subunit THOC1 protein MEVFKRAILQPGPPESFALQTVQEVIQPQRQTKLAQDENQSLENILRLLLQEFVSAAVQCGEEVMQYGQSIDANETTQGHIPRLLDIVLYLCEKEHIEGGMIFQLLEDLTEMSTMKNCKDIFGYIESKQDILGKQELFARGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSALNIKGVFNTSNETKYEKEPVEGICIDFNFYETFWGLQEYFSNPAPIIHSTFKWKKFTSSLLVVLNTFEAQPLSDEEGDANNLEEEAVNFSIKYLTSSKLMGLELKDPSFRRHVLVQCLILFNYLKAPGKGDKDLPSETMKDEITSCEERVKKLIELTPPKGKEFLHKIEHILEREKNWVWWKRDGCPPYEKQATEKKAVPDGSKKRKPRWRLGNKELSQLWKWADQNPNALTDPQRVQTPSILEYWKPLAEDMDPSAGIEAEYHHKNNRVYCWKGLRLSARQDLEGFSKFTEYGIEGVVPLELLPSDVQSKYQAKPNDRSKRGKKEEAKKAANQVEENQTATPSIEIDGGEGIRADSTATPMEFDAAALPGGTSTSDELQKNGSDTDIGQEAGQLEADAEVEDGIIDETDADADADADLDAVG >RHN75935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46270766:46272090:-1 gene:gene12197 transcript:rna12197 gene_biotype:protein_coding transcript_biotype:protein_coding MANAKSSFLSFILLTLSLSLHVTADSPPSPSPAPSLSPSPTDTPSPYYPPASSPPVSSPPAPSPLNPSPIPAPVPSPEDSTSLNHIDVDEKTEDSSTEGGMSGSKKAGIAIGIIVAASVLMLAGMVYKKRQQNLRRNQYNFGVRRDIIL >RHN43712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47886671:47896445:-1 gene:gene50330 transcript:rna50330 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPSGSERDTTNSPISVVSAFWKDFDLEKEKSVLDEQGLRIAENQENSQKNRRKLAESTRNFKKASPEDKSSLFNALLKGYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASVAEQDLKLSELESENRKMKVELEEFRTEATHLKNQQATIRRLEERSRQLEQQMEEKVKEIVEIKHRNLAEENQKTLEILKEREQLLQDQLQSAKESVSNMKKLHELAQNQLFELRAQSEEERAAKQAEANLLMDEVERAQTMLLGLEREKGVLRSQLQTANEDSETKKSDNLDSNNALENSLIAKEKLISELNMELHNIETTLSNEREEHINDVKKFTAMLNEKEASIVAMKKELQTRPTEKIVDDLRKKVKILQAVGYNSIEAEDWEVATSGEEMSKMESLLLDKNRKMEHELTQLKVKLSEKTSSLETAEQKVAELSAKVNEQQKLIQKLEDDISKGYNSSSKDHKGTFLDDWDLSEANRSEVSEHQNMDQRHALDQDQSSMLKVICSQRDRFRTRLRETEEEIRQLKEKIGVLTAELEKTKADNVKLYGKIRYVQDYNVEKVVSRGSKKYAEDLESGFTSDVESKYKKIYEEDINPFAAFSKKERDQRYKELGFRDRITLSSGRFLLGNKYARTFAFFYTIGLHVLVFTCLYRMSALSYLSHGSDETLAGERTIDLPRGL >RHN63097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48402141:48402686:1 gene:gene25756 transcript:rna25756 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPLVMLRDPSRNEFEVMIEKKNGKVYFTDGWATMKDFYIITACSWMTVIYANRNLFLFRGVTRKEREFLYPRFSPPKRFLLKHMSPSSAGNTTIPNALAFLFFQRNFTTPFRNS >RHN43050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42830499:42831054:-1 gene:gene49571 transcript:rna49571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonucleoside-diphosphate reductase MDDTENEDDGTKMAQMVCSLTNRDECLACGSLNYSLVDLHLLA >RHN72070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4761982:4763996:1 gene:gene7741 transcript:rna7741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zingipain MRSAAVPFNLNDDVPTNFDWRQQGAVTDVKDQGSCGCCWAFSVVAAVEGAVKINTGELISLSEQQLVDCDERNSGCHGGNMDSAFKYIIQKGIVSEADYPYQEGSQTCQLNDQMKFEAQITNFIDVPANDEQQLLQAVAQQPVSVGIEVGDEFQHYMGDVYSGTCGQSMNHAVTAVGYGVSEDGTKYWLIKNSWGKGWGEEGYMKLLRESGEPGGQCGIAAHASYPII >RHN76521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50924673:50925011:-1 gene:gene12861 transcript:rna12861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MRIQLLVLFVVVLMASAIARMETSAGGWSPIKDINDPHVIVIANFAVTEYNKHTGANLKLDKLIKGESQVTSGIYYDLILSAGDGSHSNIYKALVWEKTWQHNLISFVPANN >RHN70124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48931594:48933909:-1 gene:gene18676 transcript:rna18676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdenum cofactor sulfurtransferase MGTNSQEHEISEAGSEVTYPEIVENEVKDVKKGATHKIIAESCKSNSMVLKKGHAMIPAHIIAEAISTIREFDIRWSGPITPKEMEYVEQYVLAKYPEYSRLIEGDGNGIDMSTFIINEEPLDEKGKSPRGTPSPRDSSSYTFGSSLPESDRAKIQLEQSRLLDILNKKSSFTGSFISIPEIQAQNKVLKHYGLTDDEYLVLFTPSYKDAMMLVGESYPFIKGNYYMTILDQEEDFIKEFACFKESKVIPAPKTWLDLRIKGSQLSQNFRRRCKISPKGLFSYPADASGTMHWISEAHRNNWHVLLDASAYVVGKDRLHLALHRPDFVICSLDNNTHSSNTNSNPSRITCLLVRKESFDTSAASSQVVE >RHN70565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52323797:52328238:1 gene:gene19158 transcript:rna19158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase D MRCSVIICLLTLLSLNNLCESTTSSCKAWLVQSIPTDMPHLSHFPGVLSTGDVLRWMAENSTRRLDVIAQYWQLLASSDDPRSGDYGYTQDQMHKFGAHQGAAVYQALDAAADRNVNIRLLSHSGVYPTFTLEPSKLAYGRSNVKNVTLLLKDWWGSGIVHAKVWISDNRDVYIGSANNDWKSLTQVKEVGIYLSGCPVIAKKVEVYFNNLWTLASLNPSAYTKTVMDQQWQVERKVPCWSHFIKPRERCKSPLPRYVETPHVDGYPILYDPYMPEISIRTPGSNYSTELPQVSYLSFAPPELSFGSYQSDEQAWIDTIKSVGTKDTVRINTMDWLGQSQFTDQTIFWSSLSSAISEVVFSKHATVKILVAYWAHFINSTDEYLKYLLYTNNLCSSSKYNKCSGKVEIKYYVVPGFNNTGPAIHNGSSTGNIYPDFTRVNHGKYAVSNVRAHIGTSNLVWDYFYVTAGVSFGTYNTAIVSQLREIFDADWNSPYAVPIQEFERVYISSFK >RHN78634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16939217:16940014:-1 gene:gene2263 transcript:rna2263 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSFMPIIILALIFTVIATTNGCLTYIKGDNCIIAVFQKLCYDKFDGVGFCQPRGGFIPKFICACPP >RHN69998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47864361:47870032:1 gene:gene18534 transcript:rna18534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MKLAPYFNSRYKFHSVIYFFLQSPPSLVISKLVLYYFYYPVIYITSCSLLVFLSYFPNSNNFPWIMSLFKRKCVVYTPANDVDLSPYSTEFYLQANVKAPRMTGILVKIFTYLLELPIIGTILLYILLKKNLIHELITNAELEESPLYVPLHDFEDIEEKDVKFIDPSSSPPEKVQHAIDCLPISVGKKPNGTNPFCRWTIMDYSKAYRSGDITPRLVAESFVAAIDESIKPPLQMGFFIHYNVDDILRQATESTLRYQRGEPISVLDGVPVAIKDEIDCLPYPTTGTKWLHKERPCKDDACCVKRLRQCGTILVGKSNMHELGSGTSGINPHYGPTRNPYDCKKIAGGSSGGSASLVSAGLCPVALGVDGGGSVRMPAALCGVVGLKPTFARIPHDGVLPINWTVGMVGILAGTVEDAMIVYAALSGEIPSHHPSSVLTKINIPRLSSTKSISHIRLAKYGKWFDDCSNDVKVCCSLALHKLQDHYNWKIIDVTVPEIEVMRLAHYITIGSECSTALDSYKEKNFAELGWDVRVAQSIYGAFSGIEYVKAQRIRNRQLQFHKKIFSEADVIVSPTTGVTAYPIQDDALKTGELDYVNGAALVRYSIAGNFLGLPAVTVPVGYDKFGLPIGLQFIGRPWSEATLIHLAFAMQAICMPDYRKPELYYDLLRR >RHN47118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37261203:37266121:-1 gene:gene41675 transcript:rna41675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MDRVSGLILIVFLLFVDASNANLVFPVQRKFNGPHRSLDAIKAHDDRRRGRFLAAIDVPLGGNGLPSSTGLYYTKVGLGSPAKEFYVQVDTGSDILWVNCAGCTACPKKSGLGMDLTLYDPNGSKTSNAVPCGDGFCTDTYSGPISGCKQDMSCPYSITYGDGSTTSGSFVNDSLTFDEVSGNLHTKPDNSSVIFGCGAKQSGSLSSNSDEALDGIIGFGQANSSVLSQLAASGKVKRIFSHCLDSHHGGGIFSIGQVMEPKFNTTPLVPRMAHYNVILKDMDVDGEPILLPLDLFDSGSGRGTIIDSGTTLAYLPLSIYNQLLPKVLGRQPGLKLMIVEDQFTCFHYSDKLDEGFPVVKFHFEGLSLTVHPHDYLFLYKEDIYCIGWQKSSTQTKEGRDLILIGDLVLSNKLVVYDLENMVIGWTNFNCSSSIKVKDEKSGSVYTVGAHDLSSASTVLIGRILTFFLLLIAMLST >RHN78466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15399348:15401730:1 gene:gene2034 transcript:rna2034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MNRLTDLEVLHLSDNNFVGHLPHNICNGGKLKMFTVALNQFTGLVPESLKNCSSLTRVRLQQNQLTGNITDSFGVYPNLEYMDLSDNNFYGHLSPNWGKCKNLTSLKISNNNLTGSIPPELGRATNLQELNLSSNHLMRKIPKELENLSLLIKLSLSNNHLYGEVPVQIASLHQLTALELATNNLSGFIPEKLGMLSMLLQLNLSQNKFEGNIPVEFGQLNVIENLDLSGNSMNGTIPAMLGQLNHLETLNLSHNNLSGTIPLSFVDMLSLTTVDISYNQLEGPTPNITAFERAPIEALRNNKGLCGNVSGLEPCSTSGGTFHSHNTNKILVLVLSLTLGPLLLALIVYGISYLFCRTSSTKEYKPAQELKIENLFEIWSFDGKMVYENIIEATEDFDNKHLIGVGGHGNVYKAELPTGQVVAVKKLHSLQNEEMPNRKAFTNEIHALTEIRHRNIVRLYGFCSHRLHSFLVYEFLAKGSMDNILKDNEQAGEFDWNKRVNIIKDVANALCYLHHDCSPPIVHRDISSKNVILDLEYVAHVSDFGTSKFLNPNSSNMTSFAGTFGYAAPELAYTMEVNEKCDVFSFGILTLEMLFGKHPGDIVTYLWQQPSQSVTDLRLDTMPLIDKLDQRLPHPTKTIVQEVASMIRIAVACLTESPHSRPTMEQVCRQFLMS >RHN48148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45414168:45417253:1 gene:gene42835 transcript:rna42835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylic ester hydrolase MAASISKILIAFPKSESTFFFGFNSNHLKFQTTNPQTLTTKKLTTKCTSSQINTITQLQSQNIKQSSKLTELPIEKAWRKIHGEDDWVGLLDPMDPIMRSELIRYGEKSQACYDAFDFDPYSKYCGSCKHPHLEFFPSLDLPHIGYDVTRYLYATANVNVPDFFKKSRWPDKYWSEHANWMGYIAVSNDEATKQIGRRDIVIAWRGTVTHVEWVANLQNYLKPLYKDIPCPDNDVRVEAGFLDMYTDRHIKDGYCKYSAREQVLGEVRRLLAKFPNEEVSITLTGHSLGSAMATLSAFDIAETGLNVRENGEKIHVSVFSFSGPRVGNVKFKGRLEKHLGVKILRVHNKHDMVPKSPGFLINEKSPAWLLKFAEDIDIPWCYTHVGVELELDHKISPFLNPNADAACAHNLEAHLHILDGYHGSNRGYEATTDRDIALVNKSCDFVKDEHYVPPNWRQDLNRNMVKTEDGRWMLAHRPQVVDTHHEDLEPHLSQIGLISSSNK >RHN75143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39744536:39745078:1 gene:gene11304 transcript:rna11304 gene_biotype:protein_coding transcript_biotype:protein_coding MIADIADMKPLIMLALVVVIQNKDRNYQHSGLGLSRSRKCR >RHN75224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40329326:40329682:1 gene:gene11397 transcript:rna11397 gene_biotype:protein_coding transcript_biotype:protein_coding MENKGKSVKLESLHNERVEDSFDSIQYERVEDSFDGLQSDTNITLQEHGDCGEASSKATKDFDLNTKADCGFDLNEYPVEEGGGGGGGGGGEGGGGGEGGGGENVVNKMLKIYLPNLN >RHN75169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39928291:39931011:-1 gene:gene11334 transcript:rna11334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MGKSSNNSKEWTIYGMEQWQTLIFLLCQAILFSVLSVLYLVYFNPICSFFERIISTAAVARFAAGFTGSVTALSAVCLFFAAANFFYSAVPLHYDMAQRIVSAVHDWSSVKLALDLGCCGRGILLNAVATQLKKEGSSGRVVGLDRSKRTTLSTLRSAKMEGVGEYVTCREGDARRLPFPDNYFDVVVSGVFVHTVGREYGQKTAEAAAERMRAVAEMVRVMKPGGVGVVWDLVHVPEYVLRLQELKMEDVRVSERVTAFMVSSHIVSFRKPSQHVHGPAEVCLDWRLC >RHN81709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48327221:48329581:-1 gene:gene5791 transcript:rna5791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine decarboxylase MENLGESTMAMAFNSDSELFPMVNVNNAKLSIEEERRENVDEENRRKDLPINECMGEKDANLDAIIARYAETINKYNLRNLGYPTNQDFNYDKLAPLFHFHLNNAGDPFVGSSFSLNSSPFEVSVLDWFANLWNIDNSEYWGYVTTGGSEGNLHGILVGREQFPDGILYTSQESHYSIFKIARMYRMHCVKVGSLFSGEIDCVQLEASLLSHKDKPAIINLNIGTTMKGGIDDLDLVIQTLKKCGFTRDRFYIHCDGALFGIMLPFIKQALKVSFKKPIGSVTISGHKFLGCPSPCGVVITRLKYMSAISRDVGIIASRDATITGSRCGHAPIFLWYALKKRGLIGLENEVHECIMKAHYLLNLLRDAGIGAMLNEFSNIVVFERPLDDDFNRSWNLACSGNIAHVVVLQHVSVEMLDTFVHEFIEKRSIWSKDEQFKPLCIANDVGSKNCACLMHTTYHIS >RHN45992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27588540:27590636:-1 gene:gene40424 transcript:rna40424 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEPLWAIGGWIFLSICMAEPNTSQPISKPLNFFHFLTLFFILLLIINLSHQPTTTTNPTNPSSMTSTKSTESKSTSTSTSTTNLHPHKTQKDGAGKEFGVDAHEVPSGPNPISNR >RHN38911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2761039:2762196:1 gene:gene44888 transcript:rna44888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative meiosis arrest female protein MYHSILSTLSFKIIMTFNYSVTGGKNKSKCFADWFSQNPSSPMHLFLISGDKDINFSCILLRNYHRRNFHRRNENLLLACPGKAEDYVSRRAFIVWRWSSVLKGKYLTGKYFCYPPEWYVKARVPLEYPPEWYRNWKVRPKNPFLAAEEPTSSQNAEIHIPSSFSREGQRVWYRPVREVLSSYPNGIPVGELKMRLGISGDTKMFSQVIASIPQVQLLYIGDDNFCVRLIPSTTSTVKKKKQRVADHSEMRELFSTDSFGDDVETFVFSSRGSRLISRSRSREDLAHRLQRHGPMIFMSLTENEILQLVELLITKLKWLKESPSEVFPFILTQSVGLTMP >RHN47668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41395742:41398958:1 gene:gene42292 transcript:rna42292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-alpha-glucanotransferase MVNPGLVSGNKPVNSVKISFRLPYLTQWGQSLLVCGSVPVLGSWNVKKGVLLSPFHEGSELIWSGSITVPKGFQCEYTYYVVDDKKNIVRWEMGKKHELALPDGVQSGQEIEFRDLWQTGSDALPFRSAFRDVIFRKSWDSSVKTTTGANHINLEPEAESILIQFKVFCPNIEKDTSIYVIGSNTKLGQWKVENGLKLSYVGEFVWLAECVIQRSDFPIRYPF >RHN47037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36644237:36646384:1 gene:gene41587 transcript:rna41587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSQQHKSSITSTTAFFFFLTESTTALFPIVIGIATMNMQSATLPKFFHNDLIGEIFSALPSKSVLRFRCVSKSCDTLISDSTFVKLHLKKSKARDPLFTLISQHFTHIPGESPYGSDDETEMDYTVVPYSINSLIENTSFNLTVDPYYELKNKGCSRIVGTCNGLICLAADSYTHEYTQYCFCLWNPSTKKISHKFGNFSEFNFPRSADFGFAFGCDDSTDIYKVVAFRYLRDQLKSEVRVLNLGDDVWRNIESFPLTPLCLSHGDNHVYLSGTINWLAIHDEYWYNVSNVKDITVDQFVIVSLDLGTETYNQYRLPPSFDEVPPARPIVGVLEDCLCFCYCYKETDFIVWQMKKFGVEDSWTQFLRISYHSLQIDYDYSFEYTKYKFQLVPLLLSEDGDTLIMKCSQEHQAILYNRRCNTVERTNITTSRRTITDDRSGDHVNWEWIKNYVESLVSIF >RHN61487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35829428:35829787:-1 gene:gene23958 transcript:rna23958 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLHPWFSIRCYNSVGLEFVVSLNSTPPEFFVGSHKHKKIAYFFISTRKMSGEDAVVAVEPVSTAGAEIPNEPMDIVTPFQLLLRKSLAYGGIARDLHEGAKVIRLLLCGDQGFWGGT >RHN48343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46790285:46790734:1 gene:gene43051 transcript:rna43051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MSQNISSAQQDHMLSPHNDSPQPQLLPTQTSPDGTCLRTSAQHTQGNWIDKKTKEQSMVAATVIATMTFQSVISPPGGVWQEDTKHSVSFCNGSRSNKLKNRKQKLEKKRNGRERDNNNVYYAANFLYIIHTSFLHTYSQILSNNSAYV >RHN56033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27026962:27027374:1 gene:gene31352 transcript:rna31352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin MMLIFIKTMESKVIPLRVKSSDTIDSVKEKIFDKEKYQVNDQRLIFSGKQLDDCQTIANYNIQEKSTIHLILRTIGD >RHN73060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13140740:13142250:1 gene:gene8837 transcript:rna8837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative universal stress protein A MASARRLGIAMDFSPCSIKAFQWTVDNIVKEGDNLILIIIRPEEYEHGEMQLWEVTGSPLTPLGEFINSDLPKKYEIKTDPEVLKIATTAIEQKKVVVLVKVYWGDAREKLCEAIEQVPLDGLTMGNRGLGTLRRAIMGSVSNYVVNNASCPVTVVKSSGQHH >RHN40532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17250100:17250798:-1 gene:gene46692 transcript:rna46692 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHSTVEGSCPGMLIIQPWRAYALECFVIQIVEGSCPGMLIIQPLRAYALECLVIQIVGGLCLGMLSHSTRWKWCYLDVVVVVVVDGEFVVVDVVLLLLLLIELLLSSLVDLVSVFKDEEIFC >RHN52799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39006726:39006953:-1 gene:gene37512 transcript:rna37512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-acylglycerol O-acyltransferase MHGEADIITDPSASKALYQKAKVKDKKLCLYKDAFHTLLEGEPDETIFHVLDDIISWLDDHSSTKNKV >RHN62184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41512224:41516421:-1 gene:gene24732 transcript:rna24732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLC-like phosphodiesterase, TIM beta/alpha-barrel domain-containing protein MENYLLIATLISASLVFGCYVLVQIGETCSRDVNDCGTGLQCLECNSQSRCTRVRTSSPISKVMELPFNHYSWLTTHNSYASRAANLSIDSKISSVMNQEDSITDQLRNGVRGIMLDMHDYYGDIWLCRGPCTIFTAFQPAINVLREINTFLTRHRTEIVTVFIKDRVTSPNGVNKVFNKAGLRKFWFPVYKMPKNGSDWLTVKKMLRMNHRLIVFTSNATKEASERIAYEWNYVVENKYGNDGMGRDHCLHRAESYPMNTTTKSLVLMNYYRNVLNSNEACKDNSSPLIRKMHTCYKDAGNRWPNYIAVDFYKRGDGGGAPEALDVANRNLFV >RHN42184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36211687:36213097:-1 gene:gene48595 transcript:rna48595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MTSSDSGESRRSTKPQIRPGAPAPEQENLPCPRCDSTNTKFCYYNNYNYSQPRHFCKACRRYWTHGGTLRDIPVGGGTRKNAKRSRTNHVAVTSSSAVTSAAPEHHHYQTISAVQGGSFPFSGGVDGDGKQNMSVCGSFTSLLNNNNNNNQSSGFLALGGFGLGLEEMGFGIGRTGWAFPGMMDGVPVVSSGVVGNTWQLEGGEGGFVGAGDCFSWPGLAISTPVNGLK >RHN81383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45398039:45399017:-1 gene:gene5412 transcript:rna5412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MYVLLNAASFLKIKTPTPYALRNSSTLQNGINFAYGGTGVFQTLTNGPNMTVQIDSLEKLIKQNVYTKQDLQSSVALVVNSGNDYTAFLVNNRSITEIKSFTTSLINQLSINVQRIHNLGINKVAIGLLEPIGCLPEITLVTFHLSCVDLLNLVSEDHNKLLLQTVLQLNQQQVGKSVYVTLDLYNAFLSTIKTMQKKHDENSTLMNPLKSCCEGDGLTNTCGKVDDNGEKKYSLCEKPKLSFFWDNVHPSQNGWHAVYTLLQSSLHQLNYR >RHN47705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41794556:41795179:1 gene:gene42333 transcript:rna42333 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLKSVSKFYKSATTSLHKDADFNALFNSCVNVNATKKLHALLLLV >RHN48459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47636278:47643163:1 gene:gene43178 transcript:rna43178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MINTTTNGNMTAASSSSSSGPTTTTQSQQQGLKTYFKTPEGRYKLQFDKTHPSGLLQFNHGKTVSMVTLAHLKEKPAPLTPTASSSSFSASSGVRSAAARLLGGSNGNRALSFVGGNGSSKSNGGASRIGSIGSSSLSSSVANPNFDGKGSYLVFNAGDAILISDLNSQDKDPIKSIHFSNSNPVCHAFDQDAKDGHDLLIGLFSGDVYSVSLRQQLQDVGKKIVGAHHYNKDGILNNSRCTCISWVPGGDGAFVVAHADGNLYNKDGAGESSFPILKDQTLFSVAHARYSKSNPIARWHICQGSINSISFSADGAYLATVGRDGYLRVFDYTKEHLVCGGKSYYGGLLCCAWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVAFDSYWSSPNSNDNGETITYRFGSVGQDTQLLLWELEMDEIVVPLRRGPPGGSPTFGSPTFSAGSQSSHWDNAVPLGTLQPAPSMRDVPKISPLVAHRVHTEPLSSLIFTQESVLTACREGHIKIWTRPGVAESQPSNSETLLATSLKEKPSLSSKISNSIYKQ >RHN56437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30861773:30863802:-1 gene:gene31842 transcript:rna31842 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKRFFETPQPKTGLEHAQYFLKKIGLGPNNYYFWKQMGKALACTYAVMGAAWLFNETSPLGWWTLKPMPKEEKELEHLYQRREFPYPGDEEAMEEFIKKGGMIGTTIGPKGMVENDMDESDYKKELKDKKFEQEAQKLWFRMRNEVIGELQEKGFDVDQ >RHN49396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54504967:54508164:-1 gene:gene44221 transcript:rna44221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldo-keto reductase 1 MATTQTELIPPPQVPLGTQGFQVSKLGFGCMGLTGAYNDPLPEQDGISVINYAFSKGVTFFDTADIYGGSGANEILLGKALKQLPREKIQLATKFGISRRDVSRLADVTIKGSPEYVRSCCEASLKRLDVEYIDLYYQHRIDTSVSIEDTVGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITAVQIEWSLWTRDIEEEIVPLCRELGIGIVPYSPLGRGFFGGKGVTENVPAVSSLTSHPRFQAENLNKNKNIYDKIESLGKKHGCTPAQLALAWVLQQGKDVVPIPGTTKIKNLDQNLGALAVKLSEEDLREISAAVPVDDIAGSRYYNGFDHISWKFANTPPKV >RHN81520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46682172:46685750:-1 gene:gene5579 transcript:rna5579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, vacuolar ER assembly factor, Vma12 MATENILNRVGLIISSTHFIRAFLSEASTDTTLSAELRQKCSDLIAQSNVPYEPLRAIWIASDPSTRPELTQLLWGTSFIFSSPKPREKSEELKARLKKLEDIAERKAYQELVKDIAPKEDVTEPFSSYKDQLGFGLHVLVTMFTGYLVGYAAFRALFDHSPAMNAAGGILGLVGAMLVETFLFIIRNSDLDSNKTKRSNQKPRSSFSTSSLKKNL >RHN65280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:815105:816520:-1 gene:gene13102 transcript:rna13102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MPGVFVSGTINWLTYDASSSLHVIVSLDLENESYQNLLKPDTKKDYWILGKLRNCLCIFTGSKIDMLVDVWIMKEYGNTKPWTKLYTVPYMGDQVSSSKALYISEDGKVLMGFQELLFVYDSENGTFNMPGFQNISGWLDPEVYVESLISPCS >RHN40837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:22615576:22618116:1 gene:gene47063 transcript:rna47063 gene_biotype:protein_coding transcript_biotype:protein_coding MYIGSLAQLSPLHITWELAYNGFCELILDRRTTALKLVDDCGNKWDCTLIFDSRPYPHFPVGGGFHRMILARRLRDGCHVMVGAPGVGSNDTLYFRIVRY >RHN78844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19114520:19125259:1 gene:gene2505 transcript:rna2505 gene_biotype:protein_coding transcript_biotype:protein_coding MATAREENGYENGGGGYGKFRKRPFKRTQTTPYDRPPTALRNPNQNNGWLSKLIDPAQRLITYSAHKLFSSVFRKRLNPPPPSETVQEARGNRQEAAIFVANESSGQQQRILGESSDQINLSDGVGLPELEEVLKQKTFTRSEIDHLVELMHSRSVDTAVREEGNKTEAVPLESMLPLNQKEEYPRTPAVENGIKIHPFSTSHATSSVSVEDVASPVQLAKAYIGSRPSKVSPSMLSMQSPTGEDSTLIKGHHFAQKSPVMSVVPRAINHARVYENGFLTPRSRGRSVIYNMARTPYPRVYPDSTPKGAGVGVENGPSSSSQHALDHGVLSGTKQGGLKRRSTVLENDIGSFGPIRRIRHKASLLSSKGLTLTNSDIPVSINSGGIDVVQQPSSSMQKPILTGEVKHSRTKSSAENDHTMPNSSFPPLPSKSSEMASKILQQVDKMVSPKEKSSVLRLPHVSDNSPSKLSSSMLRGQALRSMETVDSSKLLDNLHDNELNGTLRSLSASNQKLTSKISKVENGLKPVSPNDGLIPAVTGSDSPVPRNQVISIGKSRDSSDPPSKKRAFCMSAHEDYLELDDDDDAYPNENASPFSTPAAEKTTFAIEKPVQETLLGSSAVSSSKSFIADGKLRNADGSIVDEKVDTPTPITSSIVAGSTAKPNTGSVKASTHTIFDSDKSTPNGLAANPHLFNLGKSFVPSTELSGADAQSKSTELSGADAQSKESTKAGSIFGLDKAAPSKETGADASSFNFGFNKNIDSVPQVPFTFSSLVGGESTFSKFGGASDSKLSSISSFTAAGDVDSVPKVLESDNADAKTNIVSGFPAQLSEPSAASTSLSTSTPNVFTSGNISSQNNGFAASSPTFSSPFLPVVTNNFTSQNMFSSSTLATSSSSISAPATFSTSMTTSTIAVNVSSSSSSSASVTTSSSPTTSLFKAGSTPLPSSVSLPVSSSGSEPVETKGGQNAGIGIFGFSSSATSANSQSQIQGSVFGSTISGSTVGTLAPSATSGFATSTQSQPVVFGSSSSSPLFGQTGNTSFSSGSSSAPSSSPATNVLNSGTASGQSTPASSSEAIPVSSNNGTSSTLFGAPIWQPSKSSPFGAPFSSSLSSSSASTSEFSFGASTPVASTSSPMVFGSSTGASVPQFSFTSAAANTNAQPAFGNPSPVFPFGSASVNNDQTSMEDSMAEDTFQATPPVTPVFGQQPVPVQSNFVFGGQQNIAPQNPFQFGGQQNIAPQNPSPFQASGSLEFNAGGSFSLGTGGVDKSGRKRISIKHNRQRKK >RHN69606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44852277:44858529:-1 gene:gene18096 transcript:rna18096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MGDAVERGLECQKTMDGKASNGNGLEKEIPSCCLKAMASAPELEANCHSTVVSGWFSASQTCSEKSEEVLYFNNPMWPGEAHSIKVEKKLFQEKSLYQEVLVFESLTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKNVLVVGGGDGGVLREVSRHSSVEHIDICEIDKMVIDVSRKFFPELAVGFDDPRVHLHVGDAIEFLKRAPEGRYDAVIVDSSDPVGPAQELVEKPFFETISKALRPGGVLCNMAESMWLHTHLIQDMLSICRETFKGSVHYAWASVPTYPSGVIGFLLCSTEGPHVDFVNPINPIEKLEGADKHRRNLRFYNSEIHTAAFALPAFLKNEVRLLRQ >RHN40319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15291280:15291820:1 gene:gene46462 transcript:rna46462 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKNKRMSKKDKKDIKVTYISSPVKVKTNASNFRALVQELTGQDSNVAETTTMPLQEKYCDDERVNKGAYSTHQQWRVDHVPHQNTFLKPDYSEFLSRQSFVEPLSEHVQCDLLSFDMS >RHN78627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16856090:16857124:1 gene:gene2254 transcript:rna2254 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNNALILEEDSQNQFSLSFLYTFFLICMKWKIGSVKLKRRE >RHN71526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:763692:764031:-1 gene:gene7135 transcript:rna7135 gene_biotype:protein_coding transcript_biotype:protein_coding MYFEEYSTTPNLTGKLFSCNDMIISMDCKTISGCWIKNKNSMEKIFTNLT >RHN72527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8430905:8432423:1 gene:gene8253 transcript:rna8253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative petal formation-expressed MASLQVSSMLSSFSSSSSEIVIKSSFNVPKLPKVLSIAPNIRPSRKLIEELNGQLKHTIPIMIQENDYHYSPKYNSPSSKSPKTKTKTITQLYAILESVSDRIEMHQNIGEQRDNWNTLLLNSINMITLTATAMAGISAISGSGAPLLALKLSSALLFSASTGMLIIMNKIQPSQLAEEQRNATRLFKQLKSQIETTIAIGNPTEEYIKDTIQEVLALDRAYPLPLLGVMIEKFPQKYEPANWRPTKKSSRRSNNSFHSENNETKKNGWNEGLESEVKDVLEVMKRKDMEDYERLGNLVLKINKTLAIAGPLLTGIAAVGSTFVGQGSLASIVPVMAGALATAVNSFEHGGQVGMVSEMYRACGGFFQMMESSIQENILEEDEEQRENGDLFEIKLALKLGRSLSQLRDLARKSAYSRVEGTTVDEFASKLF >RHN68999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40181419:40182512:-1 gene:gene17425 transcript:rna17425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MLILMSRDIGIKLLDGICTILSLNCTNRLLPLFTGPNCCINSSKVDSYLDHEPQPTATKNLIHFSQLIRKGQISKYDYVDEAQNLQHYGQRVPPTYDVTKIPSEFPLFLSYGGKDTLSDVQDVKVLFNELNNDHDASNRVVLFKDDYAHLDFILGDNAKQVVYDPMIAFFNAH >RHN78000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11153271:11157474:-1 gene:gene1514 transcript:rna1514 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQRTLNHSRALEGVHGVHVVPHSPFSLTESNQTGGLHPSTGGPSTTEANQLLLMQRVWQQRPACLRPIHCSASCHGDQHLAETIANVLTSIPFIALGVNAPRKNLSCKLYANSLIGVGVASSVYHCSRGKLRKYLRWLDYTMIATTTVCLSRALRNENPKLLMAASAAFLPVNPLMVTLIHTGMMEVAFAKRALKDPDLRMAHTVHKMSSLMGGMLFVADDLFPKTPYLHAAWHLAAAVGVGTCNKLLE >RHN49014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51766570:51775474:-1 gene:gene43793 transcript:rna43793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA mismatch repair protein MutS, type 1 MSRRNSNGRSPLVNPQRQITSFFTKSTSPLSPSLSKTLKSNPNNPISKSNPNPSPTLTTPSPLNPNKPHKPRLVIDAPPTISPPPSDSPFIGKRIRVYWPLDEAWYEGTVKSFDTVTSKHRIRYDDDEEESIDLSKEKIEWIQDSSSKKLKRLRRGSSPIRKMVIEVEECPKEEKQEEEDDDDDNDDSEDEDWGKNAVLENVVDDNDDEDMELEEENEVVESAKGKNSNKVEPKKRKLGGGAKMEPMKKSKSGNEVNRVAVKLSPLAPLNNLEVRKTSDGADNVATGDSSERFALREAQKFHFLGKDRRDAKRRRPGDENYDSRTLYLPPDFVRNLSGGQKQWWEFKSKHMDKVLFFKMGKFYELFEMDAHVGAKELELQYMRGEQPHCGFPEKNFTVNVERLARKGYRVLVVEQTETPEQMELRRKESGSKDKVVRREICAVVSKGTLIDGEFMSTNPEAAYLMALTEYCENNPNEMSERTYGVCVVDVATSRVILGQFNDDSECSALCSILSEIRPVEIVKPAKLLSAETERALLKHTRNPLVNELIPNVEFWDADKTLDHLKRIYGHNNDVSAQDGGLDCLPDVLVELVKTDHDSRSALSALGGALYYLKQAFLDEQLLRFAQFELLPCSVFSGLASKPYMVLDAVALENLEIFENSRNGESSGTLYAQLNQCVTAFGKRLLKSWLARPLYHVESIKERQEAVAGLKGVNLPHTLEFRKALSKLPDMERLLARVLSSRDASGRNANKVVLYEDSSKKQLQEFISALRGLELMAQACLSLGVILNNVKSRQLSHLLTPGKGLPDVSMDLNHFKDAFDWVEANNSGRIIPHEGVDKEYDSAGKAVNEIESSLLDHLKEQRKLLGSTSISYVGIGKDTYLLEVPENLSQNIPRDYERRSSKKGFVRYWTPDIKIFLKELSHAESERETLLKSTFQRMIERFCEHHTQWKQLVSATAELDVLINLAIASDYYEGPTCRPSFVGTLCTNEAPYIYAKSLGHPVLRSDTLGKSAFVPNDITIGGPDQASFILLTGPNMGGKSTLLRQVCLAVILAQVGADVPSESFELSPVDRIFVRMGARDNIMAGQSTFLTELSETATMLSSATRNSLVALDELGRGTSTSDGQAIAESVLEHLVRSVQCRGLFSTHYHRLAIDYLKDPKVCLAHMACQVGSGNEGLDEVTFLYRLSAGACPKSYGVNVARLAGLPTSVLQKADAKSREFEATYGKYRTEPNSSNQSWVDEIIVLVQKLNNAANNLSCEEMVSDHSIVKLQREARELLERC >RHN62483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43775453:43779291:1 gene:gene25064 transcript:rna25064 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEDHSNEWPPMASPLDLHRDEHWTNFDSSVNAVSFGFVATAILISMFLVMAIFERFLRPISPPMSPPGRRSQRDVESQMSSYGKLSHPSPKMTVYSTEVSVLMPGDVIPTFIAHPAPCCPERIVWPSHQHNTLPCSTSNTRPNINEV >RHN67873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31096637:31103269:1 gene:gene16118 transcript:rna16118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MNENISNWIMEFLLRNTTVPDPLIQKTLTLLPLSGADSRLKKTLLLRVLQTHILNASISEASLQILEHLEEIYRDDGVSISNAFQSAYCAVAVECTVKYLINSPEDPSGEYFSAVRRIWRGRRGSGLVSDGFVQWGEEIEGALWDVRVAERLVGLNTRRDAVIEVKRFLKEAWGSMGDSFLDLIAMVSKGNGLCPGGVCENAAEGSRRLLEGLGKAKANDDDVAMGENHGNRQLEENGLGGGMSRRRNGRLEGLGKEKMNDDENDNVNDIVDDSDDNDDETMMGENHGNEELEERVGTSVDANQEVEQRAGTSRMGDKGVCEIAEEGEDLRKRDGRLEGLGKEKMNDDGDDVNDNVDGDDGVELMDGNRGNEQLEARVRTSGMRDKEIRKDNGQLKRKHSALQTRHRGVKLSGDEEARPINFSTKYENLRSADVKKLRESLKSSSLELKALVKDPLPDALHTSEAVRSKLATKDINRRPASEKQSEHVDVRDSDACKTIVPYQPNDANFAKEPSVPCSSDRPNCSNGSRPNYSNDRRPNLMRRASYAQTYEWDDSIENLPQQSLPRRKKRKWTSLEEETLRAGVRMFGEGNWRTILDFYSTIFEYRNGVDLKDKWRNMMR >RHN73495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17069371:17072573:1 gene:gene9313 transcript:rna9313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAGTRNSWWLITALFSINAVADDAEKKQINNFHVKEWLLGVKDGVLDAQDLVEEIHIQVSKSKQEAAESQTSSTRTNQLLGMLNVSPSSIDKNIVSRLKEIVQKLESLVSLKDVLLLNVNHSFNAGSRMLMSPSFPSMNSPMYGRNDDQKTLSNWLKSQDKKLSVISVVGMGGIGKTTLAQHLHNDPMIVERFDVRAWVNVSQDFDVCRIARVILESITGSFIQTTDQSILEKKLKEQLIGKKFFIVLDNVWIEDEMKWENFETPFSYGAQGSKILVTTRSGEVALVTASDQIHQLHHLDEEDSWTLFAKHAFHGFDDSYAVSWTKKTTLHEQIGKKVADKCKGLPLALIAIGDLLCINSSLLQWEKISESDAWDLAEGTGIVPALMVSYQNLPTHLKKCFEYCALFPKGYLYEKDHLCLLWMAENLIQHPRQYMKSMKEVAESYFNDLILRSFFQPSTKYRNYFVMHDLHHDLSNSIFGEFCFTWEDRKSKNMKSITRHFSFLCDELGCPKGLETLFDAKKLRTFLPLSMTCYEYQWLLCFNSNKLLLSELFSKCKRLRVLSLCGCMDMIELPDNIGNLKHLHHLDLSRTKISKLPDTLCSLHYLQTLKVRDCQFLEELPMNLHKLVNLCYLDFSGTKVTGMPKEMGKLKNLEVLSSFYVGEGNDSSIQQLGDLNLHGNLVVADLENVMNPEDSVSANLESKINLLKLELRWNATRNSSQKEREVLQNLKPSIHLNELSIEKYCGTLFPHWFGDNSLSCLVSLKLSNCENCILLPSLGVMSSLKHLRITGLSGIVVIGMEFYRDGRSSTVSIPFPSLETLTFKDMNGWEKWEFEVVGGVVFPRLKKLSIMRCPNLKDKLPETLECLVSLKICDCKQLVTSVPFSPSISELRLTNCGKLKFNYHLSTLKFLYIRQCYIEGSSVDWTGHTLSECGTNIKSLKIEDCPTMHIPLCGCYSFLVKLDITSSCDSLTTFPLNLKMSILNSHLSQLESVLNLHHFQKEDCLHQGCNTLIFPNWRI >RHN39712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9839088:9842712:-1 gene:gene45765 transcript:rna45765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEQIPYGVATSLINRLGSAALREFGRINGVMNELEKLKNTVESIRVVLLDAEEKQEAQNHAVRNWVRRLKDVLHPADDLLDEFVIEDMRNKMDETHKNKVTKVLHSLSPNKISFRRKMAYEIEKIQKGFNDVVKDMSGLNLNSNVVVVQQTNCVRRETSSFVLESDIIGREDDKMKIISLLRQPHENQNVSLIAVVGFGGLGKTTLAQMIYCDMEVKNLFEKRMWVCVSENFEVKTIVKNMLESLTKNKIDDALSLDNLQTKLRDHLTGKRYLLVLDDIWNESYEKWAQLKTYLMCGAQGSKVVVTTRSAIVAQTMGVSVPYVLNGLIPEESWSLLKKIASWDDTIGVNRTIESIGRKIAEKCKGVPLAIRSLGGILQSKSEERELIDVLQGNFWNLCEDKDSIMPVLKLSYHNLSPQQRQCFAYCSLYPKDWEFEKDELIRMWMAHGYLDCSVEGKSMEDVGNQFVNIFLMKSFFQDAKLNKDGDINGFRMHDLMHDLATQVAGNDCCYLDSTAKRCPGRPVHVSLEFEAFCLLESMDVSRLRTLIMLGTHYNTLDREELSVISNFKNLRVLKLSSSLSKLPCSIDKLKHLRYLNLLRCRGLESLPKFLGNLVCLQTIKVSWDEVVLSTKVVSKLINLRHLEINNWTFKEKTQDGFRKLSIQQYDGSMFSKWLSPLTNIIEISLDCCRGLQYLPPLERLPFLKSLELCYLDELEYIYYEEPILHESFFPSLESLKFMGCNILRGWRRMGDDFSDINSSRHLLLPQFPRLSILEIGGCWMLTSMPIFPEIKTRLSLTECNVQILEATLNIAMSQYSTGFPPLSMLKSMEIGEVSSDVKKLPKDWLQNLTSLENLDFYFVSSEQFQVIEMWFKDGLNCLPSLQTITFKSCSHLNTLPYWVCNLSSLQHIKMINCGNLALLPEGMSRLTNLRTLEIIGCPLLGEEFWTETSATTSIIRQEVFWHEEDNGEPKRQFFYESGYSVRERNKRWAKLQFRKI >RHN45154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12388084:12395644:-1 gene:gene39371 transcript:rna39371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 25S rRNA (adenine(645)-N(1))-methyltransferase MTNKKRKRQRQGKNDSSNKIQKPASNGKPSSFLEKMRARLSGGHFRMINEKLYTCTGKEALDYFREDSSLFNLYHAGYKTQMSNWPEQPVNVIIKWLKKQSPSFVVADFGCGEALIAKSVKNTVFSLDLVSNDPDVIACDMANTPLDSASADVAVFCLSLMGTNYQTYLEEACRVLKPGGWLLIAEVKSRFDPNTGGADPEKFSNAISELGFNSVKRDFSNKMFILFYFTKEKQNSKRKEIEWPSLKPCLYKRR >RHN61345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34965752:34966509:1 gene:gene23800 transcript:rna23800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAPKGEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKEGGSAAGEKKKKRSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >RHN44339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3693827:3698194:1 gene:gene38447 transcript:rna38447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (guanosine(18)-2'-O)-methyltransferase MSSCKTMLCSSLSSTFKFKPSLSSSLRRHKSTSRCCYTTPQTTAIPQPNDTIHNILNSNEGVTTLMKMQRKPLHHQPTQPRWFPYLDSFRCENGCEVTSSEVIEAVGPCISETRKERFGKAVLNRSYNVCLVVEGLCDFGNVSAAFRSADALGVQSVHVVSSDANKRYKDNRHVSMGAEKWLDIELWDSTKECFEMLKSRGYRIATTHVGIDAVSIYDMDWSCPTAIVVGNENRGISDEALAMSDLHCSIPMKGMVDSFNVSVAAGILMHHAVCDRISRTGRHGDLTVEERQILLAEFSLRHSNSAISVVEDYAKRKASLLT >RHN55786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23802756:23804929:-1 gene:gene31043 transcript:rna31043 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGQIWWEIGGNLAVLDFGQAQAKHAVQHLFMPRLGQTLSLSWKSRIDSYFPRPVGRPSHQRGKEGVVLPFVTWAGTGQTCSSTFVHASSWPNTVLVTVKQNFCLFLTPPMYGGAASVASKKPVHVPSRKNLCPCNAPGRLAFAYAVQVNKHSRVGPSSEKIVPL >RHN70853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54494846:54496155:1 gene:gene19482 transcript:rna19482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKMKRASLKYSQQIVSCTKSTMVATDFYLPDDCWEYVKFLIMVGYRLHLNFVSVVSKRFLSITNRLRFSLAIYGITYPFLTRLFLRFTNLTSLNLTCYSFDLNNLLFEISRFPLKLTSLNISNKSIIPTNGLRAFSENITTLTSLTCSHISCINSTDLLVIAECFPLFEELDLSSPLECNNDQLLDEVERVSLVITTSGLISLVITTSTTNCFSTCSKILSFSRWPSGFAAMVPQLKSLQLDHNPWLRDESIIMIASIFPNLQLLDLTHCYEISEGICQVLKRCCKIRHLKLAYCSKLKLLGMNFEAPKLEVLDLSNTMVDDETLYVISKSCCGLLQLLLKNCYHVTEKGVKHVVEKCTKLREINLKGCFKVHANFVASMIFSRPSLREITAPPGFDSSEKMKFYLSHNCFVW >RHN75679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44246378:44247236:1 gene:gene11916 transcript:rna11916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate formimidoyltransferase, Formimidoyltetrahydrofolate cyclodeaminase MVDQSMLLCCKFFVSEGRNIATLDAVERAVRMNPEIVIVHKFHDRAYNRARYSLVSYVLHDCTGNAIYNPLQQIVLAMAEAAFNAINLELHDGAHPRLGAIDDIVFHPLACASMDDAAWLAKAVAADFGNQFNGNSHKT >RHN76027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47098764:47099678:1 gene:gene12299 transcript:rna12299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MELHIPKPCFILLILTTSLFASTILAANSNLFREYIGAQFKGVKFSDVPINPNVNFHFILSFAIDYDTSSPPSPTNGNFNIFWDTQNLSPSEVSFIKNQNPNVKVALSLGGDTVQGDPVNFIPSSIDSWVSNAVSSLTSIIKTYNLDGIDVDYEHFIGDPNTFAECIGRLITTLKNNNVISFASIAPFDDAEVQNHYLALWKSYSNIIDYVNFQFYAYDKSTTVAQFIDYYNKQSSNYNSEKVLVSFVSDGSTGLGPGNGFLDGCRMLKSQQKLNGIFVYSADDSKADGFRYEKEAQEVLASPN >RHN77592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7969869:7975760:-1 gene:gene1062 transcript:rna1062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MNRRTQGNRERVREILQVAETPQKTAEEAQTYARFKYPTMTKTQGNFRLHAVEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDTIEGGSDVEMPKFNVSYKPQKISPKSRLAVRHLLHQKIRNVYTHPLFISEVMKPLLIEQLMDKDVKNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVVAKVIKRFILHAKKTAFIVEHDFIMANYLADKVIVLEGQPSINFTANCPQSLLTGMNLFLSIFKLLDDVMVRDEEA >RHN68327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34586640:34588325:-1 gene:gene16663 transcript:rna16663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MKIFILFGITFACGFFGNFISNANPLPYEAIFNFGDSISDTGNAAFDYPRDMGPYGSTYFKHASGRMSNGRLIIIFIAEAYGLPFLLASKNITKSQGDVKKGVNFAYAGSTALDIEYFSGSGVSTPQKDNSLIVQFDWFKKLKPLLCKNKEECDSFFKKSLFIVGEIGGNDIFYHLFKTITELQEIVPLIVDSIKNTTIALIEEGAVELVVSGNFPIGCNTDILSKKISQKKEDYDEFGCLIAYNTFIEYFNEQLKKSIEIIKQKHPQAKIVYFDYYNDAKRLYQAPQQYGFISDKVEILKACCGGSGPYHHDQNFCGTSNTTICSDPSKLLNWDGQHFTEAAYKHIAKCLVEGSFAYPSLKPAPFKIA >RHN78512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15864462:15867652:1 gene:gene2089 transcript:rna2089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding protein MHILIVMGKTSKWLRNLLKGKKDKEKEKENCGNGTENPTTPISTTPKEKRRWSFRRSSASKEMNVAESSVTSSVTLQSVAIDSQNYERKHVLDVAAADGVIFLNSCSNGGTRRSIQEASAIKIQSVFRSYLARKALCALRGIVKLQALVRGHLVRKQATETLRCMQALVIAQARACAQRARMLSEEKTNQKHSPYRKTREDNLFMHVYNEMDRGFEENIKTVEMDVCESKGNTRGRNNTANHEHHELSEHRFSAYYSPIGSYSKKESPTPSALTDSSPRACSGHFEDCSLSTAQNSPYYYSAVSRVDNSKLPFAFTRQSYEESIPNDYPLFPNYMANTESSRAKVRSQSAPKQRPDMYERQPSRRRASVEGRNVPRPVRMMRSSSQVGVTAQNYQYPWSIKLDRSLVSLNESEGGGSTSTVLTNSNYCKSLVSYSPHGPRY >RHN64538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59892631:59893578:1 gene:gene27362 transcript:rna27362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MMQTHNSLTLHAFFMILFMSPTFPSSATAARPPQDLIRSSCAQARYPTLCVQTLTNQVGLTTKPLDLAQASVKASITHTLTLSVYLKKTLKSNMVAGSTTTSRTRVALRDCVTQISDSVLQLNQTLNELKHLRMGTFEWQMSNAQTWASTAFTNGNSCINGLNRSDAEKKVKLEVKRKVTDASMFISNALYLINRLGESMNQKPHSNSNN >RHN42180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36175121:36179350:-1 gene:gene48591 transcript:rna48591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdenum cofactor sulfurtransferase MHLSLWKPISHCASLFLDKKGRRKGESTADLKRNPSMLRKLQENKLREALEEASEDGVLSKSQDMEPDNVGNQDASIGRSRSLARLNAQREFLRATSLAAERTFESEEDLLSLEEAFSMFLTMYPKYLSSEKVDQLRSDEYSHLPPKVCLDYCGFGLFSFIQTLHYWESCTFSLSEITANLSNHALYGGAEKGTVEHDIKAKIMDYLNIPENEYGLVFTVSRGSAFKLLAESYPFQTNKKLLTMFDHDSQSVNWMAQCARNKGAKVHSAWFKWPTLKLCSTDLRKQISNKKKRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVMASLQNQSGSTGSGMVKITPEFPVYLSDSVDGLDRLAGTEDDEFDGVGDKTFEPRHQGSQLPAFSGAYTSAQVRDVFDTEMDHDSSERDGTSTIFEETGSISVGEVIKSPVFSEDESSDNSFWIDLGQSPMGSDYAGQSNKQKIASPLPSFWFNGRKNQKQQSPKPSSKMYGSPMYDDREVNIGSHDDHRVLSFDAAVLMSQELDRVKEVPEEESVEDVHHYSSNGNGSDRPHVSEIMEEPGTSKAGQNGSVAPRGSWLNNSASLARHQSLENGSTSGICSDIKESAIRRETEGEFRLLGRREGNRFGGSRLIGLEDDEHNGRGRRVSFSMEDNHKEHLRETLETGDISATSLDDEEVSSDEEYGDAQEWGRREPEIVCRNIDHVDMLGLNKTTLRLRFLINWLVTSLLQLKLLVSDRDEKVNLVHIYGPKIKYERGAAVAFNLRDRNRGLINPEIVQKLAEKEGISLGIGILSHIKILDSSRQRRVSLNLEDTTLCRPMEGGRRDGKGSYVRLEVVTASLGFLTNFEDVYKLWAFVAKFLNPTFIIEGGLPTVQEGSET >RHN75599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43626983:43631831:-1 gene:gene11829 transcript:rna11829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative restriction endonuclease type II, YqaJ viral recombinase, ING family MATMIGLQKRSISANVRRSTLHCDKIGSSLSVARVQHFKHGSSYYKGALVACLSNKRIFSSNASSHAPDNPSKRFGNGGGMLKFTSEETHSVLEAPCLQHWFKNWQTLRKQKLTASTFAAAIGFWHKRRSQLWLEKIGAIEPFSGNLATCWSNIKEEEALERYKLITENTVLFSEFQVYDSKPEDSWLAASPDGIIDRMVYDLPSRGVLEVKCPYFGGDMSKALPWYRIPVHYIPQAQGLMEILGRDWMDFYVWTVNGSSLFRIYRDPEYWDVMKIALSDFWWKHVQPARESYSSSVIKDPLFQLRSLTPAPKHELCRDIVYRSKHIVDNSNLLIREIHGKMTN >RHN77485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7167575:7171924:-1 gene:gene948 transcript:rna948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MTDALLDVVFENLTSLLKNEFATLSGIKSKAEKLSTTLELIKAVLEDAEKKQLTDRSIQIWLQQLKDAVYVLDDILDECSIKGLSSFKPKNVMFRHYIGSRLNEITSRLSHIAEGKKNFMLREGVTVTQQPTEVAEWRQTSSFIAEPKMFGREDDKEKIVEFLLTQAKDSDFLSVYPIVGLGGVGKTTLSQLVYNDDRVSDNFKTKIWVCVSEVFSVKGILCSIIESMTKQKCDSMELDVIQRKVQEMMQGTRCLLVLDDVWNKNEEFEFGLNQEKWNKLKSVLSCGSKGTSVLVSTRDMDVASITGTCPTRPLSVLSDHECWLLFKQYAFGHYREERAELVKIGKEIVKKCGGLPLAAQALGCLMHSRSEEKAWLEIKESEIWALPHENSILPALRLSYFHLSPTLKQCFAFCAIFRKDTKIMKEELIHLWMANGFISSRKNLEVEDVGNMIWNELYQKSFFQDIHMDDYSRVISFKMHDLVHDLAQSVGGQECMVLDNAYVTNLSKSTHHISFNYPRPILLEEDSFTNAESLRTLYNPDYFRFRFGSFIPIKHTLRVLRTDTFEFSLLGSLIHLRYLELHNFGIKPFPNSVYNLQRLEILKLRAFTKLSCLPEHLSCLQNLRHLIIEGCNSLSRMFPHIGKLSCLRTLSVYIVNFEKGHSLAELRDLNLGGKLEIKGLQNVGSLSEAKEANLMGKKDLDELCLSWKGGDTPVKTPVISDDQVIEVLQPHTNLKSLKIHSYQGLCFPSWIRTLSNLVTLEVGSCNHCERFSSLGKLPYLKKLILFGVSVKYLDDNEFHNGMEVRIFPSLETLLLRGMPNLEGLLKVERDETFPCLSILEIDNCPKLELPCLPSVRDLYVDECNNKMLKSISSFYGLTTLTLYRGEGITSFPKEFFRNFTSLQTLSVGNFQNLKELPNERFNLALEHLQISCCCELEYLPEKIWGGLQSLQSMRISYCERLKCLPDGIRHLTALDSLTIVGCPTLTERCKEGTGEDWDKIAHIPKLKIS >RHN70999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55715364:55715600:-1 gene:gene19639 transcript:rna19639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GYF domain-containing protein MDGELETMRRPQTPPEELSLFYKDPWGQVQGPFKGIDIIEWFEAEYFGIDLLVRLESAAAHSPWLQLGDVMPHLRAKA >RHN72319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6737132:6738151:-1 gene:gene8017 transcript:rna8017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase/kelch, beta-propeller MEEERKPWLLYFTGENLDTQTFCSISDPTKCNTARIPEWARTSRIRTVQHGWYLWEKTISKNVSSFILWNPLNHKKIMLPPLKHNGTPFGNCILTSPPTRNDEICSIYLFCSRCPSIFYYQLGDKQCTKVCFYDEIVRVAAMEGEHIPQGDMTCFSDPVYCNGCLYAGMRTGWFEVYIVVIEKLQPNGFTLNITPNRMGKLPATSCFEQHISHLIGFNNALFRIEIMHALDRVTAVFVYKFYCTQRVWEKVESVKDTVFFISSVDSAFACQATNPETEGGRVYIALKDCNFFYIYNIEDRSLVTSQHFSNLSARRSYSRWFMPDTRYFNFLVTFVTNTH >RHN79306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27376678:27377632:-1 gene:gene3081 transcript:rna3081 gene_biotype:protein_coding transcript_biotype:protein_coding MFGQDNIQIPPQFVETWKLNLDDQKYGWFKDPADTYLRVDTTRIMNENVIPGGKAIALHCGFNAPHKVILCYQVVDNLFYMRIVDDEGQDIPWFGAHHPTNYHAMKLADPSFVVPIGFKSLVEPGKNEGETSMPLPYDLFNDRIRQAQEDQAVAGFFEIGNEPIHVAAGNGKPEEYIWTIKVTKSVAEGKSVMVNKFGWHCNDEITEIDVLDAETGETTNRTLKTLTRTSGYVEKYLTMGWYEFVRSKNLTKLDRIALGVENPVDHVIVMVLRHKRRGL >RHN48744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49872580:49876860:1 gene:gene43494 transcript:rna43494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase MNQQADIPGHISRQVPNQAGSQLPGQTQLNVNALPAQMPSLGGSAINTEFLRARTLIRDRIYEMLLQRHAIPVSEMQKRRIKDLSKCLEEGLLKDSLSKEDYMNLDTLQSRLTNFLRQAAMCNHNKQNPQLVSSSPMCTMTSTPGMSHGSNSSMAVASSTDASMIFSSGCHRIVSTSFNSVNMLPTGGMVCSSKDHKDVRTCSRADIQRGTQSSRVPGQTQLTGNVLPSQMLSLGGSTINMDPEEFLRARSFIQERIFVILMQRHQQPINEMQKRRIKNLSKHLEEGMLKASLTMKDYMSLRTLESHLSIFLRRATMLGSSKAHNDVSTKKVLQTKTLKDIPATTFPTGDHVEPPPKQTLVKQVIVALPSRSPILTESNQLKDEAVVVSQNAIEVDVENVQTPVKNDVH >RHN78930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20073333:20075202:1 gene:gene2598 transcript:rna2598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLFSLFRSRVLSISKPSLLPYFPLSHFHSQSTPLNVDDAVSSFNRMLRMRPTPPIIEFNQILTSLVKIDKNNFSTVISLFRQMEFQRIHPSIVTLSILINCYSHLRQMKFAFSLFGKILKMGCHPDVIIFNTLIKGLCLNNDVKEALHFHDKLVAQGFQLSKVSYGTLINGLCKVGQTQAALQLLRRIERQLLFRPDVVMYSIIIDNLCKDKHVNDAFDLYSEMIRKRISPDVFTYTSLIHGFCIVGQLKEVFVLLNEMVLKNINPDVYTFNTLVDALFKEGKVKEAKCLIAVMMKEGVEPNIVTYSVLMDGYCLVNEVNKAKDIFNSMMQRGMTPDIQSYTIMINGLCKMKMLDEAVSLFEEMHSTNMTLDVITYSSLINGLCKSGRLISAWKLFDEMLDRGQPPDVITYNSLLDALCKSHQTDKAIALLSKMKDQGIQADIFTYNILIDGLCKDGRLDDAQKIFRDLLIKSYNVDVVTYNVMINGLCKESLLDEALTLLSKMEAKGCIPDAITYEIIIRALFGKGVNDKAEKLLREMIARGLL >RHN79368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28125747:28127196:-1 gene:gene3150 transcript:rna3150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MPFQQNETSLTSLVGTSLFNHVSYPHQSPTLLAKISFPNFFLTLTQHSFSFQNDATSSDSVMEEETTATTRQLRPTTSTGTLTLAAKKFLRKLWNYLISNTKRKQPVAQLPLDVVAEILCRLPVKCLLRFRCVCKLWNSLISIDRSFANKHLRVSTKRHHVVTSSLNYPPKLTVMSYPLDSFQLNSSFTSDATFSFDHSFLYQLNTTFYSRILVGSCDGLPCFAIGEHITVIWNPSTRKLKKLPSSKIPQKKDAIGNNSFTNYAFGYDPFIDNYKIVSVFCYDSQYVGNRMKSCKTQVQVHTLGTDSWRRISDFPSTMVPRGRNESGIIVSGTGKSVTKRFQSLTTELMPVYSTLGMIRDCLCIFSHSEYFTDVWLMKEYGNKESWMKLIRLPIFDDHGNYVDNPKILYISEDDNHVLLLLKENPKCSWLVYDARNDTKKIFETQVRTYAESTVYVESLVSP >RHN72274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6364668:6367054:1 gene:gene7968 transcript:rna7968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MTIFITTMFIFLAFSLHADIGYCFGINYGQVANNLPQPEKVLVLLSTLNLTKTRIYDTNPQILSTFSKSNIEVIVTVENEILNQLDDPQQALQWVNSRIIPYLPNTKITGIQVGNEVFTDDDTTLLQHLVPAVINIYNALAKLGYANIWVSTPSSLAVLETSYPPSAGSFKGEISSMMYQFLNFLQTTKAPFWINAYPYFAYKDDPDSIPLDYVLFNPSQGMVDPTTNLHYDNMLYAMADAVSFSIAKMGFKGIEVRVSETGWPSRGDPNEVGASPQNAATYNRNLLKRQMANQGTPLNPRMRLEVYLFALFNEDLKPGPTSERNYGLFRPDESMTYNVGISTLATTSPSSSSSSSPSASGSFSIASSSTKIKVAPKGYQNLVYWMFVYVLVSILYV >RHN55329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17378786:17380750:1 gene:gene30495 transcript:rna30495 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNPHQPSTWRLASEFILTRLSVGFFQVVTLLGFEEYPE >RHN54619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10812643:10821919:-1 gene:gene29688 transcript:rna29688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinacetylesterase/NOTUM MKNTRLSQWLKLNILVFVLVLLKVEAGYVPITLLKSAVSKGAVCLDGSPPAYHLDKGFGTGINSWLVQFEGGGWCNNVTTCLSRKTYRLGSSKQMANQIAFSGILNNRRQFNPDFYNWNRIKVRYCDGSSFTGDVEAVNPVTKLHFRGARIFNAVMEDLLAKGMKNAKNAIISGCSAGGLASILHCDRFRALLPRGAKVKCLSDAGYFINARDVSGAHHVEQYFTQVVTTHGSAKNLPRSCTSRLSPRLCFFPQYVISQIATPIFLVNAAYDSWQIKNILAPGAADPRGHWHSCKLDINNCSSNQLDLMQGFRTQFLRALTAVGNSTSKGMFIDSCYAHCQTEMQGTWFSSDSPSLAKTSIAKAVADWFYERKLFHKIDCPYPCNPSCKNRKNVTTKGTSSSASAIKPSFPKLSNKAQWIELLCIRTSWLLQTLAILIIL >RHN74991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38524633:38531986:1 gene:gene11136 transcript:rna11136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA dimethylallyltransferase MINNGVHSLRTCLRLPEHPIFRATPLSYNFRRRFFVTASLTEKKKEKVIVISGPTGSGKSRLAMELAKSINGEIVSADSVQVYKGLDVGSAKPSLNDRKEVPHHLVDILHPSEDYSVGQFFEDARKATRSILDNGRVPIVVGGTGLYLRWFIYGKPDVPKASAEITSQACMELAELQRNDDWEAAVQLVVKAGDPKVQHIAVNDWYRLRRSLEIIKSSGSPPSAFRVPYDSFREQGDYRVADGSDSSDMNTNYDAMKETNSAKLDYDFMCFFLSSDRLDLYKSIDYRCEDMILGGDGLLSEAQWLIDIGLHPNSNSPTKAIGYRQAMDYLIGCREQGGQSSAGEFYRFLSEFQKASRNFAKRQLTWFRNERIYHWLDASKPLETVLNFIHDAYHNQNGNLFVPEHLRMSRDITNPRIAAKLKAYRTRNRHFVNGEDCYPILEWIQKTQK >RHN67412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26986887:26988389:-1 gene:gene15601 transcript:rna15601 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKGAFFVELFGVYCGLICSSTTLSSRLFARQILEKSSVFLNIQEISKFR >RHN52965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40725266:40733219:-1 gene:gene37707 transcript:rna37707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, toll-like receptor MASSSNSSIAMVTSRRRNHYDVFITFRGDDTRNNFTGYLLDALKTNGIYAFRDDTNLQKGESIGPELLRAIEGSQVFVAVFSRNYASSTWCLQELEKICECVHVSRKHILPVFYDVDPSEVRKQSGIYGEAFTIHEQTFQQDSQMVSRWREALKQVGSIAGWDLCDKPQSAEIRMIVQTIMNILECKSSWVSKDLVAINSPIEALQSHLHLDSVDGVRAIGICGMGGIGKTTLSMALYDQISHRFSGSCFIEDVAKKFRLHDGPLDAQKEILLQTVGIEDHHICNRHRATNLIQSRLRRERALLILDNVDRVEQLEKIGVHRECLGVGSRIIIISRDEHILEEYGVDVVYKVPLLDWNEAHMLFCRKAFKEEKIIMRNYESLVYEILDYANGLPLAIKVLGSFLFGRNVTEWKSALTRLRESPDNDVMDVLQLSFDGLKETEKEIFLDIACFFNRKSEKYAKNILNCCRFHADIGLRVLIDKSLMNINGQNLEMHSLLEELGRKIVQNSSSKEPRKWSRLWSTEQLYNVMLENMEKHVKALVLNDEEVGLNVEHLSKMSNLRLLIIMWGVNISGSLLSLSNKLRYVQWTGYPFKYLPSNFHPNELVELILHSSNIKQLWRKKKYLPNLRGLDLRYSKKLVKIVDFGEFPNLEWLNLEGCISLLELDPSIGLLRNLVYLNLKDCKNLVSIPNNIFGLSSLKYLYMWNCHKAFTNQRDLKNPDISESASHSRSYVLSSLHSLYCLREVNISFCRLSQVSYAIECLYWLEILNLGGNNFVTLPSLRKLSKLVYLNLEHCKLLESLPQLPFPTNIGEDHRENNNKFHDLFTRKVTQLVIFNCPKLGERERCSSMAFSWMIQFIQAYQHFYPASLFEGIHIVTPGSEIPSWINNQSVGSSIPIDRSPIMHDNNNNIIGFVCCAVFSVAPNQEILPWIADIKLVIDSLSSFSVPVILKRYLITTKSSHLWIIYLSRESYDKFEKISCYIVGGEDLGMEVNSCGYRWVCKQDLQEFNLTMMNHKISLAPKCKIMAIEQPEQEGHEESQPDTFGFVKCNRRSAGGTNFPRFQFIIPKKAIKLKRNKSRTSISATETEVSAEAPDSPVADEVSTESQQKKISNLHIIGRFIGRFLSNCCQQGNTDTDTDTVDSDAESLVSQEDSDAVISIIEEAIQTDIATCNVETDLPVGRFLDNCCQQGSTDTDTDIRYDTDTVNSDAESLVSEEDLDAVNNYLKCTSSSRRRR >RHN76710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:617815:620722:1 gene:gene78 transcript:rna78 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MTHIGLVSLQPLFYAPLIKFQPSITAFPSHPSLSNLSLFLSLPLHSSNVSLNLKTKAPYKMSKAVVYTVIATATLLIFIVLSPLNLEESKGRLNNRRFGYKILERAPTFDPLVTKIERESEQKNQQHKNDFDNNKNVAPRTGLGSTTTVSEIKETYEYLTSGGTLNTTLRLIILFPLLDRDPKDGFVGFNELESWVTQRALERLDYATQVELESKDKNGDLALSFREYLPDLSEKDIEKKNMAHGEAGWLMEKFDVADYDHNGLLNFTELRDFLHPEDSQNKEMLKWMVNDKFKHMDDYEHDGKINFNQFEDNVYVTYESYVDFETNGEGDIPTAKDKFAELDVNKDQFLSPEELFPIIPYVYPGELAYAKYYTSYLMNEADDNEDRKLTLDEMLDHEFAFFNTVHADGHVEIDDDDHDEL >RHN45280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14311894:14312880:-1 gene:gene39525 transcript:rna39525 gene_biotype:protein_coding transcript_biotype:protein_coding MFPNANLDNLVAPSSDHYRILLNREPSIRPCRARKNFKFENAWRLKPSLKEVVQNCWQQQSESDLVTRLGGCAEELKHWSKTHCNQLRLDIEECRRNLARFRGSDDAELFESLQRKMTQLLVQEDVYWKQRAKSHWYKEGNLNNKFFHASATARKKVNKILFLEDEAGVRVTDDNGMASVAKGYFDELFRAK >RHN65144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64492697:64498227:-1 gene:gene28048 transcript:rna28048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP-binding protein EngA MSNSNIFLFRWTRRTFSRGFCTKKKNLDFTKVEISRLPTVIILGRPNVGKSALFNRLIRRREALVYNTPDDHVTRDIREGVAKLGHLRFRVLDSAGLEAEATSGSILHRTASFTANVLSTSHSLLFLTDARAGLHPLDQEVGKWLRKNAPQLKPILVMNKSESLFDVDGSLASSANEMSRLGFGDPIAISAETGLGMHDLFLSLQPVLEDYMLNESAKENTCGDESSFPEADESKLPLQLAIVGRPNVGKSTLLNTLLQEDRVLVGPEAGLTRDAIRTQFEFQGRTIYLVDTAGWLQRTKLEKGASSLSIMQSRKSLLRAHIIALVLDAGEIVSAKRSMKHAEVVIARRAVEEGRGLVVIVNKMDLLRGKNKSASYEQVLEAVQQEIQTIIPQVTGIPVVFISALEGRGRTTVLHQVIDTYEKWCTRLSTARLNRWLQKVMSRHSWKDQAAQPKIKYFTQVKARPPTFVAFVHGKTKLSDTDTRFLTKSLKDDFDLGGIPIRIMQRSIAKKDVGGSSKSSHPIGRVALRIKSDKRDKGDLVESKA >RHN56835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34088415:34093559:-1 gene:gene32294 transcript:rna32294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MAPVSLPPGFRFHPTDEELVAYYLNRKINGHKIELEIIAEVDLYKCEPWDLPGKSLLPGNDMEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSQARAVGVKKTLVYYRGRAPHGSRTNWVMHEYRLDERECETTPSLQDAYALCRVIKKSTVIVPKVGGQYGNITSDQSPRVELYSERRGEDLDSSNYLMQMKDCSPHNMVNETSLNINGTSRDHGNWLQFSSQDPLFSLPTSSSFPNYGAITYPPSKVDIALECARMQHRFAMPPLEVEEFPQVGIPHQTQQGSRTRNDIMQGILSLTHASQGLMNQSSYSQALDHNDNYAPYEDDFTFMVGTNYNHINDMSSMGYVDKTWEDLNTRSIEIGDLEDQESKANRVVENLRWIGMPREDFEKMEEQNVVPIEDISCYQTLREHNGMQEYERHNNNVEQNDNDVDEFSWGFINDGDPNDNFIEDGNMDGYSNSPSFEIIEETKVSHRMLVSTQQVAMTLFHQVVPSQTLQIQLNPMMPNNPNSKENVDAIMMIMENQGSFFRKFKAYVMGKWNLFNPSKEIASLVVFVFALLVMHRVYLEEEVEICKPNPKNVKEKRMRESQERVKWNESKEVWFVGVKCEKGLSVAFKEIGIFLTIFLALCTTWASHG >RHN55091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15100564:15104312:1 gene:gene30219 transcript:rna30219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MVTEGGVTAIMIVTQFVEVGGDTLMKSATKDGMSIFIFIFYSNILALCFLLPSTLFHHRKRSPPPISTSIFCRMLLLSCLFTAVQILMNTGIGYSSPTLASAMVDLVPAFTFILAVISRMEVLNMKKHSSQAKIIGTLVSIVGALTVTLYKGMPLINGAFQNIEMGATEIYLLGKSDWIIGAFLLATASFLLSVLFITETWTIKAYPEELLVTSICISLVVIQSGIVALIVEGNSNAWILKPNKELVAVCFSAIFVVSLRSVVNKWAFRKKGPIYVAMFNPLRVVIALGMGILFLGNNLYLGSMIGASIIVIGFYAVMWAQAQEEHTTSENDFLPSSSTPLLSTKNIDH >RHN81971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50237270:50241119:-1 gene:gene6077 transcript:rna6077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pleckstrin-like, plant MDKAQTHFWRPDPLHSSMFRPPETPQAPMEFLSRSWSVSALEISKTLSSSSSSKPPINTTIEENITEETSSSSSVSLNPFSFASTETTQMIMERIMSQTEDVSVSPRTSGRLSHSSGPLTDSCPVSPSEIDDIKYGRSNNGGGVSLNSYLGGRPPGGGGKTVGRWLKDRKEKKKEEARAHNAQVHAAVSVAAVAAAVAAIAAATAASSGSGKDEEKASTDSAVASAATLVAAQCVETAEALGAEREHLAAVVSSAVNVRSAGDIMTLTAAAATALRGAATLKARALKEVWNIAAVIPVERNLGASGGNGNGSSHSSFSGELVPEDIFSGTIYSRELLARGCELLKRTRKGDLHWKVVSVYINKMNQVTLKMKSRHVAGTIKKKKKNVVIELIKDMPAWTGRHLLEGGENRRYFGLKTLLRGVVEFECMNQREYDVWTQGVSSLLSIAAEKNTRNRF >RHN77316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5969244:5972788:-1 gene:gene758 transcript:rna758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronosyltransferase MDVSNLHKLVKTAPSKALIMRFNLLCLSIFLIVYATLLLRPSSSVYFDNAASLVRCSLRECHHKGEDSMKMKAVLEELPKAKSRNPKNGTKIEVPSFLGEKIGKGIKIGMVNMDEDDDVSEWNVHGETIPINFDKVSQFFNWTDLFPEWIDEEEESDVPTCPELPMPEFATYENMDIIVAKLPCKYPLEGWGREVLRLQVHLIVANMVVKKGKKDWKWKSKVVFWSKCRPMLEIFRCDDLVKHEGDWWFYEVDVKKLEQKISLPVGSCNLALPLWEQGIDKVYDISKMEQNVRSKTRAKHEAYATVLHSSESYVCGAITLAQSLLKTGTNRDLILLIDSSISVRKRRALAGAGWKIRTITRIRNPRAENGTYNEYNYSKFRLWQLTDYEKIIFIDSDILVLRNLDILFNFPQMSATGNDQSIFNSGIMVIEPSNCTFSVLMSRRHDIVSYNGGDQGFLNEIFVWWHRLPRRVNYLKNFWANTTVEASVKNGLFAADPPKLYAIHYLGLKPWHCYRDYDCNWDVMDQRVYASDVAHQRWWNFHDRMDKKLQSFCRLTRQRRTELNWERRRSNKMGSLDYHWRINVTDPRKSGSLLMD >RHN51426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17602356:17603010:-1 gene:gene35858 transcript:rna35858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eukaryotic translation initiation factor 3 subunit C domain-containing protein MWKKFVQNLIVILNILRQHPNITVDDSQEPDVTICVWGNLVAFLEKINAKFFKSLQRIDPHTREYVERLQDEPMFVILAQMVKEYLERIGDFKDVYEAIRTLAEPENSRTLMDILVTLIYKYADDCTKARAMLSDIYHHVLHDEFAKPRDLLLMSHLKENVHHMDVSTQIHSNRAMSQLGVCAFRDGMVSIIVLLWF >RHN62743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45591239:45593483:1 gene:gene25348 transcript:rna25348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L44e MVNVPKTKKTYCKSKECKKHTLHKVTQYKKGKDSIAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHAIKRCKHFEIGGDKKGKGTSLF >RHN46148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29231936:29233754:-1 gene:gene40591 transcript:rna40591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MINELFLRMNGSKNSNSILKNYDCKYISLQVVNHGLQKELIQRMRNVSDEFFNLPIEEKEKYAMPSNDIQGYGHAYVVSEEQTLDWSDSLILMIYPKRYRKLQFWPKTPHGFNEIIEAYSSEVKRVGEELLSSLSLIMGLEKHALFGMHKELLQCSRVNYYPTCNTPDQVLGLSSHSDTGTITILMQDDDVPGLEIRNKGNWVPVIPISDALVVNVGDVIEILSNGKYKSVEHRAVTNKNKRRTSHASFLIPRDDVEIEPFDHMIDAENTKIYQKVKFGDYLRQSMKRKMEGKTHIDVAKIKEQLIEEQIKENA >RHN52286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33495510:33500771:1 gene:gene36944 transcript:rna36944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartic peptidase domain-containing protein MRPNQNFYKNPQGSYGQTVPPGYTNNQRVAQKSSLEIMLENCMMNQNKQLQELKNQTGSLNDSLSKLNTKVDSIATHTKMLETQISQVAQQVATSSQTPGVFPGQTETNPKAHVNAISLGGNKLEETITKAKSVKGESVKLLGEKDAIKTPLDKNKTLNPLRLTKLNLEAQFAKFLNILKKICIKIPFVEALSRMPLYAKFLKEIFSKKKAIDHKETIALTRESSAIIKKQPQKLRDPGSFAIPCVIGKETVDKALCDLGASVGLLPLSLFKRMGIGELKPTEILKLADRSTIPLAGYIENIPVKIEGIYIPTDFVVVDIEEDLDVPIILGRPFLATAGAIIDVQSGRIVFQASDAMIGFELENVMKGPALYSCNMIDVHNVKERFLASTTQYDLFDPF >RHN56407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30648767:30660145:1 gene:gene31803 transcript:rna31803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GBF-interacting protein MSGSGFKASIPNSVKKTIQNIKEITGNHSDEDIYAMLKECSMDPNETTQKLLLQDTFHEVKRKKDRKKEILNNREHVEPRGRPGTHGRGPRGGRGNFSPHDTTGRKASVTGKDSGALLPSEKVAPHLSASQEIVYKGKSSGTSSAPIIANGPTNMASGTISGVGPSPSSAGNGDIMVQSSGNNNNNDVHSASPSDKSNQVATDASGTGPASSSAVHFSSSDPVLVPSDNSWFPGAAGAIRREVGSQHSLGESNAVTSAKNKLTAASETGSSAVQGKIQDKSQGVAKNHGNEIPSPSTPVTHGSPSVSRPSSNYNNRSQQQVGSQKVGSNKEWKPKPTNTSNQNSGPVIVSEAPPVSAEVTRQLQSVSSALDTEEAASKLQKKLEDFHIPQRQHVILPNHIIVPDSEKNKFCFGSLGVNFGVNTTIDVSGPDSEKSSTPLSETSQDIEETVEEQHSSQNGVVTSEVGDYPDHPQSPSNVPVNLESSEVDGSSSAIQEFNESKQDTALPPEGHQYPGMHVSPNYGFGFVPPMSGTQLTSFDNSESQTRDVSRLPSFIVQPQVDPSYYAQFYRPGADSDGRVSPFASAGATTKYNSNVAVLPTPNSQTPQEGGILSNAGQTPIATQAAGLMQSSIPVTQQPLPVYRPGVQLSHYPPNYIPYGHYFSPFYVQPPAMHQYLGNGAFPQQPQASTVYPPPPAVAAPGMKYPLPPFKPGTNAANPAHLVMPNTFGIYGSSPAGYNHNSATTAGNSASNEDLGSSQFKENNVYISGQQSEGSAVWVAAPGRDMNNLPTSSFYNLPPQGQHMTFAPTQAGHGPFTSIYHPAQAVTAATVHPLLQQSQTMAGAVDMVGQGGNVYQQPQHAQMNWPSNY >RHN55200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16039267:16043812:1 gene:gene30343 transcript:rna30343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative MT-associated protein TORTIFOLIA1/SPIRAL2 MSSRRHSLSGPPQLTATTPPNLRQRVITCLNKLSDRDTLAGATVELESIAKTLNHDTFSSFLSCIHNTDSSTKSPVRKQCVNLLTLLSKFHGDALSPFLSKMIATVLRRIHDPDTVVRSACVEAVAEMSLRITRPAFAVAFLRPFMEALTVEQDVNAQIGGALCLAAAVEAAPEVDVELLRRNTLPRLGKVLKTESCKAKAPVLVLIGSVVSVGGAASRGTMNWLVPYLVELLGSEDWTVRKASAEALGKVASVERDFATQHKVLCLESLQNRRFDKVKVVRETMNRVLDMWKEVKDVSENVSSPVKSACASVGTDDGNGRCGTRSSPVGSKFSQPKKMVPSNRSPPSTGSILSSGKRESPLNNNDKNSRMGMLHQQAHKKLSDEKLESPISKSSLSNMTKEDDIKRCDFEASKPAPNQNATNLRADVKRVFGNKMSDEKIRKFGGSKARVVPCYDDDDLETDVTVNNDNEICESPQDVEDLSLIRDQLVQIENQQSNLLDLLQRFIGTSQNGMNLLETRVYGLEMALDEISYDLAVSSGRIPNTDAIDDMCCKLPGTDFLSSKFWKKTDSRYTTSRLSFGSSASTNLVHNATDRNGSKEIFTTNSKRFQHRRDEGGSFMNPLAEIQSNLKGSSGQHSYKISKNFAQDAGSAQSNSSSRFDGISSTIEVLRNQNIRSSA >RHN56817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33963676:33964688:-1 gene:gene32271 transcript:rna32271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDHLMYSNPKLLDCCNCSQPLTIPVFQCDNGHIFCSTCYPKLGNKRHKCSLRISSKRCKAIENLLLSIEMSCPNVNHGCNEKISCIGKRKHEEECIHVPCCCPVSSCDFVASSEVLSKHFSDKHGDSHIKFSYGHSLIVYIKSNDETIVFQEETYGKLFILYNRATLLGNAINICCIGPNSFESEYRYYILARSQMCKLKLQSFAKDVQRVAFATPSSEFLLIPFGSSFIVILTDRCSDSCSRV >RHN43531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46569321:46574678:-1 gene:gene50127 transcript:rna50127 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP716A12 MEPNFYLSLLLLFVTFISLSLFFIFYKQKSPLNLPPGKMGYPIIGESLEFLSTGWKGHPEKFIFDRMRKYSSELFKTSIVGESTVVCCGAASNKFLFSNENKLVTAWWPDSVNKIFPTTSLDSNLKEESIKMRKLLPQFFKPEALQRYVGVMDVIAQRHFVTHWDNKNEITVYPLAKRYTFLLACRLFMSVEDENHVAKFSDPFQLIAAGIISLPIDLPGTPFNKAIKASNFIRKELIKIIKQRRVDLAEGTASPTQDILSHMLLTSDENGKSMNELNIADKILGLLIGGHDTASVACTFLVKYLGELPHIYDKVYQEQMEIAKSKPAGELLNWDDLKKMKYSWNVACEVMRLSPPLQGGFREAITDFMFNGFSIPKGWKLYWSANSTHKNAECFPMPEKFDPTRFEGNGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHNLVKRFKWEKVIPDEKIIVDPFPIPAKDLPIRLYPHKA >RHN51793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25210620:25214657:1 gene:gene36316 transcript:rna36316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MMMFWKMWFNGKPKMDFIQCLGPDLSIKILTSLDDRCDLVRVSAVSKSWYRFVIENGLCTQL >RHN50714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9695078:9699643:-1 gene:gene35037 transcript:rna35037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b5-like heme/steroid binding domain-containing protein MSYFNTTITETITYYTGLSPTAFFTITLLSILVYRTVTSMFVSPQDFNKPPVVSARFGSSRFNDVTEPPKKPVQVGEISEKELRLYNGSDENKPILISVKGNIYDVSQGKNFYGPGGSYAMFAGKECSRALALLSFKPQDINGNLEGLDESELAILEDWEYKFIDKYPKVGQLVPEPRTQQIEHSQEDNSNMKPE >RHN48101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45021109:45022712:-1 gene:gene42776 transcript:rna42776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKRRSKKPKIELPDCILSIIFSKLGLKDLVKTSALSKRWLQEWRLRMDLNFDLQNMFELRYNTVQELPKSLPLLQGFQSEFAKRLDQFMLHYQDDMISSIRVNFPLGDEYRSVIGRLISQGIAKGAKSIELLLSYETNDRDFVVEIEPYKFSMTLLSHTDSLTNLHLQKCCLVAPMDFSAVSLPTARVPPSQSNPLLQDVAVRQQWCAWLFSRRHHLLAVGVGCPPRWFCFTSFLLFGLFVRVGTSQISVCFGAVEFPLGYRLCTRHHLFQPLCVLCSVGRCLFFARAAELTVFSDPVAPTPPSIWVLFVVFVLFGLESGRCSKGFGA >RHN75226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40343721:40348984:1 gene:gene11399 transcript:rna11399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MGFQHLLIFISVLSLALAGGSHASVPEEEYWEAVWPNTPIPTSLLELLKPGPKGVEIDDLPTEIDDTQFPTNFFYEHELYPGKTMNMQFSKRPLAQPYGVYFWMHDIKDLQKEGYTIDEMCVKNKPKKVEEKFCAKSLGTLIGFAISKLGKNIQSLSSSFIDKHEQYKIESVQNLGDKAVMCHRLNFQKVVFYCHEVHGTTAFKVPLVANDGTKTHAIATCHADISGMNQHMLHQIMKGDPGSNHVCHFLGNKAILWVPNLGLDNAYGANAAL >RHN66337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11031116:11036444:-1 gene:gene14297 transcript:rna14297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAATMIGGAFLSATVQTLVEKLASKEFRDYIKNTKLNDSLLRQLKTTLLTLQVVLDDAEEKQINKPAVKQWLDDLKDAVFDAEDLLNEISYDSLRSKVENTHAQNKTNQVLNFLSSPFNSFYREINSQMKIMCESLQLFAQNKDILGLQTKSGRVSHRNPSSSVVNESFMVGRKDDKETIMNMLLSQRNTTHNKIGVVAILGMGGLGKTTLAQLVYNDKEVQHHFDLKAWACVSQDFDILKVTKSLLESVTSRTWDSNNLDVLRVELKKNSREKRFLFVLDDLWNDNYNDWGELVSPFIDGKPGSMVIITTRQQKVAEVAHTFPIHKLELLSNEDCWSLLSKHALGSDEFHLNTNTTLEEIGREIARKCGGLPIAAKTIGGLLRSKVDITEWTSILNSNVWNLSNDNILPALHLSYQYLPSRLKRCFAYCSIFPKDCPLDRKQLVLLWMAEGFLDCSQGGKELEELGNDCFAELLSRSLIQRLTDDDRGEKFVMHDLVNDLSTFVSGKSCSRLECGDILENVRHFSYNQEIHDIFMKFEKLHNFKCLRSFLCIYSTMCSENYLSFKVLDGLLPSQKRLRVLSLSGYKNITKLPDSIGNLVQLRYLDISFSYIESLPDTICNLYNLQTLILSKCTTLTKLPIRIGNLVSLRHLDISGTNINELPVEIGGLENLLTLTLFLVGKRNAGLSIKELRKFPNLQGKLTIKNLDNVVDAREAHDANLKSKEKIEELELIWGKQSEDSHKVKVVLDMLQPPMSMKSLNICLYDGTSFPSWLGNSSFSDMVSLCISNCEYCVTLPPLGQLPSLKDLQICGMKMLETIGTEFYFVQIDEGSNSSFLPFPSLERIKFDNMPNWNEWLPFEGIKVAFPRLRVMELHNCPELRGQLPSNLPCIEEIDISGCSQLLETEPNTMHWLSSIKKVNINGLDGRTNLSLLESDSPCMMQHVVIENCVKLLVVPKLILRSTCLTHLRLDSLSSLTAFPSSGLPTSLQSLEIEKCENLSFLPPETWSNYTSLVSLYLWSSCDSLTSFPLDGFPALQLLDIFNCRSLDSIYISERSSPRSSSLESLYIRSHYSIELFEVKLKMDMLTALEKLHMKCQKLSFCEGVCLPPKLQSIWFSSRRITPPVTEWGLQYLTALSLLTIQKGDDIFNTLMKESLLPISLVYLYITDLSEMKSFDGNGLRHLSSLQTLCFWFCDQLETLPENCLPSSLKSLDLWKCEKLESLPEDSLPDSLKQLRIRECPLLEERYKRKEHWSKIAHIPVIDINDEVTI >RHN58973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6322323:6325442:-1 gene:gene20945 transcript:rna20945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MRITILSLFSFLLFCYCIYITFQISLASAKCLDDQESLLLQLKNSLMFKVESSSKLRMWNQSIACCNWSGVTCDSEGHVIGLDLSAEYIYGGFENTSSLFGLQHLQKVNLAFNNFNSSIPSAFNKLEKLTYLNLTDARFHGKIPIEISQLIRLVTLDISSPGYFLLQRLTISHQNLQKLVQNLTKLRQLYLDSVSISAKGHEWINALLPLRNLQELSMSSCGLLGPLDSSLTKLENLSVIILDENYFSSPVPETFANFKNLTTLSLAFCALSGTFPQKIFQIGTLSVIDLFSNENLRGSFPNYSLSESLHRIRVSDTNFSGPLPSSIGNLRQLSELDLSFCQFNGTLPNSLSNLTHLSYLDLSSNKFTGPIPFLDVKRLRNLVTIYLINNSMNGIIPSFLFRLPLLQELRLSFNQFSILEEFTIMSSSLNILDLSSNDLSGPFPISIVQLGSLYSLDLSSNKFNESLQLDKLFELKNLTSLYLSYNNLSIINGKGSNVDLSTIPNFDVLRLASCNLKTIPSFLINQSRLTILDLSDNQIHGIVPNWIWKLPYLQVLNISHNSFIDLEGPMQNLTSIWILDLHNNQLQGSIPVFSKSSDYLDYSTNKFSVISQDIGNYLSSTKFLSLSNNNLQGNIPHSLCRASNIQVLDISFNNISGTIPPCLMTMTRILEALNLRKNNLTGPIPDMFPPSCALRTLNFHENLLHGPIPKSLSHCSSLKVLDIGSNQIVGGYPCFVKNIPTLSVLVLRNNKLHGSLECSHSLENKPWKMIQIVDIAFNNFNGKLLEKYFKWERFMHDENNVRSDFIHSQANEESYYQDSVTISNKGQQMELIKILTIFTAIDLSSNHFEGKIPEATMNFKALHVLNFSNNCLSGEIPSSIGNLKQLESLDLSNNSLIGEIPMQLASLSFLSYLNLSFNHFAGKIPTGTQLQSFDDSSFKGNDGLYGPLLTRKAYDKKQELHPQPACRSRKLSCLIDWNFLSVELGFIFGLGSVIGPIMFWKQWRVGYWKLMDKILCWIFPWMHFEYVKQGGQTYTVLRW >RHN77798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9492368:9502213:1 gene:gene1291 transcript:rna1291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MVSTTIFGRKTSYARCVIVFLYLFLLPHKTYSQPCSSSCGKISNINHPFRLKHDPDHCGNNLYELNCVNNVTVLKLFDAEYFVQSINYNNYTIRVVDPNIQPNNCSSLPRFFLYRTNFTYYTNSYEYSLLRSSSYDGIDLSSTSYVFDGIDLSRPVIYMNCTSPPSNNVNMYYTDTSSCMGQHNIYAIVGDPQLGILEPQCRVKLVTLTSFWVPPPVFSINNIIGNVSYIDIHKALGYGFEISWILAFCPCDHCYLKDPAGDILCYDPKPNCTNCSRWWMHLAFILYDFVSGIYQGLCELASIKFDWEYNYNYANKVGIVTGKYVLPYIAVRIMLGIILFSALLIYTYRRRHASIYENIEDFLQSNTLMPIRYSYKEIKQMTKGLKVKLGEGGYGDVYRGNLISGPFVAIKMLKIKSKTNGQDFISEVATIGRIYHSNVVRLVGFCVEGSKRALVYEYMPNGSLDKYIFNKEGVISLSNDQIYEISLGVARGISYLHQGCDMQILHFDIKPHNILLDENFIPKVSDFGLAKLYPIDKSIATLTAARGTIGYMAPELFYQNIGRISHKADVYSFGMLLIEIASRRRNLNSHAEHSSQLYFPFWIYDQLVKSTVREMEDVIMEEINDVLKKIFIVALWCIQLKPVDRPSMNKVVEMLEGDIENIEMPPKPLLYPHETNQDNLDSNSNETESDWSTSYVEEIVTNPLLK >RHN79886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33184869:33188522:1 gene:gene3737 transcript:rna3737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Jas TPL-binding domain-containing protein MSFDNQPFWMGKSSGNLNDSDDMTYENSSRLESKRSNQSFMDGLEVDLFPNKKQAVEAPNSLSSGMLSSNILSWGNSTLHSLTGHFTSQLFDPGAAIMNFEDTNVCPLTIDNKLSAERKDILDPFGGDASFGLSMSTTLEDSQPVFNHNGIRKVKVNEVKEKESENFMSVPSNNPYDGGVSNIVSNTHAFKEGDYSISTCLSYNKGDANVILTGGAFDRTENNLVSMSQSYNKGDGKLSIPSTYTDICTAISMDEGYSNVDSNVVSIAQAYNKAHDNFMLSNHLFNKAGDGTISMGNTYHQRGNDMPFVSCSYNKGESSIISFGGCDDDDATPSDLFVSDYGLFMGQAPSHMSQVAKERGLVRSSSKVPLSTGQTSASETENVPKTKEEMKMSKKATSNNFPSNVRSLLSTGMLDGVSVKYKAWSREKELRAVIKGAGYLCSCPSCSFSKVINAYEFERHAGCKTKHPNNHIYFENGKTIYGVVQELRSTPQNMLFEVIQTITGSPINQKSFCIWKESFLAAARELQRICRKAIVIKKQI >RHN49193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53201908:53203420:-1 gene:gene43999 transcript:rna43999 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLCRRPRRHASRNRRLLNTSSPSSYHRPSPPSHRRLIALLTY >RHN67513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27859075:27859993:-1 gene:gene15708 transcript:rna15708 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIIAQLEKFLVEGARHGFNNGFAQVKVVNPRVELVTTRKHYLKHVEVSVLVTPEDFEEDEMVNEDQA >RHN56647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32553912:32555175:1 gene:gene32079 transcript:rna32079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MAQLENPVAIDRISSLPDDILCHILSLLPTNLAFTTTVLSKRWTQLWYSLASLRFDNEKIVRHLDNYNRFSCMVDAVMISLRETNQTMKTFHVNCGFVYCKTGQRIFDAWVEAAKQRRVEEFNLSIISGITLILNPTILTSQTLVILKLERLVVKAENLCVDLPSLKILHLVEICFKYKNDFMKLLNGCPVLEDLHTRVEENNAAEGFKPLSKLVRADINSYDVPFDAINNLEFLCIRVAPENTFKTIPLFQNLIHIKLWLYDFIHGWDGVVELLQHCPKLQVLFVRRWISSLDKEWKCPILALECISCHLRSCTILDFKGSADDMRFATYILQNANILQDMAIIVDTSFSSRTYIQNRPIREELFSCRKISTRCRLLFQQYSY >RHN74321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31811571:31813692:1 gene:gene10361 transcript:rna10361 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRRFLKKDHKFRLNRSRFNGKFEEREPPSTLSGLDILNQLKNIDVTFGKEPESNDKGKKSRGKKPVEKGKKSVEKGKKPVEEGKKPVEEGDRPIRKRKRPVGKKKRPIEEEEKPTIEDIKKQWRKKSIFFDLPYWESNLLRHNLDPMHIEKNVCDNVVYTLLNDSGKSKDNLNARKDLKKMRIRKDLWPDDNDKFRPSIFTMSNSKKDVFLQTLKNTTVPDGYSSNISRCVDLKNRKLFGMKSHDSHVLMEQLLPLAIRNVLPDNVTAVLIELCSFFRQLCAKSLSLSDLDRLQDRIILTLCHLEILFPPSFFTIMVHLTIHLVDEAKLGGPVHYRWMYPIERYLGHLKGYVRNKAQPEGSIAEGYVAEEALTFCSLYVEGIETRINRPARVDDYPDDSASSRTSTIFPPMGKAVGAFQSFELSDMVKTQAHRYVLFNCPQVKPYIDEFKDYLWRRSKGRRPTTTEIEKIVNKDFMDWFPRRIMNPDISSTIPDDLKYLAKGPSTQARRCSAYNINGFKFRTVA >RHN40930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24528143:24533245:-1 gene:gene47176 transcript:rna47176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynamin GTPase MSSTTTPTKTPSTSTRSRKHSDSKSRFEAYNRLQAAAVAFGETLPIPEIVAVGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMLHDPSALEPRCRFQEEDSEEYGSPVVSASTIADIIKSRTEALLKITKTAVSPKPIVLKAEYAHCPNLTIIDTPGFVLKAKKGEPENTPDEILSMVKTLATPPHRILLFLQQSSVEWCSSLWLDSIREIDPTFRRTIIVVSKFDNRLKEFTDRWEVDRYLSASGYLGDNTRPFFVALPKDRGNISNEEFRRQISHVDSEVMHHLRDGVKGGFDEEKFKSYIGFGRLRDYLESELQKKYKEAAPSTLALLEQRCSEVTCELSGLDSKIEATSDISHLRKFAMLCAASISNHVGALIDGAADPSPEQWGKTTVEERSQSGIGIWPGVITDVNPPNATLRLYGGAAFERVMHEFRCAAYSIECPPVSREKVANILLAHAGRGGGRGLTEAAAEIARAAARSWLAPLLDTACDRLAFVLGSLFDLALERNRRHDSAYGIKTGNMDGYVGFHAALRCAYTRFLKDLAKQCKQLVRHHLDSVTSPYSQVCYFNDFQPCAGINASGFNKFPQASASSFFLELSDTNSASHDVRKDQENIPPEKNALEETTPGKAANVRESLRESQMTIPETPSPDQPGDPVYGGHRKELGICNDMGPRKRASRMTGNGKNSDYIRLQNDSILFGNGERTGSAYSEICLSAAQHFARIREVLVERGVTSTLNSGFLTPCRDRLVVALGLDLFAVNDEKFMDMFVAPGAIDVLQNERESLMKRQKILHSCLNEFKNVARSL >RHN71906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3496995:3497650:-1 gene:gene7557 transcript:rna7557 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQNMPRSRVAGVAGLLVRELELHSPAEDCHRLNMFGGPCTDLGDEGCRNDAPTPVTSNPLDSNGLWPRKRRMSERDAAFGLSTSVDLGAYLGIMGSRREIVTTLWKTGLEGVWYKWQTSSFASPDSTNPPIQNDRELDGSAAGLTPVQCVKEDGYELYSWLV >RHN50360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6480679:6482936:-1 gene:gene34637 transcript:rna34637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MSSPFPMTDSSSTIIPDPSKFFSKNLLSKPLPTNSFFQNFVLNNGDQPEYFHPYLIKSSDSSLSVSYPSISISPSAISQVFTADLTITSATKTSNEKHVVSSFSDLGVTLDIPSSNLTFFLVRGSPFLTFFVADSTPLSITTIHSIRSFSSNDSFTKYTFKLDNDQTWILYSSLPIKLSHGLSKITSEAFSGVIRIALLTNSNSQNEEVLDMFSTCYPVSGDASFNEAFTMEYNWEKKGSSSDLLMLAHPLHIQLLQSNSTDHNVLVFDDFKYQSIDGDLVGVVGDSWLLETDPVYVTWLSTNGVKKESRDEIVSSLVKDVGSLDSLKITTKDSYSYGKLIGRAARFALIAEEVSYLDVIPKVKKFLKETIEPWLDGTLNGNGFLQDDKWGGIVTIQGSVDSNADSGFGIYNDHADHLGYFLYGIAVLTKIDTAWGEKYKSAAYSLMKDFMNLNSGPDSDDTRLRYYDLYHGHNHSPGLIQYKDGRNHKSTSQAANAYYSAALMGLAYNDADLFILGSTLLAFGIKAAQMWWHIKEGGKLYAEEFTKANRIMGFLWSNKRESGLWFAPPEYKECRVGVQLLPLLPISEVLFSDVEYVKQLVEWALPALKRDGVEEGWKGFVYALQGIYDKENALKNIRNLKGFDDGNSLTNLLWWIYSRE >RHN56323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29832118:29833842:1 gene:gene31707 transcript:rna31707 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVLLQHLHAIYEEIADNHEYSKDLPTYLKYRRDVVFDGSKEQSYDNYEEQRMIEILRNFYQNEKNTTKPSEHAGKSNTSFNRLRLTDEGHIRRTSKMKNVRRECFNDPSLDDGTCRVDDFPGSLNNDNSSRLRHMVKTQFSTRTAVQEKL >RHN45148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12281699:12282772:-1 gene:gene39364 transcript:rna39364 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVNSDIPPSSTPVVMLSAPDTQDNPQEAFHTPPEQASPHSSDVNVVPPSAGSQVLDDPSDDSVFLDEIKNFHDDFQLGFGEDFPPVNDDDPSDNVVPHSAGSQLLDDPSDHSVFLDEIRKFHDDFQLGFGEDFLPVNDDDSCKDIPMDKDSVPEKNAVNDVDLFRETERSSSYEIGEGSRNVNLVEGHNHNRSSGEGGEVSESLNVFDVLRYLANTANKVEDDGLTLLESLKRAGIKFPRPSWWSDDMKSELFNFDDEEQRK >RHN71436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:145998:148293:-1 gene:gene7040 transcript:rna7040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MARMRGGGEEGDRDPTKQQQHSGLVRLVQQLVTLLLVFAAGVVIGLTTTSHIHYFNTHNNNNNYLHFISQQPSASSSDVFNLDTIHTLQQQQPNNNNNNCNCNCTIIEPPSPPPPPPPPPPPPPSEEEDLLESFLHPSNITHTFSDHQLFWRASLVPKKYHYPYARVPKLAFMYLTRGPLPLLPLWERFFQGHSHLFNIYIHAPPGYILNVSDSSPFYRRNIPSQAVSWGTVTLADAERRLLANALLDFSNERFVLLSESCIPVYNFPTVYRYLIDSAHSFVESYDDPSRYGRGRYSRNMLPDIQLKHWRKGSQWFELNRALAVYIVSDTQYFSLFRKYCKPACYPDEHYLPTFLNMFHGSLNSNRTVTWVDWSMLGPHPATYGRDNITVGFIQAIRNNGSLCPYNSDMTSICYLFARKFDPSALEPLLDLSSEVMNF >RHN65412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1941034:1942215:-1 gene:gene13247 transcript:rna13247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDSEGNLHNAVSSPISLTEETIARTLTTLSLSNYTRPQLPTLPLDLISEILCRLPVKLLLQFRCVCKSWNSLITDPIFAGQHLRLSTKLLVHTSTYSYLSHLPSMYVLKSYPLNSVFTDVTTNHITQHEFPSDRYVYFVGSFNGIICLATDYGGFVTVELWNPSIRKFKELPSLGKQQNPYQVLMMYGFGYDAIFNDYKVVVILKHTDNFVDSHELKVHTLGTNVWKSIQKFPFDYDVRQPSGNFMHGTINWLVSSKNKNPPLIVSLDLKNESCKEISLPAGYGVDAYKYLQLGVLRDSLCIVIGHDVWVMKEHGNQDSWTKLFTISCMRNFNKCHATFKVLHMFEDGQVLLKYRKRLTWKLMFYNSRNGTFKFTKVENMFEVCIESLISPCS >RHN82700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55778071:55781827:-1 gene:gene6881 transcript:rna6881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MVLSNKKLKQKLRAELTLNQINAESNPPSSSSSNSLKLLLNSSTNKPILTKREKLRKLRPLQQTQSNEDEGTKNEEIGNEGLGKKKNKKRKMKVEEKEDESIEKKEKQKKKKKKKNEDGVANGAVKKEDDGVADGVVKKNDEDLANGVVVKNAKKSMKKEKQKKKNQLKKKRKKNKTAEENGKAKIAEENDSNHQEEMPQSVELANTTTTTSQENGDVATKVYVGGIPYYSSEDDIHSYFEGCGTITEINCMTFPDTGKFRGIAIISFKTEAAAKRALALDGADMGGLFLKIQPCKATQATRFTPEMKEGYNRIYVGSLSWEITEEELRKFFSNCNVKAIRLGMDKETGEFRGYAHVDFGDSQSLKTALALDQSVLFGRPVRISCAVPLNKKPVAGEKSVAVEKPGAGEKSIAVEKSVIAEKSVAGEKSGAGEKSFAVEQPGAGGKPSSVEQPSSVASGKRKNRMCYGCRQKGHNLSECPNPQIAASTAL >RHN75419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42149608:42150919:-1 gene:gene11624 transcript:rna11624 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMEEFKHFVIVKFKEGVVVEELTKGMEKLVSEIDLVKSFEWGQDIESLDVLRQGFTHAFLMTFNKKEDFAAFQSHPSHVEFSEKFSTAIEKIVLLDFPSNLVKPVKEAA >RHN52763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38558646:38560066:1 gene:gene37467 transcript:rna37467 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYIVGNKFKTLRTLFRQLLLYLTIIQRLQDKSQTSVIGKKIILFSSFSSSPPQPSCRNYSK >RHN41535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30854575:30862557:1 gene:gene47869 transcript:rna47869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rhamnogalacturonan endolyase MKMKMLSKKNNNVIFFWWLGMIMKFCFLLCACSENTSLSGGSKKINSFGSTSIVKLNTEDNQQLVIDNGIVSVTLSRPEGHVIAISYNGIDNILESENDEDDRGYFDVVWNEVGKPSTFQRIEGTNFSVIAADENMVEVSFLRSWTSSMSGKDVPINIDKRYIFRSGDSGFYSYAIFDRPEGMPAVEVDQIRYVFKLNQHRFKYMALSNTRQRSMPTMRDRDTGQVLAYKEAVLLTKPINPQFRGEVDDKYQYSCENTDNTVHGWINFDSDEPVGFWMITPSNEFRNGGPIKQDLTSHVGPITLSMFVSTHYAGKEVTMAFEEGETYKKVFGPVFVYLNTDSSKNDNTTLWSDAVQQLSKEVKSWPYDFPKSQDYFPPNQRGAVFGRLLVQDWYLQGGKFQGTNAYVGLALPGDAGSWQRESKGYQFWTRSDAKGYFKINNIVPGDYNLYGWVPGFIGDYKYNGTITITPGGVINLNYLVYNPPRNGPTIWEIGIPNRLASEFHVPDPYPTLMNKLYTAQRRDKFRQYGLWERYTDMYPNDDLIYTVGVNKYRDWFYAHVTRSKGNKTYEPTTWQIIFEHEQDIISGNYTLQLALASAADADLQVRFNDPSIYPPHFATGLIGGDNAIARHGIHGLHWLFSIDVPSDLLVKGKNIVYLRQSKALSPFQGVMYDYIRLERPSSKT >RHN53054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41543111:41544813:-1 gene:gene37803 transcript:rna37803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein PSRP-3/Ycf65 MNMLASSSSTTSSMSVLLPTTSKPLFSIRPNNVHMNKLKMKTNRFTVSAVVAEDAAAVSLQPPPQSQKLGVVVKPTDKPRLVLKFIWMEKNIGIGLDQMIPGHGTIPLSPYYFWPRKDAWEELKELLESKPWISQKQMIILLNQATDIINLWQQSGGNLSS >RHN77454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6954964:6956734:-1 gene:gene914 transcript:rna914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MNSTPKVPSPTTPYNSNIAWCVPKAGLTDVQLQANLDYACGQGIDCSLIQPGGACFEPNTLANHAAYAMNLFYHTVGQNPLTCDFSQTATLTSNNPSYNSCIYTGGNA >RHN67410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26964411:26974739:-1 gene:gene15599 transcript:rna15599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Sirtuin family, DHS-like NAD/FAD-binding domain-containing protein MSLGYAEKLSYIEDVGNVGMTEHFDPSHVLLEKIEQLAIMIKKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQREGKALPEASLPFHRAVPSLTHMALVELEKAGILKFVISQNVDGLHLRSGIPREKLSELHGNSFMETCPSCGTEYFRDFEVETIGLKETSRRCSDAKCGTRLKDTVLDWEDALPPKEMNPAEKHCKQADIVLCLGTSLQITPACNLPLKALRGGGQVVIVNLQKTPKDKNASLVIHGFSDKVIAGVMEHLNMQIPPFIRIDLFQIIVVHALSNDEKYVNWTLQVASTHAQKAALPFIKSVEVSFLDQEGFKPAILDKQPFRLKRRTAYTKAFEMVLNLNFGDGCGCSSLEVDVPIDFTSSTDGFNFDKDVIFQKLRDKAIVQSKCGQNAVIERRTILTPRSEITTYAVVTNVVHYSKAVPDSLTNGDHKKRKDSLTGTSSSRKRSKVSKGKSISKKV >RHN45021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10880713:10883772:1 gene:gene39216 transcript:rna39216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MRSIYRLVVRDRFGICYMALASRTLFSSITTYHPAEAGDFWHKEQTQDLTRSVDILTTKIGKGNNEDDILQSLISDEGVNGIHLSENLINRLLFRYKDDWKSALAIFRWASSHSNFKHSQQSYDMMVDILGRMKAMDKMREILEEMRQESLITLDTIAKVMRRFVGARQWKDAVRIFDDLQFLGLEKNTESMNVLLDTLCKEKFVEQAREIYLELKHYIAPNAHTFNILIHGWCNIRRVEEAHWTIQEMKGYGCRPCVISYSTIIQCYCQEQNFDRVYDLLDEMQAQNCSPNVVTYTTIMCALAKAEKFDEALQVVERMNAVGCRPDTLFFNSFIYTLGRAGRIDDATYVFKVAMPKANVAPNTSTYNSMVSMFCYYAREERAFGILKEMEKSGLCKPDIQTFHPLIKSCFKMREIDTWLNDILNDMVNKYQIGLDLSTYSLLIHGLCRADRCKWAFDLFEEMVDQDIVPRYKTCRLLLDEVKQKNMYQAVDKIDVLMKKL >RHN53352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1153215:1153523:1 gene:gene28252 transcript:rna28252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MHDMNEDELFWRASLAPMIHKTPFKQTPKVAFMFLTKGPILLAPLWEKFFKGNEGLYSIYVHPSPSFNETVYNQSSVFYGRRIPSKVCIQDIVFSFQEFRNL >RHN51268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15598949:15599362:-1 gene:gene35679 transcript:rna35679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SAWADEE domain-containing protein MEMPTKKEMSLTDHEQKHNYVTEYQNYEDDAWYVVMVTLQEKETLQVSYKKFNDVYKNLFPSSLFHSLEELHEFEKRFRPVSVQAQDYECHKLVHGVRVCASVRFNSNGLRFYDAVVDTVGCFIIFSFFLNVVLRKF >RHN77595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7982932:7988786:-1 gene:gene1065 transcript:rna1065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylylsulfatase MDMDLDNNKDAKPILVILVGAPGSGKSTFCEEVMRSSSRTWLRVCQDTIGNGKAGSKAQCLSSAARGLKDGKSVFIDRCNLNREQRSDFLKLRGESQIDIHAVVLDLPAKLCISRSVKRSGHEGNLQGGKAAAVVNRMLQSKELPKLSEGFNRITFCQSESNVKDAIDTYQKLGPLENLSHGCFGQKNPDSKIQSSIMKFLKKAEVPVDTASKENTIGDSTSQTSGKNDSLCKDMEKIPSAHDNSKLGSKDIEGQTNIPAGSCHNQVSLDDTPTLAFPSISTADFQFNHDKAADIIVEKVVEYSNKMENARLVLVDLTHRSKILSLVKSKAAEKNVDTQKFFTHVGDITRLYSTGGLRCNVIANAANWRLKPGGGGVNASIFDAAGPELESATKEKAKTVSPGNAVVVPLPSSSPLFTREGVTHVIHVLGPNMNPQRPNCLNNDYERGCKVLQDAYASLFEGFASIVRNTVHQNENLGKKSLELQDQSEQCSRNTDQKSKRDADHELEKSKKYKGTHDGFDTTFTGSRDEKVDSEHKRTDGSTKKAWGSWAQALHLIAMHPEKHKDDLLEISEDIVVLNDMYPKAQKHVLVLARSGGLDCLSDVQNEHLSVLKRMHAVGLKWAEKFLSENSSLVFRLGYHSVPSMRQLHLHVISQDFDSKHLKNKKHWNSFNTAFFRDSVDIIDEVSIHGKATLKDDDKLLSMELRCHKCKSAHPNIPRLKSHISSCQAPFPANLLENGRLVGACTK >RHN39509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7729849:7732495:1 gene:gene45536 transcript:rna45536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MDLTKIFCSIVHAVISAVNGISSKLGQDYVVSITIAILVILFCAQRFGTSKVGFSFAPILTIWFILIGATGIYNVFKYDVRVLLAINPKYIVDYFQRNGKNAWMSLGGVFLCISGCEAMFADLGHFNVRAIQMSFSFITLPAILAAYSGQAAYLRKFPHTVSNIFYECIPGPLYWPTFVVAVVASIIASQAIVSAAFSIISQALSMGCFPRVKVVHTSTKHQGQVYIPEINYMLMVACIVVTALFRSSEKLSNAYGVAIVCDMVITTFLVSVVMLIVWKKSIWKVSLFCIPFGCIELVYLSAQMVKFKEGGFLPLVSAVIFTVVMAIWFYAQKERYMFELKNKVSSEYLLKLVNDLNTNRMPGIGVLYCELVQGIPPIFLHFIANIPTIHSVVVFVSIKAIPITSVALEEKFLFQHVEPREWKIFRCIVRHGYNDVIGDSMEFESQLVQHLKEFITQESKYMFDLEKTTKCEEDGDDEEKSISLSCASLNSIQSLDMVEGIENEIKVIDKALEKGVVYMLGETEVVADPKSSFLNKIVVSAYNFLGRNFQQRDELMAIPRKKLIKVGMTYEI >RHN47761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42314967:42317284:-1 gene:gene42398 transcript:rna42398 gene_biotype:protein_coding transcript_biotype:protein_coding MHPHERIRVGSVDKWNMRDLIYVNTYKLIFKDYFVPQRLLIVN >RHN68479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35891628:35894771:-1 gene:gene16841 transcript:rna16841 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIAAQFSNYLCRRRVTINQQSRNFSSSNSKDEHLLLEHEVERKFGWLLKSIFFGSALYAGYQFFPYMGENLMHQSVSLLRVKDPLFKRMGASRLARFAKDDGSRMKIVELGGDKELINMLSTAKDDRTRKAALNALSQLSQSDEVLASLYRAGAISVIRSAPSSLEDADIEKFKSSLMKRFQDLKYDVSS >RHN51804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25646241:25651381:-1 gene:gene36338 transcript:rna36338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant organelle RNA recognition domain-containing protein MKTFPNSMRFGFIAINSWRKNCCYSHCERWMTTSKRVQDRSKKKRVHELEAATEKWKITSKIVFLIELLEQEPEIVIPVRSLSHYRKQISLPKPHRISDFLRKTPKLFELYKDRNGVLWCGLTQKAEVLMEEHKRVIEENEDKAAEYVTRLLMMSVDKRVQLDKIAHFRRDFGLPMDFRTHWVHKYPQLFRVVKPSLDDVEFLELVSWNSEWAITEIEKKNKMIVEGVTETEHTPGLLSLPFPLKFPANFKRVHSYYGEKINMFQERTYLSPYADAKGLKPGSLEFDKRAVAVMHELLSFTVEKRLVTDHLTHFRWELVMPQKLMRLLLKHCGIFYVSERGKRFSVFLTEAYEGSELIEKSPLFLWREKLLSLVGYRGRKKKFEADSDSDEEGGDGLRLLQSDSDEEDLNVELEQQDTLEYKDPLLEDDSEMDVRGIS >RHN67164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24511612:24513928:-1 gene:gene15315 transcript:rna15315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ubiquitin domain-containing protein MSSGAAAPNTEEDKKPEAGGAHINLKVKGQDGNEVFFRIKRNTQLKKLMNAYCDRQSVDFNAIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGSVV >RHN60872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31194869:31198889:-1 gene:gene23262 transcript:rna23262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing protein MDTEAETVQPQAAPVRAQRKKMTKQLTGKRDDTPLHSAARAGNMASLKDTVDGAEEGKLREIFAKQNQGGETALYVAAEYGYVDMVREMIQYYDLADAGIKARNGFDALHIAAKQGDLDIVKILMEAHSELSMTVDPSNTTALHTAATQGHTEIVKYLLEAGSSLATIARSNGKTALHSAARNGHLEVVKAILEKEPGVVTRTDKKGQTALHMAVKGQSLVVVEELIKADPSTINMVDNKGNTALHIATRKGRTQIIKLILGQSETNGMAVNKSGETALDTAEKTGNSEVKSILTEHGVQSSKSIKSQPKTAATRELKQTVSDIKHEVHHQLEHTRQTRRSVQGIAKRLNKMHTEGLNNAINSTTVVAVLIATVAFAAIFTVPGQFVDDPKKVRKGKSLGEANIASEPAFLVFIVFDSVALFISLAVVVVQTSIVVVESKAKKQMMAIINKLMWVACVLISVSFLALSFLVVGKKQRWLAIGVTIIGTTIMATTLGTMSYWVIRHRIEASSIRKSSMGSRSRSFSVSVVMSDSEILNNERTKMYAI >RHN59828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13730159:13730521:1 gene:gene21988 transcript:rna21988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylthioadenosine nucleosidase MSTGDSLDMTPQDESSITTNDATVKDMEGSVVAYVADLLKVPAIFVKVVTDFIDGDKQTVEEFRQNLTGVTSALDLVVEQVINFINGKCISVLWLPNSIFSHCFCMQRSKIVHYQLIGNM >RHN71467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:386675:389351:-1 gene:gene7072 transcript:rna7072 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRTNRGNPLFLDAGLGITPGTTAESDSNMSSGGSSNSAEPVSSNSNVSFPAESEEDGNSCDDGNHGSEDEAIVFNDMMGQ >RHN75255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40695292:40695853:1 gene:gene11428 transcript:rna11428 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSTVERAWNKFHYIVEQLQDKIQVIEIHFASILLFHFDK >RHN56853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34221492:34226726:-1 gene:gene32312 transcript:rna32312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MVPSIRPCLLKKASGQADSITSNDERSKKLPENQFSPLISADEYASRSAMLYSLVVSWSPLLRVASEFYPDPNTCASVSLLAVGAKSGKISFWRFHQPDCYTIEERRAPTAVKFVGFLRAHNSWITTMSWLLFAYESSNPLIILATGSSDGSVKVWLGDTDKLLKSSEVDQTSFLLLKEVITVNAVPVSVLSVTVHVQYPSKMLLAIGKVSGSIEIWICDISSREFDKLGSYDAHYYGVTGLTWAFGGRFLCSCSQDNLLRGWILHERCLEEITILSEMPRSNDSTCPSRDAFDSCFGVAVSPGNLIIATVHCFDVDKLNRMYEGRILKAAIEYFWIGGLQVDDWLKSPFSCYIEELPSFPEKELTYWGANVIWSLNQYQCLDKPLIFWDIIAALLAFKDNKSKYVEHLVIKWLSLSFLGSHLNLPAEEVLSRVISRLSDIPSRLLHLLNIMCRRVMLAQLDADQITRINSKVQNIEVVCPVIEEQMTKWIEILLVSERELRERQIGFSFSAVKTAMSHLEEPPAQPGRWYPVGLAQMEKWVALNQEHVRDQLKFIVSKVTHEKRYCDNFVTFPLFQGTFYRRLKTDNTSEMWFVTKRCSTVESCSYCSAPVPFDSPEFGFCQSEKCTGGNVKRHKLLRCAVSMEVCPSSPLWLCVCCHRYVFRLAPEALFRMSSSSLDSDSSNGSSSRAVSSKPLCPFCGILLQRKQPDFLLSTAPV >RHN81402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45584974:45585212:-1 gene:gene5434 transcript:rna5434 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMHKGKHIGNTRRNMGNILRWSLNIACRNEIQLANILTRHLKKARVYELKELI >RHN61625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36931921:36933518:1 gene:gene24109 transcript:rna24109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal-recognition-particle GTPase MEALFLTKPISHFKPKHSLSPKHPFEFHHHHHHHQSLTLRHKPTKLPTVTTATQNHQQQQEQEQTTPKTIEDEEPYGEVKKIIGSKALEDSTGMEYLIEWEDGHQPSWVPADFIAKDVVAEYETPWWTAARKSDENALKTILEADDYRDVNAVDSDGRTALLFVAGLGSEPCVRLLAEAGANLDHRDNSGGLSALHMAAGYVRPGVAKLLLELGADPEISDDRGRTALDLARELLKATPKGNPMQFGRRMGLEGVVRVLEGAVFEYAEVEEIMEKRGKGENLEYLVKWKDGSANEWIKGRFVAEDLVRDYEAGLEYAVAEAVVGRRVGDDGKYEFLVKWVDLDEPTWEPEENVDSELVKVFDLSNNQAQPGNDNGVSTVDLSQNSSNIVSA >RHN81692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48151934:48153107:1 gene:gene5771 transcript:rna5771 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSLAFITIISIINFVFPTCQFSVIFNLQFYFSNMSFRLPMITGTPSISHAHFAAYICVITEIAHLPYSKQNSENIKTSPPCIKHGRSSSHCFALLPLPHRYITSASINMISLSSFPLCNMFFCVYEFVPEKRFIIGGQWKRMIDARRTQ >RHN78851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19192776:19199006:1 gene:gene2512 transcript:rna2512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MEKNDVCTSESFDGSRDVWSSKSSDSSSADHLVVMVNGILGSSTDWKFASEQFVKELPDKVFVHCSERNVSKHTLDGVDVMGERLAEEVIEVIRRKPNMRKVSFISHSVGGLVARYAIGKLYRPPGNEPIQDSGNKESKVDSIGTICGLEAMNFVTVATPHLGSRGNKQVPFLFGVTAFEKLASVVIHWIFRRTGRHLFLTDDDEGKPPLLKRMIEDYDGYYFMSALRTFKRRVIYSNVGYDHIVGWRTSSIRRNNELPKWEDTLNEKYPHVVYEELCKACDAEQCDLLKDNGSDKIEEELVTGLSSVSWEKVDVSFHSSRQRFAAHSVIQVKDQISHIEGADVILHIIDHFLT >RHN42011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34747084:34751201:-1 gene:gene48396 transcript:rna48396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein CHAPERONE-LIKE PROTEIN OF POR1 MIVSGLSGSLSRCPQLPPRCLESRHVRVMSFSVAGKCIQRTAFPSVERTSFSSPRLKCNRRQVQLVRSAMDASFGDMSNDSAAVFPRINVSDPYKRLGISKEASEEEIQAARNFLIQKYAGHKPSVDSIESAHDKIIMKKFYERRNPKIDINKKIRAVNQSRFVQAVRGRFHTPSTIFIIKTSLAFLLLGVLTVLFPTEEGPTLQVAISLFVTTYFVYDRLKSRIRAFLYGVGAFIFSWLLGTFLMVSVIPPIPIIKGPRAFEVITSLITYVLLWVSSTYLI >RHN47249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38298068:38299325:-1 gene:gene41824 transcript:rna41824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKISTHGYVLLSILLLIISITAIDINGDENADYNYMSKLLKALTPTPSNWSNNTHYCRWNGIVCDQSHRIRNITLPSSSLTGTLPSNLNSLSYLTHIDLHNNSLTGTLPDLCHLDSLETVHLGHNNFTEITTGCLTSSNIQTFNLSNNLNIRSWMFPRPHFEGCEYLQYLDLEATNMEGDIQLVEFGSFPDLHTFVVSHNNLTGTLPVSLGKSKVKYLRFNDQGEYSGFSGRIDVISSMSNLSQAWLQNNTFTGSIPNMSNCTHLFDLQLESNSLIGLVPPSLLSLSSLKDQYLSFTKVLRQLGMEIIFVEAMQDHVIHRLRIYLKFLKLLSILIFCQLKGTMLVPAGILV >RHN42240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36606997:36609624:-1 gene:gene48656 transcript:rna48656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative short-chain dehydrogenase/reductase SDR MRNMASSRGNIAAIVGVGPNLGLSIARKFAHEGYTVAILARDLGRLSRFADEIAREEKAQVFAIRIDCSDSRSVREAFEGVLSLGFVEVLVYNANYESPLQSKPTPFQHLPIQTFQTSLAVSSLGAFNCAQQVLPGMVERGKGTILFTGCSASLNGIAGYSELCCGKFALRALSQCLAREFQPQGVHVAHIIIDGFIGPPRGSSATTTSSRGGGSGGESVMDPDALAQTYWHIHVQDRNAWTQEMDVRSSNF >RHN69000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40182663:40184040:-1 gene:gene17426 transcript:rna17426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MVSTHTVVVSLISIVLFYIAAAQGRKTLHTNNEFFASSPVTNNYDGICKTIVETQGYTCEEHTVTTDDGYILSLQRIPVGRSGKKADKPPVLIQHGIFSDAAVWLFNSPEESLGFILADIGFDVWLINGRGTKYSTNHTSLSPNDMAYWDWSWDELAGYDLPASAQYVYNHTGQKMHYVGHSQGTLIAFAAFSQGKLLNVFRSAALLSPIAHMTQIPSELTKIAAQLFLANVSERKTNYYLDKIMYNIKLPIELTIKIFPFFLM >RHN49455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54928816:54929583:1 gene:gene44288 transcript:rna44288 gene_biotype:protein_coding transcript_biotype:protein_coding MLADRESLENSNVIISSSNCSARPVSRLHNCTSKLYSFSKEINSVGTSYMAETSLESDVNIEKVKEPASSPNIEGPLITLQERQIDDGMALHASDTAHLQCDNIPRKVNNGHSLSIDDRSNMKSLTGGGIDDSQQLVPKVEEAKEFLGKTSEEIENESLQNFHTDHIKGKSGESSHTEKAIDYITRKRSRAQSSKLEEGEQNAADNEGHSDGITAGARKKKRSTVAPPTPFTGEKRYNLRRAPPRYNLRPRWHQT >RHN54872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13118896:13119500:1 gene:gene29968 transcript:rna29968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative squalene monooxygenase MDWLEISWDELRIKERIGAGSFETMYCAEWHGLQVTSTINTLAGALYKVFCASPDPASIEMHRACFNYLSLGGVCSYGPIALLSSLNPRPLSLVLHFFVVAVYGVGRLLIPFPSPKRMYKALIIWFKNIWLIKF >RHN63119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48604033:48606822:-1 gene:gene25778 transcript:rna25778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Smr domain, tetratricopeptide-like helical domain-containing protein MATSLSSSIHISFLDTKTTRTRFKFPPTTTTLKSHRRFLISSSKSIPDSETTPPNNNNNKKNSSLSDQLASLANTTLSTVPENQPKVLSKPKPTWVNPTKTKRPVLSHQRHKRSSVSYNPQLREFQRFAQRLNNCDVSSSDEEFMVCLEEIPSSLTRGNALLVLNSLRPWQKTHMFFNWIKTQNLLPMETIFYNVTMKSLRFGRQFGIIEELAHQMIDGGVELDNITYSTIISCAKKCNLFDKAVYWFERMYKTGLMPDEVTFSAILDVYARLGKVEEVVNLFERGRATGWKPDPITFSVLGKMFGEAGDYDGIRYVLQEMKSLGVQPNLVVYNTLLEAMGKAGKPGFARSLFEEMIDSGIAPNEKTLTAVIKIYGKARWSKDALELWKRMKENGWPMDFILYNTLLNMCADVGLIEEAETLFRDMKQSEHCKPDSWSYTAMLNIYGSEGAVDKAMKLFEEMSKFGIELNVMGCTCLIQCLGKAMEIDDLVKVFDISVERGVKPDDRLCGCLLSVVSLSQGSKDQEKVLACLQRANPKLVAFIQLIVDEETSFETVKEEFKAIMSNAVVEVRRPFCNCLIDICRNKDLVERAHELLYLGTLYGFYPSLHNKTQYEWCLDVRTLSVGAALTALEEWMTTLTKIVKREEALPDLFLAQTGTGAHKFAQGLNISFASHLRKLAAPFRQSEDKVGCFIATKEDLISWVQSNSPSASIAT >RHN52268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33291167:33295228:-1 gene:gene36921 transcript:rna36921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromosome transmission fidelity protein MQIRVQCNCEEGNCVEWGIVELQGVVEPQPGFQDSLANLQIGTLCRPSSQEVYTLTIGYHELTGSKVSLKKPLLVLKKVKHPDGDSCGQVELRVVGIIRQKILFKTRPRAIISKPPVTSKEKQKSITTGSAPSNQAV >RHN80186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35949975:35951879:1 gene:gene4084 transcript:rna4084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A(2) MDLTKVTLDIFSKLEHKWLSHCKEETTTKTRILSIDGGGTTAIVAGAALIHLEDQIRLQTSDPHAHVVDFFDIVAGTGIGAILAAMITAADAFGRPMYTARESVRIITEKNSQLYKRKSTGVFRRRCRRFSSKNMDNVLKEVFVRKQDSRLLTLKDTCKPLLIPCFDLKSSAPFVFSRADATESPSFNFELWKVCRATSATPNHFKPFEFTSVDGKTSCSAVDGGLVMNNPTAAAVTHVLHNKRDFPTVNGVEDLLVLSLGNGSSNSKTRENENRTCSTPLMVDIVLDGVSETIDQMLGNAFCWNRTDYVRIQAFGLGSEEEVLKERGLESLPFGGKRLLTETNGNRIGSFVQRLVANGKPSPPFSPCKDSVVTSLANGR >RHN45190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12835083:12836280:-1 gene:gene39417 transcript:rna39417 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLISSCLFSISAWVLAILVCWIADSFYCFNKSSCFFASSSCFLAISACLALKFLCSSMTSTSCACITSCLISCSRKVEKVLRDSRCLQISLQNLRNIFRNFFIESRV >RHN74108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28068465:28071742:1 gene:gene10091 transcript:rna10091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MSQLKNMSILFVVAFAAAILESTEAADHTVGGTTGWSVPSGASFYSDWAASNTFKQNDVLVFNFAGGHTVAEVSKADFDNCNINQNGLVITTGPARVTLNRTGDFYFICTIQGHCSSGQKLSVKVSASTPSPPSPTPPTSTPPTSTPPTSGTTPTPPTNGGTPSPSSPTGPDATPPSPGSATTLVATFPVLIAVIMNLLV >RHN70706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53342269:53347929:1 gene:gene19317 transcript:rna19317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MSKANSTLCAKDEERPLRITRSRAKVLGGPSLENEGKRATTSKMVVSSENKTCVVVPHRKRRAGLTDVTNITAKPHDKRVKQSNFQAKGVYQKKNTKLTSDVSIEVSSAQEDGKEKVAEELTTIKMVESNDTIAAVTLVDEPTEHCMSNNISEHVMTETALSMQECVNSGELATSPSQSKDINMICEKIGASDCLTFVDIDKKLMDSQIWSAYAPDIYTKVRVSELEKRPSTNYMEKLQQDISPSMRGILIDWLVEVSEEYKLVPDTLYLTVNLIDRFLSTSLIQKHRLQLLGVTCMFIASKYEEMCAPRVEEFCFITDNTYTKEEVVKMEKEVLNLLRFQLSVPTTKTFIRRFIQAAQSSYKVPLAELEFLANYLAELTLVEYSFLQFLPSRVAASAVFLARWTLNHSEHPWTTTLEHFTNYKASELKPVVLALEDLQLNTKGCSLHAIREKYKHEKFNGVAKLSPKPVQSLFQAQV >RHN41365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29509000:29510382:-1 gene:gene47680 transcript:rna47680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSAESCCFDLKGLIFRFCFVSLQFLVYFIMFAKFWFLLMLFGNSGWIGLFGPVERRWILHNQELCSCSLERAYLSLSLPKLELKEKKELSKATDNFSPANKIGEGGFSSVYMGRLKNGKLAAIKVLSAESRQGAKEFLTEISVISEVEHENLVKLYGCCVERNSRILVYNYLENNSLSQTLLDDLFLFSSVGGHSSIYFDWRTRCKICVDIARGLAFLHEEVRPPIIHRDTKASNILLDKDLTPKISDFGLSSK >RHN75207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40150848:40152113:1 gene:gene11378 transcript:rna11378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MNSLVAQRDMNVRITPPMVMRMELLILQELQWAMRSVTAFCFLNHYYPYFKKFCGFKRRSINEIIVQAQGEHTFAHYMPSHIAISAFLAAAQTKYPSKYSEIAEDIKSKIGLQVKECVKKMVDLCNRLNIQIESTEPGTRSTTSKVAAVPQEEIKEAGTSKENEDEEWTGLIKQVQDMRKGKARVQVLVQAEELPDDEVTVASGNEVMVADTSDKKDVEETSLPKGKGKAVDVDDDKARVEDIIQRALVKEPRMERSISEIAEVVVPKKLMNFELKWPTDVPSLEGDEIQYARPRQSFGCIIS >RHN60827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30695856:30696176:1 gene:gene23212 transcript:rna23212 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLMLTSNDVKDGQGDTIDNDIGSLSLSLSLFWSIHTLSLFCSSISFLQSFVLIFLFYIFGMEWNNTLRLPHIFAAEFILMSCVRFFYFNHQFCFQTYSALHQTK >RHN55291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16853266:16858676:1 gene:gene30443 transcript:rna30443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ssDNA-binding-TF family MKMLQLQPPQSYTTTNPFSVPTHSFIINTPKKSIFLRRVGPTFSLTCHHPELFHPKPFPPPQRPQSSSSSFSSSVGELPARVHVSRSVYKGKAVLVVSPVLPKFTSSDSGTFKISKEGLMLLQFVPSAGFRQYDWNRKQVFSLSVDEMGNLINLGARESCEIFHDPFMGRSDEGKVRKVLKVEPLHDGSGHMFKLSVQNQLKNIDENIFIPVTKAEFAVFNSLFSFIMPYLLGWNAFADSIKPEVNIANPRRREEDFEWNR >RHN78715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17762143:17763111:1 gene:gene2359 transcript:rna2359 gene_biotype:protein_coding transcript_biotype:protein_coding MALEPTRLLPLTFGVLFSGSLIRIVWGFYVIIGLLMEPCVHFDDVS >RHN61303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34669118:34673140:1 gene:gene23751 transcript:rna23751 gene_biotype:protein_coding transcript_biotype:protein_coding MMPDEIAARAYRDGERVDAAMHGYSYLSPWMAHWKHTSYKSNTQKTVCNNGLSVGCEVNELKEESDVEKRDLLGGSDVGSDSSMHVDDEEMKASFDSKSFLISRLSQNKDGRPPLQREEKNVLRQGDGKYGKESSFGGDNVFINRGGDHLLPLTSSQAAPKTETSIKECRLLSQGVPVKSLAAADLKKLALSTSLRNNLVNSASDIVPTNGRDKGKSVMPQLTGGSSYNSASQEHYTSTKYHSYSSLRVPEKQMSSLLDSQRPSRSKLLQGSFPRFLHNPIAGNDDDGLYAVRSQHHKIQNLIANPDITNQTSLLESTKPHYGVSSSARGPRSSYGVKGAKIYASIDSVEELSRGHPNISQTTHQFFIESMAPTKIKGNNFNEILDLSPTPPASDRTVECPKLETLGSSRKSEENENIHDLKCPTSPANESSPEPDTMDIDTLHENNLAGEVPLLSNKCSKDSQNSFSTQGATISARGKNLEKSVNTTLPDINKRPHELLTLASPMVDRETSTSRTHSLDVEQLFSYANGHARSKSGSSSLGSDPSSRWVKRLKLCTLDSGHGTKSENIGEPSHAKSTNIFSKIMKESKSSMEAETVYHAEGITVPDLPPKVLSNDESSFTEAKETVDITLSHPWIQRWSHNRAACPKKMHESAENHEPKSSNSVKEQFKNKQFPSIAAMALMGKAMNCLNPSELTKKGPVMVWNTKRL >RHN52163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32193591:32193902:1 gene:gene36794 transcript:rna36794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLYQNRLKNNVTSKVQISDSDLKSSPSKSGKHNIIVEENIRIHKEAENEAWKQNVLLTKERSLCAKLSDMGISKLVLEDMSSLVHSSTGEYKSLCFSALAITD >RHN57176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37103145:37103477:-1 gene:gene32681 transcript:rna32681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative class I glutamine amidotransferase MEKIGCKRFGVLLCAEDSEYVIKMHGGYSGVFLKMLEEENETWDMYKVSCGEFPKDDELSLYDGFVITGSCNDAYGNEKWICDLVTLIKKLNSMQTKIIGVCFGHQVINC >RHN66776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18575524:18576373:-1 gene:gene14855 transcript:rna14855 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKDAQRVIQEGKAEGWGKLVQLPENKHKKGLGFSGNKQMMFDPTRGTFHSAGFINAPPETNAILEDQSEEVAPDFVTPGGNCCNWIAVDIPSAIPLSK >RHN62607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44652077:44658043:1 gene:gene25201 transcript:rna25201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LL-diaminopimelate aminotransferase MSITQNLTISISSSSSSFLSPSSFNSRSQVSLPVKSVSICKCVATPQEAETAYKTRVSRNENLGKLQAGYLFPEIARRRSAHLLKYPDAKIISLGIGDTTEPIPEVITSALAKKSHALSTLEGYSGYGAEQGEKPLRSAIASTFYPDLGIEDDDIFVSDGAKCDISRLQIVFGSNVKMAVQDPSYPAYVDSSVIMGQTGLYQKDVQKFANIEYMRCNPENGFFPDLSSLSRPDIIFFCSPNNPTGAAATREQLVQLVQFAKDNGSIIVYDSAYAMYISGDNPRSIFEIPGAKEVAIETSSFSKYAGFTGVRLGWTVIPKQLLFSDGFPVAKDFNRIVCTCFNGASNISQAGGLACLSPEGLKAMRGVIGFYKENTNIIVDTFDSLGFKVYGGKSAPYVWVHFPGQNSWDVFSEILEKTHVVTTPGSGFGPGGEGFVRVSAFGHRENVLEACRRFKQLYK >RHN81198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43990566:43995832:-1 gene:gene5207 transcript:rna5207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAEEMDKTVGSEQGCNYKRKLDDESSSMVTEDGSLSVEDDFPPYEFTNAQSFDSTELGLGCCTEEQQLGVLEVEDWKDPMATQLEDLLMSNLEAIFSNAIKKVVDLGGYSQEMAEMAVSRKSLYTEGDPLTNIVYNTLNTLKGKGTETPADFVFQNTKQLLHYSLVEMLSVLRELKPSLTVTEAMWELLVHDLSITRVIAPEGQLSGGDSSEQSSDKSSTAPSKLNVQSDMIGSMSVTTFTNISQESKSESNSQLMKSRKHHKEFTSVLREKFFHMKEPKACGKAGVKLGKLTSVSGLIVEKKLKPPSEVPNQKMKRGSSNTKGVSIAGVCRISTNDDSALPEGGSAVKLPTKDATSTSPTVKTAKPKPKPFSSAAQKVQNYCAAIPFDEASGKFVPRDEKDEQVLKLVSRAQELQDEVQSWNDWANKKVMQVADKLRKLQVESKSLKKETEVYKKERKSLEENAEKRISEVENAMENNQKQLESATSSILILEAKKSLLEKELVSAKSLAEKSMASYQQALEREQTTIQQAQSWKTELDLLQDELEKEKQKLANLHQEIEKGKNLLANTEGRVKKERAKTEKILAQAAYFRKERQQSEALMKAEEDAVRKKAASQLQEYVDSMVKLEKEIAVLRLKSGSKISTSSVVKENKKSETVMTGGSQDKPAGGRLKREHECVMCLSEERSVVFLPCAHQVLCPNCNELHEKQGMKECPSCRTTIEFRIHAKFLGQQ >RHN50339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6319228:6320622:-1 gene:gene34614 transcript:rna34614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MDMEKKGIFENDFCSTLPPHRNLQQKEMIYVGWDKPPEGWIKLNSDGACKGGGENSGCGGLFRSSDGIWLKGYIRKVGVCDALHAELWGMYLGLDMAWREHITQLIVESDSKTLIDMVTGSCMFSGAIPTLERRIHNLLTLDWHVQFHYTWREGKRCADWLTTFILSLDSFVVTRLEYPPSELHSFFFDDISRTCFPRHVQLVIEFSAGPLAFVQK >RHN71894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3420172:3426796:1 gene:gene7542 transcript:rna7542 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKTILDYALFQLTPTRTRFELLVFNGAVREKIASGLFEPFISHLKFVKDEISKGGYSIRLLPPSNTAFWFSKSTFERFVRFVSTPAVLERFVSLEKEIQQIESQFEANALSMSVAIPDEGNLPHTNGNARRLSDSAKLNDVLEGVDTKEEENSKISLQRLLESRMALLRKEQAMAYTRSLVAGFDIDNIDDLVYFANAFGASRLREACINFKDLWKKKRADDLWVQEVAAMQSNLPPALSFSGSSGIILANDIAAHDQNNKNNSSTDSIPSGDENAFLENKKEDVNLSHMANVHMPMHMPWPYNVPPYMYNLQNPSQQIPSYQGYPPYLQNNMHWSSNMGVNQKPRATKKEKSHHRKGSEEYEEQETDSSDPDSGSESDSDKQKDSNNSLKDDKRKKNRRKSSGTVVIRNINYITPKRRNGNESGVSDESSLEGDAIFDEETIKQKVGDALESLQKVHKGEKRGNRKKSVTKHNKSNDAAEEDASDGGNKNENWNAFQSLLKIDAAETGIDGSEQMQSIDVQDEHFVLRNSEGTMSYAASSAPNMDFNEVPKNREVANDSFIVTQRDGGNEGGSKLDEYVDNCVPITKIRESIGEDMMLVNISREPKIELDDPLNTYAADSSQTKGRGSEDWFIVDNNLESMRNHDSPIVPIVFDGDGALSSVSEKRSDRTIIDDSFMIQGQLVDNNLSDSQWKTDMSMIEDLSSNKLETDTKEKNALSKIEEPNDLCMVLQRDSGLDSVEASRTMDYEIDFSYTEPDRRASVDDSHVNVNNNNLSDSPKKPNVIKSKVSRLSKSTPEPISRNRKPSLPRKPMVQKSQREKEDEIRKQLEEKAMERQRRIAERSASSGVGRTVPGKDQIARISSKTDKNKTQTVKETNRISSVKVRGI >RHN56979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35424875:35425144:1 gene:gene32452 transcript:rna32452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSVMEQLLNCTQADRFQKLTTVKSPMLLQFWTNSSMRLEECEVECLKDCFCNTYANSLINGGSHGCMLWFGDLIDIRLLISEDSAQLDL >RHN59525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11672691:11673020:-1 gene:gene21567 transcript:rna21567 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDVSINNPGKKPDMHQQFSLPEVSPFRCFSPVKVLPPPPQPQPLVLVLNRSMITLLFLHHRRRCHRHRLRLLEKLLILIETYLRNMNAGGGGGIQFVINDGDEPGRL >RHN72246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6080407:6081995:-1 gene:gene7938 transcript:rna7938 gene_biotype:protein_coding transcript_biotype:protein_coding MENVRAFSSPQLNSSSTSNNGPPGECGMEGLATNVRLLLKLIQDHNGATTKDNDERRFNRVNGMMSLLDEVRTRVQKVQSSTKRRAELRRCNTDLRPNIPKDRRAPDMPLDEKERLKKDLHSSLVARQSLQAMCSSLGKEKQIMASELARKAQELTELEELIGDLKAQNDMLMGRLHACSSEQKEKKSSNEVEMEGNIVFQERNKALSEQLQKSIDGYKSLKRKLKDTQEEKRELHDTMEQMAWEVQSGIDRIHSFKEQMETTNLETDVGKEISVLEHMLESLSMKISKHTQTEF >RHN43579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46999925:47003942:1 gene:gene50182 transcript:rna50182 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLARKFRRNSEDHKYSLPVHDDDSRPLDSKEQEELVRSLETNQAHQSRFWRTVFAALVSCYILFLLYSIFHQVSSPWELRYHAYFMEEIDSWMIISADFVAILAFSFAIKGLLHESMHHRRWIWYSWYTDIVLAIFWLYYMSRLPKFHWDVIWLPFGPLSASTICLYVDHLLTESSEEIKKLHGYMYAYKAS >RHN70291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50291164:50295801:-1 gene:gene18856 transcript:rna18856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MPPFLSTSKALRSLATRKTGFNQLIGSTKSIEKLDACRCFSTIAFKPTHQIHPFGSRIGASPFTRNFVTNSYKAIQLRQFMGVGDGVEGVLSKTYEEKRVLGYTPEQLFDVVAAVDYYHDFVPWCQRSEIVKRNPDGSFDAELEIGFKFLVESYVSHVELEKAKRIKTTVSQSTLFDHLINIWEFSPGPVPGTCNLYFLVDFKFQSPLYSQIASMFFKEVASRMVGSFTERCRLIYGPGVRVLENSYGHKT >RHN77726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8994306:8999302:-1 gene:gene1212 transcript:rna1212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MCREKSFLFLIQIMVVVLLLHHHHHQTCDAITNTSNQTYCPPSSCGKINNIKYPFRLKNDPTTCGDPRYELSCENNITTLTLLSSKYYVKSINYNNYTIRIVDPGIEEGDCSSFPRYYLYNYNFSSSYIFDSVDPYQTYQSRIVDTTRYKYSPALTLASLQMFQHVIYMNCSSPVRDDPVYADAASCVNKSNSQRGHVYAIAGDLKVRNYKNDDCHVGVVTPISFFCYNYSSEDWHTPDGKFSYEDSSFPYEWKVPNQMFDYSEIHRMLVSGFEVSWMSGPCEDLCGKPDCYLRETTWSLECDDPSSLCRTTLGFHYGCITGTPSKLRMFVEGITYGIAQGLLHAMGVIIDGGAEGFSDSKLGLDIGNIIGRYILSSYIIVRCMIGLIVFFAKLIHTYRARHTSMYENIEDFLQGNSLLPIRYSYKEIKKMTRGFNEKLGEGGYGKVYKGELRSGPLVAIKVLGKLKANRNGQDFINEVATIGKIHHANVVRLVGFCVEGSKRALVYDFMPNGSLDRYISSREDHISLTYKQMYEISLGVARGIAYLHQGCDMQILHFDIKPHNILLDHDFIAKVSDFGLAKLYPVDNSIVTLTAARGTIGYMAPELFYKNIGKVSYKADVYSFGMLLMEIANRRRNLNSNANDSDQIFFPYWIYNELIEEREIEILGEATNEEKKNVKKMFIIAIWCIQLNPIDRPSMYRVIEMLEGDIEDIKIPPKPSPYPTEIIQGDGVSTSESLWDDDVTGSISYLEETIEDPLL >RHN76044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47259472:47262513:1 gene:gene12317 transcript:rna12317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L25/L23 MAPPKGDGAKKTDPKAQALKAAKAVKSGTAIKKKAKKIRTTVTFHRPKTLSRDRNPKYPRISATPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDLRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >RHN58288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:536987:537958:-1 gene:gene20185 transcript:rna20185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein CcsA MIFSTLEHILTHISFSVISIVISIHLITLLVNEIVGLYDLSKKAMIITFFCVTGLLITRWFFSGHLPFSDLYESLIFLSWGFSIFHMVPCFKKEKNLLSTIIAPSVIFTQGFATSGLLTKMHQSVILVPALQSHWLMMHVSMMILAYAALLCGSLLSVAILVITFQEAIQILAFTKNLDFLNKSVDFVEIKYMNMNERNNVLRKTSFYSSRNYYRSQFIQQLDRWGYRIISLGFLFLTIGILSGAVWANEAWGSYWNWDPKETWAFITWTIFAIYLHTRKTKKFEGVNSSIVASIGFLIIWICYLGINLLGIGLHSYGSFTSN >RHN77245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5391384:5392595:1 gene:gene682 transcript:rna682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MASSSSLSAPNVVLFDAFFRRADLDCDGRISGVEAVSFFQGSGLPQKILAQIWKFANTNQSGFLGRAEFYNALKLVTVAQSKRELTPELVKNAFYGPAASMIPAPQINFAATVTPPRHSHPLDHFQIKTTFLLLLDLTLQLPLPSLLMAT >RHN81545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46884468:46889796:-1 gene:gene5608 transcript:rna5608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-fructofuranosidase MDGHMGIRKVGSQCSMAEMDDFDLTRLLDRPRLNIERQRSFDERSLSELSVGFARAGLDNYDNYSPGGRSGFNTPASSARNSFEPHPMVADAWESLRKSLVHFRGAPVGTIAAVDHQAEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLHLQGWEKRVDRFKLGEGVMPASFKVLHDAVRKTDTLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLSESDSCQKGMKLILTLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRSALSMLKQDTADGKECVERVVKRLHALSFHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPEWVFDFMPTRGGYFIGNVSPARMDFRWFALGNCVAILSSLATPEQSMAIMDLIEARWDELVGEMPLKISYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLVTAACIKTGRPQIARRAIELAESRLLKDGWPEYYDGKLGRYVGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPVIKRSSSWTC >RHN42860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41493819:41501653:-1 gene:gene49357 transcript:rna49357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant specific eukaryotic initiation factor 4B MSKPWGNIGAWAADSEAAEAEEREAAAKSAAAPSDNFPSLKEAVTTKPKKNKKMSLSEFTRGGYSSGGGAADIPRLTPEEMLRLPTGPKERSAEEMQFNRLGGGFSSYGGGRGRDREGASGDGSWGAGRRSYGGFDDERRAPSSRVSEFEPSRADEVDNWASVKKSLPSFDSGRQNQNQNRYGGSGGGGGGFGGGESKADGVDNWAVGKKPLPVRSYGGSRDSGMEPDRWSRGSAVEPDRWARGAPRRDSEQQQRPRLVLDPRKGDSSVNESPVVKTNKPNPFGAARPREEVLAEKGYDWKKLDSEIEAKKTSRPTSSHSSRPSSAQSNRSEGQDGALKPRPKVNPFGDAKPREVLLSERGKDWRKMDLELEHRAVDRPETIEEKLLKEEIDNLKKQLENESTVNSNEESADGSGGDQTGTHAMLLEKERELELLIRDLDDKVRFGQKAVERPGSSAGKTAGFSDRPPSRSGSFEDSRSVDFTDRPRSRGTGDTSMRPTDDRRQFQGSKDRGWFSDSRDLNRSNSKNRW >RHN49897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2095697:2102735:1 gene:gene34125 transcript:rna34125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MAQLPPKLFSSGIEHASFVTSYQILKKAWNVISSNYQDIVTNDGVGLCWKVYKEQNPDLTIIAFEATKDSSNLQSDLISSSDLNKKKNFHQFDFLCSKKNPSFSLNSTAFSLFYDNIQKLDELKSKILGAYPGTPLIVTGKGLGGSIASLFTISLLDNIGSTKNRPLCITFGSPLVGDRKLQRAISRSSNWNSCFINVVFCNDPHPRLFITNYMPFGTFLFCSDSGSTCFENPESNLEIIVTLSKMHGQNQGFKLDEYGSIVENLRRRAFFKDVSTPAGDRTHSDKLVIGISLQLQALGLTPNILQELDIDVNALETKIKRLEQFLIFQKKTSFDPSKKLNEMRRHMAQLEWYRKKTKNLDIGYYDSYKNKNVSADYEVDWYLKSIIFFWEKMVEEADLKPQREGAAFRTRWLFGGTTYRRMVEPLAIAQYYRDGGKDYINKQRSKHFKALEEWLEEGQTKAKIESNRINRKNVEVILTIDSCFWAHVEEAILACKELKVVKYKEEVLNKLVEFENYVYGLLKDYVVSPEIFLRQSSYMSWWNNYKVVKGSSYTSKLANFMNDAGNIKLYGLGGYDFP >RHN43357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45131655:45136242:1 gene:gene49917 transcript:rna49917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MVPQNMKEQLALAVRSIQWSYVIFWSESVNQPGVLSWGEGYYNGEIKTRKTSQGVELSSDEIGLQRSEQLRELFRSLKPVDTNPQTKRPSAALSPDDLTDTEWYYLVCMSFVFNIGQGVPGRALENGQPIWLIDAYSVDCKEFSRALLAKSASIQTVVCFPFMKGVIELGTTDHVSEDLSLIQQIKTSLLNSLSVDDPINARATLSSRNNEGVACVAFDDNDYDVELIPEVGYDIINTTTSPNGSSNALQANQLRNETFMVESWQVLDDDLSNCVHNSVNSSDCISQNISSAPKVGEDCNNNQKMSLVDPLSEDWHYQKILSELLKSNDQLTMGMHFQNFHQESSFCVWNKGVPVNFQRPRQGTSQKLLKKILFEVPRMHTDGLLESQEENDYREGTRLEADEGMNHVLSERRRRAKLNERFLTLRSMVPSNSKDDKVSILDDAIEYLRKLEKKIRELEAQREPTGIESRSKKSHHDMVERTTDHYYNNKTNNGKKPMVKKRKICDIEETRREICSDASKGSSNKNVTVSMSDNGVVIEMKCPSKAGRILEIMEAVNNLNIDFNSVQSTEEADGSLHLIIKSKFKGPANATTKRIKQALQKVASKF >RHN54013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6219422:6224193:-1 gene:gene28988 transcript:rna28988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLC-like phosphodiesterase, TIM beta/alpha-barrel domain-containing protein MKSQIFVLIVICFFTYSSSLKIGETCGSCDAGLTCQTCPANGNTRPRCSRILSSNPVNKVKGLPFNRYSWLTTHNSFAMAGARSATGSIILAPMNQDDTIADQLKNGVRGFMLDMYDFQNDVWLCHSTGGKCFNFSSFIPAVNALRDMRSFLDANPSEIITIFIEDYVRAPAALTKVIQASGINKYMFPVGRLPKNGSDWPTVDDMILNNQRFIAFSSRSSKEAAEGIPFTWKYVVENQYGDEGMQPGSCPNRNESPPMNTKSRSLVLMNFFHSTPNRSQACGDNSAPLLSMLKTCHEAAGNRWPNFIAVDYYLRSDGGGVPQAVDAANGRLTCGCDSIAYCKANGTFGSCDVPPISPPPPASEAAPNGNQKSPNNSQASNAYSGRTAMMMQLVVLTMVATTFLAWL >RHN59510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11555733:11558852:1 gene:gene21551 transcript:rna21551 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPRRSPLYPFLFALISLHLQFLSGLADNNTSDSKKENKDVSAHVSSGSAGLKILIVFVGVLAVIAFGVFLFKLWQRKKREEQHARLLKLFEDDDELEVELGMRD >RHN79184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25322113:25322748:-1 gene:gene2917 transcript:rna2917 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIVTSTVASSQMDMSLSSNTFSFPLHNVFDKISPGELPHTMPVLEVVSPVAHVDVHSEIVEKLHMTSRDVLENPTMDDVSGLLSDEVDHNRSSPRELEESPKGSHESERNLSSLRELEESPKVPIESTLHSSHVVEHQEVHEGSVESVQVQTDTVVEHDDVYAGSHALKSHVVVEPPSVVSGIMPTEKEQDVVILQKPEVHPSKNIQQG >RHN76149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48015326:48018506:-1 gene:gene12432 transcript:rna12432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Trihelix family MNGSGLGGGFLSGPTGGILDLESPFNRHQQQTQLAHGQHHMNMITGLENDSNQIGLIEVKNLNFGKGKGIASSNHDNSNDMSEDDEHGYGEDGNCENFFDGGKGKKGSPWQRMKWTDNVVGLLIAVVSCVGEDGTISGVDGVKRKSGVVQKKGKWKTVSKIMISKGCHVSPQQCEDKFNDLNKRYKRLNEILGRGTCCQVVENPALMDSMVNLSAKAKDDVRKILSSKHLFYKEMCAYHNGQRIPNSHDLDLHSYSLEHGKDSRDHDGSDDEDEDNNESEDDELDNGININARGDGGRMEGFCDRNKLSEEDGHFWPQSIGMKKLESEMARVFQDPVKSPWEKREWIKQQLLQLQEQNVDFQAKAFELQKQQFKWLRYRSKKDRELEKLAMENKRMKFENEHRILKLKQREQEAEFSRSEMSLDPTSIGIKRPQGREHINLARQH >RHN69018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40343244:40344069:1 gene:gene17444 transcript:rna17444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Homeodomain-LIKE family MKKFILERNLLYPNPFEKDLTPEEKAICRKYDVLMCFHTKEKHNEFLRTVISEHRYLKRIQELREAIVIGSRSLAEAYIYLANKRRNEDEGSARRVRESILVFPNNHGVPNALMSPDSAGTRPAVLATSSVVKATKYSVANLLSEVEKRFCCELRFSPTMYLKMQENLSVQMIVGSVSSMFDVHQMFKMDTMKIDRVHDMLIMKGIRSP >RHN64748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61495128:61496110:-1 gene:gene27603 transcript:rna27603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSSSSDTNKGLKKGKWSKEEDEMLKAYVEKHGTRNWNGTRYQEMRGLYVALPGRSDNDIKNFWNARKRKLEKRMSPFPDKMELNDELNKSGSNSQQVKDSQDDEFNIPQVKFTYGYGIRSASTPTMFIPSISFT >RHN82249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52314816:52320968:-1 gene:gene6394 transcript:rna6394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MGSVWLMTIAILLSFGIIAHSFDGGSAPPKQQPTLCDELILPAGYPCSEFVIETKDGFLLGLQRVSSSSSSARIRYSAQQQQRGPPVLLLHGLFMAGDAWFLNTPEQSLGFILADQGFDVWVGNVRGTRWSHGHKSLSEKNKHFWDWSWQELALYDLAEMINYINSVTNSKLFVVGHSQGTIISFAAFTQPDIVKKVEAAALLSPISYLDHISAPLVLRMEKMHIDQMISTMGVHQLNFKSDWETNLLISLCDTRLSCSDMLSSITGKNCCFNESRVAYYLEQEPHPSSSKNLNHLFQMIRKGTFSKYDYGKLKNLIEYGNFKPPKFDLSRIPRSLPLLMAYGGNDALADVTDFQHTLKELPSTPEVVYLENYGHIDFILSLQAKQDLYDPIISFFKSMGKFSSI >RHN81045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42864349:42865897:1 gene:gene5039 transcript:rna5039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative universal stress protein A MEKRERKIMVAIDESEESMYALSWSISNLIADTNNNNKLVLLYVKPPSAVYSLDSAGYIFSNDTIDTLENYSSQLAKSVMKRAEAIYRNFDDTDINIEKVVGTGDAKNVICNAAKKLGADTLVMGSHGYGFIKRALLGSVSDYCVKNAKCPVVIVKQP >RHN44202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2372481:2377828:-1 gene:gene38292 transcript:rna38292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, V0 complex, c/d subunit MYGFEAMTFNIHGGYLEAIVRGHRSGLLTTADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDDYKHMLCQATEPLSTFLEYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYRFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSNFGLFYPYGHEELAVCEDIDQVRAVMEKYPPYQSIFAKLSYGESQMLDKAFYEEEVKRHCLAFEQQFHYAVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >RHN53058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41603415:41603758:1 gene:gene37808 transcript:rna37808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MNWESLTEDLQIEILTRLPEKSLMRFKCVQQSWEILFESPSFEKKWRLHNSKNGYDESFNFWRYSKRSKYPRRRSLAKSKASFFGEC >RHN62407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43204570:43209088:1 gene:gene24980 transcript:rna24980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MAGVSSRTRSKNVPLFGSLLLGGSSKRRKSDEEDKGLDYVSRSKKRKMNEEPFVVPADAEVVSIDDDEYEDVGQEGSEHSDDKMSKDGKFVDENCEREQDNPVSISSDEYGESDEEHFVDSDADNEEEEEEEEKKEDDAVKEGVKSDESGGIGRFSDKGEKVIIDLDDSEESEEEDDSDESEENDSSDEDFAVDELNEISDNHDDDSSSSSSYVDDDDDEEEEEEEEVVEKEKKEGYRKDFNVVEELVRKVKSQKRGISEGNDEEVNVENCSSSDNDDEEMVREGKDKKSGISERNNEKVNVENSPSYDNDEEMVREVKRKKSGIFKRKYEKVENENVPSSTNIDELEHADHASVSSCIIEKKGSSSSKLNGVSETLKPMSVDVGVNAKSKAKSKENVGDSDRGKDQFVKGLDVGGVSSVHRKQEKMNESDKQKTMENKRRDYKGRANICNGEKKESIDTNGLNQSVKSTHFTRKELRSLELLVKCYWERKNTINNDSIVLEDNDDGVGQQDTWPPPVSVETPRERIWSLKKVEKVEKTKEEEEEEVLWDEFDTARRESDAESMIGNLGENGGPSFRCEHDSFLDEEIGLFCKLCHEVVTEIKYISPPVIDRFPGEGSGKKASFDGVNVSHVDGSQLNVSDNDSETNFSRNEGTVWDLIPGVKQKLYPHQQEGFEFIWKNMAGHTELQKLKNADPSSEGGCIISHAPGTGKTRLTIVFLKAYLKAFPKCLPIIVAPASILLTWEDEFKKLDIGVPFHNLNNPELSGKEHPDAVETFDMSNARHNIHETRMAKLISWFKEPSILGISYNLFGKKCQDKRKHENVNEREGNCDMRKVLLNSPGLLVLDEGHTPRNQRSHIWKVFLKLQTQKRIILSGTPFQNNFWELYSTLSLVKPSFPNTIPPELKSFCQNQGLKSSKKWNWEPALLNKTRDPSDDQIKKFKLLMDPFVHVHKGAILENKLPGLRDSLVTLKAGSLQNEILKSIKRSQNTIFNFERKVALTSVHPSLFLECALSEEEKSALDKDQLEKLRLNPHEGVKTKFLFEFVRLCDAFHEKVLVFSQFHAPLQLIKDQLNSAFKWSEGKEVLVMSGEDPPKVKQSVIHSFNVENCQAKVLLASTKACSEGISLVGASRVVLLDVVWNPSVERQAISRAYRIGQKRVVYTYHLLAEGTTEEEKYGKQAEKDRLSELVFSAKNAANNGDKSKSSAVNFEDRVLDEMTKHEKLKGIFVKCVVLRKERDVV >RHN58741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4423660:4425245:1 gene:gene20681 transcript:rna20681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma interferon inducible lysosomal thiol reductase GILT MKMPSLLGSIHPRSFFFCFILLPILLLLLVAPSSSSTSSKNEKKVTMSIYYESLCPYSADFIVNHLVKLFQTNLISIVNLKMVPWGNARIATNGTFVCQHGNDECLLNSIEACTIKAYADVEHHFRFIHCLESLTIEGRYNEWFNCIRMTGLGALPILNCYKSRKGISIEQKFAKETAQLNPPHTFVPWVVVNNHALQEDYQNFVTYICKAYKGSLKPDACRSVSTRTYYDSDAKSNSFHHGCYVDEAKNMSILATNHQIN >RHN64255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57693884:57694144:-1 gene:gene27052 transcript:rna27052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MRFWVDELGWDPLLLLTEPAIFGYSIEKRLIPRASVIKYLLSKGLMKKGSSLCTPFHATDEDFQRRYVKRFEEETSKLLKLNQGDC >RHN59112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7565036:7566845:-1 gene:gene21101 transcript:rna21101 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRIFICSSQDVKKMKSGSKLPISSSIEEGTVISSDTTER >RHN63465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51482932:51484518:-1 gene:gene26164 transcript:rna26164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain-containing protein MESYPKNTIKFLFSYGGKILPRLTDGKLRYTGGHTRVLALPPPISFSELMVKLVELCGSSVTLKCPLPNGDLETLISITSDEDLKNIIEEYDRASSSLIHPLKIRAILSPPKSFKKLSPPPSSSSSSTHSLPGSPHAFVESPSYVAVNRLNRLKGSCYTRQLDGSPRFLYRFANNYCH >RHN74846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37129099:37131500:-1 gene:gene10971 transcript:rna10971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MYFAITMLLLLLTYISINFISLIGRTKKQNYNLPPGPSPLAIMLNVFELGKKPQQSLAKFSKIYGPIMHMKLGQITTIIISSAEVAQEVLQTHDLLLSNRTVPQAVTVFNHDHFSLPFMPVCDLWRDLRKICKNHLFSSKTLDASHALRCKKLQELLCDIHRISLIGEAVDVGKAVFKTSLNFLSNTFFSMDFVNSAGETDEYKGIIENLVRAIGTPNLVDFFPILKMVDPQGIRGVSAAYVEKLFHILDSYISKRLKLREGKDYVTNNDMLDNLLNISQENGQKMDNTKIKHLFLDLFVAGTDTTSYTIEKAMAELIHNPNAMSKLKEELQQIIGIGNPIDESDITRLPYLQAVVKETLRLHPSAPLLLPRKAKIDVKIKGYIIPQGAQILINEWAMGRNPNIWDNPTLFSPERFLGSEINFKGQHYQFIPFGSGRRICPGMPLAIRMLHTMLGSLINSFDWKLENGDRDIDQPLQAIPIRVNKV >RHN39999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12187029:12188406:-1 gene:gene46086 transcript:rna46086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH dehydrogenase-like complex, subunit S MSSFVALHSLHGSLLRSKFLGQENLTHLYPHSNKASIFHSKPTFKTVQTCAKFDLLQIMGGRGLCKGEEGLQKELKREVGLDDQNQPTSSEASDKEQEDSTSNSTKSFESVAEDGFDKELMGLTGGFPGGEKGLKKFIEENPLPKTVKGNKSLKLSSSEKPKPPELPMLLPGMIAIVKNQNNPFYMYCGIVQRITDGKAGVLFEGGNWDKLITFRLEELERREKGPPMKNPKSCVLEPFLVKKS >RHN44769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8282807:8294985:-1 gene:gene38930 transcript:rna38930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, zinc-finger domain-containing protein MEENSIDLKTTTTMAVVSDNHDNTNLVTKAREEGELSSSPDVDDAEENPNGSTVQATLATGSGSVPLVKQSIQGVQGGGSNNIQTRTAIQPISRKIIIKKNQLPPKSSPWTGHASDDNNLVISFSDDDSGSDIENKGTDSRLERNNKRPSSSLQNSNKLQLQKNARSLHNETPIKFPSKRTFTSSVTKNPSSISKGAGSWSLGQGPRARNFKSTNKTLASQECGRDQGAVSNDNKLQDLRHQIALRESELKLKAVQQMKESALVLGRDPKNDTTRKHIPVSSGAAQLEPKGPDRKRMKIDTSHDAPQAVGGQQVPVVKSILPSKDSLCGNIYPQERNKVDHNQKEIPLCRGESIIIKSQRETGNHLSNSVQNMPCRSREGDVNYDCNQTDKSSRLVDPAFIQSSMPASSVPTNLEALSNAVLMTDNGNANVSEHSNIDLQSFIDMEELIDKLEEAQEHRHNCEIEERNAYRAYVKAQRSLLEANARCNDLYHQREVYSAKLRSDFSLSLRQHQQLGIGLDYLPKLGYEIPTSSCLRQAEYNINNPSFDSNDQGINNRHSDTSCHHKNGANLGSEPCIEPDASTSEPFSQRGNHAADGVYSPMDEVDTSDNENEEISLAGHTSNNLDAEYRRKQDSKAKQIDLDTASNADYSTGSPQDSLLLEATLRSELFARLGKRAKKSNIPCNNFETAEPGAENEVGSEKNRVHHGTVPLINAENNDLKGNERKERNIHMDSDEIQSQQNIGANTVNTNCSAGLGDQGDMPSQVYHSTNPVNIPPLIFRSAFSELREMSPFSLNQLPNQNKSGHDNDGQSQNATCLSSDEAKRSMLAISMAVTIGNSLSEEGSYGCSPEVDPFWPLCMYELRGKCNNDECPWQHAKDYGDGNITQHQHTDTNNGDSQDRSPLHEQNCNGVTKVTKYHKATILPTYLVSLDVLKADQFAYKPIAAHRIAQHWQKHFSITLATSNLLQNGSAEDGPLSHGGDERIEVRGTWSKQLSFQWRNGVGNQIKQAMTDSEQAVEMALLILNQEINKMQGVRKALSVLSKALETNPTSVVIWIVYSLIYYGSFGPNEKEDMFLYAVKLYEGSYVLWLMYINSRRKLDDRIAAYDSAISALCRHASAAPEDKTCESACILDLFLQMMDCLCMSRNVENAIQRSYGVFPATTKSDVPNLLSLSDLLNCLTVSDKCVLWVCCVYLVIYRKLPGAIVQNFECTKDILDIEWPIVSLSEDEKERAVKLMETAAEYINSRAFTMESEDDLKCAQHFALNHLRFRVALDRIECARSLFDRYVKLYPFCIELVLVSAQIQKQDLGVGNFMVFEDTISRWPKIVPGIQCIWNQYIANAIHNQRMDLAKEITVRWFHSAWKVQDPPYGGTDATDDGNSCGLVGLGSKFVSDTSNSGHKQMDMMFGYLNLSIYHFFQEDKTEASIAVNKARDTVGFAGLDQYIRKYVMFMVCDASSLNEGDPESVVKRMLEVYMDGSSQALLAPRALTRKFLDSIKKPRVQNLIDNILRPVSFDCSVLNLMLQSWFGSSLLPQTVSDPKLLVDFVERIMEVVPHNFQLAIAVCKLLSKDYSSSDLNSTSLQFWSCSTLVNAITGAIPIPPEYVWVEAAAFLQSAMGIEAISQRFYKKALSVYPFSIMLWKCYYKLFLSNGDANSIIEEAKERGINLDIPS >RHN61075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32752831:32754958:-1 gene:gene23490 transcript:rna23490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEIIKFVYIMILCVSLLLIEVAGGKECVTDADCDKLYPDIRKPLMCSIGECYSLYKVPSRYK >RHN48334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46766030:46766326:1 gene:gene43041 transcript:rna43041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase response regulator and transcription factor RR-A-type family MASPQNFVSKLTALVVDDNIINRKIHQKMLNKFGVKSQGVENGKEAVDIHWRGQKFDLILMDMDMPIMNGIEVYVYLISYILRPRFIYFYMIMVYIIG >RHN54436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9553983:9554480:1 gene:gene29475 transcript:rna29475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MENVPVNESSPNNTTPATSPQRTLAEHDQPPVETNTEGDTVLKTPEEVLAELTDDDDDLIPKGGDEGNEEDDGAFRTMVIALTADGSSVIQQVGSDGHVFKKRKGNQLKDPPSGSPTCPECKRQFATWKAAFGHMRKHPERAHRGFFPPPTFSTVAPVPAEGIYD >RHN60404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26264953:26282893:1 gene:gene22714 transcript:rna22714 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MDVDSSMASENDNDSKVAKIEEGEQLGGNSIPAPSPSSSGGSPAEQQSQQQGAAAGQVQHSSVVGPRLAPSYTVVDAILEKKEDGPGPRCGHTLTAVPAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPTSAGNAGIRLAGATADVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVGVPGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDASSVPLASAYGLAKHRDGRWEWAIAPGVSPSSRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDASVELVRRCRHAAAAVGDLIFIYGGLRGGILLDDLLVAEDLAAAETTSAASHAAAAAAASDVQMGRVPGRYGFVDDRTGQPMSDAAADGAVVLGNPVAPPVNGDMYTDISTENALLQGSRRTSKGVEYLVEASAAEAEAISATLAAAKARQENGGVESPDRDRGAEATPSGKQISSMIKPEPGGPNSGGVRLHHRAVVIAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPTVIQLRAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETISLLLALKVEYPNNIHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHLEQIENIQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPALSPETSPERHIEDTWMQELNANRPPTPTRGRPPVANDRGSLAWI >RHN73728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19377935:19383204:-1 gene:gene9582 transcript:rna9582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin-containing monooxygenase MATQGNLPIIVSRIGIIGAGVSGLAVAKQLSHYNPIVFEATNSIGGVWRHCSYRCTKLQSQTWNYEFSDFPWPKRESIDYPSHAEILEYLHTYVVHFDLFKYVMFNTKVVEMKFVRDKEGFDFGRLPNDHGNPLPGRPVWELSVQTNESDAIQRYHFEFVVVCTGKYGDIPLMPTFPCNKGPEVFKGKVLHTIDYCKLDKEATNDLVKGKKVVVVGYKKSAIDLAMECAQANQGPEGQPCTMVIRSLHWTLPHYRMWGIPFFMFYATRSAQFLHHTPNQGLLKSLLCLLLSPMRLVISKFIESYLLWKLPLEKYGLKPEHPFEEDFASCQIAITPESFFNEADKGKIIFKRASKWCFWNGGIEFDDNTKIDADVVLLATGYDGKKKLKTILPEPFSSLLEYPTGIMPLYRGTVHPSIPNMAFVGYVESVSNLYTSEIRSMWLAGLIDNKFKLPSAEKMLSQTIKDMETMKKSTRFYKRNCITTFGINHNDEICEDLGWHTWRKKNFIKEAFTPYTAGDYKKKD >RHN49882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1934245:1936905:-1 gene:gene34108 transcript:rna34108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MTASLTELDDDIVRTMSIGAVFSDYVGKIYSIDFHRKDDLLVTAGEDDSVRLYDIVNAKLLKTTYHKKHGTDQICFTHHPSSVICSSRYNLESTGESLRYLSMYDNRCLRYFKGHKQRVVSLCMSPINDSFMSGSVDHSVRLWDLRVNACQGILHVRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGKSMLLSTTNNNIYVLDAYGGDKRCGFSLEPSHGTSIEATFTPDGKYVVAGSGGGTMHAWSIDRNHEVACWSSHIGVPSCLKWAPRRAMFAAASTVLTFWIPNNEPNLKAEEYSGTDTEAGLQPQSQ >RHN74777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36627759:36631021:1 gene:gene10891 transcript:rna10891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MNFFMMFLLCFASQLLAYFMPMSTIALSLNSKTDKLALLALKEKLTNGVSDYLPSWNESLHFCEWEGITCGRRHMRVSALHLENQTFGGTLGSSLGNLTFLRMLNLSNVNLHGEIPTQVGLLKGLRVLDLGNNNLQGEIPIELTNCTNIKVIRLALNKLIGRVPAYFGSMMQLTELSLGHNNLVGTIPSSIGNLSSLEKLSFLQNQLEGSIPYSLGRLSVLTWLSLSVNNLSGEIPHSLYNLSNIQNFSIGANKLFGSIPSNIDLVFPNLERFFIGSNQISATFPSSISNLTGLQAFDIASNNINGPIPLTLGRLNKLEWMNIGGNYLGSGGSHDLDFLPLLTNCTQLSRIYLYDNNFGGVLPNLIGNFSTNLHFLHMEYNKIYGLIPKTIGQLIGLVALTISDNLLEGTIPDSIGKLKNLGSLALDNNKFIGNIPLVIGNLTLLYGIDLSNNKFEGSIPFTIRNCTKLQELHFYSNKLSGDILNQTFGYLDALIFLDLSNNFLTGPIPSEFGNLKQLSQLNLSLNKLSGEIPKDLASCIALTELWLGGNFFHGAVPLFFGSSLRSLDKLNLSENNFSGIIPSELENLTYLKSLDLSFNNLYGEVPKGGVFSNVSAILLTGNKNLCGGISPLKLPPCFKVPSKKHKNPFKRKLIIGSVVGGVLISFAVLIILYFLARKSKRLPTLPSSKNGNFRVTYGEIHEATNGFSSSNLVGTGSFASVYKGSLLYFERPIVVKVLNLQARGATKSFTAECKALGKMKHRNLVKILTCCSSIDYKGDEFKAIVFEFMPKGSLEKLLHDNEESGIHNLSLTQRVDIALDVAHALDYLHNGTENVVVHCDVKPNNVLLDDDMVAHLGDFGLARLIHGATAYSSVDQVNSSTIKGTIGYVPPEYGAGGQVSPHGDIYSYGILLLEMLTGKRPTNSMFSGSLSLHAFCKMKVPDGIFEIVDSHLLLPFAEDETGIVENKIRNCLVMFAIIGVACSEEFPSHRMPIKDVVAKLNEIKSMFPC >RHN76879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2071383:2074659:1 gene:gene264 transcript:rna264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein phosphatase 2A, regulatory B subunit, B56 MLKQIFNKLPRKTSKTYSDESSRVDSSRAAGKSHQRPQGAAASLKRASSSAVFPASMVSGIEPLVPFKDVPNAEKMNLFVSKLSLCCVTFDFTDPGKNVAEKDVKRKTLVELVDFVACGSMKFSEPAILAMCRMCAINLFRVFPPNYRANGVVASGGETDDDDPMFDPAWPHLQLVYELLLKFISSTCLDAKVAKKYIDHSFISKLLELFDSEDPRERDCLKTILHRVYGKFMVHRPFIRKSINNIFYRFVIETEKHNGIAELLEIFGSVISGFALPLKEEHKIFLWRVLIPLHKPKSLGVYFQQLSFCITQFLEKEPKLASIVISGLLKYWPVTNSQKEVMFLGELEEIMEGINMVEFQRIMVPLFLRIGCCINSLHFQVAERTLFLWNNDHIVNLIAHNRQVILPIIFPALDKNIKSHWNPAVVNLTHNIRKMFLEMDEKLFISCHTNFKEDEAILISEAEKRKEAWKQLEQAASLKPVIGNTAVLVSPLMT >RHN41362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29494898:29495601:1 gene:gene47677 transcript:rna47677 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGQEPAPFVDGLDRLKDYELVDHILRKKNLLEKCGEKNLPDRGAKLRSIIKCYEDEVRRWNVNRPPQFSFEDQKKKPAHTTTSSDVGECLFFHWNIWM >RHN67477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27543342:27543662:1 gene:gene15670 transcript:rna15670 gene_biotype:protein_coding transcript_biotype:protein_coding MDYIQESPIWNDMVSNDEVAFARSIVATKSNLSNFSANVIHDLQVLGLVHTKMQKAMKFVSE >RHN64968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63353847:63354566:1 gene:gene27852 transcript:rna27852 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSYKTVFQFIETTLKGNLFSSGRTDEDAEGEDLFIQHPIACNNGIHELQSSTNKTLPAKSRGRKNAPQQPTPMSSASTTQFGLTGYSSAPTYTMTPSFYSIQSKISQNTYSHMPNPTHTLYSTNVSGNHSSYQMLPPQPAAASTFLPVMYWPPPPPTAYFPRYYPSAFGYQSFPSTESYMSFQTRPYYYN >RHN80755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40664049:40665100:-1 gene:gene4720 transcript:rna4720 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKPCISTTQSLHSIPNHTLPIPISKFNINFHLLKPKSVAISQSHQTLTNLQIVCARRPQWPLSSNRKLLQLVSTLAFNLKILPEPFNSLVREIAQTNSNEHRIVNRLISGWSRKTNKSSKRRNEQMFLLPLFVLICVAGFWAFRVSELDLFLKSLFFCFVGVSSISLLRNKVIKDWFLGFFLGAVLMMSFRLGKEDVKFWVEKFRTCSPVAQIALKNRNRKWKVSK >RHN40630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18346711:18348340:1 gene:gene46802 transcript:rna46802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate dehydrogenase (NADP(+)) MRPIQLEDVVVGQYKGHSKGGRSYPAYIDDSTVPMGSLTPTFAAAALFIGNARWDGVPFLMKAGKALHTKRYGTFSLLQKSEYKHVPGNLYKRNFGTDLDKATNEVVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLYRSRYAREIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPLLKEIENKKIAPELYPYGSRGPVGAYYLAAKHNVRWGDLGGAD >RHN75672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44209003:44214407:1 gene:gene11909 transcript:rna11909 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKSLHVSFVIAIGPCYQCHVDETQAIILSSTPPHQISITSPSTLATTRTFNYNIITLCLQIFPTYFTGNVTNTGDPHLRRCGKRS >RHN58872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5628071:5631403:-1 gene:gene20827 transcript:rna20827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MRVHIILWIFFIPFRIINSSSNNFLVHERSLLLHLKNNLTFNLTNSSKLFHWNQGDDDCCQWHGVTCKDGHVTALDLSHESISGGLDNSSALFSLQYLQSLNLAFNDFRSVIPQDFDKLQNLMYLNLSNAGFKGQIPKEISHLKRLVSLDLSSSFTSHHVLKLEQPNIAMFIRNLTDITKLYLDGVAISASGEEWGRSLSSLGGLRVLSMSSCNLSGPIDSSLVRLQSLSVLKLNNNKLSSIVPDSFAKFSNLTTLQISSCGLNGLFPKDIFQIHTLKVLDISDNQNLNGSLPDFSPLASLKYLNLADTNFSGPLPNTISNLKHLSTIDLSHCQFNGTLPSSMSELTQLVYLDLSFNNFTGLLPSLSMSKNLRHLSLLRNHLSGNLKSNHFEGLINLVSINLGFNSFNGNVPSSFLKLPCLRELKIPHNKLSGILGEFRNASSPLLEMLDLSDNYLQGPIPLSIFNLRTLRFIQLSSNKFNGTIKLDVIQRLTNLTILGLSYNNLLIDVNFKHDHNMSCFPKLRVLDLQSCKLLQIPSFLKNQSTILSILLSDNNIEGPIPKWIWQLESLVSLNLSHNFLTGSVESISNFSSNLDSVDLSVNNLQGPISLVPKYATYLDYSSNKFSSIIPPDIGNHLPYIIFLFLSNNKFQGQIHDSFCNASRLRLLDLSHNKFVGTIPKCFETLSSSLRMLNFGGNKLRGHIPSSMFPNLCALRFLDLNDNHLGGPIPKSLVNCKELQVLNLGKNAITGKFPCFLSKIPTLRIMVLRSNKLHGSIGCPNSTGDWKMLHISDLACNKFTGTISSALLNSWKAMMRDEDVLGPEFGNLFFEVVDYHPMGLKDAIGIMIKYYAMKVVQLTLNMSRSDLDQVFSDSSTNDVNHCRYQDSVIIVNKGHQMKLVKVQKAFTYVDMSNNYLEGPIPNELMQFKALNALNLSHNAFRGHIPASVENLKNLECMDLSNNSLNGEIPQELSSLSFLAYMNLSFNHLVGRIPLGTQIQTFDVDSFKGNEGLCGPLLSTNCDDDRVHGLPPPESELSHFHNDSSIDWNFLSVELGFIFGFGIFLLPLICLMRWRLWYSKHADEMLYRFIPQLDFVYEQHQGMRYRSLRWRY >RHN58851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5432575:5436708:-1 gene:gene20805 transcript:rna20805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MKCLFWNLRGLANSPTKLALKKLLILHRPDLCFIAEPWMHIDNFSKLWLDRLGMKVFCVNDRGNLTPNLWCFCSKTLTPTLINMDDQHITIQITLNAMCFTLSGVYASNCQLKRKELWEKLQSVQNSIHTPWCCLGDFNTILGAHEQRSRYRVPDSAMKDFQEWSDSNNLIHIHTRGADFTWTNGRRGRFNIQRRLDRAICNQEWYASCNLVLASTLTKIRSDHFPILLEFKNDDCSFTSQFKFLKIWLSHPDCIDLVRNSWNTPVVGCPMFILNQKLKHLKTALKSWNKNTFGDVHANVKNATQKVDFIQDQLDILGVTDDLLDQEKAAQIELEHALNIEETYWQQKSKIQWHAQGDRNTAYFHRITKIRNASNLISSIHNGDELLTNPSDISDHFVTHFSNLFNQTSNTTDNGMIEDVIPSLITDRINNILTMIPSQEEVHSAVFSLNKDSAPGPDGFGALFYQTFWEIIKTDVLNVVLDFFKNGWILPNFNSNNIVLIPKTNHAEKVTDYRPIAIANFKFKLISKILADRLSKIMPAIISTQQRGFIKGRSIKDCICLTSEAINVLNKKSFGGNLAMKIDIAKAFDTLDWHFLLKVLKSFGFCSKFCNWIHSILSSARLSVSINGKLHGYFSCSRGVRQGDPLSPLLFCLAEEVISRRLTKLVREGKLKLINGTRDIQIPSHILYADDMMIFCKGTNSNIKVLKKAFMDYAEASGQMVNPQKSFMYAGSISASRLNQIANQIGFQVGILPFTYLGVPIFKGRAKYAYFQPLADKVKSKLSAWKASLLSIIGRVQLVKSVIQSMLLHSLSIYSWPVKLLKDMEKWMRNFIWFGDVNQRKLVTVAWHKVCTPLKEGGLGLRKLSYINEAGNLKNCWDILQSELQWTQLIRSRVLRNNKPINHHISSSIWSGAKHKFNTLMDNVLWKIGNGQTIKFWTDPWCGDPLITALNIPQHLHHLLQSHLDTYIMDSKWNVPQAFISAYPILKQKLSSTIIPLIPKDDKLIWKNSHDGSLSFKAAYTFHASNHPQTLSWTKMIWHAAIPPSKSFLVWRLLHDKLPTDDNLSKRGCMLPSICNLCGAAQETSIHLFIECPFASDVWHWLGSLLNLNCNLTSFMDIIRISERNWSPHCKLVILAAIIYCFNIIWHCRNQSRFNDKTIQVASAINLIIAGTHLSGNSSTLAASSSISEFVILKKFDVKINPPKSHIIKEVMWSPPIFNWVKCNTDGAAQGNPGLAACGGIFRNSDADFLGAFSVNLGVSTALHSELIAAMVAIEIAHVKNWHNLWLETDSMLVLLAFKSSKIVPWSLRNRWDNCLFILSMFNFNVSHIYREGNSCADQLANLGLTLPSYSWFNNVPSQVNVEFVKNKTGFPNFRFC >RHN51612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21019763:21025873:1 gene:gene36087 transcript:rna36087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b5-like heme/steroid binding domain-containing protein MALQLWSTFKEAIVVYTGLSPTTFFTLLVILFTLYYIITSLFGSSDTHQRHGSTRDFAAEMEPLKPPVQIGEVTEDELKDYDGNNPDKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLTGDISGLGPFELEALQDWEYKFMGKYVKVGTIKTVPVTKPESTGEPSESTSRGVDASSIPHENHDAAEASKPHENTPSETAPVKSDENTPLSVDADKEE >RHN54625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10866029:10868631:-1 gene:gene29694 transcript:rna29694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MKEPSNSKPWRPFTSNCCTTENQTILRNFSKCKPSRSDYSKNIAPLPSFRRLSFSDLSRSSSTRINEDLALSFGSDLFDFQLSELRAITQNFSSNFLLGEGGFGTVHKGYFDDNFRQGLKAQPVAVKLLDIEGLQGHREWLAEVIFLGQLRHPNLVKLIGYCCEDEERLLVYEFMPRGSLENHLFRRLTSLPWATRIKIAIGAAKGLSFLHGAEKPVIYRDFKTSNVLLDSDFTAKLSDFGLAKMGPEGSKSHVTTRVMGTYGYAAPEYISTGHLTTKSDVYSFGVVLLELLTGRRATDKTRPKTEQNIVDWTKPYLSSSRRLRYIMDPRLAGQYSVKGAKEIAHLALQCISLHPKDRPRMAMIVETLESLEQFKDMAVTSGHWPISSKSTKNVSSNNKVKARAISVNQKKQAPVKSIKT >RHN43402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45510047:45511605:1 gene:gene49971 transcript:rna49971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MAAVFNQTDIVFILMDSGENLEYKNAQEETPLDCAPATLQYKMKMKIQESGAVDQRI >RHN39067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3895842:3897480:-1 gene:gene45059 transcript:rna45059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MKIYGAYTGIVGYELSVQAQQYSLSAIWVESGSSSELNSIKVGAGVFPTLYGDNQLRITGQWTADGYNQTGCFNSNCPGFVQVNRDKEYALGSVISPPNSIGATQKLFAIFLIIQDRHSGHWWLYVENESKFVGYWPKDLFTHLKEGASLIRFGGQTYSPPNKNIPPMGSGRFPKEKFTNSSFMARLKIIDSQRNEIDVKPEDMKPYRDTSTNCYDLEYHGYEGPLYRQAFLYGGPGGPNCSK >RHN80663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39861943:39866039:-1 gene:gene4614 transcript:rna4614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MTNVGDAERDKYSLINFEETELRLGLPGAGDHGESPVKNSCGKRGFSETANVDLKLNLSPINDSASSSSTIASVAENKGKDTTTSATVSPPPRAKAQVVGWPPVRSFRKNIVNVHQKSNSETEVDKSISGGGGNGAFVKVSMDGAPYLRKVDLKLYKSYQELSDALAKMFSSFTIDNCGSQVTKDFMNESKLIDLLNGSDYVPTYEDKDGDWMLVGDVPWEMFVQSCKRLRIMKGSEAIGLAPRAVEKCKNRS >RHN68413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35282208:35286676:-1 gene:gene16763 transcript:rna16763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 3-dioxygenase MLKSHKDWPEPIIRVQSLSEGCKDSIPDRYIKPPTDRPIVDTSSYDDINIPIIDLGGLNGDDLDVHASILKQISDACRDWGFFQIVNHGVSPDLMDKARETWRQFFHLPMEAKQQYANSPTTYEGYGSRLGVEKGAILDWSDYYFLHYLPVSVKDCNKWPASPQSCREVFDEYGKELVKLSGRLMKALSLNLGLEEKILQNAFGGEEIGACMRVNFYPKCPRPELTLGLSSHSDPGGMTMLLPDDQVAGLQVRKFDNWITVNPARHGFIVNIGDQIQVLSNATYKSVEHRVIVNSDQERLSLAFFYNPRSDIPIEPLKQLITPERPALYPAMTFDEYRLFIRMRGPCGKSQVESMKSSK >RHN78179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12505145:12507782:-1 gene:gene1708 transcript:rna1708 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCMSKTPETQSDINLNVEMATELRSYAAACKHDADLQSFDNNLQARTNQVINSLAVGVEVRSLSFDSLKQVTECLLEMNQEVVKVILDCKKDIWKSQELFELVEEYFDNSLKTLDFCNALEKCLKRARDSQLLIDVALQKFDEETVSGDNCYVKTLQELKSFKAVGDPFTEEFFQIYQSVYNQQVLMLEKLKLRKGKLDKKLKQIRIWRKVSFIIFVATVASVLICSVVAAAVASPHVAAAVAAVTAIPIGSMGKWIDSLMKNYENALKGHKEVTISMEVGSYVAIKDLDNIRVLVNRLEVEIESLKTNVGYAIEEEGAVKVAIEEIKKKLGVFMKNVEDLGAQADTCSRDIIRARTVVLQKIVKLPHK >RHN51867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27333308:27340936:-1 gene:gene36421 transcript:rna36421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQIIPFLGALIIFLSLFLVESKQTNIPCKSAEDCPKPIYPRYVLCSYGFCRIFFP >RHN45560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22902257:22907269:-1 gene:gene39926 transcript:rna39926 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTGGGGGGGIQWLWGVASAAQMGLGVRSYRKGHAGDSCLMPLKAFTVASLFIGSAASASVFILHANGIHGVDDLIEAGANLRAKLGLRPRTPNKKMDES >RHN57867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42255241:42259572:1 gene:gene33456 transcript:rna33456 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDAWVKEYNEATKLAEDISSMVSEWSSCPASGPEAQRQSSSTRKKITILGTRLDSLQSLLSKLPGKQPLSEKEENRRMDMLANLRSKVNQMASTLNMSNFANKDRLVGPEVKPDVMSRTVGLDNNGLVGFQRQIMKGYLSRLFDNILLGICSFNLIALFFFFLFDKLINYTH >RHN72629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9266469:9268680:1 gene:gene8372 transcript:rna8372 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVAQVPPPTTVPETTPEVIKTEETIPEQTAIEVPAPEQPEAEVPATEVPTEETTEQPTETTEETPAAVETQDPVEVETKEVVTEEAKEENTEAPKETEESVEEVKEEAAAVVEEPVATIENESEAPAAVTAEEENVKPVESVEAPAAEEVPVEKSEA >RHN60281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24611921:24614892:-1 gene:gene22566 transcript:rna22566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative XS domain-containing protein MYRKSKHTHDSDYEYYESRYYEDLKDDYYKDKLKISDSTYRCPFCYNKDYYSLSELLRHASRILGDSRETDKEIAKHSALKMYIEGYLNVKVDKDKPPGVSIASDKSVNLSVANEKSLIMNVAEGQLLNANVADNELFVWPWMVILANNITNFDPKSGKYVGKNNKKIEEELIMKGFQPMKVTALWNVKGQTPFAVVEFGRQWDGFHNAMKLERSFQAEHCGKRDYLALREQERGDRLFGWMARRNDYNFKDIVGKHLQGNGDLKTVSGKEAEDNRKARKLVSGLANTLKLKTEELEQTASKYDEVNVSLRKAMDQKEKMLEHFNKGMLKLRKLFLLIFVFCFFDLEVKHSCFYCYIKMRQVEREYQEKISKDHEKARLELEAWKNELMSREKDLQKRQADNHNERNKLYLEKKHKEKEKLHKKIHDLERGLDAKQALELEVERLRGAFQVMNHIGETDRNDKKKLEAIRMELQEKEEELEGVEDLQQTLVVQERKTNDELQDARKKLISWFRCPKNTNQVIIAGKMMGKLDIKPFEEAAKRKFSDEVNEKAMTKKKLSNKVKSKANEWCSKWDEYLSDPSWHPFKFVTDNSKEILDGEDEKLKSLKDEVGDEAHDAVSTALKELNEYNPSGRYPIPELWNFKEGRKASLKEGVSHLINKWKASKGKKTY >RHN55987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26501711:26502121:1 gene:gene31292 transcript:rna31292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MSNMSSSSDPIWSKAWHKSVPLKVSCLVWRLFQNRLATRYNLAKRGVMDQSTIQCVGDCRSEESVTHLFFECSVFSSVWFGVCQWFRISAAFQKEGRLYLEQFGG >RHN72654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9458126:9462593:1 gene:gene8398 transcript:rna8398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MVDNNDLHSQFLASAVDAAHKAGDVIRKGFSQTKHVEHKGSVDLVTETDKACEELIFNHLKQLYPTHKFIGEETTAAFGTTELTDDPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKVPTVGVVYNPIINELFTAVHGKGAFLNGNPIKVSSQTELVNSLLATEQAGTKRDKATLDATTNRINSLLYKVRSIRMSGSCALNLCGIACGRLDVFYETGFGGPWDVAGGAVIVREAGGVVFDPSGGDFDISAQRVAASNPLLKDAIVDALRQTE >RHN72560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8620707:8621837:1 gene:gene8286 transcript:rna8286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MADVPTELFIDILSRLPVQSLLRFRSISKSLRSFIDSHTFTKHYLKNSFSIKIILRHNYDLYQLDFSNLTTHVKFSVPLNINLFNPNIDLLGGSCNGLLCISNGVSEIAFWNPNIRKHRVIPYLPIPRNESDFRLSKCVHGFGFDQSACNYKLVRVSFFEGIRHIMFKTQVRLFSSKTNSWKALPNIPYALYSTQPVGVFVENSLHWVVTRNRSQPCLIVAFNLTQEVFNEVPLPEIQMADNVKGFQIDVSLLGECLCMTVNHVSVRHHTTKVEVWVMKKYGFRDSWCKLFTFEDSCFNKPLRSLKPLCYSSDRSKVLLEVKGNLYRDCKKLFWYDLKSEEVTCVQGIPNFNETMIYVGTLLPPSLPIDNYNYIKA >RHN73312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15289013:15289631:-1 gene:gene9111 transcript:rna9111 gene_biotype:protein_coding transcript_biotype:protein_coding MMHCVTIAGKNEGKSCMFSVRTFHKRLAQEPQSAISTQLISETEYSVQYSSKTRKKMVYQRCRDWGYTYVHDYLTYMIHKGESIETRRRRRRFTKARARKREDDHAAGSLVHNK >RHN53343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1078467:1080076:1 gene:gene28241 transcript:rna28241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 12-oxophytodienoate reductase MAGIVESDPIPLLTPYKMRKFNLAHRVVLAPLTRQRSYGNVPQPHAILYYSQRATGSNGGLLITEATGVSDTAQGYPDTPGIWTKEHVEAWKPIVDAVHAKGATIFCQIWHVGRVSDSVFQPNGQAPISSTDKSLTPQIRSNGFNIAKFTPPRRLRTDEIPNIVNDFRLAARNAIEAGFDGVEIHGAHGYLLEQFMKDKVNDRTDEYGGSLENRCRFALEVVEAVANEIGAERVGIRLSPFAEYAECGDSNPNELGLYMVNALNKYGILYCHMVEPRMKTVGEKTECPDSLVPMRKAFKGTFMVAGGYDRHDGINAIAENRADLVVYGRLFLANPDLPKRFALDAPLNKYNRETFYISDPVLGYTDYPFLE >RHN49840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1599953:1602206:-1 gene:gene34064 transcript:rna34064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MNVYSRANPIFIIRDNFKKFHNEEITSNLKTLYNSCSTLTLSTPLSLSKILSLFLSPLSFQCVFCFAFFVQKKMVNQSAIRTVVGIIGNVISFGLFFSPAPTFYKIIKKKDVEEFKPDPYLATLLNCAFWVFYGMPFVHPNSLLVVTINGVGLVFEVVYLTIFFIYANKKGRKKLLLYLLIEAAFFAIIVLITMLALHGTTKRSLIVGIICDIFNILMYASPLTIMATVIRTKSVKYMPFWLSLTNFLNGLCWTTYALLHPFDIYVLISNGIGVISGTIQLILYGYYWCRGDNQIGDDKNDAPAVTVV >RHN81761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48714585:48719814:-1 gene:gene5847 transcript:rna5847 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galacturan 1,4-alpha-galacturonidase MSHQNMKSARFENSYVIGVISVVLILGSLAECRVPSSIKLNNFDYPAINCRKHSAVLTDFGAVGDGKTLNTKAFNAAITNLSQYANDGGAQLIVPPGKWLTGSFNLTSHFTLFLQKDAVILGSQDESEWPQLLVLPSYGRGRDAPAGRFSSLIFGTNLTDVIITGDNGTIDGQGSYWWDKFHKKQLTLTRPYMIEIMYSDQIQISNLTLINSPTWFVHPIYSSNIIINGLTILAPVDSPNTDGINPDSCTNVRIEDNFIVSGDDCIAIKSGWDEYGIKVGMPSQQIIIRRLTCISPDSAMVALGSEMSGGIQDVRIEDVTAINTESAIRIKSAVGRGAFVKDIFVKGMDLNTMKYVFWMTGSYGDHPDNGFDPNALPKISGINYRDVTAKNVTIAGKLEGISNDPFTGICVSNVTIEMSAHKKKLPWNCTDISGVTSNVVPKPCELLQEKEIECPFPTDKLAIENVQFKTCNFQSSMYSHIILSLYIRPYACGFSTTIAMSHKNMMKSPVFENSYVIGVISVLLIFGSLAECKEPSSSKLKNFDYPAINCRKHSAVLTDFGGVGDGKTLNTKAFNSAITNLSQYANDGGAQLIVPPGKWLTGSFNLTSHFTLFLQKDSVILASQDESEWPQFPVLPSYGSGRNASDGRFSSLVFGTNLTDVIITGNNGTIDGQGSYWWDKFHKGQMKITRPYMIEIMYSDQIQISNLTLINSPSWFVHPVYSSNIIINGLTILAPVDIPNTDGIDPDSCTNVRIEDNYIVSGDDCIAIKSGWDEYGIKFGKPSQQIIIRRLTCISPKSAMVALGSEMSGGIQDVRVEDVTAIKTESAVRIKTAIGRGAYVKDIFVKGMNLDTMKYVFWMTGSYKSHPDNGFDPNALPKISEINYRDVTAKNVTIAGKLDGISNDPFTGICVSNATIEMSAHKKKLPWNCTDVSGVTSNVSPTPCELLAEKEKFECPFPTDKLTIENVQFKTCNFKSSVIR >RHN56775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33643403:33653255:-1 gene:gene32223 transcript:rna32223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, chromatid cohesion factor MAU2 MEAIAEGLWGLADHHENRGEIAKAVKCLEAICQSEVSFFPIVEVKTRLRIATILLHHSHNANHAKSHLERCQLLLKAIPSCFELKCRAYSLSSQCYHLVGAIQPQKQVLFKGLDLAAASAGNGNNEISTKLWSCNFNSQLANALSIEGDYRGSISALECGYACATEVRYPELQMFFATSLLHAHLMQWDDDNLVEQAVNKCNEIWESIQPDKRQQCPGLLFYNELLHIFYRTRVCDYKNAAPHVDNLDAAVRAEKRQTQHMQELVKELSVLDQSLSRSDLHYRERAALSEKQAMIQEQLRNMNGFSSIGRDSLEPVYFGNGRRTLGDKLQLAPPPIDGEWLPKSAIYALVDLITVVFGRPKGLFKECGKRIQSGMRIIQDELLKLGITDGVREVDLQHSSIYMAGVYLMLLIQFLENKVAIELTRAEYAEAQQALVQMKNWFMRFPTILQPCECIIEMLRGQYAHSVGCYNEAVFHYIEAVKLTDSKSMQAMCQVYAAVSYICIGDAQSNSQALDLIGPVYEVMDSFVGVREKTGVLFAYGLLLMKQQDLQEARIRLAKGLQLTHTYLGNLQLISQYLTTLGSLAIVLRDTVQAREILRSSLTLAKKLCDVPSQIWVLTVLTALYKELGERGNEMDNADYQTKKSEDLHKRLADAQASIYHIEIIERVRFEVPQLHELEIKRAMAGPSMGVNNLDIPESIGLPAQAPVPSSMLVDIDGSGRRHGKWRI >RHN69139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41296403:41298671:1 gene:gene17570 transcript:rna17570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MNKFFPYGTHDVISTISSLGMVLFVFINGVQMDFSLITRTGKRAWIISIIGLFVPLCVGFIPLLTLPGRIEAIHKMHGDGIAVTVLTHSLSQFATIASLLSELQIQNSELGRLSLSSALVSDILVTVISTNIVALKTSPNSTALLRNIFLLFIFFVLIPLVCRPIMFWIIKHTPEGRPVKDSYIYVIISTVFLLGIFSVKINQEFVLGAFILGLSVPEGAPLGSTLVKKLQFFGTTFFLPIFVTTCVLKADFSMDLTSYIMVSNGLVVLAIHMVKMTACFITALCCKMPVTDAFCISLILNTKGVVEVGIYNSAFDDQVINRKTYGVMMISIMIIATIVHWSLKLLYDPSRKYAGYQKRNMISLKKNSELRILVTLQKQNHISATTDFIDLCCPTQEKPITIDVLHVIELVGRALPLFIPHCLQRQASGSTSYKSYSDDFILAFDIYEHNNQNAVSINTYTAISPPNLMFEDVCNLALDKVATIIILPFHITWSSDGVVESNDKKVLRALNRKVLEIAPCSVGILVTRANSIPKPTSEYSNTRLAIIYLGGNDDDEEVLCLAKRAMNNHRINLVVYRLVAKENIEELEELMVIGDEMLEELRHAENVKYEEVFTENGSETASFLREIMNEHDFFIVGRRHETQSPQTDGLAEWSEFPELGAIGDFLASPDLNSSASVLVVQQQLSCSNDLKGWVL >RHN53541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2397587:2398143:1 gene:gene28457 transcript:rna28457 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSYPNTLVCIFCVDFLLGIRLLNVRHSKNAIKRWLLWSLNILTQNAAIALDIGMKQVCPQNSLPISNDSYILNRVKFVWQRVVNGAGIHVGTPTTAVECTMVLLAHQLSILAGKRYAGNHLARCAAQHPPLLIKQCILDQDLCHVFAE >RHN61036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32419943:32424016:1 gene:gene23447 transcript:rna23447 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLHTGNGSGDSSLPYDSLLQPSTDLTHSNKFNINGSDSNQEILISPDFGSHTYTTPPPHSVEPQQLQGKEDDLFDELTQQHMHMVNSMLNSSDIPSEYCGESLKPLDSMSCWSQTSLWSPLCSNQGSSEGSLSPKEPSEPGNSHDSDCFDLLKMLDKMKFDESDSSRYHPSSEMESSQSHAVGVCSSNQFLLNAQIRANQMSRQRIEQMLSLEQKLAAYRESHGHLSPQIQKNGKEDDVRFGMAPLQRPGPMHQQAGSEKRTDFQGATGSRGTSCGTGVFLPRGGVSAFQSHQTKRPGKGCSTVLIPERVVQALQLHFDQIAATSGPKPRVFPPLHDVLVSTNRDGMYSLQKRQSRKKQTQIQNEMILPREWTY >RHN79319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27565098:27570858:-1 gene:gene3095 transcript:rna3095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MSATMRTATWADSADNAANASSTARPVKPAYVPPHLRNRSMAAPAEPPSLVANDRGLGNNWGSSSNFKQDFGAGRQVGGGYGGGGGGFGGFNRGGAGNGRERVGGGGGRREANPFENDVDEPFTEQENTGINFDAYDDIPVETSGENVPPPVNTFAEIDLGDALNQNIKRCKYVKPTPVQRYAIPISLAGRDLMACAQTGSGKTAAFCFPIISGILTEQYAQRPRVARTAYPLALILSPTRELSCQIHDEAKKFSYQTGVKVVVAYGGAPITQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGMRQTLLFSATFPKEIQRLASDFLASYIFLAVGRVGSSTDLIAQRVEYVLESDKRSHLMDLLHAQRENDVNGKQGLTLVFVETKKGADALEHCLCVNGFPATCIHGDRTQQEREQALRSFKTGNTPILVATDVAARGLDIPRVAHVVNFDLPNDIDDYVHRIGRTGRAGKMGLATAFFNDSNLSMAKPLADLMQEANQEVPAWLTRYAARTPYGGGRNKRTGGARFGGRDVRKESSYNKGNDYYGGNGGGYGVPASYGGGYGQGVTSAWD >RHN53255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:448357:448560:-1 gene:gene28146 transcript:rna28146 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLIVVVMEDVDQGCSGGEGAHGWSDMRGSEKQHMLSDESSDGGEGGGGGLDGTEKKGSVRRRRKN >RHN66559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13981373:13982558:1 gene:gene14568 transcript:rna14568 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDSGESYKVKPKTMKITEDKLVVQVENPVDFVSLMHHKVDLTSYLLHQKLDAYFGMLNGPTCEALVKYFRVRAEIFDKDATKLEEVEKILIDPSLEGETRAEMVLKEFTRTKGKPKESQDNNRKFIPYGRLLLEIFYQGDLLKALKDSNVVADEHLGTVVGKYISGYTLRSMCIIKEVDQLKSDLKESMIVSDLMTDFPPISKEDPPEVLVAYVTTHHEKTGEIINYSTIPYTMAGTPLRIASKKRKSKKITSEAAEVEVSDPKPKKPKKAKKEKVVPQENIVGPAIPTVQEKFKDLEPVKILDKRTGGGTSVGSSKVLSDHPQPKIPKKIRCVRKMKVSDYVLQEDAEVEAATDLVTRMEWNKKVTAEQAAYLLDKV >RHN64218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57357594:57361913:1 gene:gene27008 transcript:rna27008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MADSSSFFISLPEDINFKIASLLQVRDLCALGCCSKFWRKLCFSDSIWHSLVTNRWPLLHSSLSPYIKTWRRLYVERHVELGIRAGSVERFLKACSRNESLEVGDYLQAFEIINGARFGFEDIQRFLFKPQMNVLLNLVGVHYCMTSLGIPGDDLVEALRTCEISNRHVCVKWWKLGRWIYGYRGRDELLFRWVSLADLATEDGESVLGVLRRGTVHEVLRVQISAIGHKSIPWSYQVTQRLE >RHN67909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31324573:31327863:1 gene:gene16159 transcript:rna16159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-methylxanthosine synthase MQRKVIMKVAAIVEENLKPLMSNTTFNGCFKVADLGCSSGPNTLLVISNILNIIIEISLKLNHETPVFQIYLNDLFENDFNTIFKLLPDFYKSIQQEKGENVGACFINATPGNFYGRLFPTNYINFFHSSYCLHWLSQAPKDLANNAKPLNKGNIYISRTSPPSVYEAYFVQFARDFKCFLKSRFEEIVSDGMMVLTFIGRETSNEITSIQEVLGLVLNEMVQEGLVEEEKLDLFNFPTYHPTVEEVRQVIEAEGSFTLQVMKTFKMGWDANLHNDGIVDSKMRGELIAKYHRAVFEPLLIDGFGENIMDELFSRFAKLLAQLIELETLEFTNIVLLLTKGL >RHN40000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12188408:12191662:-1 gene:gene46088 transcript:rna46088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine adenosyltransferase MSKKKILIVGGTGYLGQHLLQSYYSNQSLTVAFTYHSSPLLQPLLDAFPDSQSFQVDLKSGIGFDAISNAFGQPDVVVNCAAISVPRACENDPDTANAINVPSSLVKWLQSFKQNSTLLIHLSTDQVYEGEKSFYKEEDIPIPVNVYGKTKVAAEKFISENFPNFAILRSSIIYGPQTVSPVPKSLPIQWIDSALSKREKVNFFHDEFRCPIFVKDLVTIILALTSQWLSEGKQMQLLLNAGGPDRVSRVEMADAVAQFRGYDTSLIKAVSASTVDRGVKSPADISMDITRLVQTLNINPVSFKDGVKLTLTTEAQQ >RHN44790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8498795:8499971:-1 gene:gene38952 transcript:rna38952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone 7-O-methyltransferase MGSENEHKASELFEVQAHLYNQLFSFLRPVSIKWAVELGIPDIIQNHGKPITLPELVSALRIPEAKAGCVHSVMRLLAHNKIFAIVKIDDNKEAYALSPTSKLLVKGTDHCLSSMVKLLTNPTRVEKHYQLSTWTSMEDLTIFETPLWDLILQNPTHSKLFNDAMESDSNMVRFAMSDCKSVFEGLTSLVDVGGGTGNTVKIICEAFPTLKCIVFDLPNVVEGLTGNNYLSFVGGNMFESIPQADAILLKWILHNWNDDDCVKILRNCKEAVSRKNKEGKVIIIDIVINEEQDEHEMTELKLFFDISMMANFGSTERDEKAWEKIITEAGFTSYKIFHIFGFKSLIELCV >RHN47065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36880958:36881618:1 gene:gene41620 transcript:rna41620 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTKESLTPCQATKGQNYYASVKTFQLMLSSHLIKETGQAEVGSLHFSSCHCTEHFEQLLSQRVGTP >RHN47385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39351502:39351921:1 gene:gene41978 transcript:rna41978 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIAIIKTSTECPSRKPPWHPCYWAIPSSLGLLSHKFVDVFLVLLLCCLAWFLCSLFEFKFVLYMFAKMRQKDPFHRYYITSKRLIAIITELNILTITQLPSQHVVEFVISMTIYSRYVQTPALIFFRSWMKSKTVDL >RHN39034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3660528:3662209:-1 gene:gene45024 transcript:rna45024 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSASKFYIIFMYLYLAIFINSAKDEEAKICKILLSSTMNHGCEEIKCNEGCINEENANSGECMATGIVVFFCYCFYECEQ >RHN54165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7482741:7484726:1 gene:gene29157 transcript:rna29157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase TKL-Pl-4 family MLEYENCPVAIKVILPEKTNDATPEECKASFQKEVNLLSRIQHENVIKFIGASVEPMMIITELLEGGSLYKNMKRIHPITFSLEQCLSYALDISQAMEYLHANGIIHRDLKPDNLLLTKNNDHVKVADLGLARENICNLMTSEIGTYRYMAPELTGIDLPRGAKICYDHKADVYSFAITLWSLIKNETPFKEKQGIIAAYGARRNIRPSLAEFPEEIITLLESCWDKNPKLRPEFKEITEILISILFDLYTAKINALASIKPICTDRVDFEIEEESSNVQHTTASLVSTVENETLGPDGGAEAVNSLILDESRDQSGSQAESQSGTQTPFHDLVEKKPKQKSKMKHLFSYFLGCIAF >RHN51311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16057071:16057737:1 gene:gene35727 transcript:rna35727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEIVKFVYVMIILFYVFLVSMNIDAFDGHGGPPCTKVSDCPPNLVCKPGYKLGCSANYQCICYMKMSF >RHN66810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19187920:19189135:-1 gene:gene14902 transcript:rna14902 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSASSSSDPPSISDTSSVAILEESDSDSVAEEVRHSSIYVDYNSTFKLYPCLVNPSRKGFFDIFPCENGEFVYHSPHNQKTEYYTYVYDCFFKKLGVRLPFTDFQCEILRILNVAPTQLHPGACSFVRSFEVIFRGIGFSPSAYAFFSFFLAKISNNSWVYMSNFSGRPLVRPYHASLKGIHSFKEKFFRVRPGPNFPRLFHDESGDPLFPLYWTENPHSKIRLHTLPKSDADDQLISLLRQAMPVHASEVLENERDCAKLTSLLRSAGMAPLTKEEPRAARAQQVVVQGIAHSRPRQDEHIGCSTAHPKDPPSKTSSKKRRPNSSMVVIPEVVEEHVDPEASEPAPYVGSPGTWRS >RHN57774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41642709:41647262:-1 gene:gene33359 transcript:rna33359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MAMMNEEVDGSSYKYDVFLSFRGEDTYCTFAGNLYHALRNKKIKTFFPHDQIQNDDEELQLSPSILKAIQESRISIVVLSKNYATSTRCLNELVIILQCMKMKNQLVWPIFYEVHSSDVKLQRCKYGSSSKAILKFRERFKDYPRRMWEWQQALSQVTSIAGWNYGIKFEYELIQKIVELTVQSLPRYDVFLSFCGEDTRYSFTGFLYHALRLEGFKIFMDDEGLEGGNQISQTLLKAIEKSRLSIVVLSENYGYSTWCLDELVKIMECKKTNNKLVWPLFYKIEQSDLSYKKSSYGKAMAAHEDRFGKESENVQKWRSALSEVALLKADHIKENEHEYEFIKKIVERANEAENHM >RHN78340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14259217:14260087:-1 gene:gene1883 transcript:rna1883 gene_biotype:protein_coding transcript_biotype:protein_coding MRTENNNNKRQKKETMGIAESIRWVAEVVVRSEQTRMETLKEIEKMRVEAEAKRGEMEIKRTEIIANTQLEIARIFANVNNKDKDKDVDVDSSLRIGRS >RHN65725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4761027:4761329:1 gene:gene13603 transcript:rna13603 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIGQVASSSPRTACTYQFAYIIGRVPSSIQEQLVPVNMFPFGQVASSIQEQLVPVYLFLSGGVTSSHPRTSSHLFICLCFRSSDQFESKNNSYLSTFP >RHN79547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30037648:30038888:1 gene:gene3353 transcript:rna3353 gene_biotype:protein_coding transcript_biotype:protein_coding MYKIKGITLDWVECIGREEERGNLQTTMSPVLCRCMPMKLFQ >RHN58096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43733204:43736685:1 gene:gene33704 transcript:rna33704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MEANKEEALKAIENAEKRFSQRDFAGAKNYALKAKELCPELEGISQMVSTFDVHIASEFRHNGEVDYYSVLGLKPTADKEAVKRQYKKLAVLLHPDKNKCVGADGAFKLVSEAWTWLDNSMRSSYNLKRKYSSFHAPGYNKCSNLPASRSKLDTFWTICTACKVQYEYLRKYVNKKLSCKNCRGTFVAVETGAAPANGSFPYSPLPYVPGNGYGNHSFDGVTYVPTNAAYFNGNGVTGYHSGHGYDYVSNVSFQLGSAGLIHQNGSATTLPADSVYRVNGNAKRGRPKVKSGANGRPPMAETVVNINSHVLFSCNKPQEVMPDRPYKKRKVTVGASFRNGYDAKGSKCALEAVVPKGNDNIGPGQKVVVKNEVQTKHCFMPPAFDARKLLIEKARTVIRKKLEEIKLSSEAATLKEKEKAQVDVCQVKRETCRKASLNVSGLQLEPHGKAGPISITVPDSDFHDFDKDRTEECFKPKQIWALYDEEDGMPRLYCLIREVISVNPFKIHISYLSSKTDSEFGSVNWLESGFTKSCGNFRAWTSDIVDQVNVFSHVLGREKAGRGGCVRIYPRSGDIWAVYRNWSPDWNQSTPDNVRHEYDMVEVLYDYSEDLGVCVTPLIKLAGFKTVYKRNPDQGAEKWIPRREMVRLSHQVPSWLLKGEETNLPDRCFDLDPAATPDGLLHTAAAMEAKAL >RHN69960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47590352:47595171:1 gene:gene18494 transcript:rna18494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGNTESMSQSQHEFYYQHPPNYDGSSVSNSYHQPSSYTGSLDSTTYDQPSAYTGNLGNTNHHQPSSHATSSVNTRHHHNKQPTYIADNFSSLDQVVSALREAGLESSNLILGIDFTKSNEWTGKYSFHRKSLHHIGSAPNPYEQAISIIGRTLSTFDEDNLIPCFGFGDASTHDQNVFSFYPDNRVCHGFEEVLARYRQIVPHLKLSGPTSFAPVIDAAIDIVERNNGQYHVLVIIADGQVTRNPGTPHGKLSPQEQATITSIIAASHYPLSIILVGVGDGPWDEMKHFDDNITGRVFDNFQFVNFTKIMSENTEASKKETEFALAALMEIPFQYRAAQNIQLANSEPVHYQHKRPLPPPKEIIDHDNAFIATPRITNFDSIEPTAPASAEPVCPICLTNPKDMAFGCGHTTCKECGATLSLCPMCRQQITTRLRLYT >RHN46865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35400963:35406182:-1 gene:gene41400 transcript:rna41400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 16S rRNA (adenine(1518)-N(6)/adenine(1519)-N(6))-dimethyltransferase MSQSLSHRCYLQTLPPISHNATFNSRHKPPPPRNPTGDKRSRKFYVACGRGNNRASTTNKDDYHATLKALNSKGRSPKKSLGQNYMLNSDINEQLVGVAGVEEGDVVLEIGPGTGSLTNTLINSGAFVLAVEKDKHMAALVSERFSSTGKLKVLNEDIVKCHVRSHISSLVGSTEDIDSDTRKAKVVANIPFYISTDVIKLLLPMGDIFSEVVLLLQEETALRWVEPSLRTPEYRPINIFVNFYSDPEYKFKVARTNFFPQPNVDAAVVSFKLKQPSEYPQVSSNKSFFSMVNSAFNEKRKMIRKSLQHICTSLEIEEALESIGLLSTSRPEELTLDDFVKLHNSITKE >RHN58980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6376127:6380763:1 gene:gene20955 transcript:rna20955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L2 domain 2 MKGMLTLHLWNPPPRFYTPSLSTKPPLSISATSSPELLTAKERRRLRNERRESNATNWKEEVENKLIQKTKKVNKSWKDELNLDNLMKLGPQWWGVRVSRVKGQYTAEALARSLAKFFPDIEFKVYAPAIHEKKRLKSGSISVKSKPLFPGCIFLRCELNKPLHDYIKEYEGVGGFIGSKVGNTKKQINKPRPVAEDDMEAIFKQAKVEQENADKAFEEEEKKAAVNSGNPNKELESDVSKAIVDSKPKRGSRKTSNQLTITEEASSAKKKPKLVTGSTVQIISGSFLGFAGTLKKLNSKTKMATVHFTMFGKENIVDLDVSEIVPETN >RHN62962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47076176:47076990:1 gene:gene25603 transcript:rna25603 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIRDVHRHKHRHAHTLHDYRLDVCLGNAIFRQMSIFKKIINQFHHSNFSKQTLESKLH >RHN50519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7890033:7890781:1 gene:gene34815 transcript:rna34815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MITMASSSFSLYSTTLLVLLLALFSSLQCSIVASTEFEVGDLKGWVVPPSNDTDIYNIWASNKRFQIGDSIHFKYKKDSVMEVGKENYDDCNATQPTFFSNNGNTEFKLNHSGTFYFISGATGHCEKGQKMIVRVMIQDVHSKSSGYHVPVGVFQIVFVLAFVASYVI >RHN60634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29001688:29006770:1 gene:gene22979 transcript:rna22979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pheophorbide a oxygenase MALSPTLSPLATLSSSTPRNKPPKPFLFSSFTKKSQFLTNSTRPRNRNRRNLLVTVRSVAAPPTKTESYPEAEKNEIDEEFEDESSSSKFSWRDHWYPVSLIEDLDSSLPTPFQLLGREIVIWFDKANSQWVAFDDKCPHRLAPLSEGRIDESGNLQCSYHGWSFDGRGGCTVIPQASPEGPEARAVGSPRACATRFPTLVSQGLLFVWPDENGWEKANASKPPMLPDDFVKPEFATVNIQRDLFYGYDTLMENVSDPSHIDFAHHKVTGRRDRAKPLPFKMDSRGSWGFSGANEGNPRISSKFIAPCYYINKVEIDTKLPVVGDQKWVIWICSFNVPMAPGKTRSIVCSARNFFQLTVPGPAWWQVVPRWYEHWTSNKVYDGDMIVLQGQEKIFLAETENGGDINKQYTSLTFTPTQADRFVLAFRNWLRRHGNGQPEWFGKSSSQPLPSTVLSKRQMLDRFEQHTLKCSACKGAYEGFQTWQKILTGATVVFCATSGIPSDTQLRIILAALALVSAALAFSINQLKNNFVFVDYVHAEID >RHN81354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45147882:45151997:-1 gene:gene5376 transcript:rna5376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MNHNTSSITENLIQQEIKMGTAAQSSSEIYTSDSEKGFAINHQSSTSPELDAGAKFVLVSKGSWLHCGYHLTTSIVGPVILTLPFSFTLLGWFGGVIWLVLAGVITFYSYNLLSIVLEHHAQLGRRQFRFRDMARDILGPRWAKYYIGPLQFIICFGTVIGGPLVGGKSLKFIYQLYHPEGSMKLYQFIIICGVVTMLLAQLPSFHSLRHINLISLILCVIYSIFLTVGSIYVGHSKDAPPKEYSVKGSHEDKLFGVFNGISIIATTYASGIIPEIQATLAPPVKGKMFKGLCVCYSVIVATYFSVAISGYWAFGNASGATILANYIGETKLLLPKWFFLTTNILILLQVFGLTAVYLQPTNELFESIFGDPKKGQFSMRNVVPRVLSRSISVAAATLFAAMLPFFPDIMALFGAFAFIPLDFILPMVFYNITFKPSKQGIIYWVNTLIGGGSSILVVIGGIASIRQIVLDAKTYSLFSDS >RHN69858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46847893:46849938:-1 gene:gene18382 transcript:rna18382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MDMYFGNPNSNPYHNNNISPPPPFSSDFINLSDYLVLDDEHQECWSQSTGTESSDNKAPSTTIDINVINQGLICDEIETSTNNNNNMMKRKSGVMKENTVDVSPRVTFRTRSQLEIMDDGYKWRKYGKKSVKNSPYPRNYYKCSGERCGVKKRVERDREDSSYVLTSYEGVHNHESPCNSYCTPVSLVYSNEWPQQNPSATSSSN >RHN71980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4134639:4138858:-1 gene:gene7645 transcript:rna7645 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSMTITVFSLALFLVQTSLPVSAESIDQFNSQINQINLKIAHLESVLEQTNKKLTERDLYLQEFENRINHISDKIHHLHSTLSSIKDDSLHAETRIKALDEEVQLLWDALRKNNFDLHILKSKAEDNEKSLEEVTSRVEKMSGIVTEQWIQVQHLEQALHIAKMRALKAQWQVSLRRCTFLKFINNIYHDLRAVESFVFGERPIVGSFISKALDYFKRCCSMTKKYHHQLQGSVKDLMKRNELTASLANDELVFFLVSALITFPLMSAWMLLSS >RHN75039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38900057:38900317:1 gene:gene11187 transcript:rna11187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glyceraldehyde-3-phosphate dehydrogenase (phosphorylating) METLPIEAVGKVLPSLNKKLTGMSFRVPTVDVSVVDLTVRLEKGASYDKTKAAIK >RHN68076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32618336:32623400:1 gene:gene16369 transcript:rna16369 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAHCSWFQALFFLALVWFFNCGSVDGVSTTVKVGNFSKVEDAVNFHIYYGQTFKVIKNAVDGQSYLLLQNNSRIASRTKYCTSRIKSFVIPLSNFSADTDSFPVSFLEHLGLLESLKGITSDTVTSPCVLKLYKGGQIEKLNKSDYQMLAQFSAHFFGDTDQQPACNFATSVPFSEDTPLQRAEWIKFIGAFANVESRANQVYTTVKENYLCLAEIAKSRTSFKPTVAWMKYNSGVWSFTKEAYYLKYVEDAGGEILDANKNTYNISDPDDLEELHALLCTVEVVIDETLAYDPVNYTMSTFIQNLNVEDRSCFSFLTNTSLWRYDKRIQSSSLDWYNGAVSQPQLVLADLIEVFFPTGNYTMTYFRNLFKGETPINIGPEMCDRDTSSTLDPTIVPCG >RHN52350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34202475:34206401:-1 gene:gene37014 transcript:rna37014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine N(alpha)-acetyltransferase NatB transcription regulator GNAT family MNSETLNATHNNAVSTERRLTRSEILAKKNANQQLIKAAYTKKDHLDSFPDYRRFEINGLSVCLKSGHGTKLSSPVKQYIQRLLKLNMEGPYGAEWQEEEKVKRIEMVDPEALYIFVHEVDNSNADEKTTLFTEEDPSTSRQEDSGPLVGFVHYRFVLEEEVPVLYVYELQLESRVQGKGLGKLLMELIELIAQKNCMGAVVLTVQKMNLSAMNFYTSKLRYIISATSPSKVYPMMEKSYEILCRTFNDEAKTIFEVNHSLHH >RHN40391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15910193:15913855:-1 gene:gene46539 transcript:rna46539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isopenicillin N synthase MNMYIIVQFPKKTKDKYLICCFVVQERNSNMGNTNLEEIKQDREHVYDRHKELMLLDESKEGVKGLVDAGLTKFPKIFIHDKVHEHNNKQTSSTNLSIPIIDFGPLFTTQVQVHLSLLSPNRSFFFIKITYFSHSYIIVFYQKYIKIINVTVTLISFFFWLIFKIGECTYFPDIIVQLPKKPKTDILSVELL >RHN45760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25316450:25317133:1 gene:gene40153 transcript:rna40153 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLIIFKFYAFDVSLVPKISKCLRNGKLELPDMERKLKYIQSWELWDTIREILNLITFAADSFGMKLITKDLFAKMYVASILYGYFLKSVSSRYELEKRQFLSDHDLNTGHGSKNVFLGKQEIENGTLKDYVKGFKRPRLVLDGCKLRYKEALQLIESHTQALFEHNKECGLNEFDDDDEEDDRFIEASFSSIKRLLWEGLAFGSFLWMAEDFIDGIYKLEEREAK >RHN66268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10202952:10207333:1 gene:gene14217 transcript:rna14217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MMYSQSLTLSLFTILASFGLCFGSYLEERHYSTNHQPYNERLVKPRHEQFSFMARAHHPSIAPSPQSHNTVNVNDYGAKSNDGQVDNEAFEMAWNEACSNGKVLVVPKQSVYHLNPITFSGPCQPNTALKIYGTIKAWPRISAYGADRKLWIKFENVTNLIVDGGGTINGNGRIWWENSCKVNESLPCKGAPTAVTFDECNNLKVDKIRFRNAQQMHLRIQGCNNVWASNLIVTAPGHSPNTDGIHITHSQNVFITNSTIGTGDDCISIVSGSKNVRATDITCGPGHGISIGSLGGGNTQAEVSNVEVNRATLIGTTNGVRIKTWQGGSGYARNIKFINIVVRNVTNPIIIDQKYCDQKKKCQEQNSAVELSNVMYQNIKGTSASEVAIKLECSKAVPCKGIHLQDVKITGENIKDTIAKCDNVKYSNSGMFFPKCHP >RHN60182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22642792:22643390:1 gene:gene22432 transcript:rna22432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MSTRSLTIFILAHVWLLMATKSIAQFVIDTSGEPVEDDEEYFIRPAITGNGGGFTLITGNGPCPLNVGLDNTEGTLGVAVKFTPFAPHHDDDNVGLNRDLRVTFLTSTSCGQSTDWRLGEKDATSGRRLIVTGRDNAEGNFFRIVQTQTGGNYNIQWCPKEVCPSCNIQCGTVGVIRENGKILLALDGGALPVVFQKE >RHN61383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35312787:35316461:-1 gene:gene23844 transcript:rna23844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyprenol reductase MNLSFLSNDQHQIMKTLLVSLLRLSWIAATLPIIIVSIPIPNLNFLRQILLGFAKRGKTSSSSQKFTVPQRFFLHFYVVSSIWTTFLLVATWIYAYRMAPLVADPLSYSTLTGFLTGGLTIRHGSDKLREGYVAWQAVFLLLLMEAQVLRRLFETIHVFNYSPSARMHIIGYLTGLFYYIGAPLSLCGDCALEVFDFLLNLVTEFIVKGKNQMPVPEIEFSLLLNPLTRLGWKHWIGAAIFSWGWIHQYRCHKILGSLRESRQADEYVIPRGDWFEIVSSPHYLSEIVIYASFVVATGGSNLTIWLLFAFVVANLAFAAVETHSWYRRKFEDYPSSRFAIIPFIL >RHN79730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31648099:31655687:-1 gene:gene3562 transcript:rna3562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative immunoglobulin E-set MGTSTLWLCYFPNCFTHHLCGNTNNKCIFSPKQHQTTISLPLTYFHLFASKTNTTTTTTRRSRRLKTDDEIRSDIRQFLDEVGLPDGHIPSTKELLSHGRNDLANIVRRRGHKKIQDLLTSLCGNVNSLETGKGLDERSDAANDIEVLLTGQNEKVDGSDDGTTVSTEFLQGDSSGSMYADSTPSLDECTSAPVESSDISFVEDGLGELKYHSEEVNNVAEAYFHPTEVTTVDNDLGSSSEGLYPNFDSQSSMPTEISGESSFETTQYGNSECDDALLGKMVGDITFPLTVPSTENHSITSYSDPDVDNREKEFNCLEPSVDLSVEQKDWGALESLDDYNNNITDDVPTTSGSEFSENKIDSISSSANVSDINLDTSFNLSMEEKVANFIQNGDLDPVEGAHPPKENNAMAHNGNSLTSNQVVPSGKLDQPLCIYRDDHMLHEDPMTHFDKDLDAEGSNVQNQSEINHLKFMLYQKELELSRLKEQIEKEKHALSVLQTKAEEEISKARKLISEKDAELHEAEESLSGLKEVLVEFCGDGDVVEVAGSFNGWHHPIKMDPQPLTSVIDHDGSRKSRFWSAVLWLYPGVYEIKFVVDGDWRTDPQRESVARGHICNNILRVDR >RHN71490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:505654:508356:-1 gene:gene7097 transcript:rna7097 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDILVSAFHFTKPLKTLLSPKPLLSTTTAMASSLILLRRSLRSLSGIHHSFSATATSLSVPITGSISSHFSLSKSPNVVDGIQSRSFRSTSISLLSSRYGETSELSPEIGPDTILFEGCDYNHWLFVCDFPRDNKPPPEEMIRIYEETCAKGLNISVEEAKKKIYACSTTTYTGFQAVMTEEESKKFEGIPGVIFVLPDSYIDPVNKQYGGDQYIEGQIIPRPPPVQFGRNLGGRRDYRQNNQLPNNRGNPSYNNRDSMPRDGRNYGPPQNFPPQQNHGQASHIPPQQNIGQQQPNIRIDSTSQRFPPQQSYDQASQRYPPQQSYDQASQGYLPKQNYGQAPQNYSAPQAPQNYSQQQTYGPASPQYPPQQSFGPLGEGERRNYAPQQNFGPPGEVDRRNYAPQQNFGPPRQGERRNYVPQQNFGPPGQGERGNSVPSEGGWDFKPSYMEEFEQGQKGNNHAEEQKESQQRFPPPGPGNFTGEGRY >RHN42497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38840960:38842078:1 gene:gene48944 transcript:rna48944 gene_biotype:protein_coding transcript_biotype:protein_coding MAADWAPVVVSVVLFVLLSPGLLFQLPAKGRVVAFGSMQTSGLSILVHTIIFFGLVTIFLLAIGVHIYSG >RHN43068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42969271:42969564:-1 gene:gene49591 transcript:rna49591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavone synthase MDRDSKLWENPLEFRPRGFMSEVNKLDVRGQNFQFIPFGTGRRACPGVSLALQVVPIKRVPSFSIYYLQVMCNMPQYLCTKAGHLPNCPNLVKHNHN >RHN56815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33949289:33951088:-1 gene:gene32269 transcript:rna32269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase SIN MEPVIVSVPDLLVCCNCCEPLTIPVSQCDNGHIVCSTCCPKLGNKCYKCSLPTSSKHCKAIENLLVSLEMSCPNAKYGCNKKISYIRKRNHEKECIHVPCYCPISSCGFVASSEVLSKHFSDKHKDSQIKFSYGDSFNVSLKSKDETIVFQEESYGKLFILNNRATLLGNAINICCIGPNSFESECSYDILVRSQMCNLKLQSFAKNVQSVVLATLSSELLVIPFGSFEALKLEICITCINPMMQIFIDIMYENKRFPLMVKSSDTILDVKKKIQDKEGIPVHEQRLDFASKQLENHQTLASYNIQEKSTMQIFLHYRIMFD >RHN80642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39706952:39722444:-1 gene:gene4589 transcript:rna4589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myosin ATPase MGTPVNIIVGSHVWIEDPEEAWIGGYVSKINEKDAEVETTDGKKVANLSKILPKDTEVLPGGVDDMTKLSYLHEPGVLQNLKARYELNKIYTYTGNILIAINPFQTLPHIYGAHMMQRYKEDPLGELSPHVFAVAEVAYRAMVTEWKNNSILVSGESGAGKTETTKMIMQFLAFLGGRAVTEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAIRTYLLERSRVCQINDPERNYHCFYLLCAAPQEEIEKYKLGNPKSFHYLNQSQCYELADVNDAHEYLATRRAMGIVGIGHKDQEAIFRIVAAILHLGNIDFAKGKETDSSIPKDSKAEFHLKTAAELLMCDADALEDALCKRVMITPEEVIKRSLDPGSATVSRDGLAKTIYSRLFDWLVDKINNSIGQDPNSKCLIGVLDIYGFESFKLNSFEQFCINFTNEKLQQHFNQHMFKMEQEEYAKEEINWSYIEFVDNKDVLDLIEKKSGGIIALLDEACMFPKSTHETFANKLYQTFKNNKRFIKPKLSQTDFTIAHYAGEVTYQSDHFLDKNKDYVVPEYQDLLIASKCPFVSALFPPLPEETSKSSKFSSIGSRFKLQLQQLMETLSSTEPHYIRCVKPNNLLKPAIFENVNIMHQLRCGGVLDAIRISCAGYPTRRPFFEFVNRFGLLAPEAIEANCNEKNACQKILEKTGLKGYRIGKTKVFLRAGQMAELDAQRAQVLGNATKVIQQHIRTHQARKHFLALQKKTIYVQSWWRGRLAFKLYEKMRREAAAVKIQKNIRSYETRKAYKKLHMSVLTLQTALRAIAACKEFRFRKQTKASIIIQAQWRCHKAVLQYKRLKKGSIVTQCRWRGRLAKGELRKLKMAARDTGALREAKSMLEKKVKELTWHLQLEKGLKAARDTGPLKEAKGKLEKQVEELKWRLQLEKGLRTNLAEFKAQEIAKLQNSLQEMKRKVDETNALLLWERENAKKAIEVASPVIKEAMVLVEDKEKIKRLRMEVDNLKTSLKLEKLKCNSFERKYNEAKICSEERGKRLEDTEKKLRQLQESMTRFTSQKNF >RHN60952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31801919:31802281:1 gene:gene23357 transcript:rna23357 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRWKKETHRKRRKKESKFTSDLREMLIQRKISMENSSSSHNPTTTTIMSSSPSSSQIVHHHHRIVNGERN >RHN43958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:216486:218672:1 gene:gene38000 transcript:rna38000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WW domain-containing protein MEAITASLERSLQNCSLNNNNQNEEGSATIDVVAGEGRGGGGGGIGISSSSSSSDENHISNNSDATLELNSNISLPYHWEQCLDLKTGEIYYINWRNGMKAKEDPRRAAERECEESEEEEEEEEEESWYDSEESSSESSTIISKEQYDQREVIEKQNVLVVAGCKICLMYFMVPKQVEDCPKCSGQLLHFDRSENCSP >RHN69296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42413374:42419626:1 gene:gene17744 transcript:rna17744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-I-1 family MALFFLFLLTLLVLISCASCQLQEFISIDCGGTRSNYTDTTTGLTWISDSEIMKHGETVEVKNPNGNKVQYQKRRDFPTDSRKYCYTLEAEERRRYLVRATFQYGSLQNGDTYPQFQLYLDATKWATVSIYDESRIYVKEMIFRAPSNSVDVCICCATTGSPFISTIELRPLNLSMYATDFEDDFFLKVAARINFGAPTGDAVRYPEDPYDRIWESDLGKRQNFLVGVAAGTERINTTRNIAIETREYPPVKVMQSAVVGTKGLLSYRLNLEDFPGNARAYAYLAEIEDLSQNETRKFKLEQPFIADYSNAVVNIAENANGSYTLYEPSYMNVSLEFVLSFSFKRTPDSTRGPLLNAMEISKYQEIASKTFKQDSNFVNAFSSLSDEIIPKNEGDPCVPTPWEWVNCSTATPARITNINLSGRNLTGEIPRELNNMEALTELWLDRNLLTGQLPDMSNLINLKIMHLENNKLTGPLPTYLGSLPGLQALYIQNNSFTGDIPAGLLSTKITFIYDDNPGLHKRSKKHFPLMIGISIGVLVILMVMFLASLVLLRYLRRKASQQKSDERAISGRTGTKHLTGYSFGRDGNLMDEGTAYYITLSDLKVATNNFSKKIGKGSFGSVYYGKMKDGKEIAVKTMTDPSSHGNHQFVTEVALLSRIHHRNLVPLIGYCEEEYQHILVYEYMHNGTLRDHIHECSSEKRLDWLTRLRIAEDAAKGLEYLHTGCNPSIIHRDVKTSNILLDINMRAKVSDFGLSRLAEEDLTHISSVAKGTVGYLDPEYYANQQLTEKSDVYSFGVVLLELICGKKPVSPEDYGPEMNIVHWARSLIRKGDIISIMDPLLIGNVKTESIWRVAEIAMQCVEPHGASRPRMQEVILAIQDASKIEKGTESQLKVSSSSSSIPQSSRKTLLTSFLEIESPDLSNGCLPSAR >RHN50506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7739629:7740777:-1 gene:gene34798 transcript:rna34798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSRRPFTAGTRNPLNLFTLLTLDLLLEILHRLPVKSLLTLRCVCKSLNSIISDPKFAKDHLRLSQTRHYHLLRCPWNMFLRRKFSLSDFQLRSILSNSPSTIETKIKFPLNTRDIHAHVIDSCDGIIFFRVQYNYKHCNMVAWNPCTRKLKTLPPLNLPGHTLNTLYSVGYDSFTDNYKVIVVACYQHYNSYKFCKTQVKVHTLGSNVWRRIPDFPSENKGVPEGRVGKFVSGAIHWVIKDQDNDSSWVILSLDLGNESYQEILQPDYGVHQRLRYFSLGVCRDCLWVLAHTTTFLNIWVMKDYGNKDSWTKLFSVPFKEFSDNCYAPVLFIYEEDDQVLLDFCGKLYVYNYKNGTVKISGIQNLAFTDFSSNVYVESLVSP >RHN55351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17563987:17569525:-1 gene:gene30521 transcript:rna30521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAD hydrolase, subfamily IA MGTSNNTVMMRCSPSNSLFKALKPNFRNSNLVPNSSLPFFSSTQINKGASRCSHSHSHGGGTGRAYDALLLDAGGTLLQLANPVEDTYATIGSKFGLTVNPAEIKQGFKRAFSAQWSEKLRYQGDGRPFWKFVVSEATGCGDEDFFEEVYEYYAKGDAWHLPNGAFDTMTHLKDAGVKMAVVSNFDSRLRKLLKDLNVLHLFDAVIISSEVGYEKPDQRIFQAALDQLNVEAGKALHIGDDQKADKFGANDVGIDCWLWGTDVKTFSEIQIRILNPEA >RHN51222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15181335:15182999:1 gene:gene35620 transcript:rna35620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MELDQNIVTQIDTLKSHVRNMLVAKSEKPFEKVKLIDSICRLGLSYHFEKEIDEVLQHIHKSYVENGEIILEDNLFSLAVLFRVLRQHGFYVSPNVFTKFKDEQGNFNETLIMDVEGMLSLYEASHLIVHGEDILEEALAFTSTHLEFIATESSHSLAAQVKYALRQALHKSLPRLEARRYISIYEQDPSHDEILLTFSKLDFNLLQSLHQKEFGNISKWWKELDFSSKLPYARDRIVECCFWTLTVYFEPQYSRARKMLPKINVMLSLIDDTYDSYGTIDELERFTEAIERWDVIVSDDLPDYMKLLYKSFWNVYEEIEQAMIEEGREYILNYYKKEV >RHN80598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39312251:39315541:-1 gene:gene4540 transcript:rna4540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding, exosome complex component CSL4 MEAERDMVTPGEVIGKTHDVKAGRGTYTSTHNNTVYASLTGFRHTIPPASDSPDQRPIVEVTGHKAHGPVPEPGSVVIVRVTKVMTKIAEADIMCVGQKSVREKFTGVIRQQDVRATEIDKVDMHLSFRPGDIVKALVLSLGNARAYFLTTAKNELGVVSAESTAGATLVPISWTEMQCPLSGQIEQRKVAKVAS >RHN61544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36275421:36275987:-1 gene:gene24017 transcript:rna24017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MVNTNNEWLETIRNLNSFEQNPIQKPVSFSPTFLATLLYSSPSSIVSPASEFSKQLLMETAIAISEGKMKYAKEILSRFSQTQNSKLKFDRWLLDCMASTLKSRVNNIENPPPVVELFSKEHAESTHLLLDHSLCFKLSFMAANIAILEAAFEDTTKSVKNLCVVDFNIGNGKQYINLLQKLHARLNG >RHN76564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51263296:51264290:1 gene:gene12912 transcript:rna12912 gene_biotype:protein_coding transcript_biotype:protein_coding MQRISQNKTPSSRKQVLQLQTSSSPQPNDPTFIYFQSLFHCYWVMM >RHN75357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41563673:41568489:1 gene:gene11542 transcript:rna11542 gene_biotype:protein_coding transcript_biotype:protein_coding MEARVKKYRQQLSPERSKVWKEKPPKYYKNRKVPVVYYLCRNRQLEHPHFMEVPISSPDGLFLRDVIHKLDALRGRGMADLYSWSCKRSYKNGYVWHDLSEDDLILPAHGNEYVLKGSELFCESNSDRFSPISNVKLQSLKRLPEPVSCRSHDEVSSSSSSMNEREGRNSQEDEISPRQHTGSSDISPESSDGKSDSQSLPLTEYKIYKTDRLADASTQTEECAAKHQSQTHKTCTRGVSTEDRSSESECNDICETQAGHVKDGSEICSDVVSPPFSNSSPSSSGGKTETLESLIRADASRMNSFRILEEQGIQMQASTRLKASNLLMQLISCGSISVKNHSLDLIPSYKARFSHSKFPSPLFSSSVMLGELDCIGGNPKVTSLRMDDKEYFSGSLVESKMAMEDGHNVLKRSSSFNAERTSAQTYRTSKELKSQNMVESSSGNSKCNSRSVMTSSTTKSPPSEFSRSPISDGSRSSRDRTNDSGIRQKQSTRLDSFRDEKVVQIEESLLRELGL >RHN72056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4680552:4680755:1 gene:gene7726 transcript:rna7726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MFATEDEDEYDQAGCLTWLNKFFEYHNELLQIELNRLRVLYHFANIIYADYISICCIAVLQISRTFC >RHN44019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:981278:988441:-1 gene:gene38063 transcript:rna38063 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPRSLRPYISISSNPNPNPNPRDTFSRFNSNNEFPSSSSTSSSSSSSRSLKNPTTFSHNTLIAISLIPAILFILDLSSTTVTTTLIIGLMISYILDLLNFKQPAFISLHLTLILSQFTFFLTSSFSLYTTFNSNLTLTILSSFLTAHTTFLISIWSSLQFKFLLLENPAIVVVLERLLFSCLPITASSLFTYAAVAAVGIQNSAYYFMFFNCCFYWLYSIPRISSFKMNNNARFHGGEAPKDSYILGPLESCVHALYLVFAPICFHIGSHYSVVFSSYANFCDLVLLFFVPFLFLLYGSTRGGLWWVSENAANIRSIRVVNGFVALVFVVIALEVRVVFHSFGRYIQVPAPLNYVLVTITMLGGAGAAGAYAMGMVSDALSSVAFTTSAIVVSAAGAVVVGYPVLFLPMPAAAGFYLARFFEKKSLASYFAFVVLGSLMVTWFVLHNFWDLNIWLAGMSLKSFCKLIVANAVLAMAIPGLTLLPSKINFLSEISLISHALLLCYIESRFFSYSSIYYYGFEDEVMYPSYMVVMTTLLGLALVRRLYVDHRIGGKAVWILTCLFTSKLSMLFIASKSVVWVSAILLLAVSPPLLLYRDKSKTTASKMKPWQGYAHACVVALSVWFCRETIFEALQWWNGRSPSDGLMLGFCILLIGVACIPIVAIHFSHVLSAKRCLVLIAATGLLLILMQPPLPLSLSYQSDIIKTARHSDDDISIYGFIAGKPTWPSWLLIIAILLTLASITSIIPIKYIVELRTVYSIAMGVALGIYISAEFFVWAFVLDVLIVVTMVCASVFVVFTHMPSASSTKLLPWVFALLVALFPVTYLLEGQLRIKNILEDSEIGNLGEEEKKLTTLLAIEGARTSLLGLYAAIFMLIALEIKYKLTSIMREKVIDSSGIRHSHSGQSVSSSSLPRARFMQHRRASTVPSFTIKKMAADGAWMPSVGNFATTLCFAICLILNVYLTGGSNRSIFFLAPILLLLNQDSDFIAGFSDKHRYLPVTVVISVYFFVTALYSIWEDVWQGNGGWGLQIGGPDWIFMVKNLALLVLTFPSHIIFNRYVWSHTKQSDTPPWITIPLNLLPIACTDVLKIKILGILGVIYSLAQYLITRQQYISGLKYI >RHN66655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15746541:15748169:-1 gene:gene14693 transcript:rna14693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGSFFILLPYFTFHFFLLLLTHFTSYTFSLCNQHDTSALLQFKNSFSVNTSSKPNNPEYSWEPSMYFGCFSFSFKTESWKKSTDCCEWDGVTCDTISGHVIELDLSCNNLNGELHPNSTIFQLRHLQQLNLAFNDIFGSSMPLGIGDLVKLMHLNISNCYLSGNIPSTISHLSKLVSLDLSINWFLEINPFTWNKLIHNATNLRDLYLNGVNMSSIRESSLSMLKNLSSSLVSLSLANTGLQGNLSSDILSLPNLQKLDLVGNDGLSGQLPKSNWSTPLRYLYLHYSAFSGEIPYSIGQLKSLTHLVLSDCNLDGMVPLSLWNLTQLTHLDLSQNNLSWSIPDVCGNLIKLEYLYLFSNNLAGQVPSSLFNLPQLSFLCLSSNKLVGPIAIEITKRSKLSYVDLSYNMLNGTIPHWCYSLPSLLELSLSDNHLTGFIGEFSTYSLQSLDLSNNNLQGHFPNSIFELQNLTDLYLSSTNLSGVVDFHQFSKLKKLNSLDLSHNSFISINIDSSANSILPNLVNLDLSSAMQVPFICSIWLTTI >RHN45073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11389648:11389894:1 gene:gene39272 transcript:rna39272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketol-acid reductoisomerase MVSAPPATLPAKLDFETSIFKKERVNLAGHEEFIMKGERDLFHLLCDAFKGIK >RHN75342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41447935:41448244:1 gene:gene11527 transcript:rna11527 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLAIIPKCEQHGYGEGNGDWISYTCVSCIEENYHNGDRDSCKEGDDDDDGGYDYAPAA >RHN39684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9553598:9554154:1 gene:gene45731 transcript:rna45731 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSVSFSFPSPSRSPCLTIASASSLLIPSMPSMAEFFLRLSLVIKPVSWSARRLKPPHSSDTKVSTSSFSAIIGSKSSNSASMVVGVLVNMERKVDEESDFWKSCILYSNEK >RHN47866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43138964:43139659:1 gene:gene42513 transcript:rna42513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MVASFLFSMAESLVSQLASPVYVEACKMLGVYNDLQHLTKSVSYIKGILLDAELKQMHGYSDHKLYNWLWRIRHVFSDAENLLDEVELENLQKKVIKVCRSCSNMIITKVNHFFSSCRMTGKIKQINKRLDKIAAGSNNFGLKIIDVDKRVVNRREMNYSRVINSDVIGREHDKEKIIKHLIQHDNYQNLSVVPIVGFRSVGKTTLAKLVFNDERINEYFLSKMWVCFRKL >RHN81934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49900217:49902542:-1 gene:gene6032 transcript:rna6032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MIMQVSDRYVFICLFCLMLYFALKICHKCSVIPAFKSAAEELLNNSGLSVVDLFAKALAKVVVSQFSSSFSPLFSLQLFKKKNTISFMFFFFFLIFFYERFIM >RHN41056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26460973:26461446:-1 gene:gene47332 transcript:rna47332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSSSARKVTLKSSDDETFEVEEAVALKSQTIKHMIEDDCAKTEIPLPNVTSKILAKVIEYCKKHVEATTSSEGKPSEDDVKAWDAEFVKVDLNTRFELILAANYLDIKSLLELTCQDVAETIKDKTVEEIRKIFNVENDFSPEEYAELLKEVGWAFE >RHN80603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39346842:39348350:-1 gene:gene4545 transcript:rna4545 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLVVAIQTIYQKHLRDICNSGHHKSYRKVGRWKALCVTQIISVRLTVH >RHN53005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41080103:41081145:-1 gene:gene37748 transcript:rna37748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyketide cyclase/dehydrase, START-like domain-containing protein MDPIQQTKEKWKGKAKTEVVGCKAEQVWPLLEDFFGLDKWFPTLSTCIPIEGISGKPGCVRFCAGFKTPVDEDGKQSLNWTKQKLLSINPIQRVFTYAIIDGNVGFYSYVSTVKVLPKDDGCEIEWLYEVEPVEGWKLEYLDFFIGNGLDVMGKRIQEAFKTMEDALGA >RHN67752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29972753:29975155:-1 gene:gene15977 transcript:rna15977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MASSLNFFTFLILFFHFQHSSSFSLSVEKPEQDIIMSPKGTFTAGFYSVGENAYSFAIWFTQIHKNLNNATVVWMANRDQPVNGKRSTLSLLKTGNLVLTDAGHSNVWSTNTNSSKPLELFLYDIGNLVLRERKTNGFILWRSFDFPTDTLLPDQSFTRYMKLVSSKSDNVYSSGFYKLLFNNDNLLSLLYDGPQVSSIYWPDPWLHSWEARRSSYNNSRVAKLDVLGNFISSDGFTLKTSDYGTSLQRRLTIDFDGNVRSYSRKHGQEKWSISGQFHQQPFKIHGICGPNSVCINNPRTGRKCLCVPGYSRIDNQNWSQGCKPNFQLSCNNKTKLETYFQRLPHVEFYGYDYQFKANYTYKQCKHFCLRMCQCVAFQYRLVRDQGISYCYPKRQLQNGFSSPEFRGSIFLRLPKRKHAFYNENDIQNGSLVCSRNTGVQQLKRSYIKGKKNGSLNFLLWFATCLGVIEVLCFFIAGCFLFKNRKHSATNKQGYILAIAPGFREFSYSELKQATKGFSQEIGKGAGGTVYKGLLSDNRVVAIKRLHEANQGEREFLAEVNIIGMLNHMNLIGMLGYCLAGKHRLLVLEFVEKGSLAENLSSNALDWGKRYNIALGTAKALAYLHEECLEWILHCDIKPQNILIDSDYRPKIVDFGLSKLLHRNNLNNSSFSRMRGTRGYMAPEWIFNLPITSKVDVYSYGIVVLEMITGKSPTTCIEITDDGIVSHNERLVTWIKEKRRKESEVGCWIEQIVDPALGLNYDIVQLKTLAVVALDCVEKEKDVRPTMSQVVERLQSHQHDS >RHN69109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41096679:41109636:-1 gene:gene17538 transcript:rna17538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate oxidase MGRGAMLAALMLCLLGVTVYGEDPYIYYTWKVTYGTISPLGTPQQGILINGQFPGPEINSTSNNNVVVNVFNNLDEPLLFTWHGVQQRKNSWQDGTLGVQCPILPGTNYTYKFQVKDQIGSYFYYPTTGLQRAVGGFGGLRIFSRLLIPVPYADPEDEYWVLIGDWYGKSHKTLKMELDSGRSIGRPAGVIINGKNAKGDGSDEPLYTMKPGKTYKYRICNTGLKDTLNFRFQGHGMKLVETEGSHVVQNVYDSLDVHVGQCYTVLVTADKEPKDYYMVASTRMTKYNLLGKGIIRYTNGKGPASPMLPPAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTIKFVNSVSNVNGKLRYAINGVSHVDPETPVKLAEYYGVADKVFKYNIISDEPPIDLRAITIAPNVMQTTFRTFIEIIFENPGKVLQSYNLGGYSFFVVAGEPGTWTPEKRKGYNLLDAISRHTIQVFPKSWAAIMLTFDNAGMWQLRSEHAEHRYLGQQLYLSVESEEKSTRDEYNLPETQLVCGIVKDMPRPPPKYEIGNKSFISFSLFYYSISFIKIKNNNKMGRGAVLAFMLCLLGVTVYGEDPYIYYTWKVTYGTISPLGTPQQGILINGQFPGPEINSTSNNNVVVNVFNNLDEPLLFTWHGVQQRKNSWQDGTAGVQCPILPGTNYTYKFQVKDQIGSYFYYPTTGLQRAVGGFGGLRIFSRLLIPVPYADPEDEYWVLIGDWYGKSHKTLKMELDSGRSIGRPAGVIINGKNAKGDGSDEPLYTMKPGKTYKYRICNTGLKDTLNFRFQGHGMKLVETEGSHVVQNVYDSLDVHVGQCYTVLVTADKEPRDYYMIASTRMTKYNLLGKGIIRYTNGKGPASPVLPPAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTIKFVNSVSNVNGKLRYAINGVSHVDPETPVKLAEYYGVADKVFKYNIISDEPPTDLKAITIAPNVMQTTFRTFIEIIFENPEKVLQSYNLGGYSFFAVAGEPGTWTPEKRKGYNLLDAISRHTIQVFPKSWAAIMLTFDNAGMWQLRSEHAEHRYLGQQLYLSVESEEKSTRDEYNLPETQLVCGIVKDMPRPPPKYEIGNKSFISFSLFYYSISFIKIKNNNKMGRGAVLAFMLCLLGVTVYGEDPYIYYTWKVTYGTISPLGTPQQGILINGQFPGPEINSTSNNNVVVNVFNNLDEPLLFTWHGVQQRKNSWQDGTAGVQCPILPGTNYTYKFQVKDQIGSYFYYPTTGLQRAVGGFGGLRIFSRLLIPVPYADPEDEYWVLIGDWYGKSHKTLKMELDSGRSIGRPAGVIINGKNAKGDGSDEPLYTMKPGKTYKYRICNTGLKDTLNFRFQGHGMKLVETEGSHVVQNVYDSLDVHVGQCYTVLVTADKEPRDYYMIASTRMTKYNLVGKGIVRYTNGKGPASPLLPSAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTIKFVNSVSNVNGKLRYAINGVSHVDPETPVKLAEYYGVADKVFKYNIISDEPPTDLRAITIAPNVMQTTFRTFIEIIFENPGKVLQSYNLGGYSFFAVAGEPGTWTPEKRKGYNLLDAISRHTIQVFPKSWAAIMLTFDNAGMWQLRSEHAEHRYLGQQLYLSVESEEKSTRDEYNLPETQLVCGIVKDMPRPPPKYEIGNKSFISFSLFYYSISFIKIKNNNKIGRGAVLAFMLCLLGVTVYGEDPYIYYTWKVTYGTISPLGTPQQGPEINSTSNNNVVANVFNNLDEPLLFTWHGVQQRKNSWQDGTPGVQCPILPGTNYTYKFQVKDQIGSYFYYPTTGLQRAVGGFGGLRIFSRLLIPVPYADPEDEYWVLICDWYGKSHKTLKMELDSGRSIGRPAGVIINGKNAKGDGSDEPLYTMKPGKTYKYRICNTGLKDTLNFRFQGHGMKLVETEGSHVVQNVYDSLDVHVGQCYTVLVTADKEPRDYYMIASTRMTKYNLLGKGIIRYTNGKGPASPVLPPAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTIKFVNSVSNVNGKLCYAINGVSHVDPETPVKLAEYYGIADKVFKYNIISDEPPTDLKAITIAPNVMQTTFRTFIEIIFENPEKVLQSYNLGGYSFFAVAGEPGTWTPEKRKGYNLLDAISRHTIQVFPKSWAAIMLTFDNAGMWQLRSEHAEHRYLGQQLYLSVESEEKSTRDEYNLPETQLVCGIVKDMPRPPPKYV >RHN40958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25000899:25009124:1 gene:gene47209 transcript:rna47209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Arf GTPase activating protein MNEKANVSKELNAKHKKILEGLLKLPENRECADCKAKGPRWASVNLGIFICMSCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNERANSYWEAELPTNYDRVGVENFIRAKYEDKRWVAKDTKPKSPSRLIEEKSPSQWQKPVDNTFEERKKIQPSNAIPATRISVLAPPKGHVQVTPVAKHQHIEKVEPLVPQVQTETSQTAATVSNPPPKVDFATDLFDMLSMDDPNEKGSDAADATADDDNNWAGFQSAAETSTAEKTDPRKSVESTPQPTSGIEDLFNDSSSVTPSSSLEKPQKDVKNDIMSLFEKNNNIVSPFAMHQQQLAMLAQQQSLLMAAAAAAKSASGDVKYPANVQQPGSNIPFQSWPAASYPIPGVMPVGGQGELQKIMQTINMTSTRPAGSSVQYPPSSFFTMPQVAPVNGTTTAVASKPQSATPVSTNTTQTANDYDFSSLTQGMFAKP >RHN40207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14213332:14213835:1 gene:gene46329 transcript:rna46329 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMFHNMVSERYGDSDFDPVSNEEDDYDGDDEEDLEAPASATSHSSASQVLVIFNSSGNSVSTPPPSPWIAPVIEGFTIKVGDIPSFLDDSCCIDHESAFDASDKNQKMQDRDSKLIVSAKSKNSTNRDSEFTVSEPAIRNLKHLIIDTFIICFTLVYGVFCFAGK >RHN79738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31712259:31712798:-1 gene:gene3570 transcript:rna3570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRRKIKIERVKDPNTRQITFSKRRTGLFKKANELSILCGAEAAIVVFSPGNNPYSFGHPGVDFVATKYLQLEPKPSNSLENRTSDASKMENLNLELADVLAQIRDGEKQAEAHDEIFKQNDVTKLSELKELRGSYKELQDCVKLRLNDIEISECLMLLAQDPVVGIKAKLSKNKRRKN >RHN78982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20570868:20573499:1 gene:gene2657 transcript:rna2657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S10, serine carboxypeptidase, alpha/Beta hydrolase MINNLHNQPKVGLKQYSGYVKIGETRLSRSIFYYFVEADVDPASKLVVL >RHN68130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33127192:33129541:-1 gene:gene16432 transcript:rna16432 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIVKEKDAMMCNYPSDPTVAFGYSSLGFLVASSCMGLISLFYSYNGTSVPPSALLKYTTLTIFLVLALACRTFAAVMTIWPTAGASLRGCKQLKRAGPQFFFIPNIIYILQ >RHN61343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34934045:34941577:-1 gene:gene23798 transcript:rna23798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHNSQPLFVSGGDDYKIKVWNYKMHRCLFTLLGHLDYIRTVQFHHENPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDIVVSASLDQTVRVWDIGSLKRKAGPPSDDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDATKRTGIQTFRREHDRFWILSTHPEMNLLAAGHDSGMIVFKLERERPAFAVSNDSLFYTKDRFLRFYEFSTQRETQVLTIRRPGSLSLNQSPKTLSYSPTENAILLCSDVDGGSYELYCISKDSTIKDSFGRGDMQEPKKGLGGSAVFVARNRFAVLEKSSNQVLLKNLKNELVKKSVLPIATDAIFYAGTGNLLCRSEDRVFIFDLQQRIVLGDLQTPFIKYVVWSNENNDSVALLSKHAIVIASKKLVHQCTLHETIRVKSGAWDDNGIFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITKVVGNTIFCLGRDGKNKAITIDATEYIFKLSLLKKRYDHVMNMIKNSQLCGQAMIAYLQQKGFPEVALHFVKDERIRFNLAIESGNIQIAVASATAIDEKDHWYQLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLITGNVEKLSKMLKIAEVKNDVMGQFHNALYMGDIRERVKILENVGHLPLAYITASTHGLHDVAERLAAELGDNVPSLPEGKVPSLLIPPSPVLCCGDWPLLRVMRGIFDGGFRNADQDADEEEFDDAPEGDWVEDIGLDVDGLENGDVTAILDDGEAAEEGDEEGGWELEDLELPPEADTPKASVSTRSSVFVAPTPGMAVSQIWIQRSSLAADHAAAGNFDTAMRLLNRQLGIKNFAPLRSMFLDLHSASHSYLRAFSSAPVVPLAVERGWTESSSPNVRGPPALPYKLSQLDEKLKAGYKSTTAGKFTEALRTFANILHTIPLIVVESRREVDDVKELIIIVKEYVLALQMELKRREMKDNPARQQELAAYFTHCNLQTPHLRLALLNAMTVCYKAKNLATAANFARRLLETNPSIENQAKTARQVLAAAERNLTDATELNYDFRNPFVICGATYVPIYRGQKDVSCPYCTSRFVPTQDGQLCTVCELSVVGADASGLLCSPAQIR >RHN82161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51612809:51614651:-1 gene:gene6287 transcript:rna6287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MRDKKCTTCYLSISPFCTGGVQYRYCALAQYANLVGVTIGFTLTGSISMVAIQKCNCFHKYGHEADCSTTNYQFMAIFGIFEILLSQIPNFHELSWLSIVAAIMSFGYASIGIGLSIAKIAEEGYHINTGLTGLVVGVDVTGTEKLWNTFQAIGNIAFAYCFSMDTLKSSPPENQVMKKSSLVGIIITTFFYALCGLLGYAAFGNKAPGNFLTGFGFYEPYWLVDIGNLFIIIHLVGAYQVFAQPIFSAVERLGSKQWPQSKLMTKEYDVRIPFVGIWRMNMFRLTWRTIYVIITTLIAMILPFFNNVVGLLGAISFFPLTVYFPTEMYLTQAQVPKYSTIWIGMKLLSGFCLIVTLVAAVGSIEGIVSDLKTYHPFNPN >RHN41727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32451511:32456253:-1 gene:gene48079 transcript:rna48079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MSTLLWTPSLYSVKLNFNGGRQSSQKLLNANSSSSSSAHFRRTHRRFTISCNSSSSNDDDSTKPQSQSQSQSIQLYSQIERLVTTSASQSQDAWWGSSSDWTQVEGSWILKPKSSKPNFVVHFVGGIFVGAAPQLTYRWFLERLSEKGVLIIATPYASGFDHFLIADEVQFKFDRCYRTLDETVKDLPIFGVGHSLGSLVHLLIGSRYAVQRSGNVLMAFNNKEASSSIPLFSPVLVPMAQNFAPLLSDIFSSPTLRAGAEMTLKQLQNVSPPIMKQVLPLVEQLPPLYMDLAKGREEFTPKPEETRRLIKSYYGVSRNLLIKFNDDSIDDTPTLAQVLSSESAISSVLDMSIRKLPGDHVLPLQQALPDVPPAMADAVNRGSELLSNLTVGTPWETVAKEVGNTLGMDSTNILRAQVSKEMDILVDVISSWIASNVGPKILRP >RHN79333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27684400:27689265:1 gene:gene3110 transcript:rna3110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative homogentisate solanesyltransferase MSVLSSMEAPTVDVDLSPARIENEKEGGPMFHCDLHDTEVVHKLAQMFLPGLASACVDNTTGFNTPGSVADGLRKEMIDFLTLRSESFVAETVILDDGADGGVSDHPFDIISSFVDDFVDSKRNLLSQVSGWLLSDKREDKIDDFVQEMEMNGFWLLDRREKVAENLLKNVDFKNSFHCSASFVNKDELVAHVDNCNFKSVTCHNEGCNARFSAVHLKDHDSHCPFKIIPCEQKCTDSLMRRDMDRHCITVCPMKLVNCPFYAVGCRSAIAQCMVGKHCSDDLNSHLLHLLKGIHKDASGGDLNRRVEQIVQASTNNRLAEARDARAFKLIVRDLEAKLGPLEVNLIEKTSTETVAKNEDSEDTGTETKGRTETLVSSDKAETSATLNKNVA >RHN69413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43262206:43263741:-1 gene:gene17882 transcript:rna17882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADP-retinol dehydrogenase MKKLLVTVTNNNIYTMEKINSNDQEDSKPVVLITGCSGGGIGNALARSFAANSCNVVATSRSRSTMADLDQDPKFFLQELDVQSDESVNRVVNTVLDKFGRIDVLVNNAGVPCTGPLAEVPLSAIQNTFNTNVFGSMRMVQAVVPHMATRKQGKIVNVGSVTALASGPWGGTYSASKAALHALTDTLRLELGHFGIDVVNVVPGAVKSNMGNSGIAIYDRMPEWKLFKPFEAVIRERALLSRKLRQTPTDEFAKHTIAAILRKKPPAWFSYGNYSTVMAIMYYLPLCVRDFLLKKAMK >RHN77541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7573129:7573704:-1 gene:gene1006 transcript:rna1006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative meiosis arrest female protein MDVEHVKAKISVWWNINDCKFPTNPEYVKTIANNIRLALSKANLLGELSISAYGDTNLIASEILNALSSTGISVHHVTSVSDSYFDECYKKIITDMSLWALGNPNANVLLIFANGGNAVPIISRALVKLSMKNHNILLAIPSQVDASLTDTANIVWLWPALFSGEGPMCIEEVNFKIRTLLNSHITTINLF >RHN78742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17994550:18000232:1 gene:gene2390 transcript:rna2390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine incorporator/TMS membrane protein MSASEGSAEGAAEVHIVHVSDRQNVPLEEVTSSLELSKVDYTKERTKSLHARYCFGIIFLIMNLVAWFFRDYGQSVLPWIRYIKVCGNEGDDCFHSMGVLRVSLGCFIFFLVMFLSTVKTRKLCEGRNSWHSRWWEFKAVLLLLSMAVPFFIPSQFVQIYGEIARIGAGIFLLLQLVSVIHFIIWWNKYWTPDEETKKRCSFGLLVSTLFYIGAICGIVYMYRSYASRASCSLNIFFIAWTAILLAAILIISLNSKVHRGLLSSGIMASYIVFLCWCAIRSEPATIRCETNNQEKGNSGWITILGFLIAIFAIVLAAFSTGIDSKCFQFSKNQVENEDDIPYSYGFFHMVFSLGAMYFAMLFISWDLNNSARKWSIDVGWISTWVKVLNEWFAATIYIWMLISPIVRQNKVMDNDTTMQGRADSVDA >RHN51114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14121135:14122007:-1 gene:gene35492 transcript:rna35492 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHNSNSYNKVSKREDDLQNKKRKMNIVGERDGNWRACREGSHERSD >RHN73488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16926495:16930055:1 gene:gene9306 transcript:rna9306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MNYCKKSINNGMNTVPFKSTQKIHKQEFISVIRSDPYPPYSQSSDRRDQPFKSARMKVTMMMVMMVLAISVYLDSASAASSVGEFVDKTINNNKIAIFSKTYCPYCRRAKAVFKELNQVPYVVELDERDDGSKIQDVLVNIVGKRTVPQVFINGKHLGGSDETVEAYESGLLAKLLGIETVDHDDL >RHN45770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25433758:25434528:-1 gene:gene40167 transcript:rna40167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MINTRSLYTLWRFWFGYDDSINDYKIVAYYEKINEVRVFNLGDNVWRHIQSFPVAPFMDISTSPHTHLGINAGVYVSGTVNWLAIRNACPCNFELKSITIDQFVIISLDLRTETYNQFLLPLGFDEVTSVEPTLSFLMDSLCFSHDFHGTHFIIWQMKEFGVDKSWTQFLKISYLTLPIDYDENNGSSLQYPCPLSFFPLCLSENGDTLILAFDAANSAILYNLRDNRGEEIRIRNLVRWFCAKNYVESLVSTSGK >RHN76081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47534344:47537600:1 gene:gene12357 transcript:rna12357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triose-phosphate isomerase MGRKFFVGGNWKCNGTTEEVKKIVGTLNEAQVPGEDVVEVVVSPPYVFLPFVKSLLRSDFHVAAQNAWVRKGGAYTGEISAEMLVNLDIPWVILGHSERRQLLNETNEFVGDKVAYALSLGRKVIACIGETLEQREAGTTIAVVAEQTKAIADKVSNWDNVVLAYEPVWAIGTGKVASPAQAQEVHAALRKWVHDNVGAEVAASVRIIYGGSVNGGNSKELASQPDIDGFLVGGASLKPEFIDIINSATVKKN >RHN42328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37413559:37413832:1 gene:gene48757 transcript:rna48757 gene_biotype:protein_coding transcript_biotype:protein_coding MIEFSFDNSLSMNEVEVKKIILDGHDVNEHSNLELWSNASFANS >RHN53846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4661365:4662552:1 gene:gene28800 transcript:rna28800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MYKVVESIFIRYMHRKPNMTKFFKFVYTMFILISLFLVVTNANAHNCTDISDCSSNHCSYEGVSLCMNGQCICIYE >RHN74208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30187564:30200521:-1 gene:gene10227 transcript:rna10227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase transcription factor WD40-like family MLVIMFNMTSNIAVIIGILISLILYSSSIVAYCRLLDRLYLPSPLTGPESLAFNSIGEGPYTGVSDGRILKYDEECSCFLEFAHISSDRDNTMCNGISDFSELQETCGRPMGLSFDYNTGELYIADAYYGLVKVPYDGGAATQLVANNLQGNPFGFLAGVDVDPSTGIVYFTEASSRYKIRDLQKLLRRKDHTGSLFRYDPSTNETTLLLSNLTEAFGVAVSNDGSFVLVSEYKANRIRRFWLTGANAYTSDIFLRLPGRPDNIRRNSRNEFWVAVNYPFASSPPPVPPVLPLGLRVNAEGLIIESVPLVEAFSTESVSEVQESEGRLYATSLIRDGISNFSELQEICGRPMGLSSDYKTRELYIADAYYGLVKVSYDGGAATQLVSNILGNPFGFLAGVDVDPNTGIVYFMEASYYHKIRISWKRTWSISICGIDDPRWTMRDGQKCGSRFEDDSLNMSSNMAVITGILISLILYNSSSIVAYCKLLDRLYLPPSLTGPESLAFDSIGGGPYTGVSDGRILKYDEECSCFLEFAHISPYRNKTNCDGISDFSELQETCGRPMGLSFNYKTKELYIADAYYGLVKVPYDGGAATQLVSNVLGNPFGFLAGADVDPNTGIVYFTEASYYHKIRDLRNLLNSRDIFSGSLFRYNPTTKVTTLLLRNLAMATGVAVSSNGSFVLVSEYKANRIRRFWLTGPNAYTSDIFLWLPGRPDNIKRTSKNEFWVAVNYPFGSPPPPVPPVLPLGLRINEQGLILEAVPLVEGFGTGSVSEVHEAEGKLYATSLRDSYVNILTA >RHN71812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2761624:2761950:-1 gene:gene7449 transcript:rna7449 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSRDFEDHSDSPMKEGRIIFYNVGDQNGDVHEGREEKFFTFKGSCVDELKEKLQEETGLDDIVVCSRNPLNAKIYPLRLQLPPNNIDMHVVVVPSLESKHSQVLVV >RHN64373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58577748:58578867:1 gene:gene27186 transcript:rna27186 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLHKYVGVLVVIFALVIGTSECRKIQKDEFVDNFGRGGLGGGAGGGFGGGSGGGVGGGLGHGGGFGGGAGGGAGGGFGGGKGGGFGGGIGGGHGGGVGGGGGAGGGFGGGHGGGIGGGSGGGGGIGGGAGGGIGGGGGTGGGAGGGFGGGNGGGVGGGGGAGGGIGGGSGGGIGGGNGGGVGGGIGGGGGVGGGAGGGFGGGAGSGGGAGGGVGGGSGGGFGGGVGGGGGAGGGAGGGFGGGAGGGAGGGFGGGAGGGFGGGAGGDRV >RHN63395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50917724:50918857:-1 gene:gene26090 transcript:rna26090 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMNKVRQRKVIKCKGNKQNIRWEPRVSLKQQASHASFEDIAISKGGCNDRTPRVS >RHN54211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7844142:7845946:1 gene:gene29211 transcript:rna29211 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSCYHTILRIMFISLCCVSSSNFAIQSLTFLFFIFFVASVSLEHLADL >RHN52787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38839098:38847048:1 gene:gene37498 transcript:rna37498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MSVLMVTSLGDMVIDLHTQKCPLTCKNFLKLCKIKYYNGCLFHTVLKDFTAQTGDPTGTGTAGDSIYKFLYGDQARFFNDEIHIDLKHSKTGTVAMASAGENLNASQFYITLRDDLDYLDGKHTVFGEVAEGFETLTRINEAYADGKGRPFKNIRIKHTYILEDPFDDPPQLPEFIPEASPDGKPKDEVDDEVRLEDDWVPMDEQLNPGELEEVIRSKEAHSRAVVLESIGDIPDAEVKPPDNVLFVCKLNPVTEDEDLHTIFSRFGTVSSAEIIRDHKTGDSLCYAFIEFEDKLACEQAYFKMDNALIDDRRIHVDFSQSVAKLWSQYMRKDNKGGGCFKCGSTDHIARDCTGDGTMKQSTKFIMKDNNGQRGGDKARYEMVFDGDSIESPKRETKHQRYERGDRYDKKGRKENFKDDSRRGRRDQEMAGSNNRDRYHDRSRGLEGNGDDKAGLERGARDPDFHADKKDIERHMGRRHRDDDYRRKDELDSRKRDLDDKYTERRASRDDRRKTDDNHLDRRDDRNYRKRTEDSGRQDVKVDSGRRKRSPDGDDYKRRRNDEDYREDVKIDSGRRKRSPDDGDYKRGRDHEDYRHRREGREHRT >RHN58238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:187104:189751:1 gene:gene20126 transcript:rna20126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amyrin synthase MWCYCRLVYMPMSYLYGKRFVGPITPLILQLREELHTQPYEKTNWTKSRHLCAKEDLYYPHPLIQDLIWDSLYIFTEPLLTRWPFNKLVRKRALEVTMKHIHYEDENSRYITIASVEKVLCMLACWVEDPNGDAYKKHLARVPDYLWMSEDGMTMQTFGSQVWDTSFAIQALLATNLIEETAPALVKGHDFIKKSQVRDNPSGDFKSMYRHISKGSWTFSDQDQGWQLSDCTAEGLKCCLHLSMLPPEIVGEKMEPERLYDSVNILLSLQSKNGGLAAWEPAGAPKWLEVLNPTEFFVDIVVEHEYVECTASAIQALILFKKLYPGHRKKEIENFISEAVRFIEDIQTADGSWYGNWGVCFTYGCWFALGGLAAAGKTYTNCATIRKAVKFLLRIQGKDGGWGESYLSCPKKMYIQLEGRRSNVVQTAWALMALIHGGQAERDPTPLHRAAKLLINSQLEEGNWPQQDISGAFMKNCMLHYPMYRDIFPLWALTEYRRQVPLPSTVTTL >RHN44391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4168524:4170403:1 gene:gene38508 transcript:rna38508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MRQPLTHSKRKHRHNVVSTTVRKQNSSDPFGLFALLWLDLFLEILYRLPVKSLLVLKCVSKSLNSLISDPKFVKHHLHLSQTRPYHLLIRNSELLLVDSRLPSVTAIIPDTTHNFRLNPSDNHPIMIDSCDGIICFENRNDNHVDLVVWNPCTGKFKILPPLENIPNGKTHTLYSIGYDRFVDNYKVVAFSCHRQINKSYKYCNSQVRVHTLGTNFWRRIPNFPSNIMGLPNGYVGKFVSGTINWAIENQKNYDSWVILSLDLGNESYQEISRPDFGLDDPVHIFTLGVSKDCLCVLVYTETLLGIWVMKDYGNKNSWTKLFAVPYAKVGYHGFGFVDLHYISEEDDQVFLHFCSKVYVYNYKNSTVKTLDIQGQPSILYNSSRVYFESLYDSSGVYVESLISP >RHN64976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63399363:63399682:1 gene:gene27860 transcript:rna27860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol 3-alpha-galactosyltransferase MLWRPPKNVELEKVKVVHYCAAGSKPWRYTGVEQNMQREDIKMLVKKWWDVYEDESLDYKKLGLTPGISASHAA >RHN81613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47560945:47566208:-1 gene:gene5684 transcript:rna5684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-actin-capping protein subunit beta MEAAMGLMRRIPPKHTETALSALLSLMPNHSSDLLSQVDQPLQVLCDVDCGKEFILCEYNRDADSYRSPWSNKYHPPLEDGSLPSSELRKLEIEANDIFAIYRDQYYEGGISSVYMWEDENEGFVACFLIKKDGSKTGQGRRGYLEEGAWDAIHVIEVGPEEEEDTNYRLTSTVMLTLTTNNESSGTFSLSGSIRRQMSMRLSVADGHLCNMGRMIEEMESKLRNSLDQVYFGKTREMVCTLRPPSEVAQMRMPES >RHN44190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2316218:2317860:-1 gene:gene38280 transcript:rna38280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, V0 complex, c/d subunit MDDDLIIAEPSPLHTTTIVEKCTLKLVDDYKHMLCQATEPLSTFLEYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSILLLVHRILISSFVSPRGILLSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYRFCQKLGGATAEIMSDLLAFEADRRAVNITINSYPYGHEELAVCEDIDQV >RHN43829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48815886:48830543:-1 gene:gene50459 transcript:rna50459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small nuclear ribonucleoprotein Sm D2 MSRPMEEDAVGKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKAQPVNKDRFISKMFLRGDSVIIVLRNPK >RHN61421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35463813:35464073:1 gene:gene23888 transcript:rna23888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPSIIRSRASSSKAVDVPKGYLAVYVGEKMKRFVIPLSYLNQPSFQELLNQAEEQFEYDHPMGGLTIPCKEDIFLDITSHLN >RHN40778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21035571:21036457:-1 gene:gene46985 transcript:rna46985 gene_biotype:protein_coding transcript_biotype:protein_coding MGEELRMQVNRLKQNVKQANLMLEENPKEQKPLEPNKHFVIEAIPLRSVLPDAIIDLDNVQTVQTKKRKKHDMLYSGGTYPERRRAVKKSKYLASPYDEAVYEPNASKMQKDISTFAWSISHDEEELLYCSDNKAHAFSLQRRDLWSLQKDE >RHN58205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44563607:44570068:1 gene:gene33823 transcript:rna33823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MKNMKGTSASSQSQYHHGFTLFNHQRRSNKFVSVGHSYGPHLIPLRTTTTTTTTTLVRSKPCFASPPHVNQQHPLFTDSHHEDDAQTTPYEEQLSSNENEKGYSNNSSISDVKREIISLSLPALAGQAIDPIAQLMETAYIGRLGTLELASSGVSVVIFNIISKLFNIPLLSVATSFVAQDMANISSSQNANNPQRKQLPSVSTALLLALGIGIFEALALYFGSRMFLRLIGVAAVNPTLVPAQKFLSLRAFGAPAVVLSLALQGIFRGFKDTKTPVICLGIGNLSAVFLFPLLMYYFKLGVAGAAISTVLSQYIGTLLMIWCLNKRAVLLPPKMGNLQFGGYIKSGGFVLGRTLAVLTTMTLGTSMAARHGPVAMAAHQICMQVWLAVSLLTDALAVSGQALIASSLSRHEYKAVKEITHFVLKIGLLTGICLTAILGASFGSLATLFTQDIEVLQVVRTGVLFVSASQPLNALAYIFDGLHYGVSDFRYAAFSMMFVGAVSSAFLVFSPSHFGLRGVWLGLTLFMALRVVAGSVRLLSKNGPWWFLHKDFQIAEMGS >RHN76798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1344095:1346285:-1 gene:gene175 transcript:rna175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MEWDGESSSVSTSKETQDSSASTRLKKKEVNSCQVDLEKQLGKFTGKPLYPPVGKSVMVVESVTKAKVIQRYLGDMYEVLPSYGHVRDLASRSESVRPDDDFSMVWEVPSSAWTHLKSINAALSGANNLILASDPDREGEAIAWHIIEMLQQQGALHNNIFLARVVFHEITEQSIKIALQAPREINVNLIHAYLARRALDYLIGFNISPLLWRKLPSCQSVGRVQSAALSLICDRELEIDQFKPKEYWTMEAMFNMKEQRLTKDLTFLAHLTHFNSNKLNQFSITSGREARDVESKINSAEFRVVSMKISKVQSNPPTPYITSTLQQDAANKLNFSASHTMALAQKLYEGVELRNGITVGLITYIRTDGLHISDEAVANIRSLIIER >RHN56477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31130603:31135454:1 gene:gene31885 transcript:rna31885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L32p MRIAILRDTRRKLGGALGFNRLIHSLPQSPPLAGSIDHGIQSLKPVLPEFSSPTFSFGGSMELMAVPKRKTSPHKRGIRNGPKALKPVPVLVLCKGCGRVRLPHFYCCSGKPNQENNGGENGTN >RHN82103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51271440:51273044:1 gene:gene6227 transcript:rna6227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MVVQSKTNKHSTASFNRVFIFILVCFVNFVTTKAENDGLLFMSCSDNKTTPNSAFQLNLRTLLLDLSSNATANKEFYNTTVADKNHSSNTVYGLFMCKGDVPTHLCSERVTNVTRANLSSDYSDCSLSKEVVIMYKECMVQYSNYSFFPTADFSSPSISCHHVNVSNKAIFERLVYKTLNGVADEAANFSIGFQKYATKEATVSGFQTLYFQAQCTPDLSPKDCRKCLNITITGVLKTCKLSNAMVANSETYSCYIRYDVYPFYRPSNAPTPQELVPASNTIDSKYSQHPAYLSHNCSINEPVNNDFLSNLKTFFTSLSSNAIRTSFLKTTVDTANGLFMCRGDISISPTLCQLCIQHATKRILSECPSSKEAIIWYDKCLLRYSYHSLLSRIDTSAPKFHQFNLANSSNLNLLHRFTTWKLADILHEVGNLQTGDRTIKNYETRSVKLNDLQPIYTLAQCTPDLSDTDCRACLQNIFQNEIPWSSLASPEGKILYPSCYMMFGLSQFYNNDDEPEMFGQVSPPPTIKGEVSFN >RHN44412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4416106:4417953:-1 gene:gene38531 transcript:rna38531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoflavone 7-O-methyltransferase MASSLNGRKPSEIFKAQALLYKHIFAFIDSMSLKWAVEMNIPNIIHNHGKPISLSNLVSILQVPSSKIGNVRRLMRYLAHNGFFEIITKEEESYALTVASELLVRGSDLCLAPMVEPQVVENLSGSNNLTYVGGDMFTSIPNADAVLLKYILHNWTDKDCTRILKKCKEAVTNDGKKGKVIIIDMVINEKKDENQVTQIKLLMDVNMACLNGKERNEEEWKKLFIEAGFQDYKISPLTGFLSLIEIYP >RHN68611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37094660:37097605:1 gene:gene16983 transcript:rna16983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAGGVFAVDSTPDAAVNIVGKLTLSIIITCIVAASSGLLFGYDIGISGGVTTMVPFLQKFFPDILRKAAGAEVNMYCVYDSQTLTLFTSSLYLAGLVSSLAASKVTAWYGRRNVIIIGGALFIAGGAINGGSENIPMLILGRVFLGFGVGFTNQAAPLYLSEIAPPKWRGAFSTGFQFFLGVGVVTAGCINYGTAEHTWGWRLSLGLAVVPAIVMTIGSFLISDTPNSLVERGKLEQAKKALRKIRGSSVDIEPELEELIKSIELAKSVNQEPFKTILKREYRPHLVMAFAIPFFQQLTGINIVAFYSPNLFHSVGLGRDGALISAIVLGSVSLVSNVISAGIVDRFGRRFLFITGGIMMFVCLIAVSIVLAVVSGVDGTNDISKGNAILVLVLLCLYSAGFGWSWGPLTWLIPSEIFPVNIRTTGQSIAIAIQFIIVFVLSQTFLTMLCHFKFGAFLFYAGWVAVMTLFIIFFLPETKGIPLDSMYTIWEKHWFWCRFVKGEDRQENHP >RHN72912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11787211:11790096:1 gene:gene8678 transcript:rna8678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MDAVSMILDVGVKPITRTLLMFELKITPNFEELECLPIDVVVEDRSIIIDKKIVHSQYFMLKKQYVGETTTLNFPVEIHGPLPKEFILDIHNFLSYFNHEPITVAVPLTHIVLPEEEEYHPLPAEAFDVQSLLPVASLGNTSYEALYQNQFKQFNKIQTHAFQVLYKSDDNVFLAAPTGSGKTTCAEIAILRNHQKGHMRVVYIAPIRAIAQNQYREWKTKFGGGLNSMIVELTGENETDLKLLEEGQIIITTPQIWDALSRQWKQIIQIQQVPLLILDDLHFIGGPEGHILERIIVRMKQISTRVGKKMRIVALSAPITNGKDIGEWIGATSHSLFNFSPTARHVPLELFIKVVEFSDLRSANPLVRLKEMAKITHSFITEHAKKGKPILVYVPTWENVSRTVFNMISVSQAKSWVQLNSQQHQAFEASICKISNLELKSALALGVGFLHEGLNTNDQEFVIRSFEAGLIKICIISSSMCWEVSLSAHIVVLMGTEYYDFDGPSYPITDILHMMGQAGRRLIDSVGKALILCLPNKKRYYNILLRDLLPIESHFHNCLNDTVNAEIVAGIVENFKDAVDYLEKSFLCRRLPKNPSYYDFPGFIGEDAGTHLSSLVQRSMNELMTSGMVRDEGGDNISSSVLGRIASNNYINYRTIGAFSTRITLDSNIRDLLEILWSATEFEAHPTRRLHVEEDEIRVLIAKEKFPHVDNRVTNPQTKAIALLQAHFSRLPISSMNLEADRREVVLLFEKLLIGLMEVISDRRWILSYARAMEINQILIQAIWHDDPILLQLPHFTRELVDKCNDINIQNFYDLKTTERQNVRELLGLTTSEWMDITRFMEYNSFPIKHHVRMDEIFSRQRRTVDVILRTKRKRNEILIKFPHYPCKRRIKWWVFVLDDQDNVLAFKSVSLWTPNMLDVTLRFTSPVQTESASYNLYFVCDSIKGVTERRSFHVKGCAVD >RHN43431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45832579:45836140:-1 gene:gene50005 transcript:rna50005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase C45 MEREKLEMFEVGPCKDSYEMGFLIGQRFSQRIKNRVADDTILQNQLRPFAQTQTPPQSESLLKALFHNNQTKFPMYWDELLGTAAGSGVPLLDILLINFKKEILAFVPKEGLKSLEDDSSNECSTVLVVDESMAIAAHNEDANVVLVGHTYLIKGILPNGLFFVAYTYAGELPSSAFAFNSNGLAFTLNSVPPAEDEIVAGGIGRNFISRGILEATGIDDAINRIRSSEISVGHSYNLIEISTRRIVNVETASKKRISIHEVGETPFFHANMYRHLHINQAQDESSISRQKRANVLTKTTKEDFLSILGDADDKKNPIYMTGPLLHTLCTAVIDLEEKTLSIIEGNPKKEDVSIVFSLSPKKLINGHHHNHL >RHN78380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14591089:14594647:1 gene:gene1927 transcript:rna1927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MGAGAFVETSGIKHYEGRVTPYVLMTCFVAAMGGLLFGYDLGITGGVTSMDEFLIKFFPRVYKKMKDETHNTSQYCKFDDEILTLFTSSLYLAALIASFFASAITRMMGRKTSMFLGGLFFLIGAILNGLAANVEMLIIGRLLLGFGVGFCNQSVPVYLSEMAPAKIRGALNIGFQMMITIGILAANLINYGTSKHKNGWRVSLGLGAVPAILLCLGSLFLGETPNSLIERGNHEKAKAMLKRIRGTENVDEEYQDLVDASEEASRVEHPWKNITQPEYRPQLTFVSFIPFFQQLTGINVIMFYAPVLFKILGFGDDASLMSSVISGGVNVVATLVSVFTVDKFGRRFLFLEGGLQMFICQVIIGILIALKFGVTGQGSFTKGEADLLLFFICAYVAAFAWSWGPLGWLVPSEICALEVRPAGQAINVAVNMFFTFMIAQVFLTMLCHLKFGLFFFFAGFVAIMTIFIAVLLPETKNVPIEEMNRVWKSHWFWTKYVPDHVVGGEVGQGVKKAECSTSAQRVTRATSCKLRSLILFF >RHN60990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32103227:32103810:1 gene:gene23396 transcript:rna23396 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHEDQKNEDLHEDPLNEDSSELQQSEDEDMLSEDSHEDEADEDEDDDQYEDQLSEASNEVSSESEWNQDLSEDHNDDIHEYQWNIRHNEIFEHVYSCFSVSSLNRVCHLMHI >RHN66701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16732757:16732993:1 gene:gene14753 transcript:rna14753 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIDDTFDEGLVRLWWKHESGSLDEDVKPIRNDTDATLMSDYARDKNCDVEPKVNLGNAINVADVIGERKGKGVVAD >RHN46854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35326657:35332469:-1 gene:gene41388 transcript:rna41388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MRHSNFPPWKRTNTRQWRLIDLISIAFFSLLFLFFVLFYTTLGRSRVVAPSTVDPQQRNRLVVAIEEGMLNGKSIEACPASEVDHMPCEDPRRNSQLSREMNYYRERHCPLPEETAVCLIPPPNGYRVPVRWPESMHKIWHSNMPHNKIADRKGHQGWMKREGQHFIFPGGGTMFPDGAEQYIKKLSQYIPINGGVLRTALDMGCGVASFGGYLLAQDILTMSFAPRDSHKSQIQFALERGIPAFVAMLGTRRLPFPAFGFDLVHCSRCLIPFTAYNATYFIEVDRLLRPGGYLVISGPPVRWAKQEKEWSDLQAVAKALCYEQITVHENTAIWKKPAADSCLPNGNEFGLELCDDSGDLSQAWYFKLKKCVSSTSSIKGDYAIGTIPKWPERLTAAPSRSPLLKTGVDVYEADTKLWVQRVAHYKNSLNIKLGTPSIRNVMDMNALYGGFAAALKFDPVWVMNVVPAQKPPTLDAIFDRGLIGVYHDWCEPFSTYPRTYDLIHAVSIESLIKDPATGKNRCNIVDLMVEIDRILRPEGTVVLRDAPKVIDKVARIAHAVRWKPTIYDKEPDSHGREKILVLTKTLWKL >RHN66363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11457075:11466966:1 gene:gene14330 transcript:rna14330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MVKKEEFLRENGFEKEQKVSRSDFPSDFVFGVATSAYQIEGASNEGGRGPCIWDAFTHTEGKILDKSNGDVAVDHYHRYLEDIDLIAKLGFSAYRFSISWSRIFHDGLGTKVNDEGIAFYNNVINALLERGIQPYVTLYHWDLPLHLDESMGGWLNKKIIEYFAVYSETCFASFGDRVKNWITINEPLQTAVNGYDLGIFAPGRCENRSVEPYLAAHHQILAHAAAVSIYRSKYKDKQGGQVGLVVDCEWSEPNSDKIEDKSAAARRLDFQIGWFLHPLYHGEYPETMRERLGDQLPKFSEEDKKLLLNSLDFIGLNHYTTRLISHVTESGESYYYNAQAMERIVEWEDGQLIGEKAASEWLYVVPWGLRKVINYVSQKYPAPIYVTENGMDDEENDSLSLHEMLDDKLRVQYFKGYVSSVAQAMKDGADVRGYFAWSLLDNFEWAQGYTKRFGLVYVDYKNGLTRHPKSSAYWFSRFLKDGTNKKGKEE >RHN54557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10467815:10468847:1 gene:gene29621 transcript:rna29621 gene_biotype:protein_coding transcript_biotype:protein_coding MRRISYLEEFSVLISSSLLIMSLILCLGTITTVNCRIKLNVNQKDNVTYFIWSTNLFNWKKSIIQYSCIMH >RHN51770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24747796:24750998:-1 gene:gene36288 transcript:rna36288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MFYFPSKVNTDGAATRNPRNASTGDIFRDKEGLCIGCVAQNLGNVNAYHGELMAAIIAMEIAQSRNFNHLWLETDSQLVYLALKSSSSIPWKLTFRTDYLPLENNVGRPSNNQSRY >RHN63704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53366190:53370761:1 gene:gene26440 transcript:rna26440 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGANVQTNGNFREYYLTKELIFDSGGSTWTSSSNVNNELRTDFYNMGPFQLSSPCTHLGYNQELVKQTILKHEAMFKEQIRELHRVYHKQRELMDEFKRSELHKQNVRLEPSWSSSALLSKNAEKTFYSPNRPWSTSQSSVLFAESIQLPLAFAQEKSKQIFPAHASTVTEEPLKDYKLLESMCRKVGKKVLDLELPADEYIDSDEGEENVRVTEVLQDSAYSLNGVSQVLCDNHDKPRGNSSRGSDNLNVSFKLDLNVPFRLEVEAATKSSDKEVPSLHMNNCLYDLSMKTIFGSQNLHNDAINKRQDLEGGSHNQRPDNEKKCEWKFSGHNGGLLDSFAKSIHTEKQYFSVDSLSKNMEQFVDLSCFHSSHQINRGPWTERKFSSSASSTQTQCPTSKGLLGAMGLPCLKESKFSTQIESAVVNPYETGVTHGLELKKIEESNLGAEKTLAFHSNGNPRMSSDLHYFHDFATKLFQNHPKNQRIEDIEKDCIADVKSPCADVPDLGEQIPAGEHLIENEKKRELLAGIIDLNSCMTEDENMPIAIDVDLHAPSSPENKECSPPRGESDENQLVTPFQFAEQEDLHVQEEQTRYAAEALISISGFAPQKDIQMTPCSPSKSFVNSPLHWFAGIVSTTMYHPENDNETDFNGKVNNLEEFLSGEMDYFEFMTLNLTDTKAPDHCCKSMGQTEQIGGSASTPTQPRKSVRTYRGRWRKDFQSEILPSIASLSRYEVTEDLQTIGSLVSTGTQSETGSLRNASRNLLSKGKRRSCASASNTEDTDLLLNLKQLTAITKLEFEKKGLMSWGKTCKKRRGQRFRITNPQFIWS >RHN81225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44196884:44199942:1 gene:gene5238 transcript:rna5238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFVKVQLFYIHTSIFANANTNVDKTEKLSNNTPKKQKLPLKNGTNIVKRAQRTVFRRNQHTQLKKQCPEKNSGDGVVEKSKTGLHTKCSTKCVSYGGIIPSILKDLDTIQDVEEALRPWENKINNKERSIILKQQVKWDRALEIFNWFNDNKLELNVIHYNIMIRILGRAREWALLEGLWNQMNARGIVATNSTYGTLIDVYSKGGLREDALFWLETMLEHGIEPDEVTMVIVVQLYKKAGEFQKAEEFFRKWSLGEPLRPSNKHMMDAPESVERALFSNASFGSHTYNTLIDTYGKAGQHKEASETFAKMLKQGIPPTTVTFNTMIHICGNHGRLEEVSSLLRKMGELRCSPDTRTYNTLISLHTKHNDIDMATKYFKRMKESYLEPDPVSYRTLLYAYSIRKMVCEAEELITEMDEKGLEIDQFTQSALTRMYIEAGMPERSLLWFQRFHRAGNMTSECYAANIDAYGERGHISEAEKVFLWCQERKNLSAVEFNVMIKAYGVGKYYDKACQLFDSMDKHGVAADRCSYSSLIQVLASADQPHIAKPYLKRMQVAGLVTNCIPYCAVISCFVKLGQLEMAEGVYKEMIGHGVKPDIIVYGVLINALYGAGRVKEAISYANEIKRAGLPGNTVIYNSLIKLYTKVGNLREAQETYRLLQSSEEGPAVYSSNCMIGLYTKQSMVEQAKEIFETLKKNGTANEFSFAMMLCLYKNIERFDVAIQIANQMRKLELLTDSLSYNIVLDLYATAGRPKEAIEIFKDMVTASIQLDDCSLRSLRTLLLRYGASRQGVDNLQVMMKKDASHGLQAWMSALTSVLEIDDYDTDE >RHN51121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14157296:14159433:1 gene:gene35501 transcript:rna35501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative D-xylose 1-dehydrogenase (NADP(+)) MAHEETKPIRFGILGCAEIARKVSRAITLSPNSTLYAIGSRSLEKATKYAASNNFPSHTKIYGSYDAVLDDPDVDAVYVPLPTSLHLHWAVLAAQKKKHLLLEKPVALNVGELDKILEACESNGLQYMDATMWMHHPRTEKMFQFISDPNLFGSLQSVHATFSYPTSPYFLANDIRVKPDLDSLGTLGDTGWYCIRAILWAANYELPKTAKALHKPKYNEAGVLLSCEASLTWEDNKVATFFCSFLADMSMDITAIGAKGSLRVHDYVIPNEENEALFRTSSNSYFLELSAGWNPKPSEVVVKNDIPQEALMVKEFAHLVGGIKYGNSKVENKWAIISRKTQVVIDAVKASIDNGLQPVPIEY >RHN67385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26669222:26674837:-1 gene:gene15569 transcript:rna15569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MSSAISSIVSSDVVPQKKYDIFLSFRGEDTRRNLTSHLYDALSRKKIETFMDNNELQKGDEISAALIKAIEESHASIVIFSENYASSKWCLNELKKILECKQYMEQMVIPVFYNLDPANVRKQTGSYEQAFEKHKRDLKSNNDKLKNWKAALTEAANLVGWDSRNYRSEPDFIKDIVEDVLRKLNLRYPYEIKGLVGIQKNYKQIESMLKIGSHEVRVLGIWGMGGIGKTTLARALYAKMYSQFEGCCLLNVMDESNKYGQNVVHNKLLSSLLEEENIHPDASYIEAPFSERRIGRKKVLIVLDGVETLEQIEDLIPKIDGLGPGSRVIITTRDKHIFSQLSKCEIYEVKELEKPDSLQLFSLTAFKEKHPKTGYEDVSDSVIAYCKGNPLALKVLGANLSSRGREAWENELKKLQKIPNQKIYNVLKLSYDDLDRCQKAIFLDIACLLSGQGKDFVRDLLEASEFFAISGIDVLLDKALIQLDSILHVKREVCTIEMHDLLQEMGREIVNQESEDPGKRSRLWKAEEISDVLKNNKGTEAVEAITFDSTDVGDLYLKSNSFRRMTNLRYLKIYNKSRGNTCNVYFPDGLEWISDKLRYLRWEGYCLEYLPSTFCAEMLIELHLSHSKLKKLWDGVQNLVNLNILWLESSKNLIEIPDLSKATNLHRVYLFQCESLGQLHSSIFSLPDLRYLDLRGCKKIESLKTNIHSKSLRELLLDDCYSLTEFSVTSDEMTELSLGGTAIRELSSWIWRNRKLTSLGLSECNKLNIVGNKLTNDHGLGSVTELDLSGCTEINALSLWSILDGIQSLKRLTLHECCNLECLPENIRNHSMLKWLDLDGCRKLVSLTELPASLITLSGINCTYLETDSTQRSFLENMVQIFSKDPFHVNKDANYLFWPGAQVPCKFDFQTMKASITIPPIPKYNLSGFIFCTILSEGFLFQSHPLHCIILEHGKEVDRCIICFALYIVRLISDHVLLSWYCYKREKFGSNDCKLSFQFIHNVELGWSTEGIKGCGILPVYNLEHKSDLAGREIGKLKFSNQYSDRSNWSNNEIEDGQENYNDELQPSAIGGEVISSNIENEDDQKHPCCSIGLYLRHLLRGSKE >RHN77947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10744672:10754688:1 gene:gene1457 transcript:rna1457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperonin Cpn60/TCP-1 family, groEL-like apical domain, groEL-like equatorial MVGLPYGIQSMLKEGHKHLSGLDEAVLKNIDACNQLSTITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGKAQQEEIGDGANLTISFAGELLHGAEELIRMGLHPSEIISGYTKAIAKTMEILDELVEEGSDNMDVRDKEQVISRMKAAVASKQFGQEDTICSLVADACIQVCPKNPVNFNVDNVRVAKLLGGGLRNSTVVRGMVLRNDAVGSIKRMEKAKVAVFAGGVDTSATETKGTVLIHSAEQLENYSKTEEAKVEELIKAVVDSGAQVIVSGGAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGSVAMLKLSRPNPDDLGYVDSVSVEEIGGARVTIVKNEEGGNSVATVVLRGSTDSILDDIERAVDDGVNTYKTMCRDSRIVPGAAATEIELAKRVKEFSFKETGLDQYAIAKFAESFEMIPRTLAENAGLNAMEIISSLYAEHASGNTKVGIDLDEGVCKDVTTTHVWDLHMAKLFALKYAADAACTVLRVDQIIMAKPAGGPGKREQPAGMDED >RHN59821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13618309:13622945:1 gene:gene21980 transcript:rna21980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MNTFSHVPPGFRFHPTDEELVDYYLRKKIASRRIDLDVIKDVDLYKIEPWDLEEICRIGTEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKSIYSKHNLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGTPQEEGWVVCRVFKKRVTTTIRKMSEHDSPCWYEDQVSFMQDMDSPNQTSQSNLIYQQLPSYTCKKELDNLPFQNFSHDHFLNLPLLESPKLVQSSSNITSIDHSNPMFPSSVLLEEEQILQSGNQQNFHAMYGNNSNVEQGMVDDDQVTDWRVLDKFVASQLSQDDASKENNNNIFHGNIEFRNLEKQEMVPHENASTSNTSWI >RHN46662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33860274:33861756:1 gene:gene41181 transcript:rna41181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MASSMLVKITCMSMLCLVLVIPLSNAGMTCNEVTDTLYPCAGYATTPGDDPPPAGCCGGLKDIKDKATTTPERQSVCECLKTNVLRIPGVNPDTVAALPEKCDVPLPYQIKADFDCSTVN >RHN79178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25222512:25223555:-1 gene:gene2909 transcript:rna2909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MRIYDPDQATLEALRGSNIELVIGVPNENIESIANSVSSATYWVQNNILNYSKDVKFRYIVVGNEIDPSNDPTSTFVLPAMLNINAALTSANLQHQIKVSTAIQMNLLGSSYPPSSGAFSTSSILYITPIVNFLVENEAPLLANVYTYFSYINDPKSIDLSFALFTSPNVKVRDEKNEYQNLFDATLGALYAALEKVGGANLKVVVSESGWPSHGDVGASVENAQIYHENLIKHVSVGTPNRPNQALETYLFAMFDENQKGPAETERYYGLFTPDKQLKYQISQLLKPSYSTNSSSSKSGGGSSESGGGSSESGGEMSYFTSSSPSESRAGVVVFILCFTLLFLYFV >RHN77615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8153796:8159779:1 gene:gene1085 transcript:rna1085 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVCSAGKAEKNKNNDEMEVSVGKLNKFKSFVNKNGNCYSNSKVSTDRRKNQKKRNSGLFSREFKLVEDTTNLNVTGKKQAFQRASFLGRASEKAVEVLDTLGSSIPKLSISDGFITGMVPSKNKISMLAFEVANTITRGSILFHSLSEENIQLLKNEILQSEGVKNLVSTDTKELISFVEADKREEFNAFSREVARFGNICKDPQWHNLDRYFSRLDFDALSNKQPRVEAEKTVQDLSSLAQNTAELYHELNALDRFQQDYNQKVKELEFLNLPLNGEGLAAFHSELKHQRKLVKSLQRKSLWSKHLEEIVEKLVEVATHTHQAIFEFLGKNGKIAVKNRNGPERLGEAGLALHYANIINQINVIASRPTILPPNMRDTLYKGLPNNIKNALPSRLQNDDVMKELSIAQVKVEMDKILPWLTPFATNTTKAHQGFGWVGEWANASNEFGEMTSGKESNHIRLHTLHYAEKQKIDFHILELLVRLHQLVTFVRYRPNATRPMSSTRTSSPNKGLHFQSKMLQFISLSKLSEEDRRLLEEVTTRKWIPGISKSENLAGTNKKETMVWHFSNSVGSSPAKWFFATKLSLDVMDGL >RHN40976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25255438:25262278:1 gene:gene47235 transcript:rna47235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cadmium-transporting ATPase MSRLLASGNVRRFVHSFHRKQNNGNLEGFHPIGIPYTTYKFFSYYAVVQKGQTPFMLYKTKVKLLMNGSARNLYTIPSNNAKYQHGQVLWNMMRFHKGPALPPVGQIARAVSLAMVKSNFVIHGMIAVIVSAWTQGKLAEAEAFPTRDLLYLHAQDGRVYLTSVLLDALEMVALFLRALYLLVLFSPCIAMAPLVHYFGIQFRKTWIRVVHHTLAKAGPAFIKWGQWAATRPDLFPRDLCDELAEFQTKAPSHSFSYSRKCIETAFGRKLNEIFEKFEEEPVASGSIAQVHRATLKYKYPGQQIKPVVVAVKVRHPGVTEAIRRDFFIINLVSKITSIVPNLKWLRLDESIQQFAVFMMSQVDLSREAAHLNRFIYNFRRSKDVSFPIPLYPLVHPSVLVETYEQGESVLHFVEDLEGHEHIKSSLAHIGTHALLKMLLVDNFIHADMHPGNILVRMEKKKSPPAVQLFKSKPHVIFLDVGMTTELSKRERECLLEFFKAVALQDGRGVAECTLRLSKQQNCPDPKSFIEEVDKSFKLWRSSEGEAVHSGDRMQHLLEHVRQCKVNIDGNICAVIVTTLVLEGWQRRLDPDYDMLHALQTLLFKADLAEESLSYAIEGPVAP >RHN52385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34673225:34676056:-1 gene:gene37053 transcript:rna37053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQIHIFFSSLIIFLFLFLVETRQTEIPCESKQDCPKMFFPHYVTCVEDLQVEET >RHN46277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30343733:30345703:-1 gene:gene40748 transcript:rna40748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MSNWSTTMASNKEEVKLFGMKASPFVCRVEIALKLKGVEYKYEVEKFQNLSDTLINYNPVYKKVPVLVHNDKPIAESLVIIEYIDETWKQNPILPSDPSKRALARFWSKFIDDKWLDAIIKVSSTLDEKEIEKGIEETEVALQFLEGELKDKFFGGEEIGLVDITGVFISFWLPIVQEVIGLKLFTSEKFPKLYNWSQDFNNHPIVKEILPPRLETLLAFERSRSESLAASK >RHN70517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51966936:51968206:-1 gene:gene19102 transcript:rna19102 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHFCVLDKMRTEEKISALLESEDEDDQCSSSTTSSIGKDSDASECRDNDDDNEAESTYNYDEPLNMMDSLQQFLPIRRGISKFYDGKSKSFMSLADAVSSPSAKDIAKPENAYTRRRRNLMALNHVWEKNKNFLLRSNNGGISKRTISSNQSAVALAFAMNYDSSTSCSSEESTSSSNSSSTTPLQRNQVPSTSSSPISPNQHNFSDW >RHN67824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30522215:30526503:1 gene:gene16063 transcript:rna16063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MAHSPNSYIALVLVFLLIGTSSAQLSENFYSKKCPKVFKTVQSVVKTAVAKENRIGASLLRLHFHDCFVDGCDGSILLDDTSSFKGEKTAGPNNNSVRGYEVIDAIKSKVEAICPGVVSCADIVAITARDSVVILGGPFWKVKVGRRDSKTANFTAANTGVIPAPTSNLTTLISTFKAQGLSVKDMVALSGAHTIGRARCTSFRGHIYNDSNIDSTFAKIRQKNCPRTTGSGDNNLANLDLRTPTHFDNNYYKNLIIKRGLLHSDQVLFNGGTTDSLVKTYSQNGKVFDSDFVAAMIKMGDNKPLTGKQGEIRKNCRRLN >RHN55341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17497295:17498009:1 gene:gene30511 transcript:rna30511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MTSDTFQSLYFSADTLHLLPLFHSLTHLYITHSWIMDFTLEVLFDILHKTPKLEVLGIPMVYCLHLVDEEVTINSVPCCFKSSLKFLWISDFNGYKYEVQMISLLVEKFTILEEMKISFSGFLSDCLEEETAVKNQLQSLCHGKFAIEFK >RHN55111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15225184:15228967:1 gene:gene30240 transcript:rna30240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylsterol monooxygenase MASIFESGWQYLITHFSDFQLACLGSFFLHESVFFLSGLPFVWIERAGWLSKYKIQAKNNSPEAQDKCIVRLLLYHFGVNLPVMIFSYPVFRYMGMQSSLPLPSWNIILTQIMFYFILEDFIFYWGHRILHTKWLYKHIHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPAITGPHLITLWLWMVVRVLETVEAHCGYHFPWSPSNFLPLYGGSDFHDYHHRLLYTKSGNYSSTFTYMDRIFGTDVGYRKLKALKSREFEDSYEQKKQ >RHN48373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47042391:47046602:1 gene:gene43084 transcript:rna43084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BRCT domain-containing protein MVAKHYRPAGKKKEGNAAKFVTRTQALKQLQISLPLFRKLCILKGVTPREPKKKFKGNDKTYYHVKDISFLHHEPLVEIHRAIRVHERKIKKAEAKKNVERANRLREKTPKPKIDRIIRQRYPRFVDALGELDDCLTMVHLFAAVPATESKKIDVELVHKCRRLAHEWQAFVSRTHKLRKTFVSVKGIYYQAEVEGQKITWLTPHSLQQVVSEDVDIPTMLNFLQLYEPLLCFVNFHLYHSINLKYPPMLDPRLEALAADIYALSRYLNGNTRPAILNSETSQLVESEQLESKQDGAQTQNEKSELRLAQLQHQLPSNEPGALMQLVEKVAGEGEEEYDQETRECKNLFRNVKIFLSREAPRESLLFVIPAFGGTVSWEGEGAPFAESDQSITHQIVDRESQGHRFLSREYVQPQWVFDCVNARIILPTDNYFVGRIPPPHLSPFVDYDEGAYVPEYAKTLKHLQAAARKEVLPLPGAEKDLEDPQNLLAEGIIDRAAANEAAEKKQKLMKQEQRFREELKKELKGETYTSAGSAVEKETSTAVIETGESTNNVQANTDDGAEMGKLLLSRRKRKLLEAMQISNERKKNKHDTIIKRKKKLDEAQNQRS >RHN65369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1551930:1558331:1 gene:gene13199 transcript:rna13199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase-like ATPase domain-containing protein MGTRSPKEHIQDIRRTKFSIGGEPNLLTQDLHNAVKNLSAELYAKDVHFLMELIQNAEDNHYIEGENPTLEFVITSDDITATGAPATLLIFNNEKGFSPKNIESICSVGRSTKKGNRSSGYIGEKGIGFKSVFLVTAQPYIFSNGYQIRFNEKPCPHCSIGYIVPEWVEEKPTLADIKNIYGACNSLPTTIVILPLKPEKVQPVKHQLSSIHPEVLLFLTKIRHLSVREVNEDPKQNTVTAVSISSEINFVTRKNINAESYTLHLSAEENSEAGKECSYYMWKQKFPVRLENLVERKKDVEEWVVTLAFPNQERLYRGKTLPGVYAFLPTEMVTNFPFIIQADFFLASSRETIMLDNKWNQGILEYVPSAFIDAFKTLLAGSDEAPASSLPNLFKFLPIESSPFESFNHMRNKIKAKLHEEKIVPIETFTNQKHFFKPREVSRLLPTFWKILTKAHEKGVYLLDLNSHDEMKILSSSFDKKEYRGILNFLGVKLVNIDWYAKCIQSSNLVYEVSEDVYLELLMFVARHWSSKFKGSNIINIPLIKYMAADGIPSFFSLHECRQLVAGAKRVKLAASSQTCPCSWLINWNNVFACETKQFFMPESTQQAISQLPNKYTLLDWLAKDVNIATTNVYTFASVLRSSINNNCKLAIAYAHFLYHSLSKGYLSSREVDGLCSFMPLVDNYGCIARSRKGVLLPANVSKWADLIVSNPWRNEGYVELGKAYLNASSYAGQNSSSRMLIDFLKAHVRASDVPYISPPNAGFSAADTPLTKDNAFLLLDWIRNLKYRGVHLPQRFLECIKKGNWLKVTCSNGYMPPSKSFLIGSSLRKILQSGSFLVDIPLIDESFYGNRINEYTEELKIVGVMFSYEEACDFIARELMSRAASFSLRGSHVLLMLNFIQYLRKSLLPLDNFVNCIRKESWLKTSCGLRSPVGSVLNDSSWQVASQISNIPIIDNDYYGEEIHNYKEELKLLGVIADLSENYQVVIEHLKSPSRLSCLTVEAVLLIMECIKYSNSPIKLLSSLKRTDCLWTNMGFKTPGECFLYDPVWGCILEVFDGLPVIDHEFYGEKIFSYKDELMQVGVLVDFKDAIKKIERLFEQKALENSINGQHVISFLSCCRLLKGTDYSFPSDFSTIIRKMKWLRTEVGDFRCPTKCILYGPEWESISSITCLPFIHYNSDKQSGMGIYEYKEELESIGVVTELKDGARFLPECLSFPSNPSTITPESVFSLLEWIQLLMQNHTPTIEDDDFRKRMSQNWLKTHAGYRPPDKCLFFDSKWSSFLNPTDGPFINEYFYGPKIAIYQKELNAIGVTSEVEKGCSLLADHLNSLSDHGTIVKIYNYLFEHNWKPEKREANKIWILDGDKGGGKWVDSEECVIHDPAKLFGSKFYILEDIYDGNILVFLYLAMEVKNKPSLEDYVDLWNDWGNSMEQLSYDECCRFWMSISKHLSTKQEKKLAESLMKLPATSGNNKIFLVDKKDAFIPDNFHMKKLFEREKIFVWYPHHNMTPLSKGELSEIYRKIGARNISESLCKEESSLVNDGVKLKHVDPNNIFNLKGLAKLILGFLACSNLKMEPSKRHEAVQTLLNLSFHETMEPINVSYSLPLSSGDIITKKANKRVRWESQSSKFIIQKMDGEDSLKYVTNFSEAISEGVLHENHDHVPALSKLITLGFFLKFKNEEIDFLMESKYLEIDPEDEKFLSSAFPSN >RHN50070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3812797:3814641:-1 gene:gene34321 transcript:rna34321 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKKKVQIPHPKKKEEKKKMSQNTKALLIGLIGAAFTLFAYSQTFISPSQSITIGLLVLMFGLLVGEGLIPL >RHN61570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36493867:36511872:1 gene:gene24048 transcript:rna24048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase STE-STE-Pl family MSRQSTGSAFTQSKTLDNKYMLGDEIGKGAYARVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKSHLHIVLEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVVELLTCVPPYYDLQPMPALFRIVQDDNPPIPDSLSPDITDFLHQCFKKDARQRPDAKTLLSHPWIQNCRRVLQSSLRHSGTLRNIEEGASTNGKASDGDHKLAGENSSVQKEGTAAAESCRSQDGNASDSNFPNERTEKADDVPSDEVLTLAIHEKSVQQIGSSKPSSDGEMGSSEPTGNHEISNTEGVINGEVGSPQSRGMTNKVGGKSFGFGPRGLDKGPAKAMKMLHPAEGNGLSKFSDPPGDAYLDDLFPLDKRHGEVVGEASTSTSTSHMAKGNASMIDGGEKDLAKELRATIARKQWEKESEIGQANNGGNLLHRVMIGVLKDDVIDIDGLVFDEKLPGENLFPLQAVEFSKLVGSLKPEESEDVIVSACQKLIGIFQQRSEQKIVFVTQHGLLPLTDLLDVPKTRVICSVLQLINQIIRDNTDFQENACLVGLIPAVMSFAVPDRPREIRMEAAYFFQQLCQSSSLTLQMFIACRGIPVLVGFLETDYAKYREMVHLAIDGMWQVFKLQQSTPRNDFCRIAAKNGILLRLINTLYSLNESTRLASMSAGSGFLVDGSTQRPRSGILDPTHPFFGQNEALLSSADQQDLTKLRHGALDHHLESSHRRSDSNYQMDVDRPQSSNAAAEAVPLEMSLNLASRESSAGTLKERENADRWKSDPSRADVELRQRLSISGNRKSTDRSSKLTETSSNGLSATGATQQEQVRPLLSLLEKEPRSGRFSGQLEYVRQFSALERHESVLPLLHASEKKTNGELDFLMAEFADVSQRGRENGNLDSSARVSQRVAPKKLGTFGSSEGAASTSGIVSQTASGVLSGSGVLNARPGSATSSGLLSHMVSSLNAEVAKEYLEKVADLLLEFAQADTTVKSYMCSQSLLSRLFQMFNRVEPPILLKILRCINHLSTDPNCLENLQRAEAIKYLIPNLELKEGSLVSEIHHEVLNALFNLCKINKRRQEQAAENGIIPHLMQFITSNSPLKQYALPLLCDMAHASRNSREQLRAHGGLDIYLNLLEDEFWSVTALDSIAVCLAHDNDNRKVEQALLKKDAVQKLVIFFQSCPEAHFVHILEPFLKIITKSARINTTLAVNGLTPLLIAKLDHQDAIARLNLLRLIKAVYEHHPQPKKLIVENDLPEKLQNLIGERRDGQVLVKQMATSLLKALHINTVL >RHN55325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17315127:17318999:-1 gene:gene30491 transcript:rna30491 gene_biotype:protein_coding transcript_biotype:protein_coding MCPKSMFFFSFFVFLLVSHSHSLTHSLTPFHAFLFSLVPSLKPFFPLFNKTRTILRNMGASGNNLLQVLAKNFDVLALPLVTLVYPLYASIKAIETKNIVDDQQWLTYWVLYSLITLFELTFAKVLEVLPIWPYAKLILSCWLVLPHFNGAAHVYKCYLRPFYMNPQLPQMPLMPGTSQMWYVPRKNIFSKQDDVLSAAERYMQENGTEAFERLITKTDREAKARRNGNYMIFDDDYRY >RHN76347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49546958:49547279:1 gene:gene12656 transcript:rna12656 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNGGNIKPFSKFSSDTCSANASAAARSRSSCTRMVPDIIKPRPNPGNMYALFA >RHN43801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48591829:48596591:1 gene:gene50428 transcript:rna50428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MMAFARHGRNCMIRSSSSSSGIKVFFSNLSNLRIGQLSSSTYCNSNSEDDFQKVMSLLSNAAPNERGHQIYSDLNSIQFTTLQHQQQHFALKVIETSCQTKFVEAPKVQPHNLINFIKLVWKNNKDLITTPVLESLVSSICSTVPTPPRKNDILFLWDLLKRIGRHHHHTGGVLNARILNSLIQSFSIMGREGKSALEVFHMFEAFQCVPNHDTYYFTLQALLTTTCSTHMIHQAASICQKMLLFLPHHHDEAASICQNYTHLLTPSPDEDIDDDFTSPKDFQTQLTFLGGIPRPHYYGCVCANVNLTDFNTMRQQLHHFVLKVIETSSQTKLIETPKVSPHNLIHFIKWAWMRRNTYQDLITTPVLESLVSEICRGSTDDNIHLRKNDIFFLWELLKHIGHYQTDLLSTRILNQLLHSFGLMLCQGKTGLEVFHKFEAFQCVPNQDTYIHTLQAFLTTKCTTDMIHQAASMCQKMLLHPETILPDDGEILGDVLYCFFKRNMIKEAYALFLAANERRKTNPNWPLKLRMLLPLNMIQMLCLKKETVHLAFKMLNDIPEEVDDREKLFKLMLFTHVFGALCGFKDFETAKQLILKMIADSLHPSIYDISIIITAYVKAGEIGQVLEMVMLLESMGFYICNPLMFGFPRSKGMLHIKKILEKAKKQDCKLIITLLYHTLIVGYCKLEKFDGALELLTQMKDFGVSHTNLDEYHKLIHYLRLMDMDCKMAREQLEEMEPMDREVAITQINEMDSIASNVAYQQLAY >RHN50510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7766406:7770070:-1 gene:gene34802 transcript:rna34802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MTFLSYFLILFALLIPNFVSSSSVQDPELVVQDVQRSINVSRSRRNLGYLSCGTGNPIDDCWRCDPNWENNRQRLADCAIGFGKDAIGGKNGKIYIVTDSGDDDAVNPKPGTLRYGAIQDEPLWIIFKRDMVIQLKQELLVNSYKTIDGRGASVHIANGGCITIHYVNNVIIHGIHVHDCVPTGNTNIRDSPEHSGFWTVSDGDGISVFNSQHIWIDHCSLSNCRDGLIDVIHGSNAITISNNYMTHHDKVMLLGHSDSYTQDKDMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFLAPDNRFSKEVTKHEDASESEYNSWNWRSEGDLFLNGAFFRQTGAESSSIYARASSLSARPASLVGSITTTSGVLTCKKGNRC >RHN79204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25784091:25786828:-1 gene:gene2942 transcript:rna2942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAAILKISYVLLILVSLIIVATSHSFLPCQTKDDCVFDDCKFPKNPVCYLEACHCWFAPLRN >RHN58710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4204552:4204839:1 gene:gene20644 transcript:rna20644 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAKHRLSHYLVFGYKYVRRMYGWYVSNLQHSKHCYCPFLFHFFL >RHN67399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26837278:26840806:-1 gene:gene15586 transcript:rna15586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor EF1B, gamma chain, S-crystallin MALILHAQKGNKNAYKTLIAAEYSGIKVELAPDFQMGVSNKSPQFINMNPIGKVPVLETPDGPVFESNAMARYVARLGESNLFGSSPIDQAHVEQWIDFSSMEIDDNIMKLYRPRRGFSPYLAPVEEAAISSLKRAFEALNTHLAHNTYLVGHSVTLADIIAACNLYLGFAQLLVKSFTSEFPHVERYFWTLVNQPNFRKIFGQVKQTEAMPPIPSAKNPTQPKESKPTSKDAPKKVAKSEPEKPKVVEAEEEAPKPKPKNPLDLLPPSKMVLDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLIIGSEPPFKVKGLWLFRGQEIPKFIMDECYDMELYEWTKVDISDEAQKERVSQMIEDFEPFEGEALLDAKCFK >RHN52753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38399873:38400658:1 gene:gene37457 transcript:rna37457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MENHIPILIKITYISFFLVIIITILLPHTSQLVIDDYSTCRDIKNSYNCGNLTNISYPFWGKNRPSHCGAGHHFYLNCHEDNITTILISSQNFTVLEINTKNHTMKLKRTDLQNLCSPKFVDTYLFPPMFQYLRNVINITIYYNCTMTTTIPFPTRQFLALCDQNYDFCYLGDKNYVLEKYWNCKKHIHVPVAADFAIHINYFDSLPRNVLEIGLGEGFEVQYSVNEKYPDGGSIASSTHCQNIMFRYLFPAMVTLQFLIL >RHN70638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52914769:52917771:1 gene:gene19247 transcript:rna19247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MIITKHVIILSMFIFIFFFMSKPCLCGLQYIGSISPGTDGSQMDWIDREGKFLLSKTQNFALGFVTTANDTTKFLLVIVHLASSTVIWTANRGKPVSNSDNFVFDKKGNAFLQKDGILIWSTNTTNKGASLMVLEDSGNLVLLGKDNSTVIWQSFDFPTDTLMPQQVFKEGMKITSEPSSNNLTYVLEIKSGNVVLSAGFKIPQVYWTMQEDNRKTIDKDGHVVVSANLSDNSWRFYDDKKSLLWQFIFSDDVGVNATWIAVLGRDGVITFSNLNSGGSNGDSSTRIPQDPCGTPEPCDPYSICTNNRRCSCPSIIPNCKPGFFSPCDDKSENSIQFLKGDDGLGYFALDFLQPFSKTDLAGCQTSCRGNCSCLAMFFHKSSGNCFLLESVGSFKKSDDGADSGYVSYIKVSSDAGKKGGGTSNKHIIVVVVIVILTLFVISLLLFVGVRYYRKKKMLPESPKENSEEDNFLENLTGMPVRYRYKDLEVATSNFSTKLGQGGFGSVYRGVLPDGTQLAVKQLEGIGQGKKEFRAEVSIIGSIHHLNLVRLKGFCADGTHRLLVYEYMANNSLDKWIFKKKKGDFLLDWDTRYNIAVGTAKGLAYLHEDCDSKIVHCDIKPENVLLDDHFMAKVSDFGLAKLMNREQSHVFTTMRGTRGYLAPEWITSYAISEKSDVYSYGMVLLEIIGGRKNYDTNESSEKSYFPSFAFKMMEEGKVRDILDSELKIDEHDDRVQCAIRVALWCIQEDMSMRPSMTKVVQMLEGLCTVPKPPTSSYLSTRLYSTMFKSSTSEGGTSSGPSDCNSDAYLSAVRLSGPR >RHN68120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33044362:33047155:1 gene:gene16420 transcript:rna16420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDSDDMHDANDVESLDDDFYGGETEDDDDNNNDAADDYVDGADNSDLAQRTEINFSILKESDIRERQEDDIRSVAAVLSIPPVAASILLRHYNWNVSNVNEAWFADEDGVRRKVGLLEKPAYKNPDANKMPKLTCGICFEAYRPSKIHTASCGHPYCSSCWGGYIGTSINDGLGCLMLRCPDPACAAAVDQDMIDAFASAEDKKKYERYLVRSYIEVNKKTKWCPAPGCEHAVNFDAGDENYDVSCLCSYSFCWNCTEDAHRPVDCDTVSKWILKNSAESENTNWILAYTKPCPKCKRSIEKNRGCMHMTCSAPCRFQFCWLCLGDWSDHRGACNRFQDSEKQAVYDETEKRREMAKKSLVKYTHYYERWATNQSSRQKALTDLHQMQTVHMENLSDIQCQPESQLKFITEAWLQIVECRRVLKWTYAYGYYLDEHELAKKKLFEYLQGEAESGLERLHLCAEKELQVFLSAEGPSKEFNDFRSKLAGLTRVTKNFFENLVRALENGLSDVDSNGAATSKAKSSKNAAGSSKGRGKGTNRATVSSKITVDNEWYCVECTYANVGSATACAMCSEWSCGQCTYANIGSATACAVCNQQRQ >RHN57937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42651621:42652657:1 gene:gene33529 transcript:rna33529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germacrene A hydroxylase MEHPSLSFLPFLLFSTSFILFLTQILKLVKRILRVTTKVQKNVLPPGPWTLPIIGSIHHLIGSLPHHSLRTLSKIYGPIMHLKLGEVSTIVISSPELAKEILKTYDTIFAQRPHQIGADIMCYGSTDIATAPYGTYWKQLRRLCSQELLCTKRVRSFQSIREEEVSNLIKCISNNIGSCINLSEKVACMTSSITSRAAFGKICKDQQEFILLIKKLVKIAEGFIIIDLFPSQKWLHVISGMKPKLEELHRKFDNIIENIIKEAVMTKKVEGETIEGFLSVLLRIKDHDEALECPLTIDNIKAVILNVKDTRTSLIS >RHN64904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62835031:62837115:1 gene:gene27778 transcript:rna27778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MCEKENPNSHSALLDGKYELGRVLGHGTFAKVYHAKNLNTGKNVAMKVVGKEKVIKVGMIEQIKREISVMKMVKHPNIVQLHEVMASKSKIYIAMELVRGGELFNKIVKGRLKEDVARVYFQQLISAVDFCHSRGVYHRDLKPENLLLDEDGNLKVSDFGLCTFSEHLRQDGLLHTTCGTPAYVSPEVIAKKGYDGAKADIWSCGVILYVLLAGFLPFQDDNLVAIWFKKPVPKSIAMRKKEKEEEEDKVFEFMECEKSSTTMNAFHIISLSEGFDLSPLFEEKKREEREEMRFATGGTPSRVISKLEQVAKAVKFDVKSSDTKVRLQGQERGRKGKLAIAADIYAVTPSFLVVEVKKDKGDTLEYNQFCSKELRPALKDIFWTSADPPAA >RHN44413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4428500:4430121:1 gene:gene38532 transcript:rna38532 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MaT4 MAFNKNNIKIHEHFKVVPSSSTQTTTIPFTFFDIFWIKFHPIERIFFYTLPNSQSHPSFFFQKLVPILRSSLSLTLQHFLPLAGKIVWPSESQQPKIQYTTNDGVSLLIAESDADFSHVIENSPHEASLSRSFLPHLESTDSSASIISIQITLFPKSGFSIGISTHHAGLDGKSSTMFMKAWAYLCHKIIETKEESPTLLPELEPLFDREVIKDPNELGVTFTNSWTEIISTIFPSEKGNEHSLNILHFEPKLEDSVRATFNLTREDLNKIKQMVLSKWEFYDTNESYSKPQTLSSFVLTCAYSLVCYAKAIHRADMEKEKFSFAFTVDCRARLEPPIPSNYFGNCVWGNFISTQPLDFIKKDGVFLVAKCIYEKIKMINENGVLEGAINDGYDKYNYLINEGFGVFGVSGSNRFGVYETDFGWGRPKKVEIVSVDRGLTIGFAESKDGNGGVEIGLVLNKHVMDLFSNLFLEGLCSN >RHN41899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33981602:33985569:1 gene:gene48266 transcript:rna48266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein Ist1 MTVVTTATARTKRIVKLTLALLGLGFNSSKCKTASKMAVARIKLLRNKREVVVRQMRRDIAMLLQSGQDATARIRVEHVMREQNVLAANEFIELFCELIVARLSIIAKQRECPADLKEGIASLIFAAPRCSEIPELVSLKKIFEKKYGRDFVSAATDLRPSCGVNRQLIDKLSVRTPPGEVKLKVLKEIAKEYQIDWDTAESEKELLKPPEELIEGQRTFVSASTLPVKTSTTVSMESNKPATRLSGGVISDSMHFEDSKSAAEAATEAAKKAIAAAEFAAYMAMKDSNEASQPYFNDKFHSDPAKYTHNSVHKSTTEEKMHRSHSLPRSDHMNNEDPSYGGKDYRRHSYHPASAHLDIKFDESDCDEEIEAEEPPVTFPPKRLPPPVPSSSVVKQDSNIRVHPKLPDYDELTARFDALKFKKSQP >RHN49540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55514267:55519992:-1 gene:gene44382 transcript:rna44382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MCGFESRNNNQVRCFSNQQTGHSQHSLMISRIIILSIGGAMMCGIGIGCVTWFKRRRRTISAEPSIDITITRMRMGLDESTIESFGKVVLGESRRLPGVGHNDGTGCCSICLSEYNSKDIIRCIPECKHCFHAHCIDEWLRMNATCPVCRNSPFPSHSLLQISS >RHN73116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13580564:13582040:1 gene:gene8899 transcript:rna8899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance response protein Pi176 MGVFNFEDETTSIVAPATLYKALVTDADTLTPKVIDAIKSIDIVEGNGGAGTIKKLTFVEDGETKYVLHKVDLVDDVNLAYHYSIVGGFGLPDTIEKISFEAKLSAGPNGGTIAKLSVKYFTKGDVAPSEEELKNGKARGDGLFKALEGYVLANPDY >RHN79814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32531149:32534283:1 gene:gene3656 transcript:rna3656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MAYKSSSNSSFLFLLLLLHFNLGISQLQVNYYSKSCPKAEEIIKQQVNELYNKHGNTAISWVRNLFHDCIVKSCDASLLLETVHGVVSEQTAERSFGMRNFKYVSTIKAALEKECPLTVSCADIVALSARDGIARLGGPNFEMKSGRKDSKESYVKVVEQFIPNHNDSISSVLSSFQAIGIDVEATVALLGAHSVGRVHCMNLVHRLYPTVDPTLDPTHAAYLKRRCPTPNPDPKAVQYVRNDLKTPMIIDNNYYKNILQHKGLLTVDEELATDPRTSPYVKKMAADNGYFNEQFSRAVQLLSENNPLIGDQGEIRKDCRYVNAN >RHN46103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28517261:28522609:-1 gene:gene40543 transcript:rna40543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MATTLNYSCTPPKAPINNNPISVSKVRVIVRVRPFLPHETSRNCDDPVSCISLLDQDFQSQNDVAVYLKDPFTSRKECYKLDSFFDQEDNNVGQIFEREVSPMIPAIFGGCNATVFAYGATGSGKTYTMQGTEEQPGLMPLAMSTILSICQNTSSTAQISYYEVYMDRCYDLLELKASEISVLDGKDGHIHLRGLSQVPVNTMSEFQEVFSSGIQRRKTAHTGLNDVSSRSHGVLVISILSTPPDGNGSFVCGKLNLIDLAGNEDNRRSCNEGIRLQESAKINQSLFALSNVIYALNNNKPRTPYRDSKLTRILQDSLGGSSRALMVACLNPGEYQESVHTVSLAARSRHVSNFVPSAHKLETSKVNVDMETKLKAWLESRGKTKSSQQRLGAFNSPLVQKTPGSTITSAKRSVNFNNSVKGGRTFINQKAQHTTERAFGVSFRNLLDGEVAFDSCKKNMHSGVEDNDKRETEHEANKAPLESYNDVPDEPLSKDVPAVLPSPLRKALSPININGIQNSLQTMSLTRTPFSATCSTKKGLQENGTPLDKFSARSSVLKNCLVQEYIDLLNNASREELQELKGIGDKMADYIIDLREESPLKSLCDLEKIGLSLKQAHNLFTKAAKKLFDDKAEDSMLK >RHN75946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46375371:46382056:1 gene:gene12210 transcript:rna12210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MALELTNWCIASGLKCLKHAKLAHCRLLRLNLHHDNDLLSIILRSTINFSNNAQYPILVFHKTPTNSNTFLYNTMIRGMVSKDRFNNAVHLYASMHKAAIVPDSFTFSFVLKACARLNLFHLGVMIHSLVFKTGFDCDVFVKTNVVCFYSKCGFLRDAWKVFDDMVVKNVVSWTGMICGCIEFGKFREAVDLFRGLLESGLRPDGFVIVRVLRACARLGDLESGRWIDRCMRECGLSRNVFVATSLVDMYTKCGSMEEARFVFDGMVEKDIVCWSAMIQGYASNGLPREAIELFFEMRKVNVRPDCYAMVGALSSCASLGALELGNWAKGLMNYEEFLSNPVLGTSLIDFYAKCGSMEEALGVYKMMKEKDRVVFNAVISGLAMYGQVGAAFGVFGQMGKFGIPPNEHTFVGLLCGCTHAGLVDDGRHYFNSMSHDFSVTPTIEHYGCMVDLLARAGFLDEAHNLIKGMPMKANVIVWGSLLGGCRLHRETQLAEHVLKQLIELEPWNSGHYVLLSNIYSASRRWDEAEKIRSTVNEKGMQKLPGYSWVEVDGVVHEFLVGDTSHPLSQKIYEKLESLFKDLKEAGYNPTTEFVLFDVEEEEKEHFLGCHSEKLAVAFALISTGAKYVIRVVKNLRVCGDCHEAIKHISKVTGREIVIRDNNRFHCFSDGACSCRDYW >RHN74910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37719946:37720287:1 gene:gene11044 transcript:rna11044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-glutamyl hydrolase MLNYAILFLFYIAIFHCFLFTISCPPPNPNLYYQPVIGILSHPGDGTSGRHSNATGASFIHASYVKFVEAAGARVVPLIYNEPEEKILKVSEKASN >RHN64160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57015451:57015663:-1 gene:gene26946 transcript:rna26946 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAALGLPPYSIFTIEEIEDATNNFHPSNLIGEGSHRQLGKGRFQDGSMLMVNLVKLKQKNQTLKVLPC >RHN39766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10341526:10342010:-1 gene:gene45828 transcript:rna45828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GH3 family protein MKEKVEVYDLEKVVEEFERLTKDAENVQKETLKKILEENASSEYLQSLGLNGRTDLESFKGCVPLVIYKDLETYIDRMVDGDVSPILTGKPITTFSISSGTSQGKRKYLPWSDEFFAISLQVYKTSFAYRNRY >RHN77099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4081789:4082199:-1 gene:gene519 transcript:rna519 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRGFDKGPLYMPTISVLGGCLCFSYSYRQTNFCIWQMKKFGVEDSWTQLFKFSYHDLLIDHDDFRLVPLFLSEDGDSLILQSSLESQTILYNRRDNRAKRTEIIASRTAANNRTSGYVYWDFAKDYVESLVPIF >RHN76039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47203039:47204517:1 gene:gene12312 transcript:rna12312 gene_biotype:protein_coding transcript_biotype:protein_coding MCYRNEEAEGLMGMDDVGEGKYMSADNVVDKLVWTPNLTDRCMVKDTYQILSQSELPRHDFHLDIIWNKGFPLKVTLFAWRTFKNKDTNEG >RHN68017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32188663:32188903:1 gene:gene16293 transcript:rna16293 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPKSIVHVICLFVGYEFGSQMVSLITAIIMHRRFMQLHFYVL >RHN82830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56665160:56672961:-1 gene:gene7021 transcript:rna7021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MGCFSCFDSKEDEKLNPNQHQHQETHHHHHDHNNISRLPSSGPSGGVEKLRSTSNGSSKRELQLQLQLPAALKDGPPGQIAAQTFTFRELAAATKNFRPQSFLGEGGFGRVYKGRLETTGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHSPNLVSLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPADKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDEGYHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTRPHGEQNLVTWARPLFNDRRKFSKLADPRLQGRYPMRGLYQALAVASMCIQEQAAARPLIGDVVTALSYLANQAHDTNNASIGNNKGTGDEKRNRDDKGGRILKNDEGGGSGRRWDLEGSEKDDSPRETARMLNRDLDRERAVAEAKMWGENLREKRRQSVQGSFDASNA >RHN78793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18484225:18488962:-1 gene:gene2446 transcript:rna2446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Digalactosyldiacylglycerol synthase 2 MDQKRHIAIFTTASLPWLTGTAVNPLFRAAYLYKAGIRNVTLVIPWLSLKDQKVVYPNNITFDSPAEQEKYIRQWLEDRVGFASGFSIKFYPGKFSRDKRSILAVGDISEIIPDKDVDIAVLEEPEHLTWFHHGKRWKTKFKLVIGIIHTNYLAYVKREKNGTLQAFLLKYLNNWVVGIYCHKVIRLSAATQDYPGSIVCNVHGVNPKFLEIGKKKREQQQNGEIAFTKGAYFIGKMIWSKGYKELLQLLNDHQKELSALELDLFGSGEDSDEVQEAAKKLEMTVRVHPARDHADGLFHDFKLFINPSTTDVVCTTTAEALAMGKIVVCTDHCSNEFFKQFPNCWTYNNHKEFVELTLKALTEEPGQPTDAQRHDLSWEAATERFLKAVDLDKPSERKLLSRTTSNYLSTSLYLQQTVEDASAFVHHVASGFEISRRIFGAIPHSLQPDEQLRKELGFANTSGT >RHN79348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27876429:27877079:1 gene:gene3126 transcript:rna3126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubulin/FtsZ, GTPase domain-containing protein MYRKSEYTYESDLEYYECIYYNKLKDDYYKFKISNSIYRCTFCYNKDYSLTDLLRHASRMAGNSCKTINDIAKHFVFITYILRYFNVKVNETFSIINNDTTEINNPSVVENNKIIENSSFSEVEDFYAINTALLHLAAKNPIKIGELMTGGLGTGGNPLLGEQAAEESKEAITDALEWNDEPTEITGTPAKICKTSAKLKVSPLCDSDYDPLRFHS >RHN63612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52691804:52693111:-1 gene:gene26336 transcript:rna26336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MANVRGTPRYGVIRPVARPSVVEDIVPNSAWTEDSAAHYLVVDLPEFVKEDVKLQVDSSGRIVVKGERQASEQKRVRFHLSFPEPNDSEIDNIAGKFDGGILYVTLPKRIVQENNKESDTEKAGIHDVKRAEEIDSHTTNADDDSRDHNQHVGHVEREDDHNQHVGRVEHEDDHNQHVRHVEHEDDHNQHVGHEEHEHKQIENAHMRDFSEQVMRKWDQETMLRSALDVLMKNKGIVATAVIAFSLGMYVSSKFQFSEAP >RHN77907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10392686:10405155:-1 gene:gene1412 transcript:rna1412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MSKSPSPEQQRSIYSDSKPMEFIEETGMDDPESTMATVANFLEQLHANMSSTLEKELITARLLSVAKRRKDARALIGSHAQAMPLFINILRNGTPLAKVNVASTLSILCKDEDLRLKVLLGGCIPPLLSVLKYESTDARKAAAEAIYEVSSGGLSVDHVGMKIFVTEGVVPTLWNQLNPQNKEDKVVEGFITGALRNLCGDKDDYWKATLEAGGVDIIVGLLYSDNSVSQSNAASLLARLMLAFSDSIPKVIDSGAVKALLQLVGQENYISVRASAADALEALSSKSTKAKKAIVDADGVPILIGAIVAPSTECMRGDGGQALQEHATRALANIYGGMSSLILYLGELSHSPRLPAPVGDIIGALAYTLMVFVENLDVDEEHFDATQIEDNLVTLLKPRDNKLIQERVLEAMASLYGNVYLSKWLIQADSKKVLVGLITMAAPDVQEYLILSLTSLCCEKIGIWEAIKKREGIQLLISLVGLASEQHQEYSVQLLAILTHQVDDSKWAITAAGGIPPLVQLLETGSQKAKEEAANVLWSLCCHSEDIRACVESAGAVPAFLWLLKSGGPKGQEASAMALTKLVRVADSATINQLLALLLGDSTSSKAHIIRVLGHVLSIASQKDLLQKGSAANNGLRSLVQVLNSSNVENQEYAASVLADLFITRQDMCDSLATDEIVLPCLKLLTSKTQGVATQSARALCALSRPNKSKAANRMSYIVEGDVEPLIKLAKTSSVDAAETAVAALANLLFDPSIAAEALAGDVVSALTRVLAEGSLEGKQNASCALYQLLKHFPVGDVLKGNAQCRFAVLALVDSLRAMDMDGTDAADTLGAIALLIRTKQGVDFTYHPWLALVEIPSNIELLIYCLAEGPPLVQDKAIEILSRLCGDQPAVLGDLLFASSRSIVSLANRIINSSSSEVKVGGASLLICVAKEKKELSVDSLDSSGYLKQLIYSLVDMVKLSCFCSSLDIEVFTTKGFMERNAFQEADVFDIPDPAVVLGGTVALWLLSIIASFHLKSKLTVMEAGGLEALCNKLARHTSNPQAEYEDTEGIWISALFLAILFKDANIVLSPATMNIIPSIALLLRSEEVIDKYFAAQALASLVCNGNRGINLAIANSGAVAGLITIIGHIESDMPNLVTLSEEFSLVQNPDQVVLDHLFEIEDVRLGSTARKSIPLLVDLLRPIPERPNAPPVAVRLLISIADGSDANKSLLAEAGALEALNKYLSLSPQDSTETAISELLRILFFNSDLIKHEASTSSLNQLIAVLRLGSRNARYSAARALHELFDADYIRDSELAKQAIQPLVDMLNTTSGSEQEAALLALIKLTSGDSSKACVFTDVEGNPLESLYKILSSASTLELKTHAAHLCYALFGNSKIRANPVASDCIKPLISLMQSGYETAIEYGVCAFDRLLEDEQQVELAAAYNVVDLLVGLVSGENYQLIEATISVLIKLGKDRTPSKHDMVKAGIIDNCLKLFQSAPSSLCSTIAELFRILTNSSAIARSSDAAEIVEPLFNVLLRRDFNIWGQHSALQALVNILEKPQSLASLKLTPSQVIEPLISFLESPSQDIQQLGTELLSHLLAQEHFQQDITTKNAVVPLVRLAGIGILNLQQTAIKALEKISTSWPKAVADAGGIFELAKVIIQDDPQPPHALWESAALVLSNVLRSNADYYFKVPVVVLVKLLHSTLDSTISLALNALAVFERSYGSSAEEMMEAGAMDALLHLIRSHQCEEASAGLLETLFNNVRVREMKVSKYAIAPLSQYLLDPLTRSQSGKLLAALALGNLSQHERHARASDSVSACRALISILEDQPTEEMTMVAICALQNFVMNSRTNRRAVAEAGGILVIQELLLFPNTEVAGQAALLIKFLFSTHTLLEYVSNELIRSLTAALERELWSTATINEEVLKTLHVIFVNFPKLHTSEAATLCIPHLVGALKSGSEVAQDSVLDTFFLLKQSWSTMPIDIAKSQAMTAAEAIPILQMLMKTCPPSFHERADTLLHCLPGCLTVTIKRGNNLKQTMGSTNAFCQLTIGNGPTKQTKVVNHSTSPEWKEGFTWAFDVPPKGQKLYIVCKSKNTFGKTTLGKVTIQIDKIVTDGVYSGLFSLSHDGNKDGSSRTLEIEIIWSNRISNDDI >RHN44379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4031447:4033728:-1 gene:gene38496 transcript:rna38496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MVILPHFLAIPYPVQGHVNPLMQFSQILANHGCKVTFLHTEFNHERSKTGVSEQDKIQVVTLPDGLEPEDDRSDIKKVLLSIKSTMPPRLPKLIEEVNALNVDNKINCIIVTFSMGWALEVGHNLGIKGALLCPASSTTLACAVCIPKLIEDGIIDSEGNPTKKQEIQISPDIPMMNTTNFPWRGVDKIFFDHFVQEIQTINFGEWWLCNTTCDLEPGVFSISPKFLPIGPLMESNNNKSSLWQEDSTCLDWLDKQAPQSVIYVSFGSLVVMDQNQFNELALGLDLLDKPFLWVVRPSNDNKVNYTYPNDFHGSKGKIVGWAPQSKILNHPAIACFISHCGWNSTIEGVHAGVPFLCWPFLTDQFLNKSYICDVWKTGLELEKDDDGFISRQEIKKKVDQVVGDDDIKEMCLKMKKMTITNIEEGGQSSHNLQKFISWANY >RHN44945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10217834:10219313:1 gene:gene39132 transcript:rna39132 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFGMFVLFESPWALMMPLFLCLPFIWPVELHCRILEATRW >RHN62434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43415963:43418337:1 gene:gene25012 transcript:rna25012 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MAFEHEDFFTQEWKAIPNSGTETEKNCDSCFDCNICLDFAHEPVVTLCGHLYCWSCIYKWLFVQSASLAPDEPPQCPVCKDGISHTKMVPLYGRGQTLSRCDRDSDAKPTLEDISIPPRPPASGIQSLLAMATSPQNGSRQQLPYRNPYQTQHINSSTYQDNDTPQMHNLGTFMTPLFPQFVFGFGNSENSHHMVGNSSSRWRRQEMLANKSLNRISFFLFLCFLLCFILF >RHN81632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47678738:47689690:1 gene:gene5703 transcript:rna5703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol-polyphosphate 5-phosphatase MKQQQQKHTANKQQQNLWATMVMRKWLNIKRKESDDYSTDPDDDDDVDDPETDSDNEEWGSRSRIRDRREDEAPAESDEFLPGLRRQKSLTVRSQYINKKELRVCVGTWNVGGKLPPNDFDIDDWLDINHPADIYVLGLQEIVPLNTSNIFVAVDTRPVPKWENLIREALNRVQSKPSKIKSFSDPPSPSKFKPSDDAPDIEEEILLESDSDIGEEIHPLGEEHNVFDGVTDKQIIDEALNISLKDSNASDIAENDLQNQLSYQRKLNRLNHFREEDSSENNETTSSQQISKLSRMVSGTERIGLSWPEPPLHLLPQKVLERPTSFKPVKSFNKTKSFRACDSFKSKTDAIDLLADIDLEALMKRKTRSSYVKIVSKQMVGIFITVWVRRSLRKHIQNMKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICTHLTAGEKEADEIKRNADVREIHQRTHFYSLSDFGLPKSILDHERIIWFGDLNYRISLPYDKTRDLISKKHWSKLVERDQLAKELEKGVFDGWSEGKLNFPPTYKYEINSDKYIGEDPKVARRTPAWCDRILSHGNGMRLLSYKRSELKFSDHRPVTATYMAEVEVFNPKKLQRALNYTDAEIENEEVTTSFGTWNLAT >RHN47951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43777526:43780499:-1 gene:gene42609 transcript:rna42609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MIDLRKLRLTFTFFNLTNLKPSLNPRFYNTAKKPIQLNSKPKILSKLTIKEAQAALLEYLHSTRSLQFLDADNMCKNSPFFLQNLVHKTLKNENSINTKRLISRHLRYNPINEFEPFFESLGLKPSEYESLLPRDLIFLNDDPLLMANYHTLCNYGVPRSKMGKIFKQAPQVFKFENGVLVSKIKAYEDLGISASILVNAVAVVEMLKNIVAKGGDGDFDSGWIQWHYLDEVSCNWGLMLELLCLLSDTGFSEKQLAEIIHRSPCIVFEESGGKRRLCSILQDNPHEMKNWVLGIRVKPMVGLRDLEEEKSRVGKTEFLLRLGYVENTKEMDSAFKAFRGKGAELQERFDFIVNAGLTRDEVRRMIRVSPQILNQNTDRVKMKIEYLVKKGFSVSDLVNFPSYLSYKSPRVKLRLSMYNWLVDHGAVTPGLALSTIIACTDNLFLQSYVKRHPSGLQVWEELQKEIHSEDS >RHN70115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48879462:48887943:1 gene:gene18665 transcript:rna18665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain, CBS domain-containing protein MASRRSVSSPRRASESAVGRGGPRKSISLARPMGLTGERTVKSLRLSKALTVPETTTVYEACRRMAARRVDALLLTDSNGLLCGILTDKDIATKVVAEEINPEDTPVSKVMTRNPVFVLSETLAVEALQKMVQGKFRHLPVVENGEVLALLDIAKCLHDATARMERAAEKGKAIAAAVEGVEKHWGSSNSDSNSSFVEALREKIFKPSLSTIIHENSKMVTVAPTDSILKTTKKMVELHAGCAVVTVDGKPRGIVTSRDILMRVIAQNLPPASTHVEKVMTPNPECVIIDTPIVDALHTMHDGKFLHLPVVDRDGIVVAVVDVIHVTHAAVATVSQVGNNEASTTLMQRFWDSAMALPPNDDDDETRSESSFKIGSDGGETGRSIPYISASMPNTFSFKVQDKKGRMHRFTCDTRSMTEVISSILQRLGTDIDPNNLPHILYEDEDHDKVVLASDSDLAAAVDHARTVGLKGLKLYLDYEGTRGHRKGSRSGSLENAYSDAWASAYSGVAAGAALVAGLGLLTYLKRV >RHN66421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12199098:12199400:1 gene:gene14397 transcript:rna14397 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps14 MATKSLIEREKKRQKLEQKYHLIRRSLKKEISKAQSLSEKWEIQGKLEALPRNSAPTRLRRRCFSTGRPRANYRDFGLSRHILREMVHEGLLPGATRSSW >RHN59269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8929969:8930394:1 gene:gene21273 transcript:rna21273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Agenet-like domain-containing protein MVEKFKFDPGNKVEVSNDCSGGIYRSWFTATIIRWFSSDKLLVEFDDEDVKPTVVGLHQLRPVPTLEIDDWEVKIGDKVEAFRKHRWWEGRVSEDLGNGSFRVCFTDSGEIVFPKDLLRVHRKWINHNWVPPITNHKVVIN >RHN58056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43411489:43412498:-1 gene:gene33662 transcript:rna33662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation protein SH3 MKFNPRVSSSRRKSRKAHFTAPSSLRRVIMSAPLSTDLRSKYNVRSIPVRKDDEVQVVRGTFKGREGKIVQVYRKKWVIHIERITREKVNGSTVNVGVDPSKVVVTKLRLDKDRKSLLERKAKGRAAADKEKGTKFGAEDIMQNVD >RHN58451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1836043:1836888:-1 gene:gene20367 transcript:rna20367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLDCYGITDADIVASALRERPTLRSLSFSPIFHSQEYGILITNHFIHSLVSLKGLTCLELSYWRISDQLLSSIAKENPPLRRLDLAYFTGYSYIGVVSLLSKCQRIQHLVLKRADFLKDRHVALLTSFLGDLVSINLSECSMLTESALFALNEKCPLLNEIVMACANIAYERVGNCVVNPQIKCLRLANNYVLQDTKIIMFASNFPNLQLLDLSSCINISEEGICQVLRRFSKIRHLNLAQCSIMKLRGMNFEVLKLEVLNLSYTRVDDEALYKICIVVMK >RHN42458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38520642:38531116:-1 gene:gene48902 transcript:rna48902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MATLPIVQYEEKIIETVENNSITVIIGETGSGKSTQLSQMLHRRGYSKIAVTQPRRVAAVSVSRRVAQELGVQLGEEVGYAIRFEDRTSRNTRIKYLTDGVLLRESLADPELNDYSVIILDEAHERSLNTDILMGLMKRLVKIRSSNLKVLITSATLDGDKVSKFFRDCPVLNIPGKLYPVEVLYSKERPVNYLDAALKTALDIHIRQPEGDILIFMTGQDDIEKLVMKMEEKVRALDEGSCMDAIIFPLHGSLPPELQVRVFSPPPPNCRRIIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPSSRMYSLDVVQISKVQANQRAGRAGRTRPGKCYRLYPSQIYHDEFLDVTVPEIQRSSLAGSVLYLKSLDLPDIDILKFDFLDSPSPESLRDALKQLYLIDAIDENGSITSVGRKMAELPLEPSLSRTLMEANNHGCITEALTVAAMLSSETALLPGQSKTEKKRKRPISNLPDGSGLGDHIQLLQIFERWHQTDYDIGWCKDHGLQVRGMSFARDVRKQLSQIMQKIAKEPLGIRTNGKGEEIRRDYRNLRKALCVGYANQLAERKMHHNGYRTLGFQGQVVQVHPSSALSSDEFGKFPDYVVYHELIATPRPFMRNVCSVEMKWVIPIINKLNTLNVYKLSGGGMDHFEEESERNIPNLPKKNVEVAAAADDSQSRIQAARERFLARKVNK >RHN67917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31410913:31412021:1 gene:gene16172 transcript:rna16172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MEGGWRNIIPEIVPMEHGMNDLVELSLGSNSQLRCLIDSKHFESQVTKVFSKLVGLELRNLENLEELFNGPLSFDSLNSLENLSIEDCKHLKSLFKCNLNLFNLKSVSLEGCPMLISPFQIIESTMFQKLEVLTIINCPRIELILPFKSAHDFPSLESTTIASCDKLKYIFGKNVELGSLKQLELGGLPNLIDIFPKCNRSMHYKKFDL >RHN56786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33749482:33751173:-1 gene:gene32237 transcript:rna32237 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-coumarate--CoA ligase MNQLTRNQANSTALTPLTFLERAATVYGNSISIIYNNTSFTWSQTHKRCLQLASSLSSLGIQKGDVVSVLSPNTPAMYELHFSVPMSGAILNNLNFRLDHKTLSVLLIHSESKLIFVDILSLSLTLNALSLFPTNIQQPKLVLIMDETLAPHQIPPLPKNVNIINTYEGLVAKGDPYFKWIRPDSEWDPITLNYTSGTTSSPKGVVHCHRATFIVSLDSLIDWSVPVQPVFLWTLPMFHSNGWSYPWAMAAVGGINICTRRTDAPTIYTLIESHGVTHMCAAPVVLNMLSNFNKTEPLKKPVHVLTGGSSPPTAILTRAERLGFEVSHGFGMTEVIGVIVSCAWKREWDRFPATEKARMKARQGVRKVGVAEVDVVGPTGESVKNDGVTVGEIVVKGACVMLGYFKDEIATSQCIKKNGWFYTGDVAVMHEDGYLEIKDRSKDLIISGGENMSSVEVEGVLYMHSAVKEAAVVARPDDFWGETPCGFVSLKDELKKNDIPTDNEIKEFCKEKLPHFMMPKTIVFMKELPKTSTGKVQKHVLRKVAKKMGSLSLPPPPRLISRI >RHN52071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31308792:31309127:1 gene:gene36689 transcript:rna36689 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAHSMSNHSYRDSIAVFRSLIRDSSYFPNRYSFVFAFGACGNGLCVREGEHVFTHAVKVGLDGNVFVVNALIGMFGKWGHVDCNTPFFQYENFLNIYQSKQHKQIGYHI >RHN44567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6265234:6265854:1 gene:gene38702 transcript:rna38702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosylmethionine decarboxylase MTVTNSAIGFEGYEKRLEITFFENGVFSDPAGQGLRALSKDQIDEILKPAECTIVDSLSNDDVDSYVLSESSLFIYAYKLIIKTCGTTKLLLSIPAILKLADCVNIAVKSVRYTRGSFIFPGAQSFPHRSFSEEVAVLDSYFGKLGSGSQAYMMGDADKSQIWHIYSASAKLEASPEAVYGLEMCMTGLR >RHN55071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14920065:14923809:1 gene:gene30199 transcript:rna30199 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGPDSGNMISGLIARADDEISRVIVRIADSIAHRFRKSNADPNRDIPHSLAPASADAEIPPSVNLTDEEIIDRFVILAYEPMVRSTVIFGGCYVAFEWINKGYLLDLISMIQEICEALLATIAAQLEQKNPSDRCRSLSRYNYSRTSHFRQGLKSIKKTYIQQTAVTGFRQEVEQHQEGTSRAVVPSSRSTGKEKQIKRCEVCSRVDNGK >RHN45657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24172612:24173448:1 gene:gene40038 transcript:rna40038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MIYFNMDNFTIDTDLKADEVRKEVNVWAEKETKGLIKNLLQPGSVHSLTSLIFANALYFKGVWKQPFDTSKTKDYDFDLLNGKSVKVPFMTSKNDQFISSFDGFKVLGLPYKQGNYGRAFSIYFFLPDAKDGLSALIDNVTSDYEFLEHNLPRRKVEVGKFRIPRFNISFEIEAPELLKKLGLTLPFSMGGLTKMVDSPISQEIFVSDILQKSCIEVNEEGTKAAAVTVSMLCGCSRYSPPPPPPIDFVADHPFLFLIREEFSGTILFVGKVVNPLDG >RHN66772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18518660:18532370:1 gene:gene14851 transcript:rna14851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MSTLEEIHLARNSLSGEIPKGIGDLTHLTMLNLQFNLLFGNIKSTLMFNSSSLQYLALGFNNLTGILPSNICQGFPNLKLLYLYHNDFSGKIPNIWRYCKELEDLELSFNNFDKGRIPSEIGNLTKLRYLYLPSNNLEGEIPFSLFNITSLRDINFDKNNLNGNLPPEMCQQLPQLQIFTIMFNHFGGSIPRSIGNCTSLLMLGLQQNFFTGLIPMEIGNLNQIQVLQMGNNSLSGHVPSKLFNISTLEHLHLELNSLSGMLPPNMGLGLPNLQELHMYKNKFVGKIPNSISNASNLFIIDLSWNKFSGIIPNTFGNLRFLKSLIIGGNPNLTLTDDSLEFNFLTSLTSCTYLTHLEVSENSLPSNLPKSIGNLSVENFWANSCGISGNIPLEIGNMSNLIRLSLRNNDLNGLIPTTIKGLHKLQSLKLDHNGLQGSIINEVCELRSLGELSLTSNKLFGVLPTCLGNMSSLRKLYIGSNRLTSEIPSSFWNLKDILEVYLSSNDLTGNLPLEIKNLRAIVILDLSRNQFSSNIPTTISFLKTLEILSLESNKLIGTIPTSIGEMLSLNFLDLSQNFITGVIPESLVSLSYLKYMNLSYNRLQGEIPDGGPFNKFTAQSFMHNEALCGSARLEVPPCDKQSRKKSMKKMLLIKILLPIIVIAILVVLCIILLMHKKKKVENPLEMGLSTDLGVPRRISYYELVQATNGFSESNLLGKGGFGSVYQGMLSTGKMVAIKVLDLNMEATSRSFDAECNAMRILRHRNLVEVITSCSNKDFKSLVMEFMSNGSVEKWLYSDNYCLDFLQRLNIMIDVASALEYLHHGSSIPVVHCDLKPSNVLLDENMVAHVSDFGISKLLDEGHSKIHTETLATLGYVAPEYGSKGVISIKGDVYSYGVMLMEIFTGKKPTNEMFVQELTLKTWISESMPNSVMEVVDYNLVSQQGNETHEIVSHVSSVLDLALRCCADSPEARISMADVTASLIKIKILFI >RHN55523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19187096:19187782:-1 gene:gene30719 transcript:rna30719 gene_biotype:protein_coding transcript_biotype:protein_coding MVKILALLLLLLQLTFFTTFAEELETALYKPYGFKPSIPTKPAPIKPIVPVKPDPVKSPVIVKPPVPVTPPTPIKPSLPLTPPAPISPPTPVTPPSPVTPPTPVTPTPITPPSPVTPAPVTPLAPVTPTPVKPAPVKPSPFTRNPVSFQIPVMPVPVTPIIVTPPSPVTPSTIEPPVPVTSAPVEPPFPVTPSPVESPLPATFAPAPSYLPLSLHPTQFSRRLMAFQS >RHN64000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55829927:55831019:-1 gene:gene26772 transcript:rna26772 gene_biotype:protein_coding transcript_biotype:protein_coding MILSFFVAGPNDVRIKMKASRICGSDVHHLKMNMVPVRGVDIVYPALVIVNITLHP >RHN73263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14901291:14906088:-1 gene:gene9060 transcript:rna9060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MASSGVEIATSSSFGCVLRDRNHRDGCRESSKVKATHAVFQRNIKNFVMDHLNTRVTMSSDSATNENNNESQMKNNNNNNVSSWASKGSTNLARLHLKRNNHNGIINNNKDNENEPSLASLISPRHSRLLDRWAARQAREMVSNLENEAELLSIDDNTNNNNDMPAVVRTSSSTSDECSSEKLNVGASSLVQLWEKRLNNSNGSKPNTPMEKTSPTGVTSATCNNENVFVVNTPIEKTSPTGGASATCNNVNVFVVEEQRGSEIGEGFEGPLSSGNEESFSFSSFTDWDSDKTGDQSRLCSVDQSRKNSSESDRVSVADIIKKLTATSQTQSSPPSSGDENDHEGCGGGSVASSPRKDFAPELSEQRAFPQVTCSTRIRGRRAFNDLLMQLERDRHGELKNLAERGTVSKFAQKGRIQALLRLKLLRGAAANVPSHQKSTSSEVYRRVQPHGSAIMQLRRNFSTRVEEKNTVQAEVANTRSPPKEIVNNITQLENSTTADQISKDTSGQIVHGTVSRAIELTKSETQTSEEDHPSSTVMSQETCFEAQHDYSEEEEINQQNDETSCDCAVEEEASNQNYAESSYEEMVEENYDENNYDWISEISRPRSYWEEQRQAWYREILDTGSPNEDIQVLLQRRTVSTFLSSGFRETMDRLMQSHRGTQTHLVSSQDDEIDNEGLMAFFQEHLYPGRSPQENGTERTVDEEEERVNEEEEEKQDEKEHEEEQGGESLISSSLVSSLCHEVGDYSNQSSSWSYRDNEAGDDFDRVASTSSQPYQSQSSYHDNRPNSASTNHHSIEMDLIYDMRGHMEQLYQEMSELRKSIKSCMDMQMQMQLQKSNNQRVQTAEKEEKKSHNKTGKKGNCCICNEMKVDSVLYRCGHMCACLKCANDLRWNNGKCPICRANIDDVVRVYLDV >RHN50992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12724577:12725701:-1 gene:gene35352 transcript:rna35352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (+)-abscisic acid 8'-hydroxylase MIMSLEPTGEDQEKFRSNFKIISSSFASLPFKLPGTAFHRGIKARDRMYDMLDSVISRRRNGQDFQQDFLESLIMKHSRKSDGQEEDENKLTDKQLKDNVLTLLVAGHDTTTAALTWLIKFLEENQNVLEQLREEHNEIIANRKSGTELTWSEVNNMPYTAKVISETLRRATILPWYSRKAAQDFEIDGKPKKNIANFIYN >RHN59597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12357024:12362882:-1 gene:gene21648 transcript:rna21648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADPH:quinone reductase MAAKLMQALQYSSYGGGVSGLKHAEVPVPIPKTNEVLLKLEATSINPIDWKIQSGALRAIFLPRKFPHIPCTDVAGEVVEVGPQVKDFKSGDKVIAKLTHQYGGGLAEFAVASESLTATRPPEVSAAEAAGLPIAGLTARDALTEIGGIKLDGTGEQKNVLVTAASGGVGSFAVQLAKLGNNHVTATCGARNIDFVKSLGADEVLDYKTPEGTSLKSPSGKKYDAVIHCTTGIPWSTFDPNLSEKGVVVDLTPGPSSMLTFALKKLTFSKKRLVPFVVTVKREGLEHLAQLVKDGKLKTIIDSKFPLSKAEDAWAKSIDGHATGKIIIEP >RHN66515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13279939:13281108:-1 gene:gene14518 transcript:rna14518 gene_biotype:protein_coding transcript_biotype:protein_coding MRCYKTQQNPFSCDLKIKMGLLDLEKHFAFYGSYHSNPKNIIIHILFVWPILFTALVHLYFTPPLFHCYVLNFINPILIFNLGFVFAVVYGLFYAALDIKAGSFAAVLTFLCWVGASFVAKSIGYELAWKVVLATQLFCWAGQIFGHYVFEKRAPALLDNIAQAFLMAPFFVVLEILQSSIGYEPYPGFQRKVQARIDANIKEWKNKQQKKIS >RHN66382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11638370:11644136:-1 gene:gene14352 transcript:rna14352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine N(alpha)-acetyltransferase NatB transcription regulator GNAT family MVCIRKATVNDLLAMQACNLFCLPENYQMKYYLYHILSWPQLLYVAEDYNGRIVGYVLAKMEEETNECHGHITSLAVLRTHRKLGIATKLMTAAQNAMEQVFGAEYVSLHVRKSNRAAFNLYTETLGYKIHDVEAKYYADGEDAYDMRKQLKGKTIHPPQHHHHHHHHGGGCCSGETKGNAKA >RHN42150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35858767:35860592:1 gene:gene48554 transcript:rna48554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MAFHHVTNSVYWYFILPVVMVTFFTTNVSSAKKSEIEGMEMNVIDQCWRFNPEWRKHRQQLANCSVGYAGKMTNNIGKDLIHYKVTDHSDHPLNPRPGTLRYGASKIQGKVWITFQRDMDIKLVKPLLISSFTTIDGRGVNVHIADNACLMIYKATNIIIHGIRIHHCQPQAPGMVMGADGKIISLGQVDGDAIRLVSASKIWIDHSTLFDCQDGLLDVTRGSTDITISNNWFREQNKVMLLGHDDGFVRDKNMKVTVIYNYFGPNCHQRMPRIRHGYAHVVNNLYMGWVQYAIGGSMEPSLKSQSNLFIAPATGKKEVTWRKSSNEIGDTWEFYSVGDAFENGASFVETKGGQVIKPNYNPEQNFKVVDAKFVRSLTRSSGVLQCSKTSIC >RHN77883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10197985:10200736:-1 gene:gene1385 transcript:rna1385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MMITTTSCGGSENFVKEVKMMMTVREWKDIPVELLMQILSLLDDQMVITASHVCHGWRDSISFALTRLSLSWCRKNMNNLVLSLAPKFTKLQTLILRQDTPQLEDNAVEAVAQFCRDLQILDLSKSFKLTDRSLYAIALGCSDLTKLNISGCSSFSDKALSYLASLCRKLKILNLCGCVKAVSDTALQAIGHHCNQLQSLNLGWCEQVSDVGVMSLAYGCPDLRTLDLCGCVLITDDSVIALADRCPHLKSLGLYYCKNITDRAMYSLAQSKVNNKMWGSVNEEDGLKTLNISQCTSLTPSAVQAVCDSSPALHTCSGRHSLIISGCLNLTSVHCACCVRPHRAINAFPHSAH >RHN40987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25412020:25412988:1 gene:gene47250 transcript:rna47250 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGLMILVPLGCCKIVFGSELVYTLSCRCTNLLVTVFGVMFPFCMFPFCMYVSK >RHN41330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29199622:29203940:-1 gene:gene47640 transcript:rna47640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative six-bladed beta-propeller, TolB MSKQILLLAFILHLLFGTFSVLVSVSAAPSTTSPAKLVSGFLSNAVPAFSKWVWSLKATTKTGVLSKSMMKFESGYNVETVFDGSKLGIEPYAVEVLHNGELLILDSANSNLYRISSSLSLYSRPKLVAGSAEGYSGHVDGRLREARMNHPKGITVDDRGNIYVADTANMAIRKISDSGVTTIAGGKWSRGGGHVDGPSEEAKFSDDFDVVYVGSSCSLLVVDRGNQAIREIQLHFDDCAYRYGSDFPLGIAMLVGAGFFGYMLALLQRRLGTIVESQDAQVPLTVMPSVSRSTYQKPLKSVRPPLIPSEYEPEKQEESFFGSLGKLLANAGSSMVEIMGGLFPVFRRRPQSYHQFQRQTLIQQSQKQVNDWPAQESFVIPREDEPPSIDTRAPTPRKTYPFMSKDAEKIQQLRQSKAFYSGWDGDQHQQQQPQPQPQPQQQQQQQQQQQQKHHYRHQYQSSVPHTFYEQTNETTNEVVFGAVQEQDGKKESVVITPVEYGGSLYEHRDFRSRMSYMGYKY >RHN38923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2820184:2825858:-1 gene:gene44900 transcript:rna44900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase-like ATPase domain-containing protein MLSCALFQLPSMNAEDNLYNDGVSPSLEFIITSKDITATGAPATLLIFNNEKGFSRENIESLCSVGRSTKKENRSSGYIGEKGIGFKSVFLVTAQPYIFSNGYQIRFNERPCPHCSLGYIVPEWVEEKPTLVDIQKIYGAGKDSLPNTIIVLPLKPDRVRFVNQQLSSIHPEVLLFLSKIRRLSVRENSEDPKENTLTAVSISSEINFETSRNMDAESYTIHLSAGENNNDEQECSYYIWKQKFPVKLENVVERRMGVEECVVTLAFPHQERLHKDKSLPGVFAFLPTEMVTNFPFIIQADFVLASSRETILLDDKWNKGILEYVPSAFIDAFKTLVTGSDEAPMCSLPYVFKFIPINSSPVETFNHVREKIEANLADEKIVPIETFSNQKHFCKPHEVSRLLPKFWNILTKAQQEGVYFVDLFSHGQIKILSSSFDISEYDDVLNFLGLKPVIIDWYANCIQSSNLVDEVSEDIYLELLHFIAKNPPLFKSSYIARIPLIKYVASDGFPCLFSIDECRQQGATKRVVLADSGQTYLCSWMINWNNVFACKTNQCFMPESTQQAIHQFLNKQSLLFWLTKYVNVCTLNVDSFAKVLCKSINNRSKLAVAYAHFLHHSLLKGYLTSREVCDLCKSMPLVDNYGLIIKERDGVLLPANVSKWAQLTNSDPWIDENYVELGKVYLKASSYAGQCTDSEMFIAFLKSHVKASDIPFIHPPNAGLSAADTTLTKENAFLLLDWIQNMEYDLPERFSESIKKGSWLKVTHNRYMPPSNSFLIHSSLRIFLQSGSDLVDIPLIDESFYGERINAYKEELKRVGVRFECVEVCYFIGRELMSRAASFSLTRNHVLWMLNFIQYLQKSRLFLCLDLFVDFLKQGSWLKTSHGFRSPVGSVLNDSGWQVASQISDIPFIGRVYCGEEIYNYKEELKLLGVIVDLSGNYQVVTQHLKSPSNLASLTAEAVLLIMECIKYSNVPIEVLNSIKRTRCFKTNMGFKAPCECFLYNQVWGCILEVFNGIPIIDHKFYGEKIFSYKDELKQIGVLVDFKDAANIFASLFKQKASETSINPTHVISFLSCYRKLKGTRYCFPSGFPTIIRNMSWLHSSVGDLRRPRECILYGPEWGSISSITCLPFIDDSTRIHKYKQELMHIGVVTELKDGVTFVPESLNFPSNPSTITPESVCSLMECIRLLMQDKKFFLEDDFKKRLSKKWLKTHAGYRPPEKSLLFNSKWSSFFNPTNGPFIDESFYGPEIVLYHKELAAIGVISEVEKGCSLLANHLESLSDHDSIVKIYKYLCEHNWKPEETAAKKVWILDAIKSGKWVDSEECIIHDPPKLFGSKYYVLEDLYDRDILVFFNALEVKKKPSLDDYVDLWNDWGSSVKQLSYDECCRFWTSISKHLRTNEEKKLAASLMKLPTTSGNNEIFLVDKEDVFIPDSLHMKKLFEKEKVFVWYPQYNMTPLSKSKLSRIYRKIGARNISESLCKEESSSVNDGGKLKHVDPNNIFNLKGLVKLILGFLACPNLKMKPKKRHEAVQSLVNSSFHETTEPINVSYSLSLSSGEIITKKANKRVRWESQSCKFIIQKIEEVPGDSLNYATNFSEAISEGILPENHDYVPALAELITLGYVMKFKNEEIDFLMESKNLQIEHADEEFLSSVFPSG >RHN66013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7606605:7606956:1 gene:gene13922 transcript:rna13922 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGAPPPRRTVGVFFARWWSYFCSSVVGGGVSALRLLDVVFGSTWSRFGFVNPKGSGFINPKGLFGYNALNSSHDLGSSAS >RHN43892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49282841:49290304:1 gene:gene50527 transcript:rna50527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MIAVTRKFQSCSAQSSRLLQTMRANYNLRGKHLHNFCFPVQKARDTYIVKCTNSISTHMRNGHCHLALRVFDSMPYKNLFSWNLMLTGYVKNRRLVDARNLFDLMPQKDAVSWNVMLSGYVRSGCVDEAKLVFDNMPYKDSISWNGLLAVYVQNGRLEEARRLFESKVDWELISWNCLMGGYVKRKMLGDARRLFDHMPVRNAISWNTMISGYARDGDLLQARRLFEESPVRDVFTWTAMVFAYVQSGMLDEARRVFDEMPGKREMAYNVMIAGYVQYKKMDMARELFEAMPCRNVGSWNTIISGYGQNGDIAQARELFDMMTQRDCVSWAAIIAGYAQTGHYEKVMHMLVKMKRDGKSLNRSTFCCALSTCAGMAALVLGKQVHGQAVKTGYDNGCLVGNALLEMYCKCGSIGEAYDVFERMQLKDIISWNTMLAGYARHGFGRQALLVFDSMKTAGFKPDEITMVGVLLACSHTGLTDRGTEYFYSMSKDYGITPNSKHYNCMIDLLGRAGLLEEAHNLMRNMPFEPDAATWGALLGASRIHGNAELGEKAAEMVFNMEPNNAGMYVLLSNLYATLGKWVDVGKLRLKMRQLGIQKIPGYSWVEVQNKIHKFTVGDCFHPEKDRIYAYLEEIDLKMKHEGHVSLVKLVLHDVEEEEKKRMLKYHSEKLAVAFGILTIPAGRPIRVMKNLRVCEDCHNVIKYISKIVGRLIILRDSHRFHYVSEGICSCGDYW >RHN54123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7102921:7103289:1 gene:gene29113 transcript:rna29113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative powdery mildew resistance protein, RPW8 MADLLSGGAVGGVMSEILKQALQTIKNGREFGPTLETSIETLEALAPLVAEMNGFNDLLGRPREEIERLLDEELSFFPT >RHN46945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35921745:35928686:-1 gene:gene41485 transcript:rna41485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiolester hydrolase MAREEVFEFLGCVPLLQRLPRSSLRNISQLVIVKNYEPGEYVVREGEPGEGLYFIWEGEAEVIGSGLADDEKDLEFQLKRYDYFGFGLSNAVHHADVVALTKLSCLVLPLEHSALLQPKSIWSAEKSIDTCSPVEHILHLEPIEVDIFQGITPPDAPKFGKVFGGQLVGQALAAASKSVDCQKVVHSLHVYFLLAGDFNIPILYKVKRLRDGKSFATRKVDAIQKGNIIFTLLASFQKEELGFQHQEVSMPSVPTPDELVSMEELREQRLTDPRLPRTYRAKVAAAEFIPWPIEIRFCEPRPATNQTKSPPSLRYWFRAKGKLSDDPALHRCVAAFASDLIFLQISVNPHRRVGLKTRAVSLDHSMWFHRPYRADDWILFVIFSPTAFNARGYVTGRMFNQKGELLVSLVQEGLARKEIPKRSATNSKL >RHN38429.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000018.1:18041:20700:-1 gene:gene50748 transcript:rna50748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prolycopene isomerase MLCHAMNAMRGVSVGVFPCNVMRVRKYCSRIDDDHHHSHSNGRIRKGGVVVRNSSNKVDETETDVIVIGSGIGGLSCAALLARYEQDVVVFESHDHAGGAAHSFDVKGYKFDSGPSLFSGLQSRGPQANPLAQVLDALGESVPCATYDSWMVHVPEADFLSRIGPTEFLKDLHNYAGPEAVQEWQKLLDAVLPLSTAAMALPPLSVRGDFGVLYTAAARYAPSLFNTFFQMGPQAALRSTQLLSPFSQILDSLQLKNPFIRNWIDLLSFLLAGVKSDSILSAEMVYMFAEWYKPGGCLEYPLDGAAGIVDALIRGLEKFGGRISLQSHVEKIVVENDRAIGVKLRSGQFIRAKKAVVSNASMWDTLKLLPQEVVPKSYSNRINTTSQCESFMHLHLGFDAEGIRSDLGIHHIVVNDWERGVDADQNVVLISVPSVLTPNLAPIGKHVLHAYLPGTEPFELWEGLDRKSAEYRNLKAQRSEIMWRAVERAVGPGFSREKCEVKLVGSPLTHQRFLRRNRGTYGPAIQAGTDTFPGHSTPIPHLYCCGDSTFPGIGVPAVAASGAIVANSLVSVSQHSQLLDAIGI >RHN41198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28081787:28083291:1 gene:gene47491 transcript:rna47491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP deaminase MPTPAQWTNEFNPAYSYYLYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDSDHLAAAFLLCHNISHGINLRKTPVLQYLYYLAQVGLAMSPLSNNSLFLDYHRNPLPMFFQRGLNVSLSTDDPLQIHLTKEPLLEEYSVAAKVWKLSACDLCEIARNSVYQSGFSHQDKLHWLGDKYFLRGSEGNDIHKTNVPSLRISFRYEVGSLIQTFYFNWAFLFSIE >RHN44266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3030404:3030912:1 gene:gene38364 transcript:rna38364 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIYRVPSDMPLYEILNQFQKGSSHMAAVIKTKGKGKETLEIIDEEKFDAKKSVGGDSQITTPLLEKMYAKSKNVVIDIDNPSNLPSIDEQTGSQLNAPSENVEHAEVIGIITLEDVLEELLQVEIVDETDEFVDVHKR >RHN38563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:264540:268193:1 gene:gene44516 transcript:rna44516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived nuclease domain-containing protein MDNWNEQSDHTRDEDEEDDDIFQKAIFVAALVGEYAVNHLCKEPCRTSELTGHSWVQEILQGNPTRCYEMFRMEKHVFNLLCTELVELGLKSSNRMTVEETVAMFLVAVSHGVGNRMIQERFQHSGETVSRHFHQVLHVVLKLSMKYIKPEDPMFRDCHSKIKNDPRYWPFFKNAIGAIDGTHVSCVVSGSEQTKFIGRKGYATQNIMDVCDWNMCFTFVLAGWEGTAHDARVFDQALTNANLNFPHPPPVLFGRFWLSNTNRVHWSI >RHN76694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:480355:484552:-1 gene:gene59 transcript:rna59 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rab-GTPase-TBC domain-containing protein MNTSSSHFNTDTTAILSVAAGAKTGRKGRFSLMAATPPNSTPAVVFTALAGVAIVAVIFYSRKRKHPLSPQQWKSLFTEDGRLRDGGTKFLKRVRNGGVHPRIRAEVWPFLLGVYDFNSTKDERDAVKTQNRKQYEELRRQCTKLIKQSNENSKLNEIGEISYEGGDGSLAQDSRSSSSSSSSDDAASARETLSSQDKTPEAEYSLEGDDYVNVNNADASMPDADSSEAPEVIQTVHLDDVQEDDKPMKTTEDSAKGPEVIQTAPYGDVQEDNNSLRTTKDSVEGPEVIQTAPPGDDQKDNNPVKTTKEVSSPLQEKVPPKLPTNEDFSTWQRIIRLDALRANAEWMAHYPSQASVSDSIARQTAEAVGLEDYDHLEAGRIYHAARLVAILEAYALYDSEIGYCQGMSDLLSPIVSIISEDHEAFWCFVGFMKKARQNFRLDEVGIRRQLELVAKIIKYKDGHLFKHLEKLQAEDCFFVYRMVVVLFRRELTFEQTICLWEVMWADQAAIRAGIGHSAWNKVRKRAPPTDDLLLYAIAASVLQRRKLIIEKYSSMDEIIRECNSMSGHLDVLKLLDDAHNLVVTLHDKIET >RHN69350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42831290:42832495:-1 gene:gene17805 transcript:rna17805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MKLCTVSIYFSSNADNGYEYGYLDSHSLHASHVDSLDYQGKATTEAFILLDKSDNQDTYILTFRGTELFDGEQWAGDFDISWLELPGLGKTHAGFMEALGLQRSNMGWPKQIETNHSHTLEAYYFIRDLLKTHLKRNDKAKFLLTGHSLGGALAILFPAILMLHEESFLLERLQGNLKDNGIKFYRIVYSYDIIPRFPPDLKDTVFKHFGTCLYFDRNYNGKKVQEEPNKNYFSLSTIIPMSVNAFWELIRSFTMVYRYGSEYQEGWVLRAFRLVGLIFPGVPNHLPQDYVNLTRLGSVFSKID >RHN49105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52482620:52484361:1 gene:gene43898 transcript:rna43898 gene_biotype:protein_coding transcript_biotype:protein_coding MASDETMITIQDEDFEEEDDYINDGFDHHHNQNHHNLSRLSVCTNSTICDDVDNLASICMSHLSIESFEADGGDEADGEFSADGKDDQLLQPGLSSGSENESGSCYSLPATPPRRRSLVPMSVVGVKDYASENEARKETKESGLGGRRRRRRRRMRSGVFERGNSWENLWDQKKKMMKEKEKLENGVNSGESDQSTGVMVITRPKGGNRSLCMDLEEVKACRDLGFELEHERISAVSFSNSTLDTSSGGNSPIANWRISGPGDDPRDVKARLKVWAQAVAIASATKYGS >RHN51265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15539002:15539250:-1 gene:gene35675 transcript:rna35675 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYTYTEATLMSQNYEGGYRLGTDGNQIKKQISHSLSLEKQQCRKGSFSPMMHSQGNNDDESEMDPYGCLFDDDKIRLKSY >RHN43004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42512106:42514579:1 gene:gene49522 transcript:rna49522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 60S ribosomal protein L6E MAPTKERAPRVSRNPDLIRGIGKFSKSQMYHKKGIWAIKAKNGGVFPRHDPKPKPETAAEKPPKFYPADDVKKPLRNKHKPKQTKLRASITPGTVLILLAGRFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQAYVIGTSTKVDISGVNVDNLDDKYFSKEAPKRRAREKANSLSQTRRRKRRYLSRRKMTRKQWTLH >RHN64272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57813698:57815975:1 gene:gene27074 transcript:rna27074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan 6-xylosyltransferase MLERCLGSRRLRQMQRAFRRGTLTFLCLFLTVIVLRGTIGAGKFGTPEQDLNEIRQQLYSRGRRVEPHRVLEEVQSSENNNDNNNYATFDITKILKDEEAGDDEKRDPNTPYTLGPKISDWDEQRSSWLSNNPDYPNFINPNKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEVEFLWWMDSDAMFTDMAFEVPWERYKDHNFVMHGWNEMIYDEKNWIGLNTGSFLLRNCQWSLDILDAWAPMGPKGKIRDEAGKILTRELKNRPVFEADDQSAMVYLLATGREQWGGKVYLENHYYLHGYWGILVDRYEEMIENYHPGFGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAYNFGDNQILQMYGFTHKSLASRRVKRVRNESSNPLDVKDELGLLHPAFKAIKLPTSS >RHN61967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39651554:39655665:1 gene:gene24484 transcript:rna24484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MDILFFMIMSMVISYIIVPSILASNSISASESLTDGKTLVSKGGQFELVFFSPGNSTRRYLGIWYKQIPIQKVVWVANRVNPINNTLGILTLTTSGNLMLRQNDSLVWSTTSAKQAKKPMAELLDSGNLVIRNQEETDPEGGYLWQSFDYPCDTILPGMKLGWDLRNDLERRITSWKSPDDPSPGDLSWGLVLHNYPEFYLMNGAVKYCRMGPWNGLQFSGLSDRKQSSVYDLKYVANNDLNYVSNKDEMFYSFTLKNSSALVTITITQSSFAISVWKDTKWWQNEVTPASFCELYGACGPYASCTLAYAPACQCLRGFIPKSPQRWAIFDWSQGCVRNISLSCNTPHVDVDDEFIKYMGLKVPDTTHTLLYENIDDLGLCRTMCLNNCSCTAFTNSDISGKGSGCVMWFGDLIDIRQFDSGGQNLYIRLAREIIETSNGRNKTTTSNGRNKTTTSNGRNKTTIAATTAAVISGMLLFCIYVIYRVRRRISDKSKAEDNIEKHLEDMDLPLFNLQTISSATNNFSLNNKIGQGGFGSVYKGKLADGQEIAVKRLSSNSGQGITEFLTEVKLIAKLQHRNLVKLLGCCVGGQEKLLVYEYMVNGSLDSFIFDKINGKLLEWPQRFHIIFGIARGLVYLHQDSRLRIIHRDLKASNVLLDDKLNPKISDFGMARSFGGDQIEGNTNRVVGTYGYMAPEYAVDGQFSIKSDVFSFGVLLLEIICGNKNRALCHGNETLNLVGYAWALWREGKALELIESRIKESCVVSEALQCIHVSLLCVQQYPEDRPTMTSVVQMLGSEMELVEPKEPGFFPRKVSDEPNQNEISSNEELTITSLNGR >RHN82101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51263492:51267360:1 gene:gene6225 transcript:rna6225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MASFHLLFLLATFFSLFVHCSPSPIIQAAIDNGTHAFYNCTRNNTSNTNNSYRSNIKTLLDWLSSNSSNNARYYNATVASDNTLDTVYGLFLCVRDIDPKICRLCVIEAAKLISSLCTTAKEALVWYSACYVHYSDRRFFTTVEKSPEINFMNEKDYVGDVGRFNNILWDMLNDLRRETGNASAKFAVKSVNLTENQKVYGGAWCLPCLSAENCSWCLSDAIAEVPTSCCRGKSGGTILYPSCGVRFELYPFHKADDNNSWVLPPPTNPRLSARPGKGKQKTLTIFEIGVPIVVSLMLLVFGCCCFLYRKVPKNQHDILKESFGNDVTTLESLQFEFAKIEAATNRFAAENRIGKGGFGEVYKGVLLDGQEVAVKRLTRSSGQGAVEFKNEVQVIAKLQHRNLVRLLGFCLEDEEKILIYEYVPNKSLDYFLFDPHKRKLLPWSQRQKIIKGIARGILYLHEDSRLKIIHRDLKPSNVLLDSNMNPKISDFGMARIVSIDQIEESTCTIVGTYGYISPEYAMHGYFSVKSDVYSFGIMVLEIISGKRKGCSAESECVDDIRRYAWTKWAEQTPLELMDPSMEGTYSHEEVIKYIHIGLLCVQENPDDRPTMATIAFYLNSPSINLPSPLEPPYFQRRGNEENATSNKELDNIGNSTNGITVSQFFPR >RHN73373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15769189:15770450:1 gene:gene9175 transcript:rna9175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MPKIFREYIGVKPYSKSLRDFPINIINSNISEFHFILGFASEEYDDKKRGTGVFKTTWNVEFFGPEDVKRLKENNKNVKVVISFGGCDEKTPFNPAEDNIWTEKAVASLKVIILRFKDQSGRSIIDGIDINYEHILTSVDKDRCRFAECLGQVITDLKKDRDLNINVVSIAPSEQNDSHYRKLYWENKDNINLVDYKLYNQTKIVQTSEEFVKLYSKIANDYSPEKFLPGISTDPGDTEPADKIIKMPREIFIAGCKHLMQYSTLPGIFLWNAHDSVVPPSGETKPFLLEDILQSLLLVT >RHN77324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6006120:6006743:1 gene:gene768 transcript:rna768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MPVFIPSDKPKRVSMDQINFERNIIEKLEKADINNDGRYTKKELKKALKDLGSYYPGWRANRCLMKADANKDGLISGEEIDTLVDYLLTRGFGKN >RHN78618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16815490:16816464:1 gene:gene2245 transcript:rna2245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MSSFTSSTNICLEFESIFTTDPRKRRYNVFLSFYAQDKGYFLSNLEEALSLEAGIDVFGVIKRFQHGERAESVLNVIQDCKVAIVPGLCKCIDIQGNINSKH >RHN52917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40329456:40330406:-1 gene:gene37654 transcript:rna37654 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMLKNTRTTTAPTAIPMTVPVDILDEDGGEGGGGVLEDGGDGLSSELGGVREGGDGVVPPPDGGDIAGVVGGEGGEFEGVDGGGADGVVDGGGDEGVAGVEGGGADGVTGGGFAGVDGGGDEGVAGGGDEGVAGGGDEGVAGGGAVGVAGGGAVALPAGGEDGAGAREREILKSLRCDSYEDVFVVCYTVFFCCVVLCFVCEV >RHN47559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40609829:40611963:1 gene:gene42173 transcript:rna42173 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVKEEKKTPQDIVEKDDNGDTSYINGQYNMPNCIETLRDLKARRLLDGKEFSFALELIKDGKNRVIVDSLKYCMDDLATWILFNFKKDLKNFF >RHN76454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50382195:50386882:-1 gene:gene12781 transcript:rna12781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ADP/ATP carrier protein MEAVLHSKGFLSLPTNPKTRFLNPSHGLKHRFFSPNSKILGGFSLTNNGLVNLNSSTLKATIFDKKDKNLFICKAEADSAGVGEAVFDESETEKPKFLGVEVITLKKIIPLGMMFFCILFNYTILRDTKDVLVVTARGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSKQALFYSVIVPFIAFFGAFGFVLYPLSNYIHPQAFADNLLNILGPRFLGPLAIMRIWSFCLFYVMAELWGSVVISVLFWGLANQITTVDEAKRFYPLFGLGANVALVFSGRTVKYFSSLRETLGPGVDGWAISLKAMMSIVVGMGLVICFLYWWVNNYVPLPARSQKKKEKPKMGTMESLKFLMSSRYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLVSQFIFNKYGWGVAATITPTVLLMTGVGFFSLILFGDPLAPVIGKLGLTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGVLLVIVLAWLAAAKSLDTQFTALRREEELEKELERETAVKVPVLTENEDEDGSVAPLYTEADDFSISPSES >RHN44055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1341402:1346735:1 gene:gene38105 transcript:rna38105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MMVVLNILLFILVTFLFKLLYENLSCYFLTPLRIKKIMEMQGVRGPRPSFFTGNILDMASLVSKSTSQDMKTISHDIVGRLLPHFLLWSSQFGKRYIYWNGPEPRLCLTETELIKEFLSKYSTVSGKSWLQKQGSKHFIGEGVLMANGENWYHQRHIVAPAFMGNRLKSYAGHMVECTKEMLESLQKGLECGQSEVEIGEYMAKLTADIISRTEFGASYQKGRKIFHLLTVLQTLCAQASRHLCFPGSRFFPSKYNREIKSLKMEVERLLMEIIQSRKDCVEIGRSNSYGNDLLGILLNEMQKKETSLNLQLVMDECKTFFFSGHETTALLLTWTVMLLASNPSWQEKVRDEVKRVCNGGIPSLDKLSKLSMLHMVINESLRLYPPASVLPRMAFEDIILGDLYIPKGLSIWIPVLAIHHSEKLWGKDANEFNPERFTSKSFIPGRFLPFAFGPRNCVGQTFALMEAKIILAMLISRFSFTISDNYRHAPVVVLTIKPKHGVQICLKPLET >RHN65618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3820748:3821989:1 gene:gene13480 transcript:rna13480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MASWGSKRRDQNGAVYSSTTEETTSINNHLSVVPSKHLRVSTTLPSDVIPEILCRLPVKFILQFRCVCKSWNSLISDPKFVKKQLNVSTARNIHFLLYDHTRYLGKYILLSEPIDSIFTNITSNSTRFEYSPNGFNGDYPRSILHDFVGSCNGILCIADDYKGLVILWNPSIRKFKELPLFQKPYVRNHIHMSFGFGYNSSKDNYKVVVVLVYFIYRDIKTDIKTEVEVHTLGTNFWRRSTQEYPLGGAPFERSGKFVSGTINWLFSKKIKSGRVCPCFIVSFDLAKETFQKISPPSIGGIDVCDLSSLGVLRDCLCVTSGDDIWIMKEYAKQESWTKLLTIPYKPEPTNSHVRAKAVYIFEDGQVLLKFIGGVDKCLILYDIKRGTLKSTDFRKVPEVCVESLISPCSFTRM >RHN53790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4175207:4177870:-1 gene:gene28736 transcript:rna28736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAD-binding domain, FAD/NAD(P)-binding domain-containing protein MSMATTTSSFMILKSPTCHTRIGSLRSSKLIKVQSSVQKEHVVIVGGGIAGLATALSLHRLGVRSLVLEQSESLRTGGTSLTLFKNGWSVLDSIGVANYLRTQYLEIQGMVVKSEDGRELRAFNFKEEDESQEVRAVERRVLLETLAAQLPPDSIQYSSRLVKIEPSPNGDTLLEFLDGSKLVAKIVIGCDGIRSPIAKWMGFSEPKFVGHCAFRGLASYSDGQPFQPRVNYIYGKGLRAGYVPVSPTKVYWFICFNSSSPGPKTTEPSVLKKQAKDLVENWPPELLNIMDSTPDDTIIRTPLVDRWLWPSTSPPVSAGRVVLVGDAWHPMTPNLGQGACCALEDAVVLAKKLAAAIDSDDSSIEDAFRSYGNERWPRIFPLTIRANLVGSALQWDNPLVCSVRNNIVIPKLIRLGPLLEHTNFTSESLQR >RHN67455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27390863:27395081:1 gene:gene15645 transcript:rna15645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MPENLRNLSLSPKNFSFTFTLLLLLNSFLLIPFCYSYSLNEQGQALLTWKNSLNNTLELDALSSWKSSSTTPCNWFGVFCNSQGDVIEINLKSMNLEGSLPSNFQSLKSLKSLILSSTNITGKIPKEIGDYQELIFVDLSGNSLLGEIPEEICKLNKLESLFLHTNFFEGNIPSNIGNLSSLVNFTLYDNHLSGEIPKSIGFLNKLQVFRAGGNKNLKGEIPLEIGNCTNLILLGLAETSISGSIPSSIQMLKRIKTIAIYTTLLSGSIPQEIGNCSELQHLYLYQNSLSGSIPAQIGNLNKLKSLLLWQNNLVGTIPEEIGRCREIQLIDFSENLLTGSIPKILGELSNLQELQLSVNHLSGIIPPEISHCTSLTQLEIDNNALTGEIPPLIGNLRNLNLFFAWQNKLTGKIPDSLSDCQELQSLDLSYNNLIGPIPKTLFNLRNLTKLLLISNDLSGFIPPDIGNCTNLYRLRLNHNRISGNIPNEIGNLNNLNFVDISNNHLVGEIPTTLSGCQNLEFLDLHSNSLAGSVPDSLPKSLQLVDLSDNRLSGELSHTIGSLVELSKLNLGKNRLSGRIPSEILSCSKLQLLDLGSNSFTGEIPKELSLIPSLEISLNLSFNHFSGEIPSQFSSLSKLSVLDLSHNKLSGNLDPLSDLQNLVSLNVSFNAFSGKLPNTPFFHNLPLSDLAENEGLYIASGVVNPSDRIESKGHAKSVMKSVMSILLSTSAVLVLLTVYVLIRSHMANKVIIENESWEVTLYQKFELSIDDIVLNLTSSNVIGTGSSGVVYKVTIPNGETLAVKKMWSSEESGAFNSEIQTLGSIRHKNIIRLLGWGSNRNLKLLFYDYLPNGSLSSLLHGSGKGKAEWETRYDVILGVAHALSYLHHDCVPAIMHGDVKAMNVLLGPGYQPYLADFGLARTAAENDDNTNSKPIQRHHYLAGSYGYMAPEHASMQPITEKSDVYSYGMVLLEVLTGRHPLDPSLPGGSNMVQWVRNHLSSKGDPSEILDTKLRGRADTTMHEMLQTLAVSFLCVSTRAADRPAMKDIVAMLKEIRPVETSRADSDVLKLGGLTSQSHSSPPPPKNVLLHGSSTCSYNFSDG >RHN60835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30770176:30776210:1 gene:gene23220 transcript:rna23220 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGSSKRNQIANPVTNVPKYAGVKGPSKNPRTVASIPKVDLADKCSVSRTLTKPAGKHLDNSVSAIRPPSRMNQTGNGANKVSEAGFPPSKMHQTGSGANKASEACLPHGISDTDKKKQQTLFQTSKSSGLRMPSPSIGFFSQAKASSSNGQLQKSSIPCKPSESNIPKLRKLGTSSVNDARSKTVQGAAKIRTKELSLSDVKSEIVVQIDNKKMAEVECDSSSFEKISKQPEVKNILEDVMLKSQEQRELHENDHDSGIENMVLFPTDEKELLTKSHTHEQLEKETDRAMDEKLYDVSSNGDQSLYVEPQSTNCPIMQETSNNVSNTVHNAIAQDENDQIKGPTYDIPAFKEILVLQADHETSIKDGKHSGEFKECNSVKTALLNCSLDDFWKTVPEGSEQGTPCKNTEEVNCGAGEFGRYGDVQLHLLNENLSINCNETTQSNLEAVSQQFLGEQLKTPFLSSVGEIGSEKENIPDMNSSQPAQVTTLFSKGSPEKSILEINGASKNESKIAEIKDCQLPVDGQSGFSPRIPMDGQYDQVIDSKAIHDGTREFELDKLSEGCMTVSATACCTIVTNVSPERRPFPENNVINLRLTPQLCPTVKTGCDSGGNNMPITNSSSISELQIKDGNFSTDTSINCEVQCDVPGNIEQQAGSVFIYPEINKISCEDESLVPNHGHLFHQSEFSEVSPDVISNIEDPIGTGAENSSGLLQHTQLSLADNNINACSHLPEFQKPSAAATVDTQVVNNRLHLDSDFLPTIIVSSAEIKEQTLVDGAFEGCRFDTNECGTSNHHNYRDIEESHMEEAQAQSFDEIPVAYDCSSKHCPALINDQFSLADDNNRNEDSHLPRLQKPSDVVALDSQRVNNILHLDIECVPTNIASSAEINEQNLVEGAFEGSNEHNPSNHHIQDMPENKDANHDGDEKVELLQIDGAEEGSSDISSVVEVQHNEIAISAYLDSSTTEVSEGPFASVAAWKSEEQCFLSENSKLLASDNPTFNATIPEGSEVNPVKLNEIISTEFDSSTQVSEDPFTSVVALKSEEQCLLSEESKLLASDNPTFNETIPQDSEVSSLKLIEDVVSAEFDFSIEVSEDPFTSSVALKSEEQCLFSEESKLLATENPTFDATIPQGSDVSSVNSESLSDVGETNICRNDKLPKTDMLCQTKCNINFPEDNSKMIHLEKVATKSKQEVPILKPPPNVAPFTEEWLAAIEAAGEEILTMKGGAVQNSPPEKAQHEPSPWSPVKKNQAIGPFDCTKVTKHNIQNSDPS >RHN41868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33709489:33710616:-1 gene:gene48232 transcript:rna48232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquilin MDIDADGERDGLLGEGFSDFEPMQLCHPLHASINPHFLREFLSTPDLQCLVLNPDVMWSILTNSQELSGIVFDPSSVIRVLEDVRIPGIVNEIRRLADLELGSIESIPGGLNQLRYIYEDIVEENVAAGIYENQARDQSNGSETNAGSSLPNTTPLPNPWSFTGFEGYQSNIRRSITGENEDDYLQREPVVLEPIDFSNVDSLLGGHVMANANLSTQLVQDQLQEFIPSHPEFGGRNAEQNGEADLSFLREEIQNPDFLSLYSQPETLQVRRRI >RHN48317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46580749:46583430:-1 gene:gene43020 transcript:rna43020 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTSGIVYSNLFVNQTCISRLLVAGTICIAKRLFERTMIKLSATFSGNNDTIYLKVIPSTV >RHN47568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40671639:40677075:1 gene:gene42185 transcript:rna42185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine synthase MALSSSSSASCSCFSPSTTILPPSLPFTTQFHRNVRFRRTIAATATVSTPSLFSTTREGLDAVNIAEDVTQLIGNTPMIYLNKVTDGCVANVAAKLESMEPCRSVKDRIGYSMLADAEESGAISPGKTVLVEPTTGNTGLGLAFVAATKGYKLIVTMPASVNIERRILLRTFGAEVVLTNAEKGLKGAVDKAEEIVYGTPNAYMFRQFDNRNNTKIHFETTGPEIWEDTMGNVDLLVAAIGTGGTITGTGQYLKLMNKKIKVVGVEPADRSIISGDNPGFIPSILDIKLLDEVVKVTNVEAIEMARRLALEEGLLVGISSGAAAAAAITLARRPENSGKLITVIFPSFGERYLTTALFSSIYEEVQRM >RHN71128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56677737:56680503:1 gene:gene19777 transcript:rna19777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prostaglandin-E synthase MRGLSGTISVARALRANSTAASTFLRPPRAASYAVTYWTTSNPQNLVDRSNLSTPSVIRSDVIGFRHYGSAAAASPAEQDLKPRISEQNSFNPKEVVLFQYEACPFCNKVKAFLDYHGIQYKVVEVNPTNKKEINWSHYKKVPIVIVDGEQLVDSSDIIDKLVKRIHPDYDLNADEEKKWREWVDNHLVHVLSPNIYRTVSEALESFDYITTKGNFSLYERLVAKYGGAAAMYFVSKKLKKRHNITDERQALYGAAEQWVDALKGRKFLGDLEPNLADLAVFGVLRPIRHLKSGRDMVEHTRIGNWFSEMENAVGQASRMSA >RHN69824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46544512:46548447:-1 gene:gene18343 transcript:rna18343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MGEGERFQLGTVGALSLSVVSSVSIVICNKALMSSLHFIFATTLTSWHLLVTFCSLHLALKMRFFEHKAFEQKAVVGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFLGKKFSKRIQFSLSILLMGVGIATVTDLQLNALGSFLSLLAVITTCVAQIMTNTIQKKYKVSSTQLLYQSCPYQAATLLISGPYLDKLLTDQNVFGFKYTTQVTVFIVLSCLISISVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYTLLQDPFSWRNIMGILLAMVGMILYSYYCTLENQQKAVEAAAQASQAREGESDPFISMEKGSDAVIDPVGHKSPVWNKDKD >RHN70752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53739047:53742064:1 gene:gene19367 transcript:rna19367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MNNLYFPLSSSSVGFFSVPTHSLTGEKGFTHGGTMEASSSSSSAIAQQTWELENNIIPMETPSDDSIFHYDEAGQAEFQRDKPWANDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQGKTDADSIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPADDPISEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAENQLAHSRFGPLVAPTPRKKEEESPLAKITRDSAKITVEQVHGLMSQVIKDILFNSVHQANKSRTETSDPEPMIES >RHN38551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:128713:131876:-1 gene:gene44504 transcript:rna44504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MALHWLEAMLPLGIIAGMLCVMGNAQYYIHRAAHGRPKHIGNDLWDVAMERRDKKLVDQASSSN >RHN73380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15854010:15855262:1 gene:gene9183 transcript:rna9183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSHTHQINAVVKPIIFREYIGVKDIPKNLKDFPAEMINDDIEEFHFILGTLREVYSGDGKGKGEFYRTWNFNNFSPAKVAKLKKDHKNVKVIISIGGFGAENPFNPKEIESWSTKAKQSIKKLINEYQEYSKDSSSTDECHCDDIIDGIDINYEYSNCNPDEFSSCIGELIRKLKKSSKSIKLVSIAPTELLKPHYHKLYWANKDIINWVDYKFYNQTVSSADELVNLYNKLLNEYGTDVKLLPGVSTDPDSNTNMTRDVFIKGCKSLLESESLPGIFVWNANDSAMPSNEDNTPYFLEEVLQDLLTDN >RHN46181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29427802:29428400:1 gene:gene40625 transcript:rna40625 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVEEKATKNKVFKITIGNINDSFTFFDITVLEGRSRTQ >RHN49752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:870775:871125:1 gene:gene33960 transcript:rna33960 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKIQVLLGFCCGITTTVIFVRLAETEIIGIFIWVFSFKVVRKLCNLYLYKPGITAFVAMITMVLAILLVIFIDIFSILDGIIVDIILPYEILTGNDKDTEKEMNENKVPVDDVV >RHN79820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32581632:32582270:1 gene:gene3662 transcript:rna3662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MQEIYIHWKSQLQLNYYSTSCPRAEEIIKQQVTELYNQGSTAISWLRNLFHDCMVNSCDASFLLATWRGVVSEQTSVRSFGMRNFKYVNTIKAAVEKECPLTVSCADIVALSARDGIAMVCLCHHHIHMLGVASRTVRLISWTVFEGYK >RHN39236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5179165:5179530:-1 gene:gene45240 transcript:rna45240 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLFGFLLAVATDVLLFCCFAFAAAGVFSGLPVWGFFLQLWCCAAFEFWVAAVFGRGSRFFGWFFVGSNVLGVDVRVCFWFVIRICLRHYSFDCSVV >RHN43375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45264057:45268507:1 gene:gene49937 transcript:rna49937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MDIQEESSSTFGPLAAMSLRNMSSSSSAFFSANQSPFFSPRTSSCHLSDTLRPEASNDRIHLDAAAAASTSTSSVVPEQKCSISDVAAASPAACTSGDMQRLDRISSSVGISSSTISGYCHPYDDCYSGQKERRSKKGRNQKSSSTPPGSRAISSYRLTSCDVFIGLHGRKPPLVRFSKWLCAELEIHGISCFVSDRASCKNSNKLVIAEKAMDVASFGIVIITKKSFKNPYTIEELKFFSSKKNLVPIYFDLSPFDCLVRDIIEKRGELWEKHGGELWLLYGGLKQEWKDAVHALSRVEEWKLEAQDGNWRDCILETVTLLAMRLGRRSVAEHLRKWKEKIKEEELPFTRNENFIGRKKELSQLEFMLFGDVTGDSKQDYIELKARSKRRHLTIGRGKSSVLDERNESSREEKEPVLWKESEKEIEMQSVEFAQQHYRSKLKRSGKYSRKKRGVKVLYGKGIACVSGDSGIGKTELILEFAYRFHQRYKMVLWIGGESRYIKQNYLNLRSFLEVDVSVENSLEKTSIKGFEEHEAAAISRVRKEMMRNIPYLVIIDNLESETDWWDHKLVMDLLPRFGGETHVIISTCLPRVMNLEPLKLSYLSGVEAMSLMLPSGKDYTVAEIDALRTIEEKLGRLTLGLAIIGGILSELPITPSRLLDTINRMPLKEMSSWSSKEAHAFRKNTFLLQLFDVCFSVFDHADGPKSLATRMVLVSGWFASSPIPVSLLALAAHKIPKKDKGTCLWRRLLKSLTCGFTSPHTKKSELEASSLLLSFNIARSSAKKGCIQFNELIKLYARKREVTGSSHAMVQAVIGQGSISQHLDHLWAACFLLFEFGHNPTVVELEVSELLHLVKKVVLPLAIQSFITYSRCTAALELLRLCTNALEAADQTLVTPVDKWFDKSLCWRSIQTNAQLNPCLWQELALCRATVLETRAKLMLRGAQFDIGSDLIKKAIFIRSSICGDDHPDTIFARETLSKLTRLIANVQIHS >RHN62613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44687225:44689524:-1 gene:gene25207 transcript:rna25207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MENISFIRGFPDQEMMEDPLLLHHQWHLSSINESNSLPIGSAFGDTSQHHSYVYPNFNPRTSMETAQTLETQFVSYPNLLSFVDLNQLNQLGLVKPKDEMIGSQNNNATSSDMISQGTFETKKVATRPKLSLPQDHIIAERKRREKLSQRFIALSALVPGLQKMDKVTVLGDAIKYLKKLQEKVKVLEEEQNMKKNVEFVVVVKKYQLSNDVENSSAESGDPFDEELPEIEARFCDRNVLIRVHCEKIKGVVEKTIHKIEKLNLKVTNSSFMTFGSCALDITIIAQMDVEFCMTVKDLVRNLRSVFTSFI >RHN68285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34267774:34272085:-1 gene:gene16619 transcript:rna16619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentapeptide MALFLNASLPSTSKPHHHLLRSTPSSSTSSPTPLSLFLGTNPKQNHQASQKLALSLGESVSSAALVALLSASLFFVDPALAFKGGGPYGQGVTRGQDLSGKDFSGKTLIKQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDTDLRSADFSLANVTKVNLSNANLEGALVTGNTSFKGSNITGADFTDVPLREDQREFLCKIADGVNSTTGNATRDTLLCN >RHN78333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14201163:14202549:-1 gene:gene1875 transcript:rna1875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alkane 1-monooxygenase MTKTNTFNFNLTSSCYTSNMAAATEILFSHIIFLLKNIQITEIIIAAIVFIFIRSLRSKKHRGLPNWPIFGMLPYLIKGLKTNLYEWITDILKHHNGTCRFRGPWFTSLNYVVTSEPQNLEHLLKTKFSNFPKGNYFRDIVSELLGDGTFAADNETWQKQRKIASIEFHSTNFRKLTIKSIFELVNNRLIPVLESSLKKNVAIDLQDILLRLTFDNVCMIAFGVDPGCLQPHLPEIPFAAAFEDATEATLFRFVMPVCVWKAMRFLNLGMERKLKKSIQKVDEFAENVIRARKKELSLQNEDNKEKSDLLTVFMRLKDENGKSYSDKFLRDICVNFILAGRDTSSVALSWFFWLVDQNHEVEEKILEEICRVVCQREDINKEVFNDSLRFKPEEIKKMDYLHAALSEALRLYPSVPVDCKEALPGASD >RHN39784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10483534:10488910:1 gene:gene45846 transcript:rna45846 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTRLLWFSLGFTTSAAVVSHFVWKDLWVDRHALSSHVTQQFDALQTRISNLESNLQNHTPVSNHDQVES >RHN62461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43600625:43601587:-1 gene:gene25041 transcript:rna25041 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTSSTLQIRRHVYSRDFNIVIFVNLCTLLFYAINMDDVSLFINSSFLFLANLKE >RHN81640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47754294:47758016:-1 gene:gene5711 transcript:rna5711 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFFGRCGYKINANAELMTIARGLNTVWNAGFKTGFLSQTPKSLRSSSMMMSLRPIIMPLC >RHN45120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11971173:11973410:-1 gene:gene39329 transcript:rna39329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MSGDEESVTTKYTSVKHDYDTADKKTDSGKAPKFNGDPEEFSWWKTNMYSFIMGLDEELWDILEDGVDDLDLDEEGAAIDRRIHTPAQKKLYKKHHKIRGIIVASIPRTEYMKMSDKSTAKAMFASLCANFEGSKKVKEAKALMLVHQYELFRMKDDESIEEMYSRFQTLVSGLQILKKSYVASDHVSKILRSLPSRWRPKVTAIEEAKDLNTLSVEDLVSSLKVHEMSLNEHETSKKSKSIALPSKGKISKSSKAYKASESEEESPDGDSDEDQSVKMAMLSNKLEYLARKQKKFLSKKGSYKNSKKEDQKGCFNCKKPGHFIADCPDLQKEKFKGKSKKSSFNSSKFRKQIKKSLMATWEDLDSESGSDKEEADDDAKAAVGLVATVSSEAVSEAESDSEDENEVYSKIPRQELVDSLKELLSLFEHRTNELTDLKEKYVDLMKQQKSTLLELKASEEELKGFNLISTTYEDRLKSLCQKLQEKCDKGSGNKHEIALDDFIMAGIDRSKVASMIYSTYKNKGKGIGYSEEKSKEYSLKSYCDCIKDGLKSTFVPEGTNAITAVQSKPEASGSQAKITSKPENLKIKVMTKSDPKSQKIKILKRSEPVHQNLIKPESKIPKQKDQKNKAATASEKTIPKGVKPKVLNDQKPLSIHPKVQGRKSKTSKTNPKGPMKIWVPKSELAKNAGVLKGKRETKVMVPRQRMFKAHDWRESFVPYPYNERWRRSEVWWQPNWKDHWYRYYW >RHN73477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16857342:16860854:1 gene:gene9293 transcript:rna9293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MYALDQFQLLHQDHQMTMKMDHMTTRKRETKKTSPSPPREEDKVHVVDLHGMSLDSLPKLSLDLAIISKLNLSNNNLQNIPESLTARLLNLEMLDVHSNQLRSLPNSIGCLSKLKVLNVSGNFIQSLPATIENCRALEELNLNFNMLSKLPDTIGFELIKLKKLAVNSNKLVCLPRSTSHMMTLMVLDVRLNCLRSLPDDLENLVNLETLNVSQNFRYLESLPYSIGLLLSLVELDVSYNNIKTLPDSIGCLQKLQKLSVEGNPLISPPQEVVEQGLHVVKEYMCNKMNSSHHIPTKKRWWMVKCGTFNGEMKRSEHKGFHKLHYQPMNGLASPGFMGRLSPLRLFSPRRSLS >RHN44784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8440615:8443732:1 gene:gene38946 transcript:rna38946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPVNTVFDAKRLIGRRISDASVQSDMKLWPFKVIAGPGEKPMIGVNYKGEDKQFAAEEISSMVLIKMREIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGVDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKNSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVEEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDEKIGSKLSPEDKKKIDDAIDAAIQWLDSNQLAEADEFEDKMKELESLCNPIIAKMYQGGGAPDMGGGMDDEVPPAAGGAGPKIEEVD >RHN41864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33681043:33681213:1 gene:gene48228 transcript:rna48228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MGLSPNMSDAHTINGKPGPLFPCSDSKRKLRFWKKGGIERKLHRDSTVEFTDAAKH >RHN67698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29440282:29440917:-1 gene:gene15915 transcript:rna15915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNLSLEYVKVRNLPMMTCLFVGLNYSFVLKNLTKMRIVQCEKLEIVFSTSILRCLPQLVSLHVIECKELKHFIEDDLEDKVFQSSNIYFPKLETLVVTKCDKLKYVFPVSICKEFPKLKVMMISEANELEGIFKSEFEIPPNLKVVVFAYLPSLCHAQGVHFHFQEVKYRLVHSCQKLSLTSCNDDEDVGYNIMGRYTLDQIFFITITCSL >RHN49751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:863085:869737:-1 gene:gene33959 transcript:rna33959 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligomeric Golgi complex subunit 7 protein MMVDLVQFSNSNFDPKKWINTACHSRHSQQSLDKHLIDLEMKLQMLSEEISASLEEQSSAALLHVPRATRDVVRLRDDAGSLRSAVSVILHNLQKAEGSSAESIAALAKVDVVKQRMEAAYETLQDAAGLTQLSSTVEDVFTSGDLPRAAETLANMRHCLSAVGEVAEFANIRKQLEVLEDRLDTMVQPPLTDALSNRKIDAAQDLRGVLIRIGRFKSLESQYSKVHLKPIKKLWEDLESREHASKSANEKSEMETMSTGGDFQSSPTISFSNWLPSFYDELLLYLEQEWKWCMVAFPEDYKTLVPKLLSDTMMAIGASFISHINLAIGDAVPETKALAKGLSDILSGDMQKGIKLQTKHLESLIELHNITGTFARNIQHLFSGCDVLVLMDVLKSVYLPYESFKQRYGQMERAILSSEIAGIDLRGAVIRRVGAQGVELSETIRRMEESIPQVIILLEAAAERCISFTGGSEADELILALDDIMLKYISTLQETLKSLRTVCGVDYGGDGTGKKDENQNARKVDLISNEEEWSMVQGALQILTVADSLTSRSSVFEASLRATLARFSTTLSFSAFGSSLDQNQIIHGNEYEEPSFGGRASLDMATLRLVNVPEKARKLFSLLNQSKDPRFHALPLASQRVAAFEDMVNELVYDVLISKVRQCLSDVSRLPIWSSVEEQSAFHLPAFSAYPQSYVTSVGEYLLTLPQQLEPLAEGISTGEANDEAQFFATEWMFKIAEGATTLYIEQLRGIQYITDRGAQQLSVDIDYLSNVLSALSMPIPAVLTTFHSCLSTSRDQLKDLLKTDSANHLDLPTANLVCKMRRVNLDS >RHN76364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49698562:49700055:1 gene:gene12676 transcript:rna12676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MGRGIAFGRFDDSFSVSSIRAYVAEFISTLIFVFAGVGSAIAYAKLTSGAALDPAGLVAVAVCHGFALFVAVSVGANISGGHVNPAVTFGLAIGGQITILTGIFYWIAQLLGSIVACFLLKYATGGLTIPIHSVASGVGAGEGVVTEIIITFGLVYTVYATAADPKKGSLGTIAPIAIGLIVGANILAAGPFSGGSMNPARSFGPAVLSGDYHNNWIYWVGPLIGGGLAGVIYSYVFMPSDHVPLASDF >RHN75218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40250902:40251246:-1 gene:gene11391 transcript:rna11391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair, mitochondrial Rho GTPase MDLDFYMDFEDYFPSMVASLGAEGFIGELYNGFHLLMDANKGLITFESLKMNCFMLGLEVRDEELVYMLMEGDLDGDGALNQMEFCILMFRLSPCLMDGPKIYTTHQGVDPMLM >RHN63338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50484746:50486626:-1 gene:gene26025 transcript:rna26025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdenum cofactor sulfurtransferase MQPPCSGGTSSQPCFNGCFPSPFLASENSHDNTSNSNSVSRIDDLSSATSSSLYPNTHFTNHESLPLLQDSYNNFIKSFPQFSTTSQVDQIRDQEYQHLNNLSNTCFDYTGYGLFSYAQQNQKSCSSLSSSSSTRYLNLEQSFFDVSIKSMNLKSQILYRGHESELESRMRERIMTFMNVSENDYALVFIANEVSAFKLVADSFLFQSKGSELLTVYDHNNEALEMMIDSCKKQEVQILSAEFTWPNLGIEWRKLKKMVTCKKEKRKGSLFVFPLYSKVTGSPYSYFWMSLAQENGWCVMLDLCALRPKERDTLGMSMFKPDFMVCSFYKIFGENPSGFGCLFVKKSSISSLKDLGNATSIGIISLVPTFAQCHTSSSHQNESAPPNAELLGFASPENQRDKTKEEQNEVSSLSEIVELQGMDMNDERLEIECRGLDHADSVGLIVISTRTRYLINWLVNALMSLQHPHDENRFSLIRIYGPKINSHRGPTVAFNIFDWKGEKIDPTLVQKLADRNNISLSRSCLKNIKFLDRNQEERELALETKTNEVEKFGISKKKSGSNESRILVLTATLGFLTNFEDVYRLWTFVSRFLDADFVEKERWRYIALNQKTIEV >RHN77932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10601469:10610287:-1 gene:gene1440 transcript:rna1440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyltransferase A, auxiliary subunit MSHNRITTDSPLSHRIVRSFLHFLNQVEPSPGVDAEGIEVARECLVEAFKINNSASVTGEPDSLIDIFKSFDANKQCERSRLDSMKASSSVSAQNAADAKTHPDESKPMDEDWTQGPHTSVSKDELCGQFFAVLEKKHYFRTNIDGGDDIVQLEKASRLFDDGFTEMERSGCQQFNLKNLAESLKTLGNKAMQSKQYFDAIELYNCAIAIYEKSAVYYCNRAAAYTQINRYTEAIQDSLRSIEIDPNYSKAYSRLGLAYYAQGNYRDAIDKGFKKALQLDPNNESVKENIRVAEHKLMEERHRADHNQNSRSQEFQNHYARGSRSHAAPASFGSMPFNPSNLASMFMAAANGGQGSHSQEGQEDANSSGANEPEIRFGGNVNVNQDQIPQELRGAFQSVMHMFSGNAPPGQPHDQMNGSHEDANSSEDNEPDIQFGGNVNLNSDQIPQELSGVFQNVMEMLSGNAPPGQPHDQTNERTAPN >RHN41003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25638964:25639529:1 gene:gene47271 transcript:rna47271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MQGRLVDGKEVAIKRHSKVSDQGLEEFKNEVVLIAKLQHRNLVKLLGCCIHKEEMLLIYEYMPNKSLDYFIFDETRSKLLGWTHRSHIIAGIARGLLYLHQDSRLRIIHRDLKTSNILLDAHMIPKISDFGLGSNIWGRSS >RHN46262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30176434:30181035:-1 gene:gene40729 transcript:rna40729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MGTVVEGGQRVLNNQQSQIGTVSQLLAGGLAGAFGKTCTAPLSRLTILFQVQGMHFDVGHVATLSKTSLLYEAQRIVKEEGFRAFWKGNLVTIAHRLPYSAVNFYTYECYKNLLHSVLGENHRAKAGSDVFVHFVSGGLSGMTAASTLYPLDLVRTRLAAQRNVIYYRGISHAFTTICRDEGFFGMYKGLGATLLGVGPCIALSFSAYESLRSFWKSQRPDDSNAMVSLACGSLSGIVSSTATFPLDLVRRRMQLEGVGGRARVYNTSLFGTFGHIFRNEGIRGLYRGILPEYYKVVPGVGIVFMTYETLKSLLSSYQSR >RHN51828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26078234:26079859:1 gene:gene36366 transcript:rna36366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSSCCARFRSSTNPISLFPFLRIRLYSHSSFNSNNLDNVVSSFNHMLHMNPTPSIIEFNKILGSLVKSNNNHYPTAISLFHQLEFHGITPDIFTFNILINCYCHLWDMDFAFSLFGKILKVGFQPNTITFNTLIKGLCVNGKVKEALHFHDHVISLGFHLDQVSYGTLINGLSKLGKTTEALQVLRKIDGKLVNTNVVMYNTIIDRLCKEKLVTEAYELYSQMIVKKVSPDVVTLSSLIYGFCIVGQLKKAFCLFHEMLLTNIHPNVYTFNILVDALCKEGKIKEAKNVIAVMMKEGVEPDAVTYNTLMDGYCLANEVNKAKNVFNVIGKRRMTPNVCSYNIIINGLCKIKMVDEALNLFTEMHCKPNTVTYNSLIDGLCKSGRISHAWELLDQMHDRGQPADVITYNSFLHALCKNHQVDKAIALVKKIKDQGIQPNINTYSILIDGLCKEGRLENAQVIFQDLLIKGYKVTLWTYTIMINGLCLEGLFDEAVTLLSKMEDNGCIPDAVTYETIIRALFKNDENDKAEKILREMIARGLL >RHN38660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1081467:1091830:-1 gene:gene44618 transcript:rna44618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A(2) MGALLLFLFVFANQVIGGFNKKLPPPSYGDTISILSIDGGGIKGILPTVVLEDLEQALQIVSNDKNAALADYFDVIAGTSTGGIIATMLAAPNPNDTSRPAFTTPEILEFYLKYGPSIFNQTSASGWTEDSPRPKYDGIFLRDKVGEILQETRLHDTLTNLVITSFDILKLHPIVFSTFKKDVPGLDAKLADICIGTSAAPSQLPPYQFLNGFNIFNLVDGFVTAANPALVAVSEVIQELNEKNSNFIPVTANKPTKIVLLSIGTGRNGETQGVDANIARFISANNWIKVMSAGLAMSAQHMNEYHLKAVFPDLPSSDNYHLRIEEYNLDPSMGADNVTIESMENLIQAGKDLLEQNVKVIDINSFLPLEKPSEGTNAEALERLAETLYNEKQLRLKRKSMEKTGGSFTEAIASPFLMSGMK >RHN54642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10989090:11001655:1 gene:gene29711 transcript:rna29711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-glucosidase MKEALICSSYSGSYKITTKPTAIPFSPPSISLFRHRRLHRNTPFLSSIITLRRKRFIEKLISKMANYEGQVSSGSTDVRTGKMIFEPILNDGVFRFDCSLNDRDAAYPSISFVNSKDRETPITGTHKVPSYTPTFECLLEQQVVQLELPVGTSLYGTGEVSGQLERTGKRVFTWNTDAWGYGPGTSSLYQSHPWVLAVLPNGEALGILADTTRRCEIDLRKESTIRFIAPSSYPVITFGPFASPTEVLISLSKAIGTVFMPPKWSLGYQQCRWSYLSDQRVLEVAKTFREKSIPCDVIWMDIDYMDGFRCFTFDKERFRDPKSLVESLHYSGFKGIWMLDPGIKQEKGYFVYDSGSENDVWVQKADGTAFVGDVWPGPCVFPDYTQSKVRAWWANLVKDFVSNGVDGIWNDMNEPAVFKAVTKTMPESNVHRGDGELGGCQNHSFYHNVYGLLMARSTYEGMKLANENRRPFVLTRAGFSGSQRYAATWTGDNLSTWEHLHMSISMVLQLGLSGQPLSGPDIGGFAGNATPRLFGRWMGVGSLFPFCRGHSEAGTTDHEPWSFGEECEEVCRLALKRRYRLIPLIYTLFYFAHTKGIPVATPTFFADPTDPSLRKLENSFLLGPVLVYASTTRNQGLDKLEVTLPKGIWLGFDFGDAHPDLPALYLKGGSIIPAGLPLQHVGEANPSDELTLLVALDESGKAEGFLFEDDGDGYEFTRGNYLLTHYSAQLQSTAVTVSVHRTEGSWKRPKRRLHIQLLLGGGAMLDTWGVDGEVLHVNLPSEEEVSKLVSTSEKQYKERLEKAIQIPDVEDEVSGPKGMELSRTPIELKSSDWLLKVVPWIGGRIISMIHFPSGTQWLHGRIEISGYEEYSGTEYRSAGCSEEYSIINRELGHAGEEESVLLEGDIGGGLVLQRQICFPKNAANIIQINSSIIARNVGAGSGGFSRLVCLRIHPTFNLLHPSESFVSFTSINGSMHEVFPDGGEQIFEGHLIPDGEWKLVDKCLGLALVNRFNVTEVSKCLVHWDFGTVNLELWSESRPVSEQSPIQISHQYEVIRIP >RHN74423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33113441:33115076:-1 gene:gene10489 transcript:rna10489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NTF2-like domain-containing protein MAFLLPNLQPYLLTQSKSKDKLLHQTLSSLKPTSHFLVSSTSYDSSSPLATLQTPTSQVNTPPSVQDKHQQQLPKDEFYVNLGLAVRTLREDMPMIFIKDLNYDIYRDDITFLDSLNTFTGIEKYKLIFWALRFHGKLLFHDIALDVYRVWQPSKNVIWIRWNLKGVPRVPWEAKGEFQGTSKYKLDKNGKIYEHKVDNLAFNFPKNIKPVSVLDFVTSCPASPNPTFLWGRVDVYSSSWITLYKAVRETLNQEGSLLPQDGLAPCS >RHN41534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30846331:30846960:-1 gene:gene47867 transcript:rna47867 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSGSLKVQQDTSQEVKPSKSSNFLWSGKIQISKREAVSSKENVGDKRLSTWKEC >RHN56363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30332168:30332700:-1 gene:gene31749 transcript:rna31749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MISYYDIRAACTAMHALQDKTLGARNLDFHFSNPKDNPSQKDINQGTLVVFNLDLSVSNDDLHQICGAYGEVKEIRETPNKRDHKFIEFYDVRAADAALKALNQSDISGKRIKH >RHN76247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48846306:48846623:1 gene:gene12540 transcript:rna12540 gene_biotype:protein_coding transcript_biotype:protein_coding MDSANPNCGRKFLGCRNYKNQFDKCCSFFKLIDGYYNEDNDKNDLEKKLAKVEKKNKKLKNELQKTRFWLKISLIFGLTCFGVCLVLGTVLICKMSGTWSLMYLK >RHN41309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29031849:29036718:1 gene:gene47617 transcript:rna47617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAEAPRSEKVMVPPMADPSSENKATERIHFSILSKLPIKSINRFSTVRKSWSGLFENPDFLKMFCKNLVSKYHGNNGDDVGLLFNINSKNLSLLSGDKFKPLNMVNLPSQFVDYNKHLGILGSAVDGVICLYDVYNQKNIILWNPSNNEKRVLPTNYAEDLGGIDDCIPNICVHGFGYDTVHRDFNVVQYVINDNVYSDGSLDQTDSFWQVYSLKSNKWKPLDGVRLPFLQYNPNGLEVCLDGVCHWLGRKETNSQLFLVSFNLEAFNSALTPVDADVTESSLKLMVLNGSVAMITQHADPMSFSISILGQIGVQNSWTTFFNVASSPSIKNPIAAGKKGVIFFKGNEKDGKVARFDLTSGMIERVIDFGAKENIQQIVFYKETDQILSKKRKSTHRH >RHN71006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55779098:55779962:1 gene:gene19646 transcript:rna19646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MASSSNWTTKQNKRFENALAMLDKDTPDLWQKVARAVGGKTVEEVKRHYEDLVEDVRQIEEGHVPLPNYTNNVGYSYIMDQDKRMKALSLH >RHN82312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52763992:52770348:1 gene:gene6468 transcript:rna6468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative repressor of RNA polymerase III transcription Maf1 MKFLECAPLDRLSDFLSNLNLGERTIKGCIEAYSCKHSGADKKLSISLSNEILDYLGKSSDNDSPSPVDSLSSPVDSLSARTSRKTLVYLVLALYHMYPDYDFSAVKAHQFFTEESWDSFKQIFEAYMFEASKEWAETFGGVSLLDALFKAVDEVVSLDDCEIYGYLPDSEADPLPERGAIWSFNFLFYNRKLKRIVTFRLSCFSNLIADGFSLDEICDEYDEEIFADMDI >RHN62106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40767444:40770355:1 gene:gene24640 transcript:rna24640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylylsulfatase MAAATVPFSLIRNYSQLVSTRAFVVKANNFNFSNSVLPIQCRRLPFSASATNNEQAAAKAAAVNADSGAPTIFDKIINKEIPSSIVYEDEKVLAFRDISPQAPVHVLVIPKFRDGLTELGKADARHGEILGQLLYAAKIVAEKEGIADGFRVVINSGASACQSVYHLHLHVLGGRQMNWPPG >RHN58876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5658902:5660974:1 gene:gene20831 transcript:rna20831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MRAHIIFWLFFMLFSSINSSTNNFLLNGNCLGHQRALLLQLKNNLIFNPEKSSKLVHWNQSEYDCCKWHGVTCKDGHVTALDLSRESISGGLNDSSAIFSLQYLQGLNLAFNKFNFVIPQALHKLQNLRYLNLSDAGFEGQVPKEIAHLTRLVTLDLSSLITSRQSLKLENPNIEMLVKNLTDITELYLDGVAISSSGDEWGRALSLLEGVRVLTMSSCNLSGAIDSSLAKLQSLSVLRLNNNKLSSKVPDSFANLSNLTILEISSCGLNGFFPKDIFQIHTLKVLDISDNQNLSGSLPDFSPLASLKYLNLADTNFSGPLPNTISNLKHLSTIDLSHCQFNGTLPSSMSELTQIVYLDLSFNNFTGLLPSLSMSKNLTYLSLLGNYLSGNLPSNYFEGLINLVSINFGINSFNGDVPSSVLTLPSLRELKLPHNKLSGILGEFHNASSPLLEMIDLSNNYLQGPIPLSIFNLRTLRFIQLSYNKFNGTVKLDVIRRLSNLTVLGLSYNNLLIDVNFKDDHNMSSFPKLRVLDLESCKLLQIPSFLKNQSTILSIHLSDNNIEGPIPKWIWQLESLVSLNLSHNFLTGLEESFSNFSSNLNTVDLSSNNLQGPIPLIPKYAAYLDYSSNKFSSILPPDIGKHLPYMIFLFLSNNKFQGKIHDSFCNASSLRLLDLSHNNFGGTIPKCLLH >RHN50836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10936020:10943363:1 gene:gene35172 transcript:rna35172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-Pl-6 family MALNKTGKLLGNNTGDEHGLFPQYTQPEVCSSAANVHNNIPKQVGGKISASNVRDGVGGGRVLDTSRNRESRFGLNRENGHVRYEDLTNILGLKRMDSESFSDISNFIPNKQPAAQEIENGVSPNILSKTQKGDGENSGLGALRKTFSETICDKTGTRQGVSSPIYRHERSHSNGFSGSGTLDDSLSGGKMKFLCSFGGKILPRPGDGKLRYVGGETHIISIRNDISWQELMNKTLGICSQPHTIKYQLPGEDLDALISVSSDEDLQNMIEEYHGLESREGSQKLRIFLVPLGESEESPSANGNTVLQNDPDYQYFVALNGVIDHSPKKNISGPSLINEANHSAKTFNFTPTVTSSPLEIRDGNGGMNALNLQSPLRISPTPVQVAGSSTGYIQLLGNNSCQGSIDSNASFVTAQIHSGNSSINTADCRYPQQPSVTLVSDCHPRQHGNVGQPNNLNGQYFDNYSSVYVSQSNGYGDEALGGTLHKDTIFYSGNSIAQQTESYGTNNDPPHGMPHAFSDSQLHESGAKSGYCSQEGITPSLSLNLEKSQLSSLLGVSQVNLTEGQHDPFLYYPQIQSKIPNVESTEMHRWQDAASSSYSESVRTDDRINEDSILFEKNTLSGSGFVEKDVRENSLKPERMMINEEKNPILKKDYKVYEGNPTVDYMSELYLLDTFPTNNVSAKIGMQNNWEQPCVDTNPLSSGMMGFSLNNLTDKTPSDLHNITSDGRNIDFSARNIDLNSPIPKCAESPCDESSEGDHMFKFSFDPHSLKSAQNQPSQNQIGTGFHDNPAINSESLYPAVLRDDVRPCLNLPVDGLDNSNKNISFVKAPSFLDDLITSIDQTVDQFKHEHSASGLSKVEGVILEQSKNLERCNDANRVEPFLVVDDVTGVVPSRPKSSPVHTSHDFDEVGSDVSPSHTEVESTVPESDPEDFKDDQTDVNDFLSDAMIAEMEASIYGLQIIRNADLEELMELGSGTYGTVYHGKWRGTDVAIKRIKKSCFAGRSSEQERLARDFWREAQILSNLHHPNVLAFYGIVPDGAGGTLATVTEYMVNGSLRHVLVKNYRLLDRRKKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGNSSRVSEKVDVFSFGISMWELLTGEEPYADMHCGAIIGGIVKNTLRPPIPERCDPEWRKLMEECWSREPECRPSFTEITGRLRSMSMTLQGKGNYQAWQLRPSNDL >RHN62397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43109644:43112435:1 gene:gene24968 transcript:rna24968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MGEISSSGYKDYVAGLLAGVAAVATGHPFDTVKVKLQTHNTEAHLVQYRNGLHCTARILKTEGIKGLYRGATSSFAGMALEGSLFFGIYSQTKKYLQGGMQSGAPRPQVIIPSAAFAGAINSFVLGPTELIKCRMQIQGTDSLVPMSSRYSSPLDCALKTLQSEGVKGIFRGSGTTLLRESIGNATFFSVYEYMRYHMHSRIKPASSNYNNLIEIGIGVVTGGVSGVAFWLVVMPLDVAKTLIQTNPDKNCPRNPFTILSSIYKRTGLKGCYAGLGPTVSRAFPANAATIVTWELAMKMLGIRHD >RHN80061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34714828:34717470:-1 gene:gene3937 transcript:rna3937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-beta-hydroxyhyoscyamine epoxidase MEKLITNRWSNIQYVPENYIFPPESRPGNVKIPFSNSIPVIDLSEACKGDRSNIIKKIIKAAEEFGFFQVINHGISMDQMKETMSIFKEIFHMPDEYKKNLCTNDPSKPCKMFTSSFNYATEKVHLWRDSLRHPCYPLEQWQHLWPENPTTYRECVGDFSNEVKELGSRLMNLISEGLGLKCGYFDNDLTGSMILSVNHYPRCPEPNLALGMSKHSDPNLITILMQDDVSGLQVLKDGKWIALEARPHAFIINVGYQLQIISNDKLKSVEHRVVTNASQGRTTAAFCLAPSDDCTVEPAQSFIDEHNPPMCKPFKYKDFSSHYFNKYGDTDVVLTSFGATKN >RHN58282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:533536:533900:1 gene:gene20179 transcript:rna20179 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 6 MDLPETLHDFLLVFLGSGIILGSLGVVLLTNPIFSAFSLGLVLVCISLLYILSNSHFVAASQLLIYVGAINILIIFAVMFMNSSEYYQDFNLWTVGDGITLIVCTSILFH >RHN40812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21532842:21537090:1 gene:gene47026 transcript:rna47026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 2-beta-dioxygenase MVVLSKTSLEQYPCIRNLKQTIFSTEIPMVDLSKPDAKNLIVKACEEFGFFKVINHGVSMKCISLLESEAVKFFSMSIDQKEKAGPANPFGYGNKKIGQNGDIGWVEYLLLTNNQDFNQFKLSPAFGKDSDKLRCLLSEYMSSVKKMGCEILELMASGLNIEENNVFSKLLMDKESDCIFRLNHYPPCPPKSNLNNNENENVIGFGEHTDPQIISLLRSNNTSGLQIRLKDKSWISVPSDHNSFFVNVGDSLQVMTNGRFKSVRHRVLANGFKSRLSMIYFGGPSLNEKIAPLPCLIKGNECLLYREFTWFEYKKSAYATRLSDNRLCHFERIKDSS >RHN70865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54586353:54590266:1 gene:gene19494 transcript:rna19494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MGQQVFSLFHLERNKLLSIIGITFALILAFQYFELPYGNILVPTSNLRSSSSSSSSSSILQPAYSPSASEIYNNITLLNQTNSEHPLDKVNETRLYEENDTISRTVIGMNLSREVNNSYHNLHPLSPAIAPTYLTPPPAASPLTKVLSNDSEITDSMNDERFKPLKDDVNVMNHNSSIVTVPKVTTSSLIKVPEVTSISDMDKLLLQSHASYRSMRPRWSSAVDQELLLARSEIENVPVVTDVGNLYAPLFHNVSMFKRSYELMEKTLKVYVYREGSKPIMHSPYLLGIYASEGWFMRLMEANKAFVTKDAKKAHLFYLPFSSRRLEEALYDSNSHSHRNLMQYLNNYVDTIARKHSFWNRTGGADHFLVACHDWAPSETKQRMSKCIRSLCNADAKEGFVLGKDVSLPETYVRNAENPTRDLGGRPVSKRKTLAFFAGGMHGYVRPILLQHWENKDPDMKIFGMLPKSKGNRNYIQYMKRSKYCICPKGYEVNSPRVVEAIIYECVPVIISDNFVPPFFEVLNWESFAVFVLEKDIPNLKSILVSIPKKRYLQMQMRVKKVQQHFLWHKSPVKYDIFHMILHSIWYNRVFTARTR >RHN77283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5708256:5709193:1 gene:gene723 transcript:rna723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine C-palmitoyltransferase MFYGKVGFDLIATVMNGTVSQQWLSKILGFTIASHPESPIVYLRLKNSTGSLKDDLHLLENIAERVLKEDSVFVVASRRSTLDKCRLPVGIRLFVSAGHSESDLHKAYESLKRVAALVLDGHK >RHN49984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2876971:2878200:1 gene:gene34230 transcript:rna34230 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCLVNDINAMLHTAHPPSTDECCIYKVPYVIRRHNKDAYTPKVVSIGPFHHGHPQLQNMESQKLIYFKDFLQRTKACLNDLVVYIESILSDFKSCYSETLPFSHDELVKLILIDSAFIIQLFWTSCYEGELFKPWLGTGIIHDLWLLENQLPFFVIEKIYSLSLTNVPNTMIHSFLKLTIHYFGYYNKSKLDFDKGDISIRHFTDLIRIFHLQHPIESRPSRVVEWIKHLPSATELLEAGVRFKVNTESKCLLDLRFSEGVLEIPQLKVQDSTETLFRNMVALEQCHYPEESYIADYVVVLDYIINTGTDVDILVRRDILDNMLGESSDSVANRFNGICKNVVYSNISSQFSIIGKEINAFCSNPWNKLKATLRRDYGKTPWQTAASIAGIVLLALTLLQTVCSCK >RHN79191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25417593:25418231:1 gene:gene2926 transcript:rna2926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3,9-dihydroxypterocarpan 6A-monooxygenase MFTGGTDTTAVTLEWSLAELINNPTVMEKARKEIDSMIGKDRIVIESDTSHLPYLQAIVKETLRLHPPSPFILRESTEDSTIGGYDIPSKTQIFTNVWAIGRDPKNWDNPLEFMPERFLNNDENGKESYVEVRGQHYELLPFGSGRRMCPGISLALNVAYTTLANMIHCFEWKLENGGNDSVDMKEGPSFILSRAQPLICFPTPRLMPYPSM >RHN60414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26484920:26485288:1 gene:gene22725 transcript:rna22725 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDLIPKARSKDDGTQAYLYAKQCGIRNKLHTTSKPLQHPASRLKWPGSNSNIAILNPALILCEIGLDLLPKDTSKDEGTQTYLYVQQPGNLSNVGLETNYKQLQNQLQHLEHDAESPLYS >RHN54016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6240218:6241159:-1 gene:gene28991 transcript:rna28991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MNWVRGHSLGSGSFATVYLAKHPEDSVTFPQLTAVKSSNLHDSYSLQNEKQILDRLGSSPHVIKCFGQDKTVENDEEYYNIFLEYASGGTLSDQLEKHGGKLPDNLVRRYTRSVVEGLKYIHKKGFVHCDLKLPNILVFENGNIKISDFGLAKETGVEQGEKWQPRGTPMIMSPEAVNDSVYESSADIWALGCAVVEMITGEPAAWNESDMLMLTIRIGVGEELPKIPEELSQEGKDFLEKCFIKDPLRRWTADMLFKHPFISDVETVSVVNELVDELPLSSPSPKTYFNMLIVFLLLVRHCLLFQMNGVNKK >RHN40553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17541699:17547517:1 gene:gene46715 transcript:rna46715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDAASKKKVRSSDSHIHDDIAFSILSKLPYKSLTRFTCAKKSWSLLFQNPNFMNTLRKNHENKAETRLFIKEHLPAFTIQQSLSILSGDRFENRVNLEWPLPLQQQGNNANAYANPFHFTHPIIILGSASVNGTLCLYQGLTTVLWNPSTSEFKIIPPSFKPKEKIEFTLPPHGFGYDCVTDDYKVIRKVRYPFEFEGDDWVCLPDKDDPFWEIDVHHLDLIDDFWEEKGLIVKLYDYDPCWEIYSLRSDSWRKLDGFDDMPDYFPGITSMVNFNGFCHWLTQGPDNDIVSFNFSKETFFATTLPCDVKHRSHMFSLVELNESLSVIYNYDRTPDFHIWVLDEVGVKESWTKLFIVGSYNCSIVCPISVGNKNRIFFRKEDSELGWFDLSTQRVEVIGVNGESFCSHMVIYKENPLPFPRNE >RHN70482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51736486:51737529:1 gene:gene19063 transcript:rna19063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial distribution/morphology family 35/apoptosis MREKRGSKPASPSTSPCALLRDAYHNCFHRWYTEKFVKGQYDKQECVSEWQKYKACLSEHLEDKQMIRILEGENAFQDSAFTSQ >RHN53105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42001959:42002704:-1 gene:gene37868 transcript:rna37868 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKIFGIAIKSLKESDMVVTQPSNNFGRYSFSKIEFENSNTTILKSKNNNFKMFPTAMSIEEITY >RHN46186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29491674:29499431:1 gene:gene40632 transcript:rna40632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tropinone reductase I MGETKLSSFKDKRWSLHGMTALVTGGTRGIGYAIVEELAEFGAAVHVCARNEEDINKCLEEWKNKGFNVTGSVCDILFHEQRKRLMETVSSIFHGKLNILVNNAAKPTSLKAIPVLSVYAATKGAVNQFTKNLALEWAKDNIRANAVAPGPVKTSLLQSITNDNEGDEAVDGVVSQTPMGRMGEPKEISSLVAFLCLPAASYITGQVIAIDGGFTS >RHN39595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8552190:8553230:1 gene:gene45634 transcript:rna45634 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQFILESVIGALLKNLWIFSVSYLLLTLSVFCSSLYMLFVNKSKFFVIPSVSMRLRPKRTCYRVVCFGGFHIQKFSNLFLFLRVDLT >RHN71726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2255253:2257203:-1 gene:gene7357 transcript:rna7357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain-containing protein MENYSYQSYPDSGDSSPHSREIDFENPPTTTPWEDQQNQTSYKAKFMCSYGGKIQPRTHDNQLSYVGGETKILAVDRNIKFSSMISKLSSLIEAHDVSFKYQLPGEDLDALISVTNDDDLDHMMNEYDRLYRASARPARMRLFLFVNDSVPSPDPVKPSNVDYLFGIEKPVTVAPIPAAVKFHDAVPEPVAPVPEYHPRQGLNPSDRVVSSDPGLNLNLNHPVEIQRQLQRMQIAAENEQTAYRRKSEENYPVSGGDYYVQKVPEKFPAMSNFAPPSQHQQQQPGYWTERPVSGEAYPPVAASGGGEQPVYMVPAPGAYYHAPVMRPPASQGYYAVQRMPSDAYRETQVYGGAPPPKAPFSSGVSAASYAPAQPVKGPAYAEGVSVVRSSGLPDNTSGSYAQVAYDSASGRQVYYNAPPGGVVHPPPQYQGVAAPVTTDVKVMSKISQGSV >RHN58651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3661638:3665967:1 gene:gene20580 transcript:rna20580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MEHHHHGWQFLFRVSTTSLVFLHLSFKTHFCFAKISTTSQFSVFQSSSSSIKILISMASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFENLNHWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFQCIAKNALKNEPEEEMYLPDTIDVGNGGRQQRSTGCEC >RHN47399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39436363:39437531:-1 gene:gene41992 transcript:rna41992 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHSSVVAKRLWNVLRITFFMMRKSLVSKRKMIMDMNLMMKKGKVLRKSLSNLMSSHNRHHHHSSKNGGGFMVHDYEFSCSNSPNPAFFNMSKRKHHFSFPCINAPNVIDEEPLPCQYQLSPIEFDIENVSKDGKAMVPKTPEYAFNFKFDSSEERKSPLLSPFSVRVSNYSALGDNDEIGNCQVDDEAEDFIRRFYEQLRTQGRMQLPGF >RHN73712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19236732:19237704:-1 gene:gene9564 transcript:rna9564 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKYFVFVFSCALIFFSVVAIAPSKHVGATEKFKISVEWKPRPGPSAESWVRNKGGHGSGSRGNDGGKGERFKGGKHKETEHDGGGGGGGKMKK >RHN60002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16293102:16293922:-1 gene:gene22183 transcript:rna22183 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLKVILQLKRRVFLPIHFKGKLTSFHVFGKQIKETNPNNDFWFSEANTEVLESLDIYRFPGQMTQNQAIIEPEARPKTKNNTLTIPAVSACCNFTVLGAKIINTLVRYPFVSPKRQVSIVTGISVRKNFGPCRHPKKRGKNNSLAMQQPIAIFAFSRRYTAVRLLPTRSKTLKQVIRRTGYQSLRS >RHN58203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44532988:44538455:-1 gene:gene33821 transcript:rna33821 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTSSKLDDLPAVALCRERCSFLDEAIHQRYSLSASHLSYINSLTSIGHSLHHFIQHDSTISSSSSSPPPPSPPPKTHHLSSTTKHSSPSPPHSDHDSGHLDFHSDSDDDDEHQFHDDDDHDFDSSPPHKQHQYYNNQFHINYAKNKPPPPSSIVYEHRPITNQTVYVGESSSSSSSYYNPYQQPYPYPPYNNNNNYYGYSNPPPSSSFYGSSPPPIPPSSKPPPAPPSPPKTSTWDFLNFFENHDKYYPQTTQYTPTATPSRDSNVVREEEGIPDLEDEDEVVKQVHGDQKLVPPPNSNHSNDHHDHGHLEDEEDESEVEYEVHVVEKKVVNDDDKPKSKSKPNSAFRPGNRNPLEVAREIQILFQRASDSGSHIADILEVGKLRYHHKAATSKMLHLVAPSLSVVSSASRNAQSGDANSVDLDVELTTRSRNLSSTLHKLYLWEKKLYQEVKSEEKMRVIHDRKCRKLKRLEERGADFDKVGLTRTVIGDLSTKISMAINVVDKISMTINKIRDEELWPQLKGLIQGFSRMWKSMLECHRSQCEAIREARILGSVASRKKSGDSHLLATKQLEQELINWTFQFAGWVSAQKGYVRALNNWLLKCLLYEPEETSDGIVPFSPSRIGAPQIFVICNQWSQALDRISEKEVVDTMHVFTMSVLHIWEQDKLELHQQLIQNKDLERKVRNIDRDDQKLQKQIQALERKIVMTSGDGKGLSVSENIIFQSDKSSNLQASLQRIFEAMERFTNESLRAYEELLLRSEEESTPRNHE >RHN71913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3528754:3532954:-1 gene:gene7564 transcript:rna7564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative clathrin light chain MSSSFDAFTDDLNVNSSSPHNFAASDQDDTFSGYGGYSNFDGDVTVDHAAAETTSPEIYGFSDPNPGYSPSPFESVTVENGNDNGNGYGDDDGVFVSDGPILPPPGEMESEEGYALREWRRQNAIELEEKEKREKEMRLKIIEEAEEYKVAFYEKRKLNVETNKVQNREREKLFVANQEKFHKEADKAYWKTIAELIPREVANIEKRRGKKDQDKKPSITVVQGPKPGKPTDLSRMRQILLKLKHTPPPHMIPPPPAPAKDAKDAKDGKETSSKATGSAAEGASGSQPKDVASNGPAEEPQKEASATEEQPAA >RHN38732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1632734:1635411:-1 gene:gene44697 transcript:rna44697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxolaurate decarboxylase MFKQEKHFVLIHGGIHGAWCWYKVATDLKSAGHKVTALDMAACGTNPKQMQEVHSISEYHQPLMTFMESLPLEEKVVLVGHSLGGLSVSIAMENYPHKIFVAVFITATVVTQNLTYPAFLQERRRRVGSILDKQNFIVNGPDKAPILSSNGLDLLASRMYQLSPSQDLTLALSLVRPLPPFLSDADLLMKQTTVTNENNGMVPKIFIISENDNLQTKDFQEWIIETTGPYAKVKMIEGSDHMVMLSNPTKLSSELLNISYNY >RHN52174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32343572:32347472:-1 gene:gene36811 transcript:rna36811 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQEEENIGASGEFYLLLDFLGGFEESLLLLTFLQLKIRKLALLQTDKNCKLSKPLIFIPYSTYHFITWLTIWYTYQILTAIHHGEYSCGSICSLLDSSFLGNLWNTGSALALRINFHWNLLLFLFYAFESIICCWGSSLDSSRFCSSPAEDELEEAVEVEEHHPARRMWREKRRGLCCCRLCNTPL >RHN78278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13553649:13555571:-1 gene:gene1813 transcript:rna1813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endonuclease/exonuclease/phosphatase MNVISWNCRGLGNVKAVPCIKDLIRVYKSDVVILIETLVESNKISDLCYTIGFDNHFSVDCIGRSGGLAILWRNSINCSLINYSQNFINMSVQDPIHDNWRLTAFYGYSDSGRHLASWDLLRHLSSL >RHN80136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35444367:35445116:-1 gene:gene4023 transcript:rna4023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flotillin-like protein 6 MNALTTTEKLKADLLSKASVEYETKVQEANWELYRKQKEAEAILYEKKAEAEAQKALSDATFYARKQAAEAELYAKKKEAEGIVTLGNAQGVYVSTLLNALGNDYTALRDYLMINGGMFQEMAKINAEAVRGLEPKISIWTNGGDNGGEGSMGMKEVAGVYKMLPPLFKTVHEQTGMLPPAWIGTLSDKSS >RHN73785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19874615:19876890:1 gene:gene9647 transcript:rna9647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Coiled-coil domain-containing protein MREQSENKSKSAKPKTGTKEKKKSEFEFCKVCKINHDQGLRHKYFPKHKQSLSTFLSRFKNKLSDVRFFLKTPSPLTPQLASGNRFWCVFCDQDIDEHSSSFACENAIRHLASVEHVNNLKHFFWKYGGTVDQLDVFTVSHNDVAKWDKRCANLKKEASLQSEESPGAVFGPSSDIHNQSNNENIDSFKNNIYSNSVNSNVVLPLHCYTNEYQVSSSGHSGVGNTGLLDIGKSSLPSEACSSANTLALQDFAGMLTLSIGNVIPYSLQLSVLDNGKVVSGESGIQMLTRISFVPAENGGGNVHSGAPPPWFETTEGVQMHPKPVLGDLVSHSNKSGKHKKLNPKRVGAAWAEKRKIEMEMEKRGETVRNECDASWLPNFGRVWQSGSRRESRKEFEKEKQELSNVEAQPEMPIKIQPYVSKRMVRFTF >RHN61721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37815216:37817928:-1 gene:gene24211 transcript:rna24211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MMMVVWDTRERSCCNSFEAHEDYISDITFASDAMKLLATSGDGTLSVCNLRRNKVQAQSEFSEDELLSVVLMKNGRKVVCGSQTGIMLLYSWGCFKDCSDRFVDLSSNSIDTMLKLDEDRIITGSENGMINLVGILPNRVIQPVAEHSEYPVERLASSNVL >RHN41781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32876911:32877591:-1 gene:gene48140 transcript:rna48140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquilin MHACICIQSLIHYLCCYAIQDEEVVACKLKGVAESVDINVRFFNGSKFCVEAALESFKELVVGNCDIPIQNQRLIHKGKILQNHQSHKSYEKEEVTSKERSKNDSLPSDNA >RHN54489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9969672:9975162:-1 gene:gene29541 transcript:rna29541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MLEVVIVAVAATLGNLLIGWDSSTIAGGMTYIKQEFDLEKDPTLEGLIVSMSFITGTVVTIFSGTISDMVGRRPMLITSSIMFIIGGLVMLWAPNVMVVLLSRIIGGVAIALAVTFNPLYISEIAPPDIRGQLNTLTQFACSGGMFLAYILVFSMSLLPSPSWRVMLSVISIPSVAYFLLAVFYLPESPRWLVSKGRMLEAEKVLQRLRRVEDVSGELALLAEGLSPGGEDISIEEYVVAPASEILVNQEAGKDYIKLYGPNEGVTMVAQPVDGQGSMLSRSMLSMHASRSMLSQQGSFASQAAANLKDPIVNLFGSLQESTLIESGRSRSMLINNADSFFNTGDPESSPFGTSDNLRAPLMSFQGGADRTFGSKEVLDMRSNSSLVHGNYVGTPRNTDIGGGWQLVYKSTDDAMGGKREGLQRVYLHADTSAAAVSQSPHVSFVSTSGYDIPIDGGEAFQAAGIVSRSILGTSDALSVPETAAKGPKWRALLEPGVKRALIVGIGLQILQQAAGINGFLYYAPQILEQAGVGALLSNLGISSISASFLVNIITTFCMLPCIAIAIRLMDVAGRRSIMLYTIPILIVCLLVLVLKQFFQLSSVLNAAISAVSVVVYESVFCMGLGVIPNIICAEIFPTSVRGLCISLTSLTYWVCTLAVTLTFPYLLQLLGLSGVFALFVGGCIISWIFVYLKVPETKGMPLEVIIEFFAIGAKPGTDPAEIGLKD >RHN38674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1217367:1217813:1 gene:gene44633 transcript:rna44633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase II transcription factor SIII, subunit A MMKRKDQTCLVDLCVEKAIDNVKYLGDVSHVDHHMLERILPHCTLDQLMHIEKSTQGMDLSPITDQLWRKFFEKQFGINCTNEVVKKMKEKRVSFTWLQLYEAKVKKVAQTENEAVDRLAQRYKEEDARKQSRQIKTCTKLPPSKRRF >RHN53122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42146830:42149267:-1 gene:gene37890 transcript:rna37890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-aminocyclopropane-1-carboxylate synthase MGLEIEQEHPCVELSNIATSETHGENSPYFAGWKAYDENPYHEITNPSGVIQMGLAENQVSFDLLEKYLEEHSEASTWGKGGSSFRDNALFQDYHGLKSFRKAMASFMEKIRGNKAKFDYERIVLTAGATAANELLTFILANPGDALLVPTPYYPGFDRDLRWRTGVNIIPIHCDSSNNFQITLEALETAYKNAESMNMKVKAVLITNPSNPLGISIQRSVLEDILNFVTRKNIHLVSDEIYSGSVFSSHEFISIAEILESRQYKDAERCHIVYSLSKDLGLPGFRVGTIYSYNDKVVTTARRMSSFTLISSQTQHLLASMLSDESFTDNYIKVNRERLRKRYEMIIEGLKSAGIECLKGNAGLFCWMNMSPMLESNTREGELKLWNEILNEVKLNISPGCSCHCSEPGWFRVCFANMSEETLELALKRIRDFMNNKDRKDIGI >RHN72866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11353410:11354777:1 gene:gene8628 transcript:rna8628 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKMEEAKHSIRFHHLGLVVRYKKYVEKKSGKKRRRKTKTISHLYGRETDKRKQEVLVGFTYYFFFKLGIKIR >RHN80789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40881059:40883250:1 gene:gene4755 transcript:rna4755 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKHQGKAVEKHEDTWSTNQDGVASAKISKVAFDDKGKESSEEKTKRFEPVDAASKRPLVMALPSQIHETSHCILLEGKNSIDVKHDGNVILLVPRDGGEAMEKHEDTWSINQDGVAEAKISKVGFKDKDKGSLEEKTKRFEPIVAASKRPLVMALPSLIHETSHYIHLESKTSIDVKHDGNVIPSVPHDGVLKKINVQKRPTDDLNSEFSAVGEKRRKTTSSHVEKPSTSEKFAHPSGKVDVSASKFLHVCRRLLYELKTIALDPFHGVKRKIHSIVIKFFLRFRSLAYQKCLLLSPPTKNEAPEVRATKSPDDHASALLRMKPVKHIVQPDNPSKAARKRAATDRQEEIDAKRLKKMKALKASDTEKKAATQAPPKVVKPGSTGKVERPAMRFEPTELTIKFPPMTSLPSVAELKARFARFGPIHQSSLRVSSKSSTCRVVFFRKIDAQAAHTFAVANQSLFGNADVRYFLKELELSSPNISEVAKASEDIGANETQPIKDPAVVQQPLPKPMIHLKSILKKKSNRDVLGQGTSKRTQRVKFMLAGEESSRGNRNNSNLDDGSAHSSVAKGFNTKNVQKVISQPSLPILPFHTQSAKTTQHNLHNFEMAPIHTPNFTNTGASTSTTTVDISQQMMGLLTRCHGVVTNLTSSGYVPYRPL >RHN68537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36315117:36317514:-1 gene:gene16904 transcript:rna16904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(ADP-ribose) polymerase, catalytic domain-containing protein MSKSKIQRKVTITNHISQKENQKPQKTEKQPSWAVVRGFLACKNVQIQQQQQKEAKQQQQPQPLPEPKQPKKKQEQRKLKEERVSEDTNKKCKKMKCSGSLCNNTGMTMAKPETTTSATTTRTTTDIHKKKVSLGGCKNNLASSSSRSMKAPLNELNGRTVSASSSSSLSAASSNSSGAGSFRGMQFRRLSGCYECRMVVDPVLGFTRDSSLRSSICSCPDCGEIMKTESLELHQAVKHAVSELGPEDTSKNIVEIIFHSSWLKKQSPVCKIDRILKVQNTQKTITKFEEYRDSIKAKATNLSKKHPRCIADGNELLRFHCTTFVCSLGLNGSSNLCNSTSQCNVCGIIKHGFKLNGGDGILTTATSGKAHDKASSVLDVSGCESGDEKRAMLVCRVIAGRVKKNMEGGSNVMEEYDSVSAGDAGAYSNLDELYVNNPRAILPCFVVIYRGF >RHN63887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54792176:54799104:-1 gene:gene26642 transcript:rna26642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AKT1 MVLPMTMCGQEELELSRDGSHYSLTTGILPSLGARSNRRVKLRPLIISPYDRRYRIWETFLVTLVVYTAWVSPFEFGFLKKPQKPLSVTDNIVNGFFAIDIVLTFFVAYIDKTTYLFVDNPKQIAWKYAKTWFVLDLISIIPSELVAHISPAPMQTYGLFNMLRLWRLRRVSAMFSRLEKDRNYNYFWVRCAKLICVTLFAVHCAGCFYYLLAARYHDPEKTWIGESMKDFLQQSLWTRYVTTIYWSITTLTTVGYGDLHPVNEREMIFVIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRKFRDTIQAASSFAHRNQLPPRLQDQMLAHLCLKFRTDSEGLQQQETLESLPKAIRSSISHYLFYSLMDKVYLFKGVSNDLLFQLVSEMKPEYFPPKEDVILQNEAPTDFYILVTGAVDLVVLKGGVEQIVGEAKTGELCGEIGVLCYKPQHFTVRTKRLSQLLRLNRTTFLNIVQANVGDGTIIMNNLLQHLKELNDPIMEGVLVETENMLARGRMDLPVSLCFAAERGDDLLLQQLLKRGLEPNESDNNGRTALHIAACKGKENCVLLLLDYGANPNIRDSDGNVALWEAILGGHESVTKLLAENGATLQIGDVGQYACTAVEQNNFNLLQDIMRYGGDITLPNNNVGTTALHVAVSEDNVEIVKFLLEHGANIDKQDKYGWSPRDLADQQGHTEIKAIFEAKGEANSKIQSFVSVPIPERQDSKVRYLGRFTSEPTMPTPQDGSFHGNDGSWIQNRPRRKNNNFHNSLFGIMSAATKGENDQFFSVQTNNNARNGVKSVLRPTRVTISCPEKCEVAGKLVLLPESFKELLEIGSKKFGIVATKVVSKDGAEIDDIEVIRDGDHLVFVGTGGVLDSNCTTPSNGVNS >RHN76456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50405182:50410843:1 gene:gene12783 transcript:rna12783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative haloalkane dehalogenase MARGGQCFEKLRRCIRTVFFVAALVASLVVTSLPVVVAVVDVLVPCVLISRFTCVRCYGFKEHLSRYSFKSSLTDIPLVSIIRSFVIICVYSICDGPALSHGPYLGTVTLCSFVSIVVLSVKACVFTVNSQIEGEASVSLARKILHLKKSWGMPVLFLSSVVFALGHVVVAYRTSCRARRKLLFHRVDPEAVLSCKNVFSSYQKAPRSPIPSGGRTPKSESEMKRRPFGAARDEEVPVRLLADSDSLFITCQGLTLHYKLSLPGSPPSLSLSSSSFIESSSVCYTSSMSGGVSKFSRQLPYVSSKIQRQLYRTYSNQFQDSSSLYAPLLDGPVTSPLISEDIPVFHLDEICEDGETVKSDIPSLDQKVEGSGQVGIVLIHGFGGGVFSWRHVMNSLARQSNCTVAAFDRPGWGLTSRLRREDWEKTELPNPYKLESQVDLLLSFCSELGFSSVVLIGHDDGGLLALMTAQRVQRSMNSFNVTVKGVVLVNVSLSREVVPSFARILLHTSLGKKHLVRPLLRTEITQVINRRSWYDATKLTQEVLSLYKAPLYVEGWDEAVHEIGKLSSENILSAKNAESLLQDVKDISLLVIAGAEDSLVSLKSCQTMASKFVNSRLVAISGCGHLPHEECPKALLAAVLPFIGKLCSVYNSQS >RHN57307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38023226:38023712:-1 gene:gene32831 transcript:rna32831 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEASRGSKVGERLLVAMHLNCYQFKDCFLFYFDYFFYCSSQVRIVVNTFKLVGDY >RHN41669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31948996:31950097:-1 gene:gene48014 transcript:rna48014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative angiotensin-converting enzyme 2 MYFFSLFAFFYIFLSLFYLFCPLAIELSTMHDHTHQYPLPCLYCHPHSYIRMVQNLIERCMIFHMSQDQCIKALEEHAGIQPLVTLTVWRELQKENEEFFRAYLQQFIPPSPFT >RHN56320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29821634:29824880:-1 gene:gene31703 transcript:rna31703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamyl-tRNA reductase MAVSTSFYGAKLEPLFLKCCSSSSTTSSSYVTTHLSFFGSNKKSFVQSRGSIRCDASSSDVLIDPSDNAKSVSALQQLKASAADRYTKERSSIVVIGLSIHTTPVDVREKLAIPEAEWPRAIGELCNLNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSNTSGIPASELSKYLFLLYNKDATQHLFEVSAGLDSLVMGEGQILSQVKQVVKAGQGVNGFGRNISGLFKHAITVGKRVRTETNIAAGAVSVSSAAVELALMKLPDQASHGNARMLVIGAGKMGKLVIKHLVAKGCKKMVVVNRTEGRVAAIREEINDVEIIYKPLSEMLECIGEADVVFTSTASENPLIFKQNVKELPLASEEMGGKRLFVDISVPRNVGSCVDDLESVKVYNVDDLKEVVAANKEDRLRKAMEAQVIIGEESGQFEAWRDSLETVPTIKKLRAYAERLRVAELEKCLGKMGDDINKKTQKAVDDLSKGIVNKMLHGPMQHLRCDGSDSRTLSETLENMHALNRMFSLETEVSVLEQKIRAKVEQKPQ >RHN55330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17390059:17394907:-1 gene:gene30497 transcript:rna30497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ran-interacting Mog1 protein MSQDVVYQRPLFGGKISSTFPNRFQDVSDIRQVPDHQEVFADPSRDESLIVELLEFKPDIADDGSAAWFLQDLAREQDAEGTVVIEQSGVLEAPGLMYNNIPAVVTTAVGQMAISKGRQGREAQNIVKVYLANLRVKGVDTDVLITAYEPIVINPFSESADTVGAGMAVPASQAGCMSMDEVFKLAATSFKVYDWSLFDASRP >RHN78911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19934446:19935987:-1 gene:gene2579 transcript:rna2579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFSFSPLCCKQPAQVDEIHDMPFQIPLFSIEKVSPNLKDLALCSKDALKILNGGCLENNFQKVEVLRLHHFDETPVTFLNGFHAMFPNVVTLQVRGSSFETLFLSGGIDHINSQSPKKIQNLWLFELEQLRFIWQENFAGDHFVVQDLEGLTVLNCPNLITLVPSSLSLKYLTDLEVNNCKGLIYLITTKTAKSLVQLKRLVIANCEMMLDVVKIDEEKEEEEVIFENLEFMEFFSLSSLGSFCNGKQTFIFPSLLHFVVQGCPQLKIFSSGVTITPFLTGITLRVENRRIRWKDDLNTTIEQLFIEKVHSIFGSYFNFSAINVY >RHN67775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30159440:30162547:1 gene:gene16006 transcript:rna16006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MTRLFPANRLLQTPKNEWQQHAHSIPSRVLQEQILCQAQNFHPNGIFYGDNPLSHTFSALLLHLVLVTTITRIVRFILKPLKQPMIVSQIIGGVIIGPSFLGRSKWYHRHVKPEMAQFLMNNLGIMGFMFFMFVYGVKMDPGLLKKSGKMHVSVGLASITVPLTLVFVLALCLRKSMDKELATISSMGVLAGYIGTTAFPVLFCILKELNLINSDVGRLALSTALIGDAFGIGGVLAFEAGRQGEIKSENSLWFMISVVVVAILLLCCIRPTMMWINRKTPEGQEVDQSFVVAIILGVFVMAFITDMFGIAIVNGSFWLGLVTPDGPRLGTTMVQKTKTIMNEFLMPFSFIMVGQYFDFFALGASDWKSLQPLFLLILTGYSSKFFVTWLAAMYWRMPFRDGLTFSLIMSLRGQCELIMFVHFMDKRVSKFQSNLYLEFSSITKTHDSSKMVKYDKACCDNQHAFIPSAFDAFDFLALETVDLLHRVQRVMHSNVMSPRFMNVVLARIGFTIQKGLAAQLVARLPSTYYNYLLYTKANKIKVLIQSLWFVCMLIQIMNVAGFTLLLIMTVTITAIFTPLISILYDPTRPYMLNQRRDIQHNPPEVELRIVLCILDAENTNGLIHLLDVTNPTSEYPISVSSLRLFELEGRASPLFIDHAKQEVPPIYQWTNTVNTLESYQQFKSEFVKIQFFTAVAPKQSMFQNICELALEQEASLIILPFMNKAFSDHGIRNTTNLQVLDHAPCSIAIFVDKGLLENIVVGGSSMQHCRHKIAVFFLGGADAREALFYADRMVAKEEVSLTVIRFLSHNNEGDNVSEKKLDDGIVTWFWVKNETNQRVVYREVVVKSGEETIAAIHDMKDVGYDLLIVGRKQGINPSLLTGLSEWSESDELGIIGDYVSSQDFSTSASVLVVQQQIRRG >RHN57709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41208566:41210302:1 gene:gene33290 transcript:rna33290 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGVSNGVVDDQYEDQSVTKISALEKERDELVQENNEKKEEIKKLTLEMEELRSKGEEMREKIEEMRDVVEKSQDSAKAAEVIAARAADLETQIARMQHDMITDMSAGEEMKKEAEGLKEVLKDKEGRVEELVKEVEGLKKVKAESEARVKDLEKRIGVLEMKEIEERNKRIRVEEELRDTIGEKDREIDGFRNKVEELEKVGAEKKDEAGDWLNEKLSYEKALRESEEKAKGFESQIVQLLEEVGESGKMIKSLNEKAAEIVGREINGIHGEEKDFKLQWPIVAAGAGTTVAVFGAAALIYVYCAKRR >RHN78720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17794703:17795646:-1 gene:gene2365 transcript:rna2365 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVKSLIDSCSSRILLVTFLKLFSCEACIMKMKHFKECFFYSCLLPQEK >RHN44854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9105840:9110640:1 gene:gene39020 transcript:rna39020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Actin family MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDFLMKILTERGYSFTTSAEREIVRDVKEKLSYIALDYEQELETARTSSSVEKSYELPDGQVITIGDERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >RHN59315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9477582:9484741:-1 gene:gene21325 transcript:rna21325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MFSLLFLFVVCFILPLLMFFHKYRTNKNYPPGPKGLPIIGNLHQLDISKLYLQLSQFSKLYGPLFSLSLGLRQAIVVSSAEIAKEVLKDNGNVFSNRPILYGQQKLSYNGSEMVFSQYSDFWREIRKICVVHIFSAKRVSHYSSIRKFEVKKMIKKISGHVDSSSVTNLSEVLISLSSTIICRIAFGRSYENDGTEKSRFHEMLHEFQALLAEFFVCDYIPYMGWLDKLRGLHGRVDKNFKEFDEFYQEIIDEHLDPNREQIKDEEDIVDVLLQLKKHHLSSIDLTFDHIKAVLADMLVAATDTTAATSVWAITSLIKNPRVMKKVQEEIRNLGSKKEFIDENDIQNFPYLKAVIKETLRLHLPTPLLVPRESREKCIISGYKIPANTIVYVNAWAIQRDPSNWKNPEEFYPERFLECSTNFLGQDFEFIPFGAGRRICPGISMGVASVELILANLLYSFDWKLPHGLVKEDIDTETLPGITQHKKNPLCLVAKITM >RHN82664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55538261:55539376:1 gene:gene6842 transcript:rna6842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTEKTLTPLLPPSNSLHLPLHLPFDLVVVILCRLPVKFLLQLRCVCKSWNSLISEDSNFTRKHLQLSTSNLHRHHLNFIGNLSGPLDFPMSSVFNSVSTKHAMQLSYPPIISAYSNKVTTCDGMLCFKVSHSLALLCNPSIRKYKIMPPFKTPGSPIFNLVYDRFTHNYKIIAVIFDSNNKNEVNIHALGTDYWRKIQDLPYNKIHRRPGTFVSDTVNWLVYDRSRATKVIVSLDLEKESYQTLCSPHDMQFNIFNTLGVLRDCLCILYHSHRHKFSDIWIMKEYGNEQSWTKLLSIPHMEDGIYYDSKALYISKDDQLILEFHLKKGRRKSLLIYDSKNNTSKISEIQNINNWMTSNIYVESLMSPFVKS >RHN81405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45607641:45610002:-1 gene:gene5439 transcript:rna5439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor RAV family MELGGSSTDETVTSNDSLSANLSTPPPTLNSLSRVGSAASSVVDPEIYGVSGEAESRKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAARAYDIAALRFRGKDAVTNSKSLTGVGNDADEGETEFLNSHSKSEIVDMLRKHTYDDELKQSMRDMSGGRQRRNGELNGAVSRGACDAKAREQLFEKTVTPSDVGKLNRLVIPKQHAEKHFPLNAVAVAVACDGVSTAAAAAKGLLLNFEDVGGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLRAGDAVRFFRSTGPDRQLYIDCKARSIGVVGGQVDNNNNNTGGLFITVRPVVEPVQMVRLFGVNLLKLPGSEGVGGSCNGKRKEMELFALECSKKPKIIGAL >RHN69681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45491827:45496620:-1 gene:gene18184 transcript:rna18184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosinetriphosphatase MSSNSPASESKFVTDLILLAASAAFSCLILYTGYSYLDPNRQSSKKALEHKKAIANRLGRPLIKTNQYEDVIAGDVINPDHIDVEFDSIGGLETIKEALFELAILPLKRSELFTHGKLLGPQKGVLLYGPPGTGKTMLAKAIAKECGAAFINVRMSNLMSMWFGDATKLVAAIFSLAYKLQPAIIFIDEVDSFLGQRRSSDHEASLNMKTEFMALWDGFSTDQSARVMVLAATNRPSELDEAILRRFPQAFEVGIPDQKERAEILKVILKGERVEDNIDFSYIAGLCKGYTGSDLFDLCKKAAYFPIRELLDDEKKGKQSQEPRPLSQFDLENALSTSRTTAVASEYGVSSSRRTFPGESIKLIFTKTTPLSSCQHPSMVETWGNNWYRSECGMVNPSKFISLIT >RHN74895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37620099:37622457:-1 gene:gene11029 transcript:rna11029 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAGSFTHMPVLPTLKYTSKNSMTISIKCANNNKNKTKVDRKKPIGSTGGGGLGKGPGTTKNQRRKQPMGSTGSGGKGTDAQTENTQIPTNSDDDEDQLDNTFAFNLTSNEWVVVFLLLILFVGSTLFKAH >RHN60570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28343750:28345374:1 gene:gene22897 transcript:rna22897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MFKELSVCYTVVAVTFFSVAISGYWAYGNESEGLILSNFVDNGKPLVPKWFIYMTKWFLIQVYLQPTNEVLEQTFGDPKSPEFSNRNVIPRPISRSIAITISTLIAAMLPFFGDINSLIGAFGFMPLDFVLPVIFFNLTFKPSKRSLIFWLNVTIAVVFSALGAIAAIAAVRQIILDAKNYQLFANV >RHN38697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1364937:1369203:-1 gene:gene44658 transcript:rna44658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MMDSLRQTLGSFAMVALLFVSFIFLYHWSFPSFSTQLLLSHNGPLCQQQSNMSSNINEAYGDGLDKALAKASMGNNKTVIIAIVNKAYVEQDVMGDAVTMFDLFLSSFWLGEGTRSLIDNLLIVAVDQTAYDRCQFLRLNCYRLETYGVDFGGEKIYMSQEFIKMMWRRTSFLLEVLKRGYNLIFTDTDVMWLRNPFERLSKNETEDLQISTDVYLGDPWSEKHLINTGFFFVRSNKKTISLFETWYGKKDNSTGKKEQDVLIDLIEAGIFGHLGLKVRFLDTLYFSGFCQDSKDVRAVTIIHANCCRSITAKVADLKATLRDWKQFRRLEANSNVNINWTSHEWCWVSWGRPNRTSLG >RHN59577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12197780:12198813:-1 gene:gene21625 transcript:rna21625 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGCVLPGDSYPNWLSFNCDGSSVIFNAPQVEEHNLKSLMCIASSTADNITPDGLKNVLVKNYTKATIQIYKSETLASFKDEEKQNLVSSVEPGNKVEVVVVFGDGFIAKETTIYLIYEESVGKKLELNRESDSNIIAVGVDENECSVKSICTQEEPTEGFNQNKMKKKKEEKKKKKN >RHN75493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42702243:42709879:-1 gene:gene11711 transcript:rna11711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase NEK family MDQYEIMEQIGRGAFGAAILVNHKVEKKRYVLKKIRLARQTERCRRSAHQEMALIARIKHPYIVEFKEAWVEKGCYVCIVTGYCEGGDIAALMKKSNGAYFPEEKLCKWVTQLLLAVEYLHSNFVLHRDLKCSNIFLTKDHDVRLGDFGLAKTLKADDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCIYEMAAHRPAFKAFDLDGLISKINRSSIGTLPPCYSPSLKTLIKGMLRKNPEHRPTASDILKHPYLQPYVHQYCSSLCSPSASSPEKPIFAVHVPRKNMAESEESNSSSSDKGGLMSNKRNVSKAAPMGDEKITEVDLSMIDDNGSDLIMPGRERNSSSNVNAKTDKQEVRKQTHLEHNSNVGYKLARTVKNVKMGPKHEKVKETRSPMRESLMKAGGISTQKINTETLSKFPKSDFGVNGLKPNVEVPTLAPSKATLHSSKRIQGSHTSKYQQLPMIESTPKTKPRHKVTPPCGPIKQVVAVPGVSARPKQKTPPSLLKPPSFLGRIKQAGHDMPNAANDTERLDPNKIGQEAKMGHHRLPNGHLPHVSKEPLKAFESSTKGMQATSNSVSSSVSIQGFELFDFANTFIDLSEPTPPEHGSFNHTEIVGSRANSGGPDENISGESSQFTPTFQNTITRNEKVSPRLTLDHSVMYDSFLINQTTTSDVVECDVPSAKVTQEIKNLQDICKEMASINSLKHSLSISGKKSVCEVSPVSLPNNRPHKVSQPKLMYISTGDDKFMVRERVSSVAEIAPLNISTKISSQKVLEEEKGMVLQNLATERPASGHLPPAFDDVIHVIRHSSYRVGSDLPVKESTEKGVTNVDVGTLINVVKDDLEMRNVGTPLSLKSSNCSEVTSLNSNTTDNLEIRNLSLKSSISEHPDVEVLDVRIPDSLVSEPGSAKYNKYSIPTAKEEMPGNESFDVKSSKQRADALEGLLELSADLLQQNRLEELAVVLKPFGKDKVSSRETAIWLAKSLKGLMVEESGGRS >RHN52970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40749196:40753449:-1 gene:gene37712 transcript:rna37712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, toll-like receptor MASSNNSSLALVTSSRNNYYDVFVTFRGEDTRNNFTDFLFDALETKGIFAFRDDTNLQKGESIEPELLRAIEGSRVFVAVFSRNYASSTWCLQELEKICKCVQRSRKHILPVFYDVDPSVVRKQSGIYCEAFVKHEQRFQQDFEMVSRWREALKHVGSISGWDLRDKPQAGVIKKIVQKIMSILECKSSYISKDLVGIDSPIEALKNHLLLDSVDCVCAIGISGMGGIGKTTLAMALYDQISHRFSASCYIDDVTKIYSLHDGPLNAQKQILFQTLGIEHHLISNRYNATDLIRRRLRREKVLLILDNVNEVEQLEKIAVHREWLGAGSRIVVISRDEHILKEYGVDVFYKVPLLNMAESHKLFCRKAFKLENIILGNYQNLADEILSYANGLPLAITILGSFLFGRNVTEWKSALARLRESPNKDVMNVLHLSFDGLEETEQEIFLDIACFFNSWPMEEVKNILNCCGFHADIGLRVLNDKSLINTNYSHIEIHSLLEELGRKIVQENSSKEQRKWSRVWSKKQLYNVMVENMQKHVEAIVLNEEIDMNAEHVSKMNNLRFLIFKYGGCISGSPWSFSNKLKYVDWHEYPFKYLPSNFHPNELVELILKSSKIEQLWTNKKYLPNLKHLDLRHSLELVKILDFGEFPNLEKLNLEGCINLVELDPSIGLLRKLVYLNLYECKNLVSIPNNIFSLSSLEDLNMYGCSKVFKNPMHLKKKHDISESASHSRSMSSVFKWIMLPHHLRFSAPTRHTYLLPSLHSLVCLRDVDISFCHLSQVPDAIECLYSLERLNLEGNNFVTLPSLRKLSKLVYLNLQHCMLLESLPQLPSPTNIIRENNKYFWIWPTGLFIFNCPKLGERERCSSMTFSWLTQFIEANSQSYPTSFDWIQIVTPGNEIPIWINNKSVGDSIQIDRSPIMHDNNNYIIGFLCCAVFSMAPDCWMFPFAQEWTDKKLIRMSCRSATVILNGGLVMTKSSHLWIIYFPRESYSEFEKIHFNIFEGEDFSLEVKSCGYRWVCKEDLQEFNLTMMNQENFLAGKCKNLAIEDETQPEPEQEQESFISRVINFTEKKQQMDHKKVMKSLNLQNTICNEEH >RHN75341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41445014:41445420:-1 gene:gene11526 transcript:rna11526 gene_biotype:protein_coding transcript_biotype:protein_coding MTSISMVNISPKCNHAAYGECDGDWFGYASTICIKGNYYFRNEDSGSAHLIAYIEGDDDDDGGYDYAPAA >RHN51159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14606935:14607471:-1 gene:gene35545 transcript:rna35545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MKSTTQTSLFIFFLCIIVYAPLPAISISLYESLCNEYNPSINIKLCLKILKTDPKITSATNYHDLSEHILYMVLNNAAAVQLDYFEKRKLFPTDPALNSCVNEFYETIINELLKAVSLLPSDPKTARESAIAAGFGANKCQKAFENPEEKNVRAAVHLRNNEMYFLCVIASLSIFHLM >RHN70403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51217007:51220291:-1 gene:gene18982 transcript:rna18982 gene_biotype:protein_coding transcript_biotype:protein_coding MVVECGDSCSDTNIVHTPVGKSSMKRSSGNIDSSTNGNGEVGQASVTQPSKMVRVKVESKD >RHN53751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3842082:3851932:-1 gene:gene28694 transcript:rna28694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative COPII coat assembly protein, Sec16 MASNPPFQMEDQTDEDFFDKLVEDDDDVGPVKPVVIKSGNDEGNDSDDANAFANLSISDVDAGAFDNSVVGESGVEVKEELGVVKSDVGLDGGGDDDGKEGNLLMGSSSVECDSKTELGKEEIGIGSEFTAVAPVGKSNEVASSGLMDIAAVGESNEVALSGIKEKDWNCFSADDANGVGGFGSYSDFFSELGDQSSDFPVISHDNLNSQVSPVIEAHNVVLNSSVDYSQYQGVQGYDTSFDNHTGKQGDGLNTSVNYVQYQEGGGAYDASSNLHNNGQDLSSSQNWEDLYPGWKYDHITGQWYQIEDYNATTTSQQTSEANTAVDWAAASDGKTEISYLQQAAQSVAGTLAETGTTESVSSWNQVSQGNNGYLEHMVFDPQYPDWYYDTIAQEWRSLATYNSSVQSSVHGLQNGHTSTSTSSFNDDNSLYSEYSQAGNHVSQGVGSQAVNGSWSGSHGVSQAGNYDGSHGVGSQAVNGSWSGSHGVNQAGNYGGSQGVGSQAVNGSWSGSHGVNQAGNYGGSQGVGSQAVNGSWSGSHGVNHQQGFDMYATEASTKIGNNTASSGNQQVHHSYGNQQVNTSSSFGSVALNNKGSFEPKAFVPHRDIAHQFNYQDTEFDNGTFAPKTFVPHGDIAQQFNYPNTKFDEQKQFSNVFAENQNSHSYSQQPIQGGLQYSYAPHAGRSSAGRPSHALVTFGFGGKLIIMKDPSALTASYGSQDSVQGSISVLNLMEAVTGSNNSLTIGNATGDYFRALSQQSFPGPLVGGSVGSKELYKWLDERIARCESPDMDYKKGERLRLLLSLLKIACQHYGKLRSPFGTDTILKENDAPESAVAKLFASAKVNGTEFTQYGMPSHCLQNFPSEEQMKAIASEMQNLLVSGKKMEALQRAQEGQLWGPALVLASQLGEQFYVDTVRQMALRQLVAGSPLRTLCLLIAGRPNDVFPTEETSISGHPGAVGMPQQSEQAGSNDMLEDWEENLAVITANRTKGDELVMMHLGDCLWKEKREITAAHICYLIAEVNFSSYSDATRLCLIGADHWTRPRTYASPEAIQRTELYEYSKLLGNSQFVLHSFQPYKLIYAHMLAEVGKVSDSLKYCQAVLKSLKTGRAPEVETWKQLVLALEERIRTHQQGGYAANLAPAKLVGKLLNFFDSTAHRVVGGLPPPAPTSSQATVHGSEQHYQHMAPRVSTSQSTMAMSSLVPSASLEPISEWTADNNRMAAKPNRSVSEPDIGRSPRQESPSPDAQGKVQVSGGASRFSRFGFGSQLLQKTVGLVLRSGKQAKLGEKNKFYYDEKLKRWVEEGAEVPAEEAALPPPPPTTAAFQNGSADYNLKSALKTEGLTPNEFSSTRTSSPELSPGMPPIPPSSNQFSARSRLGVRSRYVDTFNQNGGSSANLFQSPSVQSVKPALPANAKFFIPAPVPSSSEQNMEAIAESNLEDSAANENPSTSSTNDWSYHPPKHAQTMTMQRFPSAGNISKQGQTDGNESHFSHSRRTASWSGSFNDSFSPPKMGEIKPSGAALGMPPSAFMPDPSSLMQGPTRSGSFGEDLQEVEL >RHN49015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51780358:51786336:-1 gene:gene43794 transcript:rna43794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MNTKGFDFNNDRAIAREFLKDFADVNGQAKYLLILQDVANHKTRSVEIDLEDLINYKDLDEEFLSRVTENTRRYIGIFADAIDELMPEPTETFVDDDHDILMTQRSDEGTEGADGSDPHQKMPPEIRRFFEVYIKASSKGRPFTIREVKASNIGQLVRIAGIVTRCSDVKPLMQVAVYTCEDCGFEIYQEVTARVFMPLFECPSKRCVMNKSKGNVILQLRASKFLRFQEAKIQELAEHVPKGHIPRTMTVHLRGELTRKVSPGDVVELSGIFLPIPYVGFRAMRAGLVADTYLEAMSVTHFKKKYEEYELIGDEEEQIKRLAEDGDIYDKLARSLAPEIFGHEDIKKALLLLLVGAPHRTLKDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGKGSSGVGLTAAVQKDPVTNEMVLEGGALVLSDMGICAIDEFDKMDESDRTSIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDRADMDNDLEMARHVVYVHQNKESPALGFTPLEPSLLRAYISTARRLSPTVPRELEEYIASAYSSIRQEEAKSTTPHSYTTIRTLLSILRISAALARLRFSETVAQSDVDEALRLMQMSKFSLYSDDRQRSGLDAISDIYSILRDEAARSSRMDVNYRDALNWISRKGYSEAQLKECLEEYAALNVWQIHPQTFDIKFIDA >RHN82250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52324265:52325044:-1 gene:gene6396 transcript:rna6396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gallate 1-beta-glucosyltransferase MTYEDPIKLLLVSFPAQGHINHLVGLGKYLAAKGATVIFTTTETAGKNMRAANNIIDKLATPIGDGTFAFEFFDDGLPDGSGSAFRALQHYAEIEVAGRHFISQMIKNHADSNKPFSCIINNYFFPWVCDVANEHNIPSVLSWTNSAAVFTTYYNYVHKLTSFPTNEEPYIDVQLNSSIVLKYNEISDFIHPFFPFPFLGKLILEEFKDLSKVFCVLVDTYEELEHDFIDYISKKSITIRPIGPSFKNPNAIIFASKTV >RHN38549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:118209:119999:-1 gene:gene44502 transcript:rna44502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bromodomain-containing protein MLSSNAMLFDRTIMQHDVFLIFNNAMNFNPPGTIYFKQVWHKMLLNILPPNFTIKYLILLMKQFFYHINLQARVIGELAKKVFDVLRTDPEKFEIEFSETRQQVGKKNQRDFTDSTHVKSNKTIIEVPSHNVSCSSHVTSSRKIAKTSFHDTSKHDHARDVEVHTGNKDIHICTSVAVDRHCTYRRFYRDEPIVSTIYDDRLKLLEHVSQQDNGYKDSLMLFVKDLGPTAQNIAKRKLLGCEIRTASAFSPASYMSMSQNPLNKMRSFKGKTNLEIDGEGKKIEGSSCSNYLDSTNCVEKFNRSNCGSKESDKKSRTTLDKNQEQLSLSTQDKCQTNILESRLESNYKLPPRPWLLVSNEYVSHSNQDKIYMQKSTAECVIGECENTEAQCSTVPLASNFVFNLPYLKTRLDQIDSSEQYKLL >RHN57214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37329423:37333570:1 gene:gene32724 transcript:rna32724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MSNSTTESITPLLPQNNNHLQENPIPDPIPQNGTVSGAVFNISTTMVGAGIMSIPATIKVLGIIPGLLVIVLVAVITDLTVEFMLRCTSSGKAVTYAGMVGESFGSVGSLAVKICVITTNLGVLIVYFIILGDVLCGNEFNGDTHLGILQQWFGIHWWTCRAFALLTVALFIMLPLVMLRRVDSLKYSSALSILLALVFVVICSSMALHALWSGKTQSVRILPDFSQVTALDLFTTVPIFVTGFGFHVNVHPIRAELVKPTDMSIAVRLSLLICVAIYFAIGFFGYLLFGESIMPDVLINFDQNSDSSIGRLLDDVVRLSYALHLALVFPIMNYSLRANIDELLFSKKNKPPLALDTPRFVSLTLVLLAFTYLLAVAIPNVWYFFQFLGSTTIPCLSFLFPAAIILRDRHDISKTKDQVIAIVVIILAVGTSGIAIWTNLYGSSSA >RHN68575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36674126:36678821:1 gene:gene16944 transcript:rna16944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, thioredoxin-like protein MEDQHLLETKEASSQAVEKLQVDASAIQIEDRDKETKSQNVVHDGPKFDSKLDDTSDVITDDNDEEKKINVTGDSGLAPINSETEIDNVGKEYSGGDKVEANRNFEAKSEDTNLDEMHKYGQDDLAKAENDGRDIESTDMDQKADEIPSEDNNLDPVFDGTEVPGMEVNRSTSTRKLDGDQDSPGVVEKAVALKNLVKEKSAVAVSTMLRRLSGKSDEADVGDFDDEGKNVSDVSEVNEAKLVSEKPVEKSAWNPLNYIKKSFDVDVENKTDQRDSVTNGPSSPIAMKGRIILYTKLGCQESRIFRLFLRTKRLRFVEINIDVYPSRKMELDKISGSTSVPKLFFNEICIGGLSELKNLDESGKLDEKIDFLITEAPLFETPSPPFSGEDDISVSGAVDELALVVHKMKESITVKDRLYKMRRFTNCFLGSDALDFLSEDQYLERQEAVEFARKLADKFFFRHVLDENLFEDGNHVYRFLDDDPIVMSQCYNIPKGIITINPKPIAEIASRLRFLASAMFEAYAIEDGCRVDYTSLHGSEEFARYLRIVEELQRVEILDLSREEKLAFFINLYNMMTIHAILILGHPDGALERRKLFGEFKYVIGGSTYSLSAIQNGILRGNLRPPYNLKKPFGAKDKRLKVALPYPEPMIHFALVCGTRSGPALRCYSPGKIDEELLDATRNFLRSGGLVIDLTAKTAYVSKILKWYSIDFGKSEVEVIKHVSNYLDPTDSAILLDLLASSELKVTYQPYDWGLNY >RHN44111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1783079:1788744:1 gene:gene38170 transcript:rna38170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I, cationic amino acid transporter MGIRSNSPSSSFSSSSSTCCSRFLSSALRSKRVISPSEKTARDNSGHGLSRRLGVFDLILLGIGASIGAGIFVVTGTVAHDVGPAVTLSFIFAGASCVINALCYAELASRFPAVVGGAYLYTYTAFNELTAFLVFSQLMLDYHIGAASIARSLANYIVTFLEIFPVFKDNIPKWIGHGLNIGNVLSINALAPILLILLTLILCLGVRESSAVNSFMTVTKIIIVIVVIFAGAFEVDVSNWSPFVPNGIRPVFTGATVVFFAYVGFDAVANSAEESKKPQRDLPIGIIGSLLVCIALYIGVCLVITGMVPYSLLGEEAPLAEAFKSKGLKFVSVLISIGAIAGLTTALLVGLYVQSRLYLGLGRDGLLPAIFAKVHPKRHTPLHSQIWVGCVASIMAGLLNVRMLSHILSVGTLTGYSVVSACVVVLRWKGKTNIEVSSAKRREGVICLIAVAVSGFAAGLLFRYETSIIFVILAIIVAVGASLALHFRQVYAADSVGFSCPGVPIVPNVCIFFNMFLFGQLHHEAWVRFVILSILMVGVYAIYGQYHADPTADESIVYHEAPEEPPQ >RHN44255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2955720:2958563:1 gene:gene38351 transcript:rna38351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MSGKHHNNLTLKVPLLSKWKLGHFRDDEEKVIASHPSNKNAISFFRTCLNGVNAISGVGILSVPYALASGGWLSLALLFCIAAAAFYSGILMKRCMEKNSNIKTYPDIGELAFGKIGRLIVSISMYTELYLVSIGFLILEGDNLSNLFPIEEFQVFGLSIGAKKFFVILVAVIILPTIWLDNLSLLSYVSASGVFASGVIILSISWTAAFDGIGVHQKGDIVNWSGIPTAVSLYAFCYCAHPVFPTLYNSMRNKHQFSNVLIVCFILSTAGYASMAIIGYLMFGSKVDSQITLNLPLNKISSRIAIYTTLVNPISKFALMATPITNALKDLLPRTYKNNRVTNMFVSTVLVISTVIVALAVPFFGSLMSLVGAFLSVTASILLPCLCYLKIFGSYSKFGFETVTIVVIILVAIAMGISGTYISFVEIVQNLQ >RHN62543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44235900:44237083:-1 gene:gene25134 transcript:rna25134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MSLIPSFFGGRRSNVFDPFSLDVWDPFKDFSFPNSALSASSFPQENSAFVSTRIDWKETPEAHVFKADLPGLKKEEVKVEIEDDRVLQISGERNVEKEDKNNQWHRVERSSGKFMRRFRLPENAKMDQVKAAMENGVLTVTVPKEEVKKPDVKSIEISG >RHN56550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31837103:31839772:1 gene:gene31966 transcript:rna31966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MRARVCEDERTVRLRPQRREDVRCSSSPAERSNWGGREPSWVPLKGGVGGDWLVVSPRMGKARRQGDRGRDRQRKVKRFRDWDEAGKRQSRTRDSRRFGYGSDADFYQDRLAERSDEELRKDWLRFENRNRASGHSSGVAGYARRIPALDRLGEGGRKQDTRDISGATKALTNAALFRRYVTFYFTNFPPFLSNFYLRKGFEVCGMLDEVVVPRRCNVNGERYGFVRYSNVRDVSKLLKAVNTVCFGNFQIKAKVANFDKAAARVVENLVVGAGAKDTAGGGVKVGKGVKSVVEGDVMEAGSKAVEGQSDGLEGRVPQARVGALDNVQVGDVTVSLGKGHSKEQQGGSGKSKFVKFDVRHTGGRPSIQNLVRMYRSSVEDLQWARSGVTATVTNGESIRGVQDRIADAGISEIDIIPLGADKVFIRSMSEVSVTTILNDAKDFFAYFFTNIVRWDKNLVPFQRGAWLRLYGIPLHAWNESFFKLCILDVGRLLRMDTCTVEKERFDYARILVATNSLEIINCSEKLLIDGVLVTVKVVEEWGFNIGDDACLYEEEENGSIEGQADPEDVHIDHEHEVNADFLVDKIVQDFFDSESNGRIFEDKVEKAVSKTVKLKAVGGQSKVGRCSEALLEGDLQPLRLEPFVDQLMGSASPECKVDGHGSADVPVDRSVDADECPSDGGSVTVRKLRTRRMVSCPPRADRSVVSGPWSLDWINDQHLSDVGVVSSSRKFDAKGKRLKACRNIQEDVVVKRKKVKGLLRHSVLSLKKVARLPTKDRKVVMKILKKNDRKFKGSNSIRKTARMISKDLSENSSSSSSINNSDWKHWVVLHGSDKVVAEDVKTLGESIGVNLSGYNNRFGVLARKGMGKKKKLAFGDGGSGGAVEGVV >RHN53912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5266395:5268716:-1 gene:gene28877 transcript:rna28877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan 6-xylosyltransferase MVTTELSHSHFSSIMAKPIPRNKSSSIFLSDGFLFLGGAFSALLLVWGFSSFTNPIPNDNLTLKSHKNNVVSYSTPDLRFDPPDQTFYDDPEMEYTMSVKLEDWDEKREEWLKHHPSFSAGAKERVLMVTGSQPSPCKNPIGDHLLLRFFKNKVDYSRIHGYDIFYNNALLHPKMFAYWAKYPVVKAAMMAHPEAEWIWWVDSDALFTDMDFKLPLKRYKDHNLVVHGWPHLIHEKRSWTGLNAGVFLIRNCQWSLDFMEAWAGMGPQSPDYEEWGKTLRSTFKDKFFPESDDQTGLAYLIAIEKEKWGDKIYLEGEYYFEGYWEEIVETFSNISKKYEDIEKVEPKLRRRYAEKVSEAYGVIREEYLKDAGYGKGSWRRPFVTHFTGCQPCSGKYNEMYTADACWNGMKKALNFADNQVMRKFGFVHKDLGDNGVSSLPFDYPQP >RHN68882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39329723:39333869:-1 gene:gene17297 transcript:rna17297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyanohydrin beta-glucosyltransferase MSQEKQNKIEYTPHGHSQKPHVVLAPFPAQGHVNPFMQLAKLLRCNGFHITFVNTEFNHKRLIKSLGAEFVKGLPDFQFETIPDGLPESDKDATQDIPTLCDATRKNCYAPFKELVIKLNTSSPHIPVTCIIADGNYDFAGRVAKDLGIREIQLWTASTCGFVAYLQFEELVKRGILPFKDENFIADGTLDTSLDWISGIKDIRLKDLPSFMRVTDLNDIMFDFFCVEPPNCVRSSAIIINTFEELEGEALDTLRAKNPNIYSIGPLHMLGRHFPEKENGFAASGSSFWKNDSECIKWLSKWEPGSVLYINYGSITVMTDHHLKEFAWGIANSKLPFLWIMRPDVVMGEETSSLPQEFLDEVKDRGYITSWCYQDQVLSHPSVGGFLTHCGWNSTLETISYGVPTICWPFFAEQQTNCRYLCNTWKIGMEINYDVKREEIRELVMEMMEGEKGKEMRQKSLVWKKKATDATNLGGSSYINFYNLIKELLHHNAI >RHN46715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34285663:34290503:1 gene:gene41235 transcript:rna41235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-hydroxybenzoate polyprenyltransferase MASALISRAWRRFLKPSLLSSGFTPSIFNPLISSQQCVDRYPSPNVSVSPFKPSQFVIYNLEFCRSVGSLSNFQLVVHISTSPSRLGQDKENKNQSSSNVSSGGDISWVDLYLPRLVQPYARLARLDKPIGTWLLLWPCVWSITLAAPPGHLPDFKMLALFGCGAFLLRGAGCTINDLIDRDIDTKVERTKLRPVASGILTPFQGLSFLGFQLLLGLGILLQLNNYSRVLGASSLLLVFSYPLMKRFTFWPQAFLGLTFNWGALLGWAAIKGNLDSSIVLPLYASGVCWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDSTKEWLTGFGIASLSGLALSGFNAELGWPYYAFLGVASGHLGWQIWTVDLSSRSDCNRKFVSNKWYGAIIFGGILAGRLSS >RHN76845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1748489:1750120:-1 gene:gene225 transcript:rna225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PWI domain-containing protein MANNDNLKTCVSDKLMSLLGYSQPTIVQYIIGLSKQATSPADLVGKLVEFGFSSTDTRAFVEEIFSRVPRRSSGLNQYKKQ >RHN42637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39893328:39894226:1 gene:gene49102 transcript:rna49102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ALOG domain-containing protein MRRKALRHRPHVRSFQKTTSRDFHLCFSFSTAPTPTPTPIQYIHSFTLPCQPQNMSSSSSQIENSSLHPSSSKSNHHQQQQPLSRYESQKRRDWNTFNQYLKSQNPLVPLSHCNFNHVLDFLRYLDQFGKTKVHFQGCIFFGQPTPPAPCACPLKQAWGSLDALIGRLRAAYEENGGSSETNPFAGGAIRVYLREVKDSQQKARGIPYKKKKKKKKNYQIKGTTQADQGACVKQQDSYN >RHN65497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2711650:2713849:-1 gene:gene13340 transcript:rna13340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVRRYTTYKERIRPTASARRRGYKHATSSEQQVVEEPCQELEDPRLYVIQDIGADDVDVNGFPDGPIDTLVLKTYTDHVAGGLWDEVSRGEERDDINKQLSIVELAFSPLKGIVRPYTKVRVRPTASARRRGYKHATSSKQQVVDESCQEIEDPHQNIVQDIRDDADVNGFSGCLNDTSILKTYTDQVTDRPWDEVSQGEEIDAINKQLSILTITSSPPTLSSNHSFHVLPLPTLPFDLIQEILCWLPVKLLLQLRCVCKSWNSLITDTSFTKKHLSMSTTRHIHFVRYYDPSNKYILTSYPLHSNFSTMFTNVTRMEYHPNNYTPNSSCYIVGSCHGILCLAHFYDEGFILLWNPSIRKFKELPSFQKPNAISDTRMTFGFGYDPIMDNYKVVVVLGFSVWFNNGDVVDKTEVKVHTLGTKFWITIQEFPFGCIPYELSGKFLGGTINWLASKVGLRESPCFIVSLDLGNVSYQEVLLPEFGEVDFNYLTLGVLRDCLGLISDHDVWIMKEYGNKESWIKLFTVSYMEDPFYMWGPSKSYALTKVVYIFEDEQVLLESNGSWSKKLVVYDPKDDMFKLQYNCAYNLSDGAPEVYVESLLSPWS >RHN61441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35519617:35521048:-1 gene:gene23910 transcript:rna23910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-S seed storage protein, plant MDIDLSPQLAKKVYGGDGGSYYAWSPSELPMLREGNIGAAKLALHKNGFAVPRYSDSSKVAYVLQGSGVAGIVLPESKEKVVAIKEGDALALPFGVVTWWYNKEDTELVVLFLGDTSKAHKAGEFTDFFLTGPNGIFTGFSTEFVGRAWDLDENNVKTLVGKQSAKGIVKLDGKISLPQPKEEHKKGMALNCLEAPLDVDIKNGGRVVVLNTKNLPLVGEVGLGADLVRIDGRSMCSPGFSCDSALQVTYIVRGSGRVQVVGVDGKRVLETTLKSGDLFIVPRFFVVSKIADNDGMEWFSIITTPNPVFTHMAGSSSVWKALSPTVLQAAFNVDPEVEKLFRSKRTADAIFFPPPN >RHN72794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10727968:10730075:-1 gene:gene8550 transcript:rna8550 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHNMLSSNIKGVVNGFPLRIEAEKVVEKNVEMNGDFLKKMFEKIEWKAFVEASRGMGYTELPEEADSSMLDSNEFLNRFHHALLELHLEEGALVCPETGRRFPVKKGIPNMLLHEDEV >RHN50468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7361042:7362818:1 gene:gene34751 transcript:rna34751 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEITMSPRISFSHDFSQQGVIPIEKHPLRSNSSNMNSSIDFDFCVNETSELESSSADELFSHGIILPTELKKKKINVPLKQPTIQPTPPQNFALPPLYANGSKNSSKKMITKDVKELNNIDEVDEKHSSNSKSFWGFKRSSSCGSGYGRSLCPLPLLSKSNSTGSSTSVKRMLSKEGSNVKQNSKKNSSITRSSNSFGSNNHQKAPIKRCHGGAYANNVRVSPVLNVPYSNLFGFGSIFSNNRDKSKKK >RHN70888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54770990:54772293:1 gene:gene19520 transcript:rna19520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MFTYIKCLEKGKAYDNGCDYSIGKWVRDNRAPLYNGTTCKITKSQNCIVNGRPDSNYLHWRWKPSECHLSNFEPNTFLKLINNMHIAFVGDSLSRNQIESLICLLSTASKPKRVRHLGSRKWYFPSHNANLSFYWSPFLVRGVQRKNRGPIYNTMYLDHVNERWARDIDQMDLIVLSFGHWFMVPSIYYEGESIIGCFKCHDLKFNYTDIGIYVAMRKALRTTLNSIIERKVVKGNGNYVIVRTFSPSHFEGGWDKGGTCSKSEPYENGEKKLEEMDAEIRSIEIEEVKNAKSKAEQLGFNLEVLDITKLALLRPDGHAGAYMNPFPFANGIPKYVQNDCVHWCLPGPIDTWNEIFLEIMKKGKNHPHK >RHN46576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32900412:32900564:1 gene:gene41077 transcript:rna41077 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta MPNIYNALIVQGRDTVGQEINVTCEVQQLLGNNRVRVVAMSATYGPFLVV >RHN73191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14237746:14238441:-1 gene:gene8979 transcript:rna8979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MEGNKDEALRCIQIAEEAISSGNKSRALKFIKIAQRLNRDLPLDSLLAKCERLKSQSSASSTRGSNPPPLPKVPAKERPVRIMKENGLKGEKNYMEKNVNLIREILWEDDYYMILGLEKSCSIEEIRKAYKKLSLKVHPDKNKAPGSEDAFKKVSNAFQCLSDDGSRKEYDRGVWVNEDDDLNFYNKNHSYKRNECDHDDLNYDQKHSFGRRDDTCEDEFDPDDDIFNFEL >RHN50830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10904541:10905022:1 gene:gene35166 transcript:rna35166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MELCTKEVSLRAIPLFPNLIWIKLLFPSYSYICWDGVVERLLHCPKLQILFIKKWRFTSLSEEWKYPILVLEWDSSNLRSCTILNFDGSENDLQFAKYILQKSGLLQDMTIGNTTTDMMVLPKS >RHN73201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14338959:14341103:1 gene:gene8990 transcript:rna8990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TOG domain-containing protein MALRPIDNALPTITQERPKKQPKIALSTQKPQHSSNDENQIPLEPTIDYISSDNLKPMSDPEAQIQSLIEDLDSKNWVKVCESLNDVRRFALFNSSLLLPILDKIVLVVVKAMKNPRSALCKTSIMAASDIFNAFGDKLFDPSISEAFDGLLLQLLLKSSQDKKFVCEEADRALGSMVESMTPLPLLQKLRLSVSHKNLRIRAKAAVSLSKCVSKMGIEEMEEFGMEKLIEVAADLVNDRLPEARDAARSIATSVYEAIIKDVEEEQKMEVWQSFCHSKLTLINALSILKIVKL >RHN70608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52702573:52708671:-1 gene:gene19209 transcript:rna19209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine--tRNA ligase MGTLTLSLLKHYTPFSTTLFTHSSRTLSPGHLSAVFRRKNLPSFRAISSSSQPLTAEKNSHDGGENSRSKSPSPEVWLHNTMSKKKELFKPKVEKKVGMYVCGVTAYDLSHIGHARVYVNFDLLYRYFKHLGFQVCYVRNFTDVDDKIIARSKELGEDPISLSRRYCEEFCQDMVTLNCLPATVEPKVSEHMPQIIEMIEKILNNGYAYDVDGDIYFNVEKFPEYGKLSSRGLEDNRAGERVAVDSRKKNPADFALWKSTKPGEPFWESPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGIDLVFPHHENEIAQSCAACSKSDISVWMHNGFVTIDSVKMSKSLGNFFTIRQVVDVYHPLALRYFLMSAHYRSPMNYSNIHLESASDRVFYIYETLHECESFLKQHDQTVRKDSVPPDTLSIIGNFYDVFRTSMSDDLHTPVALAGMSDPLKLINDLLHTRKGKKQQFRIESLAALKKSIGDVLTVLGLMPSSYYEVLQQLKEKALNRANLTEDEILKKIEERDAARIQKEYAKSDSIRKGLAVLGIALMDSPNGTTWRPTIPLPLQEQL >RHN51918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28373010:28374442:-1 gene:gene36488 transcript:rna36488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MSNQTLPPPINDPYQYLKVQHNPNDTLTRNLEDPHTSPSLDTSLSVLTKDLTINQSNQTWLRLFLPKKATNVSNLNNKLLPLIVFFHGSGFIVLSAASTMFHNFCAEMAETVEAVVASVDYRLAPEHRLPAAYDDAMEALSLIRSSDDEWLTKYVDFSKCFLMGNSAGGTIAYHAGLRVVEKMNDLEPLKIQGLILRQPFFGGTNRTESELRLENDPVFPLCVSDLMWELALPIGVNRDHEYSNLRVGNGVDEKLAKIKDHEWRVLVSMNGGDPLVDRNKELVKLLEEKGVEVVKDFQEDGFHGVEFFELSKAKNFIEVVKGFIS >RHN75693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44361597:44370689:-1 gene:gene11931 transcript:rna11931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MTSSDVDWKPKIGMGFDSMEEANKFWLAYSFRVGFGVRVRFANKKEDGSVSSCRLVCCKEGLKRKEKRYAYEGKYTRADVRTNCPVRITLSRKNGKLVINDFEEEHNHDLQNSETKHMLRSHRKITEVQAYEIDLANDSGLRQKSTFQLLSTQAGHRANVGFTEVDVRNYITARRKRSMAYGEIGCLSKYFQRQLLENPSFFHAYQMDVEEHITNVFWCDAQMILDYGYFGDVVSLDTTYCTNYANRPLAFFSGFNHYRGSVIFGAALMYDETSESFRWLFDTFLQAHNNKKPKTIFTDQDQAMARAVADVMPETHHGLCTWHLLQNGVKHLGNMMKGGSSLLSDIKKCMYDIDIEADFEKLWFDMIHKFNIHDKSWIISTYELKKKWASCYMKGVLTLGMRSTQVSESLNAHFKSCMKPNVNILEFFNHFEIVVEEKRAKELSCVYESSHKLARLAYETAPILIQMGKTYTHTVFELFQDEFKLFLTLSVPIRHESDSLCEYVITKAKHEGSWRVSFNRVSNSITCSCRKFDTFGILCSHALKVFELNDVKVIPDNYILKRWTREARFGVVQDFRGKEVEGDPNLSRNRMFR >RHN80544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38955828:38957317:1 gene:gene4482 transcript:rna4482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase MFKFFKDFVGVTVAGLNDLPYTIGEPYSSAWGSWLHHRGTSKDDGSPVSIFSLAGSNAQDGHLAAGRNGVKRLRAVRHPNILSFLHSTEIETFDGGSSKVTIYIVTEPAMPLSDKIKELGLEGAQRDEYYARGLHQIAKAVSFLNNDCKLVSIHELSLGFLLVIYSW >RHN63501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51803073:51804625:1 gene:gene26203 transcript:rna26203 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDLLGHLISFASYVGIQNLLSWKLGVDEPFNVMAAASLTFGARGFMKPGSIRSRARNMALYSVKAGALGFPIGMIQMKLMESGIMVSPSISKSPSAENPHSVVKEDVEKN >RHN54274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8196574:8205301:1 gene:gene29279 transcript:rna29279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MINRNSRNTLRFLTRFHHHQHNPSSQFTSKTVFDENCKQLKRNVLPTENKAFNFFNPLTNFFKNKQLGHGISCGILQKCYYGSMGGAVQRSSRFSELNDDDVRYFEEILGKKNVVQDEDKLSVANIDWMHKYKGSSKLILQPCNTDQVSQILKYCNSRCLAVVPQGGNTGLVGGSVPVFDEVIVSLSSMNNIISFDKVSGILVCEAGCILENIMSFLDNEGFIMPLDLGAKGSCQIGGNVSTNAGGLRLVRYGSLHGNVLGVEAVLANGTVLDMLKTLRKDNTGYDLKHLFIGSEGSLGIVTKVSILTPPKLSSVNVALLACKDYSCCQKLLQEAKRKLGEILSAFEFLDGQSMDLVTNHLEGARNPFSTSHHNFYVLIETTGSDESSDKQKLEAFLLGSMENELIADGVLAQDINQASTFWRIREGISEALMKAGAVYKYDVSIPVENLYNLVEEMRSRLGDAANVIGYGHLGDGNLHLNVSVSQYDEKILSQIEPFVYEWTSKKRGSISAEHGVGLMKANEIFYSQSHETVQMMASIKNLMDPNHILNPYKVLPHSITS >RHN46242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29880171:29887616:-1 gene:gene40701 transcript:rna40701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prenylated rab acceptor PRA1 MDWGNVTAEDLIDALREVDWSSPPRPLSEFFSRFTVPRSSNKWNSRLKCNLYYYRTNYFILIVSVLILGFLRRPLAIVAALLTALSIAFLNDSFAGTFSEKVTRTVRQFSPHLAAKMRPPLTPVIRGRPSAKRAIYICGRPRWVFVSIFSSASFFLWFVSAGLLTVLWALAIGLLATILHASLRTPNLKARLNTFREEFRAVWRNYSEL >RHN78152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12335916:12341947:-1 gene:gene1676 transcript:rna1676 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKTHSNNDHEQLSEQATQDAGGGGSWGGWGFSSILSDLQKAAAVAAEEISRNAASVAETASKSIAELQIAAEDSESSKEDVVDEEAEKESDDESDAAPLRKSALDKLEKASEDSLLSQGLKVFDNSVETFASGAWSALGNAWRGGSELVHKLENSASNLGGSVQHDGPGTPGSNAPSLLETGKAFTAKGMQVLEYVGKETMDLLISETGIEIEKARKEGDDQSDEDQLSEEVTFDRCFYIYGGPEQLEELEALASHHALLFNRRKAKLSAEQKSVFDGKLKEVQQIFDLSIEIDAGADSNKGKTIKKGNAGSGDEIKNLHDSSVGKAAEMAAGFTNALAGLAANDIIQRTTGRLDSLHSEGVHRLSEMCCFAVSQLLTFGKSIISLANKTEDEEVDDDKTNIEWPEDVSAKAKIIRINAQTMIGYLEAVSTSFTTGISDVTEAYQAAIKGVTAESPTVVPKKTSVQEKASAFSEHLQADQITAISKIQDGVQFLAHVVLSTSMNVA >RHN63917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55029785:55036301:1 gene:gene26673 transcript:rna26673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome-b5 reductase MAAFLRRVARSTPIGFGAHSKSSRSNFHLPFTAIAAISGGFSYLYYSSSPNLVHSDQIGDEDIKTKNIALIPDKWVEFKLQDTARVSHDTHLYRFSFDPTKKLGLDVASCILTRAPFGQDAEEKPKYVIRPYTPISDTESKGYFDLLIKVYPEGKMSQHFASLKPGDMVEVKGPIEKFKYTPNMKKNIGMIAGGSGITPMLQVIEAILKNSDDKTQISLIYANVSPDDILLQQKLDILAKSHPNLKVFYTVDNPTKTWKGGAGYISKDMVVKGLPAPSDDTLVLVCGPPGMMQHISGEKAKDWTQGEVSGILKEAGYTEQMVYKF >RHN57442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39190575:39191362:1 gene:gene32987 transcript:rna32987 gene_biotype:protein_coding transcript_biotype:protein_coding MTETQPEENMQQAPVVSSSDGADNLQNDATVADVSIHIATVEKEEVKSDVLRDEVIWILQAITSTGRFWKVMNNHV >RHN53472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1874939:1875604:-1 gene:gene28381 transcript:rna28381 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKIVAFVVVLIAASTRDIPLDHQYHQNHVESPAPGPNSSDAVSFGSILGATLFSFIAYYLHIHA >RHN43120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43366790:43369530:-1 gene:gene49647 transcript:rna49647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger, rossmann-like alpha/beta/alpha sandwich MADMNATADEMVCYSPTMITTNGIWQGDNPLDYSLPLFILQVILVVIATRIFVFILKPIRQPRVIAEILGGIVLGPSVLGRNKTFADAVFPLRSVMVIETMANVGLLYFLFLVGVGMDASALRRIGRKSITIAVAGMILPFGTGALFSIFLLKNTEKAYVGAFVLMLGVVLSVTAFPVLARILAELKLINTELGRVALSSALINDVLSWVLLAIAIAMAENERVTLASIMVVLSSAAFVAFNVFVVRPIIMWIIRKTPEGETFSDFYICLILAGVMISGFITDAIGTHSVFGAFVFGLIIPTGPLGFALIEKLEDFVSGLLLPLFFAISGLKTDVGLIDGPSTWAVIILLVILACVGKVVGTLIVALSYQMSVSDGAALGMLMNTKGLVEILVLNIGRDQKVLDEGAFATMVVITIMMTGLIVPGISIIYRPSRGMISYKRRTIQMSKKDAEFRVLVCIHTPRNVPTMINLLEASNPTKKSPICIYVVHLVELTGRTSALLIVHTSRKSDHPALNRTEAQSDHIINAFENYEQHAEHVSVQPLTAISPYSTMHEDICTLAEEKRVAFIIIPFHKQQTVDGGMESTNMAFRTVNQNVLANSPCSVGILVDRGLNSSNRLIADQMSHHIAVLFFGGPDDREALSYGWRMSEHSGISLTVMRFVPGDEITMNENISTRDNNVNRQRVLDVETEEDSEKQMDEKFLHWFTMSHVNDDSIAYIEKVVNNGEETVAAIRSMGDVFGLFIVGRGQGVISPLTAGLTDWSECPELGAIGDLLASSDFATIASVLVVQQYVGAGTEDGLGTPVLTNEDYVSHHSVTPPRGHQSVFNTERL >RHN73868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20831917:20834298:-1 gene:gene9753 transcript:rna9753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLKLIGSSRSRWNHYNTTLLRFLHSSSTPTDTLFLRISRAGDPIIPITSILNQWIQEGRDVSHSDIHFFIKQLRIRRRFKQALQISEWMSNERNLHLLSGDIATRLDLIAKVHGLDQAEKYFNSVPHTSKDFKVYGAMLNCYAQYNSVEKVEYIMKKLKESSSDDNAQDYPVLLKLYYRTGQREKLVALMKEMKDKKIYDSSTLNSWLNAYAITGNIDEMEKLLAKIEVDHSITLNWRTYSAVADGYIKAGQFDKSLIVLKKSEQLIRGKSKRAAYQSLLTKYAATGKKDDVYRIWNICKSLNGSQNSTYISMLMSLSNLNDIDGAETILEEWESGNTCFDVRIPSAMVGAYCKNGLLEKAEAYVEKLLKRGGGKLDGRIWDRLSCGYYMYNDTDKAVHTMKKAILASPQGWKPYPFTFAACIEHMKEKRDFGLALEILGTCREQGHFSQATCDELISYVQGENSETNAWKLLKEHYHLRTDEVPVDGEKQHEM >RHN67973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31890912:31891478:1 gene:gene16243 transcript:rna16243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative unspecific monooxygenase MKFGNIDVPKGTGLWILILSLHTNPDIWGEDAYKFNPERFANGTAGACTHPHVYMPFGVGPRVCLGQNLAMLELKMLIALILSNFKFSLSPRYIHSPAFGLIFKISNKRTIHVCYILRQIYSLCEKF >RHN75278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40860490:40860861:-1 gene:gene11454 transcript:rna11454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTSISVDAIGRRKHKLSKRQNSIKGQDLISNLPDHIIGYILFFLPTKEAVRTSVLSKKWIYLWKFITKLDFDDTKHFSLNKIRKKGFVDFVDRQYMDMVSIYCPNTNNCSTFVVCLVFSNETF >RHN54551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10406554:10413719:-1 gene:gene29615 transcript:rna29615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MACEEVAHSRPVFLTIYTVVIVVSSLYVFSAIHYSSTTSSAWSSFPPLSNEDPRLLDRTFDASQLETMHAVPSAFPELQNVSRKPILNVPPRNKKMPPLKEFRLTKELVQQRVKDNIIIVTFGNYAFMDFILTWVKQLNDLGVSNYLVGAMDTKLLEALYWKGVPVFDMNSHMSTMDVGWGSPTFHKMGREKVILINSILPFGVELLMCDTDMVWLKNPLPYLARYPGADVLTSSDQVIPTVVDDSLEVWKEVGAAYNIGIFHWRPTESAIKLAKEWIELLVADDKIWDQNGFNDIVHRELGPSVDEDSGLVYAYDGNLKLGILPSSIFCSGHTYFVQGMYQQLRLEPYAVHTTFQYAGTEGKRHRLREAMLFDDPPEYYNPPGGFLSFRPSIPKSLLLSGKHTIGSHFILINYQMKQIRTALAIASLLNRTLVMPPLWCRIDRLWFPHPGVLEGSMTRQPFLCPLDHVFEVNAMLNELPEDEFGPDIDFREYSILDNPSLPSEVKKSWLDVHLCKEGTQNCNASNNVTVGGVLKFPKHSNEEMFMKVFSSFKDVKVIQFSSMQDAFTGFKDKEREDRFRKRVKHYTGIWCCVTDHTPGHIYYDIYWDEKPGWKPIPPQTSADDHPPW >RHN44958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10274113:10274812:1 gene:gene39146 transcript:rna39146 gene_biotype:protein_coding transcript_biotype:protein_coding MGADWGPVIVAVALFIVLSPGLLFQFPARIRVVEFGNMSTSGISILIHAIIYFCIFTILVIAIGIHVHFN >RHN57864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42228879:42229118:-1 gene:gene33453 transcript:rna33453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MNATQAESKPSGFVTSQRRESPNDPNGFVFRGGYVVGNGTVSLGRPWGPYSRVIFWGTYFTSVVTPQGWDAPGLDEGQE >RHN54137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7225011:7229691:1 gene:gene29127 transcript:rna29127 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSYCVKENKPCVGWVQNYFSDCLCNVNDNISFTFGFISLICWGVAEIPQIITNFRAKSSHGVSIVFLLTWVAGDIFNLVGCLLEPATLPTQYYTALLYTITTIVLVVQSFYYDYIYKWCKRRQKINIEETYEEEKKPLKPKERFELGIPIRSGRHRAIPKPEYYYGSARSLAGNVTPPSRTYMRVAKSGPSAMGLNEDSSSDDEAHSVPATQPRQIPRSAGSYGTFLAASINLPHQSNALKVGYIALSGRKLLSQEHVTHSALGQWLGWLMAAIYTGGRIPQIWLNIKRGSVEGLNPFMFIFALIANATYVGSILVRTTEWESIKANMPWLLDAIVCVALDLFIILQYINYRYHRKTTTSSDYGNYQDYKEARKTIVS >RHN64261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57745693:57747559:1 gene:gene27062 transcript:rna27062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MEQEEDRLSNLPIIILHHILSSLPEKDVARTSVLSKTWANTRFTFSKLSFSDVKFRGWIPQSKDDFERKRKKFIDYVTRTLSRFCDRGLTIKECKITLNHFELHCMSKDVNFWLKSTSESGVEVLELCLPSGPNHDEEGHDECYVLPMGVIEAKSLTKLVLMGGIRIDQAFMHQSIKFFSLIVLSLWEVLLGNEHAIEHLISCCPLIEHITLNRCLVLSLGGGTKLMKCLSMLGLPKLKTVDVQGIQEVYVDAPCLENLYYCHDVLDAPFKIHFDSCRNLKVVYLSNLKGNTITNEWFLDLFLKFPFLEILKFANCTMSETINISSVQLKVLELSHCLNLKEVNIDAPNLLSCTYTIGVVDGLEPVMSFVRSSSKLEVDVEIYIHYMELGYLKEFLQNIKPENVLASLSLSLFIYGRVEFFYETLMRRKDDDCVCGSSDTKCWWHGLKDLKVSTSKKIDENVDFKTWLESWTEIPNEDIIFRLKF >RHN61107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33012766:33015522:1 gene:gene23532 transcript:rna23532 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNTSTQVFKDNNNGNSETTRQEIKVAIAKAVELRALHAALMRGNSSTNAKFLSPSPVSRSVSQFSAHDYPVFTPSYEDDPIMGYNQNHTKCLTISESWDEGESGNSIETSVQDYRDKPSSRKGVLLPCGFTNLESHICPVDDTKSVTGSCANHITVLQTSPTNESYRCKRRNSLEDSKSVSSCNKCNPAIITSELESARNSKSSNIVVPATDSHSSFQSETKSKGVISWLFPRLKKKHKNENSPNRAESEDVSQVLKDIGIMSIEALKKELIEANENRDVALMEVSEMRCSLGELRQKMEYLENYCEELKKALKQSMQTQARDSQLCEQIKLSNLPQRGKSIDGNDENSMPVNEEVMIEGFLQIVSESRLSVKQFCKNLINNIEETDHSLIENLNSLLQPYKLSLNSKYSKAVLYHFEAFINQALYQDFENCIFQTNGCSKFIDRHEDRQAKFSSFVALRNLSWNEVLRKGTKYYSEEFSKFCDQKMSCITSTLNWIRPWPEQLLQAFFVAAKCMWLLHLLAFSFNPPLGVLRVEENRTFDHRYMEDMSPRSQGPSKVKIMVNPGFYVKDRVLRCKVLCRYKSTA >RHN43545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46713990:46726256:1 gene:gene50146 transcript:rna50146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling DDT family MRGKGLIVPPCYSRGGKVLQREKVDATSSSSYEMVVNGNWKKNRKRKSVQELYTTGDIVNTVLLNDAPTLGSEFDSLPSGPKNYNSACQQDQEPVKRRKASKSAIQSHPNCNMKAPVERHGMGKGLATNPNCKMKAPVKRHGMGKGLATNPNCNMKAPVKRHGMGKGLAANPNSNMKAPVKRHGMGKGLMTIWRATNHDARDLPISFGSVDKDVHLTSNTKTPISVNRSQKAVTTNGKPRNKMPNKKATLQGKRKHFVEKIVGESNQYATQNQLPIEKCELALDSSISDAGVDQISMLIDDEELELREIQEGSNLLICSDQLAANGMLGGSLCPDVLVKFPPGDVKMKKPIHLQPWDSSPELVKKLFKVFHFIYTYAVVVDVCPFTLDEFVQAFHDKDSMLLGQIHVALLTLLLSDIEVELSNGFCPHLNKSCNFLALLHSVENQEYSLDAWRRSLNPLTWIEILRQVLVAAGFGSKQGAFQREGLGKELDILVNYGLCPGTLKCELFKILSERGNNGCKVSELAKSMQIAELNLSSTTEELESLIYSTLSSDITLFEKISSSAYRLRMSTVAKDDDDSQSDTEDSGSVDDELNDSDTCSSGDDFGSGSIHSNIRKLRRHNSRKAKHNKLKVYTEIDESHAGEVWLLGLMDSEYSDLKIEEKLNALAALTGLLSSGSSIRMKDPVKVTADCSSSIQLRGSGAKIKRSVNPIEQMQCTKEVHMNSHACPVDSSLLVSKFHIQEASLEKRKVSAYSHPIQSVFLGSDRRYNRYWLFLGPCNIDDPGHRRVYFESSEDGHWEVIDTEEALCALLSVLDDRGKREALLIESLERRQTSLCRSMSRIKVSNIGMGCMSHSDQSELDRVAEDSCSPVSDVDNLNLTEITDYLPSPGAVVIEAGKKEEEQLHKWIRVQEYDSWIWNSFYLDLNVVKYGRRSYLDSLARCRSCHDLYWRDERHCKICHMTFELDFDLEEKYAIHIAMCREKEDSNTFPNHKVLPSQIQSLKAAIYAIESVMPEDALVGAWRKSAHNLWIKRLRRTSTLVELLQVLADFVGAFNDSWLFQCKFPDGVVEETIASFASMPHTSSALALWLVKLDAIIAPYLDRVQTQKSQGIGKHGSR >RHN53754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3860337:3862354:-1 gene:gene28697 transcript:rna28697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MGVVEDVTLIGGLIGVQFIYAGNAEIMSYSMSLGISPLTIVILTSIATFLILLPAAFCFERSKWPKNWSLKFIMQIWFLSFGGLAFQSLFLKGINLTSPAMGTAMPNLAPGFIFIIAWTFGLEKVNLSNKYSTLKILGTLLCVLGAFTMSIMQSISASATEKEAILPSSLAPSDFLFDMQKIIGCLYLMTSVLILSSNVVLQAFALGDFPAPMSLSAITSLFGGFMTTAVQLFDRDNLKTGLQLVSYGDLIGFSILAGSVSGISLSFNGWALKKRGPVFVSMFSPIGTMCSVILSVYTIGETINIGSIGGMFLMFSGLYLVLWAKGKEGYADVGDFSESEFDASKPLLLC >RHN59238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8705768:8707186:1 gene:gene21241 transcript:rna21241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDSASPLLNLPNGCWDCICKLLTDTNNEGFEDYNHVLKSLSVISKKFLSITSSLRFSLTISKNASLSFFCRDFQRFTNLTSLDFTLYNGCLNTVLCHLSRFSLNLTSLNLSNKPTIPANGLRVLSRNITTLTSLTCSNIESINSTDIFLIADCFPFLEELDLSNPKEFNNLSIFFDGVEALSLALFKLRKVNLSNHNYINDKLLFHLLKNCKHLEEVIMFKCSQLTKAGIASALWERPTLRSLSFTDYFDQDCAKLYAFIRNFPSLSEIKVEFKCMSVESLENASCLVDFGVRPQLKSLSLIYNCWLSNENLEMLSSLFPNLQLLDLSHCYDISEEGICQVLKRCCEIRDLNLAYCPRVGLSGMNFEISKLEVLNLSHTRVDDKTLYAISKSCCGLLQLLLENCRNVTGKGVMDVVKNCTQLTEVNLRRCYNVHPDVVDSMIFSRPSLRKIIVPPWYNKKRNLVLPSTLELL >RHN71107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56514529:56518704:-1 gene:gene19750 transcript:rna19750 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTVMKKRGGDTKQQQSGLKKSKKEKVRSLSAVAEALSMGCNLSDSHKSNPKKVFNECNAVDHSSVPRKIRSAMKKRGRESVLTDSEKLNHKFQRAESPKKDSIKKSKKQVVPGPITKDEQEVAETLYALAGMFPTSCGTNADNEVYRESLLKNSVSQEESTNATFQASEAVEDTNLIPESSSMGAAKISSSETIDVDDNDLTGSADNLVATQITAPKVNLQGVPMMVKSENGCKVEVHDSELSIEMGLNVSTESQFSHIGGKVEVEYETVGGIGCKQEQHIIKYQRENEGLTLLPGSTYATNASCLQSSAAAKAPHWLNAAICNSKHDLMESCSSGGKISEPVVHKKSWKSCAAHVHISQLIRSLEVPKKHGAKEPERYECHQPRVHQGSKCGVLIKAQNSNGTRNGNSFDVGTVHSASLDIFPETKNGILQQQCHYLDISLSKDPPMPAKCDPLKQNFNFLSLSLSAGGNGLKVEDCFTKGGIRRLEQFSKSQVPYFRSIQQQHGLMPIPTTPPNQYTSTSYIDQLPAAGPQVRLQQPHYYGTPLCGTNYSSAISYKQQYQNFWAAQLVAQGGSGVNNNVTRVQYPNWRSGRHETCAVNPGAQVMLPHHSLASLESLGSKITSTSEQQPFTPASSIPLSRMNGVEESRGRFHGSCASSLQLLCDERI >RHN55346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17525071:17528037:1 gene:gene30516 transcript:rna30516 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSASGSSSNEQQGEAPAYEIKGRTMSLEEWELNIQSESPVDFDSLAAHDCDIGKYYEKQGLGRYFNLLNGPTYQTLVRHFWVRASIYDREAAKIEEDEKVLLNPELKGKSRAEMGLETFSKTQIRSSIMGIRVWITEDTIAFVIRRPAEGEHEAGISKPKDSPWNAIVNKTLYNKVKDFAYADMNTKTKIMLKIQNENLLPKGGGGDQPSLEHKILLHFVLKGVEANIPRYIFRHMVHQLRESQLNKRSWVPYGRLLSEIFHQGGIIEMLKEAQIFTDEQLGTVRGKIINGETLRAMHLIKAKDVKKSPTDLKPSDAKSDLIPDFPPICKQDPLEVQRAYIMDHYKTYNQKISLKDVPDQMYGGELPVAKSRKSKKKQITKEEYLAENATEVGAQKHKKAKKVKSAMSTILEEVEDLDDVPLIKKRTRSTQETAEQPASEQAGLEQAASDQAASEKPPSPKNKREAALQTIKRKRSNLTRNLKTAEGRRAQMLEELKENWDEDSSPKKAKRTATSEPIVMPSFEMTEEMRQYAREVSASKIAEKKRLKEVFEKERDERLKAAGYVPTPDIAALASELETVQYGATLLSQALKNKQASGATSSDPVSKAPEAVHPEAQSSGNPSNAPTNTQIPSLPSSPSSSSTESDDQPLSQHIDKLLKTKPTKLTDLGTLDWEQTQIEFSKNRIKLCEKFNLPPTHPLYPDNPEPVSVQQTQPNPEPTTNSTTQKASEVASDATTSETPQHQEYSTLHNLEKHLGGEMQPTPTKASKTVPEKTVLETQTETQTIPEQTVQEQTASEQVAPDQTTSDQTTEQQQQPDSPTIIDLTSDKPSTSHTTQTEPSPIPDHILESEYIEEQLIRLSDEIQALILRRTVPAPPIHYYDQWMDLQKSFDELLDQLRTKCVSSHSAMLKKLLDDMHEAAKEKELSFVPLLDITPFYPEEEYITRAARIQAGYKRRMREKDELLQKKDDQIKYLLEQLYKQAQP >RHN64909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62912533:62914088:1 gene:gene27786 transcript:rna27786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative replication termination factor 2 MHRKSFQILVQSPDLQIHPKSVTGDETLSDLKHSIFPNSQSSFYFTLNGKPLSDDTNFSTSRIAPLSTLVLQSRLRGGGGDGGSTCAESRDCYLKMYAEKKPDKVDPNEQRLSKWQNCALSNEPLREPCVIDKLGNIFNKESLVEALLGKKLPKEFGYIKGLKDMIKIKLESVPGEDDGAKFRCPVAGLEFNGKYKFFALRNCGHVLSAKALKEVKSSACLVCHEEFGEGDKIVINGNEEEVEVLRERMEEEKAKVREKKTKKVKNNDSEAVDGLSLEASKLTGTKHGLNVEKASAKVDKNGKVANGNKGVNGGAAAAKRFKATDIAPANATEVYASIFTSSRKSEFKETYSCRSLPLGRN >RHN60209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23577133:23581233:1 gene:gene22468 transcript:rna22468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSSNMRTLFLFFNLYTSWLLICSSQLCLAGDTLNIGQKILSNETLNNLVSAGKMFELGFFTPSNNGNSQRYLGIWYHIQEESQQPQKQTVVWVANRDNPVAVDSIGVFQIAEDGNLVVLDTSGNEKRYWFSNSSSKIKDSSSFSPKIRTVKLMDSGNLVLYDDELMEVKLWESFENPTDTFLLGMNMDKNLSLTSWKGADDPSSGDFTFKTMDNRFIILNRSEIHWESEEHGKHDQLDDISFEVYNLLTNFSFLVYNSSNKFSSPEKRNRSVIRNEQYNNKRLFLNSTGVIQWVEDDLLVIWKQPRSKCLTYNACGNFASCNDDDSVCKCLPGFYNDNQGEEDSSRHCTRRKTTFCTENDTKFLNLTMIKTGRPDIKVTVESQKKCADLCHGMCPQSQCQAYSYAQVPDKQQRGLIPSNCWIWTHSLTTLKEEYTSWDDDRRLIILVDKLDIEPTPRTCEPCGTNTVPYPLSTGPNCGDLAYFNFRCNTTTGQGHLSFTAANNVSYRVIRVDQSRRKLTLHNEDYSFTSCDEGSKRTGSLNVSLPFRMTSDNTCSEQLEVSWEPPSEPICNNSVDCHGWNHSTCSKGKRCLCNANYNWNGESLNCTKTPRSSPTATKEPNREKSKSLFYLILGLSLFGVVALVGIIIFAYACRGRIVHMIKQDKDSVRRNIRGRFYDSERHVKDLIDMEGLEENDNEGIEVPYFDFESILMATDDFSDANKLGKGGFGPVYKGKLGGQEIAVKRLSNVSSQGLQEFKNEVVLIAKLQHRNLVKLRGYCVKGEEKILLYEYMPNKSLDLMIFDSTKSVILDWPMRFEIILGIARGLLYLHQDSRLRVIHRDLKTSNILLDEEMQPKISDFGLARIVGGKETEASTERVVGTYGYMSPEYALDGYFSTKSDVFSFGVVLLEIISGKKNTGFYRSKEISSLLGYAWRLWREDKLQDLMDPTLSDTYNVNQFIRCSQIGLLCVQDEPDDRPHMSNVVTMLDNETTTLLTPKQPTFFTRNKDLSSTASSSLQLDSIIEEGR >RHN76438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50247557:50252564:-1 gene:gene12764 transcript:rna12764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MAKGCSTTSNNYEAAREQRLVENKKRFEDLGISKISKTLTEIASPAKKSTNRLFRPKSKTNVVLEPRRSSRARNSVPSYAEEFGTDLPQLRRRARSSSSWGSYAYAARPLDEIKVATAQERKRAWDAAEALQINLQSSNPIFIKSMLRSHVYSCFWLGLPSRFCVEHLPKTDYTMVLEDEKGLEYDAVYLARKTGLSGGWRGFALEHKLDDGDAVVFELVEAARFKVYIVRAFENIDEEEEKEESDALVEDGNMCTSKTIKKSETVKPKEATKKTRMQKVSKVPTHEPKSETVKSEETSRTTRSSHKKQKISDVSTAIEPKEDAHLETVKPEAATKLPNKKRKAKEGDVSKKILLEDNAKAMKTDSERKEEPVEDVDVELKKVKLDDKASKPAAEKPRKIRAPKFFRKRG >RHN54420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9404701:9405660:-1 gene:gene29458 transcript:rna29458 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISKFPHTHQPIRSISFPTRGNPSSQRIEELLNHLKHHHQNSLSSNIHLEAEKIQSDLVGLAEIYNCMEELFNSPQTQQSLLRYQDGKLISDSLCSSITLLDACECSRDLLLVLREHIQTLQSAIRRRRKGDSSIENSVSSYENFRKKARKKISYQLLELKKMQNKVNSFSLCDQDQQLAFLVRVLREVNTITISILSSVLLFFSMPALGTKGSSLISKLKPMVFFSYEKEGKNKNEVEDLNNALCSLIGKDKNSDHSNSEGQRALRLLETLNVNVDSLEGGLDCIFRCLVKNRVLCLNMLTH >RHN70061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48401664:48405095:-1 gene:gene18604 transcript:rna18604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MGGGSIKKKISKNISLDHVLEALGETKEEREIRIRSLFNFFDGGNNGYIDYIQIEKGLSALQIPAEYKYARELFMVCDANSDGRVDYNEFRRYMDDKELELYCIFQAIDVEHSGSILPEELWDALDRAGIEMDEEELARFVEHVDKDNNGTITFEEWRDFLLLYPHEATIENIYHHWERVYHVDIGDQAVIPEDISKHANRSKYFIAGGIAGATSRTATAPLDRLKVMLQVQTTRSSVVSAVTTIWKQDNIRGFFRGNGLNVVKVSPESAIKFYAFEMLKKVIGEAQGNNSDIGAAGRLLAGGVAGGIAQTAIYPMDLIKTRLQTCASEGGRAPKLGTLTKNIWVQEGPRAFYRGLLPSVIGMIPYAGIDLAFYDTLKDMSKKYIIHDSDPGPLVQLGCGTISGTLGATCVYPLQVIRTRLQAQPLNSSDAYKGMFDAFCRTFQHEGFRGFYKGLLPNLLKVVPAASITYMVYESMKKNLDLE >RHN52375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34429375:34429685:-1 gene:gene37040 transcript:rna37040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3''-deamino-3''-oxonicotianamine reductase MGFSLLPSYGALMLTMTLFSKLSKPPSSKHCSVQLFLLRNLRLEYVDLYLIHWPVRLKEDVEGFNFTGEDVIPFDIKRTWKVMED >RHN44810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8701631:8702296:1 gene:gene38975 transcript:rna38975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MDHEHSLQRKPPGAPYTCTGCGELGFGSSYHCENINCSYVLHEVCANPDPYAFHPFFEKSYFEFHRKPPGYKTRYCNACGKDVLGFVYHCSSTDYDLHPCCLKLQHSISDDNGNVTLNLSKRVHSKCGKCKHKHVMGKVQGWSYYDGNSCYHVSCFKDLILQNWRMGYFSEGDREAQLVLTRSRRTRTMSKYTKIAVVIFKLLFSAIFGNPISAVFTLASN >RHN55504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19090502:19094162:-1 gene:gene30695 transcript:rna30695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-acylglycerol-3-phosphate O-acyltransferase MEVASPIHHRCLYLSYSRQQPINGLRGTPRPFFVSQIQNKQRNVLRLSFTPKFNDLCETGTTRFCYNKLKQLRRDVIVRYEITAASRDGDGVSEPKLEAKLRGICFYTVTSFSAIFLFIFMLLGHPFVLLFDRYRRKFHYFVAKLWASLTVTPFFKIEYEGLENLPSPDTPAVYVSNHQSFLDIYTLLTLGRSFKFISKTGIFLFPIIGWAMFLLGVIPLKRMDSRSQMDCLKRCIDLIKKGASVFFFPEGTRTKDGKLGAFKKGAFSIAAKTKVPIVPITLIGTGPIMPAGREGILNLGSVKVVIHKPIDGNDADMLCKEARNKIASVLTHD >RHN60863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31107762:31108602:1 gene:gene23250 transcript:rna23250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >RHN62238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41926107:41932144:-1 gene:gene24792 transcript:rna24792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGGCTSKPQKPNPYALRETETDPTQIPQTPQSPYTGNRKDDVIAGKKSPFFPFYSPSPARFLKKSPSTPASERSRNSTPRRFFRRPFPPPSPAKHIRAVLARRQGKKEAAAIPEEGEEGAGDLDKRFGFSKDFASKLEVGEEVGRGHFGYTSAAKFKKGEFKGQQVAVKVIPKAKMTTAIAIEDVRREVKILRALNGHSNLVKFYDAFEDQENVYIVMELCEGGELLDMILSRGGKYSEDDAKAVMVQILNVVAFCHLQGVVHRDLKPENFLYTTKDESSELKAIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPGFDEGPWPSLSSEAKDFVKRLLNKDPRKRISAAQALSHPWIRNYNDVKVPLDILIFKLMKTYMRSSSLRKAALRALSKTLTADELYYLREQFALLEPSKNGSISLENINKALKKHATDAMKESRITDFLSSLSSLQYRRMDFEEFCAAALSVHQLEALDRWEQHARCAYELFEKDGNRAIVIEELASELGLGPSIPVHVVLHDWIRHTDGKLSFLGFVKLLHGVSSRSLPKVQL >RHN68746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38219295:38222666:1 gene:gene17135 transcript:rna17135 gene_biotype:protein_coding transcript_biotype:protein_coding MIWRGRNARIFKNQFKHIAELVDEVKALSWCWALNRLRISSCLYYEWCWKPRECLLRRR >RHN69660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45312021:45313330:1 gene:gene18158 transcript:rna18158 gene_biotype:protein_coding transcript_biotype:protein_coding MERPGAEGEGYCWNGMASWIGMNLATAFFASLERCSCINLSTSDDPDDPLLILPSDSNRFSDDSSHPQPQPPPPSDNKNNAAAV >RHN55920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25423600:25425184:1 gene:gene31209 transcript:rna31209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDKIHKFIYALIFFLALFLVVNARNGCIVDPRCPYQQCRRPLYCRRR >RHN76400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49951873:49955276:-1 gene:gene12720 transcript:rna12720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MASHSRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQVEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFENLNHWREEFLIQASPSDPENFPFVVLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFECITKNALKNEPEEELYMPETIDVGGGGRQQRSTGCEC >RHN50204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5097803:5099858:-1 gene:gene34467 transcript:rna34467 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTNNLPPLFQTSNIMSSLVPLDVPHSSSHELSSIFVYLPSLRSLWVECSSERQLSHDAAIILDALHTINRKELEPTATTSQVSKHSLKSLLIQIGMNCQATNILKDIILQNIDVNRSGGCLLPGDSYPNWLTFLSEGSSVTLEVPQVEGRNLKTMMCIVYTSTPDNITSSGLKNVLVKNYTKATIQLYKSEALASFEDEEALRVVSSIEPGNKVEVVVVFENSFIVKKTAVYLVYDEPSVKRFSTEEEPNDDFNQNRKKKNRVE >RHN71842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3008689:3018368:1 gene:gene7482 transcript:rna7482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling CW-Zn-B3/VAL family MITDQVAVTMASSSVPSSSSSSISSSTLKRCFNSDCKDFRSTKKGWRLRTGDLAQLCDRCGSAFEEGRFCDIFHANTSGWRNCETCRKRIHCGCIVSSSTFVLLDPGGIECFTCARKNVILPSNLPWPQSLSLTNRLSERYRDMSGKSWSQLAGSGPVPWKQAPSLFNSASSSDQPPAVHSLVDLSNNFDKIYGNERLPPSSLEKKNEDLAGISANWNVKLGSSEMVLMNGMRNEDKSGPCLNMCQQPHTLKEDSSSQPFGLSVPYSSPNERNGQIGVTGIHPQQTPPHLGKQFGGTMHLPLDSSGEAQVRNGRPRADARGRSQLLPRYWPRCTELELQQISVDSNSVITPLFQKTLSASDAGRIGRLVLPKKCAETYFPPISQPEGLPLKILDAKGKEWIFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRLEPEGRLVMGFRKATNPLPSDQDNEANKTGNGFSAPEVELADPSSWSNVDKSGYIAKEALGSKSLISRKRKNNILGSKSKRLKIENEDIIELKITWQEAQGLLRPPPNHVPSIVVIEGFEFEEYEDAPVLGKPSIFTSDNMGERIQWARCEDCLKWRKLPACALLPAKWTCSDNSWDPERSSCSAAQELTTEQLENLLPPCNSVSKKMKATKQDPDHAEALEGLDTLANLAILGEGEALPSSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCLTVKRRFRTLMLRREKKQSEKEAETTRKKQQQQNFQQLPSSEILLDDDSLHNSNTGDSSPTMNKEGNDGSDDDPHRIKSSVSPFKGQIDLNIQPEREEELSPGSDSGGVMKLLHDAAAEMYLKQQQTLLNSGTGDSSGSQSQQVGDVVREVKLSNGVIHGSSSHNADKEHAQSLSMNV >RHN73087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13327632:13334633:-1 gene:gene8866 transcript:rna8866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor CG1-CAMTA family MMAQNNLTGQLVGSEIHGFHTLRDLDVGETLEEAKSRWLRPNEIHAILSNHKYFTIHVKPLNLPKSGTVVLFDRKMLRNFRKDGHNWKKKNDGKTVKEAHEHLKVGNEERIHVYYAHGQDNPGFVRRCYWLLDKSLEHIVLVHYRETQESQSSPVTPLNSNSSPISDPTTPWILSEDLDSGTSSGYTNGLNGNLTVRSHEQKLHELNTLDWDELVASNANTPTIKNGGNEPRYYQLNQSLPNGSFDNVAGNPSSEIPSYGILTPPESGSSNVSYCFPESVNDQKNHSMNFGGVDSVDTLVNEGLQSQNSFGTWMNNAISYTPCSVEASTLESSMPSSVTDPFSSVVMDNQQSSLPEQVFHITEVAPSWVSSTEKTKVLVTGYFLFDYQYLAKSNIMCVCGETSVPVEIVQVGVYRCWVLPHSPGFVNLYLSFDGHKPISQVVNFEYRTPILHDPAASMEETYNWVEFRLQMRLSHLLFTTPKTLDVFSSEVSPTSLKETKKFASKTLFLSKSFLHFMKSSDANAPPFPQAKNTLFEIALKNKLREWLLERIVLGCKTTEYDPQGQSVIHLCAMLGYTWAITLFSWSGLSLDFRDKFGWTALHWAAYNGMEKMVATLLSSGAKPNLVTDPTPENPGGCTAADLAYMKGYDGLAAYLSEKSLVEQFNDMSLAGNISGSLQTTTTDPVNAENLTEDQVYLKDTLAAYRTTAEAAARIQAAFREHSLKLRYQSVQFISPEEEARQIVAAMKIQHAFRNFETRKAMAAAARIQYRFRSWKLRREFLHMRRQAIRIQAAFRGFQVRRQYRKILWSVGILEKVILRWLLKRKGFRGLEVNPDEDMKDEKQESDVEEDFFKTGRKQAEERVERSVVRVQAMFRSKKAQQEYSRMKMAHSQAQLELELEELINSDVDMLTTKTS >RHN52891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40005399:40011309:-1 gene:gene37623 transcript:rna37623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein Ist1 MYKTKSLIFLLFFFLLLRLLPLLRSLSLCYYFANIRFSPSELKNRSLIRITDRSSTMLDGLLGRGFAAKCKSLIKLTKNRIDVIRRKRKATEKFLKKDIGDLLVNGLDVNAYGRAEGLIAELTLTSCYDFVEWTCDFVLKHLSVLQKLSGCPEECREAIACLIIAAARFSDLPELRDLRQIFQERYGNCLDCYVNQEFAANLNPKSFTLEQKVRLMQEISSEFQIKWDSKAFELRMSKSSAKGHNTHKSDHSVGKPLQGKAEILLDKSPDQSNGGHKYRNGKEAAVSKADENYLHPKSKLPEKGFKPITRYDEVNLPRDSHGNPLPGKEELTSQKGVYWKEGSMLKPPIGCSSQDKRVHQFDDGSDLHDRKGNTTRVRETPDTATARKSPSHAGFHSKSNLNEPFAVNHGGLPDLDNSQRKVQKDETPKVKPYYSNGIPPPYVKPNSKLKTSTQRTELASSHIDNDGIPTNPSIHQKSDAASTTERIRSSLDNSEQDVQASRHAQPSKQDYEKESAVLGEAREFPILKQKSTRRRHSKSRSTHNDANKEDAEAARKSKSKRRDESKRGLQILFDDEKHKKDEEERVIDRLLIHYSKKPTISMPEKARRRSKSRHAHQMDDGPDKTPDIIPRAPRSVSLPHEQSQEMEINKVFTRAASFQPDRAKEARHVHPNLPDYDDLAARLAALRGT >RHN46282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30374266:30375840:-1 gene:gene40754 transcript:rna40754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MASNQENVKLFGMMASPFVSRVEIALKLKGVEYKYELEKGGNLSDTLKKYNPIYKKVPVLVHNDKPISESLVILEYVDETWKQNPILPSDPYKRALARFWTKFIDDKCLSAARKAAFTIDEKEREKAIEETEEAFQVLENELKETFFGGEEIGIVDIAAVFIAFWFPIVQEATNLNLFTSEKFPKLYKWSQDFTNHPIVKDKLPPREGLLTYFKARYESLLASK >RHN61715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37762858:37775336:1 gene:gene24204 transcript:rna24204 gene_biotype:protein_coding transcript_biotype:protein_coding MNASSSPVQSFYVPPSQTHAAHMTYGEGSQLGAQTKLQNEVQLHSLWPFTF >RHN48435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47459606:47463133:-1 gene:gene43151 transcript:rna43151 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:pglcat5 MGLVERSKKKTHLWKKAMLHFSLCFVMGFFTGLAPTGKSLIFSSKFEISVSNRTEVVAPQPSQISTKNGNKSWTAPAPRIHSQKTAAAATTKLHVKPLPQLKPKRLLIIVTPTSTKLPYHNVFLRRLANTIKLVDQPLLWIVVEAKTESTELPEILRKTGIMYRHVVFSEEFMDLEAELNHQRNLALRHIEHHRLSGIVHFAGLSNVYDLQFFQQLRDIEVFGTWPTALLLANRKRVIIEGPVCDSSQVIGWHLRSMNNETITSPIHISSFAFNSSILWDPERWGRTSSVKDTSQNSIKFVKQVVLEDEAKLKGIPPYCSKILLWRFNFFYRRTISKH >RHN65357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1431226:1436139:-1 gene:gene13185 transcript:rna13185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prohibitin, Band 7 domain-containing protein MGSNQAANILSNLARVAFGLGAAATAVNSSLYTVDGGQRAVLFDRFRGILSESVGEGTHFLIPWVQKPYVFDIRTRPHTFSSISGTKDLQMVNLTLRVLSRPDTERLPTIVQNLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTDRPQVSALVRDSLVRRAKDFNILLDDVAITHLSYGGEFSRAVEQKQVAQQEAERSKFVVMKAEQERRAAIIRAEGESDAAKLISDATAVAGMGLIELRRIEASREIAATLAKSPNVSYLPGGKNLLMALNPSR >RHN42885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41675610:41680804:1 gene:gene49385 transcript:rna49385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein SCAI MSEDNDLTLQTFRALVENADHKFARVRDVPAYGRVNQNHFFHKVFKAYTRLWKYQQENRAKLIQCGLKRWEIGEIASRIGQLYFGQYMRASETRFLVEAYVFYEAILSRRYFEGSESKDLGVRSKELRFYARFLLVSLILNRVEMVKHLMDRFVALVDDCKSTFRDTNFKEWKLVVQEIVRFTKADKGFSIRPMRYCATFDSHQASLPYVARFHAKRVLKFHDALLASYHRNEVKFAELTLDVYRMLQCLEWEPSGSFYQKRIVRPKENGDVIDHSGASGIIDMNLAADMIDPNIPSNPTKATLYRPSVTHVIAVMATICEELPPDSVVLVYLSAPGKAGVNNGGSSKYSRHKVLSQSSDELNSGMSEFQNNGKRESSCHQDDFLWFGPKGNSGSNNLYPGDLIPFTRKPLFLIIDSDNSHAFKAGLSKLLYELHGAERGETAALFLSPLRPTFKKPADVNIHNGSQFTFFLTAPLSAFCEMIGLIPNEADTDVYNDAENIITNAFSEWEVILCSSTIMDLVWAQVITDPFLRRLILRFIFCRSVISFFCPPEESELHLPLCLPHLPTSVAPKSEAVRSVVVQLAKHFDVADSFHFTDNT >RHN48482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47825207:47828473:-1 gene:gene43202 transcript:rna43202 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVKCDQGGTFSKGELKGFQIQVSTRRSLLEYNPLRLKCAVQHL >RHN52786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38830691:38833146:1 gene:gene37497 transcript:rna37497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MAEFTENFQNIIRPSLPFLDSDQSMELLNQFMENSNMNMMHNLMPFSCDSILEHHQQEHVFPRNLEENFHGLVHHVNNQNHNAVQLSLPTIFQEENKVHHEGKKRKMMDFQETSSGNSTPAVSESGSKTKLSGGRGKRVKSYETEEEKAKEVVHVRARRGQATDSHSLAERVRRGKINEKLKCLQNIVPGCYKTMGMAVMLDEIINYVQSLQHQVEFLSLKLTAASTYYDFNSEADDLETMQRARASEAKELARYKRDGYGGISCFQPTWPL >RHN64528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59831514:59833006:-1 gene:gene27351 transcript:rna27351 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKFDIRALFLSHNHYACLNKWRREVDLIINHLLHTSHICATFSGIFLHKTKAKEKKESFLFSSFQP >RHN63004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47515822:47519684:-1 gene:gene25652 transcript:rna25652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MTQFFYHILLAIISLLTLKFVIITTRKLKNLPPGPSSIPIIGNIHQLKHPLHRTFHTLSQKYGQVFSLRFGSRFVVVVSSPSVVHECFTKNDTILANRPPLLAGKHIGYNYTAVTVAPYGDHWRNVRRIISLDVLSTHRLNSFLRIRKNEIMKLMQALARGSSSSSSDGFVRVELKTKLSEMTFNTIMRMISGKRYYGEDCDVSDEEEAKGFREMIKEMVSLGGSSNPGEFVGILRWFDFGGYEKKLKRIARRFDGFLQGLIDEHRRKKEKGNNMIDHLLNLQELSQPEYYTDQIIKGIVLVMILGGTETSATTLEWAMSALLNHPEVLKKARDEIDTNIGQDHLVEESDISKLPYLQNIIHETFRLHPAFALLAPHFSSQDCTIGGYIVPKNTILLVNAWAIHRDSQLWSDPTQFKPERFDKEGEADKLIPFGLGRRACPGANLGQRTVSLTLALLIQCFDWKRISEEEIDMTEGKGATTPKLIPLEAMCKARSNVINKVYLKVDENM >RHN82197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51888512:51889461:-1 gene:gene6337 transcript:rna6337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MQRIAAYFTEALTDRIHKTWPGLHRGLNSTRIIMLSEEIMVQKFFFELFPYLKLHSLWPWMMNHLQKAMLMNQNTSLGDLFEKDMVNGYSPSPSSTSSSSTASSSDSMNVENFLNALWSLSPKVMVVTEQDSNQNSSTLMERLLEALCSYAALFDCLESTVSRTIW >RHN55797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23906582:23916369:-1 gene:gene31059 transcript:rna31059 gene_biotype:protein_coding transcript_biotype:protein_coding MASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVILYNVSKHNTHENRLRCHAGVLRAKFKFLDAFSAGV >RHN58630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3456465:3457259:-1 gene:gene20557 transcript:rna20557 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLYTMELENCNCLSSRNNCIWSSYERIGNDPIVCANEFMARIKISSLKKLWKKIKKAKKRRIFRRSSPVFLYDPCSYLQNFDDGCYSIDPDNFSRSFSARFAGPPSKIFQKNIEVMDGEEIVEINDES >RHN50550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8058141:8058829:-1 gene:gene34849 transcript:rna34849 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLFGALPASKSKKIDVDLVHKIRRYIILGICKKFDHYL >RHN79842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32739356:32742942:-1 gene:gene3689 transcript:rna3689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L4/L1e MAAAARPLVTVHTVEGDMATDTPTSLPVPDVMRAAIRPDIVNFVHSNISKNARQPYAVSRKAGHQTSAESWGTGRAVSRIPRVAGGGTHRAGQAAFGNMCRGGRMFAPTRIWRKWHRKINVNQKRYAVVSAIAASAIPSLVLARGHRIETVPELPLVVGDSAEGVEKTKEAIKLLKSIGAYPDAEKAKDSLGIRPGKGKMRNRRYISRKGPLIVYGTEGAKAVKAFRNIPGVEITNVERLNLLKLAPGGHLGRFVIWTKTAFEKLDSIYGSFDKPSEKKKGYVLPRAKMVNSDLTRIINSDEVQSVVRPVKKDVKRATLKKNPLKNLNVMLRLNPYAKTAKRMALLAEAERVKSKKEKLDKKRKTVSKEEATAIKAAGKAWYNTMVSDSDYTEFDNFSKWLGVSQ >RHN58635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3487343:3488827:1 gene:gene20562 transcript:rna20562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MVVFGIGEILLIIFIVIYYWRLNKNKPMMKWPVFGMLPSIFYNMSNNHDHINSVLKQNGGTFIFEGPWLTNMKIVYTSDPMNVQHITSTKFENYGKGKNFREIFEPLGEGIFRSDFDAWKYNRTLLHSVFKQENFKVFFYKTTEKKIDSCLLLFLDNACKKGLQVDLQDVFRRLTFDNTCSVVLGFDPKCLSIELPKATWESAFSQGIKTIFYRHYKPRFLWKLQKWLQIGEEKKYTENQKILDQALYEKIESKRKIQGQQQFDVINALMNEMKDGKDPIDDKFLKDTTINLLAAGTDTISSGLTWFLWLVATHPFVEAKILEEIKENLPSREGNWKCLGIEGINKLVYLHAALCESLRLYPPVPFEHKSSLKPDVLPSGHKIKSNTMIVYSLYSIGRVEEIWGEDCLEFKPERWISKKGEIIHVPSYKFIAFNAGPRSCLGKEMSFIEMKMIIVAILLNYHIHVVEGQVVKPSISLILHMKHGLKVMVKKISI >RHN80157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35633137:35637858:-1 gene:gene4047 transcript:rna4047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase NEK family MEQYEVLEQIGKGSFASALLVRHKHENKRYVLKKIRLARQSDRIRRSAHQEMELISKVRNPFIVEYKDSWVEKGCFVCIVIGYCEGGDMAEAIKKANCVHFSEERLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTKDQDIRLGDFGLAKMLTSDDLASSIVGTPSYMCPELLADIPYGSKSDIWSLGCCVYEMAAHKPAFKALDMQALINKINKSLVAPLPTMYSGTFRSMVKSMLRKNPELRPSAADLLNHPHLQPYILKVHLKLNNPRRSTFPFQWTDSNHARRSRFLERESVSTISGRAKRLSFSNDRALNPSISGTEVGSLCSTQRAQGFSTCSKHYELSIGCVREEHNANNLKDTKFSIVDQMQRLGACKESAIPRRQTTPSKIAYTSSKRDSLPSSSTPASKFTPPSRRASLPLPTRSKATTTPYRSNVGPLRSVDSPDISVNAPRIDKIAEFSLAPGEDHPLFPVRGTSSTSAQCSSSSPRSAAADHSITKDKCTIQVMDKASVPTSGPLQHVATAVSSHSSADSHQRKFDTSSYQQRAEALEGLLEFSARLLQQQRFEELEVLLKPFGPEKVSPRETAIWLTKSFKETVV >RHN71453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:284859:286682:-1 gene:gene7057 transcript:rna7057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain-containing protein MEKTGDESPKNKVKFLCSYGGKVLPRSSDGVLKYVGGETRVICVPRDVTFSDMMKKVSSMVGGEVVLKYQLIPEELDALVSVRTNEDLKHMIEEHDRHETGGAPLLRAFLFPSKPLLLEGHNQMQGQPPGLHPLSVEPYLLEKRYIDAINGIVRTSPRSKFAPYSACSSPKSISPDKHTNTDSPFHHGLQQLKGRDTIQRVRSSPNLSNITNTNNNRQSPPQQRQQQQQQHDHGISSNSHYHHNPPIAFRPIQEQGISMGMGRLSPMNMNMMTDNNMSSSSRGISYYYSTANRPHKAYAYQDDPVGHIKFERVHSVPRSPRMSIWE >RHN80464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38310305:38318312:1 gene:gene4395 transcript:rna4395 gene_biotype:protein_coding transcript_biotype:protein_coding MYWNSLAHDVPNHQVVTQGDTPHEYYGEMSNKQVKFRDPVSNNEVDDPEGDGDHSERETPANWSSDNPPYNTTVDDPSSSYSPYLPPVLEEPSSSFSEAADDDPLPAIEGLQISGDPFPGRELQACGYSIHGTTSCNFEWIRHLDDGSFNYIEGAKQPNYLISADDVDTLLAIEVQPLDNRKRKGEPVQVFANDNKKITCEPEMQSHIEKSFHSGHASYKVSLSTGYLDIWEPATLAIKKEGYSIKCSGPNGVVITEKFSPSTNVMIPYGHISEFVIIGSTGAEHLLRAENSPTDVSGHRDTIVLTLRLFIRRAGDRKRGRRKGLFFNK >RHN75159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39873351:39873798:-1 gene:gene11321 transcript:rna11321 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLQSYHPLNLPYHVPPVTYVCCFSCRSGTKPNHQATNTHTKS >RHN58900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5820388:5824491:1 gene:gene20856 transcript:rna20856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MRITLVSFLSFILFYCIYINIHISFVSGICLDDQQSLLLQLKNNLKFNSKSSHKLKFWNSSIDCCDWNGVACDNRGFVIGLDLSEESITGGFDNTSSLFSLQNLQKLNLAANNFSSAIPPGFNKLVMLSYLNLSYANFVGQIPLEISQLTRLVTLDISSLSYLIGQGLKLENPNLQSLVQNLTSIRQLYLDGVIISAKGHEWSNALLPLHGLEELTMSNCNLTGPLESSLSRLENLSIIILDGNNFSSPVPETFSNFRNLTTLSLESCGLTGKFPQKIFQRGTLSFIDLTFNTNLHGSFPEFPSSGDLQTLRVSMTSFSGAFPYTIGNMRHLSELDLSNSNFNGILPNSLSNLIELRYIDLSFNSFTGPIPSFGMAKNLAHLDLSHNRLSGAIPSSSHFEGLHSLVSINLRDNSINGSIPSSLFALTLLQEIQLSSNRFSKFDEFINVSSSVINTLDLSSNNLSGSFPTSIFQLRSLSVLDLSFNRLNGLLQLDELLKLRNLTALDLSYNNISINVNVENADHTSFSNISTLMLASCNLKTFPSFLRNKSRLNILDLSNNQIHGTVPNWIWKLQNLQNLNVSHNMLTDFEGPLQNITSKLIALDLHNNQLKGPIPVFPEFASYLDYSMNKFDSVIPQDISNYLAFTTFLSLSNNTLQGSIPHSLCNASNLQVLDISINRISGAIPSCLMKMTQTLVVLNLKMNNLIGTIPDVFPPSCVLRTLDLQKNNLHGQIPKSLVKCSALEVLDLAQNNIIDIFPCLLKNISTIRVIVLRKNKFYGRIGCPKTHGTWPRLQIVDLAFNNFSGKLPGKCFTTWEAMRSDENQADCKVKHVQFEVLQFGQIYYHDSVTVTSKGQQMEYVKILTVFTAVDLSSNHFEGEIPKQLFDFKALYVLNLSNNALSGQIPSSIGNLKQLESLDLSNNSLDGEIPTQISTLSFLSFLNLSFNQLSGKIPTGTQLQSFPETSFIGNEKLYGPPLPTNNSNNKIRPTTESVMKFDWQYVSTGIGFGVGAGVVFAPMMFWERGKKWSNGIIDKILMAILPLFGLVYTPVDDDEEDDTKEDPNMTDDSEYNEEEDYWSYPRLYCVFCSKFDNNKKKVIHDPNCTCFHSSPGSNSTDYSDSYSY >RHN47140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37451809:37456909:-1 gene:gene41699 transcript:rna41699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair, letm1 ribosome-binding domain-containing protein MASRVILRKKRNLLFNHFISQHTSVILGFSSVGIGQPFESSELDGLSRFPFSSSATTGHGHDSHEHGRERNMYTVNNDDLEDCSASRFYLHNYFGGLNFGNRTEKIELVSLSRLGWTFQSVRNISTASANQSSLGSNSGGNGNEKSDTKQKKEASPEECDEAVEDLSTIKAKAKAKQLQEPHKSTESIVKKFWAMILGIGPAFRAILLMSRNDWAKKLSHWKDEFKSTLQHYWFGTKLLWADVRISSRLLLKLANGKSLSRRERQQLTRTTIDIFRLVPFAVFIIVPFMEILLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIEYAKFLQDTVKEMAKEVQNSRSGEMKKTAEDLDEFMNKVRTGARVSNDEILGFAKLFNDEFTLDNISRPRLVNMCKYMGISPYGTDTYLRYMLRKRLQEIKNDDKLIQEEGVEALSEAELRQACRDRGLLGLRSVEEMRQQLNDWLDLSLNHSLPSSLLILSRISLLGMHSHPSHSLCRAFSVTGKVKPEEVVQATLSSLPDEVVDTVGVTALPSEDSVSERKRKLEYLEMQEELIKEEEEKQAKVTESIGGERNLATKEVAPTTEQTREEIKAKALEKHEQLCEISQALVVLASASSVSKEREEFLRLVKKEMELYNSMVRKEGGEGEQEAKKAYRAARKDSDGALEVAISDKVSSALVDKVDAMLQTLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVVSAAMYLKDTLGKEGIQELISNLSKDIG >RHN61862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38961469:38966067:-1 gene:gene24368 transcript:rna24368 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLFTCSYCYLHSLVCKYQLVNSEVRTKRQKTVTVHLNAYKFIYSLLFSLSISLVSLSLHSRETYQRTFLFFLQSSLLLSQPRFTLSTLFSSEELQTKYMILQSLMEEKQLDFDQPLLSVRRASSTESSENNNKRKTEKPVSKRTRLPAYKSELKSGPVSNPGTVPFVWENSPGRPKDEGKLQTRDIEEPLVAPKLPPGRVLKVKQRDFDKIPKGTSVSQSRTGSTVSNSMSVASLESKEENHDSRNEVVPKKESSGSDHEDETYVDALDTLSRTESFFMNCSVSGLSGYGDREVQPPESFSADQQARDFMIDRFLPAAKAMASETPHIQYASRKPAVRQEQPRQVRKVESGAKPRPVDQKWQKVMPHYVQVTDREESEDESDDNDTYESYAPKVCGLFPRFCLLNPLQGLKMEDKIVNSSIHGVQGKSIASHRRTVKEHPRTANYGKKSQSGFTKEKDILCIQEKPKRDIDPHRRGCDKLPASVRTRFDSTCESPVVEKTLYVDSVQKASSEMKCPTDRREDDFEALRKDGSINTNLSIESSMEDSKHMVVVGGKPGLQPKGSVFLDSSLLVCSDRSNDAMQMKKMTNHSNKINTEKQGSNLDHGFSETAGPKMAGHKKIESNNEVPSNKLSSNGLIQNPDPLRNLKLASDSEFVLKSQCAAKLVDQECANVHDSNVNRSNLTSLKVVGGRKNDSENPFPMKLGHTKTSNTSSVKHPLALPSPKAPSESWLKRTLPTVSSKNMSSRSNLAAGIYAPTQTPNAAPLNPKWEIIVKTNAHHGHMRLTEELAPIPEA >RHN58735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4374608:4378344:1 gene:gene20675 transcript:rna20675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferroxidase MALSASKVSIFSPSPIVGHFSKNLTFSSLNLPMDGDKRKNVKVHAAAANAPTALTGVIFEPFEEVKKDVLAVPIAHNVSLARQNFQDEVESAINEQINVEYNVSYVYHSLFAYFDRDNVALKGLAKFFKESSEEEREHAEKLMKYQNIRGGRVVLHPIVSPPSEFDHAEKGDALYAMELALSLEKLVNEKLLNVHSVADRNNDPQLADFIESEFLVEQVESIKKISEYVTQLRLVGKGHGVWHFDQTLLH >RHN48045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44508754:44509327:1 gene:gene42716 transcript:rna42716 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVPAQTIAGYDRFPWDAILLQTNSALMNNTDPNVWASQIISTLRSTAVTLPSVDLAHRLVSHLFWNHHSPIAWKLLDIAASLNLLPPLLLVALLSTRIVPMRKVYPQAYRLYLELVKQYVLMLQFRNNSPNYEM >RHN56053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27209878:27210192:-1 gene:gene31376 transcript:rna31376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRVKLEIKRIENTTNRQVTFSKRRNGLIKKAYELSILCDIDIALIMFSPSKRLSHFSGKRRYILIAFSSYIICFLCKLGMVLFYLVIANEFIMSLFFFRDDCF >RHN73847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20603436:20604566:-1 gene:gene9729 transcript:rna9729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGEEENDTGNHSPTGDSLLHRQPFLLDELVFEILSRLPVKTLLQFQSVCKSWKTLISDPQFAQTHLRNLIVDPTITHQLFFYSPASEQYRITFIAVKPLFENLPEPPKEIEFSMEHKYRILGSCNGLLCLFSVNKGYVRLLNPSIEWKSKKSPTLDCYDDDKWITYDGFGYDHVHDKYKLLAVLANGFGGQVTQIHTFGENSWTTIPNFPFPVGRFSFSGSFVSGTLNWVGSRCSPNGDVILSFDLANETYKEVLLPEPDGVNVRSNCVLDVLSNCLCVCFESNNTHWDFWLMKKYGVAESWTRLMMIPLDKILQCLQFRPSFIQPLFMSENSYVLLRTYTKFFIYNLNNDRLDCLPGSYYLYPHIYHESLVSLKF >RHN57682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40992681:40997889:1 gene:gene33261 transcript:rna33261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose-phosphate synthase MAGNEWINGYLEAILSTGGGASTTVEEQQRVAAAARESGDHFNPTKYFVEEVVSAVDESDLHRTWLKVVATRNTRERSSRLENMCWRIWHLARKKKKVEGEELQRLAYRRWEREQGRRDATEDLSEELSEGEKGDGIGEIIQIETQQKKLQRHASSLEIWSDDKKEKKLYIILLSLHGLVRGENMELGRDSDTGGQIKYVVELARALAKTAGVYRVDLFTRQISSPDIDWSYGEPTEMLSAGQEDNDDDGSTGESSGAYIIRIPFGPRDKYLEKELLWPHIQEFVDGALAHILNMSKVLGEQVGGGQPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSWEDINSTYKIMRRIEAEELSLDAAELVITSTRQEIDEQWGLYDGFDVKLEKVLRARDRRGVNCHGRYMPRMAVIPPGMDFSNVVIQEDGPEVDGDLSQLTGGADGSSSPKALPSIWLEVMRFFTNPHKPMILALSRPDPKKNITTLLKAFGENRSLRKLANLTLIMGNRDDIEDMSSGSGNVLTTVLKLIDKYDLYGHVAYPKHHRQSDVPEIYRFAAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDINRALNNGLLVDPHDHQAIADALLKLLSEKNLWHECRNNGWKNIHLFSWPEHCRTYLTRVDACRMRHPQWQTTTTEDDVDVEESFNDSLKDVQDMSLRLSIDGEFAASSGGSNEDQVKRVLSKIRKQDSGSNHENMLLDNVSNKYPLLRRRRRLIVIALDSYDSNGDPDKKLIEIVQRIIKAVQLDPQTARVSGFALLTAMTMQETTEFLASGNVQVTEFDAIVCSSGSEVYYPGVHTEDGKLLPDQDYAVHIDYRWGVEGLKNTICKLMNASNGEETNGIATSPLEEDLKSSNAHCISYKINDPSKARKVDDLRQKLRMRGLRCHPMYCRGSSRMHVIPLLASRAQALRYFFVRWRLNVANMYVILGETGDTDYEEMISGTHKTIIMKGVVSKGSEELLRGPGSYQRDDVVPNESPLVACISETTEENIANALKQLSKSGGI >RHN52421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35176488:35180858:-1 gene:gene37098 transcript:rna37098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MGIEIVRQESIKEPGERTRLWRHDDIAHVLKQNTGTSKIEMIYLNCSSMEPININEKAFKKMKKLKTLIIEKGYFSKGLKYLPKSLIVLKWKGFTSEPLSFCFSFKKKLMNLRILTFDCSDYLTHIPDVSGLPELIRLSFQNCKNLTTIHNSVGYLYKLEILDATMCRKLKSFPPLCLPSLKKLELHFCRSLKSFPELLCKMSNIKEIWLCDTSIEEMPFSFKNLNELQKLVIMDKNFKILPKCLSECHYLEHLYLDYCESLEEIRGIPPNLTNLYAEGCKSLSSSSRRMLLSQRLHDAGCNNIVLPTGTEGIPDWFEHQVRGHNSISFWLCKKIPSITCIILIPEFAAIKKFNLFVNGNELIGSGYLFDYKGTVLPSEHAFLFDMNLDDHIDESFGNKPELYEAFKNNEWNHVELNWITEKDDHVSAQMGIHVSWEGDVIFTDPYIIERRYNERRGERNIKQWTR >RHN63515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51878922:51883991:-1 gene:gene26219 transcript:rna26219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-deoxy-D-xylulose-5-phosphate reductoisomerase MALNLYSPAELKSGFFTDPLKSNRFTPKFPGGVALKRKENGTASGTRVYCSVQSTSGPPPAWPGRAIPELGNKTWEGPKPISIVGCTGSIGTQTLDIVAENPDKFRVVALAAGSNITLLVDQVKRFKPQLVAVRNESLLAELQEALKDVEEKPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLARKHNVKILPADSEHSAIFQCIQGLTEGALRRVILTASGGAFRDWPVEKLKDVKVADALKHPNWSMGKKITVDSATLFNKGLEVIEAHYLFGADYDDIDIVIHPQSIIHSMIETQDSSVLAQLGWPDMRLPILYTLSWPDRVYCSEVTWPRLDLCKLGSLTFKAPDNIKYPSMNLAYAAGRAGGTMTGVLSAANEKAVEMFIDEKISYLDIFKVVELTCQKHQDELLTSPSLEEIIHYDLWAREYAASLQSSSSFTPVLA >RHN65272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:733609:734769:1 gene:gene13094 transcript:rna13094 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVEVISLPFIFFCLVIGFGCYFFGRARGRRDVYTNPQVYGMPIPPPGTAAANSSFPSSSPPPQYSKPNLASNV >RHN41241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28538638:28542292:1 gene:gene47542 transcript:rna47542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MTPNLASKRGRKAYMATTSGGTQIDRLSSLPDSVLCHIMSFLPTITSVATIDRLSSRWRHLWKDLQVFRFSSDDSYSFKRFAFFVNAVLALRRSRHIRKFDFTFEFREYEVECIEMWVHAAIGPRLEELDLDIYEADINLPLSFFTSCNNLVSLRLGGEYNMKFKHSLVQFPSLKKVYLSTMDSDSIVAFLSGCPKLQDLEIFSVYGNSLAKAFSSSKRLKHTNDNFTWTYLDLCGYRLGIAGNFHTMVEAFLDVFSYCQSEFVDPLLNDLQNDDSELTLESRHSTSKWPLQVPVINYPEFRSLEHLKFTLPCFNSTILVNVLEKCHDLIVLIIHSDKEEPSSLRTWEPQSTTVPRCLISHLKYICIEGYQGFEDELTFAEFILRNGLVLETMLIFVDISLDITNKYRSIKRLTNIPRGSVTCQLNFDADGSP >RHN72954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12102221:12104601:1 gene:gene8722 transcript:rna8722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSDYLLPSDILAEIFSRLPVKSLLRFRSTSKSLKSIIDSHNFTNLQLKNSSLNFNLILRHDTHLYQLHFPTLTTVIPLNHPFTHRNSKSIPLFLLGSCNGLLCISSNNEIAFWNPYIRKHRIIPHLPIPQSDSNLQFAFCVYGFGFDPLSSDYKLVRISWFVDLHNRTFDSYVRLYTSKTNSWKVLPSIDYALSYDNTMGVFVENSNSLHWIMTRKFDNFQPLLIVAFNLTLDIFNEVPLPTKIGGKKVNRSISFQIAVADLGGCLCLIMNYRNQITKIGVWVMKEYGCRDSWCKLFTLMESCFVLPFRFLSPLGYSSDGKKVLLDVNHNMLVWYDLKSQQVSYVEGIPNFDEAMICVGSLVPPSLPADDNHTKENRTRKSKRRDDFLSKGFKLTL >RHN53530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2316315:2326076:1 gene:gene28446 transcript:rna28446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDEELVDDRIQLEAVEDSQRQNKDDVLVKSQYDGKNVVEAADTSQHQYETVEELTVKSYNGFSFDIGTSTTQVQHKHWQNLYQIGNNSGNVNSISDIGLINSGPAATSSAWEDVGSTSFPELLARKSHSDGQSNVVEHLAAAESKEGVGPAGDVRRGIRTKMISKSGFAEYFIKNTLKSKGVVRKGPASDRVYVQPREQNQTKTGGDANQNWGKIGVGAYKNQMKTSIDTEQKQIKTGTGAQSNCNVSVNRGSKTAKFPIHSDAAVPKSSMTECDGVTLREWLKSGQRKSGKVESLNIFRKIVDLVDDSHSRGFALHNLCPSYIKFLPSNQVMYIGLPTQKQTAGKRVSEQVTSSVDMGSKKQKFDESGRVTGSDLCPETANHHEVQTPSVGSQDYRNGYEEDNQFSVYNFGRMSSIPRVSNSGQLSSTCNSLCERWENKWYASPEGGCTTSSNIYCLGVLLFELLGHFDSERAHIAAMSDLHHRILPPAFLSENPKEAGFCLWLLHPEPSSRPTTGEILQSEVINGLQELCNEELSSCIDQEDAESELLLHFLISSKEQKQGDASKLVEQLECLESDIGEAERRHGLRKSLVSSGWQNNYSCQKVISPLQKEFLSVERPPTVSPISNTNELRLMKNIGHLESAYFSMRSKVQISETDATDHPDKDILRTRENWSVTQKGEEQHNSKDALGTFFDGLCKYARYSKLEVRGILRNADFNNPANVICSLSFDRDEDYFASAGISKKIKIFDFNTLCNDSVDIHYPAVEMSNRSKLSCVCWNSYIKNYLASTDYDGVVKLWDASTGQEFSQYSEHEKRAWSVDFSPVCPTKFASGSDDCTVKLWSISERNSLGTIRNVANVCCVQFSAHSSHLLAFGSANYSTYCYDLRNLRSPWCVLAGHRKAVSYVKFLDSETLVSASTDNSLKIWDLNKTSSVGTSTSARSLTLSGHTNEKNFVGLSVADGYIACGSESNEVYTYYKSLPMPITSHKFGSIDPISGKETDDDHGQFVSSVCWRGKSNTLLAANSSGCIKVLQMV >RHN54484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9939363:9940648:1 gene:gene29534 transcript:rna29534 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQLGSLIQSHQQKYFHYLIFHHRHCTSKGENKNTTKLA >RHN71226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57386493:57392429:1 gene:gene19880 transcript:rna19880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Nin-like family MGDGAAVTSSATNTMMEAPPQDENLMDFDYMSELLLDGCWLEASADGSEFLLQSSPFSNPIFDPSFSWPVLENNEQPGNDVRHESQDVPLGTEQESRIIVNNAGSSDLQQCEFKTHLGEGVSRLWIPPTPNPGPGGSSITEKLIRALKWIKDFNRNKDMLIQIWVPVNRGDDRPILRANDLPFSLESRSLNLAKYREISVRYQFSAEVEDSKELVAGLPGRVYRDKIPEWTPDVRFFRSDEYPRVDHAQECDVHGTLAVPVFEQGSRTCLGVIEVVMTTQQSNYGRELETVCKALEVVDLSSSGHSSPQNVKACVKSYETALPEIQEVLRSACEMHKLPLAQTWVSCIQQGKDGCRHSDDNYTHCISPVEYACYVGDSSVRFFHEACMEHHLLIGQGVAGGAFMTNQPCFSTDITSLSKTDYPLSHHARLFGLRAAVAIRLRSIYSISDDYVLEFFLPVDCNDGEEQKKMLTSLSMIIQRVCRSLRVITDKELEKNNLSSNEVMAVADIGFATDAVQSGLQHKRMAVSLDGEEKFNETMSSKFSEQRRQQESLTLRGDADCGRECSPSVEGNFLSSLGVNRTGEKRRAKAEKTITLQVLRQYFAGSLKDAAKNIGVCTTTLKRICRQHGIKRWPSRKIKKVGHSLQKLQLVIDSVQGASGSFQIDSFYSKFSDLSSPNLSGSTLISTLNQVDNPVSLSIQPDPDSLSPEGASKSPSSSCSQSSYSSHSCSSLSEQQHHTNNVAAGNKDSLVGEDSVDVVLKRIRSEAELKSLIPDNKSKLMPRSQSQETLGEHPKTEYRKSLLKTTRKASQKEDAHRVKVTFGDEKTRFRLPKIWCYEDLVQEIARRFNVSEMSKFDIKYLDDDYEWVLLTCDADLEECIDVSQSSETSTIKLCLQPSSNFIRSSLEFR >RHN79379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28239119:28239721:1 gene:gene3163 transcript:rna3163 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQWTITWWFHYPTIIFKTQVMCYLARGFSVDKINDLQQDFPHTITERIQEHIQVTEVENGNVDAFKQSSTSFRLLLQNVIDVVECNINYTEGMVRQLEDNSPILHLVQQLNSSTGAKSPSLASAMSSSHEKVDKTQQVQQHPIWPVMQKDLNLLRHILVPATEDPNEQFTPVLTKKQKKIIRAAYKTRSKGAITPPNR >RHN60534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27830930:27831577:1 gene:gene22855 transcript:rna22855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase, Very-long-chain 3-oxoacyl-CoA synthase MSMFSMSFLFLAQSKSYTLSIIRDYLNTQILFKYSNIFSLLMWCASISYIVTFYQKKCSKKVYLVDFACYKPFPNGICSKELFIKQTKSGGNFKDESIDFQKKILDRSGFGDKTYVPESLLKIPQNISIVEARKETESVIFGAINDLLLKTKMKAEDIEILITNCSIFNPVPSLSAMVVNHFKLKHTILCYNLSGMGCSAGLIAIDLAKQLLQVR >RHN47492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40128167:40128525:-1 gene:gene42103 transcript:rna42103 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLSYFVCPLLIEFELLYSSGVVIGNLSALQAFICFLSNLEKETYT >RHN66022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7722646:7726868:1 gene:gene13932 transcript:rna13932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MRLSLALFIFLASLQVTLSKDVEHGLILVNGAQAKAEIGDNFICATIDWWPPELCNFGVCSWGHSSIVNLDLSHPLLAKAIQALKPLRIRLGGSLQDQVVYNVGNLKSPGHPFQKVKGGFLGFSEGSLHMQRWDELNHFFNKTGAIVMFGLNALSGRHKISNTSNVWEGAWDPTNAYDFIKYTVSKGYKIDAWEFGNELSGTGVGASVGVAQYGKDVINLKRILDELYKNSRFKPLLVAPDGSYDKEWYNKLLQLSGPGVINVLTHHLYNIGRANDTHLIERILDPDHLSEVETTFRNLSETIQKHGPWASAWVGESGGISRSGSRDVSNTFVNSFWYLDQLGMASTYNTKVYCRQSLIGGNYGLLNTSTLAPNPDYYSALLWYRLMGKKVLGVRSNISSPFLRTYSHCSKDSAGVTLLLINLSNQTEFILNVKNHVTVKANEVAKFREEYHLTPKDNNLRSQTMVLNGIPLKLTNKGDIPAMDPVQNNVKSPIYIDPLSIAFIVYPNFDAPACARHSKL >RHN56072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27392463:27393377:-1 gene:gene31398 transcript:rna31398 gene_biotype:protein_coding transcript_biotype:protein_coding MERQRQIARDQRELARQRNIKIEKEAIEKEKNNKLRPLQFQKKKIEDDTEAKLRPLQIQKQKIEDDAKVKLRKLQTEKEKIQDEAKVRFRKLQIEKDKIEDDAKAKLRRLNIKYGKP >RHN64034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56077624:56080049:-1 gene:gene26810 transcript:rna26810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MANNLLVSEEKLLPRSVQEMSMDGDEPPSQYLVNGNVFGSKEDSSTLIPIPIIDVSLLSSEDELEKLRSALSSAGCFQAIGHDMSTSYLDKVREVAKQFFALPVEEKQKYARAVNESEGYGNDRIVSDKQVLDWSYRLTLRVFPKEKQRLSLWPENPSDFSDTLEEFSTKVKSMMDYLLRSMARSLNLEEGSFLDQFGKQSLFQARINFYPPCSRPDLVLGVKPHTDRSGITVLLQDKEVEGLQVLIDDKWINVPTIPNALVVNLGDQMQIMSNGIFKSPMHRVVTNTEKLRMSIAMFNEPEPVNEIGPVEGLVNEKRPRVYKNVKNYGDINYRCYQEGKIALDTVKMTDN >RHN53996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6089755:6093692:1 gene:gene28971 transcript:rna28971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative filament-like plant protein MDQKQWEWGKKTREKTILETDKANLTSYENEEVQALLSDKEKLEKELKRLNDKLVFTLSECNAKDDYMKKQTKIVQEAVSGWEKAEAEMLSMKEHLEESIHQELIYEERVAHLDRTLKECMRQLHFVREEQEQRIYDAVMKVSVEFDQARVVLEEQLSEKSKRLAKTVIENSYLNKSIIAKDNLIDDLRRQLNQADVDRNALMIGLESVEKDNTSLMYEARVLQKELDIRNEVQFSRVMLSQTASKLLQLESEIDSKNQVASEQPRSHVALQELSLASMSYIGSDDNVSCGESLASALISESERFKSPKHLGSLSCKSFGPSDINLMDDFIEMEKLAVVSVEKATEISHASVEANNEIIGFSETILDEISPLSDSDHISEFAASNPETCSSDIFKGNIPGWFQDVVRVVLEQNCVTDFNPDDVLEDIKLAIKHLNDPDQRALDSGKDYCQFDPSNAETSLIKRARTQCQKDLNKSIGKMIELIEGINMPVDDNDNSNPFMVRAFQWKTSDFRDVLQKFLNMCYSILDGKADHERFATELTTTMEWIINHCFSLWDVSSMEDAIKKKFDWDETQSENEAKVGMCTDAEKLQADTSRFQELENTIANLRLELQTLKESNRRLEDQIQNEKSLSRYLDTQLTETELKEAYHKILALEVELESKNHFCEELDTKCVELQLQLESTKRARSNGYVNQKNELVRNEWEITAASEKLAECQETILNLEKQLKAIAATTDVSIFNNIIAAHRRPIITNTTSVSVPLKDKVKNRPSLLDQMLAEDEAKAKFCKTSERNFIQPLEKIVVLKGLKGRDDDVNVNSLAILPAKKYGRLSLWKRMLGTRTKPKRKQVYQFNK >RHN75188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40040268:40045954:-1 gene:gene11356 transcript:rna11356 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHALFQAAQILPMNSPIPSKTLSPKTFPKLPLLRNRHRRLVIRSWSASPADVDSDTSFGSSLLERCFAAPAAPPSASGNINPVMKGKYGAFGAVTLEKSKLEISQQQSNKSAPELATGGGGGDIGKIISYGGGDGGDDNGDDDDYFDDFDDGDEGDEGGLFRRRILLQELFDRKFVDAVLNEWQRTIMDLPAGFRQAYEMGLVSSAQMVKFLAMNARPTASRLISRKLPQGLSRSFIGRMLADPAFMYRFLLEQVATVGCSVWWEFTNRKDRIKQEWDLALINVLTAAACNAVVVWSLAPCRSYGNTFQFDLQNTLQKLPNNIFEMSYPLREFDLQKRVQCFLFKAAELCMVGLSAGAVQGALSNTLAKKKEGRLSVTVPTVSSNALGYGAFLGIYANLRYQLLCGFDRGMVRQFDVIGVALFFSTAFRVLNVQLGETSKRAWLGVEADPLAQSDDLLKVYNRTSENVEQPSSSKWFISKNAVVSGLGLLGIKQGNADVSGAESSAPKARRKRIVRKKVAAGSA >RHN71521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:745219:747191:1 gene:gene7130 transcript:rna7130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pumilio domain-containing protein MGYDKNIVSSCSAIADFNIDTFLSTKERLRTLQQLEPFQYGFINNSLNKIQEINYAMGGLCTGDVYVLAHYMPDLMRHRASHRASLEATLTSIVRFHTCGCHYSNNIPSPLWRGSVVSMAITERDCQYLHAIIDEGNPTNAAMILSKVKDYLHDLMMHRFGSTLIKKIFEAKKGMAMEQMDSIVYLILANDRKMWDVCINYYGTRVMQTILHNTRHPFMKFVVAYAVKRNTTALMKNTNGSHVIVQCVKLFPSILKKMILDEVARNCCNIATDKIGCLAVKRCLKHGEGTAIDLLVTQIISNAMYVIKMKFPLAKEWMIEEFQNKFDRLSMNKYASNVMEYLLRFSNKSAVKVIVEEIMRSRNFLKVLQDPFGNYVA >RHN45153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12364923:12368721:-1 gene:gene39370 transcript:rna39370 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKENLLFRNSSILRFFIETGEKERLMELLRERLVDCGWKDEMKAICRAFVKKKGRNNVTVDELIHVITPKGRASVPDSVKAELLQRIQTFLVSAAL >RHN68295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34378716:34379054:1 gene:gene16629 transcript:rna16629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MLLFFLQAMLLFIYSGKLPDIYEVMGSIHVCSFTVMVQHLLAAADLYNLDRLKMLCESKLCEEINTETVATTLALAEQHHCPQLKTICLKFIANPTNLGGACYCMLLFVQCF >RHN78904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19775804:19779781:-1 gene:gene2572 transcript:rna2572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MKNSLSDQGFYIESDEDEEKVLGKGENDGNESDFSNDSDENEGERRPSSYSMAWPQSYRQSIDLYGSVPSPNIGFLGTTSLARLGSSFLGSTLTRRPTAETLQPERKPLLPPSVDEQHRRSSQILLPPLPSRKSSIRKDISKISHEVHFPGQCTFGQAVLNGINALCGVGILSVPYALKEGGWAALSILLIFSVFSFYTGLLLRYCLDSQPGLETYPDIGQAAFGTYGRVVISVILYMELYACCIEYIILESDNLSSLFPNAHLSFGGFELNAHILFAILSTLAILPTVWLRDLRILSYISACGVIATVVVVLCLLWVGVVDDVPMHTEGTITLRLSTFPVAVGLYGYCFAGHAVFPNLYTAMANRNQFPKVLLTCFAICTTMYFTVAVVGYTMFGENTLSQYTLNMPRGLVASKIAVWTTVFYYARSTLYSTCYHIKPSYLVREISNFIYHVFCFAGG >RHN47186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37731243:37735858:-1 gene:gene41752 transcript:rna41752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclophilin-type peptidyl-prolyl cis-trans isomerase domain-containing protein MGRRQNDSDFGKFTLLIFFMIGAISCSAVYFFLTMVFRQSSTESVSTMYEVLDEKNGFEGKCCRGVEHLELWGDAVKWGDDFKVNSSEECCRACKDSCRGDGRGCLCNSWVWCGDRNACGPRFGECWLKRQKDALNPDRFGSGDRVMWTSGFVFDKGEGIVGLETDYGILRIQLLPHCAPHSVSYILELLALPNCVGCHIYRAETRGILWDEAGNHIKKATFGPPFALVQGTLESHGFEFKDIPKEHCPAIKRGSVAWVGSGPDFFISLANHKEWRDSYTVFGYVLSEDMEILEKISHLRTRSEIWSNIAVSLLKKPIFLRFRRIST >RHN48714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49660726:49664842:1 gene:gene43459 transcript:rna43459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MDNMPPGYRFYPTEEELISFYLHKMLEGEKEYTIRTVIPVVNIYDYNPSQLPQISGEASMRDTEQWFFFIPRQESEVRGGRPKRLTDTGYWKATGSPNHVYSSDNRIIGVKRTMVFYSGRAPNGKKTDWKMNEYKAIQIDEASSSNKAAPKLRQEFSLCRVYKKSKCLRAFDRRPPPRRVTTHVQNAQEHQKDSSCEHDVQISMDIISATNSPESSSSIDHVQQPSQEVGEASQMDIADYDALLDWEQVDWFLGSEP >RHN43380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45297537:45299027:-1 gene:gene49943 transcript:rna49943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSSPRMMIDHKVEMINDGMQEFFLEFNCPKHSPYQEGVWKIRVELLDGCPYKSPSIGFVNKIYHPNVDEISPTKEVHWRWELN >RHN70261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50091150:50095164:-1 gene:gene18823 transcript:rna18823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ternary complex factor MIP1, leucine-zipper MSHGKVIKLSIKSELIKGSHHNSQAMTSVSINKDPRIPQNSQKSIRNAVKGGKTSSKDRKLALQQDVDRLKKKLRHEENIHKALERALNRPLGALPRLPPYLPPYTLGLVAEVAVLEEEIVRLEEKVLHFRQDLHQEAVYMSSSKMKLEHLEAASVNNANPNDSTKLDHTATFSTRPKTTLPDDKQRKEIQSRAHSFKSNKKSICKDQMAKISIKKIPVDNKPLQKHCEPPKKQQKELRLNNKPIAEVRNHRLQETPQGDESPNIISENILKCLTSILLRMSTPTLKPLKSKNCIEGTEFFDPYGILEVGKKDIGPYKKLCESIEAESFNPAQTAKSLFLLHRLKILLRQLTCVNIDNLNRQEKLAFWINIYNSCMMNAFVEKGIPESPEMVVALMQKATINVGGTLLNATTIEHCILRLPYHWKYLNSKQITLLKEVKSHEMTIRSTYGLELSEPLVTFALSCGTWSSPAVRVYTASHVEKELEIAKREYLQAAIGISTSKFVIPKMLDWYLLDFAKDLESLLDWICLQLPSEQGKEAIKLFEKRKTEPHSQFVKIMPYKFSFRYLLST >RHN67613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28674348:28675103:1 gene:gene15819 transcript:rna15819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MAHVKLAPFAAVFLLAAFLMFPMKKVEAADCLISCSPDSPHCDVGCECHITSLFSGSCFSKFLVDPKKMVEKYSKVCQSHTDCTKKGSGSFCGRYPNSILEYGWCFASESEAEDIFFKIASKSKFSKDFLKRPITV >RHN73355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15615283:15617489:-1 gene:gene9157 transcript:rna9157 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNNHNNLGHSQKLSDKKDSRDPAKNSPILNQKDLAYNNGSSLPVAAKSSKKNPTLSVKDMSYSNT >RHN59895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14523874:14524134:1 gene:gene22063 transcript:rna22063 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAISSITSINTTHNHHHSSLFFAPKQQHHRNNTKIHRFQIQCNGINQNQESQLQTNAFLKVAWYSSELLGIAASAFRSPSDEE >RHN65036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63795787:63796295:-1 gene:gene27929 transcript:rna27929 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVIEAGLIAPLVNLLQNAELAIKKEAACALRNATSDGTHEQINLHIKFPNVAVIARYLVSHGCIKPLCDLLVCPDPKIVSVCLDGLENFLKVGEAEKSFCNTGDVNLYAQMIDDAEGLEKSKTCRVMTTKKFMRRLLKFSRHIGWKM >RHN60087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:19754720:19755436:1 gene:gene22306 transcript:rna22306 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALRDKELKKFHQVLHKSFITISFNRFHPYFESPTHDAANILEGMHTRNKTTFVPLFSSNHNFSTSSFSSDFPNNLLKTPTPNYFTSGPKTNVDTSLNSFHSNFGSFESFSSTGASLNPPLTQPQFIPTIQFQASSTARESNASGTNNSAPTLSMLLEQIQIFQNHVQTLQTMVSYQMFDYAKFRKWLATELCPKTPYGLIPPPSLLLKIFQISGLQLIVANLCLFSYVLGQPLSF >RHN50658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8915537:8920065:1 gene:gene34968 transcript:rna34968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartate decarboxylase-like domain, CDC48 domain 2 MAANQPKSSDVKEKAKGYSITSIDEHRKDSHRFIVQEAIEDDNSFVALNPHVMKELQIFRGDTILIKGYEKKDTICIALDHEACEVTAITMNTVVRNNLRVDIGDIVSVYQCADVEYGKRVHILPVKDTIESVTGNLLDEYLKPYFLEAYRPVREGDFFPVRGGMRTLYFKVIETDPHKYCLVAPDTKIFCLGEPVKREDDCIDEVGYDSIEKYISPYSGRTRISRLLFIASHHNNNPAMQLKLLLLAFNETKKGTDVKIMEKILNELDKFEEIDRSFLDEIKDWCDSILKNEKQVYSESENDLTFYRDLLSKLDKIKKEDTFLMKEIATCIDMHLGSAYGADEAWCVDIEKNLQTLIKGRICKGYNDIGDFYYAHGDIGSAINMYMKCRVYCTTLTETIKMRLNAILVCIELGQLRCLGTHLNRAGVDIKSHDPSVLAAVDPSLFVKLRCALALNYLYKKEYKLAAEKFIEISTNLGNQYIEVISARDVAIYGGLCALASFDSTELQNKVLDNTVFLDFLKRVPDVWELIVEFNKENCCVRPSKSLSKLKSILMLDIHLNSHVDTLYEQINQRAVSKNFELSLPELQSKINSCWTPDEEVADDDFLAGTYEELCGFYTDLGFFIEALKRSKYSPPRFSKKYYKKPKPAPVTPISRKKRSIKGRIEIAVEEIRKGMSDFTYRSAFGYHCANIGVYSQRCRIMAELCDYRGNLNGLNVIFRGDVTIFQLGDISVTLGPNGTTYSRNGNTIPKLA >RHN62410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43229146:43229857:-1 gene:gene24983 transcript:rna24983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MFFIFRHSGIVRSLDFHPTEQFLCSSYSHDGIQVWDLNRCIKIKNCKAGVGQVRFQPVSGMLLAGHVKYICSLCWDVTGQMIASVSADGVRVWTLSMDGQCLYEYPSKGKMFMSVIFHPRYRNVLVVGGFQVNSSLCFHYVHGVSFIYTFM >RHN44739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7968360:7969139:1 gene:gene38898 transcript:rna38898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative separase MLVEEKNAGIEFAKLVYHCAVKCQTANASFCDTFAAYLNKTAEHYKQLCQPFVFHFNQSNLALWQFMRPLNSILRLYAAGLLLVNCNLRSRAEDLVSPGIVKFECLLGALLENKKILQSSPPLLGSLHICSRSSFMSSSVVDPQFDGHTCTQSSSDCDDSTTYLSSYIQALDFLCQPLAKTINSERKQLVTGKDDASAMTMLSIVEDVFHAFCQFTLHSPRYIQ >RHN75513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42872484:42873937:1 gene:gene11733 transcript:rna11733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative The fantastic four family protein MVMLFGSESDRNGSQNGLSSSPMKKYDSTIVTGELDIWSSILTQKKKDEASQSKTPPYIHPLVKNSQNYLSEKSLEMCTEILGSENGSDGFFSSYTSFEDNNSKDGEKLKEKVNMVKKPRCFPPPLPLLFSQSQPLKMRPHRDNGRLFLFLEVVSVPSHNNFLAKRQNGRLILTFANEEEKIVDDFEQHGSLPRSLPLINVVPLIPNDQQNSISNKVIVYRNMNIYQGSNEASKDLQHLFVLSEKNKDYLVHNFGLCKASRATRSFLLRDPCYVHCYLTTLLLFIYSSMSCHFISFKR >RHN57665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40895423:40898555:1 gene:gene33244 transcript:rna33244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MVLKVFSFLILLVICSFAVEAINHNHNSLKKNGSSLAAIKFPQHPSFNVVSSSEDTDCSFSNSDKFGTTMKSSEESDDKGQKGDDFSAENPQNQSVKFHLKHISMKNEIEPKKSVIDYSIRDLTRIQTLHTRVIEKKNQNTISRLQKSTKKQTNSKQSYKPAVSPVAAASPEYSSQLVATLESGVSLGSGEYFMDVFIGTPPKHYSLILDTGSDLNWIQCVPCIACFEQSGPYYDPKESSSFENITCHDPRCKLVSSPDPPKPCKDENQTCPYFYWYGDSSNTTGDFALETFTVNLTTPNGKSEQKHVENVMFGCGHWNRGLFHGAAGLLGLGRGPLSFASQLQSIYGHSFSYCLVDRNSDTSVSSKLIFGEDKELLSHPNLNFTSFVGGEENSVDTFYYVGIKSIMVDGEVLKIPEETWHLSKEGGGGTIIDSGTTLTYFAEPAYEIIKEAFMKKIKGYELVEGFPPLKPCYNVSGIEKMELPDFGILFSDGAMWDFPVENYFIQIEPDLVCLAILGTPKSALSIIGNYQQQNFHILYDMKKSRLGYAPMKCADV >RHN73831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20505252:20506257:-1 gene:gene9707 transcript:rna9707 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVIREGHDHSGAVHKDHDHVVNKGITTQPKTVMPNAILENLWNLQLRSIISSSTKIA >RHN44926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10007679:10009746:1 gene:gene39104 transcript:rna39104 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMFFQTFGLIHTFYLLQLLQWVHRKLRQTNLDPFKDFTIGRNPCACLTVQLTLDTKYSQTKPSFSSINESDFFKPHDHESQKFWFREDKSQEETSEVISEKFQGFLTIGTLGAEPATPTFASPLGNIPMKDAAVTETQLKLISYELEKFVEADEECFYESSGRISFRSNVTLSRKKTDGLETEDLGNKVICPLQEYLLGSSFEIREKTEVRIERASVRETQVKQGRRSALHIIKKMSNMVLSSSKSCNTYGNTADHATSTNEKLCKVLYKFHRKVFPKDTKNANNFTKSHKGKIKSTPRDCFDEYENGDITYPNTGRRFHLDSKSKNWSKHCEINWKLPQDGLSSGSLGNNEHWINTDPECKCYISLKTNCSETQISLSLKIRAIWWLKQTYDRVCICFDS >RHN62167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41354673:41356965:-1 gene:gene24712 transcript:rna24712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 7-O-beta-glucosyltransferase MINSSLYKQQNFSIVFIFSLSLQKSRTHKFTMTNENQELHIIFFPFLANGHIIPCVDLARVFSSRGLKVTIVTTHLNVPLISRTIGKAKINIKTIKFPSPEETGLPEGCENSESALAPDKFIKFMKSTLLLREPLEHVLEQEKPDCLVADMFFPWSTDSAAKFNIPRIVFHGLGFFPLCVLACTRQYKPQDKVSSYTEPFVVPNLPGEITLTKMQLPQLPQHDKVFTKLLEESNESEVKSFGVIANTFYELEPVYADHYRNELGRKAWHLGPVSLCNRDTEEKACRGREASIDEHECLKWLQSKEPNSVIYVCFGSMTVFSDAQLKEIAMGLEASEVPFIWVVRKSAKSEGENLEWLPEGFEERIEGSGKGLIIRGWAPQVMILDHESVGGFVTHCGWNSTLEGVSAGLPMVTWPMYGEQFYNAKFLSDIVKIGVGVGVQTWIGMGGGEPVKKDVIEKAVRRIMVGDEAEEMRSRAKEFGKMARRAVEVGGSSYNDFSNLIEDLKSRAY >RHN42040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35013443:35021400:-1 gene:gene48429 transcript:rna48429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MSTEPSKQWQWENATAGAAAGFATVAVMHPLDVVRTRFQVNDGRASHLPSYKNTAHAIFTITRIEGLRGLYAGFLPGVLGSTLSWGLYFFFYERAKQRYARSREEKLSPGLHLASAAEAGALVSLFTNPVWLVKTRLQLQTPIHQTRPYSGLYDAFRTIMREEGFSALYRGIVPGLFLVSHGAIQFTAYEELRKTIVDLKSKGSDKQHQNPDQLLNSVDYAVLGATSKVAAILLTYPFQVIRSRLQQRPGGDGIHRYMDSWHVVKETARFEGVRGFYKGITPNLLKNVPASSITFIVYENVLKLLKLARRND >RHN39790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10518595:10522051:-1 gene:gene45852 transcript:rna45852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L5 eukaryotic/L18 archaeal MVYVKAQKSKAYFKRYQVKFKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIVAQIVSASIAGDIVLAAAYSHELPHFGLKVGLTNYAAAYCTGLLLARRVLKTLEMDEEYEGNVEATGEDYSVEPAESRRPFRALLDVGLVKTTTGNRVFGALKGALDGGLDIPHSDKRFAGFDKEKKELDAEVHRKYIFGGHVSAYMKTLIEDEPEKYQTHFSEYIKKGIEADGLEELYKKVHAAIRADPTIKKSEKQPPKQHKRYNLKKLTYEERKAKLVSRLAALNAAVDDEDEDEDDDE >RHN77796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9488534:9489849:-1 gene:gene1289 transcript:rna1289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MITLIIAACLHLYSYFKLKGEDETRIEKFLEDYRALNPARFSYVDIKRITNNFREELGEGAHGAVFKGKLSNEILVAVKMLKNTEGDGKEFINEVKAMGKIHHINVVRLVGFCADGSYRALVYNFFPNGSLQNFITRPDDMDHFLGWEKLQQIALGIAKGIEYLHMSCDQQILHFDINPYNVLLDDKFVPKITDFGLAKLCSKNQSKVSVTAARGALGYIAPEVFSRNFGNVSYKADIYSYGMLLLEMVGGRKNIGQLSEENFQVLYPEWIHNLLEGRDIHVNIEGAEDDRILKKLAIVGLWCIQWNPINRPSITVVLKMLEALEEENLIVPPNPFNSTTSRVVETKTKFSTLELEAIHE >RHN46882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35546597:35554709:1 gene:gene41417 transcript:rna41417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase transcription regulator SET family MANPEAKLETFLQWLQANGVELRGCNIKYCDSRKGFGIFCDKDVSDGILLVVPLELSITPMRVLQDPFLGPECRALFEEGDVDDRLLMMLLLTVERLRKDSLWKPYLDMLPTTFGNTLWFSEEELQELRGTTLYRATELQKKSLLNLYETKVKDIVKKLLTLDSDSEKEVCFEDFLWANSVFWSRALNTPLPRSYVFPEMQDVHQSCTPKADENGSQVTKSDDLTKETTHSTVQGDTVWVEGLVPGIDFCNHDLKPIATWEVDGTGLTTGVPVSMYLLSAAQSPLQIDREISISYGNKGNEELLYLYGFVIDGNTDDYLMVHYPSEAINTISFSESKSQLLEVQKAEMRCLLPKTLLDNGFFPSGTENSGENNKSNKVCKYSWSGQRKTPSYVDKLVFPEKFMATLRTIAMQEDELFKVSSMLEELVGPEGERQLSDIDVQSAIWEVCGDSGALQLLVDLLHVKLMDLEEHSGTEENDFELLKKALIIDSQEDSKQMANDSEETTLMTRNKWSAIVYRRGQKQLTRLFLKEAEHALHLSMSEEMAVP >RHN76144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47979265:47980114:1 gene:gene12426 transcript:rna12426 gene_biotype:protein_coding transcript_biotype:protein_coding MTISTLSPLYISLSVADAKTVLTRSTASASDSGVTASCNKSYFNVEGVIQK >RHN53205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:46771:49356:1 gene:gene28089 transcript:rna28089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MENVVVKKKASLSNVGAWGMNIVSSVGIIIANKQLMSNNGYVFTFASTLTGCHFAVTALVGILSNATGYSSSSKHVPMWELLWFSMVANLSITAMNFSLMLNSVGFYQISKLSMIPVVCVMERILHNKHFSRKVKISVVVVVIGVGVCTVTDVKVNLKGFTCACLAVFSTSLQQISIGSLQKKYSIGSFELLSKTAPIQSLFLLTIGPFVDYYLSGKLITNYKMSFGAFLCILLSCSLAVFCNVSQYLCIGRFSAVSFQVLGHMKTVCVLTLGWLLFDSELNFKNIMGMGVAVIGMIIYSWAAEAEKKSNEKTFHHAKNSLTEEEMRLLTGGIDKISLQDVEFGEDQELSHDDKI >RHN49847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1658690:1659274:-1 gene:gene34071 transcript:rna34071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MERLGFFLISVASICTTTIASSQSNDISFLHQCANHVGEQCGKQVYNKMFTSSKTEISIDCCYKLFDTGSYCHTKMTLFILETNQKYENEEWIHYLTRADDIFNKCDLATRPDDTKFLSACIEKIGSRCGEEVLNSIVNNTSTTKKCCDKLVNMGERCHTNMAKILIRTPEMKNMDPIEFMERSKNVYDECSIE >RHN79448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29049540:29049944:-1 gene:gene3239 transcript:rna3239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MTMFTQKILMLCVLSLLSLQNVLGVHVTIGNNLANNLDLTVHCKSADDDIGIHLLHHRDIFGWHFGSSWIGQTRFYCSFKWNNELKWFDIYVQSRDLHVCDSHCNWYIIQSGPCRMVDAIEKNAQCFPWNSWNK >RHN51124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14180266:14183965:-1 gene:gene35505 transcript:rna35505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQILFINRMAEILKFIYNAILFVSLYFIVIYGELVCDTDDDCLKFFPDNPYPMECINSICLSLTD >RHN64128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56813096:56813381:-1 gene:gene26914 transcript:rna26914 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEKPRLMRRCSRQFREQKTRLYIMWRCTVLLLMWDDKLEY >RHN45876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26425218:26426893:-1 gene:gene40298 transcript:rna40298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSQSIPTEDTEDRISALPDPIIHDILSFLPTKKSAATSILSKRWKPLWLSVPNLQFDDRSFPNYDSFYRFVSSVFLSLDIKLSIRSFHLKSSHASIHHFHDVNRFVYAAAQRGGIENLNLELPTMNFVRVDIPPSIFGCRTLVVLRLSKLKVNVLSHLVVDFPLLKTLRLCYVGFECHDYIIKLLSGCPILEELQLEWTSVVNYNQSPALPENFQCLPNFIRANISDLWSTADAVFTLICKAKILYLDLGNCNNHFPVFLNLIHMELILNRDCRGKWNWVIEVLRRCPKLQNLTIRQDSPNGNEVGDNWMDPTVAPECLSTQLRTCMLKGCNSMKCEVQFAYYIMQNAKVLNTMTIKSASYIDTNTKHQMKTKFASSTRASTTCKLLFD >RHN44670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7366041:7366958:1 gene:gene38815 transcript:rna38815 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase chain 4 MTNYFPWLTIVVVFPIAVGSLIFLFPHRGNKVIRWYSISICLIDLLLTTYAFCYHFQLDDPLIQLTENYKWINFFDFYWRLGIDGLSIGPILLTGFITTLATLAAQPVTREYQLFYFLMLAMYSGQIGPFSSRDILLFFIMWELELIPVYILLAMWGGKKRLYSATKFILYTAGGSVFLLMGILGIGLYGSNEPTLNFETLTNQSYPVALEIILYTAFLIAFAVKSPIIPLHTWLPDTHGEAHYSTCMLLAGILLKMGAYGLVRINMELFSHAHSIFCPWLMILGSTQIIYAASTSFGQRNLKKE >RHN66514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13265023:13268957:-1 gene:gene14517 transcript:rna14517 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDLEKHFAFYGSYHSNPTNIIIHILFVWPILFTSLIFLYFTPPLFSPSQTVLNFTHPVLIFNLGFVFAVFYGLFYAALDIKAGSFTAVLTFLSWVGASFVANSIGYELAWKVVLAAQLFCWTGQFIGHGVFEKRAPALLDNLAQAFLMAPFFVVLEILQSSIGYEPYPGFQKKVQARIDANIKEWKEKQQKKIS >RHN57849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42141783:42142370:1 gene:gene33438 transcript:rna33438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MATRCLSFVTLVITTSILVLGISGQFECGGDLNGIVYHCKPFVKKEGPYVPPSKECCTALNGANALCYCQYVTPKLERNISIEKALNIAGYCNCQDIPTDKCGSYTIPHPPSLKA >RHN78237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13043707:13045381:-1 gene:gene1771 transcript:rna1771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exostosin MFFRKPSPTQPLNSLPCTTKSQSFSKSKETHNIIIHQWVKFTLFITLCLFLLYFMYPTTITTTTNTTNTTTTTTTTCDGSTPSFYIYNLPPRFNLDLLKNCENLNIYTNMCPYVKNNGLGQPLSKTSWYTTHQFLAEMIFHARLENHVCRTWDPNQAILFYIPFYGGLHASSMFREANHTLRDSLAVDLVDHVQSLPWWNRHNGKDHFVSLGRTAWDFMRSSSGSDFGANILLNLPPVKNMSVLTVERQPWEGENQNGIPYPSYFHPKTKEEMLTWQNKMRQNDRSFLFSFIGGKRKGLGKAKIRDELVKQCNESSLCKLVDCGGENSKCHQPMEVLSVMMQSRFCLQAPGDSFTRRSTFDSILAGCIPVFFSPHTAYTQYHWYLPKKKNMYSVYIEEGGVGEKSNMIEEVLMGIKGVEVEKMREVVIGLIPRVSYAHPNGSDVGFNDAVDVALQGLSKLVRENIEIAEI >RHN39935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11811009:11811650:1 gene:gene46019 transcript:rna46019 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNSIRSRASKYLACEATISFDFTSRALTYLDFRLYQEAVLHVLKILCTIKHIRES >RHN71672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1831574:1832794:1 gene:gene7296 transcript:rna7296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapoylglucose--sinapoylglucose O-sinapoyltransferase MAVYLIRSCSWLLIVLTLFIHADCGDIVKTLPGFPGVEHSELFYLFVESTGNPKTDPLLLYLIGGPGCSALNAFFFQVGPLAFNEADYTGGLPQLILRSYPWTKSASIIFLDAPVGTGYSYSTSPESLVPSDSMSARQTYKFLRQWLMEHPQYLLNPVLIVGDSYSGMLAPIISKHILDGNHQIFYFSL >RHN44322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3529188:3532230:1 gene:gene38425 transcript:rna38425 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTEASYHQRAVETGSLVVSACGFDSVPAELGLLFNSVQWVGEAVVNRVEAYVGLESEKRMVGNFATYESAVLGVANASNLHKFRRSRPRRPRPQIPGPSPTKGDTIEHQKKIGLWAVVLPSADASVVRRTLSTLTENPHGLPGSDESPEMVVKREAFWSSVKPAHFGVKIGSKSLLGILRIIMVGIFIGLFGNTSFGRWLLLKFPSLFSLGWFRKNGPAEEEVESASFKMWFVGRGFSNESLASQGNTKPDMEIITRITGPEIGYVTTPIIIVQCALILLSQRKNLPKGGVYPPGIVFGHTDLQQRLQQNGISFDVISKSTISS >RHN68542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36338652:36340115:-1 gene:gene16909 transcript:rna16909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA synthase MEATSKQNHDPVNECTNFTLFSNHLLSKLSYFLWLCSITLATFAQTFLLLQNYELIMFHFLFLCFLLLSFFVKHFLSKPSPIYLVDFSCLKPPRCCRVPFSAFIENASLIESCFDSESIDYMKKVLYSSGQSEDTCLPPSLHYIPPKTKTIESIKEAHMVLFPIMDDLFAKTNILPIDIDMLILNCSGFCPSPSLTAIVVNKYCMRSDIKSYNVSGMGCSASAICIDLAHNLLRVHKNANVIVLSTEILSNGWYPGKERSKLHLNCTFRMGSAAILLSNKKEASKTAKYKLVRSLRTQRAFDDKAYFSCRREEDSDGKLGVTLNKDVAQAAGETLLSNISTLGSEILPLSEKFWYVVSMIKERFIKSEGIYVPDFKTVIQHFILPSPGRGVIRGVGKRLKLGERDMEAALMTLHRFGNQSSSSLWYELAYLEAKEKVQKGDNIWLLGIGSGTKCCSVVLKCNRPKLGESNKGPWSDCIHQYPISTFN >RHN76600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51566236:51569133:-1 gene:gene12950 transcript:rna12950 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMAACSLGLHLSPDNNLFRPSEKSICSAPILKTTSMYDTSTKLSFSLHKTRLSSSAITIIPRATAVSEDGNQGVADTVPTPIVIIDQDSDPDATVVEITFGDRLGALLDTMNALKNLGLNVVKASVCLDSSGKHNKFSITKADTGRKVEDPELLEAIRLTILNNMITYHPESSAQLALGAAFGLVPPKEQLDVDIATHLTITDDGPDRSLLYVETADRPGLLVDLVKIITDINIAVESGEFDTEGLLAKAKFHVSYKGKATIKPLQQVLVNSLRYFLTRPTTEESSF >RHN62526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44139816:44143782:1 gene:gene25115 transcript:rna25115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAGGGIPIGGGNKEYPGNLTPFVTITCIVAAMGGLIFGYDIGISGGVTSMDPFLKKFFPAVYRKKNKDKSTNQYCQYDSQTLTMFTSSLYLAALLSSLVASTITRRFGRKLSMLFGGLLFLVGALINGFANHVWMLIVGRILLGFGIGFANQAVPLYLSEMAPYKYRGALNIGFQLSITIGILVANVLNYFFAKIKGGWGWRLSLGGAMVPALIITIGSLVLPDTPNSMIERGDRDGAKAQLKRIRGIEDVDEEFNDLVAASEASMQVENPWRNLLQRKYRPQLTMAVLIPFFQQFTGINVIMFYAPVLFNSIGFKDDASLMSAVITGVVNVVATCVSIYGVDKWGRRALFLEGGAQMLICQVAVAAAIGAKFGTSGNPGNLPEWYAIVVVLFICIYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSVNVSVNMLFTFLVAQVFLIMLCHMKFGLFLFFAFFVLVMSIYVFFLLPETKGIPIEEMDRVWKSHPFWSRFVEHGDHGNGVEMGKGAPKNV >RHN66634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15413430:15413723:1 gene:gene14671 transcript:rna14671 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVVWKIGDRLCEEAEAVLDEEEAVKESIWVECEEEMVRCLVSDGWGERVGGGDDDKRKKKKKRVLILEKRKRVCCVLLSAAVLFPYARVSIFKE >RHN70646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52973708:52976936:-1 gene:gene19255 transcript:rna19255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase D MPQLLHGTLKVTISEVDRLQAGCNLDFIRKGTTHKGKRFLAQVKGCILCRPEIVGTRLYATVDLDKARVGRTRMIGNQPSNPKWNETFEIYCAHYISNIVFTVKDDNPIGATLIGRAYIPSEKILKGPIIDRWVEILDEEDHRPVPGGSKIRVSMQFSSVIEDRKCLWSQGIHMPFLGVQRTFFDQRQGCSVTLYQDAHVPESVHPWIPISGTKYYVPGRCWEDIYNAIMNAKVFIYITGWSVHTEITLIRDPNKTTESSITLGEMLKKKANEGVNVLVLVWDDRTSVPAFKKDGLMGTHDQETAEYFKNTKVHCVLCPRNPDGGKSIVQGFETSTMFTHHQKTIVVDGHHVEASQKRTVISFIGGIDLCDGRYDTMEHPLFSTLNTIHHDDFHQPNFPGASIKKGGPREPWHDIHCKLEGPIAWDVLYNFEQRWEKQVGKQFLFPIEVLDRILIHPSDAMLSEEDGETWSVQLFRSIDGGAVSNFPQAPNEVIELGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSYGWHSTDIKVEDIGALHLIPKELSLKIISKIEAGERFVVYVVIPMWPEGIPESASVQAILDWQRRTMEMMYTEIADAIKKKNIEAHPRDYLTFYCLGKRESKKDGEYTPPEEPAPDSDYHRAQKSRRFMIYVHSKMMIVDDEYIVIGSANINQRSMDGGRDTEIAMGAFQPHHLASTSNGSQRPQGQVYGFRRALWYEHIGDNSDDFDEPERLECVKLLNRVAERNWKLYCDDALDESVNTHLLRYPVEVGEDGSVTSLSGMQYFPDTMALILGSKSDYLPPILTT >RHN70058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48393987:48394955:1 gene:gene18600 transcript:rna18600 gene_biotype:protein_coding transcript_biotype:protein_coding MCIPPYLFTKETKVKFYFASAELPCFIRTIFIVQPILVWHLFFYHNLPSLTLLASGYAYVDFFTFDFPDQLILGCRDHLLRQFWFDHSPFTVRASIFSLLHP >RHN77015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3174328:3179003:-1 gene:gene422 transcript:rna422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nonaspanin (TM9SF), major facilitator superfamily domain-containing protein MAFSRSIAFSSILLLLFINGSFCFYLPGVAPQDFQKGDTLQVKVNKLSSTKTQLPYTYYSLPYCTPEKILDSAENLGEVLRGDRIENSRYVFKMREPQMCNVVCKKLKLDAKTAKAFKEKIDDEYRVNMILDNLPLVVPIKRVDQDSTVYQLGFHVGLKGQYSGSKEEKFFIHNHLAFTVKYHRDSLTEAARIVGFEVKPFSVKHEYEGKWDEKTRLSTCDPHAKHTVVNSNTPQEVEEGKEIIFTYDVEFLESDVKWASRWDAYLLMNDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETQEEAQEETGWKLVHGDVFRPPNNSDLLCVYVGTGVQFFGMILVTMLFAVLGFLSPSNRGGLMTAMLLLWVFMGIFAGYASARLYKMFKGTEWKKISLRTAVLFPASVSAIFFVLNGLIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGGYVGFRKPAIENPVKTNKIPRQIPEQAWYMNPAFSVLIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFVILVITCAEITVVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSAILYFGYMFIASYAFFVVTGTIGFYACFWFTRLIYSSVKID >RHN51990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29695330:29696625:-1 gene:gene36588 transcript:rna36588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MLSFSFFSFLSYFAIKMIQFSKLALLRVQRQKMEMVITMENLPQELVSNILSRLPARKLLKCKCVCKSWLHLIADPHFTTNYYSFHNQIYSQEEHLLAIQRPFFPRLKTNISLLSLTFNDPKNHVNSTLLNLPEEYNSDHKYWSEIMGPCNGIYFLQGNPNVLMNPSLRQFKSLPESHLTDSNGIYSLTDYASFGFDPKSNDYKVVMLKDIWLKETDDRQKGFWTAELYSHKSNSWRKLDAKTLPLPIEICGSSLSRVYTYVNNCCHWWSFVNNESAGIKEDFILSFDMVNEVFRKIKVPKICESSKETFATLAPFEKSSTIGVIVYPIRGNVKHFDVWMMRDYWDEGSWIKQYSVGPIEYVVDRLMVFNGSNRFLWKCNNDELVLNEHQSFFCDESQKRRDLKVRDYDKFDDSFRVVVYKESLVSLQSGA >RHN68703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37908176:37914132:-1 gene:gene17088 transcript:rna17088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Tapt1 family protein MQLQLIDVGFFVCFDSFLSLLTIMPTRILMTIWRLLKTRQFKRLSTMELSDLGCFIIMSFGVILLQRTDISLIYHMIRGQGTIKLYVVYNVLEIFDKLCQSFNGDVLQTSFHSAEGLASCPPENMRFWLWRFVCDQALAVAITLSTCIVAHNNALLALLVSNNFAEIKSNVFKRYSKDNVQSLVYFDSVERFHISAFILFVLAQNILEAEGPWFESFLTNILLVYVCEMVIDIIKHSFIAKFNDIKPIAYSEFLEDLCKQTLNLQTEGVKKNLTFVPLAPACVVIRVLTPVYGANLPQNPLPWRIFWIMLFLAMTYVMLTSLKVLMGMGLQKHATWYINRCRRRKHHLHAD >RHN73303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15239086:15241863:1 gene:gene9102 transcript:rna9102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MFTMRFSTLAFLLSLLVILQVQETICFNEHVQLSHLMKKIAKHKVDIALSQPPSPSPSPSPSPSSHTKKSGRVVYPIEYGADPTGVNESSDAMMKAVEAAFDIDNLGLELLLGIRDLGGVIIDFQGGNYKISNPITFPSSSGNLVVKGGTLRASNTFPTDRYLVELCASSSKVLQNATTAYNNKLLQQTIGIYYEDITFRDILFDSSYRGGGIFIVDSARIRIDNCFFLHFNTEGIKVQSGHETFISSSFLGQHSTVGGDKGERQFSGTAIDLASNDNAITDVAIFSAAIGIVVRGQANIITGVHCYNKATGFGGIGILLKLAGNSQTRIDNCYMDYNSIVMEDPVQVHVTDGFFLGDANIVLKSIKGKVYGLNIVNNMFSGNPNNNVPIVKLDGGFSNIDQVVIDRNNVIGMILRSTVGKLSVDGNGTKWVGDFSNVLVFPNRISHFQYSFHTLEGPKFVAHSVSNVSNNVVVVESEKPVHGVVSYFVEQ >RHN43525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46515462:46525589:-1 gene:gene50120 transcript:rna50120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribosylformylglycinamidine synthase MAAAGEVGVSEFLQGTCRQTLFLLKKPQKSTSQLLWGTLCNRGRVSSSSRKSLSLRCQAQENPRVVVSGTAASSVEQHSGLVEKPSALVIHFYRVPFIQESAAAELLKKAQAKISNQIVDLKTEQCFNVGLGSHLSSGKLSVLRWLLSETFEPENLGTESFLEKKRKEGLEKVIVEVGPRLSFTTAWSANAVSICQACGLTEVNRLERSRRYLLYTNGELQEHQINEFAAMVHDRMTECVYTQKLTSFETSVVPEEFYYIPVTEKGRKALEEINLKMGFAFDDQDLEYYTKLFREDIKRNPTNVELFDIAQSNSEHSRHWFFTGKIFIDGQPMNKTLMQIVKSTLQANPNNSVIGFKDNSSAIRGFQVKPLRPVQPGSTSPLNLIERIMHILFTAETHNFPCAVAPHPGAETGAGGRIRDTHAAGRGSFVQAATAGYCVGNLNTAVLYAPWEDPSFTYPSNLASPLQILIDASNGASDYGNKFGEPLIQGFCRTFGMRLPSGDRREWLKPIMFSAGIGQIDHLHISKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRLVRACIELGDKNPIVSIHDQGAGGNCNVVKEIIYPKGAEIDVRAIVVGDHTMSVLEIWGAEYQEQDAILVKPESHELLKSICKRERVSMAVIGTISGDGRVVLVDSLATQKCLSNGLPPPPPAVDLELKKVLGDMPQKSFEFNRIVYEREPLDIAPGITVIDSLKRVLSLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQIPLADVAVTAQTFTDVTGGACAIGEQPIKGLLDPTAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGAAMYDAAISLSEAMIELGIAIDGGKDSLSMAAHSGSEVVRAPGNLVISVYVTCPDITKTVTPDLKLEDDGVLLHIDLSKGKRRLGGSALAQAFDQVGDECPDVDDIPYLKKVFEGVQELLTDELISAGHDISDGGLLVCALEMAFAGNRGFVLDLNSQGKSLFQTLYAEELGLVLEVSKKNLTNVMEKLNSAGVLTEIIGQVTITPSIEVKVDGVTCLEEKTSILRDMWEDTSFQLEKFQRLASCVDMEREGLKHRYEPSWELTYTPSFTDDKYMSAALKPKVAVIREEGSNGDREMAAAFYAAGFEPWDVTMSDLLNGVVSLQEFRGIVFVGGFSYADVLDSAKGWSASIRFNESVLKQFQEFYKRPDTFSLGVCNGCQLMALLGWVPGPQVGGVHGAGGDLSQPRFIHNESGRFECRFTNVTIKDSPAMMFKGMAGSTMGIWTAHGEGRAYFPDEGVLERVVHSDLAPVRYCDDAGNPTETYPFNVNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKLWNVDKKGPSPWLRMFQNARDWCS >RHN80343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37326763:37327793:1 gene:gene4257 transcript:rna4257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNYSSEEGDDGYDQGKRRSYECTFCKRGFTNAQALGGHMNIHRKDRAKASKQPNSSSSQIGTKFYTNDETMVFPFASEQNSFIGNYQPSNMHGYSYEQPRSYEYPTAPNYHVGNYQTSNVHGFSYDQQGSSNWPNFHLNQELQGPDLSLQIGSTQHYVDDTHHQRIRRGNDQEDIEVDLELRLGQDSRFIS >RHN69247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42072091:42072555:-1 gene:gene17689 transcript:rna17689 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIYILCADGFFSFWLFWMKLDTSCPSEKMCSQDLSKSQTLLVHMPHENGFEVFEGYCLTDRGQ >RHN67390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26758734:26759777:-1 gene:gene15576 transcript:rna15576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MHDLLQEMGREIVNQESKNPGKRSRLWNAEEISDVLKKNKGTGVVEGITFDSTEVGNLYLKSDSFRRMTNLRYLKIYNISNGRTCNVHFPDGLEWLSNKLRFLMWEGYCLESLPPTFCAEMLIELHMGHSKLKKLWDGVQNLVNLNILRLESSKDLIEIPDLSKDTNLHGVFFNVKACVSSILPSSVSLILDI >RHN61101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32972900:32973414:1 gene:gene23526 transcript:rna23526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ste24 endopeptidase MFILLCLSVFINNLQAYMYGFFKNKRIVLYDTLVQQILTLVQFGGYTLVRNSTDLFQCFGFDTQPVLIGLILFQML >RHN46555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32720828:32722555:1 gene:gene41053 transcript:rna41053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative G-patch domain, GC-rich sequence DNA-binding factor domain-containing protein MPNEDKFDDNKQEQSLGHEESVDVGKLENYNGMGMKLMEKMGYKGGGLGKNEQGILNPIEAKLRDKYSGLGFNISNETTTPLPSLETEKKSEPGEGIQPVVGRKKRRRYKNITSMLDRVQEENALALDSLAQYFRHMHKRYVEYYKSCKLACVAYSYALPLFIRVFQGLDPLRNPSHGLELVSEWKTLLLGDDSFGIQVISSPYTHLVSEVVLPAVRKSGINTWQARDPEPMLRFLDSWKKLLPSSVLATILDTIVMPKLLSAVDTWEPHRETIPIHTWVHPWLPLLGHKMEREGIYQIVRFKLSNALGTWHPSDGYAYAILSPWKALFDSDSWQQLIYRYIVPKLKVVLRDDFQVNPRSQNLAQFYWVMNWASAIPIHLMVDIMQIFFTKWLTVLYHWLCSNPNFGEVRKWYLDWKELIPKELLANESIRYKLHCGLCMMNQAVECMEVVQPCLKENISYIRASEQRKFETQQKAAASSQQQATASLGGAVNAYGVNEISVKELIEAYTQDHGLLFKPKSGRMHNGHEIYTFGNVSIIIDSLNQKVYAQNAETWSLETLEQLLELQNKFLSKRR >RHN80369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37476374:37486139:-1 gene:gene4284 transcript:rna4284 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSMRLDSAVFQLTPTRTRFDLIITVHGKKEKIASGLLNPFLSHLKAAQDQIDKGGYSIVLEPEGGSDATWFTKGTLERFVRFVNTPEILERVYTTESEILQIEEAIAIQGNNSMGISTVEENQVKHAENTEGRKTQHDTNEEKAIVLYKPDAQPPEANGHATLEGNSKVQLLKVLETRKSVLQKEQGMAFARAVAAGFDIDYIPALMSFAECFGASRLMDASAKFRDLWKRKHETGQWLEMEAAAEMMSSRPDFTALNGSGIILPNMAFASPTELNSENNGKASSDVPPMDRQGHQDNMHAQFPHHMFPPWPVHSPPGTVPVYPPYPVQGIPYYQPYPGNSPYMQPNYPPMDDPRLTPGQNMGLRRHSMDSRHSNTGSETCDVEASKSRLHDEVDMEREGSQTGDRRKKGSRSGRQKSGMVVIRNINYITKTENSSGSGSYSDSVSETDEDIDEQEHAKTSKRRGSRKESLKKLNSSDKEETDHVKDADGGHWQAFQNCLLRDVDEDRHAIDQDQYGMEKVHDRRRNKHVSVNDPLVLNDREMHEVQGSRTIDDAQSLEVHGRSSGSRKAVSDDFILRKQESQSGNSYPSSDLEITGSGQGYSNDNLQRKLFHDMNDDSYMLEHRSIQVNDAGNAERHMIDIDSEFPMVRQEDEKTSNGIDRMNNQLDELSMMPVRGAEGGSMSYDPALDYEMQAQAGGALQGKNKEVLADAKPGSKRLDKEPKSKLTPNSSDKRKSVGPIRRGKTSKLSPLEEARARAESLRNYKADLQKMKKEKEEEAIKRIETLKMERQKRIAAKSSSVTTQSPVASHATKRQVPSKFSPSSHKGSKFSDSEPGPSSPLQRFPARTASVGSVDSLKSSKTSRLSIRSPLNDNKLSQSVSSLPESKLEKDDSATNTKVSMARIRRLSEPKMSSVRQTSSVKPLGAGTKSKTKASDGPESKKISAIVNHDKSKIAALPELKVRTSKASETVQNVSSAKVKTQKLNDNKSSMNSEGTILKKSKTGISSSKDDGDDNPVIEKTVVMLEDEKPYAPAIYAPKKEYDGDKMTEKTETSSNYVAIRAPISPLSMDTLEKGTSESQSHLQLISSEVKMDNTGKEPSKSSSNCIAEETYHAPYARVSSMEDPSTRNSEYGKAAPTSLETAAIGADTGKARVSDIRNSTLEKIPETTEKPHAKESPKGFRRLLKFGKKGHSSATRNAESDNVSIDGSEADEVGTNGSSNEVHTLKNLISQDETPTASTTQQKSSRSFSLLSPFRKNSEKR >RHN43303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44802458:44803447:-1 gene:gene49853 transcript:rna49853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MAFYKLRHHSHFLLTKQNRVHFLVKMTSLIETFLFSLIILSFTYTQAATFDITNNCPNTVWAAAVPGGGKQLNRGEKWTINVAAGTTSARIWARTSCNFDGSGNGRCQTGDCGGKLICQAYGTAPNTLAEYSLNQYMNLDFFDISVIDGFNVPMEFSPTSNGCTRGIRCTADIIGQCPNELKTQGGCNNACTVFKTDNYCCNSGNCGPTNYSQYFKNKCPDAYSYPKDDATSTFSCKGGTNYKVVFCP >RHN78710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17717139:17718191:-1 gene:gene2350 transcript:rna2350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative malate dehydrogenase (decarboxylating) MSSAQQNFNQGQSHGQTQAKAEEWIQSTKDSASAASDRAHSAANTAGQTAKDSASAASDGAHSASNTTGQTARDSASAAADRANAAANTTGQESERNKEEAAGFLQQTGEQVKNMAQGAVESVKHTLGMDNSSNANAANTNAANTTTANK >RHN75834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45443124:45445567:-1 gene:gene12088 transcript:rna12088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEMDDFASSRINDGCFQGVNGNGYGSSSSLVLDREKGELVEAAVKLERKGVSPERSIEALKNHSEAERRRRARINSHLDTLRSVIPGANKLDKASLLAEVITHLKELKTNAAQASEGLVIPKDSDEIRVESQEGGLNGLPYSIRASLCCEYKPGLLSDIRKALDALHLMIIRAEIATLGGRMKNVFVIISCKEQNFEDAEYRQFLAGSVHQALRSVLDRFSVSQDILETRKRRRISIFSSSSLGDFL >RHN54672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11195267:11203483:1 gene:gene29746 transcript:rna29746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-RCK family MERYKIIKEVGDGTFGSVWRAISKQTGEVVAIKKMKKKYYSWEECVNLREVKSLRKMNHPNIVKLKEVIRESDILYFVFEYMECNLYQLMKDREKLFSEGEIRNWCFQVFQGLAYMHQRGYFHRDLKPENLLVTKDVIKIADFGLAREINSQPPYTEYVSTRWYRAPEVLLQSYIYSSKVDMWAMGAIMAELFSLRPLFPGASEADEIYKICGVIGNPTTDSWADGLKLARDINYQFPQLAGVNLSALIPSASDHAISLIQSLCSWDPCKRPTASEALQHPFFQSCFYIPPSLRSRAVARTPPPAGTRGALDQQGVKRYPGALHSSKPTNYFSSPKVQPSSGVQRKLDMVNQEGIKNEKSMKTTTQSKYRHPGKESPTSVIKGRTIHGISETAERLANMSIGNRRQSMGQPRPPPMKAGVNWSSESPNFMLRPAPQIPTGRTYPRKVAG >RHN55634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20334057:20341569:1 gene:gene30842 transcript:rna30842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant AUGMIN subunit 7 protein MEAIQKKLGMLNYPRANASAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQNLQGDALDRDEETARIQYLAEIAKFLGITTTVDTDAIQGHGSYEDRTEMLRLIVDLVEATIYADNPEWSVDEQVAKDIHLIDSIAEKQAQIFSEECKLFPADVQIQSIYPLPEVAELESKLTEQSKILLNLQQKVDDLASKHAYNPDEEYTEVESQLRAHLESFLETARTFNMIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLQNLRDSHAALAFGSSETSGGPSSVSRIISECESEMTVINRDLGILSASIAREHGEKMSI >RHN78207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12817221:12817562:1 gene:gene1741 transcript:rna1741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MIVFGEWKKKNVVYNLFCRSLHLSCYVPHYSGEFLASVSEDSVRVWTIVSGNEGEFVHELSYNGNKFHSCVFHPIYSPLLIIGGTRQVLIFLKMSFPFELCLESQFFLDLIYK >RHN53698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3440268:3441684:-1 gene:gene28631 transcript:rna28631 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTVSTPQTDKFPLDTTESNDSLLRPSKLLLNVSIENTLGAIQVLMLPEDTVGQLVKVALMTYDKEKRRPLLKDTDPNRYQLHYSPFTLESLKVSEKLKNLGSRNFFLCSKPSSI >RHN68458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35719266:35720429:1 gene:gene16818 transcript:rna16818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MSHCSDLKKLISGCPILEDLKTACHISVGVRTGEYSKPLSKLIKANICLFNVPLRAVSNVQFLTVTGIGQSLPNQEINSYYQGYHVFENLVEFQLVWFDHCIHDWYEVVQMLHYCPKLQTLPISKVCWSRSSTTRGNEVWKDPYTIPECVSSHLTTCKILDYHALEDDFRFLTYSLQNAKLLKVGNPLRSNWNTMESPKFLDDLSFCPRISPTCNLSFI >RHN75062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39103516:39108235:-1 gene:gene11212 transcript:rna11212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-Xb-1 family MVHVLLPFLFRGVSYLFLQGMVLLRCFWLSLFECCVLSCFFGLSLSLDNGTKSCNPSDLLALKEFAGNLTNGSIIKSWSNDSVCCNWIGVVCGDNNGEAVDRVTKLSLSEMSLNGTISPSLAKLDHLTVLNLSFNHLHGRLPLELSKLKMLKFLDLSYNMLLGGVNESLSGLKSIEVLNISSNSFSDKVFHLGEFPHLLALNVSNNSFSGGFSSQICNSSRDLHTLDLSLNQFSGDLEGLNNCTVSLQRLHLDSNSFSGPFPESLYSMLSLERLSLSANNFSGKLSKELSKLTSLKSLVVSANHFSGEIPNVFGNILQLEQFVAHANSFSGPLPSTLALCSKLKVLDLKNNSLSGSIDLNFTGLSNLCSLDLASNHFTGPLPSSLSYCHELKVLSLARNGLNGSIPESYAKLSSLLFVSFSNNSLDNLSGALSVLQKCKNLTTLILTKNFHGEEIPQNLPGGFESLMVLALGNCGLKSHIPSWLLKCKKLAVLDLSWNSLNGSMPSWIGQMDKLFYLDFSNNSLSGEIPKSLTELTGLVCSNCGRPNFASYAFIPLFVKRNTSASGLQYNQASSFPPSILLSNNILSGSIWPEIGKMKALHVLDFSRNNISGTIPSTISEMENLETLDLSYNDLSGTIPPSFNNLTFLSKFSVAYNRLQGPIPSGGQFLSFPNSSFEGNLGLCRDFDVDNTPCKVVNNMRPNMSSGSSRKFSRSNVLGITISIGIALALLLAVIVLRMSKREEDKPIDSFDEEMSGRPRRLSSEGFVASKLVLFQNSDCKDLTVSDLLKATSNFNQANIVGCGGFGLVYKAYLPNGMKAAVKRLSGDCGQMEREFQAEVEALSRAQHKNLVSLKGYCRHGNDRLLIYSYMENGSLDYWLHECVDGNSALKWDVRLKIAQGAAHGLAYLHKDCEPYIVHRDIKSSNILLNDKFEAHLADFGLSRLLSPYDTHVTTDLVGTLGYIPPEYSQTLTATFRGDVYSFGVVLLELLTARRPVEVIKGKNCRNLVSWVYQMKYENKEQEIFDQTIWEKEREKQLLEVLSIACKCLDQDPRQRPSIEMVVSWLDSVKVDGFQQ >RHN70396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51159011:51161204:1 gene:gene18972 transcript:rna18972 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTLKPEKKLSEFLKDKQEPFILELYLLERSNCSKNWSSNSIKNLEKPASSCFFNKKRKPLFPFLKVLLTAIHDTKKKKKVTTTNDSNITNQHANVAVTVTHEHEANNVDQAPIETDRFSTASSSTLFNSCSDIDDDEEDRTSFSSNKHYNPLFSSDTCQASDVCNMRMQSQQDGKHQQRCIEEVCVTHETLNKNVCVCGVVVPKKFTEESLLSAALFSSLIQTSKRDHNYTKELKEILGHDVSHVMKSKRVLHKTKRLLFDCVRELTKNLPEKDCKQFMGAEKLGKMLWERTKEWSERGGNYERNLSNLLNLDYLDSINEWSEFKTEVKDVSIEIADAILECVIRDEVVSDIIEILSHNKINFCCCK >RHN54565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10526150:10527224:-1 gene:gene29629 transcript:rna29629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MPSPHNINQDHEAHHLDRPQPQPQPTHQLRHRTPKKRLVTHQNGNTNIFIWLLAILCTIIAIGVVIGGVVVFIGYIVIHPRVPTISIANAHLDLFRNDYAGLLQTQVNIRVMAKNGNFKAHATFSNIKLKLSYEGQGIAFMVADPFDVPKNSSRYLDYVVQSSSIPLTPDQIEDVDDTWKRNIVGFDLKGDARTQWRIGPFGSFKFGCKLECQLKFHPLNGSYIPSRCTSQSK >RHN66248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10010805:10011971:1 gene:gene14193 transcript:rna14193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant organelle RNA recognition domain-containing protein MMELVHEEQSLKDSLESVFVPRLAKLLMFSLNNCLNVMKINEIKNSLGFPDDYLIGIVMKYPDLFRIRNESGRISSMVVELMKWNPDFSVSEVAALAMKNGVEVNFSYCLPSSWVKSLEKFREFELVPYVSPYSDPRGLVEGSKEMEKRNVGLVHELLSLTLWKKISIMKLGHFKREFFLPDKVNVLLLKHPGIFYVSNKYRIYTVLLREGYVGSQLVDKDPLVVVKEKFGEIMQEGLHEYNQRRRLVNIEKRRNKGLPLNRVDEDHMKGRRRRRNREVFDEDDEVERENGNKLGGLLDPEERKRFYKVLFDDDGS >RHN80786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40859500:40867497:-1 gene:gene4752 transcript:rna4752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MAAGATKNSNETTREHTEQEIDEDRSFEELGLDARLVRALLKKRIEKPTPIQHVAIPLILEGKDVVARAKTGSGKTLAYLLPLLQKLFTANVDRKKLAPNVFVLAPSRELCQQIYVEVKSLLELCKVPLKAVQLNSNMLATDLQAALVGPPDVLISTPACIAKCLSNSVLQAASINGSLETLVLDEADLLLSYGYENDIKALTPHIPRSCQCLLMSATSSDDVDKLKKLMLHNPVILTLPEVGNRKDEVIPKNVQQFWIYCPANDKLLYILAMLKLELVQKKVLIFTNNIDTSFRLKLFLEKFGIKSAVLNAELPQNSRLHILEEFNAGLFDYLIATDISQSTEKDEAPKENIVGSKKSRKYTKLKLDSEFGVVRGIDFKNVYTVINFEMPRSVTGYVHRIGRTGRAYSSGTSISLVSTDEMDTFEEVRSFVGDNENNGSNSIAEFPLLTKSAVESLRYRAEDVAKSVTKIAVRESRAQDLRNEILNSAKLTAHFQTNPRDLDLLKHDKVLSKTAPPPHLRDVPDYLIDKTTKEARAMVKLTRDAMGNNNHRRGSKRKSRKGGDPLMAISAGVSKKPHKGFKKDGASNGKSNGDRQKHKKTKAI >RHN63658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52989454:52990320:1 gene:gene26383 transcript:rna26383 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTLHPNTIEFTVTTSKTFRYLQKIRLYLQANIHEKCSKWHNHGHVSISPTFSAPTTTDEPYDRKEHLHILLQVQIHRL >RHN44155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2016699:2019024:-1 gene:gene38233 transcript:rna38233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysosomal Pro-Xaa carboxypeptidase MNENSAAFKALLVYIEHRYYGKSVPFESREETFKNASSIGYFSSAQTLEDYAEVLIHIKKTLDLYPGNSCRVISNNSYTFKK >RHN50852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11157162:11161923:1 gene:gene35191 transcript:rna35191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MYVVPPPQRSDPASGSDDLLVYQAWKGSNKFFLQGRFIFGPDARSLGLTIFLIVAPVIVFCVYVARKFFVDYPHHWGVSIMAVAIIFTIYVIGLLFSTSARDPGIIPRNAHPPEPEGVEGGLEVGSGQTPQLRLPRIKEVEVNGISVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSATLLCIYVFAFCWVYIRRIMKAEETTIWKAMIKSPASIVLIIYTFICMWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPYNKGVFDNFKEIFFTSIPPSKNNFRAKVPVEPVLPARSVGGGFMSPSMGKAVDDIEMGRKTVWAGDMGSSIDHCEGQLNNRGAIKDGEFDELSPEIRTTVDETSDRVGIHPRRSSWGRKSGSWDMSPEVLALAARVGEPNRVGGSSSSLSTENRHS >RHN73609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18148972:18149546:1 gene:gene9440 transcript:rna9440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II MASTSAVSVAMPLTYTSQKRVVMNSSEAFFNPPPLRSSKATESLKRNGRFQVRASMKEKVVSGLTAAALTASMVVPDVAEAAVSPSLKNFLLSIAAGGVVVVVIIGAVIAVSNFDPVKRR >RHN61274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34371991:34373464:-1 gene:gene23717 transcript:rna23717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MLRRNMSPKFISEIIFLHLLFLITIFYGSRARELAGTESKLLDIAVNKTATNEHQTEHKHHAHNHNHMDPSVMVFFTLKDLKVGKRMQINFPKRNPSTSPKFWPRDKADSLPFSLNKLPTLLKIFSLSQDSPQAKAMVDTLTECESKPIKGEVKFCATSLESMLDFTQSILGIKNDLKVYATSHLTKSSVTFQNYTILEKIMRISAPKMVACHTMPYPYAVFYCHSQESENRIYRVSLVGDNGDMVEAMVVCHMDTSHWGHGHVSFQVLGVVPGSSNVCHFFPADNFIWVPKLEVQGVSDM >RHN40667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19163404:19164338:1 gene:gene46853 transcript:rna46853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MTSFVSKFYTFFILLCLVFLLIFTWEVEAKLCGRPSRTLSRPCIDSECDAKCIDSELDAVSGYCEGFITSDCFCYYEC >RHN59845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13933261:13939359:1 gene:gene22006 transcript:rna22006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyllase MTTLLTFPHFPSNFPTLSRFPNNRFSPPRRLCSTATDTAIVWFKHDLRTDDHPGLLTAASNFQSLVPIYVFDHRILSRFSDEMLELVVFAVRDLRKSLKGRGSNLMIKFGNAENVIHQLATQVKATCVFAEQEVEYELCVIMDVVNHRLKSIKVPQGTPRIEMWKTPFYDENVLQNLAASYDDFEKLRLHVTTPLQLSTLPGAEMELDWGDIPVYDDIMGFMAKNRHKSRDDWNLIKETSAETLLGRKRLKSSENNARSYSFRQIQSSEPNESVFVTQKGNVVGGGTYNVLNALAAYLRYLEGTARDDWQEVHEKLRASESRNGASFIALFGPALSLGIISRRRVHYEAIKYEKERNAGFLSPFGYSAATIAAAVEAVCSKEWYWLLALRNQINNDGKQSTRVWSWNGFLVQYTIAGEDGPAVLLVHGFGAFGEHYRDNIHGLARAGNRVWAITLLGFGKSEKPNIVYTELLWAELLRDFIIDVVRDPVHLVGNSIGGYIVAIVARVWSVLIKSIVLINSAGNVISRNSSIPLSKPSDRQTSVASWLGSRILLFYLRLRIQDIVRKCYPTKIERADDWLINEMLRASYDPGVPVVLESIFSFNLSISLNYLLEDVKGKILIIQGMKDPISDSNATVAMLKENCDGVIIKELDAGHCPHDEVPESVNTILCDWIQRVET >RHN79952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33761302:33762622:1 gene:gene3813 transcript:rna3813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MLHHKFQNLNQKDSQSFAIAYNKLTHYIVLLHKSYKAATTTNMEKKKGNSTSYLLALGFILALTFPTLLSASRFYAIKDHLFPEFIKWHVSVVNSLNYNQILFTHCKSSEDDVGINNLSPGSNITWSFRTDFFHSTMFWCYVTKDSASLKFEAFRYDERLFNKCDWKNCIWVAKDDGVYLKNLSQRLDELVYKWDTGM >RHN54121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7096498:7101456:1 gene:gene29111 transcript:rna29111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LIM family MGWFTKFLNGSNHRISGGQYNGKHGDDRIWDSHHSSVDDLTDVEKEDIDRAIALSLSEEDLKGKKVVEDDSQSEEDEQLCKIDEDDENVGKVRQDEEDLLAKIQQAENERRAKDQVEEDEQLARAIQLSLSTGSPPRHGKDSLPQPSPHLFPPGFRICAGCNAEIGHGRFLSCMGGVWHPQCFQCHACHLPITDYEFSMSSNRPYHKSCYREKHHPRCDVCKNFVTMLDKCMCLPSKIQCLQPQGVRMQQIYIRRIPANSAGLIEYRAHPFWIQKYCPTHELDSTPRCCSCERMEPKDSKYLFLDDGRKLCLECLDSAIMDSHECQPLYHEILEFYEGLNMKVEQQVPMLLVERQALNEAMEGEKNVC >RHN60024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16927618:16931831:1 gene:gene22214 transcript:rna22214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MVGVVSYTRDFQLLTTDLDSILGFIFHIFDHTNSVTSFRFSYDGQLHQEVCINLFKSRVIYVNPKGTVDGPKGAIEWFRWHLRGKMLFAGSDDSTARM >RHN76574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51356225:51359129:1 gene:gene12922 transcript:rna12922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MKGTDNSFNEKHPTLIGHCSNSVPHAANATHGKNKRVRSSWEIQGHIMSERKRRQEMAERFIQLSAMIPGLKKIDKVSVLGEAINYVKELKERISMLEQQYYERNKSTKSIISIRKFQSHPLNDNLDSNHVLPEVEAIGIESEKELLLIKINCEKREGILFKLLSMLENMHLYVSTSSVLPFGKNTLNITIIAKMGEEYRITIEELMTKLKQDLLKLYDM >RHN63074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48137237:48139342:1 gene:gene25731 transcript:rna25731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-aminocyclopropane-1-carboxylate synthase MKLLSTKATCNSHGQDSSYFLGWEEYEKNPYDNVHNPKGIIQMGLAENQLSFDLLESWLAKNPDASGFKRDGKSIFRELALFQDYHGMPAFKQALVDFMAEIRGNKVTFDPNHIVLTAGSTSANETLMFCLAEKGEAFLLPTPYYPGFDRDLKWRTGVEIVPIHCTSSNNFQVTEQALQQAYQEAQKRNLKVKGVMVTNPSNPLGTTMSRSELNLLVDFIKENKDMHLISDEIYSGTVFSSPNFISVMEILKDRNDFNNSKDADNIFERVHVVYSLSKDLGLPGFRVGAIYSENDTVVAAATKMSSFGLISSQTQYLLSAMLGDKKFTKNYLAENLKRLKKRQNKLVSGLQKAGISCLESNAGLFCWVDMRHLLHSNTFEAEMELWKKIVYQVGLNISPGSSCHCTEPGWFRVCFANMSEETLKLAMKRLKAFVVESTGNVNGVTTKSTKRNLLTKWVFRLSSRDQRDQQEER >RHN45737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25141884:25145329:-1 gene:gene40127 transcript:rna40127 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNMFSFAYITTIQFFLILSFSTLSSSTSSNATSIYEVLFNHGLPMGIFPKGVNEFNVGEDGKFWVHLDQACNAKFENELHYDRNVSGSLSYGKIDALTGLEAQDLFLWFPVMSIRVDVPSSGLIYFDVGAAYKQFSLSLFETPPECVAVRTDDDSKGQSGRLRYKLDQEPSGRDVL >RHN41282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28849611:28850151:-1 gene:gene47587 transcript:rna47587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L2 domain 2 MRAQNTRKFNHGVSSSRRKEREGKVVQVYGRKWMIHIESITREDLFVLLLIIQTVD >RHN59504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11467186:11468589:1 gene:gene21544 transcript:rna21544 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLVLPFSPCLQTSEQKIIFGTKKRKSSDLTDAECMTMTMSMEKMLRSYGRNLEKTF >RHN49469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55008028:55010541:1 gene:gene44306 transcript:rna44306 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCIYYCAYSTCLFEKFVVVNLAYYDRKMSIYIILVVDLSIAMFLIIVKPLRPKHYNCWCYLLCSLFFIVLASLQHLFFFLVVLCGQTPEEFNLHSCNIFCGLSNGFNVNNTNSYGNAWLDPQLAAEKAVSEIGQGYNLCNDIRFSACKPRLIHIDNSSSNTRDLVFPSGVVVPNVPLSIKSDKGDCTRFRSDVLTFNQTIIFVALSGKIPSGQFNSMFDMKKCWSRDAASTKSLAFDGWFITLYTVELDRTNTTLSETEKRRALFVEPRCSCRVH >RHN48358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46925524:46930122:-1 gene:gene43067 transcript:rna43067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Arf GTPase activating protein MAASRRLRELQSEPSNKICVDCSQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSDLQIKKMEAGGNRNLNTFLSQYGISKETDIITKYNSNAASIYRDRIQAIAEGRSWRDPPVVKENASTRAGKGKPPLAAASNGGGWDDNWDNDDGDSYGYGSRGGGDIRRNQSTGDVIGFVGNGVTPSSRSKSTEDIYTRTQLEASAANKEGFFAKKMAENESRPEGLPPSQGGKYVGFGSSPGPAQRISPQNDYLSVVSEGIGKLSMVAQSATKEITAKVKDGGYDHKVNETVNIVTQKTSEIGQRTWGIMKGVMALASQKVEEFTKDYPDGNSDNWPRNENDRHDFNQENKGWNSSTSTREGQPSSGGQTNTYHSNSWDDWDNQDTRKEVPAKGSAPHNNDDWAGWDDAKDDDDEFDDKSFGNNGTSGSGWTGGGFH >RHN55411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18066582:18070418:-1 gene:gene30586 transcript:rna30586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L18 MVQVVNSFELFQFNTSSFFGVIPKPFISFQPQTTSLIKKSLVTKAKANARKESAKIRNRKMQKKFNGTATHPRLSVFCSDKQLYAMLVDDQNKKCLFYGSTLQKLFRENPPCSTIEAAQRVGEALVKACVDLNINEISSYDRNGFGRGERLNAFEIAISNFGFLPR >RHN68935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39731025:39732219:-1 gene:gene17358 transcript:rna17358 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIFEVQRGRPFSIEVGFFDTILEIKEKIQKYQGIPISKQTLIFNGQILPEDGDIWKCAIFQNSRIHLIVTASESDHHKQPIEDTKLHLNIKTPSSKPYFPIEIYKNDTVLKLKEKIHDIDQNNVPLNQLMLHAADTELHDNQLIRDCDVSDKSEIEVSFKPPAGPAVSPTMVGGGGSKKLKFMVLSKSGTKKIPVEMNATDNVGELRKELQKMNQRLQFHLPQEGYFFIFKQNVMDDEKSFRWHHVCQGDTIEIFNGSVTGGS >RHN63708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53417722:53420467:-1 gene:gene26444 transcript:rna26444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MENNIQHMPHELMIQILLRLPVKSLIRFKCVCKSWFSIISDPHFANSHFQITQTSRIVLVSNPDLETRSIDFEAYDSASASLNLSFVDPQSHFDLEIKGSCRGFIFLHGNSTFYLWNPSTGVQKQIPFSPNYSQYFYYPYGFGYDELRDDYLVVSVYYVTTVDEDDDSHLEFFSLRDNTWRELEGTHFPYLNANEFEPRGGSFLNGAIYWLSFRHDLSMSVILAFDLMERKLLEMSLPDGFDPGPTYCDFWVFGKFLSLWAKKEDTVEIWVMKEYKVHSSWTKTFVLPIDGVPTRYFSPLCCTKSGDIIGTDGRGLVKYDDNGQFLEHHSYCNNPSRSGFYAAMYTESLLSLSSDNDQA >RHN73995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23325944:23326464:1 gene:gene9916 transcript:rna9916 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVVEKDSVIERLRTELGKLHRSKRQLNEQKDLELGEKNVTIGSYLDKIVHLMKNSAHKEARVTEVEAELGRCPAACTRLEQEKEILERQNAWLNEELTAKIETSKKMNELSNLPLLSFSTEPYVLLNLFAVWRHLTILIFPSFSCVLLFSLK >RHN78061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11581102:11583842:1 gene:gene1576 transcript:rna1576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynamin central domain, dynamin, GTPase domain, Dynamin superfamily MVLLAVVNLTLIDLPGLTKVAVEGQSESIVQDIENMVRSYIEKPNCIILAISPANQDIATSDAIKIAKEVDPSGERTFGVLTKLDLMDKGTNAVDVLEGKHYRLQHPWVGIVNRSQADINKNVDMIIARKKEREYFETSPEYGHLAHKMGAEYLAKLLSEHLEVVIRQRIPSIIALINKTIDELNAELDRIGRPIAVDSGAQLYTILEMCRAFDKVFKEHIDGGRPGGDKIYGVFDNQLPAALKKLPFDRHLSIKNVQRVVTEADGYQPHLIAPEQGYRRLIEGCLGYFKGPADASVDAVHLVLKELVRKAVAATEVSNALRCAKIQVKHYNILKLS >RHN43002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42501286:42501768:-1 gene:gene49520 transcript:rna49520 gene_biotype:protein_coding transcript_biotype:protein_coding MCINRRTQRLDIVKGKPLEERLSPILSYFLVKVFFLFHSIVGFLTIHHFICNLSQNANGRKKPLKKHKRSPIVGVEDKGSKTSRQPRKQAVRELSKNPFNKAVEVDDVLDPPYEFDGDVLEENDDEDEVDYSFNMKRASTSSKKKSVAKTGKTYKKTQKG >RHN65220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:320550:323653:1 gene:gene13039 transcript:rna13039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MLMAKFGVSQIFLVLIMILSGAVTGQNVPAMFIFGDSLIDNGNNNNMASLAKANYFPYGIDFNGGPTGRFSNGYTIVDEIAELLGLPLIPAYNGATGDQMLHGVNYASAAAGILDDTGRNFVGRIPFDEQLRNFENTLNQLTGNLGADNMATQLSRCIFFVGMGSNDYLNNYLMPNYNTKNQYNGQQYADLLVQTYNHQLTRLYNLGARKFVIAGLGLLGCTPSILSQSMSGSCSEQVNMLVQPFNENVKVMLSNLNNNLPGSRFIFIDSSRMFQEILFNARSYGFTDVNRGCCGLGRNRGQITCLPFQTPCPNRNRYVFWDAFHPTEAVNILMGRMAFNGNTNFVYPINIHQLAQL >RHN58809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5071552:5086831:-1 gene:gene20758 transcript:rna20758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative symplekin/Pta1 MVGKAMAVTSREKLASLVHSAKLASDIPSKIESLRRLKIELPQEDPVLLTEFLPPIFDFLSDQFSPVRKFVTEMIGEIGLKNTEFLPDIVPVLIDVLDDDTPAVVRQAILCGIRLFRSTLEKIAIQGLFSSDLDSAVESAWEWMVKFKDKVYSIAFQNGRGGAKLLALKFVEAVIRLYTLDPNGSAEPNSHQGKRPVFNISWLRRDHPVLNIGDMSMEASNSLGLLLDQLRFPTVKSLGNSVIIVLIKSLSAIAIERPAFYGRILPVLLSLEPSTSVVNGVCVSAAHLALKKAFLTCTECTHPSAAPWRDRLAGALKEMQSEGKADQVFHPISASNESILQREEDYQPVIIKEEDTAGSSFDSGHVNLGRKRSGSQNGSDLAEDADVPGKRVRTTTDGLKTPKMELDECTANTQNDTPSTVPAFSKGDVDNGPVQQLVAMFGALVAQGEMAVASLEILISNISADLLAEVVMANMRYLPPNCPNADGDEQLHDISIFGSQDKAKYPQSFVAGVMSLSSTFPPVASLLDAHQSVSNDLVKSQGDEEISSTGVDSSVIHSGMILSSQNAPSPTDFPSSDTCIPGVENVSTSLPPDIDDVGNLESGIPGLDSFGRNDALSETLAAPSLASTDMQIEDASQEQATSLDNRSPSNLVPSMSADKSEELSPKAVAPDVNSLVSSTATSAVLPSRLVLPKMIAPVVDLADEQRDHLQTSCFMRIIDAYKQISVAGGSKVRFSILAYLGVEFPLELDPWKLLQKHILIDYSGHEGHELTLRVLYRLFGEAEVEPDFFSSTTAASVYETFLLTVAEALRDSFPPSDKSLSKLLGESPYLPKSVLKILENMCSPGNGDKIEKESHTLNVDRVTQGLSAVWSLILLRPPIRETCLKIALQSAVHHVEEVRMKAIRLVANKLYPLSSISKEIEDFAKEMVFSVMSSDASEATDEGSVADSQKGPDVEKVINEPLSLSGSTKDVSDNRQSSTSEGTSPLSVSEAQRGMSLFFALCTKKHSLFREIFVIYRSTSKAAKQAIHRQIPILVRTLGSSSDLLEIISDPPNGSENLLMQVLHTLTDGTIPSKDLIVAVKRLHDSKLKDAEILIPILPFLLKDEVMAVFPNIVNLPPEKFQGALGRILQGSSQSGPVLTPAEVLIAIHGIDPERDGIPLKKVTDACNACFEQRQTFTQEVLAKVLNQLVEQIPLPLLFMRTVLQAIGAFPTLVDFIMGILARLVKKQVWKYPKLWVGFLKCLQLTKPQSFGVLLQLPPPQLEAALNRIAALKAPLIAHASQPDIQSSLPRSVLVVLGIVSDSQVPSQPQTSQTQTGETSNSDKDTMTEKSKESSTAS >RHN79613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30605464:30606769:1 gene:gene3428 transcript:rna3428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKTLKVMYTMVLFFSLFLVAKNVDAYVWCETVEDCFKSQYFIFDCINNQCINVGKNPKEPRYPGIPRDQ >RHN58040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43305573:43308601:-1 gene:gene33646 transcript:rna33646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MGLLDSLLGDGRKFIKRKDSDAGETGKALEELRSSLYNELRTSEGAKRQQQRYCGPVVALSFNFMVAVGIIMANKLVMGRVGFNFPIFLTFVHYITAWILLAIFKALSVLPVSPPSKTTPFSSIFALGAVMAFASGLANTSLKYNSVGFYQMAKIAVTPTIVLAEFILFRKTISSKKVLALAAVSAGVAVATVSDLEFNLFGAIVAVIWIIPSAINKILWSNLQQQGNWTALALMWKTTPITVFFLGALMPWIDPPGVLSFKWDVNNSSAIMISALLGFLLQWSGALALGATSATTHVVLGQFKTCVILLGGYLLFDSDPGIVSIGGAVVALTGMSVYTTFNLQESQENTSKQLPKHSLPSTQQKPASEDNKDFSVNITNNNIVV >RHN56759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33482782:33491711:-1 gene:gene32201 transcript:rna32201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VIII-1 family MKYFLKGCKCELVLCFLFCCCFLLSASQRTDPTEVDTLRTIRDNLIDINGNLSSWSRGDPCNSKWTGVLCLNTTLEDGFLHVQRLHLMNMSLAGTLVPEIGNLSHLEILDFMWNNITGNIPKEIGKIKTLKLLLLNGNQLIGHLPEELGYLPVLNRMQIDQNNITGPIPLSFANLTNAQHFHMNNNSLSGQIPSQLSGLRNLLHLLLDNNNLSGKLPDELAEMPSLKILQLDNNNFGGNSIPDSYGNMSKLLKLSLRNCNLTGPIPDFSRIPHLGYLDLSLNQFNEPIPTNKLSENITTIDLSNNKLNGTIPSYFSDLPHLQKLSIANNALSGNVPSSIWQNKTLNGTERLLLDMQNNQLTSISGSISNLPSNVTLLLQGNPICSNNNSLVQFCGSKSENDMNGNSIVSCPSQPCPPPYEYSAQCVCAVPLLIHYRLKSPGFSDFLTYVEAFVSFLASGLNIHSNQLFINNFMWEEGRLRMYLKLFPEYVDNTSSHTFNESEVIRLRDLFREWDIHESDLFGPYELLDFVLLDPYEDATSSSSSSGISKGALAGIVLGAIAGSVTLSAIVAILILKIRLKDYRTISRRRKSSKVSIKIDGVRSFNYEEMVLATNDFSQSAEIGQGGYGKVYKGNLHDGTVVAIKRAQEGSLQGEREFLTEIQLLSRLHHRNLVSLIGYCDEDGEQMLVYEYMPNGTLRDHISAKSKEPLSFAMRLKIALGSAKGLVYLHTEADPPIFHRDVKASNILLDSKFIAKVADFGLSRLAPVPDIEGNLPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELVTGKPPIFHGENIIRQVKLAFESGGVFSIVDNRMGFYTSECVEKLLKLGLKCCKDSPDERPKMAEVARELEIILTMMPEYHAKKGADYDLSDSGTTFSSQPSSSNIKTPFIVSGDILGSDLVSGDIPTIRPR >RHN46588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32978737:32979324:1 gene:gene41090 transcript:rna41090 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYKVVAFHVDENNPASGKSEVKVFSLGVNCWRNIQSFPVVPLILLDFRHIWLNDGMYLGGTINWLAVRKDFHSLYEYRDSTHVEQFVILSLDLSTKTYKQLLLPQGFDEMKEYGFHESWSQLFKISYQNLQDCCVKDYYQTVCLYKNGDISKTKKIRPPCNDL >RHN52843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39431506:39433756:-1 gene:gene37563 transcript:rna37563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MASKKALLYFNLSLLITTISLLPFPTSSSRIITSHTSLLDISSSIHQTKQVLNFNPNNINLVEETLNQKPVFSNSSSFSILIHPRENLLNENHKDYTKLMQARLTRDSARVDSLTTKLELSLNNLKKTDLHPVQTELQQEDLSTPVSSGSGSGSGEYFSRLGIGQPPKPYYMVLDTGSDVNWIQCKPCTECYQQTDPIYDPTSSSTYQPMTCEAEQCQSLELSGCRDGKCQYQVSYGDGSFTVGEYSTETVSFGNSGSVNRVAIGCGHDNEGLFVGAAGLLGLGGGSQSLISQIKASSFSYCLVDRDSGKSSTLEFNSVQPADSVLAPMLKNQRVNTFYYVQLTGISVGGQMVSVPAAAGDGSGSGGIIVDSGTAITRLQSQLYNSVRDEFKRMTQNLRSADNFALFDTCYDLSNLQSVRVPTVAFHFGENKVWSLPAKNYLIAVDSAGKFCFAFAPTSSSLSIIGNVQQQGTRVTFNLANNLVGFSNDKC >RHN78948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20208612:20209422:-1 gene:gene2617 transcript:rna2617 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLGLTNFIPSSSLSIHSLRYQPQHFSTISITFNSRKPRPLPLSASNNSNSNGVKEEDKNSQQPPSSSGQEEQDKRPLFGLNWSSLLDRDPDNVLALGLTGILTWASVQVLWQLLFISLAILVAALKYSFIAALLIFILIALL >RHN60986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32059278:32061879:-1 gene:gene23392 transcript:rna23392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MDPNCDEFQQYEKPIEGYMASVTDSSMEDTDFSETIKFITQILMEENVDQRPFYDPLSLQITEKSFHDALSPNGTTSTSCNSNSNSSIDDSHESKPFSPDTPVSDLVNHGFQFNSCASSSVSDGPINFDSSITNMLAENIFSNADSVSQFNRGFEEANKFLPPQPQLLTGLESSNFNMMKSRKNHGREEEEGSSNKQSAISVVDEDELSEMFDKALLNVEREFLQNEQPPSSNEGKVRPKKRDSKNKTIDLRNLLLMCSQAMYANDNRNANDLLKQIRQHSSPFGEASQRVAHYFANGLEARLVCDRACAQTFYSSPSTKRITAAEFLKAYQVHFTSPPFKKFAYLFGNEMIMKVAAKAETLHIIDFGILYGFQWPMLIKFLSNREGGPPKLRITGIEFPLPGFRPKERIEETGRRLANYCKRFNVLFEYNALASRKWETIRVEDLKIKSNEVVAVNCVGRFKNLLDESIEINSPRNVVLHLIRKINPDIFTLSTINGSYNSPFFATRFREALFNFSAIYDMLDAVIPKGSEWRRMLEREIMGREVMNVVACEGLERVERPETYKQWQVRNTRAGFKQLPLDSQLMEKFRTKLRQWYHRDFVFDEDSNWMLQGWKGRILYASTCLVPA >RHN50648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8826353:8832340:-1 gene:gene34958 transcript:rna34958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIRCKDGIVLGVEKLIPSKMMLSGSNRRIHAVHRHSGMAVAGLAADGRQIVARAKSEATNYDSVYGDPIPVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMVEPSGVSYRYFGAAIGKGRQAAKTEIEKLKLADMTCRQGVIEVAKIIYGVHDEAKDKDFELEMSWVCDESNRQHEKVPEELLEEAKTAAKLALEEMDAD >RHN81871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49483969:49484430:-1 gene:gene5966 transcript:rna5966 gene_biotype:protein_coding transcript_biotype:protein_coding MSTINMGPKIKIMKCENRLEIASFLGDLFGNPRIPCQLGCVAAESDACILHILEPKKTKPQTCFSFFLGTHFLAGWPFHFQVQAFSNTY >RHN71587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1179893:1180444:-1 gene:gene7201 transcript:rna7201 gene_biotype:protein_coding transcript_biotype:protein_coding MACEKKNTQKKKYGFFSLTEIDAAKLLIELSNSTTYLDENCHSNSSNSVTVQSMTQRSKDSDDISPSSPTDSVEDVLAEIEEDERLRRKNKRFRYVEEVYRVTDPIVIVPPFNKN >RHN50813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10728749:10732679:-1 gene:gene35148 transcript:rna35148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MGKNWFSRWVFMAMMMSTIFWYVEGLGVNWGTQATHPLKPDTVVQMLKDNGIQKVKLFDADEETMSALGGSGIEVMVAIPNNQLAEMSDYDRALQWVRKNVTRYNFKSGGVNIKYVAVGNEPFLKAYNNSFLNVTFPALMNIQNALNQVGLGDSIKATVPLNADVYESPDSNNAVPSAGIFRPDLSELMTQIVQFLSKNNAPFTVNIYPFLSLYGNDNFPFDYAFFDGVSNPINDNGVLYTNVFDANFDTLVSALKSIGFGNMPIFVGEVGWPTDGDKNANIQNALRFYNGLLPRLASNKGTPRRQGYIEVYLFGLIDEDAKSIEPGNFERHWGIFSFDGKPKFPMHVSNQHGQNKLLVGAKDVHYLDPKWCMFNPNANNLSNLADNINYACTFADCTPLGYGSSCNNLDANGNASYAFNMYYQVQNQNDLACNFEGLAMLTTNNISTPTCDFIIQINPSSSSSLFPSFVVFLFFSILPMILLC >RHN69086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40929920:40932330:1 gene:gene17515 transcript:rna17515 gene_biotype:protein_coding transcript_biotype:protein_coding MWNWDSSFLPFCRMSKGSNTCLFGVIRPAADSCDERGKSVKSKPELQPEPELPSELKSEPELPPELKSQPKQREKYEWESKRVEDLEMSDFSMFDDDIEPFMFICARFIYKNKAQIQKDKEIEVAMAEYRECSRNLSVFDAIPVPKISGKCGGVGPLPMTDDRRLFLTPACHLALDNYNAENQGPNFVFVDVVKTTYRPGGFYYITFQAQEEIPNSPVIAFQAEVRIWRTDPPIIIKSCAIKTT >RHN67011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22826384:22826914:-1 gene:gene15140 transcript:rna15140 gene_biotype:protein_coding transcript_biotype:protein_coding MHENVKYSKFRFQGLEFRDELEFMYGNAMATSQHQWTSTLSVPFEFNGKNTTTNVPQEIIDSDDSEFDIGDQFIPLENTKPKK >RHN39394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6776841:6777713:-1 gene:gene45414 transcript:rna45414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MLLKYLGRFGILSESSFSFIVRTVTKGYSTSCVPLAVSLRCSFLVNRNTGAIFHGFPHIDHTQDFVRKDIIGWLQWLCHNVGFQDFRFDYAKAIHQNMLKTILKEHNHCYLSGSIWMFATTTVLLWTITKIAIGNE >RHN79063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22035735:22039277:1 gene:gene2759 transcript:rna2759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MASSSSSFGVSHSSSKQHNVFLSFRGEDTRYNFTSHLYAALCGKKIRTFVDDEEIERGDNISPTLLSAIESSKICVIIFSQDYASSSWCLDELVKIIECSEKKKLVVIPVFYHIDASHVRHQRGTYGDAFAKHEDRFRDNLTKVHMWRTALHKAANLAGWVSEKNRSEAVLIKEIVEVILDKLKCMSPHVQNKGLVGISVHVAHVESMLCSGSADVHIIGIWGMGGIGKTTIADAVFTKVSYQYERYYFAANVRETWGNRIKLQNEVLADVLEDQSIKISTPTMSSAFAVERLKCKKVLVVLDDVSLSEQIEYLVGGRDWFGPGSRIIVTTRNREVFNSGVDEVYQVTVLNSHEALKLFSLNAFQQDSPLIEYQNLSERAVGYAKGIPLALKVMLSALEKLKKYPKAEIYDVLRLSYEGLDPEEQNIFLDIACCLKGQTKSQITSILDACDFSTEIGMRSLEDKSLVTVSKNNTVQMHDLIQEMGRFVESEKKPGERKRLWDPKEIYDVFKHNRGFESIECVVLDMSQIKELTLSPQTFQRTHRLRVLIFYIPSSDTRRINVHISRGLNCMPLEISYFRWDCFPLKSLPPQFCAEKLVELDIKHSRIGKLWDGVQDLVNLKSLCLSGCKNLVELSDLSLASKLEKVHLDDCASLLNVPSYILSLDSLLALNLRGCKQLCYIESEKPSRSLRWLNLRGCSRLVRYSVFSEELEYLNLDFTAIEELPHNLNLLPKLKKTIIKRK >RHN57134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36756262:36758789:-1 gene:gene32634 transcript:rna32634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CR4L family MKKISLSSIIFSTFTFVILISSLPVTNALGSGTTLAVVDSTATVCGIISSQKTHKIICYRHGHLITAVPEVSFSSISGGRNYFCGIRSGNYSILCWDTVSSNTTFETRRLYNNNNGTVLYENLAVGDSHVCATMVNVGNVACWRMNKGFVLPSGFDQFSSITSGFGFTCGILKKNDSGSVRCFGNVNVNESSIAEKIEKEFVNVSMVSLVAGESHVCGLNSKGFLVCKGSNNFGQINVPNQVEPLEYSDLALGAEHSCAIRRSNGSVVCWGGNGLFDVNVTKNVSFEVIVSASNFTCGLTTNNFSVVCWGPSWNGSTFQLPLPPILPGICVQSSCSECGMYPQSQYLCSGFSNICKPKPCWPQMDVPVPSQIATPPQPGLPCSRSKTLTKGLLLFAIVGLIGAVAGLCTVVYCLWSGVCFGKKKVHNSVQPTITRGGSLNCGAFSNYSPTSRSSTIRRQGSRIMRRQRSGTSSTKHPDRSEEFTLAELVAATNNFSLENKVGAGSYGVVYKGKLADGREVAIKRGETSTMMKVFQEKESAFESELAFLSRLHHKHLVRLVGFCDEKDERLLVYEFMKNGALYDHLHDKNNVDKNSSLLNSWKMRIKVALDASRGIEYLHNYAVPSIIHRDIKSSNILIDADWTARVSDFGLSMLSPDSDHDYRPTKAAGTVGYIDPEYYGLNVLTAKSDVYGLGVVLLELLTGKRAIFKNDENGGTPISIVDFAVPIIMKGELMKILDQRVEPPEMNETEAVELVSYTAMHCVHLEGKDRPTISDIVANLERAFTLCDSSSHGSISSATISIGSD >RHN76102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47703357:47706997:1 gene:gene12380 transcript:rna12380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MDPNFVGFETTYKFDDHGNLILLSDPNPNLDDFTITNPYVGPSNSEPLVFSSDTTKDSTFEDADFSETVKYISQILMEEEFEQKPCMCYDPLSLQHTEKIFFDALESNLPLSPNQHPLDVLEIPNSTCCVTTDSVMFRKGLEEASKFLPQKAQLFTGFQNASDSLVSHEPKGRVGVIKMEGGVRENSNSSYSSSNLNSGYSSSNSNSHGLLKSRKNHERQESDDEEGRINKQSAVSVEESEISDMFDRVLLSVENVPLCAEQKDGSMVESRTQVGELDGGKMRSKKQGRKRDAVDLRTLLVLCAQAVSANDNRTANELLKQIRNHSSPSGDASQRMAHYFANAIEARMVGAGTGTQILYMSQKMFSAADFLKAYQVFISACPFKKFAHFFANKMILKTAEKAETLHIIDFGILYGFQWPILIKFLSKVEGGPPKLRITGIEYPQAGFRPAERIEETGRRLANYCERFNVSFEYKAIPSRNWETIQIEDLNIKSNEVVAVNCLVRFKNLHDETIDVNSPKDAVLKLIRKINPHIFVQSIVNGSYNAPFFSTRFKESLFHYSAMFDMYDTLISRENEWRSMIEREFLGREIMNVVACEGFERVERPETYKQWQVRNLRAGFRQLPLDKEVMVRFRDKLREWYHKDFVFDEDNNWMLQGWKGRIMYASAGWVPA >RHN46249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29988324:29988786:-1 gene:gene40714 transcript:rna40714 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family MEEMKNENESNERQDPLANFSHSSSTKNKKGGWKSVKYILGNETFEKLASMSLIANLVVYMHTQYNMDTAFSVEVFNIWSGLVNFIPLVAAYIADAYVGKFHMLIFGGIASLLVNTKKLS >RHN56328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29889091:29891466:1 gene:gene31712 transcript:rna31712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MDPHDHDRLAFIFGILGNIISSMVYLAPLPTFYRIWKKKSTEGFQSLPYLVALFSSMLWLYYGFVKKHAFLLITINSAGCVIETIYIVTYLIYATKDARILTIKLFMAMNVACSVLIVLTTQLAMHGKLRVHVLGWICTSFAICVFAAPLTIMAKVIRTKSVEFMPINLSFFLTLSAIVWFFYGLLLHDICIAIPNVLGFILGLLQMLLYAIYNKSVKEEYALEPMTNIVIVNPLGIPCEVFSLPVIDNVNKIEKEGAEEMEKSVENLT >RHN72158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5460181:5462997:-1 gene:gene7839 transcript:rna7839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Seipin family protein MDSLPSIHEQEDDDIFSDALHQCSADDSPETSTSDPTLSHSTPPSPSPATTLRRRSIRLRDNQPSDSGTDIDSPNSTRISFRHKPRWRHLKQDENYTEKQPSTSPPSIHAIEENNEESTITTATNDDLASDSIDSVSRLHDSSSSFLELIAGLIINALEFQIKLIFMFITYPLFFMFRCCLFFMDPFGIRKLGKDIIFGIMLRIWSVVFGYIEPYVRKLYKGNESIWSVMSRFVWGILWSIYVCCVLVGLLVSSFVFSGILIKYFVEKPIRMNEVLNFDYTKLSPVAYVPIISCDGVVKGKDYEGGFEVGKGMMMGERVIPTRHKVQVTVSLRVPESGYNRNLGVFQARVDFLLFNGKTIASLSQPCMLRYRSEPIHLIMTFLKIAPLITGYTSETQILNVKMRGFVEGNIPTSCLKVTLEQRPEYQPGAGIPEIYDASLVVESELPFFKKIIWHWKLSIFIWITMMTFLTELIFVLVFCRPIIIPSTRQRVASARSPATSNSLLAQI >RHN38684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1294257:1295282:-1 gene:gene44644 transcript:rna44644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin MKSFVYNIFFVFFFNLLTKIQVSLADCDNLQDTCPSISPNKQTIFINGLPCKNPANVTAQDFKTNELSNASSMDIFGASVKLVTAAEFPGLNTLGLSIGRIDIDNDGLVNFHYHPRATEMIFVKKGVLLVGFIDTQNQVFQNVLKVGDVCVFPKGLFHYILNQGFEEAIVYSVYNSQNPGQVSIIPTTFDTTLESVEKLKKKIISLSASQVHGDVISSTS >RHN75592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43585791:43594444:-1 gene:gene11822 transcript:rna11822 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVPANSPHLRKSGSRPVVYDLDEFEEENGADESLLHSVEGNDSRGGATPMNGSGMMPSPVLLWRFKVLLFLIWGCICCKIGWDSVMRMSADKRELFLYEAFLYFNPLLLAALMVWLWGINLWVFAQGGVNYAKIFDLDQNHLTHGEIWKCAMWMTIIVPTSMTAYIYLYSHGEVAYAASQPVLLYAAIVMVLIFPFDIFYFSSRYFFLRTLWRIVFPLQAISFADFFLADILTSMVKVFSDLERSVCRMVHQQVATIAWLEADSVCGSHSVVIPLVLVLPYLFRFNQCLRQYKDTGEKTSLLNALKYSTAVPVIFLSALKYHVFPEQWTNFYRPLWLLSSVVNSSYSFYWDVTRDWDLSGFTRIFKFSKPHLFSYLLYGRRWVYVWVIGSNLVLRCTWTYKLSAHLRHNYLTVFTIAALEIFRRFQWIFFRVENEWNKMNNKSHMQLSTSEKSNEEENLLHSMNYNV >RHN41629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31629116:31629547:1 gene:gene47971 transcript:rna47971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MIKSRVGNISSISQIDVSQNKFVSEIPDSFTKLTNLSSFNVSYNNLFGHAPSLLSKRFNASSFVGNFGPCGYISSKPCPSPPPPPHNLPAQTPEELPSKSHQRKLSTKDIIFRVSGVLLLIFFFFFDINQAFALNRGQIVTID >RHN41429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29945624:29948143:1 gene:gene47747 transcript:rna47747 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNEVKRHKKSESHDAAIVQCSSSSSNRNRFSELSQDVMLAILCLVPLNCLLNSARYVSKHWSTAIPSCLQLKPPGLYVENIKSGRDSHFLDHVNGQKFHFGMPSKLGTLLDTCHGILLLHKGNRLTFAVNPILKSCFKIPIYPTHSKRTIHFRSTIARVPNTAQFKLFLIDVLNVEGVDWYVFYVLRIGVDHTWKEIATRKQVILEYNFLWKPVYNGENHIYWITNDGVTVMDVDREILIGEYPLPSTPVNSSLWGVVLWMGDRLSWILTVEGISSTITLTYQIYTLDFDLGKWNLYHEMGPFDYEAACGHKLDFDNIKTLCAIFHFWFNDQIFFTTLIYSTKNRNGFSGFKRVNFCYNVKTRELTKIDGIAVGNYDVWLHTNTLVSLPSAPT >RHN74859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37232456:37235806:-1 gene:gene10987 transcript:rna10987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MKLGPVFLGPKTGYNMVIPASYSLHINCGGKVITSKESLTYDDDSNEVGPASFHRSGSNWALSNTGHFFDSSLVDNYTWSNKTNLAMDNGELYMDARVSPLSLTYYGFCLGNGNYTVNLHFAEIMFTDDQTYNSLGRRIFDIYIQKRLVLKDFNIAKEAGGVGKAIIKKFTASVTSNTLEIRLHWAGKGTTAIPFGSVYGPLISAISVDPDFQPGLFTLRKIKAATNNFDIAYKIGEGGFGPVYKGVLSDGTTVAVKQLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCMEGDQLLLIYEYMENNSLACALFAKEKGQLKLTWSTRKKICVGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNPKISDFGLAKLKDEGYTHITTRIAGTYGYMAPEYAMHGYLTEKADVYSFGVVALEIVSGKHNTMNRPRDECFSLVDWVHLLNEEGNIMDLVDERLGEDFKKEEAMIIINVALLCTHVSPMHRPTMSSVVSMLEGKSVVEEVMQDTSQVFEGKKLEMIQQYYQQSEKVDTPETQEESILINATSEFMSDADMHSISMDSPCRSLNTL >RHN70568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52341964:52348077:-1 gene:gene19161 transcript:rna19161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chorismate mutase MMKLRFMAVVVMLLSCTERHKSTAKGSENEYTVESVRASLIRQEDTIIFSVIERARFPLNSPTYHQHYASIPHFSGSLLDVVLDYTEAIQAKSGRYINPEENPYKGILPPSIVPHYNFSQLICLQFVKMTLVKIGKNLRSLEKF >RHN40221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14349092:14349682:1 gene:gene46344 transcript:rna46344 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGLRITHLRQPLHWWHCEVVATVHSSDSELFPRHLGFMEVWVFSSWWFSWLLVLISQWWLVVEVYRSELGSRSRSIFVVDVEKDRWWLCSLCGGICLVMSMRVGHLFGEGGVLLGDVGVKVLLWVEVVVFQLLFGFSFQQLRGIHDCIVMLIWWTGGEKKQVLGCVYGGGFVVCGGGDAVGRQSIRIVSYGCFW >RHN42266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36880324:36881143:1 gene:gene48686 transcript:rna48686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MRHNHKSLQGSSTKGATDGTYSRGEAISSAVIHAEGLRSHLEPEFPSFVKSLVRSHVASCFWMGLPVSFCKRHLPDKDTTITLEDEYGKEYKTKYIACKTGLSAGWRQFSAVHKLLEGDVVVFQLIEPTKFKVYIIRAELENQESCTKQKVGGKICFSILFFIFYYCLS >RHN66541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13577343:13578554:-1 gene:gene14546 transcript:rna14546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MKNLTVRMADSMVDASDGSIDTLKVTPSGPEAGVNASDADSSGLVNAADAAGADINRVDANGNHPVDVMVVPHKLEVVKTSLEELLLSPGADISCSVPLSVNSSSPHSAAPLSSAENESPSSPVAPKFTDTAVNSASEKKEYPIALPSVESSMYATDEFRMYSFKVLLCPRAYSHDWTECPFKHPHENARRRDLRKFTYSCVPCPDFKKGDCKRADTCEFAHGLFETWLHPDRYRTILCKDGTSCDRKVCFFAHLAEELRPIYVSTGSAVPLPRSAASAPNVMDNAAATSLYRGLLPTPFALPLSPYANGISPSNNARPALRLPGRNMFQDIHCQQHMLNDLSCFSQPRPGAISVSRSVNSWSNWGSRTRKPDWSVNGSDFGRSQHGNNDEDPDLSWVQSLLN >RHN57107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36548180:36556758:1 gene:gene32606 transcript:rna32606 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLPSPSTFRVVPLSHCTSCFQFNLRFHPSNRFHNSCSSSLKFNPPTVRRRRIACSVNESDGDNDEEKEAHKNGETQSLEDTSEQSNPPPVDAGQLNKFGDENTNQNDVQNTDNIEVTSGSPLPGVKPQQLDDVIKIPKEKIEILKNQVFGFDTFFVTSQDPYEGGVLFKGNLRGQASKSYDKISKRLQDKFGDEYRLFLLVNPEDDKPVAVVVPRTTLQPETTPVPEWFGAASFGIVTVFTLLLRNVPNLQSDLLSTVDNLNLLKDGLPGALVTALIIGVHELGHFLVAQNLGVKLGVPYFIPSWQIGSFGSITRIRSIVSNREDLLKIAAAGPIAGFALGFVLLLLGFVIPPSDGIGVVVDASVFHESFLAGGIAKLFLGNVLKEGTPISINPLVIWAWAGLLINAINSIPAGEIDGGRISFAIWGRKASIRFTGFSIVLLGLSSLLNDVAFYWVVLIFFLQRGPISPLSDEITEPDDKYVALGVTVLLLGLLVCLPYPFPFIDETLASF >RHN41778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32857195:32860783:1 gene:gene48137 transcript:rna48137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinacetylesterase/NOTUM, alpha/Beta hydrolase MESSRIIKWLSTLLCALLFLKTQGQYVPLTRLESAVSKGAVCLDGSPPAYHFDQGHDEGANNWIVHIEGGGWCHNVTYCLYRRDSRLGSSHEMEEQTYFSGYLSDNQQYNPDFYNWNRVKVRYCDGSSFTGDVEEVDPTTKLYYRGARIFSAVMEELLAKGMDHAENAILSGCSAGGLTTILHCDGFRALFPNETRVKCVSDAGYFVNVNDISGDHYIEDYYSQVVATHGSEKSLPSSCTSMLSPGLCFFPQYMASSIQTPIFIVNAAYDSWQIKNILAPGDADPDGQWRSCKTNLNNCSPEQLNIMQDYRTQFLEALSPISNSPSNGMFIDSCYVHCQTEPQETWFKSDSPMVGNKTVAKAVGDWFYERSPSREIDCTYPCNPTCQNRVWESATASYPAMYTRVY >RHN62128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40966998:40967335:1 gene:gene24663 transcript:rna24663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MSGYAIFGMMREAVETFENMEKADVRPNHVAFLSILSACSHAGLVEEGLEFFGKMVNDYGLVPDVKHYGCVIDMLRRAGR >RHN70884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54720591:54723152:-1 gene:gene19516 transcript:rna19516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MLFSLTTPNHKLCHTLFLQLLPILSHFIIFFASTSKPNNNNNLTQPMEAQEFFQSDNNSNTNNVNTAASDHFIVEDLFDFSNEDVAIEDPTFEESPPTNSNDSPPLETNPTSNFFTDNSCQNSADGPFSGELSVPYDDLAELEWVSKFAEESFSSEDLHKLQLISGLKAPNNVASKPYEESNPTVHSQVSVPAKARSKRSRVPPCNWTSRLLVLSPTTTTTTTTTTSSHSDTMAPPKKPSPRKRDPNDGGEGRKCLHCATDKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTKHSNSHRKVQELRRQKEMMRAQQHQLLQLQHHHSIMFEGPSNGDDYLIHQHVGPDFTHLI >RHN76373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49726706:49729010:1 gene:gene12686 transcript:rna12686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative quorum-sensing-regulated virulence factor MHLLLHQQHHVYFMFRDTRCITNQKQRYVGVTVTSLRRNSEREIKLARDRVIDFGKYKGKMLGSLPSSYLKWVSKNLRAREFEEWAILADQVLLDPIYKDRIEWEFALNVLNGNNISSGAAKSIGAADSELQKISERFGWDNLDKVGWSKVDFDLLGTSKGGRIPRLVNFSDSDANTNTQPNQPPATSIIGSNGSNSSSSSSSSSSSRTKRMERRARQKMRSRTTNEAQQQKDDNVVDIEEVEDDNINLAIVNPFPGRQALLRKAINHGSILS >RHN70373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50975808:50979410:1 gene:gene18943 transcript:rna18943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MASRSVPPDINIKPEHCPEAVREWYRLTGLQDRKESAGRKNLALKAKQHLLSLGWKFWYIDKNCKWELRYTSPNNGKNHITLRKACESCIEEGGCSPERPSTAAITSISSPPLQLKKRSRESEETEGTTISLSPLQSKKRPRESEETAAISIYSSPLQSKELPRESQEAAATSIYWSPLPSKEKLRESEEEAAATTISLSPLKRPSESEEAAAISISSESDESTSDDDSEASSASSESTSDEDSETSSSGDVNKAIVGTVPTSMENEKHVSKFESELNDSVGSDKRGKVLKTSLMEKNSEDCGRRGKVLKTSIMEKNSEASSKRGKVSKTEGKRERYSLVSWLIKNQVLVSGTNVFCRGRNNVVKRGGLFSDGIVCDCCRVNFNVTGFEAHAGCTRHRPTTSILLGDGRSLLDCQREALSSRDEKRNHCIAEANSEAGNHCVAQLNSDAGNHYVAEGNSDVLVNSEAGNHCVAEGNSEVGNHCVAKANYEANNDTVCSICDLGGDIVLCDQCPSAFHLSCLGLDRVPDGDWFCPTCCCKICYRPKCKQECADGNDSNILVCVQCAQKFHFGCVKALGLGSSHIESNGEKKNWFCSVVCGNMFLCLKKLSGKPITVAANLTWTLLKNVSNDDQFSQKKIKLNMALDVLYESFNPTIDALSGRELIKDVVFSRGSQHKRLNFHSFYNVILEKMGKVISVATIRIYGQKVAEIVFVATKEQYRRQGMCRLLMHELEEQLTRLGVGSLVLHSSEDAIDTWTKAFGFARITGEDKCQFVDKTFLEFQNTIMCHKSLNRPVWPCIA >RHN75219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40283022:40283366:-1 gene:gene11392 transcript:rna11392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MELDFDLDFEDYFPSMVASLGAEAFIGELCYGFHLLMDVNKGLITFESLKMNCFMLGMEVKDDELVYMLMEGDLDGDGALNPMEFCILMFRLSPCLMEGPKMCTNPQGVNPMLM >RHN39460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7271032:7271894:1 gene:gene45484 transcript:rna45484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin MSFQMKILRVILCFEVDYTSYATSVNDFCVADLQLPNTPSGYPCKSETNVTADDFVFSGFVPGSTILDPFNVTLTTAFVTSLPGLNRLGFSAAKGDFGINGTVPMHFHPDATELLIIVESQLTAGFITPAKVYLKIVKHGDIVVFPKGLLHFLVNTGVGKAVIFAALSSTNPTMQILDYLLFGNDLSTSIIANTTLLEVSQIMKLKAQFDGRG >RHN68427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35416736:35425880:-1 gene:gene16780 transcript:rna16780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEILGSFLSSLLPSKVDRISVQDFKDFFKGNGIDEGHLQDLRLLLLSVATVLNDAEEKQFIEPWVKEWTDKVKDVAYDADDLMDELVTKEMYSRDFASSLNPFAEQPQSRVLEILERLRSLVELKDILIIKEGSASKLPSFTSETTSLVDERRVYGRNVDKEKIIEFLLSNNSQDVEVPVVAIVGMAGVGKTTLAQILYNDSRVMDHFQSRSWASVSGNSKMQEITKQVLDSFTLCQSDVVDFNGLQIRLKKELTGKRFLLVLDGFENENYLDWDILQMPFVSENNGSRIIATTRNKRVATAIRANLTHFPPFLSQEASWELFSSHAFKSQNSNERSRVLTEIGKKIVQRCGGLPLATITLGSLLNSKEDSEEWENVCTSKLWDLSRGGNNIFSALISSYIRLPPYLKRCFSFCAIFPKGHKIEKGNLIYLWMAEGLLPRSTMGKRAEDIGEECFEELVSKTFFHHTSDDFLMHNIMHELAECVAGEFCYRLMDSDPSTIGVSRVRRISYFQGTYDDSEHFDMYADFEKLRTFMPFKFYPVVPSLGGISASVSTLLKKPKPLRVFSLSEYPITLLPSSIGHLLHLRYLDLSRTPITSLPDSICNLYNLEALLLVGCADLTLLPTKTSKLINLRQLDISGSGIKKMPTNLGKLKSLQSLPRFVVSNDGGSNVGELGEMLELRGSLSIVNLENVLLKEEASNAGLKRKKYLHEVEFKWTTPTHSQESENIIFDMLEPHRNLKRLKINNFGGEKFPNWLGSNSGSTMMSLYLDECGNCLSLPSLGQLSNLREIYITSVTRLQKVGPEFYGNGFEAFSSLRIIKFKDMLNWEEWSVNNQSGSEGFTLLQELYIENCPKLIGKLPGNLPSLDKLVITSCQTLSDTMPCVPRLRELKISGCEAFVSLSEQMMKCNDCLQTMAISNCPSLVSIPMDCVSGTLKSLKVSDCQKLQLEESHSYPVLESLILRSCDSLVSFQLALFPKLEDLCIEDCSSLQTILSTANNLPFLQNLNLKNCSKLAPFSEGEFSTMTSLNSLHLESLPTLTSLKGIGIEHLTSLKKLEIEDCGNLASLPIVASLFHLTVKGCPLLKSHFERVTGEYSDMVSSIPSTIIEA >RHN82567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54806245:54814269:-1 gene:gene6740 transcript:rna6740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation initiation factor 3 MAFWHRIGNSKLKAACFQFRRCYTHLSHASLSNSTPKTCPTVMPNPHSLFHDRPTIFLNSVRFYAVPVQFQVKPKNEEDDTDGPRLNDQIKARQVRLVVDGEHSIVSRFEALERARKLKLDLVEVDKNSTPPVCKIMDYHKEMYKKKENFKERAKSKSEMTMKKECKEVRFSEKTESKDLKMKSDMVRKLMEKGYRVKVKATGNADQAMLDAISRLSALIEDVCVVESGPHLAKKDAYIIVRHLKYGPAKKGAKKSQDAVRMDSKAEEGDVEPLTTNSSNSVETPDTLTNSINDGNDSVSPPVVENRYKKANYRVENKFQSNAQAPPDVTENRYKQAEPRNRYQHENRFQPNAQVPPVVTENRYIQAEPRNRYQQTTPNTSPGTRDANRWIENKVQSNAQVPPVAVENRYIKAVPRNRYQQTAPNTSPGTRDANRWTPSNLNNTRNVHVNNFNPNTESTNQAIDKPNGPQTVQRNR >RHN40620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18251198:18251524:-1 gene:gene46790 transcript:rna46790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MEYHGKIAFQYKSSERKKLEEEGWRIIGNSGDQWSDILGTNTGERTFKLPDPLYYYIA >RHN61674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37383390:37388796:-1 gene:gene24162 transcript:rna24162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative biotin synthase MFWLRPILRSQSRSSIWVLQHCNSFSTSSAAAIQAEKTIQNGPRNDWTKDEVKSIYDSPILDLLFHGAQVHRHAHNFREVQQCTLLSVKTGGCSEDCSYCPQSSRYDTGLKGQKLLNKDAVLQAAVKAKEAGSTRFCMGAAWRDTIGRKTNFNQILEYVKEIKGMGMEVCCTLGMLDKDQAGELKKAGLTAYNHNLDTSREYYPNIITTRTYDERLKTLEFVRDAGINVCSGGIIGLGEAEDDRVGLLHTLSTLPTHPESVPINALIAVKGTPLQDQKPVEIWEMIRMIATARITMPKAMVRLSAGRVRFSVPEQALCFLAGANSIFAGEKLLTTANNDFDADQLMFKVLGLLPKAPSLDDDETNEAENYKEAASSS >RHN61473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35721157:35723244:1 gene:gene23944 transcript:rna23944 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSRWLFSICESRKQKQRREMKKKLISDPRFVGMHTDPRFREARKDETKVAIDSRFKHMFNDNSFFSYSAPIDKRGRYIENNLTLKSDFLRHYYKVEEEEEEGKEELMDDNDKAAENESNSTTDEEEDTYTDEDTDTLDMQEDEVSVIDKDTYRLAVVNMDWNYVKAVDLYVVFNSFVPPNGMIKSVAIYPTEFGLQRLKEENIHGPVLFHNENDISDEDINENMRAYQKSMMRFYHAVVECDSSTTAAHIYKECNGLDFLSTPLDLRFIPDFWEFKQESEDVVTEVPANYVVKDFGPRALQHSKVDFTWDDDDPLRKRTLRRKFTDEQVSTALVYGKQHLMDTGKNGVKHSIVIRSINCGNPGLYHYDDIRSWFLKTIGNVETMKNTVREI >RHN62844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46155112:46157766:-1 gene:gene25464 transcript:rna25464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MCSVSGVLVFSLAIFLLLIGTPCSSSFVKTEDKIKSAVFLSPKFELGPGSVVNRYYYDIDFPRGHIAIKDFHAEVVDESGNPIPLHETYLHHWVVGRYHQRKHVTHTENDSHMMLQNSDHVLVRNSGICQGNYLGQYFGLGSETRGTLTDIPDPFGIEVGNPAEVPEGFDEKWMVNVHAIDTRGAEDKLGCTECKCELYNVTVDEYGRSVRPDYKGGMLCCYDHTRCKLKEGFEGPKRNLYLRYTVKWVDWDDFIVPVKIYIIDVTDTLKPSDDSKELNSDHDCKVEYEVKSCSIDQKEGNGCVHVKRTSLPLQTGGYVIYGVAHQHSGGIGSTLFGQDGRVICSSIPNYGKGSEAGNESGYIVGMSTCYPKPGSVKIIDGETLTLESNYNSTKEHTGVMGLFYILVAEQLPYQQLRLFSRSSFVADVNFSDS >RHN50875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11347832:11350083:1 gene:gene35222 transcript:rna35222 gene_biotype:protein_coding transcript_biotype:protein_coding MQVREQKKSEYGSVAKQSQSHEAWLSERYAWLGEIRRFWTSNADVAETQWGRNLSMAKQSERG >RHN53540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2393483:2397206:1 gene:gene28456 transcript:rna28456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-ketoacyl-[acyl-carrier-protein] synthase III MILRLLVVDLCQVYYQRLVTLGIQNVLVVGADALSRYVDWTDRGTCILFGDAAGAVVVQACDIEEDGLFGFDVHSDGSGIRHLNAGIKVDESNNAFDSNGSLWGFPPKKSSYSCIQMNGKEVFRFAVRCVAQTIKSALEKAGLPVSSIDWLLLHQVISNLADYGNTSAASIPLALDEAVRSGMVKPGQTIAAAGFGAGLTWGSAIVRWG >RHN48709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49610309:49614250:1 gene:gene43452 transcript:rna43452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-fructofuranosidase MVLSKVVTIFVAVLSISLLLINNGVEAFHKVYPHLQSVSAISVSEVHRTGYHFQPPRNWINDPNGPMYYNGYYHLFYQYNPKGSVWGNIVWAHSVSKDLINWKALEPAIYPSKPFDKYGCWSGSATIVPGKGPVILYTGIIDEKNTQVQVYAIPEDPTDPLLRKWIKPDAINPIVIAGQGVNGSAFRDPTTAWMGKDGRWRMLVGSRRKHRGMAYLYRSRDFVKWVRAKHPIHSKTTTGMWECPDFYPVSLKGKVGLDTSIEGNHVKHVLKNSLDMTRFEYYTLGTYLTDKDKYIPSNTSEDGWGGLRYDYGNFYASKSFFDQSKNRRILWGWANESDSQDDDVKKGWAGIQAIPRTVWLDPTERQLVQWPVEELNVLREKEVSMNNQKLEKGNHVEVAGITAAQADVEVTFSFSSLDKAEAYDPSWVNAQDLCSQKGSKVQGGVGPFGLLTLASENLAEFTPVFFRVFKAPSKHVVLLCSDATSSSLTSNLYKPSFAGFVDVDFATKKLSLRSLIDHSVVESFGEGGKTNILSRVYPVLAVANQANLFVFNNGTEPIIVENLKAWSMKSAELI >RHN57165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37027158:37033895:-1 gene:gene32669 transcript:rna32669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bacterial surface antigen (D15) METTQEQPSKPNNIDDEEDEEEEEEEEYEDENDDEIDEEPNQQTLKSKLRNQRFKVETFSRRLASEQVPIRVHDVFITGNTKTKDWIIEAELDGIEKATTMQELMYASQIAAARLQRLEIFDSCRVKLEAGPEELPNTANVVVDVVESESKLTGGFGVYVKPSVKAWTTEGTVKYKNLFGYGDLWDASLAYGGKQATEASFGVYAPRLKGLLTPLVARLFMLSQDLQEFSSYKEQLLGMSLDLISTKHQDLVYTLGWRTLTDPSQMASRSIRRQLGHGLLSSLKYTFKYDRRDSRIRPTKGYAFVSTTHFGGLAPNHQSLRFLRQEFDVRFAVPFGFYNTALNLGISAGAVFPWGQGFRTKPSPLPERFYLGGDFSPVCTLGGPRTLWGFKTRGVGPTEPRRQKSDEENDDTLDFIGGDLAVTAFADLSFDLPIRWLKEQGIHGHIFAGSGNAAKLTQNEFRNFSPRKFLDSFRLSAGCGIVIPTRLFRLEANYFHILRKDEHDNGKTGFKFSFSAPFGS >RHN57373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38480218:38480439:1 gene:gene32908 transcript:rna32908 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIQQQHKKQMLHHLPSSNLTSETIFVPKTPDFSSSSPPFFASASQRSGFFSSSFCYGLATMIDKDKEYDVV >RHN53992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6034111:6035652:1 gene:gene28967 transcript:rna28967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MDGGRGKGERKRNGGGVGVDGERRYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPIAAARAYDTAVFYLRGPSARLNFPELLNGENNAVVGGGDMSAATIRKKATEVGARVDALQADVNHHSHSHSHNHNHHHLQHNRNQHHRVMPVPELLEGDGSGDFAERVDLNKIPEPESSDEWDVN >RHN78077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11700818:11701141:-1 gene:gene1592 transcript:rna1592 gene_biotype:protein_coding transcript_biotype:protein_coding MKKERGRLKIRVYVILLLLPPFAISSSLYLLSSSYSFSSSSQDCVADNNSDAIEINNNNNFVNSLNRHTTIYPTPQIGVTRMPVEATPSNHPNPSDNSVTRTVRKMV >RHN39514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7805038:7811979:1 gene:gene45541 transcript:rna45541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain-containing protein MVKLTMIARVTDGLPLAEGMDDARDLKDGELYKQQVKSLFKNLSRGHNEASRMSVESGPYVFHYIIEGRVCYLTMCDRAYPKKLAFQYLEELRNEFERVNGSQIETAARPYAFIKFDAFIQKTKKLYQDTQTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEQLDQVSQLSSRLSSESRIYADKARDLNRQALIRKWAPVAIVFGVVFVLFWLKNKIW >RHN44370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3962768:3967885:1 gene:gene38486 transcript:rna38486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyl-CoA carboxylase MASFTTVPCPKCLTFHHLGLKSQTSSRNVQLGFMKSQSFGSLSCDLVSNGIQCLERKQFSVWRSQALPNEVATIENSSNSVPVLINEPNGALPKEKDNHNGKPPGPSASTDASSVSTFMDQVSELVKLVDSRDIMELELKQAGYELMIRKKEALQPPPVSQQPFPYPAYPPSYQAPLPPPPPVVASTPPSAPPSNVVPALPPAKTNASSHPQLKCPMAGTFYRCPGPGEPPFVKVGDQVQKGQVVCIIEAMKLMNEIEADRSGTIVEVLVEDGKPVSVGMPLFAIAP >RHN57926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42617274:42617944:-1 gene:gene33517 transcript:rna33517 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPEEQIDELERMVCINTQLSVATVFMKFASNVLSSSSDHSTMNENIVTFTMLPLTFFLSTTIFSLFLKDMINHINHHTLRLGMLLCVVGSVFGYFFFMTALYHYWFIQVGHNLEAITVPLFLLFTIMLVIHAVRDSVHAMFYQIVFLRLFFFMFLMYLI >RHN49834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1573951:1575930:-1 gene:gene34058 transcript:rna34058 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MVDGFPYEVPEEYRNLPLLKGRAAVDMKVKIKDNPNLEECVFHIVLDGYNAPVTAGNFVDLVERHFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTEKTRTIPLEIMVEGEKAPVYGETLEELGLYKAQTKLPFNAFGTMAMARDEFEDNSGSSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDFLADLKVGDVIESIQVVSGLDNLANPSYKIAG >RHN66360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11404469:11404844:-1 gene:gene14327 transcript:rna14327 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTKICALITIYLLAFTIFASDMSVKSEGKGFCNKDADCDPCPSPGQHRKCDIHRCFCIGGPYKISTLRSPIAN >RHN66283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10345854:10347606:-1 gene:gene14233 transcript:rna14233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MLTFFKTNASSFQKTKIEDKEMNVIDQCWRFNPEWRKHRQQLAVCSVGYVGKMTNNIGKDLIHYKVTDPSDHPLNPAPGTLRYGASRIQGKVWITFKRNMNIKLVRPLLISSFTTIDGRGVDVHIADNACLMIYKTTNIIIHGIRVHHCQPQAPGMVMGPDGKIISLGQVDGDAIRLVSASKIWIDHNTLYDCQDGLLDVTRGSTDITISNNWFREQNKVMLLGHDDGFVRDKNMKVTVVYNYFGPNCHQHMPRIRHGYAHVANNLYMGWVQYAIGGSMEPSLKSQSNLFIAPSRGKKEVTWRKSSNEIGDTWEFYSVGDAFENGASFVETKGGQVTKPNYSPEQNFKVVDAKYVRSLTSSSGVLQCSKTSIC >RHN40495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16922156:16923896:1 gene:gene46651 transcript:rna46651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MNISKLILVGINASQSGEDYWKTIWPNTPMPKALSDLLQPESTSNLPIRGEENKQYWTIFFEHDLYPGNKISLGFNKSNDKSQPLGAVKWDKNEIQPFGSLTWLKKTSEERNQPFGVAAWWLQKTSEERNQPFGFRAWRWNEKPSQPFEAHTWGGKERQSFDNYCIRPSAIGEEKYCALSLKSMMNFAISKLGTNIKVISSSFAQNQDQYKVDEVKKIGDKAVMCHRLNFKDMVFYCHQVNATTVYMVLLVASDGTKAKALTICHHDTRGMNPDVLYELLKVKPGTIPICHFVGNKAIAWVPDSS >RHN44791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8509151:8515347:-1 gene:gene38953 transcript:rna38953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein geranylgeranyltransferase type I MSSSEEASSMETTTIDKDLHVTFAQMMYHLLPNPYESQEINHLTLAYFVISSLDILNSLHLVEKEAVANWVLSFQVQRGTTNDPNNGQFYGFHGSKTSQFPPDENGVFHHNNSHLASTYCALAILKIVGYDLSSLDSESMSSSMKNLQQPDGSFMPIHIGGETDLRFVYCAAAICFMLDNWNGMDKEKVKDYILNCQSYDGGFGLVPGAESHGGATYCAIASLRLMGYVEDNVLSSCNLSSLIDLPLLLDWILQRQGTDGGFQGRPNKSTDTCYAFWIGGVLRILGGCNFVDNKALRGFLLSCQYKYGGFSKFPGDFPDLYHSYYGFAAFSLLEESGLKSLCSELGITNMAANGV >RHN79765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31958622:31963774:-1 gene:gene3601 transcript:rna3601 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFVKTFFVFLLILLPRRRRLQKQRRERHMMIPTRRAVIHPCRLRQVKTAARRLHRQRHTENPSTAGTNSRRRLNMTRNRRQRGRRKRRRCGNRSGGDSSGTCSGDDRRLSMTKKPLDCFTVGTVT >RHN70457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51564380:51569787:-1 gene:gene19037 transcript:rna19037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, peptidase, FtsH MAWRRLITQVERHQSEFGKVKGLLTRSYLSPNKFQGYTRNRLLFSQERCQSSYLGNLARRLRDSSEAEEEVSYLKELYRRNDPEAVIRAFESQPSLHTNSAALSEYVKALVKVDRLDESELLQTLRRGISNSVREEESGIGGLAVLRNVGKSTKDGILGTAGAPIHMVAASEGGSFKEQIWRTIRSVAVAFLVISGVGALIEDKGISKGLGMNEEVQPSVETSTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGKRNAKDQMYMKMTLNQMLVELDGFKQNDGIIVIGATNFPESLDKALVRPGRFDRHVVVPNPDVEGRRQILESHMSKILKADDVDLMITARCTPGFSGADLANLVNVAALKAAMDGSKAVSMHDLEFARDKILMGSERKSAVISEETRKMTAFHEGGHALVAIHSDGALPVHKATIVPRGMALGMVSQLPDKDQTSHSRKQMLAELDVCMGGRVAEELIFGESEVTSGASSDLSKATKLARQMVTKYGMSTEVGPVTHNYYDDGRSMSSETRLLIEKEVKNLLERAYNNAKTILTTHEKELHALANALLEHETLTGSQIKDLVAKVRSQQPQAQSSVVESQGNSRPNPAAAAAAAAAAAAASAAAKAQGVAPVGS >RHN72210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5825025:5825879:1 gene:gene7896 transcript:rna7896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MYEEASQVANDAVGSVLMEHGKATLGEDFKVFFCLTITAIGVSQTRALAPDTNKAKDSTASIFEILDSKPTIDSSSNEGATLETVKGDFELQKVSFRYPTRPNIQIFKDLCLSIPAGKVII >RHN68066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32493488:32499251:1 gene:gene16357 transcript:rna16357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MATIGHNNLNAKLVLLGDMGAGKSSLVLRFVKGQFLEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITSSDSFSRAKKWVQELQKQGNPNMVMALAGNKSDLEDKRKVTAEEARVYAEENGLFFMETSAKSAANVNDVFYEIAKRLPRAQPAQNPAGMVLVDRPAEGSRAASCCS >RHN56825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34036947:34037279:1 gene:gene32281 transcript:rna32281 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMKEKERSWEHGREEEEGIKKMKKINRLDVGGSVLYTVDLQSNC >RHN64302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58035971:58039342:1 gene:gene27105 transcript:rna27105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyltransferase A, auxiliary subunit MNSNYGKPNPPKQSSASLNNFNFDLDLGIGSNRSKSLKDQKTPNPPSNSYSTPSYSSAQPKKWTHQPVQTPAGLPGAPPSMVGDIFGKSWGSTQPSGPSIGIVNKNPNLFGDLVTSALGQGSSNNSNVPLKNAIPTPKNSSFSMSNMSNSLPKPVNTLQSGSSLGSSSGSGFNLNANRSPNIGGPSIRSGVGVAASGSGIGISSSNKDPFSSLAGFGSKPSSSLNSAAKPSKIDSQDDGFGDFQNATKPSTNAFPSSGGSVGIDVDFTGSSAFSNPTPVQASGGDPMDMFFTSTTPSSGGGGGGGAAASSEIDDWGNEFGGGNHDVGGTTTELDGLPPPPAGISGSSAKGKGMDNYKQGQFADAIKWLSWALILLEKAGDGAGTVEVLSCRASCYKEVGEYKKAVADCTKVIGNDEKNVSVLVQRALLYESMEKYKLGAEDLRTVLKFDPTNRVARSTVHRLAKMAE >RHN43557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46829408:46829688:1 gene:gene50158 transcript:rna50158 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNESVSNMGYWEGRNWKWNLIWRKRLFEWELVQVQKLIGVLHDVKLSCHVEDSIWWKFILKGAVSVKSFSNACWDLIPHNLAATGL >RHN43711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47883061:47885797:1 gene:gene50329 transcript:rna50329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MPPMHRKGDRSVSINTIHHNSEDLSMTCNPRNMHSFIRFFKIVTSTNIQDGTLKIPDAFTKKYSGDLSNPMFLKTPDDKKWEVHLTKKDGDIWIHKGWNEFATHYSIDHGHMLMFQYEKTSHFKIYIFNKSTLEIEYHVDGNNQHEQNNLVENLDEKPTCKKTRPKSQISSLQPHKKSRIGASKDVGTSSKLKKNPKLVQVKEESEDTTECLNVNDQEPKNSTSKIAEALNKAKNYKTNNPFFTVVMTYSYANKYMYIPVDFEQKYMKEKQSVIVLQVLDNGRTWNVKHWGRHVSTGWKKFAFDNNLKVGDVCLFEMIKSNAYAFKVLIFRLGEEHSLPPQVHGDGVNWVETARIPGVECKTMSYKGKKATQNSLHASSCSFKSSEVKKESDQFASTLKNPHFTIKVISSHADVYKPYLIHVMQSWSKHAVIDILPLTLQRIHNSFSEKYLCHKKIVTLQFNKNLWHVRLASCPSEPSTKLSTGWSKFVEENKLEAGDVCVFELVNKEDLVFDAHIFRGCN >RHN74589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34947587:34951081:-1 gene:gene10683 transcript:rna10683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine-rich transmembrane CYSTM domain-containing protein MELKNKPVVDMILGFVADWYPQQKISDQTTSRGDGFWKGCCAAICCCCALDICF >RHN55011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14351816:14354949:-1 gene:gene30128 transcript:rna30128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transaminase MVVATTNVSSPFSPNGTKKSLSTFSPNSFVNVEKGDPVAFRSYWEKVSDKSSVEIKGEEFMSYFGDGNNLCWYMLPQMREAILRIHNVVGNVVTKDKFIVLGNGSTQLFNALLYALSPSDPSDQPINVVAAAPYYSEYQDVIDHMQSRLFQWGGDAALYDENKPYIEVVTSPNNPDGTLRTPVVNSEVEGKLIYDLAYYWPQYTPITHQINQDVTLFTLSKCTGHGGSRIGWAFVKDIEVAKKMMRFMHLSSIGVSKESQIRAAKIIEVICDGYENFKSVGSDHLFFNYTKKLMIERWEKFKGAIEKSKVFTLPKYPTSYCHFNKEFSDQYPAFAWLKCVEGIENGESYLEKLKIRTRGGERFGDDAKYTRLSMIGTDDEFAEMCTRLSNAKRE >RHN65086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64108498:64109219:1 gene:gene27983 transcript:rna27983 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKIHDPVVVNSSIILLQERFRQLQRVKEMREKRELLKMLGNHETKHLNYSSRGMSHEPITRLFFHPELININSSGSPSPPHVSLSLWPTTSQGMQDDNNNTSTSTSTSSSDTVETLVLFKNFCSQSKPLQASWNNVYDSGVDTSLHL >RHN69984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47766893:47768104:-1 gene:gene18520 transcript:rna18520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MGYAAFGDNAPGNLLAGFGVSKAYWVVDAANAAIVIHLFGAYQVYAQPLFAFVEKEAAKKWPKIDREFKVKIPGLPVYSQNIFSLVWRTVFVIISTLIAMLIPFFNDVLGVIGALGFWPLTVYFPVEMYIVQMKIPKWSRKWIILEIMSTFCLIVSIVAGLGSLVGVWIDLQKYKPFSLSN >RHN54948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13850437:13851278:-1 gene:gene30055 transcript:rna30055 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKLSIVMCFWTIVFIYVGAIAPSEYGKNFGKIEESDTYIEVDGLASWSGGGAIWKSPFKYSNGRGGGNTNEGGGGIVVNFPSGGKRGRGVNVIDFPGGGKGGKPSIEKAYIKN >RHN50084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3922892:3923278:-1 gene:gene34336 transcript:rna34336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MKSLDWIKRFNIINDIVRGLLYLHCFSRLRIIHSDLKAINILLDVNLDQKMSNFNLARTFLGEQVEQTQIGLPKHSNGYMPPEYARSGRFSTKSDVFGYGVMVP >RHN76926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2457761:2465667:-1 gene:gene319 transcript:rna319 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMFIVSAHIPKRGRGRRGDDSGSSQATQPEESQVVDPSGVEYLNYQDQVHHDTTDRIIYHKQHVGDDGDIAAAAAPDVLLEDPPFAGEPTDLSLLHSYAGHVALPLWYNSDNVRKLRVVKPINHGPKILSLGRPNGNQDWFWDPLRQSRLHDLVYLGYATVPHALLMTQCESLLNFNFLSSLKTSSQSLSNSLILHRLLLSLIHTMNIKKSVQLKLCLRFTDIFLRLLTRKLLTIFPLNCQRLFQSDEE >RHN75166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39919877:39920483:1 gene:gene11329 transcript:rna11329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MPIRFRYKELEIATNNFSVKLGQGGFGSVYKGVLPDGTQLAVKKLEGNGQGKKEFRAEVSIIGSIHHLNLVRLKGFCADGTHRLLVYDYMANNSLDKWIFKKKISEFLLDWDTRFSIALGTAKGLAYLHQECDSKIVHCDMKPENVLLDDHFIAKVSDFGLAKLMNREQKPCFYNTKRNSWLPPCTRVDNKLCYIREK >RHN80748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40586443:40590583:1 gene:gene4710 transcript:rna4710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ERCC1/RAD10/SWI10 family, RuvA domain 2, restriction endonuclease type II MENEAEKQNNSINKKSSTVIRIPSYQEVIESSQTKSTPPSLFVPSQTFSQAFAFVKSSEFYSPPPSLPKENAPSNASSSTPSTSSATNNAPAASPNLPNSNSQPTQNRNAILVSNRQKGNPLLKHIRNVRWTFADVVCDFLLGQSSCALYLSLRYHLLHPDYLYHRIRELQKNFKLRVVLCHVDVEDVVKPLLEVTKTALLHDCTLLCGWSLEECGRYLETIKVYENKPADIIQGQMDTDYLSRLTHALTTVRHVNKTDVVTLGTNFGSLSNIMGASMEDLARCPGIGERKVKRLFDTFHEPFKRVESSRQAIPETSVQNQPTSQDTSVINNTESSSSLEDIHKDADSASKRKKKEPEFTVRSALSVAFAKLSERAGKRNISSKLKEKEETVVVRESDAET >RHN42879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41607402:41609230:-1 gene:gene49379 transcript:rna49379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MYTLISNILSQSNLTTLFLSSMVFHLRNLLHFYYHDSPNPSPQQSPVTYTPYNILHHFHIHDIHTLRIAMMAMACFVTTIMLFFFFFISIRNYQSRRHNHTRDRRNTPVLFDVHGYRDSTISNDDDDDNDGDERVIVDHPIWFIRTVGLQRSVIDSITVFKYRKDEGLVDGTECSVCLGEFQEEESLRILPKCSHAFHIPCIDTWLSSHKNCPLCRAPVINDAAEVSITVTDQLDSISNISGDTQNQGHTESSDNAVGRGLGSHNVGEFDIIIRVDNDDGDSGVSSSERPNKNNIA >RHN63224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49489553:49494117:-1 gene:gene25900 transcript:rna25900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MKKVFHLTVIILMRELSWRCFRHKIDWRRLFLLVSIFTASGFVFQMIVHTSVISPNKHFHFPPGAADSYKSSNSTIQSNEFIKETILQNVHLTLPNSAVSPKLSNNFVQSVSVEADSINTEARRKKDRNLANTSTTVTTSFPRGRVPSGKQTDIRLITPTEALVYARKEIDHVTSVNEDPDLYAPLFRNVSVFKRSYELMETVLKVYIYRDGSRPIFHNPSLKGIYASEGWFMKLMQENKQFVTKDPERAHLFYLPYSARQMEVTLYVPGSHDLKPLSIFLRDYVNKIAAKYPFWNRTHGSDHFLVACHDWGPYTVTEHEELARNTLKALCNADLSERIFIEGRDVSLPETTIRAPRRPLRYLGGNRASLRPILAFFAGSMHGRVRPTLLKYWGGEKYEDMKIYKRLPLRVSKKMTYIQHMKSSKYCLCPMGFEVNSPRIVEAIYYECVPVIIADNFVLPLSEVLDWSAFSVVVAEKDIPRLKDILLSIPMRKYVAMQNNVKMVQKHFLWNPKPIRYDLFHMILHSIWLNKLNQI >RHN42092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35331577:35336819:1 gene:gene48487 transcript:rna48487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease PH, bacterial-type, PNPase/RNase PH domain-containing protein MVGLSLGEQCFIEGGIAHDLRCDGRKRLTYRPILVETGVIPQANGSARVRIGATEVIASVKAELGKPSLMQPDKGKVSIYIDCSSTAEPAFEGRGADWLSADLSNALQRCLLGAKSGAGAAIDRSSLVVVEGKICWDLYIDGLVVSSDGNLLDTLGAAIKVALSNTGIPKVQIAAGASSDEQPEVDVSDEDFMQFDTSGIPVIVTLTKVGKHYIVDATPEEESQMSSAVSISINRQGHICGVTKRGGAGLDPSVILDMVSVAKHVSEQLINKLDSEIASAEAEDES >RHN70826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54313157:54313339:-1 gene:gene19451 transcript:rna19451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MLGEENERFLIPVSFLNEPSFQELLRQAEEEFRYCHPMGGLTIPCKEDVFLHTTSRLNGL >RHN61200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33791855:33792100:1 gene:gene23638 transcript:rna23638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MRKTLEHCEADRVRGETRMCVNSVESMLKFVDTIIGSEAKYDILTTNNPSPSAIPL >RHN77530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7468690:7472039:1 gene:gene994 transcript:rna994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase 15A MAHRFLIALFLFATVATAATTLSDDTNSDDLLIRQVVDTAEDHILNAEHHFTSFKSKFSKNYATKEEHDYRFGVFKSNLIKAKLHQKLDPSAQHGITKFSDLTASEFRRQFLGLNKRLRLPAHAQKAPILPTNNLPEDFDWREKGAVTPVKDQGSCGSCWAFSTTGALEGANYLATGKLTSLSEQQLVDCDHVCDPEERGSCDSGCNGGLMNNAFEYILQSGGVVSEKDYAYTGRDGSCKFDKSKVVASVSNFSVVSLDEDQIAANLVKNGPLAVAINAAWMQTYMSGVSCPYICAKARLDHGVLLVGFGQGGYAPIRLKEKPYWIIKNSWGQNWGEEGYYKICRGRNVCGVDSMVSTVAAAQS >RHN69608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44877069:44883392:1 gene:gene18099 transcript:rna18099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mRNA (guanine-N(7)-)-methyltransferase MSSSSQLGKSESSSMSNHQRLYDFAKMALIKIFAHPYATVCELYCGEASDAHKWLDSQIANYIGIDVSSSAIQQIRQSLGDNNNKSCTTHFFHLDPSTESIEIRLEDKTNTVDFVCCLQHLQLCFETEEKARRLLQNVSSLLKPGGYFLGITPDSSTIWAKYQKNVESYHNRSSSMKPNIVPNCIRTENYTIAFEVEEEKFPLFGKKYQLKFANDVSAETHCLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNRAQLAGLLTNYAPNLLDTRGKLLPRSYDVLGLYTTFIFQKPDPEIAPPITTPILQDASYNLDEGTSWWDEEINGHVVDSSIGLGMISEQKGILGPGPAELRFPEAL >RHN75475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42550151:42551841:-1 gene:gene11691 transcript:rna11691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neoxanthin synthase MNTSVMLFSPPITTPSIFPLPKTHHNRTSLPSSRKQHQGRIHNTRKFGNFLDLKSEYQPEALDFDLPLFHPSDHTCFNVIIIGAGPAGIRLAEQVSLYGMKVCCVDPNPLSIWPNNYGVWFDEFEGLGLEDCLDKTWPITSIYIDDRTTKYLDRCYGRVNKRKLKENLVKGCVFNGVRFYKAKAMQIEHHEFESIVVCDDGVDLKGSLVVDASGFGSKFIEYDDHKVKNYGFQIAYGVLAEVDAHPFDLDKVVLMDWRDSHCRDGNAKSSTFMYAMPFSSNLIFLEETSLVSRPALSHTEVKTRMVARLKHLGINVKRVLEDEKGLIPMGGPLPRIPQNVIAFGANSGVVHPSTGYMMARTMTLAPIVAASINQCLGSTRMIRGKQVYANVWNSMWPIESRISREFYTFGMETLLKLDLNETRQFFDAFFELKPYYMQGFLSSSLTLNDIVWLSMSLFAHASNPSRFAIARKCPELLAKMMGNICLEFIK >RHN63297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50162759:50165503:-1 gene:gene25982 transcript:rna25982 gene_biotype:protein_coding transcript_biotype:protein_coding MADIFAWLFSFFTLIALIVIIIYQLMCLADLEFDYINPYDSASRINKMVLPEYITVAALCGFYLVTGHWVMALLCAPYLYYNYRLYSQGKHLVDVTEIFNMLAREKKQRLIKLFYLIFILFLSLFWLIYTSLDDPYD >RHN81202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44042858:44044543:1 gene:gene5212 transcript:rna5212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MAFGKSPLHGLLSFLLLFFSPSLYHRHVSAAVTVTTTSIHLDVVRKPSLDAPIFREAPAFRNGNTCNKESIHISMTLDSNYLRGTMAAVLSILQHSTCPENVEFHFLWARFEPQVFLIIRSTFPYLKFKIYRFESNRVHGKISKSIRQALDQPLNYARIYLSDILPLYVKRVIYLDSDIVVVDDVGKLWEVDLQGKVLAAPEYCHANFSEYFTDLFWKDAELARTFEGRKPCYFNTGVMVMDVEKWREGGYTQKVEHWMRVQKQKRIYHLGSLPPFLLVLAGDLKSVDHRWNQHGLGGDNLEGKCRNLHPGPISLLHWSGKGKPWLRLDSRRPCSVDHLWAPYDLYGPNTHSLEE >RHN68292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34352979:34357541:-1 gene:gene16626 transcript:rna16626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MGSIVFISSIAGVVSLGTGSVYAASKGGSSAITQLTKKLACEWAKDGIRSNCLLRNKQYMDEMLSRTPLGRIAEAHEVSPLVAFLCLMED >RHN48329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46691998:46692624:-1 gene:gene43035 transcript:rna43035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MKNNGLVPNRNTYNILVHGYCKLKWLKEAVEVTELMTGNDMLPDVWTYNTMVRGLCDEGKIDEAVRLRDEMESLRLVPDGGSAAAFKLVEEMKSRGVKENAVTHNIMVKWFCKEGNVDEASNAMAKMSESGFLLIVLLISYNMMINGYCKAGKMAKAFKMMDEMGRKGLKTDTFTLNTILYTLCLEKKLDDAYN >RHN81987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50364782:50368077:-1 gene:gene6099 transcript:rna6099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Tesmin family MAALDQNNTIVSNSLEDDSFFDYLDTLSPLNTKKYVGVGATETLNSLGMDNPLFTSLDVTFNNDSIFLTRNNLLYTSNPEVSPEEAPTDSTHAWIDTSQLHDPEISSDDAKYLLELLGKQEPDGTDVQDESITDAVEGGTYNRDGPDCDYNNLISTTQSVTLLPQCTSNSNYKMKTVDPLVFGSKYEIEDNPSEPIAVATDRNQAQDNLANVALMDRNQIKRGDGELARIRRCIQRSCQGYESEMTSMQRNNSDERNAINKNPSDSQKCVLQGNGFYLNALPALNHYEGIENEKMPSQRKPESPSCTYSLNISVRQGHQLSQVPAPMETHLRLSENEDVTKSSVHIPGEDFCQSTPKRTSEVQFKDIKNKKLDQNMKRTMKVHRKDPIDLVSSGSEHVKEEERADFCQSIRKRKRKVNCGCFAAGVYCIGPCSCQDCLNKAINEDKVLQAHRMIEYRNPPVFVPKVITNSDSSPQIVDDSDKAPASNRRRIQCKSRKSSCTNKRCECFKGGVGCSPSCKCQGCKNIYDRKDSEAETKSELEETEASQISRFDMLIFVFDEMINLMFIIAIYSFLCDRP >RHN76340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49489916:49491838:-1 gene:gene12649 transcript:rna12649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TauD/TfdA-like domain-containing protein MSTQLENALVEIQIPEQKLLPNGTPFPAIVTPRTLPLNATHTIKTHKPYFESLLHHSGAILLRNFSLQTASHFNSLVEAFDYAELPYIGGAAPRTNVVGRVFTANESPPDQKIPFHHEMAQVPEFPSKLFFFCEIEPKNGGETPIVLSHVVYDRMKDRYPEFVEKLEKFGLLYVRVLGEDDNPNSPIGRGWKSTFLTQDKNIAQQRAGELGMKLEWLEDGVKTIMGPIPAVKYDEVRKRKIWFNSMVAAYTGWKDERNDPVKAVTFGDGSPLPADVVYDCLNILEEESVAIPWRKGDVMLLDNWAVLHSRRPFDPPRRVLASLVK >RHN53788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4171513:4173924:1 gene:gene28734 transcript:rna28734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylic ester hydrolase MMQFSSSTLPAHNLHKFQALRCPSFRCHSSSIKQQPSSFASLQTNNSSRSSHLSNLDKFLDIQKKPLESSSTNQFQQPIQPIQKSDKKGKNFLEGLNLAKLWPDIKAAEEISPRHLKRLQRLLSKTAEYSPRNIIGRKWREYHGSNDWKGMLDPLDDNLRREVVRYGDLVQAAYQAFHADPAMSTTEAPHHQQVSLPERSYKVTKSLYATSSIGLPKWVDEVAPDLGWMTQRSSWVGYVAVCDDKREIARMGRRDIVISLRGTSTCLEWAENMRAQLVDLPEDAQTQTQTQTQSKPKVECGFMSLYKTKGAHVQSLSESVVEEVRRLIELYKGEELSITVTGHSLGATLALLVAEEISTCAPNVPPVAVFSFGGPRVGNRAFGEHLEKKNVKVLRIVNTQDVITRVPGIFLSEELEEKIKNSKVVSGVVDMLEENTPLGYSHVGTELRVNTKMSPYLKPDADIACCHDLEAYLHLVDGYMASNCPFRANAKRSLARLMQDQSANVKKLYTSKAKGLSVNLNRQGSNLSNLSRQGSMSMAACCPSPSSS >RHN40067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12958725:12961439:-1 gene:gene46163 transcript:rna46163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MYFSYSTNLKPIHKIFSINSFISLTSKRMAKKYEGVAIGIDLGTTYSCVGVWQEQTDRVEIIHNDQGNKTTPSCVAFTNSQRLIGHAAKNQASSNPTNTIFDAKRLIGRKYSDSIVQKDQLLWPFKVTAGANDKPKILVNYNGKEHHFVAEEISSMILSQMREIADAFLESPVKNAVITVPAYFNDSQRRATKDAGVIAGLNVIRIINEPTAAALAYGIQKRANCLEERRIFIFDLGGGTFDVSLLTVKNNVFEVKATAGDTHLGGEDFDNRMVNHFVKEFKRKNKVDIIGNSKALRRLRTNCEKAKRTLSYDTEATIDIDAICEGIDFCSSITRAKFEQLNMDLFEKCMETVESCLTDAKMDKSSVDDIVLVGGSSRIPKVKQLLQEFFKGKELYKSINPDEAVAYGAAVQAALLSEGMKTVPKVTLQDVIPLSLGFSIKGDLMDVMIPRNTCIPVKKTGRYVTAYDNQTGVRIHVYEGERMIASENNLLGFFRLSVPHAPRYLPVQVCFAIDADGILKVSAKEKTSGNKKDITITNENGRLSSEEIGRMIQEAENFKAEDMKFKKKVKAINALDDYLYHVRKIMKDNCVSSMLTPVDKILIDSAMIKGKSLIDGNKQEDTFVFLDFLKELESIFGSALNKINKGYSGEESDSDS >RHN52088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31381919:31383940:-1 gene:gene36708 transcript:rna36708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MFVYVLIIFLSLFLIEASIKTKIACVTDNDCPRAIKPVVMWCINNYCHYYLYGYQ >RHN39896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11515160:11522259:-1 gene:gene45979 transcript:rna45979 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSLKDYLKKYVSNPDDDKKNKKKKKRKTQPQPTGLLVVDEDPTWQKPVDLGEENDDKSSDEEKPIVDEDIEVKRMKRLEQLKARRAYHDISEDGSGWVPLSNNDTSPPRKQRVRNDTPSPEPEVNPSTSNRTGADLSPSCRQLKRYDTPSPEQDSQHSGGGNSDLSPPRKHRDQSVTDVAREPRSSRSKFEDSDMSPPRRKHVSNSSPDISPPRRRSHQTSGSNGRKKYETSDLEDLSPPRRGRRDSPSQDTLHGQVSSDLSPPRRRQHSVARSSLSDVSHRSVKAVSHQSLDSDLSPPRKNPKELSIPASVNERKTGLISGKDMREEIDRKRKDDLLRLKQMDPVISGRGAEPVYRDNKGGRITKEEYVKSKQKVDEKPKEIKIEWGKGLAQKREAEAKLKELEVEKEKPFARTRDDPELDKLMKERVRWGDPMAHLVKKKYPEPVLPNLGDSDKMRESGFVIPQDIPNHSWLKRGLEAAPNRYGIRPGRHWDGVDRSNGFEKALFKRTNERQAKDKEAYLWSVSDM >RHN74687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35859245:35859930:-1 gene:gene10794 transcript:rna10794 gene_biotype:protein_coding transcript_biotype:protein_coding MILVLTKDKCKSLIEDYIQNSPLVDRLTMAMERKVAPINEEYFDVIAEAALMITSNIDNHIGPLKSCTIRHLPESCASADVVGWLRKLLEKGVIKVSIERESCDYHNGGISDMDVKLSASTIDLPFEVFSNLRFLSLRTTVCRTSH >RHN63709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53422361:53424613:-1 gene:gene26445 transcript:rna26445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein METTTLPHMPNELMIQILLRLPVKSLIRFKSVCKSWFSLVSDTHFAYSHFNFPPQHTLVGRVLFISTSARKSRSIDLDLEASPLDDDDSSLYLWNPFIRVHKEIPLSPFGSNLDTDYFYGFGYDQSTNDYLVVSM >RHN58216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44694450:44702414:1 gene:gene33836 transcript:rna33836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGNTCRGSLKGKYIQEGYTQPVDHSSKRTIISDNNNNNNNNDSNSDHHNHHRNNNKPLNPPPPPSFNFKNDAMRRGPDNQTYYVLGHKTPNIRDLYTLGRKLGQGQFGTTFLAIDNSTSIEYACKSISKRKLISKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDALYVHIVMELCSGGELFDRIIQRGHYTERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGHIDFDSEPWPLISDSGKDLIRKMLCSRPSDRLTAHEVLCHPWICENGVAPDRSLDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFQTMDTDNSGAITFDELKAGLRRYGSTLKDIEIRDLMEAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFQYFDKDGSGYITVDELQQACTEHNMTDVFLEDIIKEVDQDNDGRIDYGEFVAMMQKGNVGIGRRTMRNSLNLSMRDAPSAH >RHN45724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24990034:24991468:1 gene:gene40112 transcript:rna40112 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDSRQKTTPFPPLFTLLAESSTASATRKEAPAILSSAERTRGSS >RHN76558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51220727:51230746:-1 gene:gene12905 transcript:rna12905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative coactivator CBP, KIX domain-containing protein MDTNNWRPNQGAEPNMDTSDWRGQLQPESRQRIVNKIMDTLKRHLPVSGQEGLHELRKIAQRFEEKIYTAATSQSDYLRKISLKMLTMETKSQGTISNNIPPNQVGPSNQPPDPGLGIQSQVLNQGQQHPIPLPNQPQSRQQLLSQNIQNNIASQPNLPPVSGLTQTPIANGQNSNIQNMFNASQRQMQGRQQVVPQQQQQQQQQSQNPQQYLYQQQLLRQKLQMQSQQQQQQQHHQQQQQQQQQQQQQQNLLQSNQLQSSQQSVMQQPSMMQTSSLSSIQHNQQSNNGQQSTQSMLQQHPQVIRQQQQQQTSIMHQQQTPITQQSILPQQQQPQQQLMGQQANATNMQHNQILGPQNNVGDLQQSQRLLAQQNNLSNLQQQKLINQQNNLSNINQQLGNNAPGLQPQQVLGPQSGNSGMQTSQHSAHVLQQSKVPMQQQSQQGASNLLPSQPQQSQPQAPQQQLMPQVQSQPAQLQQQPNPLQRDMQQRLQASGSLLQQSGVFDQQKQIYQSQRPLPETSSNSMDSTAQTGQTSGGDWQEEVYQKIKAMKESYLPELSEMYQKIATKLHQHDSLPHQPKSDQLEKLKVFKMMLERLITFLQVSKSNISPSLKEKLGSYEKQIINFINTNRPRKISSLQPGQLPPPHMHSMSQTQPQATQVQSHENQMNTQLQTTNMQGPVPTMQQNNLTSMQHSSLSGVSTAQQNMMNTMQPSASLDLGQGNMSSLQQNSVTAPQQTNVSSLSSQAGVNMIQQNLNPLQPGSGMLQHQQLKQQQEQQMLQSQQFKQQYQRQLMQRQQMIQQQQLHQPAKQQLPAQMQTHQMQQLHQMNDVNDMKMRQGINAKPGVFQQHLASSQRSAYPHQQLKQGPFPVSSPQLLQATSPQISQHSSPQVDQQNHLPSVTKVGTPMQSANSPFIIPTPSPPLAPSPMPGDFEKPISGVSSISNVANVGHQQTGSGAAPAQSLAIGTPGISASPLLAEFTGPDGAHGNALAPSSGKSTVTEEPMDRLIKAVSSLTPAALSAAVSDISSVISMNDRIAGSAPGNGSRAAVGEDLVAMTNCRLQARNFITQDGANGTRRMKRCTNATPLNVVSSAGSVNDSIKLNGMEASDLESTATSNIKKPKFEANHALLEEIREVNQRLIDTVVSISDEEVDQTAAAAAAEGAEGTIVKCSYIAVALSPSLKALYGSAQMSPIHPLRLLVPTNYPNYSPIFLDKFPVESSIENEDLSAKAKSRFSISLRGFSQPMSLKEIAKSWDVCARTVISEYAQQSGGGTFSSKYGSWEDCSTW >RHN80372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37500487:37507072:-1 gene:gene4287 transcript:rna4287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EEIG1/EHBP1 domain-containing protein MVLGIRTKSRKTVSDSIQVNYIIHVHEIKPWPPSQSLRSVQSVLLQWENGDKNSGSLASNVGNGKIEFNEPFKLSVFMLREASKKEKNRENFKKNYLEFHLYDRTVKNQLLGSATINFADFGIIKEVKAISFQLNCKKTFRSSTQPLMYVSIQPFDMECSSSSPSSNLSKELSVEKEERESVSLPQSVKDDDEEDDIEIASFTDDETDDIPSNNSATEPTRDIFEISEGGKKGSNGECIQPSESTTSWLPTNTKAEASAQVNGIEPPSSSMVLRSGMANAESGRTSVPKISEGSVKVADASSEIQINIQRSRWQDITGNRFKDVAPSPYTSSSMKPRFEISSQSQVTSEDSINRDSTENHRYKESFPEKVSSVSNIGMIEDKEKMDERRKVRKQSTVSNEVLENVLDNNFSDDESTWAGKFNNDTLLRRKKSHEHPTIILTNDKAEDVRNEKFPLQTTESSGQFIRSQNLDREEKIKTLNGVHVDAACHKDVNVNGSLLNDNTELKAEVERLREELKEAAALEASMYSVIAEHGSSNKVHAPARRLSRFYFHARKAGSPDKIASAAQSIVSGFVFVSKACGNDVPRLTFWFSNIILLRAIVSKGVEHKDLGDAACINGERYVNGLTLHEQEKENTEEYFHNWLDPETFLVALEKVEAWIFSRIVESVWWQTLTPYMQSAAAKSSSSRKTNGKRYIIGDQDQGNFSIDLWKRAFKDSCERLCPLRAGGLDCGCLPVISRMVMEQLVNRLDVAMFNAILRESADEMPTDPISDPISDSKVLPIPSGKSGFGAGAQLKNAIGDWSRWLSDLFGIDDCDSHEDNNENDDSKYEPPFKPFPLLNALSDLMMLPFDMLADRSMRKELCPRFGISLIKQVVDNFVPDEFSPGPVPNAVLEALYNEDVEDDEGSINSFPCSVGSTLYAPPPTSSVKEVGTPSLRSGSFVLKKLYTSDDELDELDSPLSALGMDDPKKKFSVVKGGRKVVRYELLREVWKSSE >RHN39268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5429554:5436110:1 gene:gene45276 transcript:rna45276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MAFKLNVLLLFILASSLIGYGEASVSYDHKAITINGQRRILLSGSIHYPRSTPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPSPGKYYFEGNYDLVKFIKLVHQAGLYVHLRVGPYACAEWNFGGFPVWLKYIPGISFRTDNGPFKIQMQRFTTKIVNIMKAERLYESQGGPIILSQIENEYGPMEYELGAPAKAYTQWAAHMAVGLNTGVPWVMCKQDDAPDPVINTCNGFYCDYFSPNKAYKPKMWTEAWTGWFTGFGTPVPHRPAEDLAFSIARFIQKGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAIKLCEPALVSADPTVTRLGNYQEAHVFKSKSGACAAFLANYNPRSYATVSFGNQHYNLPPWSISILPNCKHTVYNTARVGSQSAQMKMSRVPIHGGLSWQAFNEETTTTDDSSFTVTGLLEQVNATRDLSDYLWYSTDVVINSNEGFFRNGKNPVLTVLSAGHALHVFINGQLSGTAYGSVDFPKLTFSESVKLRAGVNKISLLSVAVGLPNIGPHFETWNAGVLGPISLNGLNEGRRDLTWQKWSYKVGLKGEALSLHSLTGSSSVDWLQGYLVSRKQPLTWYKTTFDAPAGVAPLALDMNSMGKGQMWLNGQNLGRYWPAYKASGSCDYCNYAGTYNEKKCGSNCGEASQRWYHVPKSWLKPTGNLLVMFEELGGDPNGVSLVRRDIDSVCADIYEWQPNLVSYQMQASGKVKIPVSPKAHLSCGPGQKISSIKFASFGTPVGSCGNYREGSCHAHKSYDAFQKNCVGQSSCTVTVSPGIFGGDPCPHVMKKLSVEAICT >RHN60477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27216236:27219242:1 gene:gene22791 transcript:rna22791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MEDKKKSQWSWTSAIIGAASAVAATSILSAKPKDPTFHLISINFTSLKPSLPVVDAEVLLTVHVTNPNIAPINYSSTTMSIFYEGSLLGSAPVQAGSQPPRSCQLLRLPARLKALRLAKHASRVMSDVAKREMVLDAAVDIAGTARVLWWDHKFKVRVNSHITVDPVFLDVIDQENTAQLELFGSDDELAGEGEGEDETEAEAEK >RHN50910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11741015:11741494:-1 gene:gene35263 transcript:rna35263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MEIVEKCIIDSGMDKSSIHDVVLVGGSTRIVKVQQMVSDFFGRKLLCKSINVDEAVAYGAAVHASILSGERSEKVQDLLLSEVIPLSLGLETQGGIMNTIIPRNTKIPAKMEHVFTTHLHNHMNILIHVYEGERKITRENTLQKKCSSDSGVFANRRNL >RHN57286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37831764:37832180:-1 gene:gene32809 transcript:rna32809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative salutaridinol 7-O-acetyltransferase MYPPLISQLRKSLSQLLSKFYHFAGRIKDKITIECNDQGVLFFVTKVKNNLSEFLQNPTEKLLNPLFPDELQWKDMDWSASFIAIQINCFACGGMAISICMSHKIGDASTVFNFMNDWATINQTGRWKRVISITLKFT >RHN38844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2409484:2410084:1 gene:gene44813 transcript:rna44813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MQDIRGGVSCILQPLLIFIFIDLDGGSGYAKQITFEQIILVNVRNPIIIDQDYGIGRKGANVSVSSVKFRGFTGTSASGLAIQLNCSSSGCYDILLEQNNIVSAQPEKAASSFCTNAHGTARNTVPNIPCLSN >RHN58036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43265894:43268000:1 gene:gene33641 transcript:rna33641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MIVVFVEPKLHIEIVAFHEHEKRPKGGNTRLQFFFLVFVASFAYYIIPGYFFQSISAISVVCLIWKNSVTAQQIGSGMSGLGVGAFTLDWNTVAGFLESPLAYPGFAIVNILVGFVLFIYVVIPISYWNNFYEAKKFPLITSSTFDSTGTKYNISRILNEATFEIDMDAYNNYSKLYLSILFAFDYGLSFASLTATVSHVFLFHGKMIIQAWRKTTTALKGQAGDVHTRIMKRNYEQAPEWWFMTILVLMIILALACCEGFDKQLQLPWWGVLLSLLIALVFTLPVGVIQATTNQQAGLNVITELIIGYLYPGKPLANVAFKTYGYISMAQALSFLQDFKLGLYMKIPPKSMFIVQLVGTLVASSVYFGTAWWLLTTIPHICDKSMLPDGSPWTCPGDEVFYNASIIWGIVGPKRMFTKDGIYPGLNWFFLIGLLAPVPVWLLARKYPNRKWIELVNMPIIIAGAKGIPPARSISYISWGVVGIFFNIYVYKKFKVWWARHTYILSAALDAGVAFMAVLLYFLLQSYGIFGPAWWGLKSDDHCPLANCPTAPGIKAKGCPVF >RHN54943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13801401:13802759:1 gene:gene30049 transcript:rna30049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MDPFKGSGSENPIGTCISLLSARNFTTASDFARRLPLSDSVGRILTIADVLSAADHYSVLRLPRSESVNRDLARQHYAKFAILLDPTSSEKFPFQDEALARVREAWHVLSDPGKRTVYDRGGGVTAATTAAFWTACPYCWNLYQYEKKYEDCSLMCQTCMKTFHGVAVKSPVKVGATVVEGEEKRQYYKCKARVPLKFYEVKNGDESLMGENEAEFVYVSDDDGDWEKEWGNVGDAGVRNEGFEKVNQTVETAQGNDKRKMRVKTVARKSVVNRITRFDFGNDLGLDGKDCELEFTEGADDVFVGVRFNG >RHN75837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45455489:45457082:1 gene:gene12091 transcript:rna12091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketol-acid reductoisomerase MAKDLTYKNIVESITGVISRTISTKGMLAVYNALSEDGKKEFEKAYSASFYPCMEILYECYEDVASGSEIRNVVLAGRHFYEKEGLPAFPMGKIVQTRMWKVGERVRSTRPAGDQGPLYPFTAGVFVALMMAQIEILRRKGHSYSEIINESLIEVVDSLNPFMHAHGVSFMVDNCSTTTRLGSRKWAPRFDYNLTQQTFVAVDNGAPINRDLISNFISDPVHGAIEVCAELRPTVDIFVPADADFVRPELRQSNN >RHN68013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32118174:32120551:-1 gene:gene16289 transcript:rna16289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MDKPVKECLLSAEEDGKASDKDESSPTILDGLWQGAVLISPFFFWGTSMVAMKEVIPKHGPFFVSSFRLIPAGFLLVAFAASRRREFPSSFNAWLSIAIFALVDAACFQGFLAEGLQKTSAGLGSVIIDSQPLTVAVLAALLFGESIGIVGAAGLVLGVIGIVLLEVLPSLSIDGSNFSLWGSGEMWMLLAAQSMAIGTVMVRWVSKYSDPIMATGWHMIIGGLPLLALAILNNEPVVSGSLKEYSSTDVLALLYMSIFGSAVSYGVFFHSATKGSLTKLSSLTFLTPMFASIFG >RHN62484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43782620:43783381:-1 gene:gene25065 transcript:rna25065 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSSNKTSTSSVPTIQPPKPTQNNGTSFQVEEEKVKEVLLETPKLNPKTTIKSKPPKQHLNIKAFDKFKEEQSKVNHKKTLSINKGDTSETCRLRKTMPKPTTEKTGKRVTGSPIKLLKNCSFPGDVSDGRDRTFHSSRNFGSVKMVQCRDQLGRKMVNEGMRRRRDSGENSFRRSRSPATCTDTSVARPVVGRSQSARKTSRAPVARDRTTLPEKGRRKTEMPAMDGKRSRANESLENPLVSLECFIFL >RHN50748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10090648:10091676:1 gene:gene35073 transcript:rna35073 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKCIIDGNVYPEKLYLTYMYKRVFLFIGYIHECFFGICDF >RHN39494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7553748:7561810:1 gene:gene45521 transcript:rna45521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative von Willebrand factor, type A MAGQFSSSVEFGLNLSKRIHHTKLSAPAPLPEMTRSIDEHLPSAPMCYAVIPDPDIVDNPDIRSYQPYVYGRCDPPALIPLQLQGIEMDVDCCLDTAFVTVTGRWRVHCVRGSSLCDCQVAIPIGDQGSLLGVEVDDFGRSYHTELVSLKDEKEKQKMAKAKDGYYLKSQIYIVKIPQFKGGSIFSVKIRWSQKILFHDGEFCLNIPFCFPKYVNPVGRSISKKEKIFLKLNSGTANEVLCKATSHPLKGVMHQAGKLSLSYEAEVPAWSSTDFSFSYTVSSSDIFGGVLLQSPFLRDFDEREIFCLYLYPGDFLDRKVFKKDVVFVVDISASMKGSPLENVKNALVGSLSQLNPQDRFNIIAFNGEAYLFSQSMEPATVEAILKAAKWVDTTFIANGGTNIMLPLTQAMTLLRKSSDSVPLIFLVTDGSVEDEREICEFVKSYVTSGHSVRTPRICTFGIGLYCNHYFLQMLAQVGRGRYDAVYDLDSIDTRMQSLFSTASSVIVADITIKSLEGLDSQEFFPTHIPDLSSGSPLIISGRYNGTFPELVKVTGTLADRTSFAVDLKVKREKDMKLTYVFSKRHIDLVTARAWLLESQELEEKVSKMSIQNNVPSEYTFMEMILVNKNDVKKEPEPFLLQKAYGTLSFKGLEVEIPKLFLGGLSHGFGDLKATAENTPPATKEAKPSEGLLGKAASTCCGRVADTCCGMCLLKTCSFVNDQCTIVCTQLCAALACFELIKCCIEICDCECDCL >RHN60742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30060582:30066700:1 gene:gene23099 transcript:rna23099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucosyltransferase MSGERIINSEIHVAMFPFLAFGHISPFVQLSNKLFSHGIHISFLSPSANIPKIKSTFNLNPSIHIIPLHVSDNLPSNTSNLHSGMFGTLIQAIDSMQDHVKTILFELKPNFIFFDIATSWIPEIASELGIKSVYFSVYSAISASYLCPSSRLDDTKGRDITYEDFKNPPLGYFPNSKLSLQTFQAKNIFIALFQRFNFHKVMQNFSESSLIVFKSCKEMEGPYLDYLQNQFEKPILFSGVLVPEPSMDVLEEKWTKWLDNFPTKSVILCSFGSETFLSDDQINELAIGLELTNLPFILVLNFPSNLNAESELERALPKGFLERVKNRGIVHSGWLQQQLVLKHSSVGCYVCHAGFSSVIEAIVNDCQLVLLPFKGDQFLNSKLIADDLKAGVEVNRKDEDGFFEKEGLFEAVKTVMVEVDKEPGKQIRENHMKWREFLLDKKIQNKFITDLIARLKSLAYGERIINSEIHVAMFPFLAFGHISPFVQLSNKLFSHGIHISFLSPSANIPKIKSTFNLNPSIHIIPLHVSDNLPSNTSNLHSGMFGTLIQAIDSMQDHVKTILFELKPNFIFFDIATSWIPEIASELGIKSVYFSVYSAISASYLCPSSRLDDTKGRDITYEDFKNPPLGYFPNSKLSLQTFQAKNIFIALFQRFNLFFIQEAVSRT >RHN62276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42287393:42288245:-1 gene:gene24831 transcript:rna24831 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEIVFSNTAHRLINWKVLKLYIPRIMLQFIQLSLLLVED >RHN78005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11183864:11202020:-1 gene:gene1519 transcript:rna1519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase (ATP-hydrolyzing) MQPSSSSSSSMAFSSALRLLTLSPFTPTTRLSFLRRTTSDLRFLSASTRRRPSTSIKAAAASVRRDEPERDGNGSVTVVDNGSSDVVKRSEGRIVLTELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILFAMHELGLSSKKPFKKCARVVGEVLGKFHPHGDTAVYDSMVRMAQDFSLRSPLVNGHGNFGSIDADPPAAMRYTECRLEELAEAVMLADLDQDTVDFVPNFDNSQKEPSLLPARLPTLLLNGSSGIAVGMATNIPPHNLGEVVDVLRVMIHNPEATLQELLEYMPGPDFPTGGLIMGNLGILEAYRTGRGRVVVRGKTDVELLDSKSKRSAIIIKEIPYQTNKSALVEKIADLVENKSLDGISDIRDESDRSGMRVVIELKRGSDPLIVLNNLYRLTSLQSTFSCNMVGILNGKPKQMGLKEILQAFLDFRCSVVERRARFQLSKAQQRRHIVEGILVGFDNLDGVIRIIREASSNSIAAAGLQNEFNISEKQAEALLDMSLRRLTLRESDNFVAENKSLSEQISKLEELLSSRKNILEVIEQEAIDLKNKFASPRRSILEDTDDGQLEDIDVIPNEEMLLTLSEKGYLKRMKPSTFNLQHRGTIGKSVGKLKMNDSMSDFIVCRAHDFVLYFSDKGTVYSARAYKIPECSRTASGTPLVQILSLSDGERITSIIPVSEFTDDQFLLMLTMQGYIKRVPLNSFSSIRSSGIIAIQLVPGDELKWVRCCTNDDFVAMASHNGMVILSLCSKIRTLGRNTRGALAMRLKEGDRMASVDIIPAAMWNNLETISKLPESNGKSYDGPWLLFISESGHGKRVPLSRFRMSSLNRVGLIGYKFSAEDRLAAVFVVGFTLAEDGESDEQVVLVSQSGTVNRIKVRDISIQSRFARGVILMRLDHAGKIQTASLISATECEPEEELAIAQG >RHN68290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34333927:34341936:-1 gene:gene16624 transcript:rna16624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polynucleotide adenylyltransferase MNSHSMLGVVLTDILRMVTPSQEDWEIRLAIINDLRSAAESVESLRGATVEPFGSVVSNLFTRWGDLDISIQLLNGSHIGAAGRKQKQTLLVNFLKALRMKGGYMNFQFIPKARVPILKFKSVRQGISCDVSINNLPGLMKSKFLLWINRIDGRFHDLVVVVKEWAKAHKINNSKTGSFNSFTLSLLVIFHLQTCAPAILPPLKDIYPYNMVDELRGVRADAENLIAETCAANINRFISNKSRPINRKSLPELFVDFQRKFAQIDEWASEIGICTYSGQWEQIKNNMRWLPKTYAIFVEDPFEQPENSGRSVSAKQLKKIAEAFVGTYSLLISKNQNQNSLLTQLAPPHVWSLIARPVIPNYNVRPVIPNYNVRPAIPNYNGGYSHPTHPQPQGQRGVFPHPKSQRRFQNGKQGASSNGSTSKQGANSNGATSNQGASSNGATSKQGASSNGAISTVPAKALQGPQQQAWRPKAPNQIA >RHN38791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2013153:2015321:-1 gene:gene44758 transcript:rna44758 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKKHLPDLTSTVGVCASCLRERLQLIFEVQVQAQTQDQAQPPENEKNLPSLQADFPCSVSPYVSHLKSDTRLKEIVFQTTPEVELKSSAVNDGGSGSEKRRLKRFWILSNLFRTRTNSDQESCEISSSVLASASPPSATTVPARRKNNNRVSDQRRCRQIDRGASPVDNFETLDRSEFVNSSESSPRSRNKTTVMTTARRSKLGYAGKSLASMAFCLSPLVRASPNRNWNKGLGQELNAGGVHHISSAASFCASRSRKLVDLGRPVNKR >RHN67497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27719832:27721666:1 gene:gene15690 transcript:rna15690 gene_biotype:protein_coding transcript_biotype:protein_coding MAETNNTHHIVEIPVDQEHHENNNNNKALLCSNMFDAIDDHPLTEISESPGHLLLLKLWQREENLFAKRISRKETRMDSIKSELFQLTSFFFIFHGFFLTLLFTSWQKTQMNGGSGGSCKIWWIPSLISLSTSLVFVFLVQVKLVRYWKVWERLQRERNDSRGVGRCIQELRMKGASFDLSKELQVNGKRMKSSSVEIKWKVVTWFNRYLLTVSLVCFTGLAFPASKFVLCGL >RHN69325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42620282:42627010:1 gene:gene17776 transcript:rna17776 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGRVDDDDIAAADPDWSFDALVSELNALENNLSANSSTPPLHFHQTTSSRVNLSGRKEIEKGRRFVFRAPEYETDSEDDDDKALVVSDTGKHFTCDEIYLSDSDDSDVESALEVQPYLMNKVGEVEGALIELTHDHQLRVNDGIRNKISALEIALLNESQNSISSLLRVEKFKDTRQELDKKFDTQYQRQIAEALDNQLTAVQQDRELRSQIEERKIRSDAAYEEAKRKVALQEEKQQQEKAKAEAEAKLKAEEVKQAALEAEKKAVMEAKTKAAMEAEKRAAAEKNAVENSKTVTSGVTQETASSLLNTETKESSYVYRAAASASNIEHGRLQKLKELYERNQVVRSSSTQDYTRHESDISRNIRQIRGIRDNVRSKASELVKLLNDHQCPQSFSVEMLVKKVVLSCASPASAPFAIASVIVLITSQVPYVMDILLAELHTACLYTVPKHLVYKKSIFQSKEAYFRSIGYREDNGKLESTEDYLKRLESYMKVYGALVQTEIPNIQNLHGLQEGWAWLARLLNSLPANQYTAVSLNAFLQMAGFALFKRYKSQFLKMLNVISDKFLVDLKSQNIPESAKTTAYMQAYIEDKKFLQVPEGRNLQSNTLSSEYEP >RHN38744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1721767:1722717:-1 gene:gene44709 transcript:rna44709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative interactor of constitutive active ROPs MTMELKKLSKELEASKGNAEKLNEKLKSVEEEKAGLESEMKKLRVQTEQWRKAADAAAAVLAGGFDMSAAARVPERCGSMDKHFGGGTFETPGGRYHGYVGSPGMVDDLDDGFGSGKKKGSSGIRMFGDLWKKKGQK >RHN74386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32538431:32541431:1 gene:gene10443 transcript:rna10443 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVHLLVLILVSFSLRCESWGWYSSNKESHSNDRSYGNQGSFRGSSAEFSIEAFNDPKGMKLIENAKNKMVGSNTCWQNAYQHLFAGCSEILAADEKRSRLAWHLSDCFQRDSGRVSFPHCDTKTSIATCLRNLDDFAHKVYLEFYLETNSICYQLQTHAFKHETERLVTELKSSAQYVEDKLDSIEEKSDNLLQGSKQIFDSLESVSSHTELVAQTVKNVETHIDVVLRHSESVYEQTTKIAASQSQLEEGQEDMKRKLEDGVALLKESYSYLGKEIEKLRDEAIEIENEVIKVGDTMSSKMNTLQTKAEDIGNMAGVSLEKQQELLDGQSTALKGLNSLNEVQFKALEESRKSLQYFSEYGHKQQEELLQRQEQMQGLHDRLMENSKTILSAQETFEAKQATMFVALDKIFALQNAMLLESRVIKAFFIYAISIFVIFMLTSTKQTYNVRPLLYIELCVALFLEVFIIRLTNDDIEQQTWIINKVRLFFTVAASAQLTYAIVTYRDYERLNHQMLLTLVNKINNMEKLKDSNWDFDTTDYVDWSQLIGTDLPDDVNCLDDPDFVIPEEVAENSITTSTTKNYNLRSRNRLH >RHN46064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28231711:28233701:1 gene:gene40504 transcript:rna40504 gene_biotype:protein_coding transcript_biotype:protein_coding MMASQTLKEKFVELQSKQTPQNSRPKIQRVPYYRRRMSNLGKHYTPKLLSIGPIHHDRSDLKLGEKYKLKWAAEYIENTALNPEELHQKIADNIDELKGRFSDDVLTLTGKSLEGFHSLEEKLSWMLFVDGCSLLYLLEKGHMKIKVGQLVLVMMDVLLLENQLPYEVLKLLWKNNESELIKCMMSFPNYLRNIPGESQSDNNMEGEGEHSVSIITNESQSETPTHLLDLQHKVILTTSKSKGKILKWTSLKKNEFKPITYRGIEDLRAVGIRLKTSATRRPTDVDFTAGWFTAKLTIPVIYVNNLAASNLLNLIAYEMCPDFDNDCGICSYVALMDSLIDHPEDVKVLRSKGILCTVWSDEEVASLFNIIGTDLVINIFNYFEVQVKLSDHYFNKYKSWIALGFRTYFNNPWTVIAFLAAFTALALTFIQTWFTVHPASK >RHN55739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22323956:22327208:-1 gene:gene30977 transcript:rna30977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GH3 family protein MAVDSTISSPLGPPACEKDAKALRFIEEMTRNADAVQERVLAEILSRNAETEYLKRFKLNGAIDRETFKTKIPVITYDDVQPEIQRIANGDRSPILSAHPISEFLTSSGTSAGERKLMPTIKEELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKSETRTPGGLLARPVLTSYYKSEHFKTRPFDPYNVYTSPNEAILCPDSFQSMYTQMLCGLIERNQVLRLGAVFASGLLRAIRFLQLNWAELAHDIQTGTLNPKITDQKIKDHMKHFIKPDPKLASFVVQECSNENWEGIIIKIWPNTKYLDVIVTGAMAQYIPTLNYYSGGLPLSCTMYASSECYFGLNLNPMCKPSEVSYTIMPNMAYFEFLHHESGSTRTVGLTEVEVGKEYELVITTYAGLNRYRVGDILRVTGFHNSTPQFHFVRRKNVLLSIDSDKTDESELQKAVENASKLLSEFNTSVVEYTSYADTETIPGHYVIYWELLTKADSPSHEVLNMCCLEMEQSLNSVYRQCRVADHSIGPLEIRVVKSGTFEELMDYAISRGASINQYKVPRCVNFTPIMELLDSRVVSVHFSQDLPHWTPERIR >RHN45591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23407931:23408291:1 gene:gene39967 transcript:rna39967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQKGKNMVKMLKFVYVAILFISIFLVLAVYDSKYFNIAPPCVIDKDCPQFKNNNVRCRKGFCVNLSVNWIK >RHN72888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11561887:11563287:1 gene:gene8654 transcript:rna8654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MRFVSQTRNLSWAILALVLLSSTLFSLAASRKTKKNIQTKVFFSPKIELNPGSVSNKVFMDVDFPRGHISLKSFFAEVVNESGNSVPLHQTYLHHWIVVRYHQPKNVANNSEEGIIFKRNNGFCQENVFGQYYGLGSETRGTNTYIPDPYGIEVGNPEEIPKGYVEKWFINVHAIDTRCRR >RHN81911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49747653:49750341:1 gene:gene6008 transcript:rna6008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin-44 dioxygenase MAIECITSMPQQLLNQETKEQEKPLVFDASVLKHQVNLPTQFIWPDEEQACLNVPELHVPFIDLGGFLSGDPVAAMEASKVVGEACKKHGFFLVVNHGIDEKLISDAHAFMDDFFELPLSQKQRAQRKTGEHCGYASSFTGRFSSKLPWKETLSFQFSADEKSPNLVRDYLCNTMGNEFEKFGEVYQDYCKAMSNLSLGIMEILGMSLGVGKAHFREFFEENSSIMRLNYYPTCQKPELTLGTGPHCDPTSLTILHQDQVGGLQVYVDDQWHSISPHFNAFVVNIGDTFMALSNGRYKSCLHRAVVNSEKTRKSLAFFLCPLSDKVVTPPCELVDNYNPRIYPDFTWSMLLEFTQKHYRADIKTLEAFAKWVQCKST >RHN38527.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:827207:830160:-1 gene:gene50646 transcript:rna50646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HD/PDEase domain-containing protein MAINNYASSRYYCMSPLHHHHHHRRSIHVLRNYAPLVLVRSHTQKHEPSSSSAIDFLTLCHTLKTTKRKGWVNHGIKGAESIADHMYRMALMSLIASHVPGLSRERCIKIALVHDIAEAIVGDITPSDGVPKAVKSRMEQEALNKMCQVLGGGMRADEIQELWLEYENNSSLEANVVKDFDKVEMILQALEYELEHGKVLDEFFISTAGKFQTEIGKSWAAEIISRRKSLSAKK >RHN61559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36381971:36383203:1 gene:gene24035 transcript:rna24035 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLHNLNTLYKFSIHKDFSEFCRVQPLLHIYEVSKFLLKFKCFNHGHGNLKTLERFYRQPIESVLHHVVPLDWKKSLAKEMVYQRITEAWQEIMKEAINENTKQKDRLTYGQIGRVVVMILGTDNVKDDLFLQVMTRFEDNKHWKDFIQSLRFYSAHETVRDYKVTFEMHPTCKLYQALRYTWSVNWIKDVDYISPSCFMYLVEQLLLLTSCLRGRLIYATKSSFTEWLICQNKFPLSDLSFKRDTRDVLDFIANFLREFVNDQNDFKTWIKKSKLDVDNYFPSLFLRSVVSMCLLHLSTGSREYLEILRSLLKNSYMTTQLPLEFRNVLQKGKKRMGFQVIAKAFKVIGNPLVIVKLQNSSSEIMCSDAVFVDLTTCKKRELVFETLFPSIVDSAGGETKTKASESKC >RHN73158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13922712:13923266:-1 gene:gene8945 transcript:rna8945 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPDLVKIGLEGFALIDNFYGPPTKMSNNGGRQGQGRKGFQANQYQYNKEETVINSKDAAYSYGGIMVVNYPKTRPKNRWGNIFKAFKS >RHN40790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21191740:21192147:1 gene:gene47000 transcript:rna47000 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVVLLFVTATDVLFIVVMVGLRLLDARSRLLLCAPLAFFLVSFSAFCFLCASALPLYFLSGLLVVLQVVFIVLSLRVGFVEEKHKKLCFGLGREERDSADELFAGLELMHAYDCFLDMDTLFLGSLSDNNNKK >RHN41247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28601148:28607051:1 gene:gene47549 transcript:rna47549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamyl-alcohol dehydrogenase MSGEGKVVCVTGASGFIASWIVKFLLQRGYTVRATVRDPSNPKKVDHLLKLDGAKERLQLFKADLLEEGSFDSVVEGCDGVFHTASPVRFVVNDPQVELIDPALKGTLNVLKSCAKSTSVKRVVLTSSNAAVSFNTRPKNPEVVVDETWFSNPDFCRESKLWYVLSKTLAEAAAWKFVNENNIDMVSLNPTMVAGPLLQPEVNESVEPILNLINGIPFPNKAIGWVNVKDVANAHIHAYEIASASGRCLLAERVVHYSELAMILRDLYPTLPISDKCEDDGPYMPTYQISKEKAKSFGIEFTPLEISLKETVESFREKKFIDF >RHN56425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30782798:30784777:1 gene:gene31823 transcript:rna31823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MINLLFSIESCEVFHLIAKDKWAWPFLDPVDVEGLGLYDYYQIIEKPMDFSTIKIRMEAKDGSGYKNVREIYADESDLSKEEAHEELNKRLAQEATYANMTRELSTELSKVDMALRSLKTTAISQCRKLSHPEKLILANAFTKLSPDNIVKALEIVKESNPNFKDRIDMVTLDLDSQSDYTLFRLHMFVKNTLEVQEGTSVINHEDNIEEMKNNAKKRRIV >RHN41030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26124236:26126071:-1 gene:gene47303 transcript:rna47303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MPYAVSQGGWLSFMLLIIFAMICWYTALLLERCMNQQPLIKSYPDIGEVAFGYKGRVVIASFIYVELFLVAVELLILEGDNLEKLFPNMSFTLFGLRIGSKSGFVLITALIILPSTWLRSLGALAYIALCGIMVSFILAGCVVWVGEFDGVGFHERGKLVNFGGLSTAMSLFAFSYCAHGLMPTLSNSMNDRKQFSKVLLVCFMASTIINGAVAVLGYMMFGDHLKSQITLNLPTNKISTKIAIYATVITPFTKYAMVITPIINAIEDKWHLCKRRPLSILIRTSIVISSVIVALFVPFFGYIMAFIGAFLSMAMSLLFPCICYLKMHKAARRFGLELIIIISIMSIGTFIGIQGTYSSLVQIVKNIKT >RHN55805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24007321:24011223:-1 gene:gene31071 transcript:rna31071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MMGSISSFTFPVLCRSTSVRTKPLMAVASSSSSSSEDVASTKLLTFLGKGGSGKTTAAIFAAQHFAMAGLNTCLVIHSQDITADYLLNCKIGTSYVECSKNLSAVRLETTKMLLEPLKLLKQADAQLNMTQGTLGGIVGEELGILPGMDSIFSVLALERLVGFLGMPSSKSEQDKFDVIIYDGVSSEETLRIMGGSSKARLYLKYIRTLAEKTEIGRLAAPSLLRLVDEAMKINSSRSYFNGRMSSETWDTLDQLLEKGSSAFSNPQKFGCLLVMDPNNPTSVNSASRYWGCAIQAGAQVSGAFGISSQQPKAESFERAKKDLSPLPSAFISSPLVNSPIDWNKVLLDTVNKDARQFLTSLSSQSSNMTSSVKFDVIRKSVTLFMPGFDKSEIKLYQYRGGSELLVEAGDQRRVIPLPQEIQGKVGGAKFQDRSLVITLK >RHN50050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3546677:3548800:1 gene:gene34298 transcript:rna34298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVRPPCCDKEGVKKGPWTPEEDIILVSYIQEHGPGNWKAVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTDQEEKMIIHLQALLGNRWAAIAAYLPQRTDNDIKNYWNTYLKKKLKKLETSTSSESCLGHDEFSVSQPIARGQWERRLQTDIHMAKKALSEALQPEKSTSSSNLMLPLESNFSSESSFCSTKPTTQSLSYASSADNIARLLKGWMKNKPKEGSNGNNTNVTQNYETSASSEGMEKGSTSVELSETFESLFGYESFDSSNSDSTTLFQDESKPENIGEIMPFSMLEKWLLDDGACQEKVGLSEINYIF >RHN46104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28530515:28531672:1 gene:gene40544 transcript:rna40544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNLLPPSQLQVFLPGELIVEVLSFLPVKSLMRLKCVSKSWKYLISEPSFAKLHLNRTTQDAVRTIVSYHMHSRDVSFTVFRLLENPPIIINLPKNPYHQLNDKDCHYIVGSCNGLLCLFGGTGYREDNGGYRENWLRFWNPATRIISEKFHGDDGLGFPCNYTFGYDNSTETYKVVYFTRKTTNVRVFSLGVNVWRNIQDSPMIHHHWQMKVVHVKDSVNWLAIHNYISDDYNCEGITIGQFVIISLDLGTEAYTKLFPPHGFSEVPFVIPKLSVLNDYLCFFHDFKQTHFVIWQMKEFGFQESWTQLFKISYQNIQSDYNVNDLCRCLLPLYLLEKNDTLLLTRNYIFSREPILYNLRDNRAKRINIPWWHNCQNYVESLISYC >RHN62302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42479544:42483975:-1 gene:gene24862 transcript:rna24862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein CHP02058 MEAEQSEGSTDSSSSQTMNHLLFVEMGVGYDQHGQNITSAAMRACRDAISSNSIPAFRRGSIPGVSFGQMKLQIKLGVPHSLQQALDIEKVKSVFPYGKILNVEVVDGGLICSSGVLVEEMGDKNEDCYIVNAAVYLQEVVPPDILAQVLVQLPRYMSSLVHSNPGP >RHN40603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18067487:18068045:1 gene:gene46770 transcript:rna46770 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFRLVGFKSLSHLSLICWYHTSFIVLYEDESRFTTFALYQLFFVQNDKVKNSETKCSRNERDVVGKMIRNWIGKKTLDSHISFVKHRSWKMLMDGLLEYEIWLKSSYLSAKFLGIAFHALVGFVSLKLLGSTPSILTIAVCNILYIMK >RHN64768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61666209:61666655:-1 gene:gene27623 transcript:rna27623 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVLQPNSLSHSKASYNPKASAYRNGIDASFQNPNSIGFDEFPFLIPNVHPYSITSLLMVNCCV >RHN71476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:410571:410855:-1 gene:gene7082 transcript:rna7082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MHFRSLFAGSLTFEPENHDVDMETEDAINNLNVDWLVKVHYRLELQTEALFLTINIIDRYLSLTSIPKRDLMLVAICSMSLADKYKEISYRKVV >RHN71820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2808878:2810646:-1 gene:gene7457 transcript:rna7457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MDFDLDNPLASFKEQQNCTITELFASETDHMPSPNCLNSIHCEAISLILQVQHSCNLDPFIAYLAINYLHRFMSKQEFPGKPWLIRLIVISCLSLASKMKNTHLPFSKLQKEGCNFNGETIQKMELVILGALDWRMRSITPFPFLNFFISLAQIKDRSLKDRAAEIIFNVHNDIKFLEYKPSTVAAASLIYASYELFPQQYYILRATITTSEYIDQDTLAKCIDMMQDIWRNEVKGSMKDTSFLSTETPVSVLERSTKRQRI >RHN38430.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000018.1:22541:25420:-1 gene:gene50749 transcript:rna50749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor EF1B, gamma chain, S-crystallin MALILHSGEINKNAYKARIAAEYASVQLQLTPNFEMGVSNKTPQFLDMNPLGKVPVLETPDGPVFESNAIARYVARQNNNNTLYGSSLIQYAQIEQWIDFSSLEVDSNINKWLYPRLGYGVYLPPAEEIAITGLKRALNALNTHLASNTYLVGHSVTLADIITTCNLYVGFTRLFVKSFSSEFPHVERYFWTLVNQPNFRKILGQVKQAEAVQPLQSAKKPSQPKDSKAKVNDEPKKEAKKVPEKPKEEAEEEAPKPKPKNPLDLLPPSKMILDDWKRLYSNTKNNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSEPPFKVKGLWLFRGQEIPKFVMDECYDMELYEWTKVDISDEAQKERVGQMIEDFEPFEGEPLLDAKCFK >RHN82001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50500559:50500912:1 gene:gene6115 transcript:rna6115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MAQVKFNIGLVLVTLTLLYASGTAQSSCTNEIASLLSCLDYVTGNSSAPTIGCCTQLANVVKSQPECLCLIIGGKGSSLGINQTLALALPAACNVQTPPVSLCKSMSLRLNLFIMYN >RHN76135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47922063:47925725:-1 gene:gene12416 transcript:rna12416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial 28S ribosomal protein S34 MANAMCSKINTLQNSSILKSTFTFIRTLSTSSPSPSSDAASETTKKSKRRKKKNFFEVAQFLPNWGIGYHMAKTHWKEVSYEITKLNLYKDGKHGKAWGIAHKNGLPIVDAPKKISGVHKRCWRYLPSVVKASESSPTLTSSTDSDLKVETQAS >RHN72793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10721177:10721581:1 gene:gene8549 transcript:rna8549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FHY3/FAR1 family protein MIRMKLVESQRWRICEVTLEHNHVLGAKIHKLGKKMGCGTKKNSLPSSDAEGENN >RHN53287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:686595:687593:1 gene:gene28178 transcript:rna28178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase, 2-hydroxyisoflavanone dehydratase MASNTESNSNKVIVHNLLPHLVVYNDGTIDRLRNFPIVPPQQEDPKTGVSSKDIVFSNDPYLTARLYLPKLTQTNDQNQKLSILVYFYGGAFSFESAYSSIHHAYCNLLASQANILIASIEHRNAPEHYLPAGYNDCWDGLYWVASHATQNPINSDPWIINHGNFNRVFIGGDSSGGNLCHNVAMRAGVEDLPGGVKVFGAYLNHPYFWGAKPIGEEPVIGFEETLQSRIWKFAYPSAPGGLDNPMINPLASGAPSLATLGCSRMLITAAGKDQLLFRDRSERYFEAVKKSGWKGEVEFFEEKDEDHVYYMYDLETDQSKRFIKVLVDFLRQ >RHN81800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48965631:48968242:1 gene:gene5890 transcript:rna5890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MDIDEILSIFQERDHTAIGGSESEGSSNRSLSESDGCSPGEDFEETNEEGVGCNFKDETAVDSVTDLEKINFKETSIENLMRYHFPDREVAFMFYNWYGCFHGFAARKSRLIRNINGEVVQQTFLCHREGIREEKYINSTSRKREHKPLSRCGCQAKVRVHIDVSSQRWYIKLFDDDHNHSFVKEKFERMLPAHRKMSEYDKYQMNTMRQSGISTTRIHGYFASQAGGYQNVGYNRRDMYNEQRKRRMRWNSDAEQAVNFLKHMSSKDDMMFWRHTVHADGSLQHLFWCDGVSCMDYSIFGDVLAFDATYKKIKYNTPLVIFSGVNHHNQSIIFGSAIIGDETEDTYVWLLKIFVEAMGGKLPVSVITDGDLSMRNAIRKVFPEAHHRLCAWHLIRNATSNIKNLHFVSKFKDCLLGDVDVDVFQRKWEELVTEFGLEENPWMLEMYQKRKMWAAAHFRGKFFAGFRTTSRCEGLHSEFGKYVSALTNLHDFFQQFFRWLNYMRYREIEADFSSSHGDIVVQTQHHHLERSAFKLYTKTIFRLFRKVLERACRFDVHIVSQNGSIHNHIVRRYPRQDIEWTVSYCEHRLVFECTCKRLETLGIACEHVMCVVKFLGIVNLPGIACEQCFSPCNICHFWFSPL >RHN51335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16392692:16394407:1 gene:gene35759 transcript:rna35759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MHVPTYSIAEEMAGFGASILEGILTFVLVYTIYDAMDTRRGQVSSTGTLVIGLIAGASVLAAGPFSGGNQAVYWVGPLVGAAVAGLLYDNVLFPSQYSDSIRGVSDGTVRT >RHN51359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16626577:16627853:-1 gene:gene35785 transcript:rna35785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MKGEDHTRIENFLKDYRALKPTRFSYADLKRITNKFKDKIGEGAHGAVYKGKLSNQILVAVKILNNAEGDGKEFINEVGTMGKIHHLNVVRLLGFCADGFHRALVYDFFPNGSLQKFISHPNNKHDFLGWDKLQQIALGIANGIEYLHQGCDQRILHFDINPHNILLDDNFTPKITDFGLAKMCSKNQSNVSMTEAKGTLGYMAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGRKNTKTTSGDENIQVEYPDWIHNLFEGDIQIPIDEEGDFRIPKKLATVGLWCIQWHPLHRPTMKSVIKMLQAEADKLKVPTNPFGPTTKTKTNSNIVAERINLELEVIEEIE >RHN48512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48070791:48071204:-1 gene:gene43235 transcript:rna43235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGKSSSSCKGFWKGGFGIMMRKMVEKRILWSEKGVKQGHFVVIATQGWKTERFCIELEYLDHPEFVKLLKQAEEEFGFSQVGALAIPCEPDELKRIIRRKKQHINNKGITITC >RHN76535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51055303:51058888:-1 gene:gene12878 transcript:rna12878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MEMELNKLSDLLQKMEHHPSSSSSSSAAPTTPLEIVSRFRLRLLSLRARRSPPPDVHQKMQHPPSSSAALKPRRRRSSVLQSSDFNEIKDPTKKDRITKCATLAIRDYNSQTQNHYQLVVVDTFTSRYVNGFLYGITFQASNADVEYATFEARVFGFGKRELDLKKVIIIRMEGTSTWYNGTLMQLEDDAPIVMDVKDHKRNVYGLIKSAQQLRMPDVGSVMIKQDEGEETAEALPE >RHN82225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52118175:52122751:-1 gene:gene6370 transcript:rna6370 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPSILSFSSSSSSSLPLHRFRPSPSFSFSPLRSNPTKSPSPFLVLASSSHDSNDFTSKKSALSELIQEIEPLDVSNIQKDVPPTTADAMKRTISGMLGLLPSDQFNVVVEALWEPLSKLLISSMMTGYTLRNAEYRLCLEKTLDICDRDLEKPKAESTKFDLQDFLRDSVNVIDFGRNNNLSSKVEKPHEDVNIQDLGQISAEAQEYISSLQSRLSSIKKELREVKRKSAALQMQQFVGEEKNDLLDYLRSLQPEQVAQLSEFTSPELKDIILSVVHGLLATLSPKMHSKPSTTSENATVGSANAGNEDCAEVVENSSLKFQPFISLTRDYLARLLFWCMLLGHYLRGLEYRMELAELLSLTNVAENGASENEQIA >RHN43903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49341059:49342436:-1 gene:gene50538 transcript:rna50538 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPTSYYLQLENFHVQSVSGRENHVESVNPNTSLVSSHSCIFLLWRQKAREDLNGSRTHFSSNYKYE >RHN67702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29480389:29504686:-1 gene:gene15920 transcript:rna15920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MTEVVKTLVEKLLNKGKEKSRYLLCFTRIVKEFNEEKEKLEAENATMKQRFKVATEKGKDIQFNAEFWQKQADGLIQENTETKQRCFLGFCPDCIWRYKRGEELATKTKVIKDLIEKADKFENIEITRGLPGVERYSSKDYISFESRKLKRKELLDALKDDSNYMVGLHGMGGSGKTTLAKEVGKQLKTLKHFNYVIDTTVSSNLNIKKIQDDIAGSLGLDLENKNESDRPKSLWNRLTNGDKILVILDDVWKNINFDEIGLPNSDNRKGCKVLVTTRELGVCEQMECGKTIQLQHLTEEDALIMFKMYAKLTNISDISILDKVREIVALCKGLPVAIVTIARSLKGKKHRAEWDLALNSLKNPMSMGNVEDHLVDIFKCLKFSYDNLKDEKAKGLFLLCSAFPEDEEISVELLTRLGIGVNLFGEGYDKYNVARNQVSAAKNKLLSSCLLLETEIGDVKMHDLVREVAQWIVKEKILAVNLFEKNQKSLVEKSKNSKILYFYGKLSELVSSKSHSSDGSKLEILIAKECEFQDVATSLHENMAGLRVLIFSNSNSTGHSSLANSIKLMINIRSLSVENVILGDISVLGSLQSLETLELHYCEIDEFSREIAKLKKLRLLCLKECEIKNNNPFEVIQSCPSLEELYFLKSFNKSCKEITLPRLERYVLSDFYRYWDASQLKVVALREDYLSKATYKYVIQTSYCLHLFRMEGYRNLMPEMVPIEQGMNDLIELHLEGSSQLQCLVDTKQFQKPIVFSKLAVLELERMEALEELCNGPISSDSMNFLKKLYINECKNLRSLFKCSLNLSKLKTVKLISCSRLVSVFKLSTSQSLPLLEELNIVDCEKLENIITFERREMDDTIEESANGYNDNKSCYSLFPKLKVLYIESCHQLQFILPILSAQDLLFLEVIEIRCCDKLEYIFGQHQDVKLTSLKTVDIHDLPNFIDLFPPIASSISKHDSKPQTQLDPIKSNTFSLCCFRYKARSTKIPIISEDQPQDYSMSLESNSYFLDILNSAQYLEEIKISNAPKMKSVLILSIPLRMLESLRIEKCDEMKQIIIDTGDHNSTSGNKFGNVFPKLKRLWVENCVQLEYIFGHYNHDHQNQTEMAKLELNECSQLDSGDLITTRSMDGTIVKELSGNEENRQQLNLSLEDIDLFDLPMMRCLFVGLKYSFVLNNLTEMKIVRCEKLEIVFSTSVLRCLPQLVRLEVEECKELKHIIEDDLEDKKFQSSNTFFPKLETLIVTKCDKLKYVFPVSICKEFPELKVMLIREANELEEIFKSDKKDEIEEISKTEVDIPNLKAVAFAYLPRLYHDQGVHFQTVKYRVVHICQKLSLTSCNEDEDKDDGDPFSIFEDYYLRSRFREIQRECDKAKGEHDGKSENDKETDSYYDNGNDEESENDEETDSDYDNGNDDELEGSTSEITAAATVSTITETDNKPPAREVVPKQKGIQINVEEGTASVNAKTIASSTHTDVIGSSSGQLVTSERKISSLELMNEQLMDQECLVNKQHRLGETDTTIKHSQEYNLEGSTSEITGAATVSTITETKNKLPTQEVVPKQKGIQINVEEGTASDNAKTITSSTHLDVAGSSSGQLDCKTSSQEDGDSQIAMTSFSISTAETNDQGSLNHDSFKKVSSIIEEQFHKDDNIIVSKSKPSSNITSHVVCQFPPVPSKEDPCQKVEDLSSLLVKSELEQLVSKNHLDWGNFYLLNNFFVKHPSVRFKDTSLSNRYKGCAYNLLAELLKFLKTHSVLEVLGSFHSEFEELLQDARRFGFDKDWLDGVERSTLCPDMQVSQDVLKKLLDSKEHVTKEVEVLRLKIGILSEQMEVLSEHVEVLKHQLASSQAVLESINQQEVALSAPVGY >RHN67884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31174683:31175132:-1 gene:gene16129 transcript:rna16129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MEEMNTEIACIRLGNVHVIPVTCPTIALEFLRKNDATFASKPISMSTDIISKGFKTIAVTPFGEQWKKIFVNELFSPHKHQWLTNKRNEEADNIMFYVYNKCKNANDNGLVNVRIVTRHYCCNLMKKLIFNTRYFGEGRNDGGPGMERT >RHN68806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38742957:38747678:1 gene:gene17212 transcript:rna17212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MASTASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGITVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVFKKWIPELQHFAPGVPVVLVGTKLDLREDRHYLADHPGMVPVTTEQGEELRKQIGATYYIECSSKTQQNVKGVFDAAIRMVIKPPQKQHEKRKKARRGCFLNVLCGRSIVRHK >RHN57838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42060877:42067328:-1 gene:gene33427 transcript:rna33427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative syntaxin-5, Sly1p-binding domain-containing protein MASTYRDRTSEFRSLTETLKKIGGGGGGATAPPNQQPSTSQISYSRSDFNRKASQIGLGIHETSQKIARLAKLAKKSSMFNDPIMEIQELTALIKTDITTLNSAVLDLQNIQKIDLADENYSEDRVVHSNAVCDDLKNRLMGATKHLQDVLTTRTENIKAHENRKQIFSKNPLQHQPKPTTEPPPWSNSTNAFETESLQQTSGLPSNGIPAGNQLRRRLAVENTPSQQMEMSLVQQVVPRHEDYAQSRASALHNVESTITELSGIFTHLATMVAHQGELAIRIDDNMDESLTNVEGAHSSLLRHLNRISSNRWLMIKIFAILIFFLMIFIFFVA >RHN44786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8452861:8453092:-1 gene:gene38948 transcript:rna38948 gene_biotype:protein_coding transcript_biotype:protein_coding MRYISELEHKVQTLHTEATIFSAKLTLLQDLSPWFGLFADYFPGLCD >RHN70883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54712329:54712715:1 gene:gene19514 transcript:rna19514 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGSWFFLQNNLEENEAVNKKFEQASTQNSYVPKCQIFHLKSLH >RHN79533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29935092:29937222:-1 gene:gene3337 transcript:rna3337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MATESCLRLLYTCRNIFHIRQVHANALINGTFNNLIVANKLLHFYVQHKAINDAYYLFDEMPTRDPTTWSIMVGGFSKLGDYNNCYATFREILRCNITPDNYTLPFVIRACRDRKDIQMGRMIHDVVLKYGLVLDHFVCATLVDMYAKCAVIEDARKLFDVMVSKDLVTWTVMIGCYADYDAYESLVLFDRLREEGFVSDKVAMVTVVNACAKLGAMHRARFVNEYICGNGLSLDVILGTAMIDMYAKCGCVDSAREVFDRMKEKNVISWSAMIAAYGYHGKGKEALDLFHMMLSCGISPNRITFVSLLYACSHSGLTDEGLHFFDSMWRDYGVRPDVKHYTCVVDLLGRAGRLDEALKLIETMNVEKDERLWSALLGACRVHGNMELAGKVAESLLELQPKNPGIYVLLSNIYAKAGKWEKVGEFRDLMTQRKLKKVPGWTWIEVDNKTYQFSVGDRSHPQSKEIYEMLTSVIKKLEMVGYVPDTEFVLQDVEEEVKKEMLYTHSEKLAIAFGLIAIPNKGDPIRISKNLRVCGDCHTFCKMVSDVMKRSIIVRDANRFHHFNEGACSCGDYW >RHN71041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56017265:56021972:1 gene:gene19683 transcript:rna19683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 16S rRNA (cytidine(1402)-2'-O)-methyltransferase MLLRRLPWMAFSTAAPLPLYSFSSSIPKQLTFSPLSFCSTSELHLTQHPDNNKPEHETDNRRSQLLKPGLYLVGTPIGNLEDITFRALRVLNSAHVILSEDTRHSGKLLHHYNIKTPLMSYHKFNESQREQLVLRRLKQGEIVALISDAGTPGISDPGMELAKLCVSENVPVVPIPGPCALISALSASGLSTDEFTFVGFLPKHSGSRRKRLMASAEQTATQIFYVPPHKLSQFLEEGSSIFGDTRRCVIAREMTKFHEEFWRGTFGEASKEFSIRQPKGELTILIEGHVNSKVEPPSDIELENELRELIENGESLSTAVKLVAERTSVSRKTIYSLALRKFGKQLEVEDDSS >RHN79665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31039198:31041363:1 gene:gene3485 transcript:rna3485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription repressor PLATZ family MDTMLVPKWLKPLLSTPFFNECRIHADAARSECNMFCLDCNVDAFCFYCRSSKHKDHQVIQIRRSSYHDVVRVAEIQKMLDISGVQTYVINSARVLFLNERPQPKSGKAVPYICEICGRGLLDQVRFCSLGCKLVGIKRNGNASFVLDANNNEVSTMEEGMSRQQEEELREGSQQGMYPATPPTPPSNARRRKGIPHRAPFGP >RHN60574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28403459:28404776:1 gene:gene22905 transcript:rna22905 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFIKFKFRGQNGPTKETDFGNKRGPHPCQNVSGPGMTYVNLPGVFLGLVPEKFARGFKTLSKSLAKGNVSCSVCANKYCEESVKSDPEQEPKEEDKRDLTKEEDNWEKEEKSEEEEEDNWEKAGESQEDSEYSDDEELGLMYENDPRPFMYTRRSDGQVFMNKAWLDRETKVREYNELCSGLSVYDAISPPLDCDISGGIVPLDLDEDVLPTLEKLCNVALNKQAPTFVFHNVVKCTHPAATDLTAGRVYYITFQATDKGKPDLTTFQTHVVQDPGVDIVDVDVKKFLIKT >RHN82105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51277504:51281768:-1 gene:gene6229 transcript:rna6229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative von Willebrand factor, type A, Zinc finger, Sec23/Sec24-type, sec23/Sec24, trunk MDFVELEAVEGLRWSWNSWPSTTKSDLTIPLTIMCTPLSQHGTDLPLLPYDPLLCTRCGAVLNPYARLDYQSRIWHCPFCSQRNPFPRSIADANLPAELFPTYTTVEYSSSSSSSSSSLFHPPAFIFVIDVSTSQDELCSLKNEILLLLHHLPDTALVALITFDSMVYLHDIQFSHCSRIVLLHGEHQFSSDQIRQFLNISRPHQLHTGQTQPVPKQGFLVPISECEFSITAAIEDIHSSYNFRSGNRPPRSTGAAISAALGLLECCFVNTGSRIMVFTSGPATLGPGLVVDSDFRQSMRTHNDIFNGQARHHSKSCSFYRQIAKRLFDASVVLDLFACSLDQVGVAELREPIERSGGFVVLAESFESDQFRKCLRHLFKHDDDEGFLKMNFDATIEIVTTNDVKISGALGPCMSLRKKNASVSETEVGQGGTCVWKLNTLTDRTCIAFFFQVSDKQTIQPSSAFMVQFITRYRQGNMGLRKRVTTAARRWVANHSTDIAAGFDQEAAASVMARLAILRAETCHARDVVRWLDDTLIRFTSKFGDYVPEDPSSFRLSSNFSLYPQFMFHLRRSQFIDVSNTTPDETAYFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDIRSICPDSILLFDSFFLVVIHYGSKIAQWKKLGYDKDPNHENFRKLLEAPELDAEQLVAERVPVPKVIRCDQHSSQARFLLAKLNPSVTQNSTYTDGSDIIFTDDLSLQVFLDQLQILAVQG >RHN72861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11320688:11322636:1 gene:gene8623 transcript:rna8623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAPSEDADAALSDPLTEETTATKRQRLNSSTETLSSLTSPSSSSSSTIPTLPFEIVAEILSRLPVKYLMQLQSVCKSWKSLISDPKFIKKHLHVSTTRLHLVLAFANSSRKFALSAYPLSSFFTDVTSTATQLDYPLNNRIRNLFDLIVGSCHGILCFALDQRFALLWNPSIKKFTKSPSLDNPKRDGSYTIYGFGYDHVNDIYKVVAVYCFESDNGDYKTQVKVHTLGTNFWRRIHDLPFGVPFDESGKFVSGTVNWLASNDSSYTSSIIVSLDLEKETYQELLQPDYGAKAVNVVTKTLAVLRDRMCILAHSHTFFDVWLMEEYGNRETWTKLFRVPYIGNVGRCPYTNALYVTEDDQVLLENQFELVVYNSRDGTSKTLEFPNIKGWMVLEVYQESLISPCS >RHN67512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27850695:27856473:1 gene:gene15707 transcript:rna15707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ceramidase MEFDSFCDLNVWRVCGKMRFWSILLLLVLLKSDVMVVDCGSDYLVGLGSYDITGPAADVNLMGYAKTEQVASGIHFRLRARAFIVAASKGNRVVFVNIDACMASQVVTTKVIERLRVRYGDLYTENNVAISGTHTHAGPGGYLQYFLYIITSYGFVRQSFDVIVDGIEKSIVQAHENLRPGSIFVDEGELLDAGVNRSPSAYLNNPALERSKYKYNVDKEMTLLKFVDEEWGPVGSFNWFATHPTSMGRRNSLISGDNKGAASRFMEDWFEKKDSGRMDSDVLEDDGTPQRISNIIPGLRDNQVGKQEAIDAWAYAHSDQHLSVCPQSHLKSYHELLEVAASFQSPPGRPATKTSSVTKRVRGAPRNADKPRFVAAFCQSNSGDVSPNVLGAFCLDTGLPCDFNHSTCGGRNELCYGQGPGYPDEFESTRIIGERQFRKAADLFNAADEEIKGEVDFRHAYIDFSKLEVTISDQGADKVVKTCPAAMGFAFAAGTTDGPGSFDFKQGDDKGSPFWKLVRNLVLTLSRKQIDCQHPKPILLDTGEMNIPYDWAPSILPIQILRVGQFIILSIPGEISTMAGRRLRDAVKTVLSSHKDFENVHIVISALSNAYSQYATTYEEYHVQRYEGASTLYGPHTLNAYIQEFKKLAKALVSGQPVESGPQPPNLLDKQVCLLPPVVVDGTPFGVNFGDVFSDIPQNSTFKSGEVVTVSFWSACPRNDLMTEGTFALVEFLQGKDTWVPAYDDDDFCLRFKWSRRFQLSPMSKATMEWRIPQGVTPGVYRISHFGAAKGLFGSINHFTGSSSAFVVVA >RHN42647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39960509:39964170:1 gene:gene49112 transcript:rna49112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VII-2 family MKPFYRFLILLTFFSIVESSCNSKHQILLISKAFKFVSGFNSSTLFQTRISNSHITKIVLPSKNLTGTISWGYLKNISNLQILDLSGNSLQGHVPSWFWSSFSSLLEINLSRNKFGGSITIELNNKTSTIQNLNLSHNRFTNVAQLSSFQNLKILDLSHNNLRALPLGFQNLTKLQHLDLSSCNLKDNIKPISSLHNLHYLDLSNNTLTGNFPSDFPPLHNLKFLNISQNNFTYHNTKQNIIHRKQTKPTSKTLILIVCSVSSTVFVIAIISIWVIFIINKKMKQRSKMKKWAISLPVMMNKTNTKVEKTGPFEFETESGTTWVADVKEPTSAAVVMFEKPLMNFTFKDLIIATSHFGKESQLAEGRCGPVYWAVLPGEIHVAIKVLEHVRDVDYDDSVAMFVDLSKLKHPNLLPLSGYCIAGKEKLVLYEFMANGDLGRWLHELPTGDTNIEDWTGDTWEFQNSVVESSSEKMGWLTRHRIAVGIARGLAYLHHAGSKPVVHGHLVTSNILLTDNFEPRISDFGLRIHSSLNGGTEDDVFCFGVVLMELLTGRIGTMTTIIAVRKAAREGQHVRVLDERLLLGGDSAVSEMVESLVVAVLCMAESPSKRPTMQQVLGLLKDIHTH >RHN72369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7106527:7109763:1 gene:gene8072 transcript:rna8072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine alpha-hairpin motif superfamily MPNYTGFDQRSGPAGGPPNTRPFFGFSRPPPPSTHRVIDHFAEAKAIKMEQQPLNASEAKPLAPAQPPLHKSDADDDDENVKQLDECSSLYLLMQDCIVRSNRNWKECQTEIQALRECSENRKKNKQEDRVQKQ >RHN76509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50857817:50861553:-1 gene:gene12848 transcript:rna12848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal peptidase I MGFVGDTVDSIKSLQIRQVLTQAVSLGMIVTSALIIWKALMCITGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRAGEIVVFNIDGREIPIVHRVIKVHERGDTGEVDVLTKGDNNYGDDRLLYAHGQLWLQRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKE >RHN53419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1562562:1562984:1 gene:gene28322 transcript:rna28322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, ARF/SAR type, P-loop containing nucleoside triphosphate hydrolase MIYVVDCHDRERIDQVKEEFQTTINDPSMLNKIILVFTNKQDLVSHCFLFYFFSFYHMT >RHN50681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9366207:9366752:1 gene:gene34999 transcript:rna34999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MSRYFSLLLVLFILCVASSNSISAKVVDVDIICKEASNPTYCSNLLNSKSGGAKGVDLVDLAQYTIDVLNDNSSRAFDLMKNLMDIAENDTVASYYYRCDSDFLDTENSILLRLRDAELNLHNGKYPAMAKESDDIIQYLLDCIHSLQEHETSTLLAKYVDDLRQGAQVLQIISKYLNLGK >RHN46842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35259858:35260825:1 gene:gene41376 transcript:rna41376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MSRILEITILNAENLHMNKNSINKNAFVSLKCDSSNEICYSTKENSEECGGGSCLAWNETLVMEAPLNARYIIADVKCKTSWGNIKTVGMARIPVSDLYLQDDQVQFLSYRLWDSRVRRNGVINISVTVKVMEYSNPVTGIPVAGDNGSDGVVTGIPVWLSNIQRDC >RHN51724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23530454:23533531:-1 gene:gene36232 transcript:rna36232 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLVIVAFVFFVAVEGTLGGIECENLNQDTCAFAVSYAGKRCVLEKHVKRNGEEKYTCRTSEIEADKLKDHIESDQCIKSCGLDRKSFGISSDSLLESSFTKNLCSPQCYKSCPNIVDLYFNLAAGEGVFLPKLCEAQGANARREMAEIKSSGIAAPGPLHSGKFVATSPESSEAMKLTVEPPVAPTIPPY >RHN63069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48096310:48097406:-1 gene:gene25726 transcript:rna25726 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKSHSYGSIPFSWEDKPGICKTPNNECPLNSINKKSSSKLSPSHFDNISKKNILEFQDKKISIPLPPCQTQPPQRSTSGKGFKLQEDPFLVAYKECTKSDKNCKMQSKNKKGVGFNFVSLRSYSIFSCRSAIDVKDDSYIKLSKLPRLPAHRDRSRMLEEEQHRRFNYEPWL >RHN58042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43314925:43318321:-1 gene:gene33648 transcript:rna33648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MAWFLLLLHLFLFHFPSFSSSFNFSCHHDESSALLQFKTSIIASFYSCDGSLLKTATWKNGTDCCSWNGVTCDTITRHVIGLNLGCEGLQGKLHPNSTLFNLVHLQTLNLSNNDFSYSHFHSKFGGFMSLAHLDLSRSFFKGEIPIQISHLSKLQSLHLSGYTGYDQLVWKETTLKRFVQNATNLRELFLDNTNMSSIRPNSIALLFNQSSSLVTLNLKSTGLTGKLKRSLLCLPSIQELDMSYNHNLEGQLPELSCSTSLRILDFSRCSFKGEIPLSFSNLTHFTTLTLSENHLNGSIPSSLLKLPTLTFLDLHNNQLNGRLPNAFQISNKFQELDLRGNKIEGELPTSLSNLRQLIHLDLGWNSFSGQIPDVFGGMTKLQELDLTSNNLEGQIPSSLFNLTQLFTLDCRGNKLEGPLPNKITGLQKLMYLNLKDNLLNGTVPSSLLSLPSLAILDLSYNRLTGHISEISSYSLNMLTLSNNRLQGNIPESIFNLTKLSHLILSSNDLSGLVNFQLFSKLTCLEMLSLSWNSQLSLNFESNVNYSFSSLQVLELSSVNLIKFHNLQGEFLDLISLDISDNKLHGRMPNWLLEKNSLLFLNLSQNLFTSIDQWINVNTSNGYLSGLDLSHNLLNGEIPLAVCNMSSLQFLNLGYNDLTGIIPQCFAESPSLQVLNLQMNMFYGTLPSNFSKNCSIVTLNLYGNQLEGHFPKSLSRCKELEFLNLGSNKIEDNFPDWFQTLQDLKVLVLRDNKFHGPIANLKIERLFPSLIIFDISGNNFGGFLPKAYSKNYEAMKNDTQLVGDNNLQYMDEWYPVTNGLQATHAHYSDSVTVATKGTKMTLVKIPKKFVSIDMSRNKFEGEIPNAIGKLHALIGLNLSHNRLNGPIPQSIGYLSNLEWLDLSSNMLTDVIPAELTNLGFLEVLDISNNHLVGEIPQGKQFNTFTNDSYEGNSGLCGLPLSKKCGPEQHSPPSAKNSWSEEKFRFGWKPVAIGYGCGFVIGICIGYYMFLIGKPRWLVMIFGGPPKRRVTRRTRVRRAHGSTMNQNQMVQMS >RHN76525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50948809:50954810:1 gene:gene12866 transcript:rna12866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLLRSYSAPIPSSFLPHSMESSSEQELNHHLPRTLASPRHKKTAPLLKNHHQEEIEQKFHKKKTTPSMRELFSSSSLDKQVLYHDEGGKKVGKLQTLVMGGGGMGCDGGSICGGCNGSGRDSGGGNGNGWENNYGRDETDAYYQNMIEANPNNSLLLGNYAKFLKEVRGDYGKAEEYVERAILASPSDADALSLYADLIWQTEKNADRAEAYFDRAIQSDPNDCYVLASYAKFLWDAEEDEDNDCQHKTDKSHTHSPDLFLGANGRSHVTAASKI >RHN67284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25510756:25514508:-1 gene:gene15463 transcript:rna15463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MQTSQNHEISYGSDRFYVEPVQNLESYCLPSIENLDNYSSSDNSCQTFYPSHQTLEPYNTLESASTSNNSLPYQNSPSTHSFSPNNSPGSTLRPQHSLEFVNGSPEEEDSYLIYHDHDDLRHKMSELESVMRGPNVEMLEMYDTKVQEESASFLLEAEKWKKNVEMVSRGDLKEMLYTCAKAVDENDIETIEWMVTELRKIVSVSGSPIERLGAYMLEALVSKIASSGSTIYKSLKCSEPTGNELLSYMHVLYEICPYFKFGYMSANGAIAEAMKEENEVHIIDFQIGQGTQWVSLIQALARRPGGPPKIRITGIDDSYSSNVRGGGVDIVGEKLLTLAQSCHVPFEFHAVRVYPSEVRLEDFELRPNEAVAVNFAIMLHHVPDESVNIHNHRDRLLRLAKHMSPKVVTLVEQEFNTNNAPFLQRFLETMNYYSAVYESIDVVLPRDHKERINVEQHCLAREVVNLVACEGEERVERHELLSKWRMRFTMAGFTPYPLSSFINSSIKNLLESYRGHYTLEERDGALFLGWMNQDLIASCAWR >RHN72154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5445229:5447254:1 gene:gene7835 transcript:rna7835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MLDVVGCAAVVVNLDNDKVVTHPGISIVWGLTVMVLVYSVGHISGAHFNPAVTIAHASTKRFPVKQVPAYIISQVLGSTLASGTLRLIFNGKENHFSGTLPTGSDLQAFVVEFIITFYLMFVISGVATDDRAIGELAGLAVGSTVLLNVMFAGPITGASMNPARSLGPAIVHHEYRGIWIYLVSTTLGAIAGTWAYTFIRYTNKPVREISKSASFLKGVQNGGAK >RHN42298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37213367:37218000:1 gene:gene48722 transcript:rna48722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MASVDNDDILELEQPLLQDESSKQYTRDGSVDYRRRPAIKNNTGNWRACPFILGNECCERLAFFGIATNLVTYLTTKLHEGNASAARNVSIWQGTCYLTPLIGAVLADGYWGRYWTIAIFSMIYFVGLCILTLSASVPLLKPAECLSSICPPASPMQYYVFYFGLYVIALGTGGVKACVPPFGADQFDDTDSKERAKKASFFNWYYFSINLGIIASCTFVVWVQDNAGWGLGFGIPTLFMGLSVGSFFLGTSLYRFQKPMGSPITRMCQVVLASVRKQNLVVPEDSSLLYEMPDNKSEFERSRKLMHRDDLRYFDRAAVVSDSDNRSGDYSNPWRLCTVTQVEELKILIRMFPIWATGIILSAVYAQMSTLFVEQGTMMDTSIGTFKLSPASLSTFDVVSVVLWIPVYDRILVPVAKKFTGKNRGISVFQRIGIGHFISGLCMLAAAAVEIKRLQLARELGLVDKPVAVPLSVLWQLPQYFLLGASEVFTFIGQLEFFYDESPDAMRTLCGALPLLSFSSGNYLSSFILTIVTYFTTQGGRPGWIPDNLNSGHLDYFFLIIAGLSLLNMLVFIIAAKMYKENKVS >RHN80678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40015457:40020048:-1 gene:gene4634 transcript:rna4634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MRNSTDSVSIDIDLIPLGGKECIVKTSKGSVSVLICGDQDKPALITYPDVALNYLSCFQGLLFCPEAASLMLHNFCIYHIDAPGHELGADVISSDEPLLCVDDLADQVAEVLDYFGLREVMCLGVTAGAYILTLFAMKYKERVLGLILVSPICKGPSWTEWIYNKVLMNLLYFYGMCGLLKECLLQRYFSKELRCSIQGAESDVIQTCRRLLDERQSLNVMRFLQAVNARHDLSEGLKNLQCKTLIFAGDSSPFHAESIYMSEKIDSKICALVEVQACGSLVTEEHPISMIVPIERFLMGFGFHRQPHFASSSSNGSTSPASPSRHAIVAPELLSQESLGIKLKPIRTRVRVET >RHN70910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54970224:54972340:-1 gene:gene19544 transcript:rna19544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative early nodulin 93 ENOD93 protein MGIPSELRDMWIANKNTSLQIASPAEEQKMLRSSQCTAEGVRAGFKAAGIGCVTSTGPTLVAVRMIPWAKANLNYTAQALIISAVSVASFFVAADKIILACARKQSLLLEESLKQERCYAGS >RHN73822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20394118:20395287:1 gene:gene9695 transcript:rna9695 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLDGVEEVSNDEQIEIIDEVDLDEDEMIDVGMPTQMLVLNHAKKDMERRQHIGTVVLLCHA >RHN48553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48402186:48404082:1 gene:gene43283 transcript:rna43283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MLIIQAMQPINTITHLNEKSHHTQNKINTSLTTIHQIKKTSYMNPIMNTQFALCIILAFSFCGAYGAKITFMNKCPYTVWPGTLTSAQKPQLSKTGFELASGKSDSVDVPSPWEGRFWGRTGCSSNAGKFSCATADCGSGQVACNGAGAVPPATLAELHVEANGGQDYYDISNVDGFNVPMSIVPQGGTGDCKPSSCPANINDVCPTELQMKGPDGKVVACKSACAAFNQPQYCCTGEFNSPDKCKPTQYSDIFEKQCPQAYSYAYDDKSSTFTCFKGPNYTITFCPRT >RHN73916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21589710:21591816:1 gene:gene9813 transcript:rna9813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit C MSQLGLCAFRAGLISEAHECLSELYPGGRVRELLAQGVARSCYHEKTPEQELLERSRLMPYHMHINLELLESVYLISAMVLEVPNMAANIHDTKRKIISKNFHRLLEISDKRTFNGPPENVRDHVMAVTRLLINGDFLKAFDNIASLDVWKFVKH >RHN79681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31183792:31184730:-1 gene:gene3504 transcript:rna3504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamine synthetase MGITVEQLHAECGKGQFEIVLGHNICTEAADNIVYTRETVRAIARKHGLLATFVPKYTLDDAGSGCHVHLSLWQNDQNVFIASDESSKHGISTLGKEFMAGVLYHLPSILPFLAPLPIRFCYLSPLILFIFLFITKKCYHLLKFFNLLITSEIVPIHEKVHIV >RHN66611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14931294:14935839:1 gene:gene14638 transcript:rna14638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperone DnaJ MDYYNVLKVNRYATEEELKTSYKRLALIHHPDKHPPEKRIEAEHRFILISQAYDILSNPVKRKIYDQYGEEGLQYGGAAPPQHSSSSRQHCSSSRRNAQSSTYQCNPRSADDIYNDFFRRENGSEVLKNLKKKDDPIERMLFFTLEELYNGTSRRVKITRTVINNAGYSNIEEEVLTVDVKAGWKKGTKVTFNEKGDKKPGIIPADIVFVIGEKPHARYTRNGNDLVITEKITVADALTNKTLEIPALDGRSLLIQLPNVVTPDYEHKVPNEGMPITKQPGRKGTLKIKFDIKYPSRLTPQQKSDLRSVLS >RHN57706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41164008:41165999:-1 gene:gene33287 transcript:rna33287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerol-3-phosphate 1-O-acyltransferase MMFPMVLLRLVDLVLYQLLANSYYRAARKMKSYGFNLAYLSSKPPHQPCSFPSITKCDLQGRMSQTIVCDIHRVLLRSHSFFPYFMLVAFEGGSIFRAFFLLMSCPLLLICDHELKLKIMTFITFCGLGIKDMENVSRAVLPKFYLENLNLQVYEILASAGSKVVITSVPRVMVEGFLKEYLSVGDVLGTELHTFGCYFTGFLTSSGLLVKHRALKEYFGDRKPDIGIGTSSLHHHLFISTCKEAYVVNNEENKNSPNSIMPRDKYPKPLIFHDGRLAFLPTPLATLYMFMWLPIGIILAIYRILLGIFLPYKLALELGVWSGIDLKIKGTIPKKTKPNKGVLFVCTHRTLLDPVFLSTSLAKPLTAVTYSLSKVSEFIAPIKTVRLTRDRKQDGETMQKLLREGDLVVCPEGTTCREPYLLRFSSLFAELANEIVPVAMNTHVSMFYGTTASGLKCLDPIFFLMNPKPSYHIQILGKVPKELTCAGGKSSVEVANYIQKQLGDALRFECTNFTRRDKYMMLAGNEGIVQQVSNLKKCY >RHN73711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19235161:19237241:1 gene:gene9563 transcript:rna9563 gene_biotype:protein_coding transcript_biotype:protein_coding MILFYISLKKKITYSFGYNTYMNLSGFDGGLPTPSIFATYATSSIFFYYFFIFPPPPPPPSCSVSLCFPPLNRSPLPPSFPLEPEPCPPLFLTQLSALGPGLGFHSTLILNFSVAPTEI >RHN80298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36957708:36960032:1 gene:gene4204 transcript:rna4204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MEDHQKGKHGKEEKGKEEVRFRGVRRRPWGKYAAEIRDPSKQGTRMWLGTFDTAEEAARAYDRAAFNLRGHLAILNFPSEYYSKIRGSPPYPPHLAPPPYTSSSSHHAGGSSSGPQHRPIFEFECLDDKILEELLGSEEVKKKK >RHN59306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9325782:9326189:1 gene:gene21314 transcript:rna21314 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPSSKPILSKSPPNIFPFVKGKCCNCGIKDRWLLHNVVVRGVDRGICTSCVLRLHPSSFCPCCFKFYENPLSTTSTTHCFISCIKCSSLSHIHCLSSPPPPSYLCPPCSQPNFTFFPVPESPISKASRFNWDK >RHN43952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:127966:130494:1 gene:gene37991 transcript:rna37991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MEKMNFVKKNGEVRLPPGFRFHPTDEELVVQYLKRKVFSFPLPASIIPEVDLCKSDPWDLPGDMEQERYFFSTKEAKYPNGNRSNRATNSGYWKATGLDKQIMNSKTHEVAGMKKTLVFYRGKPPHGSRTDWIMHEYRLTSSHSNPPLNENWVLCRIFLKRRSGAKNGEERVVKGLKPSSGSNFGDEVKKNSASSSNSSSKVVVFYDFLAEKKNNNTDASSTSITISPASSGITNELDEQENEDSSKSLPSSFGNA >RHN38943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2944562:2946973:-1 gene:gene44920 transcript:rna44920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MVAFTFCPVTIGSKVELTFTDGLVLTSPNGVRLWNNEQLSSDVFSSVLNDTGNFVLGGRAFNTLWQTFDFPCDTLLPSQVILKDGKLSSRLKESNFSKGRFELVLKNDSNLVIHSIILPSGNANEENYYESGTVESNTSSPGAQLVFDKSGDLYLLRENSEKFYISGEDGVQDEESKVSPTNFYLRATLNFDGVFSPFKHPKNSTDSGNWTTVWSHPKNICQYIVSSGSGVCGYNTICTLGDDKRPTCRCPKRYSLLDPDDPHGSCKPDFIQGCAEDEQSKTKDLYEFQVLNDTDWPLSDAVLLTRFTDEQCRKASMEDCMCSVAIWRLVDASLGGAKALLKVRKEVNTNNNNNNNNNNNNNNNNKNNNNNNNNNNNNNNNNNRQTLVLVGSVLFGSSAILNVVLIVTICVSTSIFQHKKKLRRVIKGDTCVEIKSNLCCFTYEELEEATNGFDKELGRGAFGIVYEGVINNDTDSKTRVAVQKLNSFLLDQAHREFRNELNSIGLTHHKNLVRLLGFCECRSERLLVYEYMSNGTLASFLFNADDEKQKPSWKLRLELAIGIARGLVYLHEECITRIIHCDIKPQNILLDDYFNARISDFGLAKLLNMNQSKTNTGIRGTKGYVALEWFKNMPITAKVDVYSYGVVLLEIISCRKCVEEMDEEDEDKAILTDWAYDCYKYGALGALVEGDNEALEDKENLEKLVKIAIWCVQEDACLRSTMRNVIHMLEGTVEVQAPLNPSPFSIQYSLN >RHN76670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:286416:287585:1 gene:gene33 transcript:rna33 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKRESAIQQASSIAMMPVDWSELPNDLLNLISQLFDNKIDLNSFRSICSNWRSASIPNQHRNIFTLQFPLFRPPFNIDSINNISEFRNLSKHGFFLIKPPQVGRHRRPWLFRITQNSTGKIQFHDPLLRRGLHSSSDPVFFDFNKFSLLHLATNFICIDEQMKLPISLTDMSFRNPTKAIAVTSHGNKPIILGTFACSRGCPVVFKCGDENWKAIPNMSAYFGDICVFKGRPYAVDNTGRTVTVELGPEDSTVQLVAQSLVGGGDIKFLVESDSDLLLADVYQRRFDAPDEHIRINVFKLNEKEKKWVKLANIGDRVLFLGWLCSFSVSASDLCVRKRNCVIIMDNIFNRYCKTSFLDLDDGRVLDLSSYPKYSKLFRTPRIKKLN >RHN45118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11964674:11967658:-1 gene:gene39327 transcript:rna39327 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSASSSSSNEQQGEAPAYEIKGRTMSLEEWELNIQSESPVDFDSLAAHDCDIGKYYEKQGLGRYFNLLNGPTYQTLVRHFWVRASIYDREAAKIEEDEKVLLNPELKGKSRAEMGLETFSKTQIRSSIMGIRVWITEDTIAFVIRRPAEGEHEAGISKPKDSPWNAIVNRTLYNKVKDFAYSDMNTKTKVMLKIQNENLLPKGGGGDQPSLEHKILLHFVIKGVEANIPRYIFRHMVHQLRESQLNKRSWVPYGRLLSEIFHQGGIIEMLKEAQIFTDEQLGTVRGKIINGETLRAMHLIKAKDVKKSPTDLKPSDAKSDLIPNFPPICKQDPLEVQRAYIMDFYKSYNQKISLKDVPDQMYGGALPVAKGRKSKTKPITKEEYLAGDASEKGAQKHKKAKIVKPAMSTIQEEEEDSDDIPLIRKRTRSTQETAEQPASEQTGSEQAASDQAASEKPSSPKKKREAALQTIKRKRSNLTKNLKTVEGRREEMLKELEENWDEDSSPKKAKRTATSEPIVMPSFEMTEEMRQYTREVSASKIAEKKRMKMLYEKERDEHLKAAGYVPTPDIAALASELETVQYGATLLSQALKNKQASGATSSEPVSKAPEAVHPEAQSSGNSSKPDIYTQIPSLPSSPSSSSTESDDQPLSQHIDKLLKTKPTKLTEFGTLDYESTQIEFSKNRIKLCEKFNLPTTHPLYPDIPEPVSIHQPEPTQTNSPNNQSPQKASEVASDATTSETPQHQESSTLHNLEKHLGGEMQPTPTKASKTVSEKTVLETQTETQTIPEQTVQEQTASEQVAPDQTTSDQHIPSDQTTEQQQPDSPTIIDLTSDQPSTSNTTQTEPSPIPDHILESEYIEEQLIRLSDEIQALILRRTVPAPPIHYYDQWMDLQKSFDELLDQLRTKCVSSHSAMLKKLLDDMHEAAKEKELNFVPLLDITPFYPEEEYITRAARIQAGYKRRMREKDELLQKKDDQIKYLLEQLYKQAQP >RHN62960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47060120:47075581:1 gene:gene25601 transcript:rna25601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MAFTISYFFLAYLLFPFQCSSSLSSLNKGSSLSVEKYAEDVIVSSNGMFSAGFFQVGENAFSFGIWFTELQPHTHNPATIVWIANRDNPVNERSKSRWQRLIGPKLHMAVYK >RHN64416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58874235:58877543:1 gene:gene27232 transcript:rna27232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 2-beta-dioxygenase MVLASPKPMRNETILPNDLIPIVDLKSERSEVIQQIVKASEEYGFFKVINHGISDGTIEKMEEAGFSFFAKPMSQKKQAAPAYGCKNIGFNGDIGEVEYLLLNANTSSIAQISKTISNDDPHSNFRCRVSEYTEAVKEVACEILELMAEGLGVPDTKVFSSLIKDIDSDSVLRLNHYPPTLNKDKSHSNNVGFGEHSDPQILTILRSNDVSGLQISLQHGLWIPVNPDPEALCINIGDVLEVMTNGRFVSVRHRAMTNSYKSRMSMAYFGAPPLNASIVAPPVLVTPTRPSLFRPFTWADYKKATYSLRLGDTRIQLFRANIA >RHN42325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37400575:37401602:-1 gene:gene48754 transcript:rna48754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MEHASLMLPSLSLIVPSVQEIVKEPLTRVPERYIRPHHDRPIISTTTPLLELPVIDLSKLLSQDLNLKEPELDKLHSACKEWGFFKLINHGVSTSLMENVKMGVKEFYNLPIEEKRKFSQKEGDVEGYGQAFVMSEEQKLDWADMLFMVTLPSHMRKPHLFPKLPLPFRF >RHN70986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55637670:55639905:1 gene:gene19626 transcript:rna19626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor BES/BZR family MASDGATSAANSSRRKPSWRERENNRRRERRRRAIAAKIYAGLRSQGNYNLPKHCDNNEVLKALCAEAGWTVEEDGTTYRRGSRAETPGDGAGNFNRNNPFSSQNLSPLSSSFPSPIPSYQVSPSSSSFPSPSRMDANNNASNYIPYARTMFPNMSLPPLRISNSAPVTPPVSSPTSRNPKPMIPTWESIAKASGTSFNHPFFAASAPTSPSHRNLYTPPTIPECDESDTSTVESGQWLNFQAFAASAKSVSPTLNFMKPVINEQHNMLPHNRMQEMRISEPEFGVQVKPWVGERIHEVGLDDLELTLGNGKAPS >RHN43795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48529809:48533380:1 gene:gene50421 transcript:rna50421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing protein MESKGLRFMTHQSIFTTVGSGDLDGLKKLLEKLNKEEDGDISNGSSSSSMSVSDVMSLQNDHGETPLYIAAHNNLKEVFTFLIKLCDFEVLKIRSKSDMNAFHVAAKRGHLDIVREILSAWPAVCKLCDSTNTSPLYAAAVQDHLDVVNAILDVDVSSMFIVRKNGKTALHNAVRYGVDRIVKALIVRDPGIVCIKDKKGQTALHMAVKGQSTSVVEEILQADPTILNERDKKGNTALHMATRKGRSQIVSYLLSYAAVDVNAINKQQETALDLADKLPYGSSALEIQEALSEYGAKYARHVGKVDEAMELKRTVSDIKHEVQSQLIQNEKTRRRVSGIAKELKKLHREAVQNTINSVTVVAVLFASIAFLAIFNLPGQYIMKGSHIGESNIADHVGFQIFCLLNSTSLFISLAVVVVQITLVAWDTRAQKQIVSVVNKLMWAACACTCGAFLAIAFEVVGKKKWMAITITGLGIPILVGTLASMCYFVFRQHFGIFQSDSQRRIKRASGSKSFSWSYSANISDIDEYTSDIEKIYAL >RHN66627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15310917:15313840:-1 gene:gene14661 transcript:rna14661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase C MHILFVKMMTNLWFASVPASTQPNRLYVHSATSGGATSNVASLLAKGYPQQTIFDSLHDNGFDFGIYYQNIPATLFYRNLRKLKYIPKFHLYDLTFKHHAKEGKLPNYVVVEQRYTDTKLFPANDDHPSHDVYQGQMFVKEVYETLRASPQWNETLFLITYDEHGGFFDHVATPVRGVPSPDGIVGPEPFNFTFNRLGVRVPTIAISPWIEKGTVVHGPNGSPTPTSEYEHSSIAATVKKIFNLPKFLTKRDEWAGTFEGIVQIRTEPRTDCPEQLPTPIKLRKGEANEDAKLSEFQQELIQLAAVLKGDNIFTSYPNTIGKDMSVKQGKYYMDEAVKRFFEAGRYAKKMGVSDENIVKMKPSLTTRSSKSSNTKP >RHN75549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43199906:43207501:-1 gene:gene11773 transcript:rna11773 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLLNGVHQYGAAACKETINLFLISLSRRTIEYYMLLLFASWCCFFKVVMDNCGSIYQISLMAFNKAHQ >RHN65146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64512177:64519097:1 gene:gene28050 transcript:rna28050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MSECMKMNDPSRLHLKKELTQIRKAARVLRDPGTTSSWKSTSRSTANPFRNPNSLPTSSKHKHKDNNNNNNNTVFLYNWNNYNKSFNKQQDQEVEEEEDNNHSSSSLLASLEDSLSDANNADDAGFDSKSDVGTRSSFFRNSNPRTTIPPPLHNKKKQKQKQKQNNNNNNLNHHNKDDSGDDYSNSISGASSLLRKLNHNNNFLTRTSLKDDSSYSYSTPALSTTSFNRYTHRHRRYPSTVGSYDGTTTSVNDGDDEDIDDDRLDLPGRQGCGIPCYWSKRTTPKHSGSCCSPSFSDTLRRKGSSILCGTQSIYSRHYRRSSFSALQPHKRRMSSRNARCARGGGIVPLLTSTSSGDVRHCSSSIGIGRSRTDDDELSTNFGELDLEGLSRLDGRRWSSSCRSQEGLEIVPLNEDEEGSSSENSRSFSHKYKPLFFGELIGQTIVVHSLVNAVSRGRIAPVYLFQGPRGTGKTSTARIFAAALNCVASDEGKPCGYCGECADFVSGKSCDLVEVDGTNKKGIDKARYLLKRLAAGSSSAASSRYTVFVIDECHLLTSKTWLGFLKFLEAPPQRVVFIFITSDLDNVPRTIQSRCQKYLFNKIKDGDIVTRLRKISTQENLDVETDALDLIALNADGSLRDAETMLEQLSLLGKRITTSLVNELVGVVSDEKLLELLELAMSSDTAETVKRSRELMDSGVDPMVLMSQLAGLIMDIIAGSYAVVDTKPDGSFFGGRSLNETELERLKNALKLLSEAEKQLRTSSERSTWFTATLLQLGSVPSSDVTQSSSSRRQSCKTTEDDPSSASRDITSFKHKLDLQYMPQKSTPPASYQKSVNGSSRLQIDGFSLNSKPSNSPVIDDGSTVVSSDDLIAGNTMFRCIDSGKLCDIWSCCIERCHSKTLRQLLHDHGKLVSISEVQGVLVAYVAFGDGDIKLRVERFLSSITNSLEIVLRRIVEVRIILLPDGEGENQVNPSGLKQATSALASKDEQRRVHMNGAIAYSSLPQSAMGSSDIPTEGNGAKERRRDNPVQRIESIIREQRLETAWLQAVEKGSPGSLSRLRPEKNQVLPQDGVYGINPTESMDSTRFSSHQHREDDTNSDLKILALKNGRVLPKDQIGKRADSYPMSPSILHDSSLAILSGKDNLDCESGSGAGGCGFLCWNNKSKTRRAVKVKGTPVGGARKVGRFSLFGECGMPKKKESKR >RHN39049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3717326:3719075:-1 gene:gene45041 transcript:rna45041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative defensin, plant MKPKICDWRSKTWSGICINTGNCKRQCINVEHATFGACHRQGFGFACFCYKNFCTMVADCVVRVGGWVDVRKTIVEGEKEGEKLADGVYVVVVWLW >RHN48934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51251274:51254267:1 gene:gene43707 transcript:rna43707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylenetetrahydrofolate dehydrogenase (NADP(+)), Methenyltetrahydrofolate cyclohydrolase MATVIDGKAVAQTIRSEITDEVRLLSEKYGKVPGLAVVIVGNRQDSESYVRTKRKACADLGIKNFDIDLPEQISEAEVIKHVHQLNADPNVHGILVQLPLPKHINEEKVLTEISLEKDVDGFHPLNIGKLAMKGRDPLFVPCTPKACLELLSRSGVSIKGKRAVVVGRSNIVGLPVSLLLLKADATVTIAHSHTSQPEDIIREADIVIAAAGQAKMIKGSWIKPGAAVIDVGTNSVDDPTRKAGYRLVGDVDFEEASKVAGWITPVPGGVGPMTVTMLLKNTLESAKRSIEQN >RHN51765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24681736:24689433:-1 gene:gene36283 transcript:rna36283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding, P-loop containing nucleoside triphosphate hydrolase MNFLRRLFGGKKHRNPPPEPPTNNKKDKRTWSFTKNNTRQKTNKSSDPSTSSFSDNYDANKHAIAVAAATAAVAEAALAAAHAAAEVVRLTSNTTTGNSSGAPRTPTTVRRRLSDEIDAAVKIQSAFRGYLARRALRALKALVKLQALVRGHIVRKQTADMLRRMQTLVRLQSRARASRVHISDNMHSFKSSLSHYPVPDDYEHPLRVYSTKFDGSSILKRCSSNANFRDMNLERARFGSNWLDSWMEENTWSQTGDTSSKNVNDDEKSDKILEVDTWKPHLKSQHSTSTSFQHHYSSSDYNNENLMVQDSPSKRSSKAYNPSLSSMKHQKGKEEEVASLRTAESSPQAFSASSRLESGSRRGPFTPTKSESSWGFFNAHSGYPSYMANTQSSRAKVRSQSAPRQRLEFERYGSSNRSIQGLWESGSNSEIDSDFRSKVYPTTSSLNRIGSSTNLR >RHN45763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25366074:25374271:1 gene:gene40158 transcript:rna40158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MHDLLRDMGRVIVRKKSREGGKEPSRLWRYKDVHYVLSKDTKTLDVKGLTLKMSRMDATTYLETKAFEKMNKLKLLQLSGVQLNGDYKYLSKDLILLCWHGFPLKCTPADFHQECIVAVDLKYSNLERVWRKSQFMKELKFLNLSHSHNLRQTPNFSNLPNLEKLILKDCPSLSSVSHSIGLLKKILLINLKDCTGLCELPRSIYKLESVKALILSGCTKIDKLEEDIEQMTSLTTLVADKTAVTRVPFAVVRSKSIGFISLCGFEGLARNVFPSIIQSWMSPTNDILSLAKTFAGTPALELLDEQNDSFYGLPSVLKDLQNLQRLWLECESEAQLNQAVASILDNLHAKSCEELEAMQNTAQSSNFVTSASTHCCSQVRGSSSQNSLTSLLVQIGMNCHVVNTLKENIFQKIPPNGSGLLPGDNYPNWLAFNDNGSSVTFEVPQVDGRSLKTIMCVVYSSSPGDITSEGLKVLLVINCTKNTIQLYKRDALLASFDEEMWERIVSNTEPGDIVKVMVVYENKFIVKKTTVYLVYNEPNDKKIKHCLESDNKDIGSSGDGNIFGRLFFRLPSLVRTVLISRPLWLCFPVILFWWTRILTNEED >RHN38488.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:46507:46743:1 gene:gene50593 transcript:rna50593 gene_biotype:protein_coding transcript_biotype:protein_coding MASGATCVQRLDSSRDFAIHTKYRISLRSSSMQEPRYPLPRVILYNVSKHNTHENRLRCHAGVLRAKFKFLDAFSAGV >RHN73372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15749262:15755735:-1 gene:gene9174 transcript:rna9174 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEILLQGLCGVQRERLRIHELCLKNGPHLGPVSSEVRLLCDLEQTEPSWTVRHVGGAMRGAGADQISVLVRTMVESKVSKNVLRMFYTLGYKLDHELLRVGFSFKFNRGAQITVRVSSVNKMLKLHATDEAVPVTPGIQMVEVTAPASDENYAEVAAAVQSFCEYLAPLLHLSKPGVSTGVVPTAAAAAASLMSDGGGTPL >RHN40926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24466625:24468254:-1 gene:gene47172 transcript:rna47172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MEARSIERKRTLMMEKMRRNNMVEEEMENEVEEEEGDVSLTEEEKKKGVGGGRRGGGGGVSPPCCQAERCGADLTDAKRYHRRHKVCEFHSKAPVVVVAGMRQRFCQQCSRFHDLVEFDESKRSCRRRLAGHNERRRKTNPETANEGSSHSKGQHQPKETQCRIQMNLPGSSGYKSFNIR >RHN79513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29768008:29769273:-1 gene:gene3313 transcript:rna3313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casparian strip membrane protein MSTTIDMAESSNAHVNEKAALIGAPARPGGWKKGIAIMDFVLRLGAIAASLAATITMATSDQILPFFTQFLQFEASYDSFSTFQFFVIAMAFVGGYLVLSLPFSIVTIIRPHAPGPRLFLIILDTVFLTLATASGASAAAMVSLAHNGNQDSNWLAICNQFGDFCAQTSGAVVASFITVVVLMVLVVMSALALGKH >RHN77784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9407190:9409084:1 gene:gene1275 transcript:rna1275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MICSHITFSLMIMITITLVLIPLLGNAQKIPTIRCPFNLSCNHHNKKILEIPSYPVPIKLLINYINYTSQVLEASDPENCLPRLLLLQSNFTSSIFPFRIIDGSELPPLYNEFTNISFFDCSSLGQRYLKNDGSMDRDEQQDLISCPIYMAASEDDMVDLNLVFCTKLSQRVSPLILPAEYSNGYTIQQTSISLSWSETNLDNGCFKCKNKSKKIILSSAGAMIGSTVLVLVFGFIFQIYRYFKMKSEDHTRIENFLKDYSALKPTRFSYADLKRITNKFKDKIGEGAHGAVYKGKLSNQILVAVKILNNTEEDGKEFINEVGTMGKIHHLNVVRLLGFCADGFRRALVYDFFSNGSLQKFISPANSKDDFLGWDKLQKIALGIANGIEYLHQGCDQRILHFDINPHNVLLDDNFTPKITDFGLAKICSKNQSVVSMTAAKGTLGYMAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGRKNTKTISGEENIQVEYPDWIHNLLEGEIQIPIDEDGDFRIPKKLATVGLWCIQWHPLHRPTMKSVMQMLQGEGDKLKVPTNPFGPTTTTNKNVNIVAERMNLELEVIEELE >RHN52709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37969694:37971018:-1 gene:gene37408 transcript:rna37408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MELRGIQRDIINLSILINSFYHLCQLNYAFSVLAKILKIGYQPDTVTLTTLLKGLCINNEVKKALHFHDDVIAKGFRLDNVSYGTLINGLCKSGETKAALSCLERLKGWWWLSQISLFDAYDLYCEMVVKRIFPDVVTYNTLIYGFCIVGQLEDAIRLFNEMRLKNIVPNVCSFNILIDGLCKEGDVKGGKSVLAVMIKQSVKPDVVTCSSLIDGYFLVKKANKARYIFNAMAQNGVTIDLRSYNIMINGLSKAKMVDEAVILFKEMQFKSMTPDTITYSTLIDGLGKLGRISYVWDLIDEMRATGRSANVITYSSLLNVLCKSGHFDTAIRIFTKIKDKGFEPNMVTYNILVDGLCKNGRLKDAQEIFHDLLIKGYRLDVRLYTVMINGLCREALFDEAYGCTPNAVTYEIIIRALFKNDKNKLQ >RHN48146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45394911:45401035:1 gene:gene42832 transcript:rna42832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MEVVGMKKENMGFEETELRLGIGFLGNNGSATATEGVVRKRGFSETETDDDTTTMDLMLNLSSKEATAEVDPSDITTKTLQKEKTLLPADPAKPPAKAQVVGWPPVRSYRKNMLAMQKSESEKNSSSNFNAITFVKVSMDGAPYLRKVDLKMYTSYSQLSDSLGKMFSSFTIGNCESQGMKDFMNESKLMDLLNNSDYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGKEAIGIAPRAMEKCKNRS >RHN43709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47875143:47875696:1 gene:gene50326 transcript:rna50326 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKNPVGAMLHSVFVWGEKKKKKMECKPFGGHISVASFSALRPDRQACLHFMQNESSPRLLVLFESMIYRRCKPAIIGSVLIVLKLVMYNFLNMFEA >RHN59589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12315091:12315550:-1 gene:gene21639 transcript:rna21639 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEPLHDSLGHLLSGFRYRATRHLCSRFRCVILSVRGGVKSPTSVRSWSDNLFISGGNPHLISRFCGVVLSPTTISNSIRLFVFYIEMNNLGYFIWSKYFIFIYMC >RHN66789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18771752:18772555:1 gene:gene14872 transcript:rna14872 gene_biotype:protein_coding transcript_biotype:protein_coding MNMYRYGPQAVQNSQTMIPPSNSSGPMSGTANINDAVSGKMG >RHN46582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32945055:32946530:1 gene:gene41084 transcript:rna41084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MESQLHVTFLPFPTPGHMIPMIDTARLFAKHGVNVTIITTHANASTFQKAIDSDFNSGYSIKTHLIQFPSAQVCLPDGVENLKDGTSSEILGKIAQGIMMLQDQIEILFQDLQPDCIITDMTYPWTVESAAKLNIPRIYFYSSSYFSNCASYFVRKYRPHDNLVSDTQKFTVPCLPHTIEMTPLQLADWIRVKTSATGAFGAMFESEKRSFGTLYNSFHELESDYEKLGKTTIGIKSWSIGPVSAWINKDDDKGYTEKNIGKDQELVNWLNSKENESVLYVSFGSLTRLSHEQIAEIAHGLENSGHNFIWVVREKDKDDGEEGFLIDFEKRMKESKKGYIIWNWAPQLLILDHPATGGIVTHCGWNSILESLNSGLPMITWPIFAEQFYNEKLLVDVLKIGVAVGSKVNQFWLSIGEEVVVRREEIVKAVEILMGNGQVSKEMRMRAKKLGDAAKKTIEEGGDSYNNLIQLIDELKSLKIARELEKTRLDN >RHN82508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54345717:54348785:-1 gene:gene6679 transcript:rna6679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative replication factor A protein MDTSNPAAFVNAQVLPDFIGKKVRAVVQVNHSDGATITGKSTDESQIIVKGLSSQVPVMNYVEVIGIAESNNSIRAEILTDFGATFDVNSYNQLCQLANGEFRSLFL >RHN79740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31740352:31761307:1 gene:gene3572 transcript:rna3572 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQWPLAGKEVPIIGSDAVRWTDLTVPSSSIFTADGGAASITDDRASCSVIGDPPTYLIWRIHKAQPQTLELLELTASKEFPRVGLRFTFPDALYPFAFICKNEITGNSRFPYLLYVLTVSGVAYLLRIRNVSAYGSCSILPEDELIELNVRDYIPDNAAITAVTATARCLVIGTSNGPVFSFQLGVLDPSAHGFVHELRDEAGIGRLWGLISRGNFVGTVQDLVISEFCGKKFVFALHLDGTLRIWDLASHSKVFSHNMGVMAMSGASFLRLWVGQFDPNSSIIPLAILCRHTSDEKLEMISLHSILYNFGDRIVLSMEPSVQNISLEEGRCLDVKLMSDKIWILKDNELVSHLLATNIDKVEAFSYALQEEFVADQLFQSSEHLADEILRITHSIFSSSKDDILPFVSSIFLRRLMLPGVHHNAALHATLAEYNRHLGESELQTLTADGLKKEILSLIEHEVGSEKVSIVHCWKCFFARYFHNWCKNNAVYGLLVDSSTVAVGLIRKKSVSLLRSLEEIELIVEGSSDEVSELTGIMDLVNNDIECEILTELLRCVMNFSQQLGKTASSIFYESLFTAPTISSEDIVHCIVKILETGYCIPGPVLQSSASGDHTIFLQKELTDHRSLRKLSVDMFLSLQGLYKKASTWNKILNVVEGLLKFLVPQKRMLKFDTEMSSNINSSVMVHSSYQIAKVMFESAWDFLLFLSYLVDISGQVHLSPDDINKIQLELVPMLQEIIFEWLVIIYFAITPAAPAVTEDFNSKLSSLQIDNNMGKHIWNEKFARCDLTLAFIFLLNVGNSSLDHSHFSSECFSNMQSSIHRMRDFISWIIWGEDGGSSTFLSRSIDLAFILFKHDQYCAAEQLLMMAEAHLLKEKTSQSIQDADGGWCIRHHLLGCCLLAQVQCGLHATEKDKKISDAIRCFFRSASGNGASEALQSLSVDVGIPHLGFSGCTTIAVWKLQYYQWAMQLFERYNISEGACQFALAALEQVDEALHMKDENCTENSANESVTTIKGRLWANVFIFALDLGRYYDAYCAIISNPDEESKYICLRRFIIVLYEQGSIKILCSNKLPLIGLVEKVEQELAWKAERSDISAKPNLYKLLYAFQLHQHNWRRAANYMYMYSARLKTEAPLKDNQGSSLMLQERLNALSAAVNALHLVHPSYAWIDSLTDRNSLTSECYPSKKAKRTPDEYSENDAEPQKWQSTVDIEKLENEFVLTSAEYTLSLINVKWTFSGKHGALSDLADLLVQNNLYDMAFTILLRFFKGSGLKRELERVLSEMAIKCCLDKVESTWVEEHGHLLTSSKLEMVVHGSPVTVPTAPQTDRNSRWANLKLYLEKYKDFHGRLPVIVAGTLLRADPKIELPLWLVQLFKEGQKEKMWGMTGRESNPASLFQLFVNYGRYAEATYLLLEYIESFASMRPADVIKRKRPFALWFPYTTIEQLLHQLEELIRMGHMVDHCDKLKKMLHGSLLNHLKMLKVDSEDTISATS >RHN45774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25469886:25475382:1 gene:gene40171 transcript:rna40171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MGGGSIVSSNGKQHEDSCSDDDFPPIARDTLHLQNKDDRENEKNGLDFGEVNEETAQPSNGVGFVVGERKRLSIYQEILQSYDELKIDSISLKQAKEKILRYRPGTWIEKARGLKLRDYDVPETTSLILVGPSGSGKSSLINRISKVFDDDKFAPTRAQVSYNSLRGDGTYFLREHMIPRDSNSICLYDTRSLSNKSHENNEMLKNWMTEGVHHGELVIRSKDNQTLTESLKCKGNKKGFFSSKSRKVNFVIYVLNGLSVLNMMENADGAFKARYIEEIVSTFNFNNPFLSFKDDKPVLVLTHGDLLSLSDRARVRVYLGEVLGIPPTKQIFDIPECDDLVTESAIIGMLRYTLEHADNNIPQKTNVMNKVHKISLSLFMILMMLAIGFAIGLKQNNSITYVTQQQAPQPHTCREVQETLTNLEVCKKELETERLKLEVPKMEPQIVLPKQEVPEEESKEGSLLWFFLLNATLPICLVLCGCCVRSY >RHN66556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13764785:13765025:-1 gene:gene14563 transcript:rna14563 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARARRVNDALVHFIIKSIEGSAQVEEGVAQVEEKEPKFIIIIQECDSGTIKA >RHN61489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35841448:35843399:-1 gene:gene23960 transcript:rna23960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative senescence/spartin-associated MQTMSQKTSFYPQVESTNPDANSPFSHSSSSSTMYPSITTNNLSSEYQNTTQTQASEHVLVTVPNVILHLIEKDSSVHLASGDLTIVSLKEEEKVVAVLARIGDQIQWPLAKDVSTVKLDESHYFFTLKLPQSDDEVLNYGLTVAAKGSKKVLKKLDEVLEKYSLLSVEKVKGVKGWEVLEKKEDVEENSAAAYWTTLAPNVEDYSGRFGRWIAAGSGQMIRGILWCGDVTVDRLKWGDDFMKKRLQPGSSQSQISPLALNSMKSVKKLTKMSEKVALGVLSGAVKVSGFLTSSVVNSKPGKKFFSFLPGEVLLASLDGFNRVCDAVEVAGRNVMSTSSVVTTGLVSHKYGEKAAEITNEGFDAAGHAIGTAWAVFKLRKALNPKSVIKPTTLAKAAVRASSAQLKK >RHN45988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27562927:27563420:-1 gene:gene40420 transcript:rna40420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MENGSLAENLSSKTNTLDWSKRYDIALGTARVLAYLHEECMEWILHCDIKPQNILLDSNFQPKLADFGLSKLKSRNNLNNNSEFSMIRGTRGYMAPEWISNLPITSKVDVYSYGVVYIDTSKFPFLFIFVFISL >RHN45695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24566842:24571677:1 gene:gene40078 transcript:rna40078 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIDLGEYRDEYAWKTASYMPLLIRDDGDVGFMFRNMVEDNILYMYVRSICNCVECK >RHN59681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12639394:12640460:1 gene:gene21765 transcript:rna21765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MKFRFLCLFFLHIMDLPETLHDFLLVFLGSGIILGSLGVVLLTNPIFSAFSLGLVLVCISLLYILSNSHFVAASQLLIYVGAINILIIFAVMFMNSSEYYQDFNLWTVGDGITLIVCTSIFVSLITIISDTSWYGIIWTTRPNQIIEQDLISTSQQIGIHLSTDFFLPFELISIILLVALIGAIVVARHWSMMLEHVLVLSAYLFSIGIYGLITSRNMVRALMCLELILNAVNINLVTFSDFFDNRQLKGNIFSIFVIAIAAAEAAIGLAIVSAIARNRKSTRINQSNLLNK >RHN81779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48822867:48830120:1 gene:gene5867 transcript:rna5867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MPTWWGKSSSKETKKKAGKESIIDTLHRKFKFPSEGKRSTISGGSRRRSNDTISEKGDRSPSESRSPSPSKVARCQSFAERPHAQPLPLPDLHPSSLGRVDSEISISAKSRLEKPSKPSLFLPLPKPSCIRCGPTPADLDGDMVNASVFSDCSADSDEPADSRNRSPLATDSETGTRTAAGSPSSLVLKDQSSAVSQPNLREVKKTANILSNHTPSTSPKRKPLRHHVPNLQVPPHGVFYSGPDSSLSSPSRSPLRAFGTDQVLNSAFWAGKPYPEINFVGSGHCSSPGSGHNSGHNSMGGDMSGPLFWQPSRGSPEYSPIPSPRMTSPGPSSRIQSGAVTPIHPRAGGTPTESQTGRADDGKQQSHRLPLPPLTVTNTSPFSHSNSAATSPSMPRSPARADSPMSSGSRWKKGKLLGRGTFGHVYIGFNSQSGEMCAMKEVTLFSDDAKSLESAKQLMQEVHLLSRLRHPNIVQYYGSETVDDKLYIYLEYVSGGSIHKLLQEYGQFGELAIRSYTQQILSGLAYLHAKNTLHRDIKGANILVDPNGRVKVADFGMAKHITGQYCPLSFKGSPYWMAPEVIKNSKECSLGVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPTIPDHLSNEGKDFVRKCLQRNPRDRPSASELLDHPFVKGAAPLERPIMVPEASDPITGITHGTKALGIGQGRNLSALDSDKLLVHSSRVLKNNPHESEIHIQRNISCPVSPIGSPLLRSRSPQQRSGRLSPSPISSPRTASGASTPLTGGSGAIPFSNHLKQSVYFQECLGSMPKSPNGVYINGSSHHDSNIDIFQRMQAGSHIKSELVSSDNDALGKQFVRSPHAEPYDFQSVLADRVGRQLLGDHVKINPSFDPSPSSSMLNRTNGL >RHN52772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38634342:38634775:1 gene:gene37477 transcript:rna37477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MANNFKVKLGQGGYVTVYEGKLVNDCPVAVKILKKSKGNGDEFMNEVSSITKTSHVNVFALLGFCFEGRKKALIYELMSNGSLEKFIYKKGPETFHL >RHN40558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17562627:17565475:1 gene:gene46720 transcript:rna46720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MDLLQSSTLTYLVIIFTFSIPLLLKFLIPTNKTNKINYSKLPPGPSPLPIIGNLLKLGNKPHHSLANLSNIHGPIMTLKLGQVTTIVISSADIAKEVLQTHDNILSNRTVPDALSVLNHDQYSLSFMRVSPRWRDLRKICNNQLFANKTLDSSQTLRQRKLQDLLDDIKKCSEIEEAVDIGRVAFMTTTNLLSNTFFSADFVHSVEEAGEYKEIVVSILKEVGAPNLSDFFPMLKIFDLQGIRRRSVISVKKVLSIFRRFVGERLKMREGTGSIGNGDVLDALLNISLDDGKIEMDKDEIEHLLMNIFVAGTDTITYTLEWAMAELMQNPEIMSKVQKELEQVVGKGIPIQETDIAKLPYMQAVIKETLRLHPSVPLLLPRKAETDVEVGDYIIPKDAQVLINAWVIGRDPNKWDNANVFVPERFLDSEVDVKGHHFELIPFGSGRRICPGLPLAIRILPMMLGSLVNCFDWKLEDGLNIDDLNKEDEYGITLEKSQPLRIVPIKLTKQ >RHN45287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14373399:14373848:1 gene:gene39532 transcript:rna39532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGTQPPMTRCSYRQSNQPPSVILPDDFIVKILSWRRVKPLMKMKCVSKAWNTLISDSIFVKMHLFRSAQKSHYYLVSSKKSKQDGDYSFVPFVVCDLLKNCSVTLLSDPYYRLIDKGCRHVVVSCNGLAACSIMMITMNILPPYMEPRH >RHN62536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44194213:44202236:1 gene:gene25126 transcript:rna25126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cadmium-transporting ATPase MDKFFMLGHIGRAAESILRIHKSRYLGVSRNAGLLSVAPNINRCRTYMQYKFPNEGCSSIMWRGARENFHKGCSFWNFTAFSAKNITAHKSRIAWKLLRKIYPSNGYSGSTSVHMIAQAVSLALARSCFLVPSIFAFACGELALAQQNQSDAGSYPSPNALCMSAQDGYSYMFAFAFVVVEGLVLLGRAIYLAILFSPSILMAPLADYFGPEFRKMWLRVVHRTLERAGPAFIKWGQWAATRPDLFPQDICTKLAELHSKAPEHSFSYTKKTIESAFGRKISEIFENFEELPVASGSIAQVHRASLKYRYPGQQAKPMLVAVKVRHPGVGESIRRDFAIINFVAKSSKFIPALNWLRLDESVQQFAVFMMSQVDLAREAAHLNRFIYNFRQWRDVSFPKPVYPLVHPAVLVETYENGESVSHYVDELQGHEGIKSALAHIGSNALLKMLLVDNFIHADMHPGNILVRVPQSKARKPFFKSKPHVIFLDVGMTAELSGSDRVNLVEFFKAVARRDGRTAAECTLRLSKQQNCPDPKAYIEEVEKAFTFWGTREGDAVHPAECMEQLLEKVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPGYNVMETLQTLLLRADWAKSFAYTIQSLMAP >RHN77972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10979876:10983183:-1 gene:gene1485 transcript:rna1485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bis(5'-nucleosyl)-tetraphosphatase (asymmetrical) MENLPQGYRPNVGVCLINSDDQIFVASRLNVPGAWQMPQGGIEDGEEPKSAAIRELREETGIVSAEIIAEVDKWLTYDFPPAVKAKVNRLWGGEWHGQAQKWFLMKLKKDDGEINLATGEADPEFAEWKWASPEEVIEQAVDYKRPTYEEVVTTFKPYFQGNAISAKCKSTKW >RHN59287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9120458:9124164:-1 gene:gene21292 transcript:rna21292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MALSVPRAMSKGVVMTVPALVLTVSVAAVFLFFLLSSLSSCSCPSQPSSTPANKVRNVDVGSSDSSRGNGFLATRKEDVDWVKSQIQANGLHMQENVLRKGINPRTRAQQLEDLRQFKGISHYEGPDSNNHTAFPCPGELLVEEHHSNYGEPWAGGRDVYEFLAQAIQLRPDSQVLEIGCGTLRVGLHFIRYLNPEHFHCLERDELSLMAAFRYELPAQGLLHKRPLIVKGEDMDFSKFGSGVTYDLIYASAVFLHMPDKLVWIGLERLTSKLKPYDGRIYVSHNIKFCSRLGGEECTKRLTSLGLEYLGKHTHDSILFNHYEIWFEFRRSKI >RHN79337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27699045:27702422:1 gene:gene3114 transcript:rna3114 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEGSVSINGRELETMLAFKSPTEDVENDLFGKPVSRKSRENYDDLSGSFSNLSEKGLYSPALREPLHHRDLAALRLQKVYKSFRTRRQLADCAVLAEQRWWRALDFAELKRSSISFFDIEKPETAVSRWSRARRRAAKVGKGLSKDRKARKLALQHWLEAIDPRHRYGHNLQFYYVQWLHCDSNQPFFYWLDIGEGREFCSERCSRLKLQQQCIKYLGPEERTDFEVVIENGRLFYKNGGSPVESKGDAKWIFVLSTSKTLYVGQKNKGTFQHSSFLAGGATLSAGRLVADDGVLKAVWPHSGHYLPTEENFQEFMKFLREHNVDLTNVKKNSIEDDETIKMNTEHHVRRKSLEAMLHLNIETESSSSTLAEELPEDRNEDSTADSNPDLPSRLSKRLGSKISRLEIPERGSIFGQVLAVHRPISKFYCPETDSDSESEGGYETAEESFIEEEDFMFSKSNLFVEDQDNEEEKSIPKEMIMKRIDSHKGMKSYQLAYHLSTKWTTGAGPRIGCMRDYPAELQFFILEQQNLSPRSRTTAPSPRIPPLSRFNPRVASSPIPSADEAPTI >RHN55647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20577111:20579498:-1 gene:gene30863 transcript:rna30863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MFTHLLILFFFFFIHLTFCDDSTNILLNCGSYGLSTYNGVSWIGDIGTPFFPQPYDTISSTTLLNSSTHKVAPKIPYSTARIVHHSSLTYSFPFSSNGLKFIRLYFLSTSYLPIEYSNSNSYFSVKSGPYTLVNNFNPLLASQEIESPYITKDFFVNIKEKKLNITFTPSPQIHKAFAFINGIEIFSVPNNLFSHASNYKVHVPYLGRKEPFIISHEYAFEKFYMVNMGHNYIENKNAFGSWLDDINYISGSQDGTVLVMKDARVNMNRSFLDSNDYNYSAPEELYWSARTMSSSNGDSNIRYNLTWSFRVDSGFKYLVRLHFCEISMSVTDINQRVFDVYINNQTAEEKLDLVALAGEPFTPLYRDYVVMVPIETMLISLHPNLESKPKYADAILNGVEIIKLSDSNYNLAASFQLKNEKLHNKKKFPIFFVVVASTLGSTLGLFITFFILRRKGWTRINWGTLNSTEKGEEKIQVKVISGNCYQFTLAEIISATNNFNDDLVIGEGGFGKVYKGIIMLDEETSVAIKRAKPSSRQGLKEFQNEINFHSFYHMNLVSLLGYCQESIELILVYEYMDQGPLCDHLYKKQKQPLPWNKRLEICVGAARGIHYLHTGRKNPVIHRDIKSSNILLDQNLVPKIADFGLSRMVNSIYHTHVSTQVKGTFGYLDPEYYKRRKVSEKSDVYSFGVVLFEVLSGRPAVNSMAVEEENEKVGLVEWAMSCYQSGTIDKLVDSCLEGKIGQECLMAFVEIGVKCLANKSSERPTMGEVVSNLEKILSLQKSLEGQDVNTDHIDK >RHN44745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8061882:8062928:1 gene:gene38905 transcript:rna38905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MASTMSSAPDLQNLKVHNVGDGKDMISDLHGDILHYILSLLPTKDVIRTSVLATKWRYLWTNLSVFDFEIGYVVSYDSKSKPVDYLFDQVDKLLHKSNCVERLCISTQRVFVGVGKVSTFISSVVKHKVQDLKISVEYLEGTYVLPNRFTASYALNKLHLEFPCTLHIPSGICFPGLKTLVVSNVIFGNEKSVERLFLGCPVLQELTLDNCYWMNTRDIHFAISTLRKLTIYSDHCYLDYNNHSDKCTITIDVENLLSLCCTSNPEVEFFLVKPTSIVDAYIHLGCFNPKETQVSGQRAIELLSGLSSVKSLKLSEDFLQVCFVFFFPRFSYFFNILLRFGQNCFCIG >RHN78922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20027997:20032048:-1 gene:gene2590 transcript:rna2590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiol oxidase METQILSRMYPCKECADHFKEVLRSNPVQAGSHAEFSQWLCHVHNVVNRSISKPIFPCERVDARWGKLDCEQNACEIIGSISIFGKIW >RHN63815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54214588:54218682:1 gene:gene26568 transcript:rna26568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative primosome PriB/single-strand DNA-binding protein MYNTRVLSSENSCVGFKRRMQKSIGMAKFSISRTSTLYRSLLSTPPPPLHHHRHIPLRFCTTTTSLSDYDDADSAAPSPSPEQTERTFFDRPLENGLDPGVYRAILVGKAGQKPLQKKLKSGTVVTLLSIGTGGIRNNRRPLDHENPREYANRCAVQWHRVTVYPERLGNLLMKTVLPGSTLYVEGNLETKVFSDPVTGLVRRVREVAVRRNGRVVFLGPGDDPKQQTQQNDLRAVGYY >RHN74184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29992199:29992535:-1 gene:gene10196 transcript:rna10196 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCRYYLQFGYNDSIASAFLKVETLLGYASETCLKCGHIVISITTLKT >RHN49044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52041428:52044543:-1 gene:gene43828 transcript:rna43828 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIKNGDLKVAIVEAIGNLWAPKASYEINPSIYLLMKACLLLSLNYVNKWGMLL >RHN39872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11250517:11252512:-1 gene:gene45952 transcript:rna45952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MFSKLHFKKQLPFPPSPFSFFIECFYFSSHQPHPWNSSSRLRASMPIPNQTHFNDPNTVHLFCSNALKISAKKGYLPEGKQLHAHLIKFGFCQVLSLQNQILSVYLKCQEAEDAKKLFEELPVRNVVSWNIMIRASVGRNDENESSGMRLCFSYFRRMLLEMMVPDHITFNGLICLCTQFNDIEMGVQLHCFTVKVGFDLDCFVGCALVGLYAKCGFVENARRVFCDVSCRDLVMWNVMVSCYVFNSLPEEAFRVFNSMRLDVVNGDEFTFSSLLSVISDDALEYYDFGKQVHSLVLRQSFDSDVLVASALINMYAKSENIIDARRVFDEMSIRNVVAWNTMIVGFGNHGDGNEVMKLVKEMLREGFLPDELTISSIISSCGYASAITETLQVHAFAVKLSCQDFLSVANSLISAYSKCGSITSAFKCFELTSQPDLVTWTSLIYAYAFHGLAEKSTEMFEKMLSYGIKPDRIAFLGVLSACAHCGLVTKGLHYFKLMTNAYQIVPDSEHYTCLVDLLGRYGLINEAFEILRSMPIEVDSDTLGAFIGSCKLHSNMELAKLAAEKLFLIEPEKSVNYAVMSNIFASQKHWYDVERIRKTMEDKRDAKVPGCSWIEIGNQIHSFVSNDKSHPNALEMYVTLNMLLRPMKEQNGIHL >RHN62547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44293777:44296997:1 gene:gene25138 transcript:rna25138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MDSAKAHLYLVTTQNHKHKLLLLHNTNNWLLNYLILLLLAFSFCSCSSDIISSDTRIRDGEILISKSKTFALGFFTPGKSTSRYVGIWYNNLPIQTVVWVANRDTPINDTSGILSIDRNGNLVLNHNLSNIPIWSTAVSLLQSQINSTNVIAQLSDIGNLVLMLKSSKTVIWESFDHPTDTLLPYLKVGFDRKTNQSWFLQSWKTDDDPGKGAFTLKFSSIGKPQLFMYNHDLPWWRGGHWNGELFVGIPNMKRDMTTFNVSLVEDDNYVALTYNMFDKSVITRIAVQQSGFFQTFMWDSQKSQWNRYWSEPTDQCDNYGTCGSNNGSGGCVRKKGVSVCGNGEGFVKVVSLKVPDTSVAVAKGGLSLEECEKECLRNCSCTAYAVADVRNGGSGCLAWHGDLMDVQKLSDQGQDLFLRVNAIELGSFYSSIVLLLSCMYCMWEEKRKDKMLHQSNQYSSGEIGAQSYTHSNHPFFSFRTIITATTNFSHENKLGQGGFGSVYKGCLVSGKEIAVKRLSRDSGQGKEEFKNEVKLLVKLQHRNLVRLLGCCFEKEERMLVYEYLPNKSLDFFIFNSRLKIIHRDLKASNVLLDAEMNPKISDFGMARIFGEDEIQARTKRVVGTYEYMSPEYAMEGRYSTKSDVFSYGVILLEIIAGQRNTYCETGRESPNLIGHAWTLWTEGRALDMVDQALNHSYPFAIVLRCIQIGLLCVQENAIIRPSVLEVVFMLANETPLREPKKPAFLFNGSDDLHESLTSGEGSSINELTETTISAR >RHN50386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6651200:6660050:1 gene:gene34664 transcript:rna34664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MDGEEHINEAPLSEAENNSVENLGGSDPLDEEKNLEDGKPEEEQCSEPVKKDLEVGLEVTETVLVLEEGVRETVRAENVSVTLKNEESSNGSITIGRRGVKRARITVDDDDHQPSVHFSYKSLTRASKNKLQELLQQWSQWHAKNVSSSNDPSEVLESGEETFFPAICVGHESKSSVSFWMENQSMNDRNKDVSPIDGNSVPLYDRGYALGLTSADGSNNADDGLKIIDAPSRCFNCGSYSHALRECPRPRDNVAVNNARKQLKSQRNQSSSSRNPTRYYQDSSAGKYAGLRPGALDDATRQLLGLGELDPPPWLNRMRELGYPPGYLDEDEEDQPSGITIFTDKDMAEQEDGEIIGADSSKPKRKMSVEFPGLNAPIPENADERLWAARAVVSPIAIDISRNWSQQRSSSFGSRGHQHDQRIGDLRDDGPPGDPMHNSSHFSFQPRFGSGHVSPNSMADWSSGRSPMHEEVSPKPFSFHSLHYSNPERHFSPLGRDSGSRYGNFSSGGMYDRDRDRDRDRDRDLSSQFNDRWSGDHHHHSRR >RHN62215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41771353:41775330:-1 gene:gene24767 transcript:rna24767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MNSTNNIMYPAVSETDHSSHGHGHPPQGQGYVLQMPSYGMPVQQPYAPPYISTSSRGIPRTHHWSTGLCRCLDDPGICLVTCFCPCVTFGLIAEIVDKGNSTCTCDGTIYGALLAVTGLACLYSCYYRSKLRAQYDLPEAPCMDCLVHFCCETCALCQEYRELKNRGYDLSIGWDANVERQRPGVAVAPPMISPMTR >RHN55670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20932011:20932929:1 gene:gene30889 transcript:rna30889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MLVTPVQFIADINPKKDFWKLAVRVKDKWVVVKDGKEHLEMVIVDSKGDDIHVVIPTEYKAIFDIILQEDNTYTLSNFQVGKNDLLFKASDHKYRLKWTGGTTAVDVNVHNIPHPILKMKPLAEIISGKWRSDMLYRELCYWCGSRYGILPSSRYDITLNCTLWESYAGTFIRYNNERKEQGPVIVLLKYGKVKEEGLCFFMYRRVHVLICYSSHFPKL >RHN64936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63125336:63127071:1 gene:gene27817 transcript:rna27817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein MRTHSRPIDTVFKLPTATTSWPKGNGFARGVLDLGGLQVSAASTFNKIWGAIDGGPDDKGASVFEPTGIPQGFSMLGSYSQPNNKPLFGYVLVAKDVSSSTTKSTLKPPIDYTFVLKAKSYSATQVLPLYIWLPVAPNGYRALGHVVTKTRDKPPLDKIMCVRSDLTEKCETSSLIWESEDFNFYDVRPISRGTQARGIHVGTFVAQNGRLTNPPSISCLKNLNSISKIMPNLQQIKAILKVYSPFVYLHPDEKYLPSSVNWFFSNGALLYKKGHESNPRPIAQNGTNLPQGPNPKHDRAYWIDLPADHANKDRVKQGNLQSAESYVHVKPMFGGTFTDFAMWTFYPFNGPGRLKIGFINIKLERIGEHVGDWEHVTLRVSNLDGKLWKVYFSHHKTGSWVDSSQLEFQNDTSNIDFPTKRPVVHASLHGHSTYPHAGLVLLGKKGIGARDDTDKSSKVMDMGKYVLVSAEYLGSKVKEPAWLNFYRTWGPHVDYKLEDELNKLKKLFLGKLKHVFEKVIRGLPKEMLQEEGPTGPKEKKNWNGDEL >RHN45026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10903122:10907451:1 gene:gene39221 transcript:rna39221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MSFDSLSGSKKRKVREEPFLIPENAKIISIDDDDNEGSEQCDEKMFEGVFDVKKEVKSGGSGKFYAKDGTVENPITIISDEYGESDEKHSKKRKLKEEPFVVHDNSEVIFFDIGDEKMFVDGYDVTENVKIDESGKFDAKDGKFVDEKCGWTLENPITIQSDDEHSVSSDSNDDEEEENYDNVKQGEKSGASDESADKDIFFVDLDDSDESDEEHSVGSASDSDSDSNSDSDDDDDNVQEGAKSGGSGEFADKDNFFVDLDDSDESDEEHCFDSDESEENETSDEDFRVDELNEISDNDDDSSSDNDGKEKEKKKGGRKYDNVAEELLREAIDRHNGISEMNNEEVKDKSPSIKNDEVEHSDYGSVATSTTFEKKGSSSNKNDTSKKQKAKSVENVSDDDVNVAKAKERAKPNETVNLRDDVNVAKAKCKSHVKAKDNAKPYESVDVSDSDEGKEHVKGLDAGGVSLVQTKQEMIKESRKQKMVENKGRDYKGIANIHIEKKNESIDNNGLIQSVKSTHFMWNELLLAKCYWDSMNTMKNDSTLFEFEEDGVDRQDTQPQPVSVETPPSIWSLKKVEKVQKTMEEEENEVLWDELDTVLRESDAVSMIGNLGTNEATNIKSGSPSSRCEHDTFLDEEIGVYCKLCGVVITEIKYISPLVVERFPCEGSGRKASFDGVNVSLFDGSQFNVSDKDSETNFSRNEGTVWDLIPDLIQTLYPHQQEGFEFIWKNLAGSVKLQKLKNVDPCSEGGCIISHAPGTGKTRLTIVFLKAYLKAFPKCLPIIVAPASILLTWEDEFKKWDIGVPFHNLSNPELSGKEHADAVETFDRSNTQHNIHETRMAKLISWFKETSILGISYNLFGKKCQDKKKLENVKERKGNCDMRKILLKSPGLLVLDEGHTPRNQRSHIWKVLSKIQAQKRIILSGTPFQNNFWELYSTLSLVKPSFPNTIPPELKSFCHKQGHKSSKKRSCEPVSGNTTRDPSDDKIKKLKMLMDPFVHVHKGAILENKLPGLRDCLVTLKADSLQNEILKSIKRSQNTIFNFERKIALTSVHPSLFLECSLSEEEESALDKDQLEKLRLNPHEGVKTKFLFEFVRLCDAFHEKVLVFSQFHAPLQLIKDQLTSAFKWSEGKEVLFMSGKDPPKVKQSVIHSFNDANCQAKVLLASTKACSEGISLVGASRVVLLDVVWNPSVERQAISRAYRIGQKRVVYTYHLLAEGTTEEEKYGKQAEKDRLSELVFSEKNATDIDEESKSCAGNFEDRVLDQMTRHENLKDMFVKCVVLRKERDVV >RHN43639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47396700:47401600:1 gene:gene50248 transcript:rna50248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MEKVVTMESSTTTTATDLEDDESSTLLDLTSYQLHDLDSVELPSNLTELDLTANRLSTLDPRIAQLSDLKNLSLRQNLITDAAVVPLSSWNTLSSLEELVLRDNQLKNIPDVSIFKKLLVFDVSFNEIASLHGVSRVCNTLKELYVSKNEVTKIEEIEHFHQLQILELGSNKLRVMENLQTLVNLQELWLGRNRIKVVNLCGLKCIKKISLQSNRLTSMIGFEGCIALEELYLSHNGITKMEGLSSLANLRVLDVSSNKLTSVDDIHNLTQLEDLWLNDNQIESLEGFAEAVAGSREKLTTIYLENNLCAKSPNYTAILREVFPNIQQIDSDVFS >RHN75735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44647342:44647539:1 gene:gene11975 transcript:rna11975 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAVDLLWSREICCSFVSLSFNTDGLSLSFWYVVVDTRSVFFLGGGWRFCLFATYVVMDRLRKW >RHN71060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56177594:56177945:-1 gene:gene19703 transcript:rna19703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative V-type ATPase, V0 complex, 116kDa subunit family MFSLAHSELSSVFYDKVLLLTWGYNNIIVLIVGIIVFICATVGVLLVMESLSAFLHALRLHWVEFQNKFYEGDGYKFNPFSFTSLNDEDGL >RHN64086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56467686:56469371:1 gene:gene26866 transcript:rna26866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MEDGSSPTGGGTVDKGRAEQYKGRVTVHVIIACIVAATGGSLFGYDVGISGGVASMDDFLQNFFPAVYKHKLEAHENNYCKYNNQGISAFTSTLYISGLVASIIAAPITRRYGRRTSIIIGGINFLIGSALNAAAVDLEMLIIGRVLQGVGIGFGNQEKKGINAMETINGPIKYV >RHN78599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16552039:16552503:-1 gene:gene2224 transcript:rna2224 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNKCLAFKICGDKLLFVGGQSGPEGEEAVVVYYWRPILDVSNDTIEWEVLGRKDHPPPPPLQNHHRETLHHETPPPPHKTPVTYPQRSEHHLRSAQIHHRLTPSDRQPLTIETTKFVATSPPPTRSEPDRAATQIGQETGKKTDANGAKTEP >RHN56067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27330740:27332641:-1 gene:gene31393 transcript:rna31393 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYYGNCLVVDWTLIDIDTMFNEVIGDVVKQTLDPFMSNIVQHVLEFGRDDQRLKIVRKLTQHPDQLVEASLDSYGTKCVQKLISTHNSKKEIALVSYSLLSGFLYLVMDLDGNQVLQRCLSCWSVEDNEFIYDAATRFCYAVATDEHGCCLLQRCIEFSNGNSQQKLVKEICKYAFHLAQHEYG >RHN40415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16070681:16071139:1 gene:gene46563 transcript:rna46563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MELLYSLGALNGYGKLTKVGRRMAEFPLDPMLSKMIVASEKYKCSDEIISIASMLSVGNSIFYRPKDKQVHADNARMNFHTGDVGDHIAHLKVYSTWKQANYSTRWCYENYIQVRSMKRARDIRDQLLGLLDKVDIELISNSNDLVAIKKSI >RHN44898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9600732:9601426:-1 gene:gene39075 transcript:rna39075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chalcone isomerase MATPSVTSLAIESIVFPPTMKAPGSTNNFFLGGTGARGIQIQDKFVKFTAIGVYLQDIAVPYLAEKWKAKSAHELTDTVPFFRDIVTGPFEKFMRVTMIRPLTGQEYSNKVSENCVAIWKSLGIYTNEEIKAINKFVSVFKDETFPPGSSILFTVSPKGSGSLTVSNTKI >RHN40740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20115068:20121190:1 gene:gene46935 transcript:rna46935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MISFEIKKQVVLIYLWLWWNTTANICVEATSDSLKPGDKLNYKSKLCSKQGKFCLQFGNNSNSDFQCLFISVNADYGKVVWVYDINHSIDFNTSVLSLDYSGVLKIESQNRKPIIIYSSPQPTNNTVATMLDAGNFVLQQFLPNGSMSVLWQSFDYPSDVLIPMMKLGVNRKTGHNWSLVSDKFNLEWEPKQGELNIKKSGKVYWKSGKLKSNGLFENIPANVQSRYQYIIVSNKDEDSFTFEVKDGKFAQWELSSKGKLVGDDGYIANADMCYGYNSDGGCQKWEDIPTCREPGEMFQKKAGRPSIDNSTTYEFDVTYSYSDCKIRCWKNCSCNGFQLYYSNMTGCVFLSWNSTQYVDMVPDKFYTLVKTTKSAPNSHGIKRWIWIGAAITTALLILCPLIIWLAKKKKKYALPDKKSKRKEGKSNDLVESYDIKDLEDDFKGHDIKVFNFTSILEATMEFSPENKLGQGGYGPVYKGILATGQEIAVKRLSKTSGQGIVEFKNELLLICELQHKNLVQLLGCCIHEEERILIYEYMPNKSLDFYLFDCTKKMLLDWKKRFNIIEGISQGLLYLHKYSRLKIIHRDLKASNILLDENMNPKIADFGMARMFTQLESTVNTNRIVGTYGYMSPEYAMEGVCSTKSDVYSFGVLMLEIVCGRKNNSFYDDDRPLNLIGHAWELWNDGEYLKLMDPTLNDTFVPDEVKRCIHVGLLCVEQYANDRPTMSDVIAMLTNKYELTTIPRRPAFYVRRDILDGETTSKVPDTDTYSTTISTSCEVEGKV >RHN67683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29273384:29276331:-1 gene:gene15895 transcript:rna15895 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFFSYLMHIFSCVQEFVKARWLFNTNFFGEVHSSMETSLKKVKLHFSYLLLAFRKPPCIIFQCSREVAHYFLENLPIRSP >RHN53396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1451502:1453679:1 gene:gene28298 transcript:rna28298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, ERG MIAYEKQLIMLTNVLSFLQRVNQCLRDACRNANLTGCMELIDCNSNATWRNDKGANDCLNSSSGVFSYGIYANAVPLTIETRVISKYVYALFWGFQQISTMAGNQVPSYFVWEVLFTMSIIGLGLLLYALLIGNIQNFLQALGRRRVEMQLRGRDVEQWMSHRRLPEDLKRRVREAERYTWAATRGVPEEMALENLPEDLQKDIRRHLFKFVKKVRIFSMMDEDEPILDAIRERLIQTTYIKGSRILSQGGLVQKMVFIVRGKLESIGEDGIPVPLSEGDACGEELLRWYLEQSVESKEGKKVKLQGQGLTSDRTVRCLTNVEAFSLRAKDIEEVTTLFARFLRSPRVQGVIRLFIYSSFHFRVVCVDASTCLHPFMIQLHYLS >RHN63193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49244829:49247152:-1 gene:gene25869 transcript:rna25869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MYCLRFLRRNKLNTFSISSFATVTNHTKTHHAYDHDHSNVIASNQLIASYVRSGDIDSALRVFHNMTVISTTTWNTILGAFAKKHGNFERARQLFDKIPEPNTVSYNTMLACYLHHFGIHNARDFFDWMPVRDTASWNTMLSGYAQVRMMDEARRLLVAMPEKNCVTWSAMVSGYVACGDLDAAVECFYAAPMKSVITWTAMITGYMKFGRVGLAEMLFWKLSLKTLVTWNAMIAGYVDNGRAENGLKLFKTMLETGVKPNALSLTSVLLGCSDLSALQTGKQVHQLVCKSPLSRDTTAVTSLISMYSKCGDLKDSWDLFVQIPRKDVVTWNAMISGYAQHGAGEKALHLFDEMKKSEIKPDWITFVAVLLACNHAGMVDLGTKYFNSMVKEFGIKTRPEHYGCMVDLLGRAGRLSEAVDMVKSMPFKPHPAIFGTLLGACRIHKSMHMAEFAAKNLLELDPTSATGYVQLANVYAAQSKWEHVARVRKSMKENNVVKPPGYSWIEINNAVHEFRSNDRLHPELVSIHEKLDKLETKMKLAGYVPDLEFALHDVGEELKEQLLLRHSEKLAIAFGLLKVPLGVPIRVFKNLRVCGDCHSAIKYISAIEGREIIVRDTTRFHHFKDGLCSCSDYW >RHN49932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2412147:2412824:-1 gene:gene34168 transcript:rna34168 gene_biotype:protein_coding transcript_biotype:protein_coding MADHVIEIAMEEPGSSDEINPIYRYTDMDKQRLKEVAKYSICLCLLHFLFMALKISSIDAAEAMAIHNTIISVLLIGYAFMFQLALLAVVTDHPVNRSVYPVLYILLLASATSVIEVTFVSWNAAVFVLIGWLSIIEVIVKQNKEVIHNADRLVSNTKRAMLGISVIRALFYIIYYGIVHIVIF >RHN80040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34560936:34562362:-1 gene:gene3916 transcript:rna3916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MNINLVKPLLISSFITIDGRGVDVHIVDNACLMIYKATNIIIHGIRVHHCRPQASGMVMGPKGNIMPLGQVDGDAIRLVSASKIWIDHYTLYDCQDGLLDVTRGSTDVTISNNWFREQNKVMLLGHDDGFLRDKNMKVTVVYNYFGPNCHQRMPRIRHGYAHVANNLYMGWVQYAIGGNMKPSLKSQSNLFIAPTTGKKEVTWRKTSNGVRDTWKFYSVGDAFENGASFIEIKDGQVIKPNYSLEQKFKVVDAKFVRSLTRSSGVLRTM >RHN59501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11416562:11419748:-1 gene:gene21539 transcript:rna21539 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYEAEEGYEGNGGGAGGGGGVEGREGEDPFPSSASSPQPLDQPVSKSRHESRDYDRESSRSREKERERDRKREKDRPRDRDRDRERDRGDGEKERDHHRDHRHRDRKDRERGRDRDDGDSHRSRDRDRDSLYYRRRDYDREERHKRRSRSRSISPSKDRSEHGTRSRSRSKSKRVSGFDLAPPASMLAGPAAVTGICSCILYKLCLS >RHN47203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37866789:37870515:1 gene:gene41769 transcript:rna41769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MVLPTFIIMILCVLPTLSVAEDSEAKLALLKWKASFDNQSQSILSTWKNTTNPCSKWRGIECDKSNLISTIDLANLGLKGTLHSLTFSSFPNLITLNIYNNHFYGTIPPQIGNLSRINTLNFSKNPIIGSIPQEMYTLRSLKGLDFFFCTLSGEIDKSIGNLTNLSYLDLGGNNFSGGPIPPEIGKLKKLRYLAITQGSLVGSIPQEIGLLTNLTYIDLSNNFLSGVIPETIGNMSKLNQLMFANNTKLYGPIPHSLWNMSSLTLIYLYNMSLSGSIPDSVQNLINLDVLALYMNNLSGFIPSTIGNLKNLTLLLLRNNRLSGSIPASIGNLINLKYFSVQVNNLTGTIPATIGNLKQLIVFEVASNKLYGRIPNGLYNITNWYSFVVSENDFVGHLPSQMCTGGSLKYLSAFHNRFTGPVPTSLKSCSSIERIRIEGNQIEGDIAEDFGVYPNLRYVDLSDNKFHGHISPNWGKSLDLETFMISNTNISGGIPLDFIGLTKLGRLHLSSNQLTGKLPKEILGGMKSLLYLKISNNHFTDSIPTEIGLLQRLEELDLGGNELSGTIPNEVAELPKLRMLNLSRNRIEGRIPSTFDSALASIDLSGNRLNGNIPTSLGFLVQLSMLNLSHNMLSGTIPSTFSMSLDFVNISDNQLDGPLPENPAFLRAPFESFKNNKGLCGNITGLVPCATSQIHSRKSKNILQSVFIALGALILVLSGVGISMYVFFRRKKPNEEIQTEEEVQKGVLFSIWSHDGKMMFENIIEATENFDDKYLIGVGSQGNVYKAELPTGLVVAVKKLHLVRDEEMSFFSSKSFTSEIETLTGIKHRNIIKLHGFCSHSKFSFLVYKFMEGGSLDQILNNEKQAIAFDWEKRVNVVKGVANALSYLHHDCSPPIIHRDISSKNILLNLDYEAHVSDFGTAKFLKPDLHSWTQFAGTFGYAAPELSQTMEVNEKCDVYSFGVLALEIIIGKHPGDLISLFLSPSTRPTANDMLLTEVLDQRPQKVIKPIDEEVILIAKLAFSCLNQVPRSRPTMDQVCKMLGAGKSPLENQFHTIKLGQLH >RHN44091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1552945:1555582:1 gene:gene38150 transcript:rna38150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MCFEKVVHMEVRWFDESKHSNGARLSTDAALIRALDEKKIKGKLIGDA >RHN51407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17312822:17315195:1 gene:gene35837 transcript:rna35837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eukaryotic translation initiation factor 3 subunit C domain-containing protein MTREGCKRALTRSTNSLRDSGVFPKRYIRTLLGVTARTLTKNMTALKILSMLIHRSHGKLFNKKFKWLVAVRGRKKIERFEQVDHILPTNQNWLKTPAQELQILFSVVSAQFDVNSSLIGGHMPINVWKKCVQNMLVILDILVQYPNINVDDSEEPDENETKKGADYNGSIRAEFFKSLQCIDPHTREYIERLQDEPMFGDFKGSLKVTLMRVELKPQEVYDALRTLVEPENSRTLMDGLVLLIYKYGDEPDKALAMLCHIHHHSHHDEFTKARDLLLTSHLQENGHHMDVSTQIRFNRAMSQLGLCAFPAGLVSEAHDCLSELYFGGRLKELLAQGVARSRYHKKTSEQLLESVYLISVMLLEVFNIAANVPDVKRKIISKNFSHLLEISDKKHSTVLPKMLWIMSWLSQCFLSMETSTRLVTLLHLFDVWKFVKNQDAVLEMLKDKTKEEALITTYLITFSSSYESESVMNDEHHARWDQPSGCIVFRNVELSMVQALAFELTEKLSILAKSNERATEAWLGSVGWIALPLLQVAGVKTVLFSYSLSTFYFSLLFCPVYYALHLRYVCFKRA >RHN56303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29665263:29674479:1 gene:gene31682 transcript:rna31682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine hydroxymethyltransferase MAMALRRLTSTINKPTSLYRLSSSLSAQHTHKSHPDWIKQLNDPLGVVDPEIEDIIELEKARQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALETFGLDPTQWGVNVQSLSGSPSNFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQMEKSAALFRPKLIVAGASAYARLYDYARIRKVCDKQKAVMLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFFRKGLKEINKKGQEVLYDYEDKINQAVFPGLQGGPHNHTITGLAVALKQAMTPEFKNYQKQVLSNSSTFAQSLLEKGYDLVSGGTENHLVLVNLRNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEDDFKKVAEYFDAAVKIALQIKENSKGTKLKDFVEAMESDSQVQSQIADLRHDVEGYAKQFPTIGFEIETMKYNK >RHN75818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45331413:45337496:1 gene:gene12071 transcript:rna12071 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEPIDDVREHVPLLPTFSRVSSLEKCSSSAVKKRGSDFHDNSNSLHLSVKKSKLLNDDHHNDISLSKKLTDKSFSSLKKDLAVLEKLFEECKRKQKVEERRLQSIKRETEECCKDLQIKMDQVSVVRRIDDACEKVQEKIDDCIKEFVVKEEELYLMENLIKESKLELKAKEIELDQVKGNISKEIELRQVIDNIDKDHERKEEEIKALSQKIAELALDCKAKENELDAVNKLIGEQAEKLESERKKARNIISEMKNSIAQMKEFESKQKRFDDRFKELESKEKKCEEWVTKLDSREKQLEGRVNESESKEKELEGRMNELESEKEHFKNRVKELDTKEKQVEGRAMELESKEKRSEGRAEELQSKEKHFEEWAKKLESREKQLDVRLKEFELNEKEIEGRMNELDKKEKQVEGRAIELESKEVQCEVWVKEFESKQKEFEGRLKEHESKEKEFEKQHEGWVKEHGSKEREFEGQMKDLESGRKHFESQVDELKSKERQFEGQAKQLESKRKHFESQMKEFESKEKQLDSQVKELESKKKLFESQVKELKSKDNQLVGQLRKFKSKEREFEGQAKQLESKRKHFESQMKEFESKEKQLEGQVKEHKSKERGFEGQLKELESKKKHFENQVEEFKSKERQLKGQVKEIESKEKKFDGRVKEFESKEDDFEGRMKEHESKEREFEIQVKELQSKKKQVESQVKELESKDNQLICKIKEFESKEKEFEEQVKDIKSKEEELESKKKHFESQVEEFKSKEKQFEERWNKLESEENKFKAKVKELNFKEKWFEGQVKDPVSRKKYIDGEKESVASYMYDQSSHAFGGISLQLGTSEKTDGVESLFNGVLVNLQESSDPSKLVLEMILNPIIPRCQKGDNVIITDYQIHLLEQLMRISPDIEPCEREKALKLAYDLKENMKDNTEKSLAVLGFLLLLSIYKLLDSFDEDEVLDLFAFVALHKIAVELFGCLGFANRVSDFVKRLIMRKQIVEAVQFSCAYNLTDKDQLVDMLQEHVQNAKLICESSCKKTNSIEIKDKARDQEIASLGTVLQCISVSSLESAGLLHKEIDYRILELKAHKGC >RHN49718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:568046:584804:-1 gene:gene33925 transcript:rna33925 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYTSLLSKTLTPQPPLQKLSVISIFSHLRSSSNHLNHQSESGKRAISQCLTSSSATVVDESVRQLCRLVTDGVVDVNFGLLELCSALQGCDSKFVNVFVKGLGFLVRFGFEKRNGDWKFPEVINHPFVMILSSRVEVQSELLQQVLMFMLQNKKLRMVQVCEFLKPLLDFSIIRLSASESSSSSFGLQLVSSMASFCCSCPNESMPVLKLLMGCLKYLPPETSEDYRKLVFVVEHMMEAYIVVLKSLAGEKLLITEAQLCAIEFLGTIMSLLTCLQWHSGGHESIIELSRWLLSVQKDLGLPWESGLSKTMVSLFTILVQSELEHEQISISKLLLLILKWKYDKVDAIERNTSSPFEEILFLLPFVSLMSSPSKYVKALATDLLLIFEKLLVKMLVAPRHKPFIEEGTHYLSTPGIIVLRLLQHLWYQDGDSSSRISLLNLASKGLNESEIMPDKPRSWASHLRESCLSIVDRRKSTLPLLLSQELFLTETPLLSAVLSVLLIHPSMGASAVDSLSSIAIMDPKLGVPLLLAVMFYSNIFTRNDAICHDMLLKIFEMLPSLASHSAMIPLVVQTILPMLNKDAKVSLYAPGTRLLCRTWEINDRAFGSLQGVLLPKGFTDFMSDRAICISLAASIRDVCHKSPDRGVDLILTVSSCIESQDPIIKALGLQSLAYLCEADVIDFYTAWDVIAKHVQGYKADPIIAHSICLLLRWGAMDAEAYPEASKGVLLILWDLVTSSHGTKWEKAKISALEALVQYEVSQLEKSIPDFKQMNLELFFSETSPTVLKVMEDFHVKIISYEHINRRRLVKGKRVAGSKIEKLVDVFPQAIFSSGKINEAVELPGAALLCFSFTPKNVNEQQASKRPRYVHAAYENALKEIAASLHLSRNILLAFMSLQSWKDFMRRWVKSYIMSYDAKAQLSVLDKTSKAASDILKSMTAIADMAIPRAAENIALAIGALCVVLPPSVHTVKSAASKFLLEWLLQHEHEHRQWSAAISLGLISSCLHVTDHKERYHNITGLLEVLFLSKSSLVKGACGVGLGFLCQDLLTRVEAADDSAVKKETEKVPESELLGKIVGTLATTIQQRTKCSSDALDSLCFPLGNDVNTDVFELSSEDSEHSDDLEEDIWGVAGLVFGLATSISALYRAGELETIIKIKNLVISWLPHMNSPFQSTDLQGGKSDIVLALGSCIALPTIVTFCQRMELMDDNEFDHIVFGFKEFISELISVKKSGILHHSLLMASCVGAGTVISCILNEGVHSIEVERVKCLLELFRKCYSNPFPFLVHLGGMLGVVTALGAGIGILVYMNFSNYSRQSTYQKEDSSSVTGPLLSSSVIEPYLTSLVQEMFLVAQNSDNHQLQQFASWVLAFLRHHVWSKQLLGVDGDTNVAETNSKSLPHNFPDDSVVLKLSLWLMEFKYTELGSSVHAGTIVAILGCLSRAPRLPSMDWGVIIRRCMRYEAKVTQSLSTDSDLKKGTLREECVLFAIAHANQFDSLLTFLDELSDLSRLKTLEINLQCCLLNHLADLVKVFSSSRLEKLFGDVGYHLSSLNSCKEYETYEKCLLRLSCWKGLYECLDEVSVDTSGHIFHVERCMEVLFTLLPVLKSSGSVVSGDTSSVEEWSEAVRCLGKAPKGWLSDFLKISQEEFVQSACKSIEVQKKVHAKIKLVKIGSLPPTELGKMKSYILNSKSQGVWDVLLEVAAVLYHAEISFKRQWLIETLEISCVSSFPSAALQFLGLLSATCCKYMPFMIVDQQTVLNDLPVTLVSLLADKNWNVVAETVVSHLFSSTERIYDWTMHIADGSYVQGSQTIDESENHMATFLLQVMHHTCVLLKGYLPLDKQLKLASMVVA >RHN73097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13427606:13432326:-1 gene:gene8877 transcript:rna8877 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKEGTKNGGSFFHLFDWTSKSRKKLFASKSDLPESSKQERKSNHNVAMRHPYLVDEDENGVGEYVRGSCDHSYASSVTSDDCGTRAPNVVARLMGLDSLPPSGFSDPYSTPFFDTRSLQDSQFLYSGKLVEKVEGSSSNFMESKPQKVNTRPIEKFQREVLPPKSARSIPVTHHKLLSPIKNPGFVPSNNPAYIMEAAARILEPRSSQAKAKAHLASSTVSLRVKDLRDKVDSSQKGPLIATSSVAFRTRELKEKREISQRTSRVSSEPTQRSAESNAVKCLKGQSLNKSWNGTAETSVKSPTHAEEDSSLNNKGKSVSLAIQAKVNVQRREGLSSTGGRNLMGQKEHLDMKSNQPPKANAQKNLHRKSSGQNSSNVLRQNNLKQNHSIDNNDKSVPSKPLVSNSQGRKVTTGDSSYGRHRSSSGKSIAKSKVGSKKSNVEVTDSENEILYTRTNNFPRKKRSTDKDWNDRVVDNLFIDKTQKPAKSNVVSNKQYGGTEEVKKKDMDVVSFTFTTPLTRSSNAGSVTPRQGGNNTNDLSLDQRIKRVLLDTDNTRSPIGYNVIGGDALGILLEQKLRELTSGVETSSNDVSKVRQPSVTAPMSNDQASNFNIVGLNLGLQQKKDQDMSFTDSLNSSCGSNISSFTNLPESSLKHKSWGDEMESLSFNCRQPSPISVLEPSFSVESCESSMSADVTSIEGSKMFSSIQAQEIHGFNFSRKFYPTESDAELSDSASSTSTTTMIKKQTGTFSMMKFGRSSTTWELDYVKEILCNVELMYMDFSLGRSREVVNSHLFNQLESRKGGFKSDDESRMERKVIFDCVSECMDLRSRSYVGGGYRMWTKGFEMVKRNDWLAKDVYKEILCLKGMRDSMVDELVDKDMSSQYGKWLDYEVDAYEFGEEVVDQIFNSLVDDVVYEMLQF >RHN73120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13601942:13603470:1 gene:gene8903 transcript:rna8903 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA-responsive protein ABR17 MGVFTFNDEHVSTVAPAKLYKALAKDADEIVPKVISAAQSVEIVEGNGGPGTIKKLSIVEDGKTNFVLHKLDAVDEANYGYNYSLVGGTGLDESLEKVEFETNIVAGSDGGSIVKISVKYHTKGDAVLSDAVREETKAKGTGLIKAIEGYVLANPNY >RHN75371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41676727:41684643:-1 gene:gene11559 transcript:rna11559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MAKGTDSDEFMVLSRVRTGLKREFAFAMKAQSEIDGSLGRTRGGSKNRNEAPVQETPVGKRVKKTGLDDVVMSEEEAKSDVVDLVSDEEVRNVERENGFLSKSDIDVKSDVVDEETLLKEEEKVVIVDEIEMETVCDIKDETLEDKGTLLEEKGNVGGKRKKRVSLEKPVRRFTRSALKEKDEEIKDNAVVVDVDNVVESENGVSEVSPVMVTPTPMKFGKSGKRFPVKLKDLLATGILDGLKVRYVKGQKARKPGEKDLQGVIKDAGILCSCGSCKGNQVVSPSVFELHAGSANKRPPEYTYLENGKLLRDVMNACSSLPLDTLDKVVQMVLGDFTMQNSNICFNCRGSISESNKGESKLVCNPCMELKETQTSQLQTAATRSESITPVVQPRSLEAVVLPESLNTETVVPKSSNTEMVVPNSSNTNMVRETSSTNMIPKALNNGMKQSASGKSRGKITRKDLRLHKLVFEEDVLEDGTEVAYYSHGKKLLVGYKKGYGIFCSCCSTEVSASTFEAHAGWSSRRKPYLHIYTSNGVSLHELSLSLSKDRRFSASDNDDLCSICQDGGDLLCCDGCPRAFHIDCVPLPCIPCGTWYCKYCQTNFQMESNAQRNANALAAGRIEGIDPLEQINRRCIRIVKSVAVDHGGCALCGCHDFVKTFGPRTVIICDQCEKEYHVGCLKEHSMQNLKELPEGDWFCSTSCSHIHSSLVNLVASGENSLPDSILSLIKKKHDTGVEGKGADTGVEGKGAGNIIEEKGGDSVEEKSRDAVEEKGADTGLEEKIADTDFEEKAVDTGVEEKAADTGVEEKCGDTGGVEKDVDTGVEVKSVETGVEEKGVVTAVDPEIKWRVLNWKLVASGENKQLSDEYRQVLSKAVAIFHERFDPIVDSSSGRDFIPTMLFGKNIRGQDFSGMYCAVLTVNQVVVSAGVFRVFGPEVAELPLVATVSEYQGQGYFQCLFSCIERLLQSLSVKNLVLPAADEAESIWTNKFGFTKLEHDEINNYKKFYHMMIFQGTSVLQRPVPTLPSTSQEIL >RHN56584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32033144:32036060:-1 gene:gene32010 transcript:rna32010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRRKKKNMAEILKFVFGIIIFLPIFLVAMDIVDKIDECESNVDCPKSYIINWDKNYVHKCINNRCEWIKIIRRR >RHN41760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32706572:32711423:-1 gene:gene48116 transcript:rna48116 gene_biotype:protein_coding transcript_biotype:protein_coding MINLFISEPTRNNDANAEEDSTKLRINLLKELETVLWSAIISSGRAEARMWLRKTIAGINCVKPRDQREIFINFMRIPKKKHDLTSQLLNLMFDNSPQKLGSVLARKTRVLDNFFAGDPKRMLQWFSFSGLEQGKGLRALSQFAFKNRDICWEELEWKGKHGQSPAMVATKPHYFLDLDIQRTVENFLDNVPEFWSSVEVSESVKDGDIFLIDRVFFVRYFKDLMYREDSSDVWDVIEDFLEEQPFSCLCEHLLISFEEQDLCYFVELLCKCLDPRIELQGLDDLSRLFVVVLLKCGVSGSIDWILMLNAVIAQGRQLLRLLRDEEAKESLAKVNEIVSKISAIPNDGNSLTPFFNNRFKMEILEVVKCLGLQSWVMFYRLSQECKTPESWESVFLHNQIGFRNSDKHALTDEDGVLSEEDCSGFDCGLSVRVKKKNKHKARKKRRRAYDYDGCNDDELLDFDFASQKLDYLPNAKSWFLSTDQYSSAWNSADLPEHLHRLCLSRWMIWLFEK >RHN47117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37258184:37261409:1 gene:gene41674 transcript:rna41674 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTPALSCPCSLAATSSLSSSATLHRNIVVRTCTPFSFRHHHHHHSSSLRVANDADRTELSSDTTTENSYSDAADKMVDSMDFGELCNEFECNSSPSVESTARQLARDIFELRSGNRALGTYAVSVTYKDPIRSFSGREKYKRPLWAITALENPSVTVQEMTMLSTSVLSIKWTIRGKPKSILAGVGGGLILRVTSKFTLNQISGQVIKHEELWDLSSSPASAQAFFWSSRVLFATVESVKDLADSAKNLSASFSTKKEENSDIYPDPSGDPTKFFQRDDGFQQDVLQIALLLAVIYFVVQALRITL >RHN39021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3583643:3583986:1 gene:gene45006 transcript:rna45006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ER lumen protein retaining receptor MKNAKMVETFTGYYVFALGVSRFFTLAFWIIHMNNHWFANKGLREVVEGEWARG >RHN77674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8553476:8554473:1 gene:gene1152 transcript:rna1152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prolyl oligopeptidase MCHAWTSEFGSPDKEEEFHWLIKYSPLHNVWRPWEQHPDKSIQYPPTMLLIADHDDRVVPLHSLKLLAVNQTMQYVLVTSLDKSPQTNPIIARIECKAGHGAGRPTQKIIDEAADTYTFMAKMLEAHWIE >RHN69515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44088171:44090265:1 gene:gene17994 transcript:rna17994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFQLMDFISVLCYKRKNCVAWELLRAVKKLGGTVEATSCNVLLRGLGSQNDIRSMYKLLAEMDEMEIRPNVLTFDILIDHLCKARRTDEALGVFDKLRGTLQSWEGRRLLSLLEEMKVEKKNWPNIVTYNCLIDWLCKAGNVDKTHELFSRMNEEQVEPDVVTLNALVNGMCKIGRVDSAVEFFNEMKGKGLEGNAVTYTALISGFCGVNNIVKAVQYFDEMLSSGCSPDTIVYYSLISSLTIARRMDLSQLKQVGLGLDRTCYYALLSGFRKKKKLEQVSEMLNKMEEIEVKPDTVTYNTLVSYLGKAGDSATATKMMKEMIEEGFEPSVFAYGAIIHAYCLKKNVGEAMKIFEEMCSTSMVRPNIVIYTILIDALCKTNNVEKAVSLMGDMKLKSVRPNTTIYNVILKGLWDKRMLHKAFELMDRMVEDACSPDHVTMEILTEWLSAVGEIEKLKLFVEGYQVA >RHN39804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10650324:10654892:1 gene:gene45872 transcript:rna45872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding, BAG domain-containing protein MIPGYRNMDSYPFQRNQIPFPYYHHPSMEPVPPQMTKSPFPYEQPWPYASNYNHPIPPHFCYGHNNYPCYNSHIPSYPPHVPSPSPMYYSGGCPSFFGPYYPQSHYNMELPRYEYDKYMPREHHCCGCSNHSCSQKEDKSVKVEEQKPDVGKKENDAMVPIQFRNFPYPLAWIQPEYYGNKQPESFKVDEQDKLLHDKRPNADVQPKVEPRMWSGWLPFDVKGDPNMFRDGDGIRSLEKETDSKKEEAENGRMEQKHQSDQKRSEFPFPFFLFPYYNNQEEGGKAKSDDVKFTDRSVSDITEKANNQRSIPVKQIESNHEKNDLHGSGKREMNDAKENVTKKDSNSMSKRRPTSPPKGSKLPPVCLRVDPLPRKKNGNGSSRSPSPPASKEHLKATSFGSKNIPLRDIKDRTEPNSDSKSAPKASEEVAPEMKTTQACQNKTNDKKEEKGAENITGESSEHSTEDRNTTTNEGGKSGRRVLSDADAAVLIQAVYRGYLVRKWEPLKKLRQIGEVSKEVTDVRAHVQAFEGHSDFQNDNKQKIAIGETIMRLLLKLDTIQGLHPSLREIRKSLARELVTLQEKLDSITVKNPCQQPHEDAKDPVEVTSLNVQNEKLNQEQQEEKVASEKDSSEGTSDGSPKEQFCMKDDDGRSESRSHVDSASSERTKPHVDSALSERTKTTMLPNGLINEDSSPVMAADASDSTSDLVDKTDLECKSKSEVIDIPIVVDKLDTTALKDSPVGANDDNISDNSASEGLDSDMHALKELPVGVLDEDTATFEGTNTSGNVQSEVHAENEVFIEELPVGVLDEETAISKETNTSETEVQAGNEVFIEELPVGVLDEDTATSEETNTSENEVQAGNEVFIKELPVGLLVEDPEKFEVEISKHDAKDTQLEQPRVEEKEDVKSSEESDGWVKIEFQKEDDGHIADTPIDTEESGIGIDSKLPPLEISDHGNQEANDLDIIMMNEKEPEEKLAQQETKADVQDTADREPTGTKTKVSADLNGDMRLLEENEKLRKLMKELLEAGNEQISVISTLTGRVKDLEKKLAKTKRSKKVRTKRHKPVTPKMSWSMSCSNSSE >RHN67748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29944495:29946402:1 gene:gene15972 transcript:rna15972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGTRCRIHKNHDLLYGVVEKWCCETNTFVIAFGEATITLEDIMVLGGYPIIGDPVFISLEDQEMREVEKKLILAREQLCKHGKARTPLWIDSFMDKGSEIEHEAFLSTWLSVYVFPHLNLVKSCLFPIAVHLARGNPIALAPAVLASLYKDLSLFKKTLVDLKKISDGGDRYPLEVTLQSPFYLVQIWVWERFKNLQPQPNLINQGDPLLFRWHKVKILKIDSVKWALDSAVDDFLWRPYVRYADKCELFYPNDEISVPFKKDLVDEQMLSFVICLRVSELVGFDSIEQYLPHRVAMQFGMDQDVPSDVPRFNETKDIAWKNYCRRISDRSLYFPSRFFEADVTTRYARWWKQSVLGRDDFVMKIVQKKRSASSRKHRVCVGKDNISGNDVGVPPGFPPNLVDTLIFGKFCYNGSKTKTRKVADFYADVPYENAVHNCLKADENIDADVEDCKPMLAEYKCGGKIHESKHLLDQSCSASSAYYEKILPLKRPVSVDNIELSIESLEDDSEDANGSKQARMSNDRVCLSETQGESKNFFIRKKVPSSNNVTAVQQDPSAQAQAKEAVEEKGRKESDHEVVVLLKELYLKNQEELRRLARQQEKMFQLIDLKEKRDEELRQLLTSVLKNQQPPSSFS >RHN50716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9717051:9719129:-1 gene:gene35039 transcript:rna35039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MLILEVWYSSILVLLTGNMKDAEVSLSAMSICLNINGWEMMIALGFFAAASVRVANELGRGSSRDAKFSIVINALTSFAIGFIFFLIFLFLKKKLSYIFTSDSDVANAVGDLSFWLALSMLLNSVQPVLSGVSVGAGWQSIVAYVNIGCYYLIGIPVGVVIGVVYNLGIKGIWIGMLFGTFVQTIMLIIITTKTDWDKQVEIAQWRVNRWAINNAQESNGSGTSLLANQE >RHN54252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8080157:8080615:1 gene:gene29255 transcript:rna29255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MLTQKTNSGLSKNPNMQADEILYQLNIKPKPKQTGENGFVLSFDEKHRDKVMEKYIPHVLSPYEAMQADNRTLKIHSLQGAWLQSSFNHPASFDSIALDPDLKKAIIDDLDRFLRRKKMYKKVGKPWKRGYLLHGPPGTGKSTLVAAMAKYI >RHN76288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49158970:49164976:1 gene:gene12587 transcript:rna12587 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRHRVHREPPMSSRRGYPSEGPYARGPPPMPRQHIPPHPALLEEELEVQHAEMRRLVADNRRLIDDRMTLQRDLAAAKEELHRMNLAIGDIRAEHEMHSRELVDKNMKLESDLRSTEPLKNEVIQLRAEVQKLSSIKQELSGKVQTLTKDVARLQSDNQQIPSMRSEIDGLHQELMRARTMVDYEKKANMEFMEQRQSMEKNMVSMAREVEKLRAELASMDGRHWGAGLPYGTNFGSPEGGFPPPYADGYGVHMAAAEKGPLYGVGTASRKAHEKPRSNRR >RHN55686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21104934:21109512:1 gene:gene30909 transcript:rna30909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQILKLVYAFTIFLFIFLVVTNGQECKDDGDCPTNMYLPSLVSKCINFICECTHSISTD >RHN53455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1761112:1766804:-1 gene:gene28364 transcript:rna28364 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIDEKIFVLCIFDYALFSGVLVCICSSAPVMPILYIYCAAGKKKYQFATTSAGGVASLNAQLF >RHN39020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3582291:3582609:1 gene:gene45005 transcript:rna45005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ER lumen protein retaining receptor MHYVYDKLNNILIFIGLSLKTQELTALFLATRLVGRIYIKANIHSALDVILLVSTLLVIWLIRFRLKSSYIKEFDNMRLSFLVIV >RHN43523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46501284:46505968:-1 gene:gene50118 transcript:rna50118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MELEVVEDQFYDTREDLCSVSDDGFDYCLESCSGGNIINNNEHVTRFNVWINNLDSVHRRRFNFLRWMGLEYDENSVEGDEFGDDPSCGVDRISRTMFRTVEGFPSTSNQIVLDSLSNEASSLLENRDDGTENLTCMIKNLDDGTEYVVDKLDQEGKLDTLRVLGSNQLISFEEFHKNIGPSSFVRRHLQRDAESTRLLSVAKKKMKKGWLSKLDSIACFYHNQGFDETCCNKDFDSRIHRVRVHPHKKRVKELSSLYTEQEFKAHKGVILTMKFSLDGKYLASGGEDGIVRVWQVVENERSNELNILDNDPSNIYFKMNQFTGCVAPLDVDKDKLVKTEKLRKSSASTCVIIPPKTFRILVKPLHEFQGHSDDILDLAWSKSGFLLSSSVDKTVRLWQVGISKCLRVFSHNNYVTCVNFNPVNDNFFISGSIDGKVRIWEVVRCRVVDYIDIREIVTAVCFCPNGQGTIVGTMTGNCRFYNILDNHMKMDAEFSLQGKKKTSGKRITGFQFSPSDPTKLLVASADSHVCILSGVDVIYKFKGLRSAGQMHASFTSDGKHIVSLSEGSNVCIWNHTGQDRNTSKAKKIMSSESFLSHNATIAIPWCGIESTPLSPSLGEELIQRSSLSSPDCFFMSRGFLSELVPKVSATWPEETLLDSGQTVVSPTICKSEYRFLRSACKGMSNSHLWGQVIVTAGSDGFIRVYQNYGLPVRV >RHN46855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35333511:35338950:-1 gene:gene41389 transcript:rna41389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain-containing protein MDADDSSSSALETFANVDDDNNQTNHRQIISASDQLDIEAYISLYSGRTRISRLLFIADHCGGNNTMQLEALRLAFDEIKKGEDTFLMREIVKKINGRLGSGYALDEAWCDAIEKKAELKKEKLDSELNSYRTNLIKESIRMGYNDFGDFYYAHGQLGEAFKNYIRTRDYCTTSKHAIHMSLNAILVSIEMGQYPHISTHVSKAEAVLDPLDTITAAKLRCAAGLSNLFSRKYKFAARKFIETSPELGSQYNEVISSQDVAMYGGLCALATFDRTELKNKVIDNTVFRNFLELVPEVRELINDFYSSRYALCLEYLGNLKSNLLLDIHLHPHVESLYDQIRQKALIQYTHPFVSVDLNMMANAFKTTVAGLQKELESLITDNQIQARIDSHNKVLYARHDDLRNATFQRALENGRDFDRDLQSMFLRSCLLKYECSKSRIRRL >RHN55334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17432381:17433148:1 gene:gene30503 transcript:rna30503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin MVILKEGEKLYMGLVAIMTSHVKEISKSIEDATQGDFFLEELNRKWNDYKDAILDVRKVLLYMDRVYVIHNNKTRIHDLGMNLWRDNVVNSTQIVQSQLKKTLVKLVHRECIGEVINRDLTDNILMMLKDLGDSVYETLFEIPFIEVSAEFYRGEFQKLSEYCDCGDYLWKAENHLIKGLIRVNHYLDSISQKKIYNAMYKEIIENHMLRLIRIENSWLVTLFLNNRYEDLRNLYQIFSTYPNGLFTIQKVANLC >RHN38679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1237335:1237943:1 gene:gene44639 transcript:rna44639 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRGGYSGSRGSQSYSISRNGASHSQGRRRSHYRISKNEVDSLPVMLPACGCTLPMKAYIANTDANQGRMFWRCRNWNNKNMCTCNLYIWDDDIIPGVTTMIEVTPAIDRSMDGRENQVCSKCENIDEVMKAFESNEIAKWKTKYGDENKKVKWMSLIMIISWVFFVWFEKF >RHN74448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33455141:33455470:1 gene:gene10522 transcript:rna10522 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFESMRKEHGFVPTMEHYSSVVYMVGIIVHPNEALKFIQKMSLESSAEVRESLMNSSRTQEITELEDRCAELVKKHGPSCLNEQPKANLLPAVSVLLLLHFEVLAQW >RHN57778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41678808:41679266:1 gene:gene33363 transcript:rna33363 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRLAIAFAHEEVDSLQAHSFPQESQPCLLGCQWELHGVHCKGQKASKAHDFINEFNAIERVREAGSSPTRCSVSVLFTQNHLTLLKRCEWNTCTERALTFGMEEGVSRQNGQERLDFYILKLERG >RHN51972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29388289:29388897:1 gene:gene36559 transcript:rna36559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MGYDPIISNNVVLPHLEVFSLRDNTWKQIEGAHFPYMNPNNDHSKQGVFFNRAIHWLTFRYDLSVFVIVAFDLIERKLFEIPLPDHDFHYQFSHRHLWVFGDFLSLLDVDYGNHTSKIWVMKEYKVRSSWNKKLVLPRCDIFPVYSTKNYDIIGTNYVNLLVKYNDKGQLLGQHYFRENLRSSRVVLYTESLLSLPGDNDEV >RHN71622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1441088:1445967:1 gene:gene7241 transcript:rna7241 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SERK3 MITVSYDEVVTGEPEPTLASLVIYHDIVNVDYIKHGESDTLIALKSNLNDPNSVFQSWNATNVNPCEWFHVTCNDDKSVILMELSSNNITGKIPEELGNLTNLVSLDLYLNHLSGTILNTLGNLHKLCFLRLNNNSLTGVIPISLSNVATLQVLDLSNNNLEGDIPVNGSFLLFTSSSYQNNPRLKQPKIIHAPLSPASSASSGNSNTGAIAGGVAAGAALLFAAPAIALVYWQKRKQWGHFFDVPAEEDLEHLVQITRFSLRERLVETDNFSNENVLGRGRFGKVYKGHLTDGTPVAIRRLKEERVAGGKLQFQTEVELISMAVHHNLLRLRDFCMTPTERLLVYPYMANGSVSCLRERNGSQPPLEWPMRKNIALGSARGIAYLHYSCDPKIIHRDVKAANILLDEEFEAIVGDFGYAMLMDYKDTHDTTAVFGTIGHIAPEYLLTGRSSEKTDVFAYGVMLLELITGPRASDLARLADDDVILLDWVKGLLKEKKFETLVDAELKGNYDDDEVEQLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAEKWMQWQKEEKY >RHN82349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53053279:53057033:-1 gene:gene6509 transcript:rna6509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase 1 MASAKLNSLSSQWIANNPFSSRRGGSSSSLSSTRNVSLPIRASYSDELVQTAKSVASRGRGILAIDESNATAGKRLASIGLDNTEVNRQAYRQLLLTTPGLGEYISGAIFFEETLYQSTTDGKKFVDCLREENIVPGIKVDKGLVPLPGSNNESWCQGLDGLASRSAEYYKQGARFAKWRTVVSIPSGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHPIERTLEVAEKVWSEVFFYLAQNNVLFEGILLKPSMVTPGAEHKERASPETIAKYTLTMLNRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTVLKTWQGRPENVEAAQKSLLVRAKANSLAQLGRYSAEGENEEAKKDMFVKGYTY >RHN39129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4313531:4314402:1 gene:gene45127 transcript:rna45127 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEKAFRDIVRIGWESFSLAREFVTSGSFSHEEYKIVTILRLDINTDFKKCKTVWEGFEQSASWFNKMPELKEGTKVWDRHVQRPQEYYGGIIDTGAEIWAEDAQPILSSRHL >RHN44023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1065679:1071941:-1 gene:gene38067 transcript:rna38067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine--tRNA ligase MLTLSKKAITTITTTFTKPHSLSSMAATEETLRKTLAEKLSAVEIQANTVRSLKSSSASKPDIDAAVQALNNLKLEKSSIEKSLQSLLSGSDSREAFRQSVNNTLERRLFYIPSFKIYRGVAGFYDYGPPGCAVKSNVLAFWRQHFVLEENMLEVDCPSVTPEVVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDYCNEKLQKDLTLSSDKAAELKHVLAMLDDFSSQELGAKIKEYGITAPETKNPLSDPYPFNLMFQTSIGPSGLAPGFMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKYAEVADLEFFMFPREEQMTGQSAKRIRLGDAVSKGIVNNETLGYFIGRVYLFLTRLGIDKDRLRFRQHLANEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSEKSGTLLVAQEKFSEPKEVEKLVINPIKKELGLAFKGNQKKVVEALEAMNEKEALDMKAALDSKGEVEFEVCTLGKTVTINKNMVTIHKEIKKEHQRVFTPSVIEPSFGIGRIIYCLYEHTFYTRSSKAGDEQLNVFRFPSLVAPIKCTVFPLVQNQKYEDVAKLISKSLTAAGISHKIDITGTSIGKRYARTDELGVPFAVTVDSTTSVTIRERDSKDQVRVDVEKAASVIREVSEGHRTWEDVWSTFPHHSSTTAED >RHN43739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48079427:48086499:1 gene:gene50360 transcript:rna50360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-binding, CRM domain-containing protein MISSSPKNPLNFTSKFKFLFNSFSSRSAKSNFNEEQQLPSNAIDRIVLQFRNLSDQNDDEPIRTLLPNYFLHRQWIRSDESVIPSEEVEHKLLKKKKKNEVTVPCLEKEELSRLRTMGIHLKQKISIPKSGLTRSVLQRIHHQWNTNELVKLKFHQQLVQNMNLAHNIVQRRTGGLVIWRSGSVMWVYRGKSYQGPTNGNQHESKGGDEKSESVVLNQQQPENMTPEEAEFNRMLDDFGPRFVDWWGTGILPVDADLLPPTIPGYRTPLRILPARMHPRLTNDEHTKMLKLAKALPCHFALGRNRNLQGLACAILKLWEKSLVAKIAVKLGVQNTNNELMALELKKLTGGTLLLRNKYYILIYRGKDFIPTSVAAILSERQENVQCRAVDVSGEDETSAQAGSMAEFNEAQALCGREISTEECEKMMKEAAEATNVRLMKKIERKPAVIHEHTDTKKSRAEKLLAKIDSSMVPVGPDNRRETITDEERVMFRVVGLRLKVYLQLDTLGVFDSVIENMHLHWRQRELVKLITKQKSLAFVEETASLLEYKSGGILVAIDRLPKGFSLIYYRGKDYKRPITLRHRNLLTKTKALQHSISMQRHESEGEESDGNGGGFDDTEDNDWDDENSKVLDTK >RHN48140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45345313:45353813:1 gene:gene42826 transcript:rna42826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanylate-binding protein MDTLLSLLFLLFFLSPTVSSETIINFHQPFPIVEPDPGHTKLRLSQDGLEAIERITNPIASVAVIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWIWGTPIDLEIDGVKTSVFYIDTEGFESIGKSNVYDDRIFALATVISSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQQMVDEALRQVPNKDRDRNIDMINQIRDSLAIMGDNSTAFSLPQPHLQRTKLCDLKDGELDPSYVKKREKLKELVSSIIRPKIVQGKSLNGKEFVSFLKQILEALNKGEIPSTGSLVEIFNKDILERCLKLYSEKMATLALPLPEGYLQASHDMARGDAMQAFDQQHFGRHHAKKSVTQLDEEIDKVFKNVILQNEYKSSKLCEALYTDCEDMMDQLQVLKLPSMAKFNAGLEKCNRTFEHDCVGPSKANYEGRMAKMLVKSKSLFIKEYNHRLFNWLVAFSLVTVVVGRFIIKFILIEIGGWLLFIFLETYTRLFWSAESLYYNPVWHFIVASWETLVYSPVLDLDRWAIPLGVIISFFILYWRCYGKKKHGSRWLLPLYSSNKNRPRTD >RHN63108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48524287:48528386:-1 gene:gene25767 transcript:rna25767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-tyrosine-phosphatase MSAASGTSEEETRVSSGDNIHPRLDVYIWDMDETLILLNSLLKSSYAEAFNGLKDVQKGVELGKMWENLILQLCDDHFFYEQIESYNKPFLDVLSKYDDGKDLSDYDFNQDELGPPLDDANKRKLAYRHRIIAEKYLQGLHNILDQETIKVWNNLYNKTDEYTDKWLSSARACLTECSGENKDVVSSITYANTSTDSINAKHHHVNVLVTAGSLIPSLVKCLLFHLDSLITHGNVYSSWEVGKIQCFRWIKDRFNHPNVRFCVIGDGWEECEAAEIMKWPFVKIDPRPGKSHRFPGLTSKTVGHYFSVVYPNNDNDEE >RHN81207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44090538:44096024:-1 gene:gene5219 transcript:rna5219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MAMVPWRGVGCCLSAAALYLLGRTSGRDVDILKSVNRVNQLRELAQLLDEEIFPLVVAISGRVGSETPISCEFSGLRGVIIEETAEQHFLKHSDAGSWIQDSALMQSRSNEVPWYLDDGTGRVRVVGAQGATGFVLPVGSEAFEESGRLPVRGTSDYVQGLKMLGVKRIERVLPVGTSLTVVGEAAKDDVGTIRIQRPSKGPFYVSPKTIDELIANIGRWARWYKYASAGLTVLSVYMIANHAVRYILERRRRNELEKRVLAAAAKISGQDNGGEMDDSLSDGAKRERAMPNLCVICLEQEYNSVFVPCGHMCCCTACSSHLTSCPLCRRQIEKAVKTFRH >RHN60900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31474031:31476220:-1 gene:gene23294 transcript:rna23294 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDSVFIYQEREHELRQKLIDTTLELEAMKNMKTELFNLLKTAYLERDEAKNQLQKLMNNPSPIHFQDKFISGFQQENPLMFHSSNPSITESSSLSHGSPPVDSFFETVSSPEFSNTNMAYLNHHQNQHFNYLRVPSEKPVSDHGTVAIDSIAKEKVLPQKGKLLQAVIDAGPLLQTILLAGPLPTWSNPPPLNDIQVPPLNIKVYDNDAAIIIDPVSFPAMPKFSSNVTSTCSASSMLNFAGNHVPHGSWNNSWKYSSDSSSSSKRQRYQ >RHN57341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38239449:38243114:1 gene:gene32869 transcript:rna32869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MEKKKHHFLMQHCCLFLFIFTIFTTNSQAFDYGDALSKSLLYFEAQRSGRLPYNQRVAWRDHSALIDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGAIEYLQEIEGAGELQHTMEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRRAFKIDENNPGSDLAGETAAAMTAASIVFKKTNPHYSHLLLHHAQQLFEFGDKYRGKYDVSVGVVKSYYASVSGYMDELLWAATWLYKATDKEEYLDYVIKNANLFGGTGWSITEFSWDVKYAGLQLIASQFLNQAKHKKHSDILEQYRSKAEYYICSCLNKNINGTNVERTPAGLLYVRQWNNMQHVSTASFLLTIYSDYLKNTNQKLTCHGGILDHKEILSFAKSQVDYILGSNPMNMSYLVGYGPSYPKRVHHRGASIMSYKENKGFIGCTQGYDNWYSKEDPNPNVLVGALVGGPDWQDNFEDKRNNFMQTEACTYNTAPLVALFAKFLHIEDNKMVHDCNSLLVASFK >RHN73018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12755186:12757437:1 gene:gene8789 transcript:rna8789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Agenet-like domain-containing protein MHSTQRRPNKQLKEKEDYIDVVGDAVHACWVPPLLQPQDKPPQEIKKEPKVKIKFKFTGKPKNEPKFRKGEIVEVSSDEEGYQGAWFVATIIDTLGNEKFLVEHRDLLMNDGGIEVLKEEIETKFIRPCPPHVPMFGSFKRLQEVDAWYNDGWWEGMVVELVNSEECYVRFRNNEVLKFESSKLRPHQDWIDGKWIMSSKESSERVKKFGDVIHETENLGGTKLILKGPIPSESAKHNRDMISTVHNGSKFDLHFYKGTNVEVKSDEQGYEGSWYPATVVDLYQNGKYLVEYSTLKTDDLTQQLKEVVDVSDIRPRPPDIDHFCRYVRQEWVDAWYNDGWWEGVVSNVGHGLNGFKYQVYFWTSNEVLEFEHNHLRPHQYWIDGRWVLASLG >RHN58532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2566981:2568432:-1 gene:gene20453 transcript:rna20453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MLYNLASFLHSFATISTLSLTTSFSSSLVLRLNMVKSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAILMSGRNAKTNFPVSDNNSIANSNNKTFATSSSTSTKPNTSLSAILSAKLRKCCKSPSPSLTCLRLDTENSHFGVWQKRAGPRSDSSWIMMVELERKKKEQEEESEVLPNSDSETLASVVDNEDSEKAVKPENEDEEGNDKNKGLDEEQRIALQMIEELLNRN >RHN60950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31794462:31795155:1 gene:gene23352 transcript:rna23352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKTLKNIYSIIIFLSIFLVVMNVDGELINCITDDDCPKSEFRKWVCINNICRKMCRTKV >RHN41745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32596267:32597424:-1 gene:gene48098 transcript:rna48098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MEWTSIAWASGLTLAIPILTFISFHLNSQYQTLITAASTGIGVFFCLPAGFFKTTLIFIPYIAFIVLASTVASSSHTHHLALMISSFNKSKTNKVSSFFSLYATSFGCLGSAIISSFIYHMLREPDDRNYFTLWIVSIFSGLIWLVGVLHVVTAINRTTVSSVSLINKSMFYPCSLLKHPKAIGGLFGVFLSSFTTMCIFIGGVLFIVGNLCIRPPHLLYFWLIYFLFPLVSLPLLHPLQLLLKANSAKMKIIGFLLSMLSSGFGFYFWNSHWKLGHILIFGGVQSIGSGLLHAFGRVLILECAPNGKEGVFCVWYGWIRAAGLCLGFTVASVVPGQIKTSFGAAFVAALVGIIVLLFGNVGDNSKSDSQDNDNLGLDSKESISV >RHN59178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8209379:8214818:-1 gene:gene21173 transcript:rna21173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Low temperature viability protein MGGKKKFIDKKNSVTFHLMARDSTDPVYTESDRVFVRVDNNPLSADSIFADSPDDPDAEFDTEYAQDSGILSDEVRKEILELGFPDDGYNYLTHLREIKNSGGGSNFFTNPKFKLEHVNDVKAYDASRVRVKEVDEEPEENILYNVASSTANVRVQKAVDPEVAALLDDSDASRFGSDVEDLEEDFVVKANLCEDVDDEEEVHVRNGMNFTEESMNRTLNNAHILQGSAYATVADDCGPSDGGSNGATGVYSAGEKPRPRRLLDEQFDLLERQEYGTDDNSDYGDDYYGDYEENYQAEDESLAEKLKLSLGTRKVEDLEHEQKGQYKVPAEGEEEKDSAADVIRRCKEYGEKYEVEVEDKDAVIFEESSDESEVWDCETIVSTYTNLDNHPGKIETPWATRKKKLTETVTAAFSSASPIISLSGKAKLPVDLPGGRKPAADKVKDATTEKTEQYKRKQHGLESKEEKKERKAAVKEERREARRTKKEMKELYKCEASRAQRVAAGSGASSYHLM >RHN61656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37223398:37227920:1 gene:gene24143 transcript:rna24143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MSIIFLLVGILLSIGLQFTESTNLHFTCSDHISQVSVTFSTIGVCYGVLGNNLPSKQEVVDLYKSKGIGKMRIYYPDQEVLQALRGSNIELILGVTKETLSSLTDAGEATNWVQKYVTPYAQDVKIKYITVGNEIKPNDNEAQYIATAMQNIQNAISSANLQGQIKVSTAIDMTLIGNSYPPNAGVFTDQANSYIQPIINFLVKNGAPLLANVYPYFAYIGNKQSISLDYVLFKQQGNNEVGYNNIFDAMLDSVYAALEKVGGSNVKIVVSESGWPSKGGDSASIDNAATYYSNLINHIKNGTPKRPGAAIETYLFAMFDENQKTGATTEQHYGLFNPDKSPKYHVAQSGIELDTTRNITVILNMK >RHN70038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48241694:48243436:-1 gene:gene18578 transcript:rna18578 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA hydrolase MEKTEGTMPDLESVKKYLEKRLASTVDDEFPPKFLQHLILRALRLDLIEPGRVVFSMNIPPRLLNSGKYLHGGAITTLVDIAGGTAIPAAGFPWKSGVSVEINISCLDAAYVNEEIEIDTRVLRLGKAVAVLSVELRKKKTGQVFAQGRHTKFLPLKYLPLKSKM >RHN80740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40502113:40505444:1 gene:gene4700 transcript:rna4700 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLSTLFPKLGIANTNTKSFIISKVPIIICSSLNPSTLKRRNLILQTSLLCCFGISSLTLQLPPALSSEPNSLLSTIENTSSWYRFYGDGFAIRVPPEFQDVMEPEDFSAGMNLYGDRAKEKEVVARFVSSDGLAVLNVITRVTSQLKLTFLEAQDITGLASLKDAAKLFIPGGSKVYSARSIKIKEPGGFRTYYFYEFGKDDLHLALMAGVRGGKVIIAGATAPQSKWDTDGVTLRSAAISLTIV >RHN79420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28659644:28677802:1 gene:gene3210 transcript:rna3210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein MEFRSRDYRTEKESHALPRLRADAHPLSPPPPLLQQVNVVVDAGNADFFDPLRGGINNDAEANPPEPGNLNEVAGDPPMKEWTSFRRLLMQRFPVSKMVSLSSMPDVLMRSGKSHDKSSTSMHLEELDDPQKFADEGVKVITWQDYVSRLQELKDEITRSWLADDRVTSLKLSIKVAKLLVDTSVSEFYPTLFVLVTDIMDMIGDLVWKRIKQKAEFTEDGTLLCNLAENFEASSICADAKETCYNWFSKIGAVQDLLPRIYLEMALLPCWRFLLDQPTDSLQRLVMMTRGLGDPVASAYCRLYMAHCAQKLPSHDIGYLVTCVNDLRVILTQALAANESTLGHFKNNKKLQISLMEPTIEYIMKCIFSGLSQRRVNEVLSELGLLKNQKNFETVPCVSVVLHHLLKELPIEVVSSNVVNILHLIEFNKDTSFHQHLNYRLLGFRLYEGKCPVNIVNAVLDKVMQIIVPYESLDEYLSVVDAYVDLILQNHMNNHLDIILRGISERASNGGITVDEMSSLQSLLMKLLSHFECLEDVFCLNHFPEILDVMHGKSQDVVFLYILNMAMRSGHIRDPTSIQLLSEISQALHDNMEFTNKDDDGQVARSVSRFVHMVDYGTEMESHLAFLVDCRANFGRFNELKETLVHSSNSLAIQSLKCTKKDLSFFKSCVTFSEVTIPSISGQRQFDLFLETAEVAFLGGLVSHVDGLIDSGIGCLHGFRTPADVEGLVSSIRKLCSFLIMVPGNTSVPVTYFPNNLYTLISSQSWFDPKMRTRIFSAILLLLTTLSQKTMPYHANTQIPGNDMLYYGDLSYKQELVSLSKVVLENLLFAVQEEPSQAARGSMALEACNCIASSFLLSNELSSTCLKLIGTAKSCLSAQDRCLQSTIQLVNKQLPDFARTMSTSV >RHN41474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30307868:30311242:-1 gene:gene47803 transcript:rna47803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MILSPYFFSEIHSTCLHVVLLIFLQPKNTVIALGNDTDQLSLLSFKDAVVDPFHILTYWNSSTNFCYWHGVTCSPRHQRVIALNLQGYGLQGIIPPVIGNLTFLRYVNLQNNSFYGEIPRELGQLFWLEDLYLTNNTLRGQIPAVLSNCSELKILSLTGNKLVGKIPLELGFLTKLEVLSIGMNNLTGEIPSFIGNLSSLSILILGFNNLEGKVPEEIGNLKSLTRISITTNKLSGMLPSKLYNMSYLTLFSAGINQFNGSLPSNMFLTLPNLQVFGIGMNKISGPIPSSISNASRLLLFNIPYNNIVGPVPTGIGYLKDVWSVAMGNNHLGNNSSHDLDFLTSLTNCTNLRVLHLNLNNFGGSLPKSVANLSSQLNQFDISHNKITGTVPEGLGNIINLIGINMKFNLLTGSIPASFGKLQKIQSLTLNVNKLSAEIPSSLGNLSKLFKLDLSNNMLEGSIPPSIRNCQMLQYLDLSKNHLIGTIPFELFGLPSLSLLLNLSHNSFKGSLPSEIGKLKSIDKLDASENVLSGEIPEEIGKCISLEYLNLQGNSFHGAMPSSLASLKGLQYLDLSRNNLSGSFPQDLESIPFLQYLNISFNRLDGKVPTKGVFRNVSAISLKNNSDLCGGITELHLPPCPAIDKTQTTDQAWKTIVITITTVFFFLVFSFSLSVFWMKKPNLTTSTSASTMHHLPKVSYQMLHQATNGFSSNNLIGFGGFGFVYKGILESEGRVVAIKVLNLQIKGAHASFIAECNALKCIRHRNLVKILTCCSSMDFNGNEIKALVFEYMQNGSLEKWLYPHESEIDDQPSLNLLQRLNIIIDVASAIHYIHCESEQPIIHCDLKPNNILLDNDMVARVSDFGLAKLVCAVNGISDLQTSTIGIKGTIGYAPPEYGMGCQVSTLGDVYSFGILVLEILTGRKPTDKMFTNGMNLHWFVKVSLPDKLLERVDSTLLPRESSHLHPNDVKRCLLKLSYIGLACTEESPKERMSIKDVTRELDKIRISLSKK >RHN39944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11869254:11870354:-1 gene:gene46028 transcript:rna46028 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAMNTQCEITILAAAEGEHKLPSINGSGKLKEALQKLVSIPSSKLLAVEVLWTPQDENDTLLERELLEDYPLLQPL >RHN78812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18641027:18645916:-1 gene:gene2466 transcript:rna2466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MVKFTADELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDESLKRFKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSASCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLTKLGVTMKSEEKDLMGKPLMKRVMQTWLPASTALLEMMIFHLPSPSTAQRYRVENLYEGPLDDQYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGLKVRIMGPNYIPGEKKDLYTKSVQRTVIWMGKRQETVEDVPCGNTVALVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIVAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVLDRSVRTVMSKSPNKHNRLYMEARPLEEGLAEAIDEGTIGPRDDPKNRSKILSEQYGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALSEENMRGICFEVCDVVLHTDAIHRGGGQIIPTARRVFYASQLTAKPRLLEPVYMVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDTMTSDPLEAGSQAAQLVTDIRKRKGLKEQMTPLSEFEDKL >RHN75886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45899249:45899792:1 gene:gene12144 transcript:rna12144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MILTLNELPESQRADAVSSMVYEASARIRDPVYGCAGAICHLQKEVNELQAQLAKSQAELINMQLQQSNLLALICMEMKETPNESPQQSMDNFISSPAYSSDYQNNLNFFEDNTSQNSLWEPLWT >RHN62102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40739957:40752657:1 gene:gene24636 transcript:rna24636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nck-associated protein MPRKMLLQTYNLLHALSRNERDCDFYHRLVQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPIIFLSTDTKKLRNEGFISPYHPRFPDILTNSAHPLRAQDLANVTAYREWVLFGYLVCPDELRRVTSIDIALVVLKENLVLTLFRDEYILLHEEYQLYVLPRILESKKMAKSGRTKQKEADMEYNVAKQVEKMISEVHEQAILSCDAIHRERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQCEVIWYFQHVGVASSKSKTTRVVPVDIDPNDPTIGFLLDGMDHLCCLVRKYIAAIRGYSLSYLSSCAGRIRFLLGTPGMVALDIDASLKGLLQQIVHHLEHLPKPQSENISAITCDLSDFRKDWLSILLMVTSSRSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESLLSKHGSLRKLYFYHQQLKVVFRNTMFGPEGRPQHCCAWLGIASSFPECASPVVPEEVTKFGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALENQLLPEQAASYLNYASRVSIPSYKSPKGTAGFPLPGHESFPENNSSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNFRRRLLGVLKTDNDLQRPSVLESLILRHVSIVHLAEQHISMDITQGIREVLLSEAFSGPVSSLHLFEKPTDQHTGSATESVCNWYIENIIKDVSGAGILFVPIHKCFRSTRPVGGYFAESVTDLSELQAFVRIFGGYGVDRLDRMLKEHTAALLNCIDTSLRSNRDVLEAVASSLHAGDRIEREASMKQIVDLETVIDFCIQAGLALAFDRLLSEASGAILEEGAPLIHSLLTGVVNHLPDGVPEKEEIKRMRTVANTAGVVNDHDSIWVRSILEDVGGASDGSWSLLPYLFATFMTSNIWSTTAFNVDTEGFSNNIHCLARCISAVVAGSEFVRLEREHQHRQSLSNGHASEGMDPELSGHMSAEASINSTLQLFVKLSAEMILDSWSETHRSHLVAQLIFLDQLCEISPYLPRSSLETHVPYAILRSVYSHYYADTPSTPLAIMNASPRQSPALLAHASPVLRHPRGDSTPPYYGNDSGYFKGSSSHSQDHLYDADISSIRNTRRSGPLDYGAGRHKVKSVESSNSGSTGPSPLPRFAVSRSGPLAYK >RHN59021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6740390:6743247:1 gene:gene21002 transcript:rna21002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MATANQEEVRLLGKWASPFSNRIDLALKLKGVPYKYSEENLANKSDDLLKYNPVYKKVPILVHNGNPIAESLIILEYIDETWKNNPLLSEDPYERALARFWSKTLDDKILPAIWNACWSDEKGREKAVEEALEALKILEDEIKDKKFFGGETLGLVDIAANFIGYWVYILQEIAGLELLTLEKFPKVYKWSQEFINHPVIKEGLPPRDELFAFFKASASK >RHN62293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42385106:42391277:-1 gene:gene24851 transcript:rna24851 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRARLGGASGGPDEVEDGMVDGSFHSPEWHAARLASLKTSHTITWEEYKKKQKEEELKKGELEADADKMMREYRAQLDAERSRKLSQGRNHSSSKSKHSKDKRDKISKKHSSRKRKHSRRSSPSSSSSSSSYSSSSEDEERASKRSKSRSKRSKKEKKHKSKRKDSGTDSEDGGGPVRLSKFFGTSRVDSG >RHN48560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48469102:48469374:-1 gene:gene43291 transcript:rna43291 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQILESNLGIGKSKLGFLGEKLVFPESCTMTASSVSCSCVFFTRFRFELGFGVKMKVVDNFVSFPMALVWRKNEFWFRSYDENTPRRS >RHN45605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23591224:23592445:-1 gene:gene39982 transcript:rna39982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MDGGKKNKKNVVWSIFSFESLTWKLNSRRNNEYGPFDVITSIFVCLVNSLSQFRSFSNNHTYSPTLVQETLVSLIIYRRKSDPSFAEKIPYLSRDFPKNPYAKSEISIMVHSQLGSNISPPPSHYTTYLYQSQCNQLKKDLLNEANHDSSFSAMVPSPINPFPLIPTHRYKATHTNGSQREFISARNPMVFSPNHNKMEDIHGRLNTSKGIWDLSTKNIFQYGETSQPWVSPSLSPSLAYDAHQSVSVNPELQGDLSRNVGIGNKSQENDQRFVLSGQKRRKRIQNNNEIQHKDPNQIKGQWTSNEDRVLVQLVDHFGLRNWSRIAKFLKGRIGKQCRERWNNHLRPDIKVSFF >RHN81634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47698446:47698706:-1 gene:gene5705 transcript:rna5705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MAQVKIGTGLVLVILVMLCAGAPMAPSRCTNVLVNLSPCLDYITGKSSTPTSGCCTQLANVVKSQRLCQVLDGALNHCYVSCTKDK >RHN41063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26560341:26561249:-1 gene:gene47339 transcript:rna47339 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQFGRSKEQDFKFIMDRIWKNLKGWKEKSLSFEGRGVLIGAVSQAIPTYFMSGFLLPKGLCEKIEKVVCAFWWGSKGNNRERSIGLKRKLFKSKHKVGMGFKILRDFKLAMLANQVWRFHKQPESIIAKSYKAEYFPNTDILHAPIGNSPSFAWRSIQQSI >RHN67066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23410044:23415658:1 gene:gene15203 transcript:rna15203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative folate-biopterin transporter, major facilitator superfamily domain-containing protein MIQWTNRLNAAFGFSFLWLICLIYFTQGFRSFVWTAISYQLKDNLHLSPSASQFVFSVAFFPWSIKPLYGILSDCIPIKGSKRISYLVIATVLSLVPWFILGLSSTLRNSTWHLMVILTVQNLGSAMADVVVDAMIAEAVRYDKAKFAGDLQSLSWSSMAVGGICGSLLGGIALSSLQIDAIFLLFSVLPCIQLLSCFSVKENSVNSEVLVEDSIAKDLHTNGSSSTVDEDSSFTKKSHSSTRKRKKGKKNTKNRAVNTSKSKILEKGDSLATKWFHSLKKAIYDLCRAFRQPMILRPMSWFFLAHITVPNLSTVLFYYETEVLKLEASFLGTVRVVGWLGLMLGTFVYNRHLKHMTLRRILMYAHVALAFLNLLQIAVVSRKNIAFGVPDRVMVLFGSALVDGINQFKFMPFLILSGQLCPPGIEGTLFALFMSINNLGTTIGSFVGAGLASILNIDSGSFDNLLLGIVIHALCNFIPIAFLFLIPKEATGASA >RHN78596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16534014:16535776:1 gene:gene2221 transcript:rna2221 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKDQETRFQVKQNSLKRDSSKEQHGISQPPRFSTRSWKSASRLREIKLESKWNSAFHLASSSRKQRFKE >RHN53619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2892473:2897282:-1 gene:gene28546 transcript:rna28546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription initiation factor TFIIE, beta subunit MALQGKLDRFKKQQEKCQSTLSSIAANKAVSASVPNALAPVKFSTDTERLQHINSIRKAPVGAQMKRVIDLLFETRQALTLEQINETCHVDMKANKDVFDNMRKNPKVRYDGERFSYKSKHALRDKKELLFLIRKFPEGIAVIDLKDSYPTVMEDLQALKGGREIWLLSNFDSQEDIAYPNDPKVPIKVDDDLKQLFRGIELPRDMIDIERDLQKNGMKPATNTAKRRSAAQMEGISSKPKPKKKKNEITKRTKLTNAHLPELFQNLNNS >RHN66496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13045079:13045457:-1 gene:gene14498 transcript:rna14498 gene_biotype:protein_coding transcript_biotype:protein_coding MCRCGRMRNQENGVYLCDLCNLTTFNICCKCRLRILVNDRKTTARFQMFDYVLDEIKSLDYSTQACILLVQAFLVSDVDLSFSVVYGLCYAIFYWFYCVFV >RHN75149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39767249:39771154:-1 gene:gene11311 transcript:rna11311 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLLIIVFVFDVIAFGLAVAAEQRRSTAKIVPDKEQNYNYCVYDSDRATGYGVVAFLFLMISQIVIMVVSRCFCCGKPLKPGGSRACAIVLFIVCWVFFIIAEVCLLAGSVENAYHTKYRTLFVSDPPSCETVRKGVFAAGAAFVFFTSIVSKFYYINYSSARESFQPYLGGGETGVGMGTYK >RHN73791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19905205:19907955:1 gene:gene9655 transcript:rna9655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanine deaminase MEEILVQNKDGSVAVASAFAGHKQAIKDRDHKFLRKAVEEAYKGVECEDGGPFGAVIVLNDEVIASCHNMVLRNTDPSAHAEVTAIREACKKLKQIELSECEIYASCEPCPMCFGAIHLSRVKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKAQLEIKRADGNEAIIAEEVFEKTKEKFRMY >RHN77844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9824282:9826811:-1 gene:gene1338 transcript:rna1338 gene_biotype:protein_coding transcript_biotype:protein_coding MITIGVLYIIFCNYNFTIILFIDFISLSDYAILVYVKANMLLWMVCFHPLKYSKAIHFF >RHN40403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15971587:15973056:1 gene:gene46551 transcript:rna46551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MSSIQVLSTTPVHAPNHNPCDPNYHHTIDLTPWDLQFLPFGVNQKGLIYHHPSNLDTSNQIEHLKHSLSSTLEFFPPFTGRLKIKEHEDNTISCSITCNNVGALFVHAAAENTSVDDIIGATYHPKILHSFFPFNGVKNYEGTSMPLLAVQVTELVNGIFIACTVNHVVADGTSIWHFINSWAKISNGSLEISKIPSFERWFSDDIQPPIQFPFTIEPQNIHHKEEKLNLYERMFHFTKENIAKLKFKANLEAGTKNISSLQALFTHIWRSIIRSKNLDPEEEVHYVVVIGVRPRLIPLLKKDYFGNALIDPAVSMKVGDLLQDNGLGKGALEMNKMIALQSDEMLKNEYESWLKTPSFITDAKFNCKTLVGSSSPWFDVYGNDFGWGKPVGVRTGGANKENGNICVFQGVEKDSMDLEVCLSHETLEAIGNDPEFMNVVSN >RHN48734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49818202:49820257:1 gene:gene43482 transcript:rna43482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKRCKKLKSESRAMKRCKKLKSESPAMKRCTKLESELPDCIISYIFSKFGLKDLVKTSALSKRWIHEWGLRTDLNFDLHTMFDYNTIQDLPNTLPLFQRFHFQSQFATRLDQFMLHYKGAIIRSIRVNFPLGNEHRDAIDRLISKGIAKGVKHIELLLSSETNDTIDSIPPYKFPLTLLSDFDSLTYMHLQNCFLLEPLDFSGFKNLRTLVLHLVDVNQKLLQSLCSNCSHLADFTLDDCQFTSNVIINSPTLLRLNIVNCGVKRGDNGEVKIPEYITIIIIASNLSSFEYSCHKLFLVHLMNIQAPMLSKFSFRGMEFSKPVGFSVLKNVTKITLDRPLI >RHN51826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26056083:26059197:1 gene:gene36364 transcript:rna36364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLKSHFGESSFPSSHHRQRSVTFPTATSRSTTLEMVLSISQMASLCFFRFHYSSSFLFTRTLYYSHSRFVPNNVDNVVSSFNHILSMKPTPSIIEFNKILGSLVKSNNKHYPTAISLFYQLELNGITPSIVTFNIVINCYCHLSEMNFAFSLLGKILKVGFHPDIVTLTTLIKGMCLNGKVKEALHFHDHVISLGFHLDQVSYGTLINGLCKIGETRAALQMLRKIEGKLVNTDVVMYNTIIDSLCKEKLVTEAYELYSEMITKTISPTVVTFSSLIYGFCIVGQFKDAFRLFNEMVLKNINPNVYTFNILVDALCKEGKMKEAKNVLAMMVKQGVKPDIVTHTSLMDGYCLVNEVNKAKALFNTMVQRGVMPNVHCYSVVINGLCKNKLIDEAMDFFKEMQSKKIIPNTVTYSSLIDGLCKSGRISHAWELLDEMHDRGQPANVITFSSLLHALCKNRHVDKAIELVKKLKDRDIQPNMYTYNILIDGLCKQGRLKDAQVIFQDLFIKGYNLTVWTYNIMINGLCLEGLLDEALALLSKMEDNGCIPNAVTFEIIVHALFKNDENEKAEKLLREMIARGLL >RHN55064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14834599:14841071:1 gene:gene30190 transcript:rna30190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HD/PDEase domain-containing protein MIFLLSLSIALVFVPLPHFFCKILVFTKVVTYIDVQITQLIPKYQMGVHAPNTRVSEFHFGGISPHLSPVTSKNSPCTKNSIKTNSPSSLFCFSHFSTSLQFLFLSSSLLQTLTETRFHCILPLHSMITDSSMAIPASLNDSVSLERKFLKHIRDNVHGNIFLEPIFLKFVDTEQFQRLRDLKQLGLSHMVYPGAVHSRFEHSLGVYCLAGKAIDIIKKYQGPELGIDRFDVLAVKLAGLLHDVGHGPFSHTFERGFLPLVLNGPTWSHEEMSEKMIDYIVDEHNIDLDSQLLKKVKEMITSSSVHPPQREKKFLYDIVANGRNGIDVDKFDYIVRDSRACGLGCNFQPERLMETMQVMDDEICYRSTDYLTTHKLFATRADLHRTVYTHAKVKAIELMVLDALVKADPYLHIASSIHQPSEFWKLDDSVLKTIECSSNHELEESRKLVLRIRRRDLYQLCNEFSVPKEKLDNFKSITPQDIVCSQINGTNLKEDDVAVSNVKIDLTRGMENPLERIKFFKDYGSKEKFPIENERISHLLPAFYEDIIVRVYSKKPELVEAVSAAFANYQLKTFGREAQVHETPKKKQRLTHETHPTDFNM >RHN73934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21790023:21791152:1 gene:gene9834 transcript:rna9834 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEGEQQRLIREARMRKGKQATTASHQKDREEQNSYVPKTRKRRDPRLVGDSSQSQIDYSSRVVDPTQAHEYVEYAGGFEVYNRMQEEAEDEEVAEDEDAEADYLEADDIVPEPEPEPRQRRLRAPLIPSCPVVGPPFPGGPETTHLLSDYARHVAILLWVNHLNAIGKWSWEEMTLAYLYDYLNDSIRLNNKTMAGCVTLLTVRTQGRKTRVGSRKDHVRGDDLLPEGIHLCTIIAYSWIA >RHN56902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34610748:34612018:-1 gene:gene32367 transcript:rna32367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MFQFFNYADMERVTQQGPWLFDSYPLIWSKVSDGKDPFTMPIDSIELWMQVHNLPFGFMTETMGILLGNHVGKLVKYDHDNNYGNWRRYMRLRVSLSAKEPLKKSFEFVLEDGAVIRVNFRYEKPGNFCYECGLIGHTDGSCPKRFEKGFVEGQQQWGPYLRSDYVGPEGGMIENPWLHDGRNRGRRGSGRAAECSNVHRVFGRIKIGRDMITRGLVFYRHIGALYDSNEWVRFNVESVSHTPDAAPPNGSGTILNSSVQIAGPTTRETQLVEGDEARIARLIQEARLKNPVMIETAMNNPLGSEQNVLEHQPISQLAASLA >RHN51248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15380871:15381140:-1 gene:gene35656 transcript:rna35656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEDKTKMDRISDLPDELLCHILSFLPIKIALTTTVLSKRWIPLCHSLTILRFDDETVYYAAFNSVCGFIDTFMLPPRLANQFIKTFSMP >RHN66227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9888058:9888684:-1 gene:gene14170 transcript:rna14170 gene_biotype:protein_coding transcript_biotype:protein_coding MCHGKNPLLLGRLSHRFNRRIIFRGLDEQWKLISKRSYEYGDICLFKGRIYAVNQSGETVTVGPDSSVELAAQPLDPGIPGLNKMLVESEGRLLLLAINEMFYSFSIDFFKLDEKEKKWVRLMDFDEKEKKWVKLRHFGDRIFFIGRGCSFSASASDLCIPKGNCVVFIDETVLWIDNKRVFHLDQNQLSCGAKYLNLFLPPKWIHNI >RHN38654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1041873:1042686:1 gene:gene44612 transcript:rna44612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MSTSMIASFFVLLLAFPYAFATDFTVGDANGWTQGVDYTKWASGKTFKVGDNLVFKYGSFHQVNEVDESGYKSCSTSNTIKSYDDGDSKVPLTKAGKIYFICPTPGHCTSTGGMKLEVNVVAASTTPTPSGTPPPTKSPSTTPSAPSETNSTTPSPPKDNGAFSVSNGVSLLMGSFFVSAMILGLMG >RHN58935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6056778:6057292:-1 gene:gene20892 transcript:rna20892 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYPKIASTHSLKAFCSSLFQVMPLLLLSIRYKILFCDIVQSCIMHALD >RHN53573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2632595:2633583:1 gene:gene28494 transcript:rna28494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative N-acetylgalactosaminide beta-1,3-galactosyltransferase MKDSEKLNPTLVNPTTQQRPSHSHSAKIMLWLILAVSLTYFIYTLKLVSTSSNCNHGPFSINHHSSSINAANSTTISSSKRTELRHVVFGIAASSKLWEQRKNYIKLWYNEKKMRGIVWMDDNVKTNPNEGLPPVKISTDTSNFPYTNKQGHRSAIRISRIVSETLRLGLKDVRWFVMGDDDTVFVTNNLIRVLNKYDHKQFYYIGSLSESHLQNIYFSYGMAYGGGGFAISYGLAKALVKMQDRCIHRYPGLYGSDDRMQACMAELGVPLTKEIGFHQVRYPIVNYLFIESSNRCPCTD >RHN45942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27049816:27052629:1 gene:gene40372 transcript:rna40372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MSLSRANDTEMKESATTGAPSSSSSSWNRLPPMPPNRFEDSDEDLFEVPDVEGTQCQSVHSELTNQNSNHNQSNVTDAQLQAGFPGKRRRGRNPADKEHRRLKRLLRNRVSAQQARERKKLYVNDLESRAKEFEDKNSNLEERISTLTNENTMLRKVLMNTRPKVDESNEG >RHN73204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14367848:14368364:-1 gene:gene8993 transcript:rna8993 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPLHESKRSKAKRALRSLGIGIAIPIALTLTIIILFGSGRKYNVLAKPFWFAPLWYIHLATLGSSFFMGLAAWLVWADGGFQGETDALSFYIAHVSLSIVWHPLVLVMNAYWLALASGILDCATLFIVYLRFKKVNPFAKDLAKPCLAWTAYLTLISFKLMLL >RHN55571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19574669:19576112:-1 gene:gene30772 transcript:rna30772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MNTRPFITLLFFSLCFIISFSHSLRNSFSFELIHRDSSKSPLYKPAQNKFQHVVNAARRSINRANRLFKDSLSNTPESTVYVNGGEYLMTYSVGTPPFNVYGVVDTGSDIVWLQCKPCEQCYKQTTPIFNPSKSSSYKNIPCSSNLCQSVRYTSCNKQNSCEYTINFSDQSYSQGELSVETLTLDSTTGHSVSFPKTVIGCGHNNRGMFQGETSGIVGLGIGPVSLTTQLKSSIGGKFSYCLLPLLVDSNKTSKLNFGDAAVVSGDGVVSTPFVKKDPQAFYYLTLEAFSVGNKRIEFEVLDDSEEGNIILDSGTTLTLLPSHVYTNLESAVAQLVKLDRVDDPNQLLNLCYSITSDQYDFPIITAHFKGADIKLNPISTFAHVADGVVCLAFTSSQTGPIFGNLAQLNLLVGYDLQQNIVSFKPSDCIKV >RHN51905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28112557:28113890:1 gene:gene36471 transcript:rna36471 gene_biotype:protein_coding transcript_biotype:protein_coding MYKCRRVEYNFLVDVVFNINWPKPIVLKSDGPSSLLLLIQYKCGYIDNIFLIYFFLLFSIMLNLIHEKKIFNFISSSSLFSIFFLDSSYILFLFLLFFDILYLLTIFQDLDASLNPQLIRS >RHN75964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46615826:46620277:-1 gene:gene12228 transcript:rna12228 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CNGC15C MGFDNPRSERFEDDPEISKIPTTSGVKVKYHIDGTQIPEQSSKKSRKNETRNKFLKTRVLSRVFSEDYERVKKRVLVLDPRGQLIHRWNKIFLVACLVSLFVDPLFFYLPVVREEVCIDIGKTLEVILTVVRSFGDLFYIVQICMKFRTAYVAPSSKVFGRGELVLTYSKIALRYFSKGFWLDFIAALPLPQVLIWIIIPTLRGSTMANTKNVLRFFIIFQYIPRLYLIFPLSSQIVKATGVVTETAWAGAAYNLMLYMLASHILGACWYLLSIERQEACWKSVCNMEKSNCQYGFFNCHSIKDAPRVAWFIASNVTNLCSPNAGFYPFGIYADAMTSKVTSSPFFNKYFYCLWWGLRNLSSLGQGLLTSTFIGEIMVAIVVATLGLVLFALLIGNMQTYLQSITVRLEEWRVKRTDTEQWMHHRQLPPELRESIRKYNQYKWVATRGVEEEDLLKGLPLDLRREIKRHLCLELVRGVPLFDQMDERMLDAICERLKPALCTEGTYLVREGDPVNEMLFIIRGHLDSYTTNGGRDGFFNSCRIGPGDFCGEELLTWALDPRPSVILPSSTRTVKAFSEVEAFALIAEDLKFVASQFRRLHSKQLRHKFRFYSHQWRTWAACFIQAAWRRHKKRKEAAELRAKENLVAASEAENEIAKKYGKGFVVYGTRVARSTRKGVNMHSGTNSGVVSSLQKPTEPDFSDE >RHN74783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36675694:36679180:1 gene:gene10898 transcript:rna10898 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDHTPSFHEPCSCQIEFSDCHLKEFTFDGYAISQPVILSDPSIAKNACANIKLSKWQDGTPEAGLRAFALLNQFSQAKSITFDGSEGIFRFNKELLNSAVVRDCLTSTLKCLRG >RHN75794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45121051:45125550:1 gene:gene12045 transcript:rna12045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLQYSISGSRQAIRATMRYRSVLHFFSIPNQYPSVRSVSSSPQNVEQAVRAEVESKNYVRIPDLITTELSQSQNSNPFSFLSSFPQNIQVQIVDEMLQSFIPLRPRYKPQLAYSYLLSYILQSSHPLPLALAVLQRTLRSGCLPVPQTHVLLSSAWLDRRCLSHSVANILLDMQSIGYHPDCGTCNHLLSSLCAVNQFVEAIDVLKGMGGAGCIPDSNSYGIVIGAMCRVRRTSDAQDLMKQMVAKYGLTPDHGTMVKILSALRANKEIWKAVEMIEFLEKEGNSVGFESYELVVEGCLERREYVLAGKVAMGMTERGFIPYIKARQKIIEGLASIDEWKIACGVRQRFATLKS >RHN67086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23642482:23649145:1 gene:gene15228 transcript:rna15228 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSLEFHDQILDEMKNADSNISTIQESKNEEESLQISFKGEEQNTPSSNSPLKRKKRRGRKRGRLSKQFNRRSKKQNDPRPAWIGRWIQRTKIRKNGRKDIFYIHRDIPRLTCRSEKEVERFEKDGTRPGRKVR >RHN69103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41059659:41066034:-1 gene:gene17532 transcript:rna17532 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFSSLQITTTTTATVSFLHQSSSSSFHSHNPSSTIFYKSLLPGFATSPSFSFKKTRKLATTRASLLETPVLWVGRICIYYALVKTGFVGSPSNPILSGLEIGDAGDSSESGGDLGFSKWTQVILGKPAKEGANVGNLKSKWHPTTKGTLRRNYRVPSKSEGRRLLKAIASLLSDDDHFVDATSHKGCQIRRESAHGESVCCNNVRALFDELPTPHLIVEITPFPAGPLSDKDYTKAEKLERVLRSSPSV >RHN40399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15938180:15940673:-1 gene:gene46547 transcript:rna46547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MAVALLICCVFYSWRRNNRLSQGNYNELLRVCLKFLNNHNFINLSLVISHYYSNLLASLVGESTLSTTPLAFHGHVLRDDSLNGDLPIIPLIVLQQSTDYFSESTKLGQGGFGSVYKGTLPDGTEIAAKRLSETSGQGLEEFKNEVIFIAKLQHRNLVKLLGCCFEQNEKILVYEYMQNSSLDFHLFNSGNHDKLDWSVRLNIINGIARGLLYLHEDSRLRVIHRDMKASNVLLDDEMNPKISDFGLARRFEKGQSQTETKRVMGTYGYMAPEYAMAGLFSVKSDVFSFGVLILEIVYGKRNGEFFLSEHRQTLLLYTWKLWGEGKSWEFVDPIQRKSYIESEVMKCVHIGLLCVQEDAADRPTMSTIVLMLGSDTMVLPKPKKPAFSVGRMFNDEDSTSKSYTDNSVNELTITSFIPR >RHN38915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2783963:2786996:-1 gene:gene44892 transcript:rna44892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAELIPYGLAESLIKRLASAAFREFGGIYGVMNELERLKNTVESIRNVLLDAEDKQEQNHAVKNWIRRLKDVLNFADNLLDEFVIEDLRHKSDVRQKKKVTKVFYSLSPNRIAFRYKMAHEIEKIRKIFNDVVDEMSKLNLSQNVMVVMQTDIIGRENNKKEIISLLRQHHRDHNVSLIAIVGIGGLGKTALAQLVYNDKEVENIFEKKIWVCVSKNFDVKTILKKILESLLNGKVDENLSLDNLQNNLRQNLSERKYLLVLDDIWNESHQKWIELRTYLMCGAKDSKILVTTRSKTVAQTMGVCDPYVLNGLTPEESWSLLKNIITYGNEAQAVNETLESIGMEIAEKCSGVPLAIRTLGGLLQGKSKQSEWNNVLQGDFWRLCQDENSIVPVLKLSYQNLSPQQRQCFAYCSIYPKDWEIEKDELIQLCIAQGYLDCSPEVELNEDIGNQFVKIFLTKSFFQDAKMDEDGDIYSFKMHDLIHDLAMQVAGIDCCSLDGDANKLVGRPMHVSFQRNAIGLLDSLDAIKLRTLVLLSSSPGWTGLNGEESSVISNFKYLCVLKLSDSSLSKLSGSIGKLKHLRCLNLYDCKVSIDFFKSISKLVCLQTLKLRVREITPWEFNVWRYDGIIYSNWLSSLTNIVEISLTCCEGLEFLPPLERLPFLKSLYISFLRVLKYIHYEEPILSEIFFPSLESLRLEDCSYLMGWCRTGDGIDSSQSHHRSFPPFPLLSQLSIEGCQRLTCMPTFPNRLFIIPVY >RHN62510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43979681:43985915:1 gene:gene25096 transcript:rna25096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative riboflavin kinase MSVARRLIKCVILDLDGTLLNTDGIVCNVLKVSLGKYGKEWDGRETLKIVGKTPLEAASAVVEDYGLPCSPIEFVSELSPQFSDQWCNIKALPGANRLIKHLKSNGVPMALASNSPRESIDAKISFHDGWKDSFSVIIGGDEVRTGKPSPDIFFEAARRLKIEPSSCLVIEDSLPGVTAGKAAEMEVVAVPSLPKQSHLFTAADEVINSLLDLQLEKWGLPPFADWVERTLPVDPWYIGGPVIKGFGRGSKVLGIPTANLSTKDYSDLLAEHPAGVYFGWAGLSGRGIFKMVMSIGWNPYFNNKEKTIEPWLLHEFTEDFYGEELKLVIVGYIRPEVNFPTLESLIAKIHEDRRVAESALELPMYSSHKDDSYLRSS >RHN44801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8601556:8602172:-1 gene:gene38965 transcript:rna38965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator GNAT family MAIINPSRITIRAFKESDIDDVLLWLGDERVIEDTRLETCNSKKEALDFIKNECIYPIRQSICLDDHSIGMVWILPHANDEKYKADMGYAIGFNYWGQGIATNAVKILLSKVFHEFPDLRRLQAYTVLQNIASQRVLEKVGFHKEGMLRKVFYFKGNFVDFYIFSFLWTDEIPSVV >RHN60125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21290173:21290901:-1 gene:gene22357 transcript:rna22357 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVTTPLLDSAEHNHGNPREPGESHKGPIASVSHLSPLGREDIRPIGEAQLTRVSREELENPTVDDFSEPLQDGVEHDHVSLRELEESPKGANESVPRPFNDAQQDMLQVSDHCHAYQDIDDRPSATLQFYDGNLVHIPDGTTVDTSRRVVDGEMNKGQAHESVLLQRQEVHPSKNIQHGLELCERIREYDARSAAEAAATTDNFVPVLTRNQKKKLKLQTVLSKQPSKSRAQGDNQTHAQ >RHN79589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30352861:30355574:1 gene:gene3402 transcript:rna3402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L12 family MKVVAAYLLAVLGGDNTPSAKTIKDILGSVGADAEDNRIESFLSEIKGKDIAEVIASGREKLASVPSGGGGVAVAAAPASGGAAPAAAEAKKEEKVEEKEESDDDMGFSLFD >RHN46617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33303800:33304311:1 gene:gene41128 transcript:rna41128 gene_biotype:protein_coding transcript_biotype:protein_coding MRENEGKKCYRRRETEEKSEPPLLSAARARYAPVVPLLLENPVRIWCWCDDSRWF >RHN53897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5145302:5145620:1 gene:gene28858 transcript:rna28858 gene_biotype:protein_coding transcript_biotype:protein_coding MISHLVLWRRRLVQILSSDENKDFFPSQSLIVLPDIKPIKIEHEIVNKKLPTNLPMARC >RHN48863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50740940:50742544:1 gene:gene43626 transcript:rna43626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MTQQGVTLWWHAHTSVVCATVHSAFIIQPRSGRFSFSKPYKENWLEDHSTSSESIFIS >RHN56418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30732949:30734205:-1 gene:gene31815 transcript:rna31815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MERCVAAFSSKSNKQNCKVRRSQSHIPNDLSFSILSKLPIKPLKRFGCVHKSWSLLLDNPYFMTMYRYHFVTKDHSYYDNTSLLLHQTFCPSYGCHPFEETFELYSVSGSRFENKVKLDWPNIKIAPAYLGQARYDSGFRLLDSGSVHGTLYLVCAPNRNFILWNPSTKESKLIPPSPFDSGPNWYLFVDHRGFGYDSIRDDYKVICHGKVSKRNYYGEVNKEVDSYLWEIYSVRRNCWRKLDVGVHNKHKSCEREQLYIDGLSHWMCYGETRYYERYMLSFDWSNEIFLTTPIPPVSNINGCFEYFLGMIQLVLLNGSIAFIISYIETGTFHISILGELGVKESWTKIFIVGPFPCLECPIGAGKKGDMLFIKKDGELAWIDLNTQIIEDSGVITERSLCKIAIHKENLLPFGGKSI >RHN60671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29287326:29290674:1 gene:gene23021 transcript:rna23021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructose-bisphosphate aldolase MASASASCFKSSLVLDKSEWVKGQTLLRQPSSMSVVRCNPTAPSGLTIRAGSYADELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAWRTLLVTVPSLGDYISGAILFEETLYQSTVDGRKIVDVLIEQNIVPGIKVDKGLVPLAGSNDESWCQGLDGLASRSAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTYEVAQKVWAEVFFYLAENNVQFEGILLKPSMVTPGAESKDKASPATVADYTLKLLHRRIPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTALKTWGGRVENVKAAQEALLFRAKSNSIAQLGKYTGEGESDEAKKELFVKGYSY >RHN76118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47832573:47835449:-1 gene:gene12398 transcript:rna12398 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFIPNRSSCGWATSAMTTALFASSSPKIATFLSTSSSSSSLHFNTRFYSLPTTSSFSPIITTTTRKSIYFQRKSKLWPNPVAATAVEDAAIDASEQLASSTATDDGVSIIVSALFFIAFIGLSVITIGVIYLAVTDFLTKREKEKFEKEEAASGKKKRKRKVVRAGPKGFGQKIVQTEDDD >RHN50498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7664295:7669300:1 gene:gene34788 transcript:rna34788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MKVPEEENNEINATTIASNDENSNSEPELESESESEMELRLKKLKMKKKLKMEAKKANMRGVCYLSRIPPHMDHVKLRQLLSQFGDIQRIYLAPQDSNARVQSKRARASQNQAYSEGWVEFANKCVAKRVANNINGEQIGGKKRSSFHYDLWNIKYLSKFKWDDLTEELAFKRATREQKLALELSAAKKERDFYMSKVDQSRTLNAIDERLKKKQKVQHVERVIRHFPQTKPIAAANAKKSKTEVSDDLLDAVFGGL >RHN69158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41468227:41471152:1 gene:gene17591 transcript:rna17591 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNSDDTIGDLKKLVAAQTGTRADKIRIQKWYTIYKDHITLGDYEIHDGMGLELYYN >RHN74538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34511602:34512207:1 gene:gene10626 transcript:rna10626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MSPTTWSGHFWGRTVCKTDSATENFSCVTGDCGTGKVECDINKGMSPATRAEFRLGDDGLDFYTVNVVEGFNIPIAVTPMSASGENLNCSSAGCPANINTMCPTELKVMENEDVVACQGACSTDNLENFCCLKSNFSTSETCDPSSYALTFKTACPHAYSYPHNKENTFSCSSKLYKIIFCPASSDDDRLDLAIFSPINNI >RHN80840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41193953:41197265:1 gene:gene4808 transcript:rna4808 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSQIKMDENGENPSNIASTDFNFALLSISSDDDEGCDKPPGLVARLMGLDSLSQCSSTSLCCHLDSLNMALKSNKSSCDTIEPKAHKVGNTTMKRFQNETLFSKSAKPISVTHNKHLSPIKSHVNMKPKNTAYIMGASAKRIVASPELYMRSTMSSIGHLSVPLRTLDLQEKLEIAQLGSKMRSNLYKSTSIFKGSRDSENNRSCLGKGKFASLATPSKTLVQSRDTLNLNGNRRYLKKKEIKSNHKNWNGQNSTVLRQTSKGKSSSKVDTNKSTQTCSSESSTGARTTTNKCAVNSYYESKKSRTRVTDKQKELSVSKRKSSSEKKRCDQNDARGSDNVVNTHDRKSIKCNVTMDESIYNDAYSMTESRDVISFTFKSPLRKNASQSQSTTKQVMETRTRIDVDSFLHVDKVYPTRLHVMDVDTLSVMLSHINPPQCTLEIERCSDDFESISEDRFNNMACNTSREHDNFFHLNLLSDKLDSMDDNCCSSNYYTIPGMNQQLQISEPMEDLSRNSNESRDDLCYQHTRTVATFENPFISKSNLDSEDSTYGGNRVYSSMQDEKVYSSQINESISPEYKMNWSEKSSTRSSRMMELEYVKDILRNVELIAEELVVGETDNIMMLTLFDLLENQRTGVESYEEYSKLKRKAIFDCVSECIELRCRQVFVTRCKAWPRCMVASVKRKGWLAEVYKEMVEFRSMEEEVMVDELVSKDMSTPLGRWLDFDIEAFENGLELELDIVTYLIDELVSDLWLV >RHN41389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29690361:29691287:-1 gene:gene47707 transcript:rna47707 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTGSNNIEILRVQALSILCTPHPFLEFSGFAPIPDKVDSIRSRKQQIKNDTLFDFDGSSPVQDKVDPFCSTKQQIDNDAFLDFDGFSPAEDKDIYNRSKEQRQDNDEENIEEGEFSFACTKVRGLHIFADEIFENGKIRQIPHTFDQSLFIYPTSNNNVSHLRPPLKKIFIKNSVNRHSMLGGISKESQNESLQNMTMVEIKASNECYEKSNSTGSSNLWKFRQNMNLRSNSDHKDSLVLLNASDPKNSRKPKVENIVNKKRKDEKHKNGLSAYEKLYVSNKTRKDSNKRRSFLPYKRQLLGSLPT >RHN62391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43079358:43084010:-1 gene:gene24960 transcript:rna24960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MPAQKRSFEEALEENEESENEINNTSQPNDELESDQRHSSENEEDEDEEEDEDDDEEYVAIKLSDVRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAAIYPDIDKYEEEELALHEDEMTRHKQFQASIAQTLQRQSEALSKKRNAKATAAAFMRRSKGNYRTSHLRRRRNIRNAGDFQVSSDNNGMNDNDGEKDSSSDDGQTETQPRRCKKGAEQETQFPRHSASTDPDGIGDETPPEVHREIISASGTLSWGKNGHRSHSRVNGKNAKNNRISKLVEHLSSLAANGYELPVFLKLVSFDEQRLPNLEESCITCKPTMSVKELCKHVANETALPANEVELLLVLKPRASIVGGESTIGPDRDNLRVLEDQETLAELLGDYENTSYGYLVMAYKRKLQNSDIVGLS >RHN59103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7493794:7499209:1 gene:gene21092 transcript:rna21092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S10, serine carboxypeptidase, alpha/Beta hydrolase MNKLIPPSHNSPHYSCQILLSLVLLSLHMLTPLEAYGSKVEQLPGFQGPLPFELETGYVGLGEANDDMQVFYYFVKSESNPQKDPLMLWLSGGPGCSSFSALFYQIGPVAFEIKEYDGSMPGLVSRPQSWTKLCSIIFVDLPLGTGFSYAKNVTDHRSDWKLVHQTHQFLRKWLIEHPEFLSNEFYIGGDSYSGIPVPAILQEISNGNEKGHQPLINLQGYLLGNPITTYREENYQIQYAHGMGLISDELYASLQRNCKGEYIDVDSGNELCLRDLQYFHECLSAINEFNILDSNCEDDEHLWRRSLTQELNESLSSRLTVPELSCKIYGYYLATKWISNESVRKALHIREGTIGKWERCYMNDFEYDIFGSFEFHANLSKKGYRSLIYSGDQDAVVPFISTQAWIRNLNYSIVDDWRPWFVKDQVGGYTRTYSNRMTFATVKGSGHTAPEYTPEQCFPMFTRWISNLPL >RHN40598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18029849:18033394:-1 gene:gene46764 transcript:rna46764 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKWIVRRTRESKPFFFAFATICGVVPGVVGYCVMQATNTRSDQLESQLRSKARPESLMMGQVNKERLAEYLGELQRKENTNDRYVAALRGETLTRKPYVRIQPIPEQTDKEQKN >RHN76231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48758763:48765462:1 gene:gene12524 transcript:rna12524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LsmAD domain, ataxin 2, SM domain-containing protein MSLQPGQSKDGSSHDRLVYVTTCLIGQQVEIQVKNGSIYSGIFHATDTDKDFGIILKMARLTKDASSQGKKSGAEFVSKAPSKILIIPAKELVQVIAQGVAVTKDGLPSEPQHGRNQEIMVDSLISQSHHAELGRELEPWVPDEDDPQCPELDNIFDGHWNRGWDQFATNKALFGVKSTFNEELYTTKLEKGPRTRELELQALRIEREIEGEETQDLHLAEERGLHLDGEFDIDEETRFSSVYRGKHVDDAYEENEDMLMDSHNSEMFSGIFGSVDERSDEMNSGEGNDAAHALANSSFMDRPQSSQSNTGVDLSRSSAYDHAKQFTSEIPSKSYSFLDGESRIQENPVHNLRGASGNTKEENLIVQQGEDVQLSKYEDSQASLYFKKDGSDKGVLSPNASSYAPSSHISLKSREKTGSPGDSTEGLAYHKANGATKYIDSRGASSGSDSVRGVAGSSGPGLSPSSSVGSLSSEKSSLNLNAKEFKLNSNAKSFIPSPARSPTPVSDSSFYFQPNVTTVPSMPGVPMSIGVGPSYIGHQPIIYNPQVSQMPTQAYFHPNAPQYGQLLGHPTQALYMPSYQPEML >RHN48090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44910021:44913517:1 gene:gene42765 transcript:rna42765 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLVVPQHRNQSQEHARFGSSPSKQFRGINCRTFQTGYGILPTPFKSTTSPSPDNKSKIPKTTPVSKHDNKRQTKVEPNSAPVAIKDKGCRKDRIFVDPNGSFSLSELWAGPTYSNSPPPSSLPIPKFSVRPKRTVSLELPVSDLPEIEMRKIANSAPCSPRGEDSPFARDFLVKDDSATKTLCRILNLNLDDE >RHN61794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38419928:38424655:1 gene:gene24290 transcript:rna24290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MLAVLSPLRNTTKDGSQEEMESFSMGSTTITTGDFGDLSEGNLLESINFDDFFVGINVDGDILPDLEMDPEMFAEFSVSANGEESEMNSSASLENNSKVEEKNSKKDYEDKTSCSGQGSEEIASKRDESVVVNPLLKDAGGKGRKSSSKSKYPQGKRKVKVDWTPELHRRFVQAVEQLGVDKAVPSRILEIMGIDSLTRHNIASHLQKYRSHRKHLLAREAEAASWNQRRQVYGGLGVKREVISPWPAAPTMAFPPHPPPPPPMVPLHHFRPLHVWGHPSNMDQSFMHMWPTLQPLSWTPSPDPPPPQDPSFWHAHHQQTPTTAIIRAGTHCFPPPLTTVRPSFGCPIPGHGMCKADHGISTPPAPGHFFDLQPSKESIDAAIGDVISKPWLPLPLGLKAPALDSVMGELQRQGISNIPPSSCT >RHN54206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7782650:7784062:1 gene:gene29206 transcript:rna29206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-3-methyladenine glycosylase II MKRTRSQIKSNPTPITNPQPPIKTLISSKITFPAKKILKPTIKTKEEVKKPHFPLLTPIIQKPLTSQNEITAALNHLRAADPLLSTIINTFPPPQFSNSNAITPFFSLIKTLISQQLSIKASSSIEQRFISLFTNQCSILPNTVLSVTPDTLRSVGISARKATYIHDLATKYADGFLSDSSIVEMDDEMLYEKLMSVKGIGPWSVHMFMIFTLHRPDVLPVGDLVVRRGVEKLYGLKVLPSPEKMEGLCEKWKPYRSVGSWYMYRFVEAKGVLPNPTTTTT >RHN49541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55520119:55528211:-1 gene:gene44383 transcript:rna44383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase transcription regulator PHD family MKSGIQNLKRCSKLEEESPPQIQKKPKLNNAFYSFHDGEIHSNSASTDLNIVAENAPPLSRASRGRVKMLPSKFKDSVLLGTQKKNNRQIQNSKLSFEGESSDTVIRFVNKGKENKCDPKKESDNGFDEFDYEKHAKSFKSIKKTVKGSRMLLVKAESGNCFDEKGKKGKEIFKLVDFHLGDIVWAKCGKTFPAWPAVVIDPISQAPESVLRRCVPDAICVMFFGYSKNGKHRDYAWAKQGMVFPFLEFMDRFQGQTRLYKTKGSDFHEAMEEAMLADNGILDPHYRAKQIVEGATSCAEQEYHCQGQDTISCYGCGLTLPCKTMKKIKDSSCAPQHYCKSCAKLLKSKQYCGICKKIWHHSDAGDWVCCDGCNVWVHAECDKISSKRFKDLENIDYYCPDCKGKSDCKLSTSQTYKSKIKSVENNQKPVLPENLAVVCNDMKGIYFPKLHLVLCKCITCGSKKQALAEWERHTGCTAKKWKHSVKVEGTMQPLIKWITEHNPQAGIPMKLNQKQVFSFLQEKYEPVYAKWTTERCAICRWVEDWEDNKIIICNRCQVAVHQECYGAKNVQDFTSWVCRVCETPDVERECCLCPVKGGALKPTDVELLWVHVTCAWFRPEVVFRNHEAMEPASGILKIPPSSFLKTCVICKQSHGSCTSCCKCATYFHVMCASRMGYSMALRSTVKKGTQITQTLIYCAVHRVPNPDSVVVVHTPLGVFSPRTSLQNHKGRFRGSKLISSNNLELLEPSTSEHYEVEPISAAKCRVYKRPPNKKDDAPIIHLLGGPNLHSLSAITQLNNNTDAGVFSSFKERLHHLQKTENCRVCLGKSAIQGWGLFARRDLQEGDMVVEYRGEQLRRSVADLREAKYRLEGKDCYLFKISEEVVIDATDKGNIARLINHSCMPNCFARIMCLGDQESRIVLIAKTNISAGEELTYDYLFDTDERDELKVPCHCKAPNCRKFMN >RHN72191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5634575:5636383:-1 gene:gene7875 transcript:rna7875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MESMIKPWLVFPILLLSANYLQQSVNGKSQVPCLFIFGDSLSDGGNNNNLPANSPRSNYNPYGIDFPMGPTGRFTNGRTTIDIITQLLGFEKFIPPFANINGSDILKGVNYASGGAGIRMETYSAKGYAISLGLQLRNHRVIVSQIASQLGGIDKAQEYLNKCLYYVHIGSNDYINNYFLPQLYLSSNVYSPEQYAENLIEELSLNLQALHEIGARKYVLPGLGLLGCTPSAILTHETYGSCVEEQNDIASIFDFKLKSLVDHFNNKFSADSKFILINNTLQSTAEHSYGFSVSMAPCCPISAVGLCIRDERPCSNRREYVFWDAVHPGEAWNLLIATTSYDSSNHSGFAYPMDIKHLVEQEIEMELDFTSQLSSSS >RHN42889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41716836:41724956:1 gene:gene49389 transcript:rna49389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (cytosine(34)-C(5))-methyltransferase MIWETKTLTKNQNAPQLHHQTRATMGRGRSRTQRKDFRQNRENVWKRSKPDPDPSLSSENSQTTTHWTPFLTQNPSFDSYYKEQLIVDPQEWDRFIAVLRTPLPASFRINSSSQFADDIRSQLENDFAHSLRAEVAEGGETEAIRPLPWYPGNFAWHSNFSRMQLRKNQPLERFHEFLKLENEIGNITRQEAVSMVPPLFLDVHSDHFVLDMCAAPGSKTFQLLEIIHQSTKAGSLPDGMVVANDLDVKRCNLLIHQTKRMCTANLIVTNHEAQHFPGCRLKGNYDRMELDHNIHQLLFDRVLCDVPCSGDGTLRKAPDMWRKWNSGLGQGLHSLQVLIAMRGLSLLKIGGRMVYSTCSMNPIENEAVVAEVLRRCGESVKLVDVSSELPQLIRRPGLKRWKVYDKSSWFVSSKDVPKFRRSVILSSMFPSGKGYQDLGDSNCNVDMEDDITSVENEKAEDVIEALENPVMAESAEEVSDFPLEHCMRIMPHDQNTGAFFIAVLQKVSPLPAVLEKPSKQSLEDAQALHINSSETTLEEVFKAVPEETVNDNVCNTDDLEDSPLTREERNSEETEEPHNAQNTAEKVPGKRKLQYQGKWRGVDPVVFFKDEAIVNSIKNFYGIDEGFPFNGHLVTRNLDNSNAKRIYYVSKSVKDIVALNFSVGQQLKMTSVGMKMFERQTAREGGSSPCAFRISSEGLPLILPYITKQIIQASPEDFRRLLQDKDVKYSDFADAEFGEKAANLLPGCCVVIMGKGNTVATESLKVDESTIAIGCWRGRARLSVMVSAMDCQELLARLLIRLGTENGSSGQVDISSNDVGGEAQAVQELNDKSDNDLKAAVS >RHN67030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23031628:23032098:1 gene:gene15161 transcript:rna15161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MEVREDFMLSPSGDSDSTFRTAHFLKPISNSIHEPAFEFNPFSSSSFFDQNECPLKIHFSGWRHPQEKWVRWVDELKPKYESLWKKARIFDAIMSTKCHILKNLKLLFGVVEKWCCETNTFVFPFGEATITLEDIMVLGVTLSLVILFLPKFKTKK >RHN78744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18004417:18005465:1 gene:gene2392 transcript:rna2392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MAFGLLTISPLAMLVAFSYLIMSITAVDPIAATGKEPIIELFMHDILGGSNPTARPVTGLLGNIYSGQVPFATPIGFNTPQGGIPIPNANGAIPTVNGVTGIPLGTGLAGTSFAPNNNNQNNAQVQLGPDGLGLGFGTITVIDDILTSQPELGSQMVGKAQGVYVASSADGSRQMMVFTALFEGGEYGDSLNFYGLYKIGSTMSRLSVIGGTGKFKNARGFAELRPLIPPGQIATDGAETLLRMSVHLKY >RHN78220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12905796:12907147:-1 gene:gene1754 transcript:rna1754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MMKKARKYQTSEAVNDNPFFEVIMSHTYANGYFLGIPIEFSREHLKDFNGTATIRVGKDMAVEVSLGYYDTRRNSCVGGGWKIFREKYNLQVDDVCKFEMIQRRPFSFTVTITRARNGPSLTKLPEGRDWKEHNTFKVMVKNRVPTVPKEFMNGGSHENILELKVGGQSWFVKVNYYESVRSCRFSKGWRKFMKACKVEIGDTCLFKLIDERKFVFDVSVIG >RHN57469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39375174:39376040:1 gene:gene33016 transcript:rna33016 gene_biotype:protein_coding transcript_biotype:protein_coding MVIADNEISAPKSSRKRPSVEEKSVDVPVLVVGTKSWPALSHAQTPKPMNHVENVSVQKSNGSGNFNPKNKMPSPRYRKPGPKRNSNTNGTPHFPVATMPYPNGENPKPVSLAAAGQGFTPPAHVIDAKHVQPPVQGDPNAYAVNYPNGRPNIQKEGDHVNELH >RHN67937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31638521:31639222:1 gene:gene16200 transcript:rna16200 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLVISFFTLRGVSSSPEDSESVALWSVKMTRRSFLLLGLVFVVVLHDGSGSKFELEGF >RHN39664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9420263:9427054:-1 gene:gene45710 transcript:rna45710 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGMGSPNPSGTWMWFDFLSSLDVGKVTGKYMRTGYGDGEGKTRPLPAPLPCLVVRLNLAQCHYCR >RHN62443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43466703:43470227:1 gene:gene25021 transcript:rna25021 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFIFCINQHNTTWPFDFSFHVRRRRRRKRITSQIRRRRRRRRMIPQQWASPCGNKCTNKYAALTKLPWRVFCKKGCNSDGETWEECLEDCNQLCYKDPVLKDQQWSAYIDRSPGSATYSEECFHACVSGCGYKFEVKPDEADKVCPNRPLKPEPEPAQKQKTKPVQKQKPKHVDPIDPPDIPDTSA >RHN57128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36705065:36709316:1 gene:gene32628 transcript:rna32628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoinositide phospholipase C MGNKMVTYNKYKRVLFFIKKYKVTELVPPEDLREIFSEFAGGGSYMSTEQLHRFLVEHQGEENFTLLDTEKIVDKILQLRRTQQETVHVDQHRERKIALDDIFRFLFLDDFNGPSKTEVNHDMSAPLSHYFIYTGHNSFLTGNQLSSDCSEEPIIKALQQGVRVIELDLWPTSSKEDIKVDHGWTLTNPVSPIKCLESIKKYGFVASQYPVIITIEDHLTEELRAKFAEMATQIFGEMLYYPQPAECLTLTEFPSPESLKNRVIISTKPPKEHFKSNRIKDNGNYHALDGISESSEEESSGKESPDSTVEVEINSANGSDSDEGDAGKYGCHRRLFQECSPDYKSIITIQNKKLKGSLKDKLKTDGELRRLSWSETTLEKASESHGTDIIRFTQKNILRVYPRATRVKSTNFKPHLGWMYGAQMVAFNMQGLGKSLWLMQGMFRANGGCGYVKKPEILMQKLQCDNEFDPTRIMPVKKTLKVKIYMGHGWSLDFSPTHFDMFSPPDFYTKIGIVGIPADIARKKTKVIMDNWFPVWNEEFEFPLTVPELALLQIQVRDKDQGGKDDFAGQTCLPVSEIKLGFRSIPLYNEKGEQFKSVKLLMRFQFE >RHN43093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43112950:43116714:1 gene:gene49617 transcript:rna49617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MLSCDDDHDDGDIDLFYDSLDSLTGEDSVLDEEGFGSERRGCFVYDEIWVNEPISVKERRERFLQGMDLAYSSSKVCSQEKTTCFDDWSVTLGSERIVASSGAVSNASISPSGKVSGKLVLSGWNAASEAEVLLEDLKRRREYEVDGSFQEHRQREGEAKEEFWDFDNGKANRKNWLKRFVNIRKGDKGNFRSKLNAATNKTRRVKVKQNKKRWMEFSEVYIGQEIRAHKGLIWTMKFSPNGQYLASGGEDGVVRIWRVFSRNKSHPPFVSLPNDIFQIEESPLQELFGHSSDILDLAWSNSDILLSSSMDKTVRAWKISCDQCLSVFPHKGFVTCIQFNPVNENYFISGSIDGKVRIWGIREERVIDWADIRDVISAISYQQDGKGFVVGSLTGTCRFYVASGKYFQLEGQIDIPGKKKASGNKITGIQFFQNNCQRIMITSEDSKICILDQTEIVQKYKGLPWSGSQMSGSFTSSGKHIISVGEDSHVYIWNFNDFKSGSSKKTKSDYSCEYFSFKGVTVAIPWCGMKTDRRGCRINFSHYSPEAKSKLEVASEVRDTERFSLGNWFFIDGTCRGSTSMTWPEEKLPTWDLPLVEDECDHQNLCHEDSSHGRNISETWGLTIVAAGSDGTIKTFHNFGLPVRL >RHN53799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4225337:4226163:-1 gene:gene28746 transcript:rna28746 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEVKRAACLMCWLTHNLQHTSIHIILFIPMNSLNKLTISQTWPNHLLLHSPPLLILQFSHQTNMVESHTQTHFTNKRKISSCNFKDTKKNNTSSLSSKEIVSDSDLDFIFFF >RHN49388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54465310:54465970:-1 gene:gene44212 transcript:rna44212 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNKLPFVKKKKELTLKFIVLIIVLTEVKQIKEYDEACQRDEGRS >RHN52039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30729448:30729681:1 gene:gene36653 transcript:rna36653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein METSTTINIIITLPDEILSHILSFLQTKLAFSTTILSKRWEPLHISLTSLHFDPRISVHDERASLCFCRFANMVMLL >RHN48463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47647528:47648174:-1 gene:gene43182 transcript:rna43182 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSFFVKALVLSPSSFSGHLRNNSSTKHIAPLSNTSDKNLSSTSPAFIFSTTKSAYCTVSLNQARKSNSSSPTKGSSCSSPTLSAASATLPANPG >RHN61014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32326730:32326906:1 gene:gene23424 transcript:rna23424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative choline-phosphate cytidylyltransferase MNEQDDSEVGKNDKPVHVYADGIYDLFHFGHARSLEQSQEIVSKYILVGCCSDEITHK >RHN80104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35135823:35136227:-1 gene:gene3987 transcript:rna3987 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTGGGLDDSQQSVPKVEEAKEFLGKTSEEIENESLQNFHTDHIKGKSGESNHTEKAIDYITRKRSRAQSSKIEEGEQNAADNEGHSDGITAGARKKKRSTVAPPTPFTGEKRYNLRRAPPRYNLRPRRHQT >RHN80239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36393153:36395349:-1 gene:gene4140 transcript:rna4140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MSQILAPFQLLELNVISAQDLASLGRNMRTYAVAWVHPDRKLSTRVDTQGHTNPTWNDKFVFRVDEEFLYSDTSAIMVEVYALHWFKDIHVGTVRVLVGNLIPPPTRPFHNDRAPMGMRFVALQVRRPSGRPQGILNIGVTVLDSSMRSMPLYTLNASAVGYRHLMGEKDAYDSHNHLSPHVIAAGGGGKPELRRTKSDTSSLIASEAVLRQQRAEIYKERANSAISGSEISEYKVTKNKKKKKRKKTSKASSIISSVSGSVLSDEIVPWKVKNGKTSYAPSDAHVEPPPPPRFDDEEQHNNNNENVFAHQHVIDNNNVNYNDDNRKDISYVNTVSEAATTDTDINQKQKIAYDVKATPKRHYPKSPAVAPAQFKNSPKPQFKNSPKLQYKNSPMPEYKNSPKPKFIRSPTMPEHKNSPKPQFKNSPMPEYKNSPMPEYKNSPMHQVRNSPMPQFRNSPMPEYKNSPMHQVRNSPMPQFRNSPMPQFRNSPAVLPQFRNSPAVSKFNPAVGFGGSHRGTPMHPFGKMNGAMEYATPMRSNLANMRPVIMTESELGPSPSEVAAAMARKPIIDEENSTVGGWSLDESVEGLQSKLERWRTELPPVIDHGELSSFPTTSSKTSRHSRRHTEGGSGNGLFSCFSNICGVECSVVCGGDSKAKAKAMAKKKNRHRTSSTEDSSSLL >RHN47670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41416157:41418317:1 gene:gene42295 transcript:rna42295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol 4-kinase MRLFEMAVAIDQQHHGFKPFSRSQRCKLQSFGHLDYNNILELNQTTFLAFQADNIHRSFSTPCLPLTGVEDHNPPHPRIEIVRGSGVPVHTLVVEVAVAMASGIQPIPLPSGLGGAYVFHNQNGNNIAVAKPVDEEPFALNNPKGLKSTTHEMLGQPGLKRSIRIGETGIRELAAYLLDHGGFSGVPPTALVKFCHATFSDSSATVKLASLQRFVGHGFDAGELGPSFFSVDSVHQIGILDIRLMNLDRHAGNMLVMKHDHNSFGNGVAVADLVPIDHGFCLPEWLDDPYFEWLHWPQASIPFSESELEYISNLDPFKDADILRSEVPSLRESSIRVLIVCTVFLKQAAAAGLNLADIGQMMTREFRSGDESSSELENICLKVKASINNENGKEEDEGETSCGIGGISFGDMNKGEWEMFLEIFNMFVIGVFEDKKCKN >RHN80731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40481584:40482473:-1 gene:gene4691 transcript:rna4691 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRELHLQHLGICYKLYYFITKTLASQALKTVTLGCSARYSSTSTAPRGSDCEADKVLRLGIPCNKDSPCTKVDEMVKEGTTRLPKRISLKKSVSICDNVEQILPNKKIKKRSKSFQKSSSLDQEEEEEPKPLRSILKVGSDLNDQSNSIC >RHN57450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39226157:39229619:-1 gene:gene32995 transcript:rna32995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol dehydrogenase MAMEDKPAAPTSEGQQSIRCKAAVCRKPGEPLIIEEIFVAPPMPHEARIRIICTSLCHSDIIFWKMQEPPAIFPRILGHEAIGVVESVGEGVTEVTKGDIVIPIFMADCEECIDCKSTKSNLCSKFPFDVKPWMLRYDTTRFTNLNGEIINHFIFVSSFSEYTVVDTANLLKIDPAIPPNRACLLSCGVSTGVGAAWKTANVELGSTVAIFGLGCIGLAVAEGARLCGATRIIGVDIKPEKFEIAKKFGVTDFVNPGELENKSVSQVIIEMTGGGADYCFECVGMASLVHEAYASCRKGWGKTIMLGVDKPGSKLSINSTDVLFQGKTLMGSIFGGLKPKSDVPILFKRYMDKELNLDDFVTHEVEFKDINKAFDLLTKGECLRSVIWMDK >RHN80415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37903554:37906378:1 gene:gene4342 transcript:rna4342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase, Very-long-chain 3-oxoacyl-CoA synthase MEDHKNQSVSFESPQERRKLPNFLLSVRLKYVKLGYHYLISKAMYLLLIPLFGAASAHLSTISYHDIIQLYENLKFNLVSVTLCTSLMVFLVTLYFMSRPRGVYLVDFACYKPKQEYTCTREIFMNRSELTGTFSDENLAFQKKILERSGLGQKTYLPPAILSVPPNPCMAEARKEAEEVMFGAIDELLEKTGVKAKDIGILVVNCSLFNPTPSLSAMIVNHYKLRGNVQSYNLGGMGCSAGLISIDLAKQLLQVHPNSYALVVSMENITLNWYFGNDRSMLVSNCLFRMGGSAILLSNKASDSKRAKYQLIHTVRTHKGADDRSYGCVFQEEDEKKSIGVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVARKAFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLDLSDWHMEPSRMTLNRFGNTSSSSLWYELAYTEAKGRIRKGDRTWQIAFGSGFKCNSAVWRALRTVDPAKEKNPWMDEIHEFPVHVPKVATIGSSS >RHN78573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16375970:16376615:1 gene:gene2191 transcript:rna2191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ALOG domain-containing protein MNSLQEFESSTNNKDMMNTNPMINITNPSSSMTMTIPSSSTTSASSSSTATTSPPSTTSTTTPSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTLICPFYGHPNPPASCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREVRDSQAKARGISYEKKKRKRPPQPPPPPPSNNATITDLH >RHN61380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35297835:35303280:-1 gene:gene23841 transcript:rna23841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydroflavanol 4-reductase MEISRATALTWSHTVSPSLHLPQPLLFTRETRKFRVWCGTDQSSKGDQMIISVTGATGFIGKRLVQKLQAENHRVHVLTRSKSKAELIFPVKDFPGVKIAGEPEWKDCIQGSTGVVNLAGLPISTRWSSEIKKEIKQSRVRVTSKVVDLIKRAPDETRPQVLVSATAVGYYGTSETQVFDEQSPSGKDYLAEVCREWESTALKANGDVRVALIRIGVVLGKDGGALAKMIPLFMMFAGGPLGSGNQWFSWIHLDDIVNLIYEALSNPSYKGVINGTAPNPVRLSELCEQLGNVLGRPSWLPVPDFALKAVLGEGATVVLEGQKVVPTQAKKLGFSFKYSYVKDALKAIIS >RHN47984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44044382:44045749:1 gene:gene42649 transcript:rna42649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative initiation factor eIF-4 gamma, MA3 MAYPEYTIEFAALVAVVNSKFPEVGNLLLRRIVLQFKWAYHRNDKPHQLHATVKFIAHLVKQLVAHEIIALEILTVLLDNPIDDSLEVAVSFLIECGSTLQNLSPKALHAVFERFRWILYGEVDKRVQFLIQDLFAVRKTRFQSYPAVPPELDLVDEEDQLTHEVSLNESIDPEFSLDVFRLDPDYVENEKHYEQLKKTILGDEEEIEGDQEGDSVVESDEEDGEKHMQIRDEADTNLVNLRRAIYLTIMSCLDFEEAGHKLLRIIHRQKGQEIQLCNMILQCCRYEKVYRPYYGLLGERFCMINKVYQQNFEKCFAQQLSTIHRLQTNQLRNVAQFFAHLLATSTLPWNVLSYIRLTEEDTTSSSRIFIKILFQELSEHLGIQVLNDRLNDPVMQDCFESLFPKDSTKNTRFSINFFTSIGLGELTKNLGVYLKNLTLRSSHSLDESGRKRRRK >RHN79288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27150257:27158025:1 gene:gene3058 transcript:rna3058 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHIPKPNTDRILWNMRSLHPLSVFSTTVAFPNLHLKSINSITQSSNTKLFIKTKIIKHLPVFIRHISVC >RHN43532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46590519:46595492:1 gene:gene50130 transcript:rna50130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MGICFSIIEQEHNHISLSDSKPKSAVGNDSGARTTPLGTGSMNIKELREGAGYSNVHIFTYNELRLATKQFRPDFILGEGGFGVVYKGVIDDSVRAGYNSTEVAIKELNREGFQGDREWLAEVNYLGQFSHPNLVKLFGYCCEDEHRLLVYEYMASDSLEKHLFRRAGSTLTWSKRMKIALHAARGLAFLHGAERPIIYRDFKTSNILLDADFNAKLSDFGLAKDGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLELLIGRRALDKSRPSREHNLVEWARPLLNHNKKLLKILDPKVEGQYSSKTATKVALLAYQCLSQNPKSRPLMSQVVEILENFQSKEENEEDKILQSGGSSVTIYEVPKGSNDTPTKS >RHN73842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20564302:20570818:-1 gene:gene9724 transcript:rna9724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MGKNYMTHLVLFLLLCLVIFVPCLCQQDGFDNATTSVYVVTLKQAPTSHYHGDVTSLNDNDGFKDSGRTQFQKPRYANITKTDKRFSSYVTRVHDSLLKKVLKGEKYLKLYSYHYLINGFAVLVTQQQAERLSWSNEVANVVLDFSVRTATTHTPQFLGLPQGAWSQNGGFETAGEGVVIGLVDTGIDPTHPSFSDSKSENPYPVPAHFSGICEVTRDFPSGSCNRKLVGARHFAASAITRGIFNMSQDYASPFDGDGHGTHTASVAAGNHGIPVIVAGHHFGNASGMAPRSHIAVYKALYKSFGGFAADVVAAIDQAAMDGVDIISLSITPNRRPPGVATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPMSMSSFSPWILTVGAASHDRLYSNSIFLGNNVTIPGVGLAPGTDENKLYRLIHAHDALNNDTTVTDDMYVGECQDASKYNHDLIKGNLLICSYSIRFVLGISTIKRASETAKNHSAAGVVFYMDPYVIGFQLNPVAMKMPGIIIPSTNDSKILMQYYNSSLEIDSVSKKVVKFGAVAALCGGLKANYSYGAPKVMYYSARGPDPEDSLPRQADILKPNLLAPGNFIWAAWSSLGTDSVEFQGENFAMMSGTSMAAPHIAGLAALIKQKFPNFSPAAIGSALSTTASQNDKSGGPIMAQRSYAFPDLSQTPATSFDMGSGFVNATGALNPGLIFDTSYDDYMSFLCGINGSAPVVLNYTGQNCGIYNSTRYGPDLNLPSITIAKLNQSTSLQRTVQNIAGNNETYSVGWSAPFGVSVKVTPTHFSIANGEKQVLSVILNATANNSVASFGKIGLFGNQGHVVNIPVSVIFKISYNNITTS >RHN40144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13619084:13621700:-1 gene:gene46254 transcript:rna46254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-hydroxy-tetrahydrodipicolinate synthase MNMLKTDSSMRGPNSPVLPFKSRKSVEDVRSLQFITAVKTPYIPSGKIDLEAYDNLVNIQIANGVEGILVAGSTGEGQLMTWDEQIMLIAHTVNCFGDKVKVIGNAGSNCTKEAINATERGFAVGMDAALHINPYYGKTSNAGLVAHYNSLLPIGPIIIYNVPSRSGQDIPPSVIEILAKHPNFVGVKECVGTERVKMYTDKGIVVWGIDNLSHEARWDCGAVGVQSVASNLVPGLVRELMFGGKNPTLNSKLMTLFDWLFIEPSPIALNTALAQLGVIKPVFRLPYVPLTLEQRIGFVNLVKEMGRQHFIGEKDVQVLEDDDFIIVGRY >RHN50599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8438715:8441863:-1 gene:gene34905 transcript:rna34905 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRPKKTVKAAESVPEPKEPQIQTQEPNFMDLEIKALERQIGAIRAVQEVKIDHLVTDLRLLRSCFSEEQLQKPVLEIFKETLPNLSIVNDKGSNNFEVILKDKDPMNMNINHGDVHASLLQKLSMAYPHCSSSMPPFAGTFEHSCNAEGRTSFIGADNLCFKEFALEEPSETQTLAMQEGLLTPGVSSQRLSVGMTPKTLRVPKPGEMLLSVHGSPLGVYKDNNMEAINESEEG >RHN71577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1152468:1155631:1 gene:gene7191 transcript:rna7191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pinin/SDK/MemA protein MGSTAAAEKTEQELRNEIDELLRQQREITERLRDPRGLRKGALSTPLLRNNSIRQRPFVRHGGDNHDSEDQPPAKRRLSSAVVKVGDGELTEDADAGNTKDSTGDGVNGNATVGQSDGKPFNSHQSGLSRRDSYQRNSKASDIPTTEHVPRVLPKNEDPSLVNRNKRMLGQLLGTLEKFRKEDKQLSGTEAFMRRSNSLQRAEQRAREESERLRKEEREQIAEKRRRDLTLRARVAAKTEEKQLELLFLRWSEHHKRLSNFIRLFLFLVQKCPYSHFIETKISFCSIAL >RHN53321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:933992:937202:1 gene:gene28216 transcript:rna28216 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3a MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFQVKNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQGDEDNAFRKIRLRAEDVQGKNLLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDNYTLRMFCIGFTKRRSNQVKRTCYAQSSQIRQIRRKMREIMINQATSCDLKDLVRKFIPEMIGKEIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSEDVGTKVERPADETMVEGTPEIVGA >RHN63534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52002218:52008652:-1 gene:gene26241 transcript:rna26241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSSPSKRREMDVMKLMMSDYTVETINDGLTEFNVEFHGPKESLYEGGVWKIRVELPDAYPYKSPSIGFVNKIYHPNVDELSGSVCLDVINQSWSPMFDLLNVFEVFLPQLLLYPNASDPLNGDAASLMMKDKKLYDQKVKEYCERYAKKEHITNGTADDEESDEEDISEAETQSSDDEIPGRADL >RHN76746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:944702:946372:1 gene:gene119 transcript:rna119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MKFAYISIFISLSLALVATHATLPPELYWKSKLPTTQIPKAITDILHPRKGVTSVDDGKISVDGKISVNGKTRIVYDAAPIYFYENDANEAELHDNRNLAMFLLEKDLHHGTKFNIQFTKTSDHGPTFLPGDVANSIPFSSNKLENILNYFSIKQGSTESEIVKNTISECEAYGIKGEEKLCVTSLESMIDFTTLKLGNNVDTVSTEVNGESGLQQYVIANGVKKMGENNLVVCHKRNYPYAVFYCHKTDATKVYSVPLEGADGSRVKAVAICHSDTSQWSLKHLAFQVLKVQQGTFPVCHILQQGQVVWFSK >RHN57956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42732025:42733577:1 gene:gene33549 transcript:rna33549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase (ATP-hydrolyzing) MLFGCYIFFIFHSVFKCVQLIRPMDQICFYYRLVMGWIRFVEGKKIFDCINSPNTAHPTPVYVEEIKVFRILANDHFCNANHCIVISVSNFMKTLASFDSILLCINSIFSKGRGYPDIPTRRCEKSRPLSLDIMLPILCILYFNRFLRLLVDNLYIPAYCLVDCDPYGFDILTTYRFGSMQMAYDTKHLRVPEIHWLGAFPSDSDRYFVPKQCLLPLTVEDKRKIKAMLLRCYLQREVPEWRLELRMMLQKGVKFEIEALSVHTLSFLTESYIPSKIHGKVKI >RHN53432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1627258:1630721:-1 gene:gene28336 transcript:rna28336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diphthamide synthesis DPH1/DPH2 MEFPEQPEKPNNTITVSVKEKPKPKRFIKNQIPDSILNDPLLNAAISILPSNYSFEVHKCVWRVRSTAAKRIALQFPEGLLMYSLILSDIFTTFAGVTHCYVLGDVTYGACCIDDFSAIALGAEILIHYGHSCLVPIDSTKIPCLYVFVDIKIDVDHLVDTVKLNSKDLGFMGKRVILAGTIQFASGIRAVKPELEKLGFRVLVPQSKPLSAGEILGCTAPKVGKELDDKEESVLVFVSDGRFHLEAFMIANPGVKAFRYDPYVGKLFLEEYDHVGMKGSRKNAILKAKEARNWGVVLGTLGRQGNPKILERLEMKMGDKGFDYTVVLMSELSPYRISLFEDSVDAWIQIACPRLSIDWGDAFVKPVLTPFEAEIALGLIPGWWEKTQVQKQGCEDVTGCNKSDCCSNGSCGNAKATEDFGGDYPMDYYAQDGGEWNSSYMKKPSRPARKISVTSVANSVTSQ >RHN48191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45680695:45681018:1 gene:gene42879 transcript:rna42879 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLAILNFPHEHFPCNVVYNSSKSSSSSNSTPSLSSASPPPSWIKSELGEQVLDFEYWDETLLEELLDFESILNQNLFKEELLDLSMSDLVLFLPRNICLVLNAN >RHN79555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30085890:30086798:-1 gene:gene3364 transcript:rna3364 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFCMKENNTNTNTNTTTMTHQSSTKSHDLIDLEMKKPHQLKEEEEEDDTKIEIAYLKKMVLPIVEEEESGRERLKRHRVEMGGRVWIPDMWGQEEYLKDWIDCTTFDPPLISNSKIVTARTALVQEATRIQIPL >RHN46187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29501103:29505318:-1 gene:gene40633 transcript:rna40633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MEVYPKKKASIEALKELSRKNKNAASGNNSESGAAGQGAGEAKRHLYHCNYCNFDITGKIRIKCVVCSDFDLCVECFSVGAELTPHKSNHAYRVMDNLSFPLICPDWNADEEILLLEGLEMYGMNNWAEVAEHVGTKNKEACTEHYRIVYLDSPCFPLPDMSHVVGKSREELLAMGKEQADNKKGSDSGLSVKAHAAARTNQKASSRGREKDSSRNSGGNKPKSSRNDSPSLVEASGYNPKRQEFDIEYDNDAEKLLADMEFNDSDTADEIEIKLRMIRIYNRRLDERERRKKFILERNLLYPNPFEKDLTPEEKAICRQYDVFMHFHTKEAHEELLTTVISEHRLKKKIQELKEARAAGKRRRTEAEESALRARESAYVVPRNRGVPNALMSPDSADPRPAGRATSSSVNEMDATGANLLSEAEKRLCCELGLPPTVYLKMQEDLSIQMIAGNVSSKSDAHRMFQMDTMKVDMVYDMLIKKGFGSP >RHN55070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14887379:14894475:1 gene:gene30197 transcript:rna30197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MDLEGGTRRNSSKKDSWRTVLTLAYQSLGVVYGDLSISPLYVFRSTFGEGIGHSNTNEEIYGVLSLVFWSVTLVPLVKYVFIVLRADDNGEGGTFALYSLLCRYAKVNSLPNCQLADEELSEYKKDGCGGGVSNGKGFAFRLKSTLEKRKVLQKFLLVLALIGTCMVIGDGVLTPALSVFSAISGFELSMSKEHHAYVEVPVACIILVGLFALQHFGTHRVGFMFAPIVMAWLFCISAIGIYNIFHWNSQIYRALCPIYAFRFMRQNQTGGWMALGGVLLSITGSEAMFADLGHFSQLSIQIAFTSVVYPSLILAYMGQAAYLSRHHDTEHAYHFGFYVSVPEKLRWPVLVLAVFAAVVGSQAIITGTFSIIKQCSALNCFPRVKVVHTSSKIHGQIYIPEINWLLMILCLAVTIGFRNTQHLGHASGMTTIADYTYINFQQEDAFYISCSGILYATQSNIAIIIISYAALTLQPCRCLTPSGTNKCSYIQLYYFLKLLMVLVCQCCVLSVRNWLYRLAVITVMLVTTCLMSLVIVLCWHQNVFFALAFVLFFGTIESVFFSASLTKFLQGAWVPIALAFVFITVMYVWHYGTHKKYEFDVQNKVSINWLLGIGPSIGIVRVRGVGLIHTDLVSGIPVIFSHFVTNLPAFHQILVFLCIKHVPVPHVRPEERFLVGRVGPRNFRIYRCIVRYGYRDIHKDDVEFENDLLCSIAEFIRTGSIGISSNDEVEKHDKMTVVGTYSSQTILRCSDNNSDNNVDNVDSEETSSELKEIKSPQVNQQKKKVRFLVPESPKIDTEAKEELEEVMEAREAGIAYIIGHSYMKAKPGSSTIKKIAINFVYEFLRRNSRAPSFVLGVPHASSLEVGMMYQV >RHN49690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:330292:334886:1 gene:gene33895 transcript:rna33895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MNAPDRYERFVVPEGTKKVSYERDTKIINAASFTIEREDHTIGNVLRMQLHKDPNVLFAGYKLPHPLQYKIISRIHTTSQSSPMQAYNQSINDLDKELDHLKSGFETELLKFSKDC >RHN68511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36094544:36098569:-1 gene:gene16874 transcript:rna16874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MEDQNANGKLNKGALLRKIKPYLAILSLQFGYSGMYIITMVSFKHGMSHWILSVYRHVVAAIIITPFALVLERKTRPKMTLPIFLRIVALGFLEPVLDQNLYNMGMKMTSTTFASATVNVLPAITFIMALTFRLESVNWRKFHSVAKVIGTVVTVSGAMVMTLYKGPAYQIIKGGGAINHHASATTDQPSEQNWLMGTVMLISSCCSWAGFFILQSFTLKKYPAELSLTAWICLMGIIEGSIASLIFERDMSVWVIGWDSRLLACVYSGVICSGMAYYVQGVVTRERGPVFVTSFSPLCMIITAALGSLVLAEQVHLGSIFGAIIIVIGLYTVVWGKSKDRRESADEIGKGESKDQLPIKNGTKSGSEIFDGIEINVPAEKLKKGEAKNVPTQA >RHN71912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3525609:3528400:1 gene:gene7563 transcript:rna7563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MRCGCFGASRTRKKERKPSDYISGEIEGYPLDNIRHFSDKELSLATDNYHLGNKIGRGGFGTVYQGTLKDGRKIAVKPLSVGSKQGVREFLTEIKTLSNVKHSNLVELVGFCIQGPNRTVVYEYVENGNLHTALLGKKSLSVKMKWRERSTICIGTAKGLAYLHEELTQHIVHRDIKASNVLLDKDFNPKIGDFGMAKLFPDDITHISTRIAGTTGYLAPEYALGGQLTKKADVYSFGVLILEIISGKSSSRTNWDGSHKSLLEWAWQLHEEEKWLALVDPEMEEFPEKEVIKYIKVALFCTQAAARRRPLMTQVVDMLSKEIQLNDKQLTAPGLFNYDAGETSQKKSNPESLVYHTSSTQPSITEVTAR >RHN44471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5123838:5124697:1 gene:gene38594 transcript:rna38594 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFFSLVLLILFITQLHNKVAAALDSKLNNNNTQVQRCIGFHCLVTGDDETDLFMDQRGPSRMLATNDNQVTPQTENSGQQSPNCQPNSLQGSSSCLASPQLNQGRPCEPLNRAYPYCK >RHN55767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23469093:23484834:1 gene:gene31015 transcript:rna31015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MAQNARMAAEAYRTANPHFISKVTRGNNAFIDSTFASRHLNENVSILLRNSDGQEWEDSAEMFRKDSHQMKFKKFHIFKNDNYLCQEDYCAFELIQINPVVLNVIMFRVNDYEN >RHN55770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23487648:23488013:1 gene:gene31018 transcript:rna31018 gene_biotype:protein_coding transcript_biotype:protein_coding MYWKSESKDLLFHTRFLFSVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPTKVGLGSKKRGSAPPPIHGISKITLKVVVFHFRCFQLPLILHLSSQTNNLQVSIAAGLHQSFLWLRLAQA >RHN60502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27395663:27398002:-1 gene:gene22823 transcript:rna22823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:stv1 MPKSPEQKDGSENQTPKSNFIDSFFTHFSTTKPKMKRTVRPFFILLLLLVFIATLTSRAILRKGILSIELEARVFTRDPPSLNATLLHHAAVEIGEEKTRREIQQLLDGNFASQARHRTFVSWRRFIHHDGGDKTYPATLRSPLFYRYWIDFRRVLHDWARKRRFQPGIMAELTRSVKVPIDRYNKAVNVDSGDLKKYSSCAVVGNSGILLNRNYGALIDAHEFVIRLNNARVDNYESKVGKKTSISFVNSNILHLCARRSGCFCHPYGANVPIVMYICQAVHFLDYTVCNASHKSPLLVTDPRFDVLCSRVVKYYSLKRFVEETGKGLEQWGSAHDGALFHYSSGMQAVMLALGVCEKVSLFGFGKSAAAKHHYHTNQKAELHLHDYEAEYAFYRDLMEGDKPIPFVSEKFKIPPVVMYR >RHN64506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59618470:59622771:1 gene:gene27326 transcript:rna27326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5'(3')-deoxyribonucleotidase, HAD-like domain-containing protein MFRLKNSENLARFLYHKTLHINNPMAYSIGYVDPCSHLSKVYANVFDFGVNRRFEFGRKNCGFYTSASNSSRFFVRANARPRGFASDLERGNSVSGDATAIKVDNDIGGLNGSSTTPCCFRDRLSEKLVVAVDVDEVLGNFVSALNKYIADQYSSNYSVSEYHVYEFFKIWNCSRDEADIRVHEFFKTPYFKSGIHPLPGAQTAIQKLSRFFNLSIVTSRQNVIKDHTIEWIEKNFPGLFHEIHFGNHFALDGISRPKSEICRSLNAKVLIDDNPRYAIECAEVGIKVLLFDYENSYPWSKNELVDEHPLVTKVKNWTEVEQQLMSLIASQSKS >RHN43777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48414748:48416314:1 gene:gene50399 transcript:rna50399 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDYLFNIIIYIILLRFSPELHDTTSQHQPLLVNPSIFIA >RHN49876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1889252:1890323:-1 gene:gene34102 transcript:rna34102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA ligase/cyclic nucleotide phosphodiesterase MSSSPEGYAIELYMDPALENQVLKSWNVLARRQISTSLITTASRPHITLFSTTSLLDPLKLEPLLKTLTTTTSPFPLSFSSISSFSNDNNTLFLSPTPSLALLNFHSNLIDSILKENVVDVGDEFCVGNWIPSCEVAKNVSKGRMTEAFSILREIKLPVNGYAVDVALVSFNPVQEVFSFVLGNHGNNNVNEN >RHN64935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63111070:63118179:1 gene:gene27816 transcript:rna27816 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E2 binding, NAD(P)-binding domain, ubiquitin activating enzyme, alpha MADTSAQIQQQQPSRSRDLDKLLLRPGNLVGPRFEPGAELRDDLQEFAKVLVVGAGGLGCELLKDLALSGFRNLDVIDMDRIEVTNLNRQFLFRLEDVGKPKAEVAAKRVMERISGVNIVPHFCRIEDKEIEFYNDFSIIALGLDSIEARSYINTVACSFLEYDSDDNPREETIKPMVDGGTEGFKGHARVIMPGITPCFECTIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWDEVHRGVPFDPDNPENMKWVYDEAVKRAELFGIPGVTYSFTQGVVKNIIPAIASTNAIISAACALETLKIATECSKTLSNYLTYNGSEGLHTKVTEFERDKDCLVCGPGIRIELDPSITLQKFMDLLEEHPKLRLSKASVTHRGKNLYMQAPPVLEEMTRSNLTLSLFNLMGKLPKDIVHVNGMTSKNDQKTSCLRKLRVVFKGIDGVTDMDTAGGA >RHN49247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53551420:53553964:-1 gene:gene44058 transcript:rna44058 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MEGIEHRTVEVNGIKMHIAEKGKEGPVVLFLHGFPELWYSWRHQIAALGSLGYRAVAPDLRGYGDTDAPASISSYTIFHLVGDIVALIDSLGVDQVFLVAHDWGAMIGWYLCMFRPERIKAYVCLSVPFNHRNPTVKPIDGRRAAYGDDYYICRFQEPGKIEAEIAEVGTAYVLKNVLTTRKTGPPILPKGEFGTGFNPDTPETLPTWLTEDDLAYFVSKYEKTGFTGGLNYYRNFNLNWELTAPWSGVKIKVPVKFITGDLDMVYTSPHVKEYIHGGGFKEDVPNLEEVIVQKGVAHFNNQEAAEEISNHIYEFIKKF >RHN43077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43008304:43009039:1 gene:gene49600 transcript:rna49600 gene_biotype:protein_coding transcript_biotype:protein_coding MLELKEESLMMATFRAHLTMEFNAIEQIIAHNRTETIITTFDSNSRAPKYELVELLLCVN >RHN79412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28601132:28602822:-1 gene:gene3200 transcript:rna3200 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFLTHKIYILGSYRVWRICSDAFVIESFTVQDVLCSDEQAGPIP >RHN64262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57749765:57755803:-1 gene:gene27063 transcript:rna27063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MEEPSQLNRAIIDSSAGAISGGISRTVTSPLDVIKIRFQVQLEPTSSWALLQKDLVSSAPSKYTGMLQATKDILREEGLKGFWRGNVPALLMVMPYTAIQFTVLHKLKTFASGSSKSENHTNLSPYLSYVSGALAGCAATVGSYPFDLLRTILASQGEPKVYPNMRSAFVDIIQTRGFQGMYAGLSPTLVEIIPYAGLQFGTYDTFKRWASAWNHNRFSNTTGEDNISSFQLFICGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPRYGARVEHRAYSNMYDAVQRIFRSEGWAGLYKGIIPSTIKAAPAGAVTFVAYETVSDWLESLN >RHN55894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25214248:25214388:1 gene:gene31176 transcript:rna31176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oleoyl-[acyl-carrier-protein] hydrolase MENAFFICSMCEFYDVELKVRDYEVDRYGVVNNAVYANYCQHCETS >RHN47828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42862081:42866065:-1 gene:gene42474 transcript:rna42474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAESFVFDIAHSLLGKLASYAYEEASLAYGVYKDLQGFKDTLSIVSGVLLDAECKKDQKHGVREWLRQIQNICYDAEDVLDGFNLQDKRKQVVKASRSRRVKVRHFFSSSNPLVFRFRMARQIKEIRDRMDKVAADGVRFGLTNVDPGLVVQQREMTYPHIDASSVIGRENEQDEIINLLMQSHPHSDGGGDNSLCVIPIVGIGGLGKTTIAKSVFNDKRMDQLFQLKMWVCISDDFNIRKIIINIINSATTSIFTSSSAPSSGSAQLENINNLDIVQLVSRLRQKLSGQKFLVVLDDVWNDDRAKWLELKDLIKVGAPGSKIIVTTRSNSIASMMGDVPPYLLKGLSPKDCLSLFVKWAFKEGEEKKYPNLVEIGKEIVKKCQGVPLAVRTLGSSLFSNFDISKWEFVRDSEMWNLEQKKDGILPALKLSYDQMPSYMRQCFVYISLYPKDYIFHRTVMCSLWVAHGLVQSLQGSEKLESIARKYIDELHSRSFIQVVRDYGSYCIFNVHDLIHDLALYVSREDFVAVNSHTRNIPQQVRHLSAVEDDSLDLDLFPKSRCMRSILFPIPGLGLETESLLNEWASRYKYLRYLDLSDSSFETMPNSVAKLEHLRFLDLSFNKKIRIIPNSICKLLHLQVLLLSGCTKLESFPKGLGKLISLRRLILTTKQSVFPHDEFVTLVHLQSLNFHYCDNIKFLFRHQLPSIEKLSCDSCGFLESLPLHIFPKLQTLYIKNCEKLNLLLNNESPIQTLRMKHLYLLCSLSLVTLPEWIVFSMETLETLVIDSLPNLKMLPMFLSTMTRLKKLYIIDCPQLLSLPSDMHRLTALEELCIEGCPELCRKCMPQSGEYWPMIAHIKTISIV >RHN42676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40214210:40218993:-1 gene:gene49154 transcript:rna49154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ER lumen protein retaining receptor MNIFRLAGDMTHLLSILVLLLKIYATKSCSGVSRKTQELYAIVFLARYLDLFTDFISVYNTFMKIVFIASSLAIVWCIRVHPMVKRSYDKDLDTFRHYLLVAASFLLALFLHEKFTFLEIFWAFSIYLEAVAILPQLVLLQRSGNVDNLTGQYVFFLGAYRGLYILNWIYRYLTEPRFTRWIACVSGVVQTALYADFFYYYFISWKNNSKLKLPA >RHN57491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39507852:39510026:1 gene:gene33040 transcript:rna33040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MLRKSFQSLILLLFVFTSSSHSNAYPLSTQSRWIIDDSTGERVKLVCGNWAGHLQPMIPEGLDRIPLKELVGELVKNRFNCVRLTYAVYMWTRHAHGIVNNTFNYLDAPEVVAGIAKYNPSILKMTHIEAFDAVVNELGARNVKVLLDNHVSEPKWCCNDDDDNGFFHDQHFDPQEWIHGLTLAAKHFYGHQPIVAMSLRNELRGPRQNLRDWYKYMSHAALVIHKTNPNVLVVISGLNYDTELQFLRNNPLKIDLGEKMVYEAHLYSWSGIGTLKLKEFWSKQPLNRICAENIEGLDQSAGFLTSGKNAVPLIITEFGFDQTGSSVEDNRFLTYKLQLDESFGVVDATWHKLRYPNFADKFQLLQRKNQDPTSKVSEAYIMYHPLTGQCGQVNDKNELEIGSCENQTRWIYNGSQILLNDSKKCLTAIGEGLPVAISDDYENKNSSWKSESLSRLHLATVDQNGKHLCLHKDYNSSFVVTSKCICINDDSLCLDDPQSQWFQLVATNV >RHN70800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54089982:54090769:-1 gene:gene19417 transcript:rna19417 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKKYMFGSRREARLGRSYTTKTNYHSNISPSNYDGSKKTVWQKIWKKLKRDKKKVFNSPSPSTIVEDGVSYDQDTYSMNFDHGTGWMEPDNLPRSFSARYADPCWILTPKYLVGR >RHN80093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35066442:35072597:-1 gene:gene3974 transcript:rna3974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MSGEHFDGEDVGYVPENMEFPQIHSDEHDEGDVIDDSGFPQLHHDDESGFPQLLHDDESGFPQLQQDDESGFPQLQHDDIDDEQVGSLGEDANLPEDQLEGHDVENFPEIDDAIANALTEENTNEEQHDEGNHVTENFGSPEKKVPGEDSKGIEIKKWYGWPGENVFRMLVPAQKVGSIIGRKGEFIKKITEETRARVKILDGPQGTAERAVMVSAKEEPDRPIPPAVEGLLRVHKQVANVVSEPADTAQGAGRPSITRLLVADTQAGSLIGKQGSTIKIFQDSTGCNIRILGSEHLPIFALRDDSIVEIQGEPAGVHKAVELIALHLRKFLVDRSIVGVFETQMQRQDVRGNQNMPPHQPWGPPPQGFPAHGGGGGPAFPPNPQYMPPPHNYDNYYPPADLPPIDKHMHQGPPPAYARDASLGIHSSGGQPQQSGGTKVTQHMQIPLSFADAVIGASGANISYIRRASGASITIQETRGVPGEMTVEISGTASQIQAAQQLVQNFMAEAANAVAAAQAQDHMGGTVNQGYNSYPTNAPVYASPQSGAAGHAPPADYGSVYGTNYGY >RHN68777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38447454:38449244:1 gene:gene17172 transcript:rna17172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NIF system FeS cluster assembly, NifU MKLHIKVVENGKIVEACFKTFGCGSTIASSSVGFVPFMRRREYRFAATEWVKRKTIEEVLTIKNTRWIFCYSRLVVEQRYLLGFMVGIPKHLNLPPVKLHCSMLAEDAIKAAVKDYEAKRASATAATGDKICHCMISSIAGMMMKKFISVILLWC >RHN54006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6170917:6171941:-1 gene:gene28981 transcript:rna28981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase MFLDIIPDENYPAEFSYRSRWVECNKCERWQHQICALYNKKADLDCSAEYIYVLYATKKKLERECMFPYQRRLILVLRTFQELC >RHN78559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16252069:16252722:1 gene:gene2177 transcript:rna2177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MAATETCLDLPEELWEHILNCDNNTFKSLSMVSKQFLSITNRLRFSLKIIDQTIPFIPNLFQRFPNLTSLDLTYFSGVSTLQAIFTEISTFPSNIKSLNVSHRIAIPQNGFRDSSQRLQNLSKSFNCTIMTAIFKTDILFIADRFPLLEELNLDYHYYHGTKNNFVLNIDDQYLPLPKLRKINLSCDLDLFHRICAWKNSELAARGVGKMEKMGGVF >RHN75509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42798366:42805457:-1 gene:gene11727 transcript:rna11727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MGFLLKEALKTLCGRNNQWSYAVFWKIGCNNSKLLIWEECYYEPVSCPSPHGIDGMSNFPNPNGEGSWFSSEFQSPHLGIQEEDKVSSLVNKMTVNNLVIVAGEGIIGRSAFTNSHEWILLNDFAKNAYPPEVYAEMHDQFSAGMQTVAVIPVLPHGVVQIGSFLPIMENMGFVHEVKSLILQLGCIPNALLSEDYSAKLSNERLDGPSTSGVPSSVDSSVMTSNSAPSVVNGSNHHHQSYSSHAMKPNVQTLHPLSGEICNFQGRVVEAKVIPSNFGSNLQKHSVPYNARSEFNNFAGSAPFGQSGQRDCSLKYMEQQNLSVVGSCDHVNPCVNVSSSLNISQQKTDRSLNFGHNLSSSSTSLLRGIPVHGGMNSLLRENLITSSKSPKVSAANLSGAQVGNELQNKDSTFKFASTNQKINYDILQAHSIPSFNPEEYVPNSGHIPGFVRDCFQNDGTIQSMMTANPKHEEACAQQPPSGGDDLFDILGVDFKNKLLKGHWNELFADESDGNAENKLKKESCLNREGTASDHYYTVNESMLDGGIFSGMSTDHLLDAVVSTAKPTLKQNSDDMSCRTTLTGNSTASIPSRVCKQVMSGNFEGGLFGFSKNGGKMGAVETSSLRSGCSKDDGGKCSQTTTVCGSQLSSWLENGGNVRHENSVSTGYSKRPDEACKSNRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGSKCSIDALLERTIKHMLFLQSVTKHADKLKQNGESKIISKEGGLVLKDNFEGGATWAYEVGSQSMVCPIIVEDLNTPRQMLIEMLCEERGFFLEIADLIRGLGLTILKGVMEAHNDKIWARFIVEANRDVTRMEIFMSLVRLLEQTMKGNASSSNAIDTMLRYNSLPQKA >RHN54002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6153073:6153993:1 gene:gene28977 transcript:rna28977 gene_biotype:protein_coding transcript_biotype:protein_coding MANQYHFGNQRNEESSPYRNAAYLRLFGSNIRIINGGSRSLSSTPTIGGQTGAGPTEPAARSDYPRSGVVAGNNSNVNSISSFNDGTNTTTIGTRNDELFRANSLFKRRRSPDPFTRGETSNQGSQVDESSSRRHTFPPPFHLHQDNVNMSSSQETFWDHFLMNEDDDINAGPSSATNRSEINSPPHVRLFLEGRVNQIPSPTIVPVWPSSYVPPQQTIMTSDASPSNNYRLNPPSSPLGSGLTIVAQTDEYIQTGSGSPRLENVASHGGIHHNLISTSHELRPERNRHRVEVHILSKLLDNIDFG >RHN44514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5583645:5592470:1 gene:gene38642 transcript:rna38642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAUS augmin-like complex subunit 5 MQQSSSPSPEAILEWLHKEMGYRPLGQYASAGGKSHSPSVESIRKICRGNMIPVWNFLVTRAKSEKTVRNVRRNITVHGEGDGGGRKKEKREGEEVEVALMERDSVKKEVERLRNVVRRQRKDLKARMLEVSREEAERKRMLDERANYRHKQVMLEAYDRQCDEASRIFAEYHKRLCYYINQARDAQRSGVDSSVEMVNSFSAKNEKEAVYSTVKGSKSSDDVIVIETTREKNIRKACESLVAYMVDKIQSSFPAYEGSGVLSNPQAEAAKLGFDFDGQIPDEVRTVIVNCLKSPPLLLQAITAYTSHLKSQISREIEKIDVRADAEILRYKYENNIVMDVSSSDGSSPLQYPLYGNGKLGADVPPGGSQNQLLERQKAHVQQFLATEDALNNAAEARDLCEKLMKRLHGGTDVTSRSIGIGATSQNVGSLRQLQLDVWAKEREVSGLKASLNTLMSEIQRLNKLCAERKEAEDSLKKKWKKIEEFDGRRSELESIYTALLKANTDAASFWSQQPSTAREYALSTIIPACSAVVETSNSAKDLIEKEVSAFYRSPDNSLYMLPSSPQALLEAIGSSGSSGQEAVANAEISAAILTARAGARDPSAIPSICRVSAALQYAAGGLEGSDAGLASILESLEFCLKRRGSEASVLEDLLKAINLVHIRRDLVQSGHALLNHAYFVQQDYERTTNFSLNLAAEQERAVMEKWLPELKTGVLNAQQSLEACKYVWGLLDEWWEQPASTVVDWATVDGSNVAFWHNHVKKLLTCYDQELLL >RHN80722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40434003:40435815:-1 gene:gene4681 transcript:rna4681 gene_biotype:protein_coding transcript_biotype:protein_coding MNHTKPIFYPIACKIPKICLLSVVTTIHHAIQTWVEIFTYFKCG >RHN65814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5594234:5598863:-1 gene:gene13704 transcript:rna13704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAELVGGAFLSSFFQVALEKLSSNDFIDYFRRSKLDVNLLEKLLITLNSINHVLEEAEMKQYQSMYVKKWLDDLKHYAYEVDQLLDEIATDTPLKKQKLESQPSTSKVFDFISSFTNPFESRIKELLEKLEFLAKQKHMLGLKQDACASSEGGVSWKPLDRLPTTSLVDESSIYGRDGDKEELINFLLSDIDKGNHVPIISIVGLGGMGKTTLAQLVYNDQRIKENFKHKAWVYVSEIFDGLGLTKAILRSFDFSADGEDLNLLQHQLQQGLTGKKYLLFLDDVWNGSEECWERLLLPLFHGSAGSKIIVTTRNMKVATVMNSTKNLNLEKLKESECWSMFVRHAFHGSNASEYPNLESIGKKIVDKCGGLPLAVKTLGNLLRRKFSQHEWVKILETDMWRLSEGDININSVLRLSYHHLPSNLKRCFSYCSLFPKGKWFDKGELIKLWMADGLLKCRGTEKSEEELGNQLLDDLVSISFFQQSRYGDNKRFTMHDLINDLAQSMAGEFCLRIEGDRVEDFPERTRHIWCSPELKDGDKTIQHVYNIKGLRSFTMDKDFGIQLFKTYDILQQDLFSKLKCLRMLSLKRCNLQKLDDEISNLKLLRYLDLSLTKIKRLPDSICNLYNLQTLLLAYCSLTELPSDFYKLTNLRHLDLECTHIKKMPKEIGRLTHLQTLTKFVVVKEHGSGIKELAELNQLQGKLCISGLENVINPVDVVEATLKDKKHLEELHIIYNSLGNREINREMSVLEALQPNSNLNKLTIEHYPGTSFPNWLGGCHLSNLSSLNLRGCKFCSKLPQFGLFPHLKMLSISSCPRVEIINSSNSPFRSLKTLHFYDMSSWKEWLCVESFPLLEELFIESCHKLKKYLPQHLPSLQKLVINDCEELKASIPEASNIGFLHLKGCENILINDMPSKLTRVILKGTQVIVSSLEKLLFNNAFLEKLEVSGFDSANLEWSSLDLPSSNSLHTLSINGWNSTFLFSLHLFTNLKTLNLYDCPQLESFPRGGLPSSLTSLRITKCPKLIASRGEWGLFQLNSLESFSVSDDLENVDSFPEENLLPPTLNSFQLERCSKLRIINYKGLLHLKSLRYLYILHCPSVERLPEDGLPNSLYQLLSLNCPLIKEQYQKEEGERWHTICHIPVVDIVG >RHN39161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4584346:4591065:1 gene:gene45161 transcript:rna45161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MKQTVIGMLLHFLLVLFGVLAILVLIQAQDQSGFISIDCGLSELSSYSETDTGINYISDAKFIDSGVSKRIPPTEIIVKQQLEHVRSFPSGVRNCYRINVTSDTKYLIRASFYYGNYDDLNEPPQFDLHFGANVWDTVKFTNLSLIATSEIIYTPSQDYIQPCLVNTGNGTPFISSIELRTLNNTAYVTNSTKTVLSNFLRFDIGSITNIEYRYKDDVFDRVWFPYEVDWARLNTSLNNNDLVQNDYEPPRIVMSTAATPVNASAPMQFHWSVDNENDQYYAYFHFNEVEKLAENETRSFNITVNGDFLFGPEIPVHQAVHTIVSTKPLTGAARYLFSLLKTENSTLPPILNAYEVYKVMDFPQSETEQDDVDTITNIKKAYGVARNWQGDPCGPVNYMWEGLNCSIDDANNPPRITSLNLSSSGLTGEIASFISKLAMLEYLDLSNNSLNGPIPDFLIQLRSLKVLNVGKNNLTGLVPSGLLERSKTGSLSLSVDDDNLGLCTMNCKKKNIAVPLVASFSALVVIVLISLGLWILRRQKVVVTSSNSKERGSMKSKHQRFSYTEILNITDNFKTTIGEGGFGKVYFGILQDQTQVAVKRLSPSSMQGYKEFQSEAQLLMIVHHRNLVSLIGYCDEGEIKALIYEYMANGNLQQHLFVENSTILNWNERLKIAVDAAHGLDYLHNGCKPPIMHRDLKPSNILLDENLHAKIADFGLSRAFGNDDDSHVSTRPAGTIGYADPEYQRTGNTNKKNDIYSFGIILFELITGKKAMVRASGENIHILQWVISLVKGGDIRNIVDTRLQGEFSISSAWKVVEIAMSCVSQTTAERPGISQISTELKECLSLDMVQRNNGSTSARDELVSVATVSVSTFLAR >RHN76458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50457696:50463069:1 gene:gene12785 transcript:rna12785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bicarbonate transporter MNADMKSFKTPFKGIVDDFRGRAVHYKDDWISGLTSGTGILAPTMYIFFASALPVIAFGAQLSRETDGSLSTVETLASTAICGIIHSIFGGQPLLILGVAEPTILMYTYLYNYAKNKEGLGRELFLAWVGWVCVWTALLLFLLAIFNAAIIINRFTRIAGELFGMLITVLFIQEAIKGMVSEFEVPKEGDPTLDKYQFHWLYANGLLGIIFTFCLLYTSLKSRRARSWLYGTGWLRSFIADYGVPFLVVVWTALSFTVVASKVPSGVPRRLVAPLAWESASLHHWTVIKDMGKVSLEHIFAAFVPALMVAGLYFFDHSVASQLAQQKEFNLKKSSAYHYDILLLGFMTLLCGLIGLPPSNGVLPQSPMHTKSLAVLKKQLIRRKMVKSAKESIWKKASNSEIYGMMQALCIEMDNDPNNHSVVKELEDLKDFVLNGEDKGDNNKSTFDPEKHIDTYLPVRVKEQRVSNLLQSLFVGVAVFAMPAIKMIPTSVLWGYFAYMAIDSLPGNQFWERILLLFVRPSRWYKLLEGDHASFVESVPFKHIVLFTLFQCVYFLVCFGVTWIPIAGMLFPLPFFLLITLRQYILPKLFSPNHLMELDAAEYEEIPGAPRLSFNISYKEVESPKVGSKEIGNAEILDELTTNRGELKVRTMSFSEERNNQVYPHKLDSN >RHN67924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31506108:31508403:-1 gene:gene16182 transcript:rna16182 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTISGYITILWDQGNCNPVPRFCSSRIQNHVAAMERKKIIIIMKKKLESVLLCFGFS >RHN67382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26655638:26655947:1 gene:gene15566 transcript:rna15566 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEDMQRKARQGELGASKRQIKSESTKFRIFKACHSKTWRGRSDKARHSEQEARTRRGKSESSYFQKSLA >RHN72047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4616851:4621434:-1 gene:gene7716 transcript:rna7716 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MTTTRPKIFLFGDSITEDSFSVGGWGASLANHFSRTVDVVLRGYSGYNTRWALKVLERVFPVSKCDGTETDPVALTVFFGANDACLPNRCSGFQHVPLHEYKENLCSIVSFFKKRWPTTHIVLVTPPPIDEEARLRYPYVDNPEGLPERTNEAAGEYARACIAVANECRVPVIDLWTKMQQSPDWKKNYLSDGLHLTNGGNQLVFEEVIRKLRDEGLTLESMAVDLPLIGDIDPNDPLKAFLYSNMMM >RHN79417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28622768:28626108:-1 gene:gene3207 transcript:rna3207 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLFTGSFSRFRSEEVSPDRHHVIEMTDGAGTRTGAGAGGRINLDKFFDDVEGVKDDLKELESIHQRLSKTNEQTKTVHDAKGVKELRSRMDEEVSAALKKAKMVKLKLEKLERSNAANRNLEGCGPGSSSDRTRSSVVNGLKKKLKDSMESFNRLREVITTEYRETVQRRFFTVTGENPDDKTLDLLISTGESETFLQKAIQEQGRGRILDTINEIQERHDAVKDLEKSLLALHQVFLDMTVMVQFQGEQLDDIESHVARASSFVHTGTDQLQTARKHQKNTRKWACYCIILLLIIVLIVVLATVKPWQHNDSGGGGGNQPAPAQTPPSPPPPSGGT >RHN63483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51668256:51673382:-1 gene:gene26184 transcript:rna26184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromosome segregation protein Spc25 MECSTSSTHRDSEITQQIHTIDAFAASVSKSFQSIKTTGQQTAKTQVQLEEVKDKLKEVEDELVKVLAEKTRKEAKRMALLDAIASAKARVGNLNSSIQEVRTRKQEYISFLSQQSLALAASEGRLNEGIEHTDETHEAISWYNRVLGFHVKGGRGVKFTFKNINLKNPNEEYSFTVFHDKNTYTLLRCEPSFDGIEELVHELNKTDGLFKFVRVMRKKFQEALVQGSLVLTTVNPGESAFISSSAPGTSVRSDSTTMENEHEVERSGSSAQLKKKQVRRRKSLARLSPDSASSVRQSPRLKEIKYKGCLPPHLMLRAELPRFSCRHNSLLRLLSVC >RHN52114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31633571:31635616:1 gene:gene36738 transcript:rna36738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, peptidase, FtsH, peptidase M41, FtsH extracellular MGNHKKKKTTQKKKGQSLAQRMKMVFVGSFQEAFKKKKNGKKGQERKRNQFEFGIRLLSLIVSYLYFHLFKRKKEVSFEEFRNKLLEPGLVDHIVVSNKQFAKIYVRRNNGASKARYEYFFNIGSVDSFERKLEKAQEGLGIQHHDFVRVTYSSETDWLFSLFILLSGILMIFGAVSNNGIGKQHVTKVDKDAENKVYFKDVAGCDEAKQEVMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESDVPFLSISGSDFLEVYVGVGASRVRQLFKEARKRAPSIVFIDEIDAIGRARSSRGGGAANDERESTLNQLLVEMDGFGPTAGVVVLAGTNRPDVLDKALLRPGRFDRQITIDKPDIKGRDQIFQIYLKRIKLDQEPSYYSHKLASLTPGFAGADIANVCNEAALIAARTEEAHVTEDHFEAAIDRIIGGLEKKNKVISKKDRRTVAYHEAGHVVAGWFLEHTEPLLKVTIVPRGTAALGFAQFVPNDDHLMTKEQLFDRTCMTLGGRAAEQVLIGRISTGAQNDLEKVTKMTYAQVTVYGFSEKVGLLSFPSIEDSFETSKPYSSETSAIIDNEVRDWVKKAYKHTIELIEEYKGKVAEVAELLLEKEVLHQDELLKVLGVRLPFKSAEHDNYDQIKLGIQDEAKDVEIIVDGAEAGDVFRSRT >RHN74077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:26418971:26420251:1 gene:gene10035 transcript:rna10035 gene_biotype:protein_coding transcript_biotype:protein_coding MGYARLTQKVLVETKSTEKDIDKCLKWREGRVRNDGTVDESVQKVYAECVTLSQSSETSSCRDILSKALNVREYSGRVRGMGLGVTQTTLNKGQKRSEKNPSNRELMAIIQNISSEVEELKKERGKDIARSQQDMHIVSDKDSSNVDVLKNIPEGISPCSPYLLSPTYRIVAKGMVHNILGDKLHHKPLPVGYLKVSIDIAFEKDAELPIPDDDADIRLVGDAIGTYVAWQRNLISLNLEVK >RHN64558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60029624:60030709:1 gene:gene27385 transcript:rna27385 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGSESSQQTPTSTVKTVNQVEVESVKCDSCGFTEECTLAYISKVRQRYQGRWLCGLCVEAVKDEVVRSERLITIEEALNRHISFCKEFRSSSSTVLNKTEHPIILMSRRIRRSLDCPRPPLRSNSTGVLPAVDGVRSSSSSLIRSDSCFSSISG >RHN67051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23259363:23259960:1 gene:gene15183 transcript:rna15183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine N(alpha)-acetyltransferase NatB transcription regulator GNAT family MISPGVVVRDATPVDLFKILVDAVLPDVDTQSLVNGKLLQVATDDLLGIIFGYIVFDVGELKHNGYGHITSLFVNPIFRRKGHATRLVTMAQMDLEKQGAAYISVLVPKTNTDALLFFEKMGYEKEVVKGNNINGNDDANVIMMKKLKGKQMHSAETEGNPKGT >RHN54586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10614355:10614711:1 gene:gene29651 transcript:rna29651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLLPVNNLSGTFPPCLHNMTSLTGISAPANSFGGSLPPDMFQTLPNLQVFEIGGNQMLGKIPISIANASTLTLFDISSNHFVGQIPSLGKLQDLNYLNLELNILAEKSIKDFENIDKL >RHN66989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22519603:22521613:1 gene:gene15118 transcript:rna15118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MISVFKYGTSKEKVAWRRPSKNEIALDVDGSSFRNLGRAGYGGVVRDYKGEWLRGFSGPIEFAIGFANSLEAELEAIKHGLLFAWEYGYKQISCRSDCTQALTLIRKYQPDDDTRPSFMIQQNIQIIEEIEELVSRDWVVELSHTFREGNKCADYMAKLGAKGVKDVCVSPPDGLFSLYHNDLMGIGYERP >RHN40500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16981657:16982555:-1 gene:gene46656 transcript:rna46656 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTTKLTLKLLIDTKIERVLFAEASKPVVDFLFNMLCLPIGNVVKLLNKNEMVGSLGNLYQSVENLNHNYMQSDHQTKDILLNPSAPIGSIEIFHLFPPLQKALRTSSGVSDFILTAELDDDEDQEEDEEYDDEEEEETEEDDEETIGGETLLYVCPKKCSYDVTCDKTTLCSRCKKPMNRETSCVGKKFDEEYLSINNGFVKDVVTFMVMDDLSIQPMPTLSGITILNKFNIKEMGTLQEMVVEFGVEEASALFLWFKVA >RHN51208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15078370:15081313:1 gene:gene35601 transcript:rna35601 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMKCEFCIVLVIVLILLLLCFMVECLFCVGYCFQTTISKGKSVSDACRRMAARHVDVVLLTDANALLFGMLCYSE >RHN77453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6950453:6951342:-1 gene:gene913 transcript:rna913 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRDLELLIPVSKIPENGGSKSSSTSSSPGGMVLSTQHHSGQEAFSKVIRSWASKKFMTGCVILLPIAITFYITWGFVRFVDGFFSPVYNHLGINVFGKFMSIFLAF >RHN67790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30308671:30315725:1 gene:gene16023 transcript:rna16023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MGEERMGSRKRIAGGGGLPDDCWEYVLSKIVNKENLSVDERNNYLETLSLVSKQFLSVSNRFLYSFKLIYNQNLYRPFQRFQNLTSLDLSSFRGDLNALLSRIPASITLTSLNLSNHTTFPVLGLQTLLKKTTTKSTLTSLTCSNMASLCHTHISLIADSFPFLQQLDISFPKTISGNAHAYYNALQLLTQKLSKLRNVNLSGHSYFNDSLFFQLCVNCKFLQQVFMFDCQFLTHDGIASAIYQRPTLNSFSVSNFQEANGFNKITPYFVDSFGTLKGLTCLDFSFSRISDLMLCSLALESLPLNKLVLHGCLDYTYYGIYYLLSSGCHQLTNSAFFALLRNCPLLTEIRMESADIGKSLSVDLDLDFVYNQVKSLYLADNPRLKDEDINMFALMFPNMQLLDVHRCNSISQIGIDTVLKRCPNIRHLNLAFCSQANSFSIKHEASKLEVLNLSHTRIDNTTLYVISKIFPCLLQLDLEHCHLVTEKGVRLVVEKCTYLREINLGSCRRVSANVISWMIFSRPSLRKITAPPHFRPRDCDRKLLSGRCLVC >RHN81360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45221740:45233751:1 gene:gene5384 transcript:rna5384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-(apurinic or apyrimidinic site) lyase MNEQQNNRISIMNFGQQLLGQDGNQFQTNSAWVPITPEKPIQIRSNTASDWQADQMRRANYQEFPVPGRDYMNETLMHYHGAYQNPHLIGQTGQIGEYNNFGGISVNRNNASNHIAGSYNQAQHYESNGFNNNTLEQLLKKNATDIATANMNLDTSISMAARNPLLPKFYPQSSSGASYSYAASNNVYTEATGLITPNRNFEFSGSNTDNLLNNNIHCSVSNQLNGIFSEASYGQTFPEPYMNYVPNAEAHATTSFTNYLQSIPETVDQLKFVENQICPVPDYTVAESTSHEKDFVPCTENEIQEYSDGLLQQIVDSSSAITSTTQGDQKASVSNICDNGSNEIFDLNKTPEQKLPRRRKHRPKVIREAKPKKNTNHASQKTEIKETPRRKRKNVSETAETPKADVIKDICDSTAATTKSCRKALNFDLENSSNESQSSKVFQEEMHSGASKKYNTNSALTISQQDELGVENSQARNTNDITFLLEGNVTNCFLSERESTITLSKTTEQQIAKFSVTEKGPAQGNSGLREERNSGCMQQYINANETDNTLLQSETCFENTQETGELIFENMFQLPNILSNSTEAKGSKRKYSKSTKNQHNSAKNPLGTTLCQEISQVDGNFKGATLATGLLKNKRKRTQKLQSKVRRGSSSQRKPKDDSQKVRKNEKKGVQSHNEVMPNSCIESSVLVEKQNSGASTGDSFAISGEPHQIHSTLVDNIICQLNSLSLNEGNRSEREEQKALVPYKGDGSIVPYQEFEFGKKHKPRPKVDLDPETERTWKLLMGIEGSEDLEGTDKKKEKWWEEERNVFRGRADSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPLKSKSSQRTYDIVVTDPSVEEPQHCIVNPVDSIASYERGTLNPPTYYIDFEKPHHTGEYWRDRETSRINESLIQPNNHSSEEELLSSQDSLDSSVTQDTRIRTNSKSEVRNSGREPSRDQFLTSNSLQVGKATMFQEFYHSVNGVSLFDESANGKLQQQHVKQSSRVGSNDIHSFHSASGHPCSFGYPQKQQLPVAPPTDYESYYSYIQGLNTFQMNGEEFSWPETVSIHSEFQDNNYMRFGIKGVGDSVDKPTEMQRGNGTLGSSVPIGDTSQSISHTNYNQPSPNHHFVSQKTFQSEGGTYAESSNTSHILGKDHAENDHSNTLTYAEEVFDSEKIISTEQVCSDNIQAKPKAGKQVYSPGKKDKDGKLKVRKARKTKPEANKKPAEDWDNLRKDVHENGVKIERSVDTMDSLDYEAVRCASVKEISDAIKERGMNNMLAERIKEFLNRLVREHGSIDLEWLRHAPPDKVKDYLLSMRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPEKLQIHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKKKPNCNACPMRAECRHFASAFASARLALPGPEEKQIVSMPVPIADERSLPNLNPLILPLPGNNTSIEASLKSRKCEPIIEEPATPEHGTEALESDIEDFFWEDPDEIPTINVNLEVFAKSLQNHMQEHEGDQSRALVAWNPQSASIPTPKLKNVSRLRTEHLVYELPDSHPLLEKMDKREPDDPSPYLLAIWSPGETANSIEPPQRKCGDSTNLCNDKTCFSCNSIREANSQIVRGTLLIPCRTAARGSFPLNGTYFQVNELFADHASSVKPIDIPREWIWNLPRRTVYFGTSVSSIFKGLSTQEIQQCFWRGFVCVRGFDQQKRAPRPLFARLHFTENKLAKSKK >RHN47978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43979721:43983257:1 gene:gene42641 transcript:rna42641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MAEENSDAMNLDLNLGPDPEPQTGSLGNEGLNLDHWIEEPLQRISEAAARLRGRQRWRWRQLSPPYPQQLQRVLPQPSYHQVHQLAPAPHIYIPPEARNISIELNNFLVNSGNGIGLQAGEGSVAAVERMEEEEAPLKACENNNGVMEDETLQKNKDDVEKAGGGDGDFFDCNICLDLAKEPVLTCCGHLFCWQCLYRWLHLHSDARECPVCKGEVTIKSVTPIYGRGNSTRVLEEDSTLKIPPRPQAKRVESLRQTLQRNAFAFPVEEMIRRLGNRIDLARDLSQPNEPENARGTAERTTSLLSRFLTSRGMRREQNPVPPPDDAASLNQNNDTGAELGGDTRRVQSLLLRRSQSHRATLSTLSSALTSAERLVEAYFRSNPLGRNQEQPPPSGDDRDSFSSIAAVINSESQVDTAVEIDSMVTSSRRRTDASRLSDVDSGDSRAPRRRRLN >RHN51412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17439912:17443930:-1 gene:gene35843 transcript:rna35843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADALLGIVIENLGYFVREELASFLGVEKLTQKLNENLTTIRAVLKDAQKKQITSNVVKQWLQKLSDAAYVLDDILDECSITSKAHGDNTSFHPMKILAHRNIGKRMKKVAKKIDDIAEERIKFGFQQVGVMEEHQRGDDEWRQTISTITEPKVYGRDKDKEQIVEFLLRHASDSEKLSVYSIVGHGGYGKTALAQMVFNDESVKTHFDLKIWVCVSDDFSMMKVLESIIENTIGKNPHLSSLESMQKNVQEILQNKRYLLVLDDVWTEDREKWNKFKSVLQNRTKGASVLVTTRLDNVASIMGTYPAHPLVGLSDDHIWSLFKQQAFGENGEERAELVEIGKKLVRKFVGSPLAAKVLGSSLQRETDEHQWISVLESEIWNLPEDDPIISALRLSYFNMKLSLRPCFTFCAVFPKDFEMVKEDLIHLWMANGLVTSRGNLQMEHVGDEVWNQLWQRSFFQEVKSDLTGNITFKMHDFIHDLAQSIMGEECISYDVSKLTNLSIRVHHMSLFDKKSKHDYMIPCQKVDSLRTFLEYKQPSKNLNALLSKTPLRALHTSSHQLSSLKSLMHLRYLKLSSCDITTLPGSVCRLQKLQTLKLEDCVFLSSFPKQFTKLKDLRHLMIKDCPSLISTPFRIRELTCLKTLTNFIVGLETGFGLAELHNLQLGGKLYIKGLENVSNKEDAKEANLIGKKDLNSLYLSWGDDANSQVGGVDVEVLEALEPHSGLKHFGVNGYGGTDFPHWMKNTSILKGLVSIILFGCKNCRQLPPFGKLPCLTTLFISEMRDLKYIDDDLYEPATDKVFTSLKKLTLYNLQNLKRVLKVEGVEMLTQLLELDITKASKFTFPSLPSVESLSVQGGNEDLFKFIGYNKRREEVAYSSSRGIVGYNMSNLKSLRISGFNRHDLLVKLCTLSALESLEIDSCNGVESFSALLLIGLRSLRTLSISSCDRFKSMSEGIRYLTCLETLEISNCPQFVFPHNMNSLTSLRLLHLWDLGDNENILDGIEGIPSLQKLSLMDFPLVTALPDCLGAMTSLQELYIIDFPKLSSLPDSFQQLRNLQKLIIIDCPMLEKRYKRGCEDQHKIAHIPEFYFESGAKPTFPENIISAWETYNQKIYYPLSGFEKMIDSTDLSTKDIDIEYGKYTR >RHN59734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12721334:12721537:1 gene:gene21839 transcript:rna21839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome f MKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLFFFLASIILAQIFFVLKKKQFEKVQLSEMNFSM >RHN56751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33397099:33401067:-1 gene:gene32192 transcript:rna32192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein Networked (NET), actin-binding (NAB) MAASGVNSSKQMKRLESRKSHSWWWDSHISPKNSKWLFENLEEMDRNVKRMLKLIEEDADSFAKKAEMYYKKRPELVALVEEFYRGYRSLAERYDHVTGELRKNVQSDLQSQGSGFSDTGSEPPSTLPSPNVTHRKSSNRAAGFDFFLGTGGNASDISQKDGEDESSTMTGSEDESDDSSVNNYSAFSRNGTDPGMNRRINELENELREVKEKLWTQEGEHAEVSVSSSGATHENADDVYAKINAYEQELMIVNEKLRLSEEEITKLRTELENYKSLDSRNMDVGVELEVEGSVDGVDKELFESSGGTASLREELRITKENLKASETQVASLNTEVNKSSDRIQQLKDQLDSAKKDVATWKTKFNSEKRESTKLQERLARLKTSLSDRDHEIRDLKTAVSDAEQKIFPEKANLKAEMSKLLEEQTHLKELIREWESRGRSFEEQIRNIQSEKIEMEAELKNGIQLLKAEIEQRENNIKDLNVSLDNLKLEKDNLNVEVGSLKEDVNSRDGRIGSLDRHLNDLHIEHVQLISSLEEACRQVEEIKTKAKNLEEQVERQKTEILEAAEEKREAIRQLCFSLEHYRNNYHMLKQHFIGHKRVPILAA >RHN63220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49464708:49466493:1 gene:gene25896 transcript:rna25896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MDREDSVLIHNSLCPQPTTSLSSYIIASTSSNSSSSLEEEEEEEEATNTKCKEKKKKKVGMVNKVNDRKNNPTYRGVRMRQWGKWVSEIREPRKKSRIWLGTFPTPDMAARAHDVAALTIKGSSAYLNFPELAAVLPRAASASPKDIQVAAAKAAATVYNHAITDQIELEAEAEPSQAVSSSSSSSSSSSSSHNEGSSLKGEDDMFLNLPDLTLDLRHSGDDGFYYSSSAWLVNGAQHIDSSFRLEDPILWDSYQVT >RHN57369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38425396:38433153:1 gene:gene32902 transcript:rna32902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LysM family MYIIRPNTLLHIYSTHPNSYSVCYTMKPIIKFRLSLLFLLLVSQSITSESKCNKTCDLALASYYIRPGTTLANISKVMQSNVVSKAEDISIYNIYTILSVDSVQVYTRLNVPFPCDCINDEFLGHTFLYKLRHGDSYASIATTTFGNLVTEEWIERVNVYPRTYVPDSVMINVTVNCSCGNGEVSKDYGLFITYPLRPDDTLESIAKYTKVKGELLQRYNPGVNFSQGRGLVYIPGKDENGVYVPLPSRKAGHLARSLVAAGICIRGVCMVLLLAICIYVRYFRKKNGEEESKLPPEDSMSDDTLAKIATKADLDEGLLQNFNQDANFSKGSGIVFIPGRDENGVYVPLPSRKAGHLARSLVAAGICIRGVCMVLLLAICIYVRYFRKKNGEESKLPPEDSMSPSTKDGDKDSYSDTRSKYILVDKSPKFSYKVLANATENFSLAKKIGQGGFGEVYYGVLGGKKVAIKKMKTQATREFLSELKVLTSVRHLNLVHLIGYCVEGFLFLVYEYMENGNLSQHLHNSEKELMTLSRRMKIALDVARGLEYIHDHSVPVYIHRDIKSDNILLNKNFNGKIADFGLTKLTNIANSTDNTNHMAGTFGYMPPENAYGRISRKMDVYAFGVVLYELISAKAAVIMIDKNEFESHEIKTNESTDEYKSLVALFDEVMDQKGDPIEGLRKLVDPRLGDNYSIDSISKMAKLAKACINRDPKQRPKMRDVVVSLMKLISTIDDESRTDSAELSLDVEHDSN >RHN77225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5198156:5199903:1 gene:gene656 transcript:rna656 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPFVCGTFNQEDEDELCRANPDSSPRRYKRKDSKNNPYSTRGLDKFSALLSDLNDKRQKIYSQMNPHDISFVRFVHSNKDDFVPIVVKVKNKSQELKVVKPRNLSPKSTVKKSSPESSIVAMEERNQPKKRISWNMKNNEMEKPLFYLPFVVIMILVLLTVFGRTAAIIFTCILWYVIPTLKDIKARNSIKKKDFVRGLSEKKMDVMNNEGVVKKKDYVRGFSEKKMVVNEGINKKKDYVRRWSEKKMVTNNEGLVSPRSGGDYDDDASKNKIQAKHSHKKSW >RHN53975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5900574:5903697:-1 gene:gene28950 transcript:rna28950 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHLKTTTKPSRSDEVLNTEEQIKITNQIKAQFDALTPKRPIKPNRSEPETQQNLVDSTFPSHNIQELEKLQSLQSNSQTILSSKGLVDTQDEFVETKYYQELLSIDKQHHTTGSGFIKAVKDGDEGEYGIQLPASHGETQFRGYKSNPATNDWVPNLNHQHMDYVSSKPNRSEST >RHN51004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12792822:12793004:-1 gene:gene35364 transcript:rna35364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lupeol synthase MLCYCRLLYMPMSYLYGKKFVGPITGLIRSLREELYNESYDQINWNKARNTVAKVRVYHL >RHN74879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37416862:37417821:-1 gene:gene11011 transcript:rna11011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MFCFFFLQIFHPENNMAFTTSTLAEKKNKVLFILGATGTGKTKLSINLSTYYPAEIINSDKIQVYKGLDIVTNKVLESERCSIPHHILGIIDDPEYDFTMNDFCKHVLESLDLIIGNGHLPIIVGGSNSYLRKLVEDPTIAFLSKYDCCFIWVDVSLPTLFKYVGKRVDEMVEGGMVDEIREYFVPGADNTKGIRRAIGVPELDSFFAIEKKSGIDDAIKEMILKKAIEETKQNTCILAKNQLSKIQNMTHMLESMVYKIDSTEVFEALLRGEDYKHLHQEIVIKPSKEIVKRFLEETTDEFGYEKYSNDNVKHASNGV >RHN74856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37215294:37218844:1 gene:gene10984 transcript:rna10984 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAFGAKSKFDFVDGSIHVSTGFDPSFKSWNRCNMLVHLWIMNYLDESIAHHIVFLENAIDVWNELKQKFS >RHN80552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39021787:39022200:1 gene:gene4491 transcript:rna4491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MPTDFIVGEQHGFDIKQLAELNHLEGRLRISGLKNAADPADVMAANLKDKKHLEELSVSYDEWREIDGSVTEACVSVLESLQPNRNLMRLTINDYRGSSFPNWLGDHHLPNLVSLVHTDHHYDVGRCHRFIQITIIM >RHN66875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20666060:20672127:-1 gene:gene14987 transcript:rna14987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribose-phosphate diphosphokinase MAMVKSPKKHVNLFYSLDCQELANKVASHSQTNITLQNIKWRSFADGFPNIFINNAEELRGQHVAFLASFSSPAQVFEQLSVIYALPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTLARMLSNIPISRGGPTSIVIYDIHALQERFYFGDEVLPLFETGIPLLKQRLLQLPDADNVVIAFPDDGAWKRFHKLFDNYSVVVCTKVREGDKRIVRLKEGHVSGHHVVIVDDLVQSGGTLIECQKVLAANGAGKVSAYVTHGVFPNQSWLRFTHTDEASKNAFAYFWITDSCPVTVKALANQAPFEVLSLAGSIADALQI >RHN73193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14247334:14248791:-1 gene:gene8981 transcript:rna8981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MASSSSCFSLSFSTFTMKVLIFILVFVPYCALAFDVKTVIDSPMLAQKVGTNRTIKVDINGNGDFTSVQAAIDSIPKGNSNWVIVHIRKGVHEEKVHIPKNKRYTFMRGNGRGKTAIVWSESSSNNIASATFKVEALDFIAFGISFKNDAPTGIAYTSQNQYVAAEKAAFYHCAFYSSHNTLFDYKGRHYYESCCYIQGSIDFIFGRGRTIFQNCEIFVVDDKRIKIRGSITAANRENESEMSGFIFVKGKVYGIGGVYLGRAKGPYSRVIFAKTYLFKTVVPERMDQLEHLYHAEYKCHGPGATTQGRASWSRQLSDEEAAPFISIDYIDGKNWLPAWI >RHN50607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8537056:8538536:-1 gene:gene34913 transcript:rna34913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAEAMSRNSSNLRLSGKIAIITGGASGIGEATAHVFANEGASHVVIADIQDELGNQVATSIGNQRCTYIHCDVADEDQVKNLIQSTVNTYGQVDIMFTNAGIFSPTDQTVLKLDMSQLDRLFTINVRGMALCVKHAAHAMVEGRIRGSIVCTGSVHSSHGFLRSTDYTMSKHAVLGLMRAASVQLAAHGIRVNCVSPNGLATPLTCKLLGVSKEKAQETYKGYARLEGVVLTPKHVADVVLFLASNDAEFVTGLDLSVDGGFAYGK >RHN60116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20861866:20865289:1 gene:gene22344 transcript:rna22344 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVVVTENLFPRKAWGPYAKLKQMVYAYVGKTCYFSPLSPPVFSLFHENLNPKIPKFLLHSTISPSHRRCSQPSSIVDRRYHLPLHLSFGI >RHN68981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40057735:40060066:-1 gene:gene17407 transcript:rna17407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine gamma-lyase MADTLFNVNVLNNKRSLENPVVEVKDTKKLTKFNGGESDVDPEAALANARHEFGEHGGVNMSIEASATFTVMEPDTLRKIFAGELGHEGDYYVYSRHFNPTVLNLSRKMAALEGTEAAYCTASGMSAISAVLLQLCSHGGHVVASSTLYGGTHALLQHFFVRTCNITTTFVDISDLEAVENAIVEGKTKVLYFESIANPSLNVANTPELARIAHKKGVTVVVDNTFAPMLLSPARLGADVVVHSISKFISGGGDIIAGAVCGSASFVNALMGIQGAMMLLGPTMNPKVAFELSERIPHLGLRMKEHSHRALEFATRLKKLGLNVIYPGLEDHPQHELLKSIGNKSYGYGGILCVDMGTVEKANKFMSYLQNYAHFGFMAVSLGYYETLMSCSGSSTSSELNEEEQKLAGITPGMVRMSVGYIGTLEQKWSQLEKAVIKFNEKH >RHN67511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27847062:27847415:1 gene:gene15706 transcript:rna15706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1 component, dimerization MLTLILDYCRFDHVQGHSNKEQKSYDDKFVWIDATRLCELMSVAKYLQLEPLYDLTCHAIARIIEGRSSEEIHDIFHLPDDLMEEEKLEQMLNITCDPSIRLMNCLYAKKRKQLKKM >RHN65299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1018528:1019154:1 gene:gene13124 transcript:rna13124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MYGFGYDVDNKNYKVVVVSHLRDSSGNFVEKDKVMVHTLGTNAWESIQKFPFYCGPHQRGTFVSGMINWLVYKGSHLCIASFDLGNKSNQEVSLLAYVEVYAYPFGLGVLRDCLCMIIGHDVWVMKEHGNKESWTKLFTISYLPITYIIIDIVNIFEENQVLLKCTGKYGTRKWIIYNSINGTFACTRLENALEVEVCVESLISPYWF >RHN78422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14956784:14958070:-1 gene:gene1974 transcript:rna1974 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSSYSSSSSEYLETLLTSAKPFLRNELISIDPKLPSLITILRSVGASECWHKHGTFLEHLIDIFRILHLWKSPYSVSLCGLFHSAYSNSYVNLAIFDPSTSREVVRGHVGVEAERLIHLFCVVPRQSLIHDDLLFHYSDKELCHDLEKSELSLRNAKEKGIFNKDESWRKKLQGLVPADGIKVKHIRTGEDVKLSRRVVAVFVMMTMADFCDQLFGFQDMLFENFDGRLEFKGNNFGAVWPGNGKPGLWLNSISRMGAVYNLILREEEIFLEEKKKMLGVKGVNGVDYERDEHIELVLPPVFAKCTKVLDARDQIVARDLYWEAMICEEGLEKIEELLVKSIEKNPFVGEPYVVLSQVYLTKGRFEEGEKEAERGLTLLLEWGCHWDKRISWEGWIAWTRVLLMKAKEKSWPNTSWGILNLGLVK >RHN48351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46849005:46851644:-1 gene:gene43060 transcript:rna43060 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVDLRVNFFEVCLTCGVLPYKQFFYFTLNLGYFSHQATGAESEAAVMKKNLNLKHLLIFVGKSFVSNFL >RHN63920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55048317:55048845:-1 gene:gene26676 transcript:rna26676 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDLKRLNESMEERPFSNQIHSKIKFNLKLYIIHQNKRFKANLIHKQGSIAPLKLRYKRPCYYRKARSHIYALINVPCIKHM >RHN49034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51936598:51938285:-1 gene:gene43817 transcript:rna43817 gene_biotype:protein_coding transcript_biotype:protein_coding MPINYDLDTLHSHVVSINYTTPDRKSMYLEFLRHCYMLMLNINWDTRW >RHN78279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13560885:13561160:1 gene:gene1814 transcript:rna1814 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTISSFDVASNSGSYRPSRNEYKLNFTINTKVKLSKTVLVPTNVYSFTPASDVFNESYDNNFLVGK >RHN72026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4495619:4496626:-1 gene:gene7693 transcript:rna7693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-alcohol O-fatty-acyltransferase MIEEINNFIMVWTVATTLFWYSYRIGKVIPKGRKRLVSLFPPILILLLLPVRLTSVHLGSISSFFLAWLSAFKLLLFAFAKGPLASNPPLSLPHFVLLASLPIKFQHNNHTNKNQIKVAPLNWRELVVMSILLYFFIPSYEKRESFHPLILMSLYGLHLYTGLEIFLTVITKIARKVVQVELEQPFNKPYLSTSVQDFWGRRWNIMVTRILHPSIYEPVMKAASHVIGRKWAPIPAVMVTFTVSGLMHDLIFYHMKREKATWEAWEPCWDSMCFFFIHGVCVALEIAYKKIFKPKHQLLPRVVSCTLTMAFVVSTALYFFVPALVRSGVTFKQNI >RHN78686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17475842:17478621:-1 gene:gene2323 transcript:rna2323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ammonium transporter, ammonium/urea transporter MSLPECSVSQLAQFIGPNATNAEAAANFICDQLTAVSNRFVDTQFAVDNTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGVFYYLFGFAFAFGTPSNGFIGKHFFGLKDVPTANFDYSYFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSGDGWASATNTGNLLFGTGVIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDHSGRAVALRGHSASLVVLGTFMLWFGWYGFNPGSFTKILSPYNSGTYYGQWSAVGRTAVTTTLAGCTAALTTLFGKRILSGHWNVTDVCNGLLGGFAAITAGCSVVEPWAAIVCGFIAAVVLIACNKLAEKMRYDDPLEAAQLHGGCGAWGIIFTALFAKEEYVNQVYPGKLGRPYGLFMGGGGKLLGAHVIQILVIIGWVSATMGPLFFVLNKLKLLRISTEDELAGMDLTRHGGFAYAYEDEGSHRNGTQLRKIDHNSSSTPTPTTDL >RHN82496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54274859:54275365:1 gene:gene6666 transcript:rna6666 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTLKTTINTFTTQNSSKRQRQGIWTRITEAASKRNHPQQTPTKHPPPHLSIPNHSFYESPPPPPLRIAIKTTTTTESPPGPLPHHCTSPPPPLHHHHHPHHYNTTDQQAQEQDNKVDSLQGKQQHHYSISKKKSQWIYSQSNDRDRDYTAKPPHQETGINTGTRSR >RHN46593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33025246:33028781:1 gene:gene41096 transcript:rna41096 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIEENSSPPKIHIETPPTAPPASGEDHQTPHQTPATAGIAGILRRWKREDLIKRGSLGLRGIGLLFSLISFIVMASNKHGDWKDFDKYEEYRYLLAIAILSSLYTGAQAFRQVHELSTGKQLIKPRMAALIDFFGDQIMAYLLISSASSAIPITNRMREGADNIFTDASAAAISMSVFAFLCLGASALISGYKLSTQSYI >RHN49086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52326216:52331108:1 gene:gene43875 transcript:rna43875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide chain release factor 1, double-stranded RNA-binding domain-containing protein MRINGRFMNFRYLFQLRKRFESKSELSLPNFRFRLYSSEVEPEISPDLLKIMEQRFSAIKHRATCLDNLINQPETSQSEYARANKELRKLSGSVQLINQLKAKQKEIDGLKSLMNESSEDKDMLNMATEEMGQAIEEERKLQNLLLKSLLPKDDADERDCILEVRAGTGGEEASLFAMNIFKMYEKYAHNKGWKFDVVDIAQSDMKGFKEATAAILGVGVFGKLKFESGIHRVQRVPVTEKLGRVHTSAVSVAILPQADEVDVQLKKEDLKIDFYRSGGSGGQHANKTSSAVRMTHIPTGIVIAIQDERSQHMNKAKALKVLCAKLYEIERTRLHSSRSKLRSDQIGSGDRSERIRTYNFPQGRVTDHRVGITYHNIDDVMQGESLDAFIDALLLKEEMDAIATFRSST >RHN55758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22700259:22703151:-1 gene:gene31001 transcript:rna31001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MKQLKKFPLTSKASSSSHHITDPSESSSSSSSSSSSLLMMSSVKQNQQQSSFHTLFSLRGSLLTLAILTHLSFTYLSLKYSTPSSQVSVSVANLVDAVKNEDEVPVTVKVVDAGRNEEEDDGGDEFGDVYHSPRVFKLNFAEMEKKFKVYIYPDGDSKTFYQTPRKLTGKYASEGYFFQNIRESRFRTLDPDQAHLFFIPISCHKMRGKGTSYDNMTIIVQNYVESLISKYPYWNRTLGADHFFVTCHDVGVRATEGLPLLVKNSIRAVCSPSYDVGFIPHKDVALPQVLQPFALPAGGNDVENRTSLGFWAGHRNSKIRVILARVWENDTELDISNNRI >RHN48801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50382645:50386537:-1 gene:gene43554 transcript:rna43554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EEIG1/EHBP1 domain-containing protein MVVKMMRWRPWPPLVTKKYHVRLNVKKLIGCNPIRQSSSKLAIQVKWKGPKSTLYSLRRGSVARNFTREAVMEYDDFSAGFVVNFDEEFHHLCNLNGYNKDNVLFHPWEIAFNLFHGFNGSPRKKGTVVGTALLNIAEFANSIDQTSFGLNIPLTNPGDSAQQSPLLCISISLVERATVHRSIVPVSSPSAQSEEITMTEKGDLSAIKAGLRKVRFFTEFVSSRKSEKACRGEEGSSDSKYSRSGDNTHNYSLDFDSLDDLGDSDRGNEDSSVGNSFRYGTLASANAGGSCSYYSNTRMNCDEKDIWVYHSYCMPDAGRSHMDESTTSSSEPYLSQSTKRSILPWRKRKFRGEPLLKKAYAEDGGDDIDFDRRQLSSESDESISSKFHKTGDTPISEFGDDMFVVGSWEQKEITSRDGQMKLETQVFFASIDQRSERAAGESACTALVAVIADWFQNYHDFMPLKSQFDGLIREGSSEWRNLRDNETCRNRFPDGHFDLETVLEAKIRPLSVVPGKSFIGFFHPEGVDEERFEFLRGSMSFDNIWDEISDSEHECLSNGGPHVFIVSWNDHFFILKVESDCYYIIDTLGERLHEGCNQAYILKFDSNTVIHKTKSVPQSSNEKKATGGWKTVAQLLERNKKPFKDVNNGKEGDSIEDQEEVVVCRGKEACKEYIKSFLAAIPIRELQADIMKNNMTFSPHQRLQIEFHYTQLLPPCLSTTPAVEATMFAADTLALAINEIST >RHN80571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39143254:39146412:-1 gene:gene4511 transcript:rna4511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MTEIQDLPEGCIATIVSRTTPVDAGRLSVVSKTFRSASDSDAVWNQFLPSDISSVISHSPSLANAPTKKALYLALSDRPIIIDHDKKSFQLDRKSGKKCYMLSARSLAIVWGDTKHYWNWIPLPDSRFPEVAKLVDVCWLEIRGVINTIVLSPYTQYAAYVVFKMIDAWGFQNRPVDLSVCVEGGQSSTKIVCLDPNVEEEGRQHNRAVGLQRPSVRSDGWLEIEMGEFFSSGIENEEVQMNLLEIKAGNWKSGLFLEGIEIRPK >RHN55826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24476153:24476627:-1 gene:gene31096 transcript:rna31096 gene_biotype:protein_coding transcript_biotype:protein_coding MANQISNFISFFVILVLVASGWNISLQQVEGRPACSTPLGACGPAGYCARRCKDLHKEDGEGSCNFGLCVCIHGCP >RHN45914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26773378:26773613:1 gene:gene40341 transcript:rna40341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-locus glycoprotein MIYHLNSQGKFEERRWDEEKKEVIVTWRSQDSECDAYGICGAFASCNSLVSPICISV >RHN67288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25572255:25583092:-1 gene:gene15467 transcript:rna15467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAISEFEIHISSSGLLYLHCFFWHFPSPEAFNMIRGVPKEIEELQEELERIEVFINDADKRADDVEDKKIKDMIKQLIEASFHIEDVIDDYIFLEEQHAPDPGCAAGVTNCVKTMAFRLQIAYKIQNIKSRISEINDTRTEKDHGFYIQSSSDKASTSYATNRNASLFQNLRDAPLYMVEADVVGFDKTRDKLIDFLVAGRADRTIVSIVGMGGLGKTTLAKKVFDNPKVVKHFDRRVWITVSRPYNTEKVLRDIMLEFYKQQRKVPPQSLRQMDRQSLVDEVRNYLQEKRYVVVFDDVWESHFLHDIEFAMIDNKKGSRILITTRNMDVANTCKKSSFVEVYELKGLTVEQSFELFNKKAFHDLNGRCPENLIDISSKIVKKCKGLPLAIVVIGGILAPKDKIPMEWYKFSENINAELEEYSIIRKILGFSYHDLPYYLKSCFLYFGLYPEDYKVHSKTLTRQWIAEGFVKQYGERTMEEVAEGYLKELIHRSLVQVDSISIDGRVKRCRVHDLVHEMILEKHKHLSFCENITEGKQLSLTGMIRRLSIAPNYDNRMEGIESSHVRSLLVFEPQRSLESFVKTIPTKYRRLKVLALSNRERLEVPKDLGSLNHLKYFGFFVIGETYPIFPKIPKSIGMLVNLETLDLRSPKFEHPNMPKEICKLRKLRHLLGNFMSLIQLKDGIGGMTSLQTLNSVYLDDYEDENDNRVVELIEELGKLKQLRELSLSGLKSKYMSGISSSINEMQKLEKLSIKGVGIGMGYGAFIDLDLNSPPPMLQRVKLQDLKLNKLPEWISKLQNLVKLNVSLTREVNDAMKLLQSMPNLLSLEFFEEGNYEVESLHFQDGWFKNLKELYLANFFNLSHILIDEGALGSLKKLTFDAIFQLMTLPTGIQHLHKLEVLSVFYASDGLIQSIDDGEEQLFSIETM >RHN76839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1709242:1713833:1 gene:gene219 transcript:rna219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plastid lipid-associated protein/fibrillin MAATTSASAFRLVPSSFEIRSHNNNNFPTSKSFNIRFPRRKNSLCVSASVSISNTDVRTGPNDLVASLLSKVVQTDGGVLLQKEEHKEVAEVVQELQKYCVKEPVKCPLIFGEWDVVYCSQPTSPGGGYRSALGRVFFKTKEMVQVVEAPDVVRNKIAFTALGFLDGEVSLKGTLKALDTEWIQVVFEAPELKLGSWKGQYGGQSEVKLRITYVDEKIRLGLGSRGSLFVFRRI >RHN80409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37812821:37823772:-1 gene:gene4335 transcript:rna4335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycoside hydrolase family 47 MEGGDRMMRWIQIRSSILILTLACISSCCFLTLSFADSVTKEEAKQLRNEVGEMFYHAFNGYMDNAFPLDELKPLSCKGEDTLGGYALTLIDSLDTLALLGDRERFAASVEWIGKNLRFDMINKTVSVFETTIRVLGGLISAHQIASDYATGMRVPSYDNQLLNLAEDLARRLLPAFDTPTGIPFGSVNLLHGVDKHESKITSTAGGGTLTLEFGVLSRLTNDPIFEQVTKNAVLAIWARRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLYIFKEAYAAAMHYLYHDPWYVEVNMDSAAIVWPLFNSLQAFWPGLQVLAGDINPAIRTHAAFLSVWRRYGFTPEGFNLASLSVQHGQKSYPLRPELIESTYWLYKATRDPRYLDAGRDMLASLQYGTRCPCGYCHISDVEDHRQEDHMESFFLAETVKYLWLLFDLAVGPDNLVENGPYKYVFSTEGHLLPATPQISLVREHCLYYGSYCRSGDLRQTYFISEVDKDKHESNDSRFYGSWTKAKYSSDYTTSEPSAVSGLIKGFCPGLNHGQKFGLSYLLSNDEHRDYETNQPEESTTVQSHSVMLLPAQSSDSSVPDSVSDHNDSQTSESDVTS >RHN75323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41277750:41284349:-1 gene:gene11505 transcript:rna11505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MDSNSKFSEILLSWRSLLLQPFRALLGRLSFSNSGQGGTAFSGSSIDSSGVHSYKYDVFISFRGPDTRNTFVDHLYAHLTRKGIFAFKDDKRLEKGECLSLQLRQAIANSRISIVVFSERYAESTWCLEEMATIVECRSNFLQTVFPVFYDINPSHVRKQNGVYQNAFVSHMKKLKHDLNKVERWTKAMVYLTEIVGWDVRNKVSRDSRYCSAGIWGMAGIGKTTLASVLYDRVSYQFDAICFIENVSKIYKDGGATAVQKQILRQATSEKNLETYSPSEISGIVRKRLCNKKFLVVLDNADLLEQMEELAINPELLGKGSRMIITTRDMHILRVFGAQLSVSHDTCVSYDVYEVPLLNSNDARKLFYRKAFKSEDPTSGCVKLTPEVLKYAQGLPLAVRVVGSFLCTRDANQWRDALYRLRNNPDNKVMDVLQVSLQGLHLDDQEIFLHIACFFKGMKEDYVKRILDACGLHPHIGIQSLIERSLVTIRNQEIHMHEMLQELGKKIVRQQFPGEPGLWSRLWLFEDFYPVMMTEMGTNNVKAIILNKKEHISEYPPLRAEGLSIMRGLKILILYHQKISGSLNFISNSLQYLLWYGYPFASLPLNFEPFGLVELNMPCSSIQRLWDGHKDLPCLKRVDLSNSKYLLETPNFDGSRRLERLDFTGCINLSYVHPSIGLLEKLAFLSLEGCSSLVRLVLDGDDASNLHSLKVLHLSGCLKLETMPDFTGVSNLEYLDVDQCSSLSTIGQSIAVLTQLKFLSLRDCTNLVSIPKSINCMTSLVTLDLCGCLRLENLPLGNVSVSAENMDYFSVSGEIVDHSYYLESLIFLDLSFCNLSTVPDAIGDLWHLERLNLEGNSFVSLPPSMERLSSLAYLNLAHCSWLQSLPKLQLCATSSYGGRYFKVLSGSHNHRSGLYIFNCPLLEIAEGGQSLALSWLERLVENPHHFRCGFDIVVPGEKIPEWFNHQFTGNSIIKISDFTNEFDNWLGFAFCVAFVENCGSTTSGFSLRSFSSQLTYPLYLSFESEHMEETFDIPLRFDLNIVDGSNSEHLWLIYISRPHCHFVTTGAHITFKACPYLEIKRWGLCKVLEHDISISSELGIDDVRHQRYLHLDHVHESSSSSSPDLQLPYNWYETEVEIKPKIKLRYNWHVTEEQENENREVNVKQNHLSDMGLNTYIDDDLH >RHN45250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13673697:13681000:-1 gene:gene39488 transcript:rna39488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide deformylase MASILPSHLLHHHHLRCSALAAIPNRFFYLTLSSSSRTKSAFSASQNEFASLGDLEFEAPLKIAKYPDPKLRKKNKRIGTFDDNLKKLVDEMFDVMYETDGIGLSAPQVGINVQLMVFNPVGERGEGEEIVLVNPRVGKRSLNRTIYNEGCLSFPGIRGDVKRPEYVKIDALDVKGKRFSVSLSGLPARIFQHEFDHLQGILFFERMADEVFDSIRGQLQALEIEYEEMTGFPSPEKIESRRTRKVPSGFGKS >RHN70837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54365590:54369346:-1 gene:gene19464 transcript:rna19464 gene_biotype:protein_coding transcript_biotype:protein_coding MSIISDALRQAFMPKREYESLREEQKAWGKLQRPVTISFVAIIWLAIIVSTVISLKIVFPGSDGKRPFCVRKIQSIEIGMKGGSYSDLIPGAFYLTDQEIADYYWMVVFIPSFIVFVLSGVYLIAGITVAYSAPTRHGCLKVVDNNYCASRRGGVRCLSILNLIFAIIFGLLALFLGSSLLTFMSNCSTPLFWCYEVASWGLAVLYGGTAFFLRRKAALILDEGSFSGRNLGLEMLETTPLEVTPEVERRVNEGFKAWMGSSLLSSDEEDEPDSYEEAPHLTHTNSNRQIP >RHN79641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30830378:30834005:1 gene:gene3458 transcript:rna3458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MNYVIMFFFFLFLSIYIVPCLTHNDTQALTLFRQQTDTHGQLLTNWTGPEACSASWHGVTCTPNNRVTTLVLPSLNLRGPIDALSSLTHLRLLDLHNNRLNGTVSASLLSNCTNLKLLYLAGNDFSGQIPPEISSLNNLLRLDLSDNNLAGDIPNEISRLTNLLTLRLQNNALSGNIPDLSSIMPNLTELNMTNNEFYGKVPNTMLNKFGDESFSGNEGLCGSKPFQVCSLTENSPPSSEPVQTVPSNPSSFPATSVIARPRSQHHKGLSPGVIVAIVVAICVALLVVTSFVVAHCCARGRGVNSNSLMGSEAGKRKSYGSEKKVYNSNGGGGDSSDGTSGTDMSKLVFFDRRNGFELEDLLRASAEMLGKGSLGTVYRAVLDDGSTVAVKRLKDANPCARHEFEQYMDVIGKLKHPNIVKLRAYYYAKEEKLLVYDYLSNGSLHALLHGNRGPGRIPLDWTTRISLVLGAARGLARIHTEYSAAKVPHGNVKSSNVLLDKNGVACISDFGLSLLLNPVHATARLGGYRAPEQTEQKRLSQQADVYSFGVLLLEVLTGKAPSLQYPSPANRPRKVEEEETVVFDQELLRYKNIEEELVSMLHVGLACVVQQPEKRPTMVDVVKMIEDIRVEQSPLCEDYDESRNSLSPSIPTTEDGLA >RHN46111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28695356:28697691:1 gene:gene40551 transcript:rna40551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb/SANT-like domain-containing protein MERVLAEALRDQRSLGRQSDGAWKAVAYNAATDALSARFNVQLIGDNVINHIKLWQGWYEIVSDILSQSGFDRDAPKCMINVEDENAWNEYVKVFHTSNSLSYFSFCICYP >RHN50043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3494499:3495421:1 gene:gene34291 transcript:rna34291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein MAASTMSLSSSSFVGKAIKLSPSTQDIGVGRVTMRKATTKKSVPSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWAVQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLADHLADPVNNNAWAYATNFAPGK >RHN75852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45536704:45540708:-1 gene:gene12107 transcript:rna12107 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKGSDNSNASNPVSYDDADYDDDVPLSTRLSRMSDSRTCSSNRGRDVPVKKRGCTTTIAVKRGFDSNGSSQTSVKKLKVLPYDNPSKDKDSTPLSRRVEIPKKSTDKSFSSLKKELVLVEKSFEECKKKKQREEERLISIKREIEECSIELGKMKKEVSSTNESHKKLQGEFDECVKDFDAKKAQLCLMNDLIGERKQELRTKETELRQVKDNIDKERKLDTLSRKIAECTVELKTKEKERDAMKKQIDEQAERLKSERKKLLKVIQLSKNDPQTQMVEFESLKKQFEERVKRLELKEKRCEERAVVLESKEKHFEGCVNEIKLKENQLKDERKEFTLKLEKFDYQTRELESEKKHFDSQMKEMESRERQFEGRSKQLEFKEEQLKVRMEESHSKEEQFKGQVKDLQSKENELDVRVKEIESETKQFEGQLKELQSKEKLLEGQMKEIQSIKEEYEDRGKELKSREEKLKARMQELKRFASQMEDFYSEEIQFEGQGKETESEDKNFKVHEKELKPKEKQFEGRMEGLESKPSEFDGQLERPELREKQYDALIEPFDEETEFANYAVTSYTCNQLSPAIDERSLMLLPCEQTEELELSDDDILGNLQGFSDPSKVVLEIIQNPIIKKCKMGDDAVIIEDSHIHLLNELRRISPDIRPDVKEEAMKLALDLKANISQNNENSAAVLGFLLLLSIYGLVPSFDEEEVLKLFELVSQHNLAVELFGAMGFADKISDFVDNLRKREQYVEAVSFSCAFNLSNNNQLVDLLREHVQNAKLISESTCMKTNSIEIKEKAIDQEIASLEAVVQCIGDNNLESEGST >RHN39779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10456444:10460048:-1 gene:gene45841 transcript:rna45841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MANFYNIHYLFTLIILLNIFTSTNSWDDPFYLYQYCSSNRTASNTSFQFDLTTLLSSLSSNATTNTQFYNTTFIGNNPSDTIYGMFLCRGDVPSQLCQQCIVNATQRLSLECSLSKEGVVWYDECMVWYSTTLAATSTSFYLLNTNKVSNTQNFMSLLFSTMNKTSNEAAFGDKKYASNEITISKFQTLYCLAQCTPNLSPHDCRTCLSGLIEKLPLCCEGRVGGRVLNPSCNIRYEFYPFYLSNSIGSSIDTTSQQILLPQTKTSEHPFYLSHNCSSNNKTFTFNNTFKVHLTTLFSYLSSNATKSIFHKDDVDGTIFGLFMCRGDVPFYLCEQCVQNATHKITQKCNIFQEGIIWFNQCMIRYSYWNFFSLVDKSHVYHEMNVTGDSSPKKERNLYNFVISNALSKVAIVAGDSDKRYGTKSLKLNDLQTLYTLGQCTQDLSSDDCKACLSDIIGNAIPWSYLGSVGGRVLYPSCNMRFELFQFYKEIDQGIISHSPKEKRRNLPRTITLIVVLPIVSVILFFVGCYLPKRKARKSFRAILRENFGHEGATLEPLQFDWDVIEAATNHFSNKNFIGKGGFGEVYKGILLDGRQIAVKRLSRTSTQGVNEFKNEVLLIAKLQHRNLVTFIGFCLEEEEKILIYEYVPNKSLDHFLFDFQRTKFLSWFERYNIIRGIAQGIIYLHEHSRLKVIHRDLKPSNILLDENMIPKISDFGLARIVELNQDKGNTNRIVGTLGYISPEYAMLGQFSEKSDVYSFGVMILEIITGKKNIRSYESHVGDSLLSNVWRQWSDQITLSILDQNIKGTYSEYEVIKCIQIGLLCVQQFPDDRPTMVTIVSYLNNDFNELPTPQKPAFLFHGQMDTKGIPQDSSSSQSINTCTPLTFNDLSITEFLPR >RHN63332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50447784:50455544:-1 gene:gene26019 transcript:rna26019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MVRGKTQMKRIENESNRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSTTGKLYEFSSSSISKTVERYQGKVKELVLSTKGIQENTQHLKECDIDTTKKLEHLELSKRKLLGEELGSCAFDELQQIENQLERSLSKIRARKNQLLKEQIEKLKDKERLLLEENKRLCKQCGIGQNDCLNKQQESVHDEEVETELFIGRPKKRMN >RHN46801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34989567:34993024:1 gene:gene41332 transcript:rna41332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MDYQKLLILISFVSASILILILRKLNQTQNSTKLPPGPKPLPIIGNILQLGKNPHRTLTNLSNIYGPIMTLKLGTLTTIVISSPQLAKQVLHENSQIFSNRTVPHAIHALDHNKFSLGWLPTLALWKKLRKICATKVFSTKMLDSTKNIRQQKLQVLLDYVKEKCNKGEAFDIGEAVFTTVLNSVSNTFFSMDLAHSTPDEKSQVFENILRGLSELSGTSNIADFFPILRPLDPQRLYAKMAIHLGSLCEIIGGIIEERRASKIDSDQVCNDVLDSLLNNDGETIFDQLSPKEMFHLLPDLFAAGIDTTSSTIEWIMVELLRNPSNMTKARTELSKVIGKDEIIEESDIFKLPFLQAVVKETFRLHPPAPLLVPHKCDESVNILGFNVPKNAQVIVNVWAMGRDPTIWKNPNMFMPERFLECDINYKGNHFELIPFGAGKRICPGLSLAHRNVHLIVASLLHNFEWILADGLKSEHMNMEERFGLSLKRVQPLRVQVTSIKHG >RHN40696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19632468:19636175:-1 gene:gene46890 transcript:rna46890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MVCSEKGIPQLLLLFTHFSLFWWITSTSIYVKAENIDSMKPGDKLNATSDLCSKQGRYCMNFNQTTQSENLTYLSIVAKGTTWVVWIANRNQLVDKNSAVLSLDYSGVLKIESKIGEPIILYSSPQPLNNSTIVATLLDKGDFVLKDIEKNIVLWQSFDHPTDSWLPEMKLGVNRKTGENWSLVSYISGSIPASGPFRLEWEPTIKELVIKHREKVYWTSGKLMKNNRFENIRGEEFEVKDFEVTYKTPNEELTIWTLLETGQLINRGGVDIARADICYGYNTNGGCQKWRDVEIPACRNPGDMFDSKLGFSNKDMLYNIENASYGISDCQDMCWSNCSCFGFKPFYDNRTGCVILVSSNGFNAAGIGFDSFYILDKNTGHKVSNKWLLICTGLGTLLLFMGLSILLRALMRRKHVLRENERIRMQIEIQDLEGSRRDSDSDDLEGNFSSGDDLKVFSYSSIIVATNGFSSENKLGQGGFEPVFKGILPSGQEVAVKKLSKTSGQGMTEFRNELTLISKLQHTNLVRLIGHCIHEHERILIYEYMPNKSLDFFLFDSTRRKLLDWNKRFSIIEGIAQGLLYLHKYSRLRIIHRDLKASNILLDENMNPKISDFGVARMFTRQETKANTNRIVGTYGYMSPEYAMEGVFSTKSDVYSFGVLLLEIINGEKNNSFYCEDRPLNLVGHAWELWKEGVVLELVDPLLNESFSEDEVLRCVHAGLLCVEENADDRPTMSNVIAMLTNKIKVDVLPKKPAYYGRTRVFEEETYGEDVGVDSTYENSHSHEQSIDRSSEENTKFID >RHN49319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53999824:54002984:-1 gene:gene44134 transcript:rna44134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MNPSCSNNNERKNRHKQRCQIAMHREEKSSSSSGVLPKRIIVVRHGESQGNLDPGAYTVTPDHKIPLTPQGISQALSTGSRIRHVISSSSSSPDWRVYFYVSPYTRTRSTLRELAKSFSKKRVIGVREECRIREQDFGNFQVQERMDAIKETRQRFGRFFYRFPEGESAADVFDRVSSFLESMWRDIDMNRLNHNPSNDLNLIIVSHGLASRVFLMRWFRWTVEQFELLNNFGNCEFRVMQLGSGGEYSLAVHHTDEELLEWGLSPDMVADQKWRAHGSKAPSNDQSPRCLEAFFDLITDSDDESVDTEDETDSLSECSRQ >RHN78705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17675332:17678167:-1 gene:gene2343 transcript:rna2343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Dim1 family, thioredoxin-like protein MSYLLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLSSVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFIDIIETVYRGARKGRGLVIAPKDYSTKYRY >RHN51840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26481654:26483288:1 gene:gene36380 transcript:rna36380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSSCCARFRSSTNPISLFPFLRIRLYSHSSFNSNNLDNVVSSFNHILHMNPTPSIIEFNKILGSLVKSNNNHYPTAISLFHQLEFHGITPSIVTFNILINCYCHLPEMDFAFSLFGKILKVGFQPDIITFNTLIKGLCVNGKVKEALHFHDHVISLGFHLDQVSYGTLINGLCKMGKTTEALQVLRKIDGKLVNTDVVMYSTIIDSLCKDKLVTEAYVLYSEMITKRISPDVVTFSALIYGFCIVGQLKEAFGLFHEMVLKNINPDVYTFNILVDAFCKEGNTKEAKNVIAMMMKEGVIPDVVTYGSLMDGYCLVNKVNKAKHVLSLISRMGLTPNANSYNIIINGFCKIKMVDEALNLFNEMCCRGIAPDKVTYSSLIDALCKSGRISHAWEFLDQMHDRGQPANVITYNSFLHALCKNHQVDKAIALVKKIKDQGIQPDINTYNILIDGLCKEGRLENAQVIFQDLLIKGYNLTVWTYTIMINGLCLEGLFDEAVTLLSKMEDNGCIPDAVTYETIIRALFKNDENDKAEKLLREMIARGLL >RHN58348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1008947:1016422:1 gene:gene20253 transcript:rna20253 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSMAMAMSSLPHNLTFLPSHLSPLSSSISTTKSLRNTSLFKIKCIKTEREASSSDPNRGFDPKSGVSVYKPKSYEVLATDAANSLNFALQDGKLRIEIDFPPLPSNISSYKGSSDDFIDANIQLVLAVVKKLQEKKETRACVVFPDKPEKLRASQLFKAALDSVDGLTIGSLDDIPAGPVASFFRSVRNTLDFDFEDENEGRWQSSEPPSLYIFINCSTRELGYIEKYVEKFAPSTPTLLFNLELDTLRADLGLLGFPPKDLQYRFLSQFTPVFYIRIRDYSKTIAVAPYIVNYSGAVFRQYPGPWQVMLKQADGSYACVAESATRFTLGEAKEELLRVLGLQEEVGSSLEFLRRGYRSSTWWEEDSELEVSSAWRT >RHN73932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21777035:21777613:-1 gene:gene9832 transcript:rna9832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRPRGKLTLKQIQDKRARKLAFNHRSKRLAKKVSKFSNKFGVEACLIVYDGSGEGRPITMPQDSTIVRSMLENYEQQKIESITTKIFDVKDYFANKKNKIEGEISKVQKEIVKNKYPTWDPCFINMDGEQLKAFTAIVNAKIEACDQRISMLKTMHQGDQTSALHDTPQRQHTLHYGSARLDASNNNDNRF >RHN54183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7656523:7664631:1 gene:gene29180 transcript:rna29180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative succinate dehydrogenase (quinone) MWRCVARGLRVPRSSNRSNNSLGSRLSRFFSSESYTVVDHKYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGRIYQRAFGGQSLNFGKGGQAYRCACAADRTGHALLHTLYGQAMRHNTQFFVEYFALDLIMNSDGSCQGVIALNMEDGTLHRFQAASTILATGGYGRAYFSATSAHTCTGDGNAMAARAGIGLEDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPEKDHIYLHLNHLPPDVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNHHGEVVTIKGDDPDALVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIHKPGEKQKPLEKDAGQKTIAWLDKLRNSNGSLPTSKIRLNMQKVMQNNAAVFRTQETLEEGCQLIDKTWESFHDVKVEDRSLIWNSDLIETIELENLLINACVTMHSAEARKESRGAHAREDFTTRDDEKWMKHTLGFWENEKVRLDYRPVHLNTLDDEVESFPPKARVY >RHN65929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6610522:6611271:-1 gene:gene13825 transcript:rna13825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MLVSTHEDQQQNKKFSVFLLILPFLFHNPFFSTMSKKTSLGRQKIPIEKIPKKSHLQVTFSKRRSGLFKKASELCTLCGVEIAIVVFSPADKAFSFGHPEVESIVDRYLTRNPPQESGAHQLVEAHRNANVRDLNIQLTQLLNHLEIEKKQGEEIEHMRKARQRQFWWESPIDELGLNELVQLKGSIDGLKKNIGKFANKCMMEQSNASSSNMGASGFVRYDSLDNKFGINIAPPLPNAYYLGFRNGYL >RHN64587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60223718:60224365:-1 gene:gene27415 transcript:rna27415 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIIEHVVLFRIKEDVPQSAIDSMVKQVNSLISLEEPLHLTMGPLVSIQSSNSISSFNFTHMIHSRFRSKEDLHAYAVHPTHLAVIKDNKPIVDETMALNWVTEVHDNDLVLQHGSAMQVVFFKLKEGLGEEVKDEVLKGISGIQHQFNCGENFSPGRAKGFSIGSLSVFPSLRELHEVDSHEEFRKYDTNDKVKENLDTVLVLGYVSHNPTSP >RHN62849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46203426:46206455:1 gene:gene25472 transcript:rna25472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MSDEPKPYFQDFMLDQGSNMYSCEKQFSTFHNLDSSQPNYFDPSSYNMSFTESLQGGNMDHYNSPLATSFGVLSPSSSEVFSSVDQGNQKPSAGELGGGDQTLATLNSSISNSSSSEAGGVEEEDSGKRKKNIGQVKEEPGQESSKNGNNKVDKKKGEKKQKEPRFAFMTKSEVDQLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCTVKKRVERSYEDPTTVITTYEGQHNHPVPTSLRGNAAAMFTPSNLLSTPTPFSYGSNFPQDLLLHMHHHNTFNITQPTTHTTNNVAGSVYSHNNINNSFLQQYQQQLPPDQYGLLQDIVPSMFLNRTNHN >RHN77326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6011768:6012638:1 gene:gene770 transcript:rna770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flagellar calcium-binding protein calflagin MPVFIPADKPKRVSMDQINFERNIIEKLEKADINNDGRYTKEELKKALKDLGSYYPGLRAIFCFMKADANKDGQISGEEIDTLIDYLLTRGFGKN >RHN63784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54008953:54009334:1 gene:gene26530 transcript:rna26530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase MIQAISRDGSFVLVGEYLANRIRRVWLKGPKANSSDLFMLLAGRPDNIKRNSRGQFWIAVNSVIGCSTLSSGVRVTENGIVLQTVSLVEEYGAEVASEVQEYNGTLYGGSLLASYAIIFTS >RHN44066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1402365:1403039:-1 gene:gene38122 transcript:rna38122 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTTLLLPLLMDQWKPIRGFSDPRLYRDVIDSLDHSHVRSYERRRDITPFQDICWYSGWIMACRDKRVRHLPERDFRQYGYVQIVHMPPTDIGPLAPGEVVTAFMEFALHVLSQQERGDLVSDNEPRCHSRVCMIWFCTISHPIVNPPVAIPDYTTDSHPHFVPPYEEVLVEQQWARHPPDPYQIISNIRARVDSATGHPDLFRNPYEVLRLMQGIQSRWSML >RHN50293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5863093:5865363:-1 gene:gene34565 transcript:rna34565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MPYCGFNFLLYVVTILCMNLLCAESFHMNKCVETDLQALLKFKNALILGRNDLTSWKGEECCKWEGISCDNFTHHVIGLNLGPINYTKALRGKLDSSICELQHLIFLELSYHYLEGKIPHCMGSLGQLKELKLSGNKFVSVIPSSLRNLSSLQTLDISYNYFMFSNDLEWLSHLSNLRYLDLSYVNLTLAVDWLSSISKIHSLSELHLYGCGLHQVTPKSIPHLNTSIFLKSLNLGGNSLNSSILPWVINVGKVLTNLDLSFNSLQQIIPYDFASMAFLQYLDLSDNELHGNIPKSFRSMCQLKELRMHSNKLSGKLNDNIQQLCSAKNGLGRLDLSDNPFERGSLPDVSYFSSLDTLSLRNTNVVGILPKSYVHLSFLTNLDLSHNHLNGVDIFDGEFLSTMTTLDLSFNQLSGSMPLLEITKLASLELLDLSHNQLSGPFPHTMGELSSLSELLLTSNKLNDVINEVHLSNLSELTILDVNQNSLSFKLSSDWVAPFKLETLYASSCTLGPKFPSWLKHQGELMILDISNCGISDSFPKWFWNLSSSLQYLNVSHNQLNGPLPKSFTSMKVKFENVWDFSFNNLNGSLPPFPELYALFLSSNMFTESLSSFCTSLSMVLTYLDLSSNLLEGQLSNCWKKFEMLQVLNLAKNKLSGKIPSSIGSLRHIESIHLNNNNFSGEIPPLTLCSSLALIDLGDNNLQGILPMWIGSHLHQLIVLRLRANKFQGNIPTSMCNLSFLQVLDLSENNIIGEIP >RHN51252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15414068:15414388:1 gene:gene35662 transcript:rna35662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKDSRKMVTISDLPDELLCHILSFLPTKIAFTTRVLSKRWTPLFHSLTVLRFDDQTVHDYVAFNCFCDFIDTLMLSPRLSNKFIKIFSLKCSFCSAILIATSSIHG >RHN45000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10616630:10617889:-1 gene:gene39193 transcript:rna39193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MKVKLCVIKALLANCEKLESLSLFKCWNSDDGFILKEEYSGLKKYFSVIYTQARRKKLYRKMRVKHLIMKTALHKFEFMGISFMLKSCPDLERLTIEIVDKINLLDYDPIYNVIPERNWKGPGNDFKCVKFDLKEIEINGFKGTENEFTIINYFLMHGKALRKVSINLLIDDVEGVDADALYVAARCEVEELLSSLPKTDFNLLVNF >RHN79707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31383215:31385433:1 gene:gene3532 transcript:rna3532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metal-dependent hydrolase MIVTVADEIVGLKSIAAYYFGLDINTKVTKKEAEEGLQQVLAVFKPVLVANKNLVDYIFLLSLEFSQSHDLPMQLHTGFGDRGLNLRMSNPLYLHNVLEDKRFAKCRIVLLHTSYPFSKEASYLASLYSQVYLDFGLAIPKLSVHGMVSAVKDLLEQAPLNKVMFSTDAYAFPELFYLGAKNAREVVFTVLRDSCIDGDLTVPEAVEVAKDLFARNSINFYNITN >RHN72250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6118685:6122468:1 gene:gene7942 transcript:rna7942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MQSLPSSSSSSSNSKPIIDSGERNWLDLPSDVVLTIFRKLHTIDLLHRAHNVCTTWRKISKDPFLYHTIDMPNIGTDLSSDFYLEILCQRAVDYSSGQATDINIEYFGTDDLLRHIADSANHLQRLRLLGCYNVTDEGLCEVAGKLSHLEELDITIHSLSNDPLEAIGRCCPQLKTFKFNIEGFRRPRMEFDDEAFSIAKTMPGLRHLMLVGNKMSKDGLRAILDGCPHLESLDIRQCFNLSLRGSLGKRCREQIKYLRLPYDATDDYPFRVELHYGYGSHDEDEDEDEDYPSGISDIDLLSDNDYDYDEFLGGSEFSEYDYDDDYDYDDDF >RHN48136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45315869:45322744:-1 gene:gene42822 transcript:rna42822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-carotene isomerase METNVFRQNRISTLTSTTHYNLIKHPPSIVSVLRKPSNHDITEETAKSVYKDNWFDLIAINHLSKTVQAATGLRNNKSGYESLVEAATMAKQKFNAIQQQEVTIQALDKLFPQFVFALIKKQPLPPKFTREFFALITTMFFTWLLGPSEVRESEINGRIERNAVYIKKCRFLEETNCVGMCVNMCKLPTQSFIKNTLGMPVNMVPNFDDMSCEMIFGQDPPASIDDPSLKQPCYKQCKVYRSHGADCL >RHN54051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6536188:6536885:1 gene:gene29030 transcript:rna29030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative encoded peptide MAHFTRSCLIFVLLLISCELLSIEGRSLRKSIGSPKAASVETMTRSVVLSPRQLQNNGRNLEGSVEAFRPTTPGHSPGVGHSLKN >RHN48834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50573272:50576660:-1 gene:gene43592 transcript:rna43592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stachyose synthase MAPPNSTNLDLIKTESLFDLSEGKFKVRDVSLFHDVPENVSFGSFSEICKPSESNAPPSILQKVLDFSHKGGFFGFSHETPSDRLMNSLGSFNGKDFVSIFRFKTWWSTQWVGKSGSDLQMETQWILIDIPEIKSYVVVIPIIEKGFRSALFPGSNDHVMICAESGSTKVVESSFNAIAYVHFSENPYDLMKEAYSVIRVHLNTFRLLEEKTLPNLVDKFGWCTWDAFYLTVNPIGVFHGLEGFSKGGVEPRFVIIDDGWQSISLDGADPNEDAKNLVLGGEQMTGRLHRFGEGDKFQKYESGLLLGPNSPPFNPKTIKELILKGIEHEHLRKQRQEAISSESSDLAEIESKIEKLIKEIDDLFGGEQSSIVQKSECGRFCCKEKKEYGLKAFTRDLRTKFKGLDDVYVWHALCGYWGGVRPGTTHLNSKIVPCKLSPGLDGTMEDLAVVKVLEGSIGLVDPNQASDLYDSMHSYLAESGVTGVKIDVIHCLEYVCDKYGGRVDLAKAYYEGLTKSIAKNFNGNGIIASMQQCNDFFFLGTHQVSLGRVGDDFWFQDPNGDPMGAFWLQGVHMIHCSYNSLWMGQMIRPDWDMFQSNHVCAKFHAGSRAICGGPIYLSDSVGSHDFDLIKKLVLPDGTIPKCIHFPLPTRDCLFKNSLFDKTTVLKIWNFNKYGGVIGAFNCQGAGWDPKEHKFRGFPECYKPITGTVHVTEVEWNQKKEASHLGKAEEYAVYFNQAQELSLMTPKSEPIHFIIQPSTFELYNFVPVTKFGGNIKFAPIGLTNMFNSGGTILDLEYVESGAKIKVKGGGNFLAYSSESPKKFQLNGSNVDFEWLGDGKLSLNVPWIEEACGVSDLAIFF >RHN68853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39112136:39115203:1 gene:gene17264 transcript:rna17264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol dehydrogenase MAATSSEGEGKPIRCKAAVARRPGESLVIEEIMVAPPMPREVRIRIICSSICHIDLTFSDMQDPPGFFPRILGHEAIGVVESVGKNVTEVTKGDVVIPIFLPDCGECIDCKSTKSNLCTNFPFKVSPWMPRHENTRFTDLNGEIIHHFMYVSSFSEYTVVDIANVTKIDPEIPPNGACLLSCGIGAGVGAAWRTAGVEPGSTVAIFGLGSIGLAVAEGARLCGATKIIGVDVNPEKFEVGKKFGLTDFVHAGECGSKPVSQVITEMTDGGADYCFECVGMASLVQDAYASCRKGWGKTIVLGLDKPGSRISLSCSEVLHGGKTIQGNLFGGLKPKSHVSILLKRYMDKELQLDEFVTHEVSFKDINKAFDLLRNGQCLRCMIWMDN >RHN50751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10128806:10142670:-1 gene:gene35076 transcript:rna35076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I, cationic amino acid transporter MEFVGSNYGEQGSSCSWSFLRRKKVNNNSNSHGVQLAKELTVPHLMAIGVGATIGAGLYVLVGTVAREHAGPALPLSFMVAGIAAAISALCYAELASRFPSAGSAYHYAYICLGEGVAWLIGWSLTLETVVGGAVVARGITPNLAALIGGMDNLPGFLSYQHIPGIDIDVDPLAAIMVFIVTWILCTGIKESAMIQSIVTSMNICALMFVIVAGGYLGFKTGWIGYELPKGYFPFGIDGMVASSATAFFAYIGFEAIASTAEEVKNPQRDLPIGIAASLSLCCGLYMIVSIVVVGLVPYYDINPNTPISSAFAANGMQWAAYIINAGALTALCSAMLGTVLPQPRLLMAMARDGLLPPFFSEINKHSHVPVKSTIVTGLVPAALAFFMDVSQLAGMVSVGTLIAFTVSAISVLIVRYIPPIDVPFPHSRQEPIDSESMEYGWSHLGTNENDTYRKPLLVKDVSTDYPLIAKYLAIDKYNGKRKIIVGCVIAFICLGVFVLTFALSSTNLFSSVRFALCGVGGIVLLSGFVFLTCIDQDNARHSFGHSEGFKCPLVPLLPITCILINSYLLISRGGAAWLRVSVWLAVGVIIYVFYGRTHSSLKDAVLVKDAQSRLLSIASAGVELSVTSSGRGGPRMGQSCRGIVGDLLRVFPYGAPCRRQCRVLYKIDARNTKNRRDNGRACGCIFIVHFFFLDKK >RHN55337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17479958:17480352:1 gene:gene30507 transcript:rna30507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin repeat-like-containing domain-containing protein MSNMGKKSFVIEAYKHRVVMDADYADKTWNILEHAIHDLYNHNVRNISFEELYRVHLTDWILFI >RHN79455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29070278:29070673:-1 gene:gene3248 transcript:rna3248 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQFYKLIKRCCCCLMSKIWKLLVTIIILLGLIIIAFWLIVLPRAFNFSFEQTKLTQQFNNNNTLRYNLVLNTENNPNKKLSIYYNEVKGHMFYEGSTFASKDAITWLKKFRHTQSTNRMNFFGHGVIN >RHN63049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47942226:47945216:1 gene:gene25702 transcript:rna25702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MEALHMIQGHILPLRPLSQFQPDTDKIRRSLIQKGVTPTPKIIHTLRKKQIQKHNRKLNRQNQLNPPLSKSQKQTLEEEQHFQELKHEYKQFTQNLEENQGGNKGLCLIGKPWEGVEKVDFLERIKVNYEHRGEKLKRESLIELKEMFRERKMDELKWVFEDDIEINEVWFDENNNGKRKKTSKRSEVQVVRFLVDRLCDKEIRAKDWKFSRLMKLSGLSFTEGQLMMIIEMLGVKRCWKQALSVVQWVYNSKDHRKFQSRFVYTKLLAVLGKARRPKEALQIFNMMLGNIRVYPDMAAYHSIAVTLGQAGLLKELLNIVECMRQKPETLKYMYRKNWDPTLEPDVVIYNAVLNACVPSKQWKGVSWVFQQMRKSSLKPNGATYGLAMEVMLQSGNYDLVHELFEKMQRNGEVPEALTYKVMVRTFWKEGKVDEAVKAVRDMERRGVMGTASVYYELACCLCNCGRWQDATLEALFLLF >RHN68425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35396845:35397851:-1 gene:gene16777 transcript:rna16777 gene_biotype:protein_coding transcript_biotype:protein_coding MILSVITTNLFALYAFTSSPKNNQTEQLHQVHKNFSLISEQVSLILREIDQSQKKLAQIEKQLLGYESFDLSRPNIAKELKLFLNPHKLPLGKDSKTGMTEMVSSMGYSCEKSSDLMSQYMNYKVFGNCQDDWSYAQKLILKGCEPLPRRRCFAKSVSSKVNYLHPFPTSLWKPVSNKTVNWSGFSCKNFECLNGKKLNRGCMHCFDLVNGYENQRFVKSRSKNDFLVDDVLALGNGGIRIGFDIGIGSGSFAAVMAERNVTIVTTARGLFPLFLSLDHRFPFYDNVFDLVRATNTEIFFFLTLLSKKNTSLTPFEKKFPK >RHN56928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34889496:34890312:1 gene:gene32397 transcript:rna32397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MEYYFHVFFQSLFSFKTGGSKKGGCYNLQCSGFVQTSKKIYLGVPLSNTSIINGRMLEIKLSINQDPTTKNWWLSNENEHIGYYPASLFSNLPYADQVGWGGRTTTSVGAPNPPMGSGLFPDNIFTHACYFKNVTYRNKFGQSFGPPDVLTKAFFDEPHCYSAEYYGDEDKLVGYSLQFGGPGGECGG >RHN47731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42041231:42042160:1 gene:gene42364 transcript:rna42364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative parvalbumin, Dockerin domain-containing protein MDQGELARIFQMFDKNGDGRITKKELSDSLQNLGICISEEDLVQMIEKIDVNGDGYVDIDEFGELYQTIMDEKDEEEDMKEAFNVFDQNGDGFISGEELSAVLSSLGLKHGKTLEDCKNMIKKVDVDGDGMVNFKEFQQMMKAGAFAADSLS >RHN63624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52766298:52770181:1 gene:gene26349 transcript:rna26349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MFEYFLGFVFLTKLLTVDLPRLFVRPNKIVLDFEKGKAVGPVTVGPVVDVIKSGEMQEGNMDSVGELSVTLVDARKLPYFFGKTDPYVILSLGDQTIRSKKNSQTTVIGWRPWNANLESGDFHMLVSNPKKQKLSIQVKDALGFADLTIGTGEVDLGSLQDTVPTDRIVALQGGWGFLRKGLSGEILLRLTYKAYVEDEEDDKTEEDSIDIDVSDDELSDTEEANVTDKTGVRESAYPTDKESFMDVLAAIIVSEEFQGIVASETGFTKGLDNGSNTGSKASKSPVANAESIPPSADNSEGSSGGSALFWLAVITSIAVLIAVNISGSSIFNP >RHN44536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5864741:5874720:-1 gene:gene38665 transcript:rna38665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator FHA-SMAD family MTNSMGPPPPKNPNPPPQQTLTPSSPPLPPNPDSSLPEPLPPPSDSTTNSLQPPPLSRSDSTTNSSLPEPPPPPSDSTTNSLQPPPLSGSDSTTNSSLPEPPPLSRSDLPPPPPRSDLPPPPSRSNLPPPSNSTDTQTPKPSQGVSVPYKIPPWSSAPCHEFYLEVLKDGSIIDKFNVYEKGAYMFGRLDMCDFVLEHPTISRFHAVIQFKRRGDAYLYDLGSTHGTFLNKNQVEKNTYIDLRVGDVIRFGRSTRMFIFQGPSELMPPEANVKLKREMKMREALRDREASLRRAKLEASAAEGISWGMGEDAVVEEEEDDVEEITWQSYKGQLTEKQEKTREKIIKRMEKIGNMKKEINAIRVKDISQGGLTQGQQTQIARNEQRMAQILEELENLEETLNDSIKESLGARTGKPSHGKKKGAVEDEEDYISDDDDEFYDCTKKKPQKKPGDSQSIETADTLLEKRDTIMKEMGDKKELLMTEKNKVLPETESTTQDDVGDSLDAYMSGLSSQLVYDKSAQLENELATLQSELDRVSYLLKIADPTGEAAKKRELKALEPKPEKTEEVAPIIKKKPPAETQKSSEPCVKADDNKSHVETQKISDACVKADNNKPHVETQKISHSADGSVKEEKPATTTVDLEKSQPGHEGSETENAVFVVPKPQWLGAVEDRVADDKQQLMTSLHPHEMDESDQFVDYKDRNKILGGGDDASTSLESRIESAAPGLILRKRKQVETTGTGSDDASQQSTSSTSGEQTAEDAVALLLKYQRGLYAASDDDESQEKRPKRVIGPEKPSFLSDETANAAWVPPKGQSGDGRTSLNDKYGY >RHN40816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21588773:21589051:-1 gene:gene47030 transcript:rna47030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPAIRRTSFTSSQASSKVVNVPKGYLAVYVGEQMKRFVIPMSYLNRASFQNLLSQAEEEFGYDHPMGGLTVPCTEDIFMEITSRFNGL >RHN49560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55650269:55655642:-1 gene:gene44403 transcript:rna44403 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSHQEDLTEKILKQEDSFNDKNSESFKDQIIKQEGNFKGQIKKHEDNIEFLNSQSNRLTESVVDLQMSLGKYHSTNVTKSENGNGAFHTEEETVEQILKKENSAASIFCWIKANTQTSNLAFVKDAVGVVATLAKVESDDLSRILSEYVGLETMLAIVCSTNEGVKALEKYDPEGTVNSTGGLHGIGSSTGKKINGRFVVICLEDLRPFVGGFVENDPQKKLAIPKPRLPNGECPPGFLDYAVNMIHLDSNRLSFLTASGHGLRETLFYSLFSRLQIYKTRNEMMLALPCITDGALSLDGGMIRKCGIFALGSRKDVEVKFPLIAGESDVPPDYTEAEDVVRKLQWETSKLAADIQREQQLLDFRKAKSTSQACSGETTW >RHN56076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27424310:27429014:-1 gene:gene31402 transcript:rna31402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, CRAL/TRIO domain-containing protein MSEDLKKTASNGHVKMLIPEEQQGKINEVKKLIGPLSGKALVYCSDASISRYLRARSWNVKKAAKMLKQTLKWRAEYKPEEIRWEDVAEEAETGKIYRSNYTDKHGRTVLVMRPARQNSKTTKGQIKYLVYCMENAILNLSPEQEQMVWLVDFQGFNMSHISIKVTRETAHVLQEHYPERLGLAILYNPPKIFEPFFTMVKPILDTKTYNKVKFCYSDDQNTKKIMENLFDLDHLESAFDGNENTPFDINKYAERMKEDDKKIPSFWTREISPSSVATDVPSLDSIKLDTDSDASDNEKIVRSSDSVTNTGLMDPDQNSVNHEDRNVSAAVH >RHN52695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37808954:37809217:-1 gene:gene37393 transcript:rna37393 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHWALVIKFGLGIKGAAIAICISNWLNVVILALYIKFSSSFKRTWVGLSMESLHDIPQFLKLALPSTVMVWYDIFYKTNLYFDRI >RHN78212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12857686:12858910:1 gene:gene1746 transcript:rna1746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MIPKGFTRDHMSDMLNPVFLKTPDGKKWEMCTTKINGDIWFQKGWKEFATYYSLDHGHMVLFQYEENSHFVVHIFSKSTLEIEYPFHGNQHEQNNFDQQSSDEDSIEILDKSPSCKKKTRPKSPIMCPQPHKKLRSDSSEGVGTSSRFQNLPKHHVQSSEDTGASAEFRKVKHELEQEQYQEQEQLNRVRSFKSNNPSFRITMKSSHIYSHSLYVPSQFANNHMKKEQSDILLQLLDGRVWDAKYCSAKINGGWKKFAVHNKLKIGDVCIFELTKSQYLTLKVIIFRLEEDPHSPSPQGNPTCFFFFFL >RHN51237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15283004:15284626:1 gene:gene35643 transcript:rna35643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MWNSIDMIRSLLDRPLSHVLYFLPPKTCVTISLLSRRWCCYI >RHN65901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6329722:6333352:-1 gene:gene13795 transcript:rna13795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium/calmodulin-dependent protein kinase MVQRKVSSKLGIQVEHVKSDKRLANMKLSSSSSSHQHQDGKTKVSDIKKKMKKSKSIKLSDLEALQSSPSSVPSSTKAAPASPQKQHPLVRTTPDGSPNYMKPTSSSHAKKELFSVSLRKTQSGSDFNRKYSSDSKALCKKPTKALIRSSSLSLVRTLTKTTSFKASRTSCPRKSTRATCSSTLKDSSFPSYLMLNHGGTELEGTSVMKVCSYTYCSLNGHHHHADLPPLKTFMSSRRRVLKRVKLEALSPRSRRLKATGETEMKDSDVEKSAFDSKPSYDETAMDFFIEIYDNEKKDAESTGEEVIGKIDFLEEVEDHEDIIKSTIENDGIEVGFMKEVEDLKKNEDVEEDQQTSWSHEEMSLEDVHNNTDDSDSGSEDMQCDEEQYYVYDHENYADSSVYTDEENDSKAESLSESSHDVSVTWLDDILSCYYDDIILVDETLKEAKSEEIIYLEDQPHNDMINFVFEGKIGSNETQEIGYSYDDIGCDQSSLANEIFDYLTNAEENVDETSQENEDDNINIEEKDEIQLFDVLEGSIKDIQDQCKGNKRASCIIDEDEDTRGNRKGVIRRKRNDEDDDELRNFNPREPNFLPLVPEKEKEKVDLRHQMMDERKNAEDWMVDCALRQAVNKLAPARKKKVALLVEAFETVIPKCESHLRNRSGFSHGRHIQACS >RHN50744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10059778:10062042:1 gene:gene35069 transcript:rna35069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sodium/calcium exchanger membrane region MAIPSSWSKYTLFMNTSFLLVISIFMIVDFNTPKDVVMVVMNNKNYSTFDDGSDEQGCNRLDNLDNYKAKCLYLKSNNSCVSQGYIDYLYIFYCKFGKFPLLGYTFLFLCLLILFYLLANTTSYYFCPSLEKLSKLLNLSPTISGVTLLSLGNGACDVFSSLVSFQESGTRNIGLNTVIGGVSFVSCVVVGIVSISIHQRSVHVIKYAFVRDVCFLLFVLLSLLCVLIIGEINFFGAIGFCFMYVVYVIFVYVSSTKWKDDEKGDYSVSSYGNELNLNLPLVSGVKNESIDCVENGIQECDLNIEKKCCFMRSSICRISLCVLEMPLYLPRRLTIPIICEEKWSKLYAISSMILAPILLSFLWNTHKGYSISSTNLIVYGIGFLIGIIFGVIAFFTTEMLVPPKRYLFPWLVGGFIMSVTWSYIIAEELVGLLVSIGFICRISPSILGLTVLAWGNSIGDLMTNLTMALYGGQEGVQIAISGCYAGPIFNTLVGLGFSLVSSTWLEYPQPIVIPKDPYLWETLVFLVFGLVFALLVLLKKDMKLDGVLGGGLLVVYFISLFLRLIQTQGSLQFYDML >RHN70772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53915881:53923362:-1 gene:gene19389 transcript:rna19389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IXb family MQQVLDTILAKILNPQEKRGMWLPKNSSENKEGVKGLIAVAIDKEKGSQNALKWVVDNLLTRNATVILIHVRVSAPSLPSSPSFFTIRSGINANGLTICKEPEAQNKNIFLPYRVFCTRKDIQCKDVLLEDADVSKALIEYASQAGIEHLILGSSTKTSLLKFKVSDIPGTVSKGAPDFCTVYVIGKGKIQSMRSASRPAPNISPLQVSQTTIEQDQSDINLVLEQSEKEQARNSYDAAQRKLQDGTDSFRSPFTRKGYNTKQYMENYKPDGDISFVSSGRSSTDRMLPPLHNNSEPGPWISCSSESDVNYSFESIIHGRMSLESTIPTEFTSLSFDSERLSSSSSQAVDDMEAEMRRLKLELKQTMEMYNTACKEALTAQQKAVELQKWKLEEERRLEEARMAEESALAIAEKEKEKSKAAIEAAEAQKRIAELEAQKRLHAEMKAVREAEEKKKVMDALVNVDVRYRKYTIEEIEAATNFFSQSLKIGEGGYGPVFKCLLDHTPVAVKVLRPDAAQGRSQFQREVEVLSCIRHPNMVLLLGACPEYGCLVYEYMSNGSLEDCLFRRGNSPSLSWQLRFKIAAEIGTGLLFLHQTKPEPIVHRDLKPGNILLDRNYVAKISDVGLARLVPPSVADSVTQYRMTATAGTFCYIDPEYQQTGMLGVKSDVYSLGIIFLQILTAKSPMGLAHNVDRAIEKGTFTEMLDPTVTDWPMEDVLRLAKIAVQCAELRRRDRPDLGKVVLPELDRLRELAEQNSTDGSGSSSSSINMSHERQVSLLLVGLYTVFPVYDKIFNKLLKQ >RHN40567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17641351:17641680:-1 gene:gene46730 transcript:rna46730 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYPLGLALASLRFRTASVGIKAGFSCSFPNMISHGRSTYDEGRVCRHNRDSYHDIKDLSYQMVALTTMVDDNNNNANNNYNNRKNPNRRGEPISVIRVRNNNPTIVM >RHN52998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40957765:40960024:1 gene:gene37741 transcript:rna37741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MMNQFGITLLILSTLFFHIRAQSPTQTTNSQDAVSNFQPSLVVVIAILGLMFSLTFILLIFAKVCHRRQLLPISDDPNNQLATLMRSRSRFSGIDKTAIESLPFFKFSSLKGSKQGLECSICLSKFEDIEILRLLPKCKHAFHIDCIDHWLEKHSSCPICRHKVNIEDQTTFAYSNSLRMLVSEESNIEIFVEREEDNNQHGSSRFSIGSSFRKIGKATTIFKEEEKGIISKEEELLIEKSDSNNKAYHKHNHKITISDVVFKHRWSNVSSSDLMFLNSEMINASSSNRFNNMESKFQDYDGEKRSVSEITGVSRFKDFDMKKRVFEDSSFVENNLKEERRRKLWFPIARRTAEWFVNREKRSQQSQNKQQSFVDV >RHN63750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53755139:53758867:1 gene:gene26493 transcript:rna26493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MRRRAADYRRPVRRRLSYWICLLLAFFFILASVLFIIQHNHHQDPLQYSLLERNARVDHFAKESLNFTEEILSVTSFSRQLAQQMILAKAYVVIAKEHNNLHLAWQLSSKIRSCQLLLSKAAMSGEPVTLEEAEPIIKSLTSLIFKAQDIHYDIATTIVTMKSHIHALEERANAATVQSAVFGQLAAEALPKSLHCLNVKLVSDWLKVPSLQELSDERKNSPRLTDNNLYHFCIFSDNVLATSVVVNSTVYNADHPKQLVFHIVTNGINYGAMQAWFLSNDFKGATVEVQNIEEFHWLNESYSPTVKQLHNPDSRTFYFGLYQDVNAEPKMRNPKYLYLLNHLRFYIPEIYPQLEKVVFLDDDLVVQKDLTPLFSLDLHGNVNGAVETCLEAFHRYYKYLNFSNSIISSRFDPQACAWAFGMNVFDLVAWRKANVTARYHYWQEQNADGTLWKLGTLPPALLCFYGLTEPLDRRWHVLGLGYDLNIDNRLIESAAVIHFNGNMKPWLKVAIGRYKPLWDKYINQSLPHLQDCVLS >RHN71616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1401578:1402908:1 gene:gene7234 transcript:rna7234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MLRYSGDICPMIKKILDKAKKDANNWSPTWHGDREYAHFSVSDGSDMYVINVKERTCSCRRWELSGIPCPHAVAAIYYNSQNPDEYVAHWYRKQTFLDTYDNFIMPSNGPKLWPEVNLPPILPPPVRRAPGRPKKLRRKDNDEPKSTTSKKGKRNQETMRCTRCKELGHNMRTCKGKTAADIGQLDLEGTRIILNFNTLRLQMHMVQTTMLIL >RHN46804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35007803:35012510:-1 gene:gene41335 transcript:rna41335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MDYQTLLLVITSLCASILIFIFKKLNQTQNSTKLPPGPKPLPIIGNILQLGKNPHRTLTNLSNIYGPIMTLKLGTLTTIVISSPQLAKQVLHENSQIFSNRTVPHALCALDHDKLSIGMLPTLASWKKLRKFCATKVFSTKVLDSTKILRQQKLQELLDYVNEKSKKGEIFDIGAAVFTTILNSISNTLCSMDLAHSTPDEKSQEFKNVMWGIMEEAGKPNVSDFFPILRPFDPQRIHARITNHMKKLCEIFDGIIEERISSRDSNSDSKVCNDVLDSLLNYNIEETTNKLSRNEMVHLFQDLFTAGTDTTSSTIEWVMAELLGNPEKLAKARKELCKEIGKDETIEESHISMLPFLQAVVKETFRLHPAAPLLLPHKCDENLNISGFNVPKNAQVLVNVWAMGRDPTIWENPNKFEPERFLERDINYKGNNFELIPFGAGKRICPGLPLAHRSVHLIVASLLRNFEWTLADGLNPEDMSMDERFGVTLKRVQSLRVQAISSA >RHN39734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10087058:10092888:-1 gene:gene45795 transcript:rna45795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-Man:Man(3)GlcNAc(2)-PP-dolichol alpha-1,2-mannosyltransferase MTLIRFIIFTATLITATIFKLLFSAINGRRNRKTAVGFFHPYTNDGGGGERVLWCAVKAIQEENPDLHCVVYTGDHDATPQSLLNRALDRFGVTLNAPPKVVHLYKRKWIEETTYPHFTMIGQSLGSMYLGWEALCKFTPLYYFDTSGYAFTYPLARLFGCKVICYTHYPTISSDMLSRVRQRSLMYNNDAVVAKSVWLSRCKIVYYTFFSWLYGIVGSCAHLAMVNSSWTKAHIEKLWGVPDRIKRVYPPCDTSGLQVLPLERSAEIPVIISVAQFRPEKAHTLQLEAFSVAIKRLDSGLPKPKLQFVGSCRNKSDDERLQMLKTKAIELNVNELVEFHKNVTYRDLVGLLAGAIAGIHSMTDEHFGISVVEYMAAGAIPIAHNSAGPKMDIVLDEDEQQTGFLACTVEEYADAIYRVIKMSETERLKMAAAARRRASRFSEQKFCDDFKAAVRPILNRVSK >RHN57857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42187279:42192238:-1 gene:gene33446 transcript:rna33446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-acetate O-methyltransferase MAPMEENVVVSNLELEKLFSMKGGKGEASYANNSQAQAIHAKSMIHFLRETLDKVKLGGGGGGGGGGDKAFVVADLGCSCGSNTINVVNVIINHINKRYEALGCNPPEFSAYFSDLPSNDFNTLFQLLPPLANGVSMEECLAADNQRSYFVAGVPGSFYRRLFPARSVDVFHSAFSLHWLSKIPESVLDKKSIAYNKGKVFIHGANESTANAYKRQFKTDLASFLSARSVEMKREGSMFLVCLGRTSVDPTEQGGAGVLFGTHFQDAWDDLVQEGLISSTKRDNFNIPVYAPSMQDFKEVVEANGSFVINKLEVFKGGSPLVLNKPDDANEVGRALANSCRTVCGVLVDAHIGDNLSEELFLRVERRATNRAKELLEKLQFFHIVASLSFSQ >RHN52590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36879565:36882064:-1 gene:gene37284 transcript:rna37284 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGAPPLHTAISDVVPEVSQTSANVHEVASVVMSISFHRMFKVLQAKTTWRVLGLLSYALGPSFNRLFGRWNPFKVFLYVVLSLAILTTILFAKQSSISTFHTICSTQNLHTLCSFDDHLSVFILLRQSFEWKTRHTECSFKRCIFYGVLKLAQTH >RHN72411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7417091:7418392:-1 gene:gene8118 transcript:rna8118 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMVQTLVSIILLVLVAVEAATPPGIAKDREHGYASCKIKKYKHCYNMVHACPKSCPYDCTVECASCKPICSCDKPGAVCQDPRFIGGDGITFYFHGKKDNNFCLVSDNNLHINAHFIGRRNKNMKRDFTWVQSIVILFDNHQLFLGAKKTSTWDDSVDRLALSFDGEPITLHESEGTKWESSSVSIVRETSTNNIIVEVEGNFRITAKVVPITEEDSRIHNYGITKDDCFAHLDLGFKFLSLSNEVSGVLGQTYKPNYVSRVNIGARMPIMGGAKEYETSSLFSPDCSVARFIGNTGLNKDIGTMENLALPSLKCTSGIDGEGVVCKR >RHN67570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28347409:28350386:1 gene:gene15768 transcript:rna15768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MNASIIKHDKVVVGAQYSSTSSNTRSQTPNGVNYYSNLNQFTYRELKLATGHFAPECFLGEGGFGSVYKGWIGRNERISSRPRMGVPVAVKTLNKEGNQEHKEWLAEINHLGALQHPNLVRLVGYCIEDENRLLVYEFMPKGSLENHLFKRRSTPLTWAKRMKIMLGAAKGLAFLHEEAEKPIIYRDFKTSNILLDSDYNAKLSDFGLAKNGPMGDKTHVSAQVIGTQGYVDPEYVMTGHLSSKSDVYSFGVVLLEMLTGRKSIDRKRPENEQTLIEWVKPFLKKPGGRFCEVMDPRMQEQYSKRGAYKAMKIVAHCIYRDKKARPLMSEVVKELKTIIDYNNDIPSSPMPSPLLFKVLHDGASSSNSSRHVGGGSGANKCGPKIGSSSSASTPSTPARFKDSPLNFTPPLLSPNPPCGGNPLDVVDGALIASYWSI >RHN79472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29292926:29297015:1 gene:gene3266 transcript:rna3266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S8e/ribosomal biogenesis NSA2 MPQGDHIDRHIKEYGRPLDYENRKRKREAREVHNHSKKAQKTIGHKGKRNAKKNYAEKAQMKRTLAMHEESTSRRKADDNVQEGAVPAYLLDRENTTRAKILSNTIKQKRKEKAGKWDVPLPKVRPVAEDEMFKVVRTGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLEIIGVKKNPNGPMYTSLGVITRGSIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >RHN79281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27041849:27042909:1 gene:gene3050 transcript:rna3050 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMSPWDRVWLDHTNLTHKRILVADNAVSAYKIDVMCTMMAGYDMVHGGVSESSTDEASRLWEEERISFRTSLFPTGQRRRPGKWPRGKYASLTKSSSFLRGRLKRLNSSSAMSLPGLWTPKRRPQKRRNFFLLLTRR >RHN60796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30508312:30509319:-1 gene:gene23176 transcript:rna23176 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRELLLLHLSRGRGVVSIGISDVFVILGVSWFASIFQVLLTYSTQ >RHN72156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5452266:5453613:1 gene:gene7837 transcript:rna7837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rhodanese-like domain-containing protein MDTTKDHQNVVTLDVHAAKDLLHSSGYNYLDVRSVEEFNKSHVENAINVPYMFKTEEGRVKNPDFVNQVAAICKSEDHLIVACNSGGRSIRACVDLHNSGFQHIVNMGGGYSAWVDAGFAGDDKPANELKTACKFRP >RHN56135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28069948:28071897:-1 gene:gene31486 transcript:rna31486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MADSLTVNVDSSPKLELYAKQEKNISYETEHSPSSIQKALAEFVGTYILIFAGCGAALVNEKLPITVVGIAVVSGLALTVAIYSVGHVSGAHFNPSVTIALAVVQKIHFKLVPVYVVCQLMGATLATLTLKVLYHDKVEIGVALTQFSNPTSYLEALVWESIITFILVLTICGVATDHRGSKDLAGVAIGISVLINIIIAGPTTGASMNPARSLGPAIVSGNYKNIWVYIIGPTIGAVFATVLYTFLRVTKPAQPEPESYHV >RHN62265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42178242:42186083:1 gene:gene24820 transcript:rna24820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sas10 domain-containing protein MAKKGGGSKSYQKKKDATTTSRRDRYNFSAEDMDDEIDIFHKQRDIVPLDINADSAESDEDDEMPIFNDKDIDNDETDEDGDEEEDDDDEDDEYDGKEKGFIGQLIRQQKYLKSLHGGDEDGMQDEEDDEGIKKTIVGRKHRHGADNRNFELQSSDDEALKEEEEIAREEQREKAKLLTEEDFDLVEDEDNEKLTFKDASDNVTSNVEDLSALSKEEQMNVLYRSAPELVDWLSELNEAHKQLEFKINPFLSKVKKGEIVMEGGVRYFELKQLILLSYCQAITFYLLLKSEGQSVDHHPVIGRLEEIKELMNQTKQLDSELPVELEDILKASSVFATVVKSDNENAPMPTDSIAISEEQPLVSAESPEEAVVVITKDGAKKGRKVKDQKDHIGVQSSEMLKVRASLEEKLKQKGLYSQIAPKPSNSQKRSRPANGQLATYDDFDDAVVVNGPAGLSNGLVSSKVSPFVNANLKKLKVASGDDDLPKRDAIGERRERHEKRVMAGAGVTIEDDNDDDDQMDDLVSHEVGEEDIESGGDSENEFYKQVEKLQAAKRAAKAVTYSTSSRKSAVPSLPEETADGKRYITSQMSKNRGLTRSRNKDKKNPRKNYKLKHQKAVKNRKGQVQSIRRPNAPYSGESTGINATISRSIRFRS >RHN60653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29131490:29132308:-1 gene:gene23002 transcript:rna23002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MTNNKQVSSSLFPNSKNLSVHNKLVSTLSGSSSIMRGDKRLDMVSQPTSSKKPKGRPIGSKNKAKAPIIVKENTDNLMELIVIEIPKGNDVVETLINLSLYRQAGITVLSASGLVSNVTFLHPILRTPNFPTKGTYKMTSLFGTYMNATYGRVPPQLIAEPACSSFSIYVKANYGNYVFGGIVGGKVKAAGAVFITATLLKNPEFHRVAVINGIYQEIEDENTRCVGGVTPIAEDFAPNFGVMGVDSAHLNQQMLPLPTDVNGMHWDISPST >RHN55649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20613875:20614521:-1 gene:gene30865 transcript:rna30865 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMKESVNEFPGFQIYNHRDELVFRNSTSRLQKRAPCPLQINPNMKSSSCNGTQNLIGNGSTSSSLNSFFNSKGPIPLLSPLVVLESTSIREENPAKSH >RHN74688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35862676:35865948:1 gene:gene10795 transcript:rna10795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MGAILKLVSAMFLFLSLFHAARNIDALSGCITNEDCIKYQCSAENCMVCINFACKCVFYHEKMMYP >RHN63998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55812596:55824019:-1 gene:gene26770 transcript:rna26770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NatC N(alpha)-terminal acetyltransferase, Mak10 subunit MATLDRANEAATTTVQSRPPRIPSGDNSVWADVSPLLHAACQDLHEGDLIHGDNFNLFAAMSALEIMDPKMDSGMASTYYSLDEAIENGAAPVPVSDDKTTDVRCIIDIFDHILACEATWHKGHSLAQTVYSCLYLLRPERTSSHALLHSFCQIIRATCKAVLSVVSDSRTHEEEDLFIMAYGLPLNEDGNERCLALLNAVEETICRQLRACKTTSSKRRVSEDIEPLQNNPDLEEGYCRALLCRLRFRKHFYHILMSMKRPHGGGLELAREHIASCISEIGHIRKSSDFLRASAPEMSEQNIENTTASGCKPIGFDASLNCRLSAPTPPRAIKIFCLEKALEYFVKLLHDLDIICSCSLNPSLEAALLFVVKFQKSQPDLVSRAHLQLLLVQNGKLFGRYPMFSMITRAAGLPEVVENHDIQKNEFMVQLQQMVMNLLKIICTNAAWQRRKLGKMLQDWRVTYVQLELDFKKEFGNTSNNETLGFKIFQHILVWVEEQTYWIAFRFLTVGFELELYADHDYCMVYWYIYIVLINLAEKKHLRMAMSSGTGKKKTKKKRDSLKDAGTNLQISPAVRFLQSQIYLAEGLGMMLAALRNEHRIVPPQSPFSTEHEIFIQQFELLLKACLPDGISYQTYKESTAQANFSTLVMCDYFKEAQKIAKELKGSFANDPDMMAELRRIEQVAERNNIAMTVISRLGTPDPSVKISFTLCHHPFFATAIVKRS >RHN51087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13880311:13881338:-1 gene:gene35462 transcript:rna35462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MTPTKLSSSSLMFIICLCLISYAVVPALGLKLYEELCSEARKYSQDCLDLLKGDDKIVAAINYQDLSENILDLAIKESTSYLGYLGGKSKQFPNDQAVKKCANQFLIGTLASFVSSLRHLIEKNLQSSISDAQTATSGANSCDKAIQAEMPEFDPQLIHIRNNEMFVLSLETKLVGNTTH >RHN43413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45648864:45654426:1 gene:gene49984 transcript:rna49984 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGLGRPSHSNSIHFSNSSSLIQNDVANMKTSAEFSSCNEFLKTQPFKSSNQFPHLKGEDLSKNKLSGDGKSCALITSTMEGVSLQRKSAKSNRTNTSCSKRPRMPQLDDYTNPNGTEELKDSFDRLGSHNLKCSSPEKGQLPKQKVNNSKRGDKKNFKVPSSKAKFESSSMKMATTNFNSASGGNTFFGFYGLKHDFHDVTMLMDEPPLDELLKGTFDSPARSKDKGKKVSNNNESFLSSVRKACSIIQFPKPVQSQNMEMDYSSNKKMSISQFSPVCALENVANEDKEQSSSTDTSSCQKDPCSETECTASPLDFPLCQPTDVLDRITLHPFRDFESLLIDVSKLAISTKNSNDLRSGKQVSRRPSLPSFPWSHAFGGNCRTSSDTPRLSTSRSTCQGKWARIGLIASSTDIDRSSFTNFDSFSYDQSLVPSSGNSENKAFQSLLANLPFRRLDSSSPVTCSKDFQVNKEFGGQADTQENDDRSPTVLAAAQTLCEIKTHPLRQSSDGILRWQRKPLHKAMKTCYFKSNEKLEDAPSTSVSLVGSNMVARSVEQITTSKKPRLSTVENKNIGHSNNVKKGACPWPTSKSGRSLPRTLVRDSVVENKRTNVSITKQQHCTMMPPPSRDLDKAYDGQHHVGKLVLMDWKRGRDKSD >RHN42831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41354261:41355104:-1 gene:gene49324 transcript:rna49324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II PsbY MASTIIAMTMINAKSLNTTSSPKFHNPTKLITNPTSLISLKNLLPKKIPTTENIKLSTSIAGTALAGAIFSTLSTCDAAFAAQQIAEIAEGSSDNRGLALLLPLIPAIAWVLYNILQPALNQINRMRNDKGVIIGLGLGGGLAASGFVSTQDAIASEMTAIAEAAGSDNRGQLLLFVVTPAILWVLYNILQPALNQINRMRQ >RHN70933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55159665:55161388:1 gene:gene19568 transcript:rna19568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MEENLSSEICTTNGFRFNINHDDDEENSCWESIFKFIINNDDDEENNRRNLNSLSIVSKQFLSITHRFRFSLNVYHPKELRSLKRYTNLNSLNLARYYNYHTDIDQFLRKISRFPLKLTSLNLSKQLTFPTNGLRVFSQKITTLTSLTCSHIDAYRSLNSSHLLLIAECFPLLEELDLSYPTYCNKNSSSFRDGIQALSLALFKLRKVNFSGCPINNQSLFHLLCNCKLLQDVIMFDCDQITNAGVTSALRERPTLTSLSFSTTPNNSVFNNIHFIDSLVSLKGLTSLDLKRLKISDELLYSIAREGLLLKRLVLQICTGYSYAGIICLVSNCQRLKHLDLQDAGFLNDIHVVNLSLFLSNLVSINLSGCPKLTKSALLTLARYCPSLGEIKMENIGTDCVENSDSLVDFGVYPQLKSLYLGENTWLSDESIIMFASIFPNLQLLDFNSCNRISKGVCEVLRRCSKIRHLNLSECSRVKLLGMNFAVPKLEVLDLSFTKVDDKTLYAISKNCCGLLQLLLEHCDNVKEKGVKHVVENCTQLREQDRLLY >RHN63876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54696175:54709747:1 gene:gene26631 transcript:rna26631 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIIGSAKILSDGDESVKELQCTDDSSVVYSQPQPEDPSLSSIIQYNNIDADARRFAILQTVSVARFDHDGESCGNEVPAWLKHKHKNKDKKRKGKVTVDSKMTQNI >RHN70346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50783623:50783829:1 gene:gene18916 transcript:rna18916 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFSSGAIDSNDWQVVDVGDVLKEVCGGLAEVFVMAHTTNRIASARTIVKNKSYLECFLSIIVMICC >RHN57476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39405370:39405738:-1 gene:gene33023 transcript:rna33023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MDYSILIFLCFALQVFGYDRHFFSVLEVNKTSYENCIDSGFIKNITTGVGREVFQLSEAKTHYFISGGGFCQRGVKVAIDVNEHVAPAPQPTPHKSSATSNIQIYHSLVVLILIFMCTNFLV >RHN70753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53749023:53756047:1 gene:gene19368 transcript:rna19368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-exporting ATPase MGGISLEEIKNENVDLERIPVEEVFEQLKCSRAGLTSDEGANRLQVFGPNKLEEKRESKFLKFLGFMWNPLSWVMEAAAIMAIALANGSGRPPDWQDFVGIISLLVINSTISFIEENNAGNAAAALMAGLAPKTRVLRDGRWSEEDAAILVPGDIISIKLGDIIPADARLLEGDALSVDQSALTGESLPATKNPSDEVFSGSTVKKGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGILIELVVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGVEKDYVILLAARASRTENQDAIDAAIVGMLADPKEARAGVREVHFFPFNPVDKRTALTYIDADGNWHRSSKGAPEQILNLCNCKEDVRKKAHSTIDKFAERGLRSLGVARQEIPEKDKDSPGAPWQFVGLLPLFDPPRHDSAETITRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQSKDAAVSALPVDELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKRADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLREIFATGVVLGSYMALMTVVFFWLMKDTDFFSDKFGVRSIRNNPDEMMAALYLQVSIISQALIFVTRSRSWSVVERPGLLLLGAFMIAQLLATFIAVYANWSFARIKGMGWGWAGVIWMYSIVTYIPLDILKFVIRYVLSGKAWDNLLENKTAFTTKKDYGKEEREAQWATAQRTLHGLQSPDTTNLFNDKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTMQQHYTV >RHN53811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4324142:4328159:-1 gene:gene28761 transcript:rna28761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-OST1L family MEEERYEILKDLGSGNFGVTRLAKDKKTGELVAIKYIERGYKGLVLALTCVPPFVIDENVPREIVNHRSLRHPNIIKFKEVFVTPTHLAIVLEYAAGGELFDRIASAGRLSEDEARFFFQQLISGVSYCHSMEICHRDLKLENTLLDGSPAPRVKICDFGFSKSSLLHSQPKSTVGTPAYVAPEVLSRKEYDGKIADVWSCGVTLYVMLVGSYPFEDPEDPRNFRAVITKIMNVQYSIPDYVHISADCRELISRIFVANPAKRITISEIRQHPWFGKNMPREIVEAQRKGYNDIQIDQLPSQQSVEEIMRVVGEARKPGLEDNGGTSSFGVNVVQWIEEEVDGSDDFVQVQLT >RHN69165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41482430:41482708:1 gene:gene17598 transcript:rna17598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPAIRRSSFSASQSSNKQVEVPKGHLAVYVGEKMRRFMIPISFLNEPLFQELLSQAEEEFGYCHPMGGLTIPCKEDVFLHTASLLNGL >RHN70052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48342397:48347667:1 gene:gene18592 transcript:rna18592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H oxidase (H(2)O(2)-forming) MGIDEIEEVPVDIELVERVHRNGSLNRRRTESNSDINKEGDLVDVTLDIQGKKVALHFTPVTGNNNYEEDEKLDLLGKGMEKKRSFGDSFVRTASNHLRKVSQELTRLTSFSKQVGVEKVKHARTDSVASHALREFRFITKNDGDAGWETVEKKFDKLADNGLLHRDNFAECIGMKKESKEFGGELFNAISRRRNIHRHSINKAQLKDCWNQICDHDFESRLRTFFDMVDKDADGMVNEEEIEEIISLSATANDLLNIKNQAGEYAALIMEELGRNGEESIRVNDLEELLLHVPTHPKRGESKYLSEILSIKLKANENNLVRKWHRNTKYFLEHNWKRIWILALWIGVMCGLFAYKFMQYRRKAAYEVMGHCVCMAKGAAETLKLNMAIILLPVCRNTVTWLRDKTKLGIAVPFDDNLHFHQIIAVAIAIGVGIHAIYHLACDFPSLIHANSEKYELMEPFFGKQATSYWHFVKSWEGVTGIIMVVLMTIAFTLASPWLRKRKVGEPRKDKEPRKDEEPKTPNCLQPLINITGFNAFWYSHHLFVFVYALLIVHGFKLYFTKEWYKKTTWMYLAIPIIIYALERLHRALKSRIKSVSISKVAVYPAGNVLALHVSKPEGFRYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIQGSGDWTKSLIKEFSKRYHQTAHGNNGLLRAENVPGDSSPSTRPKVRIDGPYGAPAQDYKQYEVVLLVGLGIGATPMISIIKDILNNSKAIEQEEGSTIEEGTGGKSPRSSQRKKTGLNNFKTRKAYFYWVAAKQGFFDWFKDVMNEVVEEDRRRVIEIHSHLTSVYEDGDAQSALIAMLQSLNHAKNGVDILTGTPVKSHFARPKWCSVYKRIALNHPQKRIGVFYCGRPDAIQELRDLAFDFSGKTSTKFDFHKENF >RHN72999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12479241:12480008:-1 gene:gene8768 transcript:rna8768 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFTSETTDETNPVILNLNIVQPPLETNLNSLIAPVSSTPIKPNLQFEFYVMGNMTDINFYISDAKGDKTTDMAFCVAYKAAKDSKNNKELCACVGSMLCSVVPGLRNSVEEALNGIGIRPRFVSLPSQAHENSIVVSDLPQLDWPSILVMFGYCIFLLCKSNFNERMIGYTPYNNYIPTCIRELQAKARFDPSNKLDIPFDATKANAITTMLGSRELGKAVITFLMNYSNHPDSQISNVCKYLNSILSGPNYY >RHN75992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46826928:46827897:-1 gene:gene12261 transcript:rna12261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle protein 48 MDEDMVDEEVAEIKAAHFEESMNYARKSVSDADIRKYQAFAQTLQQS >RHN62853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46238929:46241253:1 gene:gene25477 transcript:rna25477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S8 MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLMVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >RHN69914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47320237:47321334:-1 gene:gene18447 transcript:rna18447 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSNKIRSFQSAEHLFLHCSMAGYLWALVSNWLGISFVYAGELRHHCLQFTKMAGMPLLTYSFFRVIWFATIWVIWKERNNRVFQNTGSELFNLIEIVKRYSFLWLRSKQVAFAYSYHDWWKHPIHCMGAML >RHN68637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37342719:37349454:1 gene:gene17015 transcript:rna17015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphodiesterase I MKGSSFSKINMIFVILCFFTNLRICLGDMNGIGEQPLSKIAIHKTILALHSSASITASPFLLGNKGEDTELVTVEVESPEPTNEDWVGVFSPANLNSSICTPDPGGIGWVETPYTCSAPIKYKYANHSNPNYKKTGKNTLKFQLINQRADFSFALFSGGLSNPRLVSISNFIAFANPKAPVYPRLAHGKSWNEMTVTWTSGYDISEAVPFVEWGPKGGKQIQSAAGTLTFNRNSMCGEPARTVGWRDPGFIHTSFLKELWPNMKYTYRLGHFLSDGSYVWSKRYSFKASPYPGQNSLQRVIIFGDMGKAERDGSNEYADYQPGSLNTTDQLINDLDNFDIVFHIGDMPYANGYISQWDQFTAQVQQISSKVPYMIASGNHERDWPNSGSFYDTPDSGGECGVPAETMYYYPAENKAKFWYATDYGMFRFCIADSEHDWREGSEQYKFIEHCLATVDRKQQPWLIFAAHRPLGYSSNDWYAKEGSFQEPMGRESLQGLWQKYKVDIGFYGHVHNYERVCPIYQNQCVNNEKTHYSGTVNGTIHVVVGGGGSHLSDFTTAPPIWSIFRDRDYGFVKLTAFNHSYLLFEYKKSSDGKVYDSFTISRDYRDVLACVHDGCEKTTLAT >RHN56590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32094023:32095076:1 gene:gene32018 transcript:rna32018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTETLKFFYAMILFLSLFLITTNVGGSYYGCETDADCPRSMNKDFYLKCVDKKCEWTAKI >RHN46162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29301139:29303124:-1 gene:gene40605 transcript:rna40605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MFLKLVFMLFFLVTFVASEDSSFIYNGFQSSHLYLDGIAELTSDGLLRLTNDTGPDTAYAFYPNHIVFKNTSNASVSSFSTTFVFTIKSMYPSISGHGIVFVLSPTKGLPNSLPNQYFGLFNYSNIGNSSNHVFGVELDTRKNFEFDDINDNHVGIDINDLKSANSTPAGYYDDTGRFRNLSLTSGHPMQVWIEYDGVKKKIDVTLAPVSVGFSHKPTQPTLSLTKDLSPILNNRMYVGFSSSTGFIAASHYIHGWSFMVNGQSQNLEISDLPGERVFAEKKKSKFLTVRLPLILLSLVFIITLGVMYYIKLKKFDEVLEDWEHEYGPHRFKFKDLYSATKGFREKGILGVGGFGKVYKGVIPSSKLQVAVKRVSHESRQGIREFVSEIVSIGRLRHRNLVQLYGYCRRKSELLLVYDYMPNGSLDNYLFNQPKVRLNWSQRFRIIKGIASGVVYLHEEWEKVVIHRDIKASNVLLDSEFNSRLGDFGLSRLYDHGADPHTTHLAGTIGYLAPEHIRTGKATKFSDVFSFGAFLLEVVCGRRPLGRVGDNESLILVDYVFECWQRGEILEAKDVNLGTDYVSEEVELVLKLGLLCSHSEPLARPGMRQVVQYLERDIPLPYLFSLSLSSSGLFFGYQVSVEDKTMPYTSVSIAESVLSGGR >RHN48141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45355050:45365571:1 gene:gene42827 transcript:rna42827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin remodeler Bromodomain family MESHGGGPLRSRSSQSASPSHSASASATSSIHKRKLVSEDHAPPFAPSSLSADTRDGALTSNDDLESISARGNDSDSDDDSEDAVVDDDEDEYDNDNGSSMRNFTAARLNNAPSAPRNTKIKTENSTVKIEQSDGAKDAGPPVTGAGAGGGSVPGIVVKEDATKIFTENLQTSGAYSAREESLKKEEETGKLKFTCLSNDGVDQHMVWLIGLKNIFARQLPNMPKEYIVRLVMDRSHKSVMVIRRNHVVGGITYRPYASQRFGEIAFCAITADEQVKGYGTRLMNHLKQYARDVDGLTHFLTYADNNAVGYFIKQGFTKEIHLEKDRWHGYIKDYDGGILMECKIDPKLPYTDLSTMIRRQRQAIDEKIRELSNCQVVHEGLNFYKNEAGIPMKIIKDIPGLGGDVGWSPDQWGHSRFRTLGGSTDIATNLKHLTAFMRTLLKTMHDHADAWPFKEPVDELDVPDYYQIIKDPIDLKTMSKRVESELYYVTFEMFVEDVKRMFSNARTYNSPETIYYKCATRLEAHFQSKVHSILQSGNKVQ >RHN69725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45824924:45827855:-1 gene:gene18236 transcript:rna18236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S30Ae/sigma 54 modulation protein MFIVIQNGKRQPLLNKRFHHHHYFPLLSFFHIYRKIFIHNMSMATHFTFHTSSSYSSPSSTRCLSVLSLPPQLSSIKTKLPSTTFLTGEGGTSQFLKLKYAATTTTTKRGGSGGSLSVVRMSWDGPLSSVKLIIQGKNMQLNDAVKQHVEEKVGRAVQKHSYLVREVDVRLSTRGGGEFGRGPRTRRCEVTLFTKRHGVVRAEEHAETTYASIDLVSSVIQRKLRKIKEKETDHGRHMKGSNRLKFREAVLPLPTEDETEISPQKEEEEELIEEVVRTKYFDMPPLTVFEAIDQLEMVAHDFYAFRNEESGEVNIVYKRKEGGYGLIIPKGNGEADKLEPIVVEPAKEPSLQE >RHN62569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44404832:44405465:1 gene:gene25161 transcript:rna25161 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTSSRVSIFILFLAFVFPLSWTVEESSLEKILRNHGLPAGLFPRSVKSFKFDHQMGHLEVHLDRPCLAQYETRVFFDTIVKANLSFRQLQVFEGMSREELFLWLPVKDIFVVDPSSGVILIDIGFALKFLAFSRFDEPPICRSHLGLSFRMGGSKGTALEDQR >RHN50389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6688633:6693221:-1 gene:gene34667 transcript:rna34667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MRRIQNLCKICRFFSKTPFSTTLLHFQSQSSSLSSSGSFPFHSLTTPFHDCFQFHTPRYFSSYPYSSYKNKPYATSKQVSQIIAMVIEGDNGLEHRLNMMNVSLSMASVIYIFDALASQRVSALMFFHWLNVSHPELCCDPEIGCCVVDNCGLLRDFDAMVPILRDFNSKRVCLGRRAFRFLVVLWLDEDSRMDIVRIVNVLKEVGGVCQSSGVKVLIELLSFSGIFDVAEFVIGEAGRNVDRYNFLLRMICKRGDYERVVDLVEKMKKIGVEPNGSTYNLLISCLFKIGNFAEACQVLETMENENGLSKEFTFDTIIRLLCKHGQIDLALKFIDKMTLKGVEPCSLTHAAVIKCYFELGKYDEAHEYVVVCAGKNSYSSNENYTLLASLHFKKGSVLLSQRILHEMMDKGLKPNYSVYMKIRKYFEKKNKTDLSLELSRRYTSLIEK >RHN78396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14753202:14753774:-1 gene:gene1947 transcript:rna1947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAGIREQDQYMPIANVIRIMRRILPSHAKISDDAKETIQECVSEYISFITSEANDRCQREQRKTVTAEDILWAMGKLGFDDYVHPLTFYLQRYRESEGEPASVRRTSSLALPPSFPLMQQHSSSFSSMPLPLINNNNHNSNGYGHGYGFDFDQGFYRDGGDDAAPSSASFVPNFDCNFLHLKRDNHNNNM >RHN50083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3920729:3921241:-1 gene:gene34335 transcript:rna34335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKMLLFIWFLIFSYTIRASTSLDTLAVGESIQDGKTLVSSNGIIEVGFFSPQNSTRRLRYLGIWYRNVSPLTVVWVANKEKPLQHSSGVLTLNEKGILMLLNDVNSTIWSSNASSIAWNSTTPIAQLLDTGNLVVKNRHETEKDVFLWQSFDYPGDTLMPGILVFISRKI >RHN61393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35389485:35389892:1 gene:gene23857 transcript:rna23857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRFSGIIRRASFSANRAVSKAVDMPKGYIAVYVGEKRFVIPISYLNQPLFQDLLSQAEEEFGYDHPMGGLTIPCTEDVFQHITSRSNGL >RHN65239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:472855:473606:-1 gene:gene13058 transcript:rna13058 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKPFILLILLSGVVLSVGSAYEKPSPSGKDEELMEYHKKEYVISGRKLILEPLNGGKDKVKGGGDYGGGSGGSGGGSGEGGGSGSGGSGGGDYGGGGSGGGGSGGGGGSGGSEGGDYGGGGSGSGGGGGSGSGGGGGYGGGGGSGGGGGSGGGSGGGSGGGSGSGGGSGMEVAVAEEWWKWRRRW >RHN81568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47105327:47107838:-1 gene:gene5634 transcript:rna5634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L21 MASATASTLSTLCSSFTTHCSIKPHFSISHQPFSSRFPSHNLSFQSTFSQRLPLLPAPKSTESSVAPVDSDSQVSESESSQIVQSPSWEKGLFAVVMIGGRQYIVHPGRWLVVQRLKGANVNDKIALHKVLLVGTDTSCYIGKPVVTNAVVYATVEEQGLDNKVIVFKYKRKKKYRRTIGHRQPNTRIRINSIMGYEDYPKVTMDDINLESS >RHN82333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52877122:52883023:1 gene:gene6493 transcript:rna6493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MGEERRVMEESLLSKHIDSKAENGDEEERKNREISWDIYRKELKRICYLSGPMVAVTSSQYLLQVVSIMIVGHLGELYLSSAALAISFAGVTGFSFLMGMASGLETTCGQAYGAKQYQRIGIQTYTSIFSLILVCLPLSFIWINIESILVFTGQDPLIAHEAGRFTIWLLPALFAYAILQPLVRYFQIQSLLIPMLLSSCVTLCIHIPLCWALVFKTGLNNIGGAIAMSISIWLNVIFLGLYMRYSSSCAKTRAPISMELFQGIWEFFRFAIPSAVMVCLEWWSYELIVLLSGLLPNPQLETSVLSVCLNTIATLYTIPFGIGAAASTRVSNELGAGNPFEARVAVLAAMSLGLTEASIVSATLFACRHVYGYIFSSDTEVVKYVTVLAPLVSISVILDSIQGVLAGVARGCGWQHIGVYVNLGAFYLCGIPVAAALAFWVQVGGKGLWIGIQVGAFVQCVLLSIITCCINWEQLASKARQRLFDVQFSAENRLV >RHN40407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16021905:16022849:-1 gene:gene46555 transcript:rna46555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MTYTTRFLSFILLNLLLFMILTTAQSPFYMYSVCENSTQKILNASYQSNVNNFLSWTTSDSAKQTVSNHNTIVSNNNNDHDTVYGFYDCRGDITGSFCQFCINTVVRDIAKYCPNSVSAMIWYDLCIMGYTNQNPSGRVIVTPSWNVTGSKIVKDSTELAKSENNMMSLIRKVTTEGNPNWAMGEFNWSDTEKRYGMVQCNRDLSKDGCRQCLEAMLDRVPQCCGTKVGWVVVSPSCGMKIDDYNFYGQQTGSPSPLPNPGNIWMPLSVVNCKIMSWYDCRDLINLNLVTKKITLIFRNLFDDCNEDFFIKLVS >RHN57515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39710926:39711266:1 gene:gene33068 transcript:rna33068 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 50S ribosomal protein L30e MVAAKKTKKTHESINNRLALVMKTGKYTLGYKTVLKSLRSSKGKLIITANNCPPLRKSEFEYYAMLAKVGVYHHNGNNVDLGTACGKYYRVCCLGIIDPGDSDIIKTIPGEQ >RHN78814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18655189:18661136:-1 gene:gene2469 transcript:rna2469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP-binding protein, orthogonal bundle MVKRSKKSKSKRVPLKKKYKILKKVKEHNKKKTKEAKKLQLSGKKKVEKDPGIPNDWPFKEQELKLLEARRERALAELAKKKDERKERARKRKAGLPVDDDNSNSVETASIENTESVPTVAKTNKDSSDRAFAGDLEAVIATSDVILEVLDARDPLGTRCVNIEKRVRDSGTYKRHVLLLNKIDLVPRESVEKWLKYLREEFPTVAFKCSTQQQKSNLGRSKKIKTSNTLQLSDCLGAETLLKLLKNYARSDKLKTSITVGLVGLPNVGKSSLINSLMRSHAVHVSASAGSTRSKQEIRLDKNVKLLDCPGVVMLDSRENDATIALKNCKRIEKLADPVSPVKEILKLCPARVLISLYKIPSFDSVDDFLQIVATVRGKLKKGGIVDIDAAARIVLHDWNIGKIPYYTMPPVRDQGEPSEAKIVSQFSKEFNIDEVYNSETSFIGNLKPTVELDHVEVPSSCPLIFDETMLEDDKPVELAKQDEGPENVDDNDEDESMECGKEDVSKEKVKSASSKQNEKLYTAEGILNPKLRRAEKKKKKKANKASSSDPMDGDYDFKVDYFKKDTMDADGSDGGDDNDVDDEQVNTEAPMSGVEVDA >RHN72918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11846115:11847866:1 gene:gene8684 transcript:rna8684 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPWKKKKNRVTRISQFVADFQSPQRTSSLVVQTGFPTSLIDLFAKNRIRFKNSTFNKPVRSEIIDPSSSPSPPPPPPPSPVTTPPSVSESPIHDAFSEEILNPLIGENTDDGAGNVAQVNKRRSSFRSKSIIVKILTVIILAASVKELTVAITVSAFALLFLENALKRVVSFLKPCSNVFVSIESLFQKIPLHLKAKPVHKPVLNDGEIELLNLNSNLDLNCCVNDEIEVVEEKSELGICRELEDSSVKEIVEEKYMKERIQFRSRSGRFKATMVKKLQKFRSFKREKKEEEKGNQCNNVRLDASNNKENEIESCSDVSSVMYEEEEVKNEIVCGINCTREESIIVVGNSSYAILIIIALIGLILGRLQALFLTIGWCLLVKMVRSIWRSKNVSSLDGVKLGGLDH >RHN62747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45612713:45617074:-1 gene:gene25352 transcript:rna25352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (guanine(10)-N(2))-methyltransferase MWYLCVFFHRLLDYRKPEVESLAKLFGAFDDNQNDDVHPQLQWKLPLHHHPDSPFHLVNLPSEEIARNIANRSILVKGMYELWGEGGSYEELKDSILSYPDERKLPYLESNSTFRITVDTFGKVISLQEHKELIQGFTYIPFKGKVNLRKPEHNFWLIEIDNCEGNNGLPPVEQKRIFFGREVGAADRKLLPTYELKSRTYLGPTAMDAEIAFLMANQALATPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDRNVWSNFKQYGLEMPVGLLRADNNLPPWRPGLKEVFDAIICDPPYGVRAGGRKSGGRKLLKGAVEPYIVPDDKRTDHIPSTAPYSLVECVHDLLDLAAKMLVLGEDLCFSILY >RHN71671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1819434:1830628:1 gene:gene7295 transcript:rna7295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MTPTGDDEGYWKNLMATFLLCYDDQLRSIASSPDSSLHFPLHIDFAELMDYDPRIARLIFSQSNTYLQYFDDAALWAHRVVLRDMAADDKNNMVEKKHIHVRINVSGSPLECPETFPSIGRVRVQHRGILLTVKGIVIRSGAIKMHEGERKYMCQKCNGSFTVHPEVEARNSISLPSFCPIQRPKPCGGTKFEYVKDTTVCHDYQEIKVQESTQVLGVGAIPRSILVILKDDLVDVVKAGDDVIVTGLLTARWSPELKDVRCDLDPVLIANNVRRINELKSEIDISDALVMKFKQFWDHFKDAPLKGRNAILRGICPQIFGLFTVKLAVALTLIGGVQHVDASGTRVRGESHMLLVGDPGTGKSQFLKFSAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTKTTVFGATNPKGNYDPDQPLSVNTTLSGPLLSRFDIVLVLLDTKNPDWDAVVSSHILSEAEPDRTSNDEDLANNWPLSTLKRYIHYVKENFRPVLTREAETVISSYYQLQRKSATHNAARTTVRMLESLIRLAQAHARLMFRNEVTRLDAITAVLCIESSMTTSAIVDCIGNALHSNFTDNPDQEYAKQERLILQKLECKDNFSDVNMMED >RHN73943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21913007:21916855:1 gene:gene9843 transcript:rna9843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MDESWRMPMGLTSALPRRRSMEDRSSSRTRQSIFSNTNLSVTETLDPDDFADVFGGPPRSLLTHKFSRTGSFYEEIFKQPAFVSPAPAKSGRNLPVFRIPAKNDAFYGDIFGSDDDRRSRERSGSQSKAKSKSNSSSVLSSEELSPLRPAVSDDVALSAFTSKLRPIKVPYKWNSSSVMYEEHPIKQKRHYPGNQSFDFQDNGYSRRVTSPETISVESNSYQSIKVSSTYDWELSPPFSAVSGVCQEPEPKSSVHDHALPELVIEQDDDEDEDEVMSSYVIEINSNLREENFGTEAIDEAIAWAKEKFQSGTNEESDLRNDGGVQNTEMEGMPSADEYHDDGLGMVESPEKLQPETEKLDTDIRLWSSGKETDIRMLLSTLHRILWSQSGWSAVPYMSLIESSQVKKAYQKARLCLHPDKLQQRGATLLQKYIAEKAFSILQDAWTTFISEDVSFYTVNHS >RHN56787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33757487:33759178:1 gene:gene32238 transcript:rna32238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-coumarate--CoA ligase MDQLTRNQANSTALTPLTFLERAATVYGNSISIIYNNTSFTWSQTHKRCLQLASSLSSLGIQKGDVVSVLSPNTPAMYELHFSVPMSGAILNNLNFRLDHKSLSVLLIHSESKLIFVDILSLSLTLNALSLFPTNIQQPKLVLIMDETLAPNQIPPLPKNVNIINTYEGLVTKGDPNFKWIQPNSEWDPITLNYTSGTTSSPKGVVHCHRATFIVSLDSLVDWSVPVQPVFLWTLPMFHSNGWSYPWAMAAVGGINICARRIDAPTIYRLIESHGVTHMCAAPVVLNMLSSFNKTEPLKKPVHVLTGGSSPPATILCRAELLGFEVSHGYGMTETCGVIVSCAWKREWDRFPATERARMKARQGVRRVGVAEVDVVGPTGESVKNDGVTVGEIVVKGACVMLGYLKDEYATWQCMRKDGWFYTGDVGVIHKNGYMEIKDRTKDVIISGGENMSSVEVEAVLYMHPAVKEAAVVARPDEFWGETPCAFVSLNDELKEEEIPTEKEVKEFCREKLPHFMVPKTVVFKEELPKTSTGKIQKHVLRRVARAMGSLPILPPLLLPSRI >RHN70375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50999000:51000108:-1 gene:gene18945 transcript:rna18945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MEKYIPHVLSTYEAMQADNRTLKIHSLQGAWLQSSFNHPASFDSIALDPDLKKAIIDDLDRFLRRKKMYKKVGKPWKRGYLLHGPPGTGKSTLVAAMAKYLKFDVYDLDLSGVYSNSDLMRVMRNTSNTSIIVIEDIDCNKEVLNRSRSEMFSDLGYAATQGLGYAGIAAPKKFTLSGLLNYMDGLWSSCGEERIIVFTTNHKDKVDPALLHPGQMDMHIHLSFLKAKAFRILASNYLDIEGNHHSLFEQIEELLEKVDVTPAVVAEQLLRSEDADVALKALLKFLQEIDISGEKN >RHN43687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47719787:47720382:1 gene:gene50302 transcript:rna50302 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSQSQSQSQSQSQSQSQPQIKLIVSTSSSPKSDSGIGGDAAASFPKGRCLCSPTTHEGSFRCRFHRSGSFSSSAPPPWMKRTKSMPPNHNKSVVSVSPQ >RHN77521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7383423:7388678:-1 gene:gene985 transcript:rna985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MTGSTSMVYTQQCGITLLLALILKNLGFRAIPIISYMSQAKKLGSLNALKSGECNILLCSDFVSKGLDIPAVDMVINYNIPLSPNDYIYRVGWTVAHVNVAISFVSPDERRHFNIIEKHIGKKLPLYPAPFEEVLLLEGRAIEAERLAQEGCRNSTLSFAQRSHTKCSVLSNNSIEATPIQSGNERIRLDERRILRRGGGTIQERS >RHN54108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6959830:6962074:1 gene:gene29094 transcript:rna29094 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFIFCIIVQLLLNHFFFFFSYLMKTIEREKRRERIKTQYVSMREFFFFLIQLYLLCSVLFSNNHVLQTQTHLQWAFRVH >RHN54996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14291653:14292291:-1 gene:gene30112 transcript:rna30112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MLNEGVVMQMTDIRDKIHKRQFLSPTIIAKLPSLTTKNMNLLKQFFRISDNGATEKRMKETLENCERAPARGETIKCVRSMDEMEIFASSMLGPKVVLRKTLNVKGSGKNVMVGRVSRIQGGNVTESVTCHQEVFPVMLYFCHYIPMVRVYNVEILTLQRIKINQVVVVCHIDTSSSSRSHPAFLELGSAPGEIEVCHWIFQNDMSWTDDAN >RHN76776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1232071:1232421:-1 gene:gene152 transcript:rna152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family MLFAIVANSVATIVETVRRNAAIEQGFEDQPTSVINMSALWLVPEFVLFGFAESFTPVGLVEFFYCYFPKSMCSFAMAMFTFEVVSVVLVSIVDMVTIGGNESWLSTNINRGHLNY >RHN45174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12593721:12594059:-1 gene:gene39397 transcript:rna39397 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta MPNIYNALIVQGQDTVGQEINVTCEVQQILGNNRVRAVAMSATDGLKRGMDVINTGVPLSVPVGGATLGRIFNVLGEPIDNLGTVDTGTTSPIHRSARAFIQLDTKLSIFQT >RHN79995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34199937:34200704:-1 gene:gene3864 transcript:rna3864 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVLLFIFFLHQFVHPCLPLIPLANNTHHCKWTGVTCRSNCVTTNKLPSSSLVGTIPISINILTKLTHLDLRNNSLTGPLPEFRPLLIVLHTVDLSHNNFTSVPYDCFRVMLGLRYLNISNNLNLIEWIFPDLSDPKLLHTIDFEATNLIASLPPDMFELFPSLDTIVLSHNNLSGLLPLSLGNSKVTCLRLSDQGEGVGFTGGITLITSMISLYSSFHKCMEKKFYKHLCIVVFTFQENGETERTNLHLFCDILK >RHN72682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9700186:9701138:-1 gene:gene8428 transcript:rna8428 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVACHSQPKNKTRFAPTEGREIEVNNASLPVEKMNTTSSKQYIAVRRKVQHREAYHLAPFIRQSGKPCMTTDDGKMKKRIVKIVVTMEQLEMLLSGSMKFQIKSRVTPVRKSSVLRRSPKWLPSLPTIQEVQNF >RHN81411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45683932:45687065:1 gene:gene5449 transcript:rna5449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MSSEGRNEAIDGSLEYEDDEIIDSLTTVPEPQHGPLPQANHIDDGVLYEGKVFNSDDEAYNFYCLFARKNGFSIRRHHVYKSIKNQSDDNPLGVYKREFVCHRAGTISVDKDNEVEGKRKRKSSRCNCGAKLLVNITTINSEKKWVVKYFNNNHNHELLDDKEVKFLPAYRSIPTIDQDRILLLSKAGCSVSLIIRVLELEKNIDAGNLPFLDKDIRNFIQSQSGIGKEFDASNVLKLCKSLKDADNAFEYEFSIDENNKLEHIIWAFGDSIRAYEAFGDVIVFDTTYRINRYEMPLGILVGVDNHGNSIFFGCVLLKNEKIPSFTWAIKTFLSFVKGKYPQTILTDQDLAMKEAISMELPNTKHAFCIWHILAKLPTWFSLPLGSRYDDFKDEFYRLYHLECEDDFEREWKLMVEHFCLSKDNHIDLLYSLRQFWALAYLKDFFFAGMTTTGRSESINSYIKRFLGANTSLTDFINQVGVAVNIRNQAGEEARMRQKYHNPRIRTCFPIEEHAASILTPYAFKLIQHEIELSTKYAATETNNSVFIVRHHTKSDGGRFVSWIQENESIHCSCKEFEFTGILCRHAFRVLVMKNYFTLPSKYLPLRWRQESSLIPKSSHMINNNGGSLVKFRSLIQSLEIESLKTKLREQAATRELEKAIQVIKAMPEIEEKLVGVDSTVPDNDELNVENPLPSKTKGRPKGSRLKGGVEIAKKPRHCHVPNCGGTDHDSRNCPNKKKNIEALPSQSPNKRMKGWRKSS >RHN74353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32120991:32131290:-1 gene:gene10398 transcript:rna10398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fatty-acyl-CoA-transporting ATPase MILLTQQIAQPLFIISYKSNPSFNNHVQLHQGFTLHTPFSQLPIMPLSNGRPFRRKNFNKCVSESGQSPLPTDPDKKDEIVGETSDGVVEVQGENPDLQTLFRRFWKVAAPYWSSDDKVQARLQLAGVFALTLATTGISVGFSFLGRDFYNALANKDQEQFTKQLLYYLGGFAGGIPFFVLRDYARETLSLRWRSWMTRYYMDRYLKDQTFYKIQSQSIIDNPDQRIVDDLSSFTGTALSFSLALFNAAIDLISFSNILYGIYPPLFVVLLIYSIGGTAISVFLGKGLVTLNFLQEKKEADFRYGLVRVRENAESIAFYGGEESEMQLLLQRFKSAFENLTQLLISSRNLEFFTNGYRYVIQVLPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVINRLGEFDDILDRSNSKSLSDSLEDIDIMYKDIISSSALESNGLTPQEKHETLLEIDNLILKTPSDSTLITDLSLTIKAKDNLLITGPSGSGKTSLLRVMAGLWRTGTGKIIYYVKGGEDAEKSISSDVNTPRDVSEDRGKSISRKSGIFFLPQKPYMVLGTLRQQLLYPTWGDDVVPTLDSDKQKNVLPFLSNSDDMNSELMKPKTDELIKILEDVRLGYILPRFGLDSTHEWSSVLSLGEQQRLAFARLLLSKPQLALLDESTSALDEANEVYLYEKIAAAGITYISVGHRSTLSNFHDRILRISTTDSNNEQPNWHIEPTRRESSLKV >RHN54117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7025207:7029752:-1 gene:gene29106 transcript:rna29106 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVAQIEGRVRYSAFKKTVKVMITPTDSLENLKAQLNTYFEHLGENQYTRHLFGQMSCIDLGVDRDEYTWKMASYMPLLIRDDGDVRIWWKIIYYICMFVPYAIALNVSRDLI >RHN80402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37743022:37744329:-1 gene:gene4328 transcript:rna4328 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLILALCHLLSSTQNTKHIYHNNLQLCIIDFYLLQVRDELAQLLEDDDDMADLYLSRKASIATSHFDENDVEELEQLLEAYFKQSDDTLNKLTALREYIDDSEDYINIQLELFLTSGTIGLSIFSLVAGIFGMNLPFTWNDGHEYMFKWVSN >RHN47015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36491442:36493478:1 gene:gene41560 transcript:rna41560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, peptidase, FtsH, peptidase M41, FtsH extracellular MGNDKKKTNSQSLAQWMKVAVVGSFQEALKKLGNLLSVIGGLILSFFYFRPFGKKQEISFQEFKIKVLEPGLVDHIVVSNKEFAKIYVKSNESEVGTHPPAKYKYYVKIGSVDSFERKLEKAQEGLGIDHHDFVRVTYSSEIEIDWVSVFLILSTLLLVFGVLFDNKERIDGILNLGKAHVTTVDKYAQNKVYFKDVAGCDEAKQEVMEFVRFLKNPKKFQELGAKLPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFLQVFVGIGAARVRNLFKEARKCAPSIVFIDEIDAIGRARSSGGYSPSNDERDRTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITVDKPDIKGRDQIFQIYLNKIKLSHEPLYFSKRLASLTQGFTGADISNVCNEAALIAARTEEAQVTMDHFEAAIDRIIGGLEKKNRVISKQERRTIAYHEAGHAVVGWFLEHTEPLLKVTIVPRGTAALGFAQYVSNENLLMTKEQLFDRTCMTLGGRAAEQVLIGTISTGAQNDLEKVTKMTYAQVAIYGFSEKVGLLSFPQREDSSETSKPYSSKTGAIIDNEVREWVNKAYKHTIQLIEERKGKVAEIAELLLEKEVLHQDDLLQVLGPRPSPFKSAEL >RHN61554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36345315:36346238:1 gene:gene24029 transcript:rna24029 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKASREEWSQPSSSHVKGFSNVPCCNCDERCLLRRANTQLNLGRLLSAHSIRMTRQITILHLERWLDENVAAEIETSKIEFERQFVMEV >RHN46038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28003124:28009251:-1 gene:gene40478 transcript:rna40478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MAEPKGFTVHLHNLIDIAAEISQISTFNPLIKTQSFDLSRRINFLLPLFHHLLNDVVFVPSETLVSLQHAFLSAKELLLFCSQSSQLYMILEREKIKCKFSDLASQFEQAISGVSCDKLDISEELKEQVALVLSQFRRAKEHFDPPGLELYEHLLSIYNQSCDVNTELAALRLICEKLKYVSVEDIKQESLALHKMIVDEGGCYEKSAQRLSMVLKKIEEFLLKESENNGGCQSPVVPEEFRCPISLDLMKDPVIISTGQTYERACIKKWLDAGHRTCPITQQILSSSILIPNHALYSLISNWCEANGVEPPRRVGNFWLCKETSDGYSELINLDTLMRKLTCSNVEDQRNAAGELRLLAKHNSQNRKLIGEAGAIPLLVVLLYVPDAITQEQAVTALLNLSICDENKERIMASEALPGILHVLKNGCMAARENAAATFFSLSAADQNKVAIGASGAIEALVTLFCEGSQRGKVDAATALFKLCLYHGNKGRVIRAGIVPKLIEMLMAGEMRDEALAIMDIIVSHPDGKAAIVSTNAISTLVELIINGSSKNKENATSVLVHLCRGNSLHRSTVTSPGVINPLLELAKNGSERGKRKAAQLLELLDI >RHN53886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5023618:5024133:1 gene:gene28846 transcript:rna28846 gene_biotype:protein_coding transcript_biotype:protein_coding MENAKLWSMVNKLQTKVFDYNAKLTKLEEEVSSLKDKRKNSTNEFVRTIPVGIRQPGKRGRPEVEPSLKDKMKKSTNETARTIPVGTRQQGKRRKSDLIITVPIEARQPGKSGGLWEAFQEADAFQEAERRRGRKPADSKLQFDTISPIFLKSYRTKCGNKEISFHSKGMY >RHN42334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37449537:37454639:-1 gene:gene48764 transcript:rna48764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MSLLVLVVFIATITQCLVYSSAELQSFEHAPKPDGSLSFLVIGDWGRRGGYNQSQVALQMGYIGEQLDIDFVISTGDNFYDNGLKGIDDTSFHHSFTKIYTAPSLQKQWYNVLGNHDYRGNVEAQLSPVLTNLDNRWFCSRSYVVNTEFVEFFFVDTTPFVDKYFTEPEDHVYDWRGTWPRKQYISNLLKDLDLALKQSNAKWKIVVGHHTIRSAGHHGDTEELVNQLLPILEANDVDLFMNGHDHCLQHISSLNSPIQFLTSGGGSKAWGGDVSWLNQEEMKFYYDGQGFMSVHVIQTELNIAFYDVFGNVLHKWNTSKQLHSTL >RHN65291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:938358:940411:1 gene:gene13116 transcript:rna13116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MDMKGNIPLATLLLLLLISIISPSHSLSSLDANSTELSSFYNLLSSTVLPLKERSLGDYTVSFKFGNNPVQSFNLDIDTGSELTWVQCDYQCQGCTMPKDKLYKPDKNKFVKYGTPLCDAVQKKNKNESVLCEFQEEYVDNLHVEGYLIEDSIPIESSDAALRSTALAFGCTNKLKDTDEKQQIPFPEGILGLGYGKASILSQLKSQGLIQNVVGHCIKKRGGYLFFGDKFIPKPLTQISWTPIIIPSKHKVEEGHYYAGQADLLYNGIHTPIRVLSLLFDSGTTLSYLNSKDYKVLLDLINTELNNKGTFKKAEEDDLICWTGKIFQSIDQVSKDYLKPIILSITNNNVKFELQVEHYITLSRKGNICLLFEDSKENDFDDDNVIGALSMRDKIMIFDNEKKQIGWVPDDCTKLPPHR >RHN59425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10614427:10615299:1 gene:gene21454 transcript:rna21454 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSTCEKSVLQIKQDDKFFSRLLSKENSNIHPSFRVSLAVPFVWESQPGTPKHTFSNDTIPPLTPPPSYQFNNANKKIEKKHSKSSKYFLALFQKLNFRKNNQSSSSSSSSSLSSSYPSFSLSSVDASKITSGTTRVSRRRFLSFGSSFDYRGENEDGDDSPTSTLCFGIHRSASSNSGFQSNYKRRVR >RHN70808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54185626:54192273:1 gene:gene19427 transcript:rna19427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative presenilin/signal peptide peptidase MKNTERIANLALLGLTLAPLVLKVDPNLNVVLTACITVFVGCYRSVKPTAPTETMSKEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNTVLTGYFFVLGIVALSATLLPSIKRFLPNHWNDDLIVWRFPYFRSVEIEFTRSQIVAAVPGTFFCAWYALRKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADSARPFSMLGLGDIVIPGIFVALALRFDVSRGRKPQYFKSAFLGYTFGLVLTIVVMNWFQAAQPALLYIVPAVIGFLAAHCIWNGEVKQLLEFDESKTADSSQEESDAKSSKKDE >RHN39848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11051352:11058066:1 gene:gene45925 transcript:rna45925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVSINPNPTPQGFYFFDPSTMALPGVNNLPPPPPPPSTTAAAASTVPEDPNKKIRKPYTITKSRESWTDQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKSGTSEHVPPPRPKRKAAHPYPQKAPKNAPTASPQVMGTLQPSSAFVDPTYIYSTDSSSVLGTPVTNLPLSSLNFNAPPPASLPQVTTDDTGWTGSGQAVPVNCCYSSSNEKQSSKGINEGNTGKPVKVMPDFAQVYRFIGSVFDPNSTNQLQRLKQMDPINVETSLLLMRNLSINLTSPEFEDHKRLLSSYDPDCEKAKFANPSSKSMTVKSENAVLST >RHN61195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33773863:33776517:-1 gene:gene23633 transcript:rna23633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKFLKTFNSKSIYRHSKTLSNSSLYTTTTSAPPSPPITETKFLEYIQTSQWHFIKHLAPNLTPSLLSSTLPTLHQNPILVETLLSHLHRHPHCLDLNTRCITLCILYRLPSPKPSFHILQPILHTTTPTVIFNELSLARNRLNVKTTLVFDLLLSAYCQFRKPDEALECLNLMKENEIIPKTETCNSLLSLLLKLNKIKMAWFVYEEMVKMNIKSSIVTFNIMINILCREGKWKKAKDFIGHMEVYGVKPNVVTYNTVINGYCLRGKFEAASKIFKTMKDKNLKPDCYTYNSFISRLCKERRIEEASGVLCKLLESGLVPNAVTYNALIDGCCNKGDLDKAFAYRDEMMNRGIVASVFTYNLLIHALFLEKRIEEAEDMIKEMREKGVEPDVVTYNIQINGYCRCGNAKKALSLFDEMVEKNIRPTVETYTSLIDVFGKRNRMSEAEEKFKKSIKEGMLPDIIMFNALIDGHCVNGNIDRAFQLLKEMDNAKVVPDEVTFNTLMQGYCRERKVEEAKKLLDEMKERGIKPDHISYNTLISGYSKRGDMKDALEVFDEMLSLGFDPTLLTYNALIQGYSKIGEADHAEELLREMQSKGITPDDSTYLYVIEAMKTNDDLVENDDK >RHN80575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39157658:39158178:-1 gene:gene4515 transcript:rna4515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MRINGKQVTVGVLGDQISTKNVWLDPDYDDRERDDEFQGLERPKGRSDGFLEIEMGEFFNSGLEDEVVEMGALENRGGHSKCGFILEGIEIRPKN >RHN68917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39606308:39606496:1 gene:gene17338 transcript:rna17338 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLFIDKLFLSQLYDIVRKEIWYRPDMFFYRDMLMMLARNKRVDETKRVWDDLKGEVDETI >RHN70347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50793026:50794943:1 gene:gene18917 transcript:rna18917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MSWWWSGAIGAAKKKLDEDEAPRSFQNVALVVGVTGIVGNSLAEILPLADTPGGRWKVYGVARRSRPSWNADHPVEYIQCDITDPNDATTKLSVLTDVTHVFYVCWASRPTEAENCEINGAMLKNVLTAVIPNAPNLRHVSIQTGGKHYVGPFESFGKIKYHEPPYTEDMPRLDYHNFYYTLEDVLFEETGKKEGVSWSVHRPLLIFGFSPYSMMNIIGTICVYAAICKHEGVPLRFPGTKLAWENYYMASDADLIAEQHIWAAVDPYAKNEAFNCSNGDVFRWKQLWKVIAEQFGIEEYGFDEEGPRLKLTELMKDKGPVWDEIVKENGLQVTKLEEVAEWWFADACFGGNGFTDSMNKSKEHGFLGFRNTKNSLINWIDRTRAYKIVP >RHN53518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2221288:2222235:1 gene:gene28433 transcript:rna28433 gene_biotype:protein_coding transcript_biotype:protein_coding MFIIDWLDTPYSFLLVLLKAVPESTIHIMENVFLKLEYLNHLIMAVELYSIEILYHFNVAKKNIRKQDRDTPIKDRKFKVFAFITKCEPCGSVAMFQSWILETNGERVNLHFLTVIFLLPRQA >RHN72208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5806978:5812756:1 gene:gene7894 transcript:rna7894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MAHNTEVDEHERDNKANQKVSFHKLFTFADSLDVTLMIIGTISAVANGMTQPIMTLILGKIINTFGSIDPHHIVKEVSKVSLLFIYLAAGSGIVSFLRNLGFDPCPCILQCSCQLSYAHGDNIVIILIRVILFHAAEVSCWMVTGERQSARIRSLYLKTILKQDIAFFDTETNTGEVIGRMSGDTILIQDAMGEKVGKFIQLAATFFGGFAVAFIKGWRLAVVLVACIPCVVVVGGFMSMLMAKMSSRGQAAYSEAGNVVDQTVGAIRTVASFTGEKKAIENYNSKLKVAYTTTVQQGIASGLGMGTLSLIVFSTYGLAMWYGSKLVLEKGYTGGIVMVVIIALMTGGMSLGQTSPCLDAFAAGQAAAYKMFETIKRKPKIDAYDTSGTVLKDINGDIELKDVYFSYPARPDVQIFDGFSLFVPSGTTTALVGQSGSGKSTVISLLERFYDPDAGEVLIDGVNLKNLQLKWIREQIGLVSQEPILFTTTIRENIAYGKEGATDEEITTAITLANAKNFIDKLPQGLDTMAGQNGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALEKVMTQRTTVVVAHRLTTIRNADLIAVVHQGKIVEKGAHDELIKDDDGAYSQLIRLQEGEKENQKSEADNSSHIFNSEMSRSSNRRISLVKSISQRSSGRHSQSNIFPLPHESGVQTDEPNIEEGQLDNKKKHKNVSIRRLAYLNKPEVPVLLLGSIAAIVNGAVFPVFGLVFSSAITMFYEPPKQQRKDARLWSLLYVGLGLVTLVILPLQNYFFGIAGGKLVERIRSLTFAKVVHQEISWFDDPANSSGAVGARLSTDASTVKSLVGDTLALIVQNLSTITAGLILAFTSNWILAFIVLAVSPVVLIQGIIQMQFLKGFSGDAKVMYEEASQVANDAVGSIRTVASFNAESKVMDMYQKKCSGPEKQGVHSGLVSGAGFGFSFVALYCMSAFCFYIGSVLVQHGKATFQEVFKVFFSLTITAVGISQSSTLAPDTNKAKDSAASIFEILDSNPTIDSSSNEGVTLETVTGDIELQHVSFNYPTRPHIQIFKDLCLYIPAGKTVALVGESGSGKSTVISLLERFYNPDSGRILLDGVDIKTFRLSWLRQQMGLVGQEPILFNESIRANIAYGKEGGAMEDEIIAAAKAANAHNFISSLPNGYDTSVGERGTQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAESERIVQEALDRVSVNRTTVVVAHRLTTIRGADTIAVIKNGVVAEKGRHEVLMKITDGVYASLVALHSSAS >RHN38406.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000026.1:5393:8381:-1 gene:gene50772 transcript:rna50772 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSISNFYIGKSKSFTDLADAAGATCLQEIVKAEDPYAKKRKDSLARNLLIGRSRSYANVGGITNSKRTSSLGRRTSCLNLNSNADSGDEGKSSASRSISPPCPLPPLHSRPNRLSATTSLPRPPAQNHPLRSYSWSDLNSVAEGHDITGLAICSGNKTIKYTDFFT >RHN45558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22892552:22893571:1 gene:gene39924 transcript:rna39924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLANLKAFNVYDNNFIGQFPHNICQGGNLKYIASSQYHFIGHVLMSLNNCSSLIRLRLEKNHFDGNITDDFGVCPNLKFMGLDDNNFYGHLSSNWGKCHNLTDLHISRNNISGYLPPELGEATNLYSIDLSSNHLTGKIPKEIGNLTMLGRLYLRNNHLSGNVPVRIASLKGLETLVVAANNLSGFIPKQLAILPRLLNLSLSHKKFIGNIPDEFGQFKVLESLDLSGNVLNGAIPPMLGNLKRLETLNISHNNLFGQIPSSFDQMISLSFVDISYNQLEGPLPNMRAFNNATIEVLRNNTGLCGNVSGLNPWKISSSGSHYHKIKKLILLIYSINLNE >RHN64625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60547352:60553440:1 gene:gene27456 transcript:rna27456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative feruloyl esterase MNWLVMCKDNTRQKYVLSIICNSLFLNMGIFVVQNAPSLPLLSNSSSLSITPSLFQYHQVHFSNLPNHKPITRTRIRSTLNFNMSLSSEKPGFEQKRVIIPNKHGEKLVGILQDSGTKEIVILCHGFRSSKESKSIVNIAAALEKAGISSFRFDFSGNGESDGSFQYGNYWREAEDLHAVTQHFLESNRSVTAILGHSKGGGVVLLYASKYHDIKAVVNVSGRYDLKDGVEERLGKDYMERIKEDGFIDVKRPGSSDYRVTLESLLDRLNTNMHEACLQIDKECRVLTVHGSSDTVISVGDASKFAQILPNHTLHIIEGADHPYTNHQDELASLVVNFIKETIHQDRDT >RHN45301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:15815839:15816618:1 gene:gene39563 transcript:rna39563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MYLTGLNRCRKSCRLRWVNYLNPYINRENFSKDEVDLILRLHNLLGNRWSLIAGRLPGRTANDVKNYWNTHLRKKVESEAKEKKEKEKSNETMKAHEVIKPRPITLSSRSHFVHDSNKDRYVPIYQDDSSETMVPSQIGGDYASAVQPSLGNNVQTPCAMWSDSLWDMGSSEKIGSCSSLQEVNNFNMDFPDDSFWDFNFSDFEFLRDL >RHN71124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56649472:56650953:1 gene:gene19773 transcript:rna19773 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLYPNAKEQTIATTTKNQIRIKTPKKNKRGSNCNYISNPSQNFDTYAGLLNLPQQKQPPLLPLPHVSAILHHNKPLLPRNLNTQSLSLTPKKSKPKKSSGTQSTTDFLMDNPWGPDPKNLPVVIGIGNMDVFSESVFNLAPPPSSLPLPKFSMRSKLSCKTEAAAASSFVDDGATNNLRRLLRLR >RHN39716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9890251:9892985:1 gene:gene45770 transcript:rna45770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative importin subunit alpha MILMHTVLLSPSFTIDELIQSDAVPRLVEFLVIDDFPQRQFFAAWILAYIAGGTSENTKVVIDHGAVPLLVNLLSSPSVAVRHEASWALGNVACDSPSCRYLVLSHGAMIPLLSHLNELADISMLRIATRTLSNFCRGKPQPLFEQLRPALPTLELLIFSNDEEVLADACWALSYLSDGTNDKIQAVIEAGVCGRLVELLLNPSPSVVIPALRTLGNIVGGDDMQTQAILDHGSLPCLLSLLTHNHEETIRKEACWTISNITAGNREQIQAVIEAGLIAPLVNLLQNAEFDTLKEAAWALSNATSGSTHEQIDYLASQGCIKPLCDLLVCSDPKIVTVCLQCLEDFLKVGEAGKNPGYTGDVNLYALMIEDAEGLEKIENLQSHDNYEIYGKAVKILETYWLEDEGETPSPGNGSQPGFNFRGSDLPVPSGGFNFSRM >RHN81517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46650935:46659821:1 gene:gene5574 transcript:rna5574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MPPEPLPWDRKDFFKERKHDRSEAVGSVARWRDSSHHRDFNRWGSAEFRSRPPGHGKQGGWHMFSEEPGHGYGVSRSGDKMLEEDGRPLVSRGDGKYGRSSRDNRGGPFGQRDWRGHSWEASNGSPNLSRRPQDMNNEQRSVDDSPTYSSHPHSDFVNTWEQHNLKDQHAKTGGVNGLGTGPRCDRENSLSSIDWKPLKWTRSGSLSSRGSGFSHSSSSRSMAGTDSYEGKPNLKHKNVTAVESNSGEATACVTSSMPSEDATSRKKPRLNWGEGLAKYEKKKVDVPDPGSNKDGSVSSAGNMEPCSSISPNLVDKSPKVTGFSDCASPATPSSVACSSSPGVDDKLLGKVGNADNDVSNLTDSPAPGFQNHLQKFYLNLDKLDVDSLNSLGSSIVELVQSDDPSSDDSGLVRSNAINKLLIWKADISKVLEMTESEIDLLENELKSLKSESVDRSECPVASGSQQADSSSKFYEERVEVSQKVIRPVPLKIISSDEPNTVKMPQSTNLCSIHENDKEEDIDSPGSATSKFVEPLPVNAVSSSYTRGYDNLSRDMNAVQSTMMKCFVRCNRKNTSVSACNNVNTPTEVKDSLGDVTFGANLCSSYGDTYKSIIASNKESANRAHKLFTKLVPKECKKHGNMGVSNDSFSHTSILQKFAEKKQFERFKERVIALKFKALHHLWKEDMRLLSIRKCRPKSHKKNELNVRTTCSSNMKNRSSIRSRFTFPAGNHLSLVPTTEIINFTSKLLSESQAQLQRNTLKMPALILDEKEKMVTKFISSNGLVEDPLAIEKERSMINPWTSEEKELFLEKFAAFGKDFRKIASFLDHKTTADCIEFYYKNHKSECFEKLKRKDIGKLGKSYAAKTNLMASGNKRMRGRRYLLGYGNVKASRGEDSIIERSNSFDTLGDERETAAAADVLAGICGSFSSEAMSSCITSSIDPVDGNKETKFLKANPLFKQPLTPDISQNADDETCSDESCGEATEWTDDETAAFLQAVSSFGKDFEKISRCVGTKAQEHCKRFFSKTRKCLGLNLANPVPGINGSPLNDDANGGESDTDDACVVEAGSVVDADKSGNKTDEDLPSDALNTFHDESNPLEATSLSAKLNESREISGTEVCLENVDVASVACAINVESKLGSDVSGVGLCTTDKSGSVNGVGLGGTVRESISASEIIKPRECGSVALDRTVSEGSSGGLCLGSEVERQRVSAPHCVVDKDVEHVADAGVVVELKNCVLESSTAANVSFSPVVNSCSGLSFGSENKHVSFGKPHTSALSMSMSDLQATANSLLLKAAAAQCEKTVSQDRLSSTCDIQGGRDMRCHSSGSNGDHQLPLSGSHVETVSVLQGYSMQVPIKKEVDGDVNCSSSAAEFPLLPQKVKQTDGHFKPSFHSSNSEKTSRNGDVKLFGKILTNPSSTQNPNLTAKRSEENGSHHPKLNNKSSNLNFTGHQNSDENLNFLKFGLENVPVMSYGYWEGNAIQSRQSGLSSLPDSSFLLAKYPAAFSNYPTSSSNLEQQPPLQAFAKNSQRHLTGASTFTARDVNGSNAMLDYQMFRGRDGPQVQPFMVDVQHRQDLFSEMQRRHSFEAISSLQQQGRGMMGMNSVGRPGILVGGSCSGVSDPVAAIKMHYSNSEKYGGQNGSVVRDDESWGGKGDLGR >RHN59938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15025913:15033254:1 gene:gene22109 transcript:rna22109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lactoylglutathione lyase MCVKFDRFYTECLGMKLLRKRDIPEDKYSNAFLGYGPEDSSFTVELTYNYGMDNYDIGTGFGHFGIIAEDVSKTVDIVKAKGGKVTREPGSVIGGSIVTASVEDPSGYRFKLLERRTTREPLCKVMLRVGDLDRVIAFYEKAVGMKLLHKIDNPEEKYTVAKLGYGPVLELTYNYGVTNYDKGNGYAQIAIGTDDVYKTAEAIKSCGGKVIREPGPLPGINTKIVVCLDPDGWKLVFVDNVDFLKELE >RHN80219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36200319:36205969:1 gene:gene4118 transcript:rna4118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDLLNQYTDNNNDPDSPSQNPNSPENSPPRLLPSRSAAPKVDDTMLALTVADPKTLSKPIDPTQHLIAFNPTYDQLWAPIQGPSHPYAKDGLAQGMRNHKLGFVEDANIEPFLFDEQHNTFLKFGYAADPSASNYVGDFDALQKNNAASVYNIPHREQKKRKIESLKKKEDNDNEEDESNNDKQGAEIENPASEAWLLKNKKSPWAGKKEGLQGELTEDQKKYAEEHAKKKGEEKSGFGGEKVEAVTDKSTFHGKEERDYQGRSWIAPPKDAKASNDHCYIPKRLVHTWSGHTKGVSAIRFFPNSGHLILSAGMDTKVKIWDVFNTGKCMRTYMGHSKAVRDICFTNDGTKFLSAGYDKNIKYWDTETGQVISTFSTGKIPYVVKLNPDEDKQNVLLAGMSDKKIVQWDMNTGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEYGIPVVIKYISEPHMHSMPSISLHPNANWLAAQSLDNQILIYSTREKFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVTIGCEWHPLEQSKVATCGWDGLIKYWD >RHN56656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32605667:32606596:1 gene:gene32091 transcript:rna32091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70 MTHILFQIWRCMMLPKVWRFVGFAAVVAGLLCNALSSSFNYLFGGWTMLVITLYTVFSFILCVLVLFAARIWQHPRSHWFVAHTTFVVLAITSLYSYFFDKLMDNTPDAYSLISCASFAVTALSLSRNKIQCGFEIDLLYFLLGCLMMQLMKITLKLFIFGAIFSYFLIIIRSSFPSIDARENKPCSEFQDGNSVVLNMVDSLQLASTNIGSRLEKLETRVKALEHGNSKSIQMVLEPVRKLKHSQSVIEDPNLKFDALKKETIKDLEETTNVMARAGFENNFFDVYNNSRREYLKECVLDIRVTEAQH >RHN63935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55150790:55153536:1 gene:gene26693 transcript:rna26693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein DnaJ, cysteine-rich MAQSLSFAPICSLKSSNTPGAVIGNSVVRKAFPMKEVCLNSKAGNFQSLVVKATEDSSKGTTKVKSIVCADCTGNGAKECTQCQGSGVNLIDHFNGRFKAGGLCWLCRGKKDILCGSCNGAGFIGGFMSTFDD >RHN55689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21124155:21126599:1 gene:gene30913 transcript:rna30913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQGEKIMAIISKIIYPLTIFISLLLIETIRRLQCKHDSDCQKDMCRSPEIAKCVYFVCECV >RHN39230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5123574:5127535:-1 gene:gene45234 transcript:rna45234 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGPSSKLGRGGGPKRLHNSFPPPPPHRPPSSANSRLSLGGSAKKTPPAVEETFNLVSGTNPLAFSMIIRLAPDLIEEIKRVEAQGGTPRMKFDPNPNNPNGNIIDVGGKEFRFTWSRDGDLCDIYEQRQSGVDGNGLLVESGCAWRKVNVQRILDESTKNRVKMRSEEAEHKLKSRKAIVLEPGNPSTKSQMKAVAAVEATSWKAKFAKREAALNNKKRKVETLQVGGPQKPLIDLD >RHN66489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13002801:13005797:-1 gene:gene14489 transcript:rna14489 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFSIFVNGCCSLVMVLLIRIPIPPEEVVAFLLRCCFQAKSASHLIDALNAEGEHIDVILAKVGLPKKGMRVFKYMARDKELCRIPIISSSYGRNRFKFAQ >RHN67096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23828311:23829527:1 gene:gene15240 transcript:rna15240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQLHKLIYALTIFLSLFIVGAVRIPRPLIDPLNCHIDIHCIYKECRRPFKPSCLNFKCDCGKE >RHN41800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33108734:33110475:1 gene:gene48161 transcript:rna48161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MNTTNNGERLNAAAEAGDIDLLYTVIQDDPYILEHIDSIPFVETPLHIAASMGHIDFAIEIMNLKPSFALKLNPQGFSPIHLAMQKNKKRMVYHFVSINKDLVRVRGREGITPLHFACQNGEVQMLAYFLRLCPESIEYLTVRRETALHISVKNEQYEALQVLVSWLKKNTQRGAQKLENKILNQRDKASNTILHISALSSDPQALLLLVSTGIDLKAKNSENKTALDIASTPEIKSILLSVGTKPSSEVTDYPTCDHRIRSKITTIGAVTIYINRIRGDISEEQRNTWLIVATLVATAIYQSGLSPPGGIYQVSAGDTNTNITSSNFTISAPGNAGKSVLSGYEFFLFLFINMYSFSVSILAIFIMLPYGKICFLVGSPMGWFTASYLFSMWRISPTHVNSVILFVLFGSILLPMVIDVIVGVYRRSRLKHRIAKIFNMIVKALFQ >RHN51865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27242797:27243642:1 gene:gene36418 transcript:rna36418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBF11 MFTTNNSIYSHPSSSSLSHIIDEFRGLSYHRMSVSNKEVRLAVTTPKKRGGRKKFKETRHPVYRGVRSRNLDKWVCEIRQPNKKTKIWLGTFPTAEMAARAHDVAALALKGGDACLNFADSALTLPIPATCETKDIQKTAAEAAEAFRPGKTVMTNDVAALALRGRNACLNFADSASRLPIPATKETRDIQNAAAEATEAFRPDKTLTTNDNETAVAAAAAEEQLMFSMEEEEEEEEELNIPESLRNMVLMSPTHSLGHEFEHIDHGDFQDDEVSLWSFTI >RHN74361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32201996:32206229:-1 gene:gene10411 transcript:rna10411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP citrate synthase MARKKIREYDSKRLLKEHFKRISGQDLPIKSAQVTESTDINELVEKEPWLSSSKLVVKPDMLFGKRGKSGLVALNLDLAGVAAFVKERLGKEVEMGGCKGPITTFIVEPFIPHNEEFYLNIVSDRLGNSISFSECGGIDIEENWDKVKTVFIPTGESLTAENISPLIATLPLEIKGELEDFLKVIFNIFQDLDFTFLEMNPFALVDGKPYPLDMRGELDDTATFKNFKKWGNIEFPLPFGRVMSPTESFIHGLDEKTSASLKFTVLNPVGRIWTMVAGGGASVIYADTVGDLGYAPELGNYAEYSGAPKEGEVLQYARVVIDCATANPDGQKRALVIGGGIANFTDVAATFSGIIRALKEKEQKLKEANMHIYVRRGGPNYQRGLAKMRALGEEIGIPIEVYGPEATMTGICKQAIQCITASA >RHN74937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37967749:37969605:-1 gene:gene11072 transcript:rna11072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDDGRGPPKRIRGNHQSPNTLASSSISPPNEVAMGGNVTLPSQIHLLNQVEQLGNSWSRPGRSLMVNNENNHLIPENNLVQHFQPTLHIQASHTNESSLESGNGNVLRFHHHRPLGNQQHNVNHRPTLPMHGVQPNPPLGGAIPLQPGLHILESRGVMSENTLRHQSVHPFGSSQDDEDISLNEEMENLLDDIAFNDEVQNLLDGIYLDDEEENLIDPHLGMRLEIEGMSYEEFIALGERIGNVSIGLSKEAITTQLKTKIYTPYPNGINLEELPSDNKEIDSCTICQTEFEDHEKIGILQCKHEYHVECIQNWLVIKNECPICKSEALTYMKNDA >RHN42545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39200169:39204549:1 gene:gene48997 transcript:rna48997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLRRISFLSCFRLLHHMCTTTSPFPSPALHIPLHSHCTKSTNINHEIQSHSNKTQFLNFMRNQCKSGKLKSIDEALNFFHTMAKMNPLPSVIDFTLLLGFIVKMKHYTTAISLVKEMHSSLGIKPDTFILNVVINSLCHLKLVAFGFSVLGTMLKLGLEPSVVTFTILINGLCVKGDVGRAVELVDHVEKTGYRSDVKTYGVLINGLCKMGKTSEAVGWLRKMEERNWNPNVVVYSTVMDGLCKDGLVSEALGLCLEMSGKGIKPNLVTYTCLIQGLCNFGRWKEAGSLLDEMMKMGVMPDLQSLNILVDVLCKEGKIMQAKSVIGFMILVGEVPDVFTYNSLIDRYCLQNQMDEATRVFELMVSRGCLPDIVAYTSLIHGWCKIKNINKAMHLLDEMIKVGFTPDVVTWTTLIGGFCQVGRPLAAKELFLNMHKYGQVPNLQTCAIILDGLCKSQLLSEALSLFHAMEKSNLDLNIVIYSIILDGMCSAGKLNTALELFSCLPAKGLQINVYAYTIMINGFAKQGLLDKAEDLLSNMEENGCMPDSCTYNVFVQGLVAEREIARSIKYLTMMRDKGFSVDATTTEMIINYLSTNQGDNELREFLFPK >RHN44905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9701294:9704402:1 gene:gene39082 transcript:rna39082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & lipid binding HD-SAD family MEHHSEGSVSSDTHKRKKCCNRHTSNQIQRLEAVFKTCSYPDEKQRLQLGRELAMDPTKIKFWFQNRRTQLKTQNERDDNCTLIQENDKIRSQNKAMREALQNVICSTCDGQKLRIENARLKEELVRVSSIAAGYTGSSSTLPNVPYQPAGLSHKEKSLMFDIATNAMQELIFLMETNEPLWMKSNNNGRDTLNLETYETMFPRTNNQLKNPNIRIEASRKSGDVIMNALTLVEMFMDPIDFVEQHKWMELFPTIVTIAKTIEVISSRTKDGLDGSLQLMYEELQVLSPLVPIREFYFLRYCKQFEEGWAIVDVSYEFPHNKHFASKFRGHRLPSGCFIQNMPNGKSKVTWIEHVEVEDRNPVHMLYRNVIYSGVAFGAEKWLTTLQIMCERIASYLMDSVIPSPDGKRTMMKLTQRMVTNFCESINGSASHRWTTLSTLNEIM >RHN64688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61002812:61008261:-1 gene:gene27531 transcript:rna27531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MATAVEPIPNGTLQSESYTIFDAAANPTDESESEKRWPGWPGHCVFRLIVPVVKVGIIIGRKGELIKKTCEETHARIRVLDAPVGTPDRIVLISGKEDLEAPLSPAMDAILRIFKRVSGLSETDNNNTAAAGVALCSIRLLVASTQAINLIGKQGSSIKAIQENTGAVIRVLSGDELPSYAGADERIIDLQGETLKVLKALEAVVGHLRKFLVDSSVIPLFEKTCNATISQDRQTDAWADKPSLYSASQPSIVADIPTKRDSFFADRESQLDSLLSSSTMSLYGQDSSSISGLRSSAFNRASASIVTTVIQTMQIPLSYAEDIIGIQGTNIDYIRRTSGAILTVQESRVPDEIIVEIKGTSTQVQTAQQLIQEVITNHNETIASNYARLDTGLRSSYSQLGSSSYPSSSLPSQPYNGYGSSGPGDYSTFRL >RHN66583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14306858:14307469:-1 gene:gene14598 transcript:rna14598 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVKLSTLAVFMLTIFSRECPSFGTVCSILRSNSCGNIIEYICIPHWIHGGICK >RHN46140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29111876:29112622:1 gene:gene40583 transcript:rna40583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MFEKDKHILVFFKSNHNTGVRIYTHKKWLYNDSFAIQVTFLKHRSGLFKKVNELCTLCGVDVALVVFSPSKKVFSFGHPNVDMVIDRYLSGVPSQNNNTIEFIEAHRSTKVCELNAMLIQINNTLDEEKKCGDELSLSCKALKAQFWWAYPIDGMNKAQLELFKKMLVELKKLVNQHVDRSAIQGATAHTFPFSVGKDLSSNIPLHYQPNPQQIEMFSPQNFHNPMLQPHLIGFNNMGRGRYGPPGFY >RHN38418.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000023.1:2299:3064:-1 gene:gene50759 transcript:rna50759 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIIAFDLKEATMAEIALPNDCSRGIYDLLVFHGLISVWNVERSTVKIWVMQEYAVHSSWTTTLDFSFHPPLDFSPICFTNCGDIVGPIAGGGLAKLNDKGQLQEYHSYGDRYFMRSQMAVYIESLLSLPDGTEQA >RHN60142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21684467:21685150:-1 gene:gene22380 transcript:rna22380 gene_biotype:protein_coding transcript_biotype:protein_coding MADISKILEENLFQIQNHYIEPKINIEEKKSLIVKETHEEECEKEREETKESDEKEVEEKGEEKENEKDSKENNVGKFWPTITLVPSSKLVCVFKCWDSSSNIIQLPNISLCHEGNKENEETFSQQVEENYVIWNDDHVHKSKVDEKKTNSSNKSEELSKVKVTCDGFHRFIFDPGGIQAINSRSNSLEEGEYDVILKLSLLTRIIKSLWNKRTKLILGSKFYFRRS >RHN51956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29152749:29155888:-1 gene:gene36538 transcript:rna36538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MIPKISDFGLARIVEISQDEGSTNRIVGTFGYMSPEYAMLGQFSEKSDIYSFGVMLLEIIVGKKNKSSFTPHHVAYDLLNHVWRQWMDQTPLSILDPNIQEDYSTNEVIKYIQIGLLCVQNDPDARPSIATVASYLSSYAIELPTPKEPAFFLHGRTYSDVLALESSSTQSANSSAQFSNNQMSASTFIPR >RHN61346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34967167:34974917:-1 gene:gene23801 transcript:rna23801 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMNPFSISASTNTLSSFPNPHSSSSFPQQQQRTLLQNRKIQNLSNHERSLRKLTLFTSNSRKRKTLICAANQEAEEAFKKTVAIDHLIDMLREANPRELEKLVLENILAFNPGFWIRLAARSDTCKSEDDKKDYEELASTVMNVVDLVVNKTKEKIESATDVLKGVLKPVINDEGEISWPPKDPQALKLMEMEINQREQAGQLDEGFLAEVSAQLRQAKGDGDKPGLEAMLQKVLQLYASNSLSKRTYAMKGKEVLKDEQFLETIIQAPEEEWKNILIKGLTIGGGDVSPEELYAVLKKRMERTLIRTEGGSYQQRILTEYLKGIESRAHEIVQVLQGQGKPQ >RHN45958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27247424:27250687:-1 gene:gene40388 transcript:rna40388 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TPS5 MSTVAYSDHDAKQRNLADYHPSVWGGFFLQYASETMELDQNLASQIDTLKDEVRNMLVSKTEMPLTKVKLIDSICRLGVGYHFEKEIDEVLQHIHKSYVENGEITLEDSLCSLAMLFRVFRQQGLHVSPNVFNKFKDKQGNFNENLSTDVEGMLSLYEASHMMVHEDDILEEALNFTSTHLESIASQSSPSLAAQIEYTLKQALHKNIPRLEARHYISIYEKDPTCDEVLLTFAKLDFNLLQSLHQKEFGNISKWWKELDFSTKLPYARDRIAECSFWVLTAFFEPQYSQARKMMIKVITLLSIIDDTYDAYGTIDELELFTKAVERWDISSLDELPDYMKPIYRSFLTIYEEIEKEMRKEGRIYTLDYYKIEFKKSVQAFMTEARWLNENHIPTTEEYMRISKKSGAYPLLILTSYIGMGDIATKEIFNWVSNEPRIVNAAATLCRLMDEIVSSEFEQKRGHVCSLLDCYMKQFDMSREAAIQECKNRMTIVWKDINEECLRPTEVPMPFMTRVLNLSRFMDVIYKNKDNYTDSDGLMKTCIKEVLVDPVPI >RHN54867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13058515:13060848:-1 gene:gene29963 transcript:rna29963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MELSQLGFLEELLAPRKDTWNTLSTGLNELLLPNGWTFDSFDENLLINPSLNPSFASFSTPLDHRFECPYGTDASSLSYPYLDGFSVPEFDDSAPVLPQQESIEEFGFVGSENKRFEESKISCKVEEQVSETPVFNMGLCGEKKAKSKRVEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLERISKLQEEIEKEGTNQINLLGISKELKPNEVMVRNSPKFDVERRDQDTRISICCATKPGLLLSTVNTLEALGLEIHQCVISSFNDFSLQASCSEVAGQRNCMNPEEIKQSLFRNAGYGGRCL >RHN46964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36104569:36105148:1 gene:gene41508 transcript:rna41508 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNYYLNDKSQMISPIIFNALEKRFQRLLHSIARNLSFMMRKYDDDHNDGIMKYQCIIFTCSAESGSIFFLGTKSGSILISSIN >RHN43926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49496717:49498890:1 gene:gene50563 transcript:rna50563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane transport protein MITLTDFYHVMTSMVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFIASNNPYKMNTRFLVADTLQKIIVLLALTIWANVSKRGCLEWTITLFSISTLPNTLVMGIPLLKCMYGGEFSGSLMVQIVVLQCIIWYTLILFMFEFRGARMLISEQFPDTAGTIVSIHVDSDVMSLDDGRQCLETEAVIKEDGKLHVIVRRSNASRRSHSQSHTTPRASNLTNAEIYSLQSSRNPTPRGSSFNHTDLHSMMGGSRNSNFGAFDLKGTPPRTCNYDNSMSTTKGNYPAPNPEMFSPKNVVAAKKTEDLHMFFWSSSDSLVSDVKLNVSPEKVEGEDEHKRGEEGGAKTMPPASIMTRLILIMVWRKLIRNPNTYSSIIGLTWSLISFRWNIEMPVIIAKSISILSDAGLGMAMFSLGFVFGVEEHACLCSFSFMILFMAGLFMALQPRIIACGNRIAAFSMAIRFLTGPAVMAAASIVVGLRGTLLNVAIVQAALPQGIVPFVFAKEYNGNFWDVDCIAHNARLLHLVGSMNGMDISKC >RHN38922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2816509:2816961:-1 gene:gene44899 transcript:rna44899 gene_biotype:protein_coding transcript_biotype:protein_coding MFIIQKMDRVRNDAMKHAKNLSEGVLRENHDHVPALTELIKMFFFFRIFFVCLFLFSSQCMTELSNYICDTITCLFYFII >RHN71351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58465470:58467899:-1 gene:gene20024 transcript:rna20024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 30S ribosomal protein MASGGASVMKRCTVAARWLIRGASTETPCASSAGVTGPIVCGRGDKKTKKGKRFKGSYGKSRPKREQMIERIKDKVEVPRSTPWPLPFKLI >RHN40081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13062263:13062949:-1 gene:gene46177 transcript:rna46177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LysM domain-containing protein MAIFNSRKGAVSSSKAIADAASWYCAILLAELILLTIFRDSYMIPNDHDYNIIESNHFLLSKPCDEIYVVGEGETLNTISDKCNDPFIVENNPHIHDPDDVFPGLVIKITPNSHHT >RHN73548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17567493:17572373:-1 gene:gene9372 transcript:rna9372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MAGVAPEGSQFDAKQFDSKMNELLTTDAQDFFTSYDEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFIKGLDVIQQAQSGTGKTATFCSGILQQLDYSVTECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTTDDERMLSDIQKFYNVLIEELPSNVAELL >RHN47374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39248113:39253618:-1 gene:gene41967 transcript:rna41967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XV family MLPFWLRVRVINVFFNVIHLPCHLHSHTLNLAGAPFSGHLAGDGKPPKLASLRKPISFSTIILTFSKMGHHFLHLLLCLLFFTTHHANAFNPSIPNDALSLLTFKRFVSSDPSNLLSGWSHRSSLKFCNWHGVTCGGGDGRVTELNVTGLRGGELLSDIGNLSELRILSLSGNMFSGEIPVSLVNLRGLEILELQGNNFSGKLPFQMSYFESVFLVNLSGNAFSGEIPNGLVFSRNVEIVDLSNNQFSGSIPLNGSGSCDSLKHLKLSHNFLTGEIPHQIGKCRNLRTLLVDGNILDGEIPHEIGDAVELRVLDVSRNSLTGRIPNELGNCLKLSVLVLTDLYEDHGGSNDGSLLEDSRFRGEFNAFVGNIPYKVLLLSGLRVLWAPRANLGGRLPAAGWSDSCSLKVLNLAQNYVTGVVPESLGMCRNLTFLDLSSNNLVGHLPLQHLRVPCMTYFNVSRNNISGTLPGFMKERCRSSSTLAALEPAFLELEGLNDAYFNIRSWRSQENAFIGSGFEETVVVSHDFSSNSFVGPLPLFFVGDNLFTENENRNISYMLSLNNNKFNGTLPYRLVSNCNDLKTLSVNLSVNQLCGEISQALFLNCLKLMDFEASYNQIGGSIQPGIEELALLRRLDLTGNKLLRELPNQLGNLKNMKWMLLGGNNLTGEIPYQLGRLTSLVVLNVSHNSLIGTIPPSLSNATGLEILLLDHNNLSGEIPLLVCALSDLVQLDVSFNNLSGHIPPLQHMSDCDSYKGNQHLHPCPDPYFDSPASLLAPPVVKNSHRRRWKKVRTVVITVSASALVGLCALLGIVLVICCRKGKLTRHSSIRRREVVTFQVVPIELSYDSVVTTTGNFSIRYLIGTGGFGSTYKAELSPGFLVAIKRLSIGRFQGMQQFETEIRTLGRIRHKNLVTLIGYYVGKAEMLLIYNYLSGGNLEAFIHDRSGKNVQWPVIYKIAKDIAEALSYLHYSCVPRIVHRDIKPSNILLDEDLNAYLSDFGLARLLEVSETHATTDVAGTFGYVAPEYATTCRVSDKADVYSYGVVLLELISGRRSLDPSFSDYGNGFNIVPWAELLMTEGRCSELFSSALWEVGPKEKLLGLLKIALTCTEETLSIRPSMKHVLDKLKQLKS >RHN48853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50708464:50710278:-1 gene:gene43614 transcript:rna43614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MNLSESNHKLNASEDSWGFESFMTVAELHDPNKGFILKDACVVGVELFVSKSSHEKPTNQAANLTASLTLGSQTGLKEVEVPMPKPEGQEFGDTEHKQSIVEKFETFTWNVENFSRWNGGTYSEPFVLDTSYQWGDNVGDLSIFLSVVETANMSKGWSRHVKFKLFVLNQVDSNRTIFSDEAQHEFTASSNGWGVGSLVSSTVLHDPHSGFLVKDVCIVGVEVSFYKSKYKKQVNQATSLTTSSTSSGQTENLEVEVTRPMLEVPGQNQGEHMDFKGLGQI >RHN45621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23819592:23821555:-1 gene:gene39999 transcript:rna39999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MSTTNLDKLKVAAEAGNIDILYAVIQIDSSILEIIDSNQFVETPLHIAASRGHLRFAIEVMNLKPSFAWKLNPQGFCPIHLAMQNDQKRMVSRFVDINKDLVRVQGREGLTPLHFASQIGEVELLAKLLFACPNSIQDVTVGGETALHIAVKNKNFEALHLLVCFLRKNIERGAREFEYNILNHKDEDDNTILHISALCIEPQALRLLVSTGINLKAKNLENKTALDIAATLENKSILFSAGSKPSLEVMDAPTLAHKLRSKTTIVDKMLIYIRRIERNISEKQRNTWLIIATLVATATYQSTLTPVGGVYQVSTSDNNVNNTSFNSTISTPKNVGKSVLSKVDFFLFSYMNMISFFLSTIAILILTPRGRVGSLVVAGPVVWFILLYLLSMEDNPYT >RHN38395.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000028.1:4570:5873:1 gene:gene50781 transcript:rna50781 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKSSDREITLFLLKLWVWTMMTVSAESSLKKMSWSRGKQPSGWTAFDLKQKMKNSIDSEVDKDPFPPIGSSSSMRHGDKFVKKKHVPLKPFSSVLVPNVNFPPLKEAGNGQKAVLGSDSCGTTAQEDVNGPTKMLKEQHPWAENSLIDDILAAVNNNVDKAVALLETMASAVNFEEHKVLSNPHPRPLISDDVTRVVKTGESLALEMVKDDILFHSNIVGQLQDNNKDLENRYAFSGQKFSDVMDLLNSVPVEPEWEEDDIT >RHN67084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23628322:23628831:-1 gene:gene15225 transcript:rna15225 gene_biotype:protein_coding transcript_biotype:protein_coding MHDIFKNVNIVACSNMLDCVIVEFNCLYVLFCAKLHYFDVVIELTILCYIYLWICLEFN >RHN82557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54729069:54729723:-1 gene:gene6730 transcript:rna6730 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDTSTKDSYETYCNGGKFPPPSLPTSRGMLPLCRCLPMKPMILYIATTLENQGRRFWRCRDWQKKYKTCNEWIWDDELGPATRPMTQCYSAVSNSKEADSAPLVREEGNAHLIREDESAPVNGPVIREAESAKSGRYTNQHCNCGELWEKKKDKWKMKVLAEKKKVELLKWIIIASWLIFAVFFVKK >RHN72973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12278620:12285649:1 gene:gene8742 transcript:rna8742 gene_biotype:protein_coding transcript_biotype:protein_coding METYDVSQARNQNAYWVEGADINSQFTSPNFEQSGVMYYPAATNYGYYCTEEQTKNAAKQITMAGSGNFFIYSSSNTDVRFGSSLSERDHTTKLWADLFSSLTGNFVECLVHSDLCLCICLHSSIDSVFCLACAHSRYAMS >RHN53962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5774329:5776457:-1 gene:gene28937 transcript:rna28937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MVFSEDEISKLYRIRKTVMEMLRDRNYLVGDFEVNMSKEEFKEKYGEHMNREDLVINKAKKDNPSDQIYVFFPEDEKVGVHTFRTCTKRMNSENVYRAIIVCQTSLTNMALSEIDSKFHLEVFQEAELLVNVRYHELVPEHQVLTDNEKKTLLERYTVKETQLPRIQVRDPVAGYYGMKRGQVVKIIRPSETAGRYVTY >RHN81024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42689507:42690462:1 gene:gene5014 transcript:rna5014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MMDFNKSLLIILSFSSLLIFSNAVPSTRIDDSISTTVPTPTPSSEVIDYIVPEQTDFGLNMPENSASPDDFVFRLEDPNLLGTVSEDIAKNADPEIVKLCVDGESPSLCAATISSLLKGPFDPLKALEIEVDLTLQQAKSVAAIITELLKDPSTDKKAVKALEICQTQYKSMLDAINETVELLGQHNVVDSFYKFSSVISYKTTCEDAFVKSPGVEMPFSRDSSTLFDLGGNCLGIMNTLVNNHKI >RHN62457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43574636:43583613:1 gene:gene25036 transcript:rna25036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wing apart-like protein MIVRTYNRRKPSITGTYSSSSLNDDVSDSLSLSQSQSQDPLYPDFAFSSQDSSSQWSFFDSDPNSIDDFGYGCRREPKRAKNASTKNGFSYPATSTLMEAQEFGEMMEQNDEVNFALDGLRKGQPIRIRRASLVSLLSICATTQQRRLLRSQGMAKTIVDGILGLSLDDSPSNLAAATLFYILTSDGQDDHLLESPCCVKFLIKLLRPIVSTTMKDKAPSLGSKLLSLRQNDDMLKKTTSKFDSSSIAVFSRVQEVLINCKELKATCQNNSQIERPELCPKWLTLLTMEKACLSAISLDETSGAVRKTGGNFKEKLREHGGLDAVFDVTMNCHSDLKNWKDYSSLSTKDLRNEKRLKSLTLLLKCLKIMENATFLSKDNQTHLLGMKGKLSPKATPLSFTELIIIVIKMLSDLCLRRSASPVSVDNKLNDPYIMVSDDSELDQLRDYKENKPISISSSRSYNGLERASSIKNSNLSHNTQLLTCARLERSLSVSETPSTSTTDTYSLKMRINSSTSGSCSSLSKSSYCKKPMTQNSSRKNVHFTEGASVVVLEDSHDPFAFDEDDSGISKSSYCKKSMTQNSSRKNVQFMKGTPVVILEDSQDPYAFDEDDSGLSKSSFCKKSTSLSSSRKNVHFTERTPVVILEDSQDPFAFDEDDIAPSKWDLLSGKQNTTHSKKHKDANREFENERQSQTKMIQEELSDGNINCSSSDISYEDSSLLTDCLLTAVKVLMNLTNDNPIGCQLIATHGGLEAMSMLIAGHFPSFSSPSSFAQIKENPLRTEKDHLCDRHLTDHELDFLVAILGLLVNLVEKDGRNRSRLAAASVLLPSSEGLDQEVRRDVIQLLCSIFLANQGESEAGAGEDKKFELNDPAAVLQGEKEAEKMIVEAYSALLLAFLSTESKSIRVAIADNLPDHNLASLVPVLDRFVEFHLSLDMISPETHKTVSEVIESCRIR >RHN62075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40521904:40523523:-1 gene:gene24606 transcript:rna24606 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIRQRLLHTLRGDVFPTEALKKKALELEKKRKKRASKTKDQFIVTVPESLSYLDTASLPMIGVAVGIAVFAKLLMMLDESRSQELLERRIKNAPEGQGTVRMLSREEWDKVRELRPRTPYESKFSRPNSRIRTGEKLRLEDVKDWTIDVFMDGVARAEEYGKQHGSK >RHN80820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41042556:41047362:-1 gene:gene4787 transcript:rna4787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MAISCSNIDTLPEDCVSKILTYTSPLDACNFSMVSSTLHSAANSDTLWRSFLPSDYEDIISRTLNPLTLQSSSSYRHLFFSLCQQPLLLDRGHISFKLDKYSGKKTYILSARELSITWSNDPLYWSWRPSPESRFAEVAEVRTVSWLEIKGKMRTHILTPNTTYVVYLITKVSHRVYGLDSAPAEVSVAMANKVQNGMAYLYNKYEDTMFYENHRKMERNKLMEDNKEIRVPSKRDDGWMEIELGEFFCGEVDMEVKMSVMEVGYRLKGGLIVEGIEVRPK >RHN58275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:523180:525013:1 gene:gene20171 transcript:rna20171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein TIC214 MIYQLLILDRLVGLWLKILNSAIVMGLYYGFLTTFSIGPSYLFLIRARVMDKGTETEIAATTGFITGQLMMFISIYYAPLHLALIRPHTITVLTLPYLFFNFVYKNNKHYYSADSHFYLDLDYGYKNPNSIRKFRIYKVFFNNLFFQLSNPLLFPSSILLRLMNIYLFRSNNKLLFLTSSFLGWLIGHIFLMKCIGLILLVWSKQKNSIKSKLTMRFDKYILLQLRNYVGQIFVVFSFVIVVHYLGRTPVPYLYTYTDEILEYDEKQKDEINGETEIDVEIDSEQEQNGSIEDEEDILSYLFPKKDKTLENIEQDNNLLALEKPLVTTLFDYRKWNRPLRYIKNDHFERVVRDENSQFFFIYVKKLSNEFFKRAKVLDKKYKKYKKFIPVDVFENRIRLSNDKRKIKYLTKIYDPFLNGPFRGQSFSPSIQNETYTTNSILINKIHGLLLINSNYPEFDNSNYPEFDNSNYPEFEQKIDQFDRKFLLTEIGFFFNLISQFSEKSVSSFNFDGLYLFPEHEQVKIYSEEKKRKKNSYSRQLELIRTTKPFLIERNVLE >RHN41844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33539114:33539674:-1 gene:gene48207 transcript:rna48207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MDKILIYVLHIRKDISKEEHNSFLIVATLIATATYQTTLPGGVYQGNAGDHNINNVNTTSLNSNATMPHEYVGKSVINESEFFSLSIFNTVSLLLSTMKINLLTPSRSIGGLLFTPMFWFAYCYINNMRLISPTSATSTLNLAMVIVCTFFYSFVYWSFLIVYKRLKSNGKNKELKIRNRIVGNKW >RHN46124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28963600:28966441:1 gene:gene40567 transcript:rna40567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cycloartenol synthase MWKLKFSSKGEDELIRSVNKHIGRQFWEFDPHLGTEQERAQVEQARKQFNQNRFNNKNSSDLLMRLQFEREKGSKMKLLLDNSNNNNKVKVKKELEDVISEEIVRRTLKRALRNYSTLQVEDGFWPGDYGGPLFLLPSLVIGLWVTGSINAVLTPEHQTEMRRYLFNQQNKDGGWGLHIEGPSTMFGTAMSYVTLRLLGEDTDSGDGAMQNARMWILNRGGATSIPSWGKLWLAVLGVYEWSGMKAIPPEMWLLPDFVPFHPGMLAYNVLIFFYDKEIYIHTHIKKKEKIVQWGDKSNQQTAG >RHN54903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13359374:13363978:-1 gene:gene30001 transcript:rna30001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamyl-alcohol dehydrogenase MQSLLKSVGSKVVKFKIEDRVSVGCFVDSCQSCQNCANNLESYFPGYKKFDLVVTDKTRHYRLILSAICHNYIYGQPYLQCIYTQRMNGNGILSVCLFYIIGRGKDSSLKFNWRDDKETQEMIDFAAEHNVKPDTEISCPLYRFFLKEERNKINVASLHVIKKDKVNIDFTNNISPTSYTQHQMSQILSSYTCVWTQCNPHNLTNILIKCNSLPHLCNNNDNFMIYIYSFLIELFII >RHN71334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58332591:58334598:1 gene:gene20003 transcript:rna20003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MEFAVAKALKPSLRSEFVFQQTLGEELFSFTANTVVAGEDFSVDDLLDFSHGGDILHHDGDEQEKESSLSPSSQSLSEDNNSNSTGASFDSSIFSTELLVPDDDVAGLEWVSHFVDDSLPELSLLYPVQIQTNACPKQHETQHGKTLRFSTEKMKITTKTRTVKNRKPNPRVWAQRPFFPSPSVVFGAPPAKKQKKKPEAQVVGHEAQEEGQLQRRCSHCQVQKTPQWRTGPMGAKTLCNACGVRYKSGRLFSEYRPACSPTFSSEIHSNSHRKVLEMRKRKGMVGPEPGLPTQTQMVTTC >RHN56440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30882870:30883528:-1 gene:gene31845 transcript:rna31845 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGFLCSDELGNDYEEAVSVEWIDECLSLTFKCPCGKGYEVLICANNCYYKLV >RHN57321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38103021:38105543:1 gene:gene32846 transcript:rna32846 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTWALGVVNLKPGILRFSCWSRGFKPQAQVQTHAQIWVILMHLPQEYWRKTALFEIAFGLGTPLAIDDATLSRRFGVFARVLVDVDLSEQLFESVVVEIEGYILSIDIQYEK >RHN58736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4384181:4394014:-1 gene:gene20676 transcript:rna20676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MIHPSKLKSINQTQRYISSENIDNKTNRCFTQTNLSYMSSSTSYIFGDINLDSNQESITFSVEDLNELLDSPKERREYEVFLSFRGDDTQCIIHFTSHFFSSKCRNYRLQRRSFRSKRFVHLNVTTARKEGSRISIIVFSKNYADSPWCMQELIQILECYRTTGQVVLPVFYDVYPSDVRRQSREFGQSFQHLSNNNVEGHGASLKWIDALHDVAGIAGFVVPNYRNECEVIKDIVENVTNLLDKTDLFIADNPVGVESRVQDMIQLLDTQQSNDVLLLGMWGMGGIGKTTIAKAIYNKIGRNFEGRSFLANIREVWEQVSGQVYLQEQLMYDIFKETTTKIQNIESGKSILKERLCHKRVLLVLDDVNKLDQLNALCGSCKWFAPGSRIIITTRDKHILRGDRVDKIYIMKEMDESESLELFSWHAFKQTSPRDDYSEISRNVVKYSGGLPLALEVLGSYLFDREVSEWICVLEKLKRIPNDQVHKKLKISYDGLNDTEKSIFLDIACFLIGMDRNDVILILNGCGLFAEIGISVLVERSLVTVDDKNKLGMHDLLRDMGREIIREKSPMEPEERSRLWYHEDVIDILSEHTGTKAVEGLTLKLPGRSAQRFSTEAFKKMKKLRLLQLSGAQLDGDFKYLSKQLRWLHWNGFPLTCIPSNFYQRNIVSIELENSNVKLVWKEMQRMEQLKILNLSHSHYLTQTPDFSYLPNLEKLVLKDCPRLSEVSHTIGHLKKVLLINLKDCTSLSNLPRNIYSLKSLKTLILSGCLMIDKLEEELEQMESLTTLIANNTAITKVPFSVVRSKSIGFISLCGYEGFSRDVFPSIISSWMLPTNNLPPAVQTAVGMSSLVSLHASNSISHDLSSIFSVLPKLQCLWLECGSELQLSQDTTRILNALSSTNSKGLESIATTSQVSNVKTCSLMECCDQMQDSATKNCMKSLLIQMGTSCLISNILKERILQNLTVDGGGSVLLPCDNYPNWLSFNSKGYSVVFEVPQVEGRSLKTMMCIVYSSSPNGIASVGLQNVLVINHTKTTIQLYKREALSSLENEEWKRVVSNMEPGDKVEIVVVFGNSFIVMKTSVYLIYDEPIGEKIEQCHAPNKNVVGGAENECAAKRVSLQVEHIDDTKQRQKRRKL >RHN48092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44935301:44939225:-1 gene:gene42767 transcript:rna42767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDEEVAASESRKETTEVAPALIAVHPDGHHVAVAVGPDLRVFNFLSNSAVSLLDESVNQPFHKDNIRAIRFGAKGKLFVSAGDDKTLKIWSPENWKCISTVLSEKRVTAVAISNDGLYVCFADKFGLVWIVDLNKNSHDKKPIPLLSHYCSIITSLEFSPDNRYILSADRDFKIRVTNFPKNPLNGAHEIQSFCLGHTEFVSCLAFVPAQENPHSLLLSGSGDSTVRLWDITSGALLYTCEVAIKAGLLESNGNAEEHDHAVTDLCTTLDGLLVAVAIQSLQGIVLLSCNVSAQTLSVAKVVSIAGENFVPTCLANNPSTRELWMVTGVSSLPGYDYPSLSRVLVISGVDVEQEPVVLGDDKIPGGIKLLETLQGTASFDDNAFLVAAEAVKAAMCNLLIKKQYPFENREYRKKTRNDRKLKE >RHN58003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43038174:43045559:1 gene:gene33607 transcript:rna33607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Homeobox domain-containing protein MGEEEPVNTASKTDISMLGNHLDDSSEKKDLEPKGGKIFEDNAVQDLKEDGIKAMEVDKKADGEEVLEMDKLADDGDVVTENAIKAGDGEGIKEDEKVNEGKEIKEDKQADEGEELKEDKKDNGGEERKEDKKVDVGQEVKEDKKVNEGGDIKEEKKADEGEKLKEDKKDDAAVKIKEDKKVNEGEELKEEKKADEGEKLKEDKKDDAGVEIIEDKKVNEGEELEEEKKAEGEELKEDKKVDAGDEIEEDKKDDNGFEDDKLSEETELSKEERESVEAKKPELDAMDEESILEGKDEGSEKEKSQKDREDDKDKVDNKSNEENIKVEKRLKKRGKGKVNGENVKKKMKELKKIEDIPEAKDESIEKERSRDEEVGDKEKVGGENVKKKMKELKEAEPTTPTTNRPVRERKSVERLVESYDRDASKEFQIEEGRGTPLKNIPNVAFKLSRRKVDDTLKFLHTILFCRRGKAAVIKKNILRFSGFVWHENEEKQMIKVKEKFDKCNKEKLLEFSDLLDVPVGNANTRKEDIIAKLIDFLVAPQVTKSVLLAEQEKSAKEKRIAKQGSTGSGTPTSRRSAKSRKKIEDSSIAEKKKTATDTESESEKDEENKEENEIDVSDKSEDEKPIKGKKHIAKQGSSRSGTASSRRSAKSQKKNEDLFVAKKRKTTTDIESESEKEDNKEENENNVSEKSEDEKSIKGKKRKLIAKQGSSRSGMATSRRSAKSRKKNEDSSVAEERKGSTDTEDESEEEQKDEKKVEDNENTVPDKSKDEKPKKSESGDKNDSDNESEDEKKVSERSKTSSRRKESAAQSKAKKATDKTKPRSAQKQTSKKPLSTHSASDHGDSDGSPKVFSRKKKNEKQGKQKTSTLTKSSSKDKAEEKVTGRKIKNKEKSDPSDDQLRKAICVIFKKVDFNTATFADILKLLGKQFDVDLTPRKASVKTIIQQELAKLAEEDEEREQRERRGDA >RHN52931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40461759:40463469:1 gene:gene37670 transcript:rna37670 gene_biotype:protein_coding transcript_biotype:protein_coding MILQISFNSNKRDIVHMQTMRITKVVVLKYASTDYEDYKSSCIEKAT >RHN42488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38771971:38772721:-1 gene:gene48935 transcript:rna48935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MDLNAISPSSQWDWEHQSFFNAKATEYPKLQPPSWSIEQDREINVGLFDTPGGSGIFGSASRSSKSASNSSSLNRNNSKTCIFACGNSHTPELSSVSGEPLLTLLGKRVYIEDVCPKSDSKNLSFSRDLASSLSIGKKCKFNSQNLQFPRCQVEGCGLDLSSAKDYHRKRRVCESHSKSPMVVIDGMVRRFCQQCGSLIKLAVFFEWCC >RHN71592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1208942:1213461:-1 gene:gene7206 transcript:rna7206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TUBBY family MSFRSIVRDVRDSFGSLSRRSFDVKLTGHHHRGKSHGSVQDLHDQPLVIQNSCWANLPPELLFDVIRRLEESENTWPSRKHVVACASVCQSWRNMCKDIVRSPEFCGKLTFPVSLKQPGPRDGIIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTYTEYVISMDADNISRSSNTYIGKLRSNFLGTKFIIYDTQPPCTSAHICPPGTGKTSRRFSKKVSPKVPSGSYNIAQVTYELNVLGTRGPRKMHCIMHSIPNSALDAGGSVPGQPELIHRSLEDSFRSISFSKSLDHSIEFSSARFSEIGGSCNEDDDGKMRPLVLKNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIASTQPPAGAPTPSQPAPPEHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >RHN47830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42866386:42868558:-1 gene:gene42476 transcript:rna42476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNHLRTLGFDCCHNLKFLFSKDQLPSLETLFVVSCGSLESLPLNIFPKLHTLNISGCRYLNLLLNNESSILTLRLKYIHLEGFYDLLALPRWIEGSANTLETLIIEKFLDLKILPEFLATMSHLKRLYFLQCPLLENILSNLPLTSLEDLRIDGCPGLCRKCKPQSGEYWPIIAHIKSVSVGEPIADEEYEVIDLLQERRKKEQRRKERRRRRRNKKPVAIEGEQGVSVEEKKGRAQDQALGKLF >RHN56651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32573699:32575032:1 gene:gene32083 transcript:rna32083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70 MTRILIQIWRWLMHPKVCRFVGFASAVLGLLCYALSSSFNYLFGDWNLLKIFLYGVFSFIISLVVLFANIWRHSRSLRFKAHSAYCLISCAAFAIMSLSLLRQTQCGFVVDLLYIFLGCLIVQLMKVKLQLFILGAGFSYFVIILRSSFSFVDVVIDNEQPTSFQDENLVLLKSFHIHHNQSVLILLVESSNVIDIVLMQLKEYLSDDSELTMSDLNLLINALPLETIDNLNNAVNLMVNVGSMKHLSSVFSSCRTERLAQRLQRSGFQKLSLEGIQKKTLFIGFLKKIATIE >RHN56964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35297020:35300236:-1 gene:gene32436 transcript:rna32436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MTKQHANWSPYDNNGGSCVAIAGSDYCVIAADTRMSTGYNILTRDYSKISHLAEKCVMASSGFQADVKALQKVLSARHLTYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYSFNVLGGLDSEGKGCVFTYDAVGSYERVGYSSQGSGSTLIMPFLDNQLKSPSPLLLPAQDAVTPLSETEAVDLVKTVFASATERDIYTGDKVEIVILNASGIHRDFMDLRKD >RHN80547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38991711:38991911:-1 gene:gene4486 transcript:rna4486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diadenosine hexaphosphate hydrolase (AMP-forming) MSDLVARTGRHQQHYEDVYRLIAGCVPFRYKSCDNDSSSEKIVEVLMINSPSGQGVLFPKGGWENN >RHN69460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43594711:43596481:1 gene:gene17936 transcript:rna17936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative quinate O-hydroxycinnamoyltransferase MAAFQVLSTHTIKSPNSSDQKIDLTPWDLRYLLIAPTKKGLLYHHPLVPNQIQHLKHSLSSTLAFFPPLAGRLEITDHKDNTVSCSVTCNNAGALFVHAAAENTCVGDILGCTYVPPIVDSFFPLTRVKNYEGTSQPLLAVQVTELVDGFFIGFTFNHAVVDGESTWLFINSWAKISRGCCNQVSKLITLERWFPSGIQHPIRFPFTIEPQKNDSDDNEKFNPSERLFHFTKEKIAQLKLKANMEIGTNKISSLQSLFTHLWRSVIRSKQFDPHEEVYYMVAIGARSRFVPPLPEDYFGNAVEVCRVTMKAGELLEDGGLGKGAWAIHKMISLQSNEELKNHYVSWLENPNIVRFFTAAGKNTLASSNSPWFDVYGNDFGWGEPVAVRSGNKISGMITVFAGKEEGSMDFQVCLPHKILEAMGNDHDFMDVVSN >RHN39458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7267151:7267947:-1 gene:gene45482 transcript:rna45482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin MKMIQIVLFFFALISFTTNAIDSDDFCVANLFLTSDTPSGYPCKSEKFVTADDFMYSSLAAAGNTANPFKVGLTSVNVSNLAGLNGHKLSAARIDIGINGSVPMHTHPDATELLIVIQGQITVGFITPTKLLVKILNPGDVWVFPIGLLHFQFNSGDGNAIAYAAFSSSNPSIHVISPLLFGNNLPTSTIQKTTLLDAAPIMMLKGVFGGSG >RHN61139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33394821:33397755:1 gene:gene23572 transcript:rna23572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MRYFLFSLFFPTFIITFTYGQEIINTTPPQVGSLNRKDFPEGFIFGTASSAYQYEGAASEGGRGASIWDTFTHRYPQKITDGNNGDVAVDSYHRYKEDVGIMKDMNLDAYRFSISWSRILPDGKLSGGINQEGIDYYNNLINELVANGLQPFVTLFHWDLPQTLEDEYGGFLSPLIIKDFQDYAELCFKTFGDRVKHWITLNEPWTYSQDGYANGEMAPGRCSSWLNPNCTGGDSGTEPYLVAHYQLLAHAAVVNLYKTKYQVSQKGVIGITLVINYFVPLSDNKLDIKAAERATDFMFGWFMDPLANGDYPKTMRALVRSRLPKFTKEQSKLVSGSFDFIGINYYSSCYASDAPQLSNGKPSYLTDSLSRFSFERDGKTIGLNVASNWLYVYPRAIRDFLIQVKEKYNNPLIYITENGINEYDDPSLSLEESLMDTYRVDYHYRHLFYLNEAIKAGVNVKGYFAWSLLDNFEWHKGYTVRFGMTFVDYKNGLKRYQKLSGLWFKNFLTPDNKKVYIDSI >RHN38555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:207517:210417:1 gene:gene44508 transcript:rna44508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monodehydroascorbate reductase (NADH) MGNCVAKSQSQTKDDDSVQHVEFASGNVQLITTKESWNQTLEQARGDRKIVIANFSARWCSPCKIIAPYYCELSEKYPSIMFLVIDVDELTDFSTSWDIKATPTFFFLRDGQEIDKLVGANKPELEKKIAAVNLVPRYQ >RHN56489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31259840:31261757:1 gene:gene31897 transcript:rna31897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative costunolide synthase MSSAAITTVLAFLIFTFTYFLSKLFLHPKQKTINHKKPPGPPSLPIIGNLHILGTLPHRTLQSLSKQYGPIMSLQLGQVPAIVISSSKAAESFVKTHDIVFANRPELVGAQIMSYGCKGLAFSKYDSYWRSVRKLCTSKLLSASKVEMFGPIRKEKLDVLVKSLEKAALEGEVVNVSEAVENVIEDIVYKMVLGRSKYDHIDLKRLVQDVMALIGAFNMADYVPWLGTFDLQGLTRACKRTNKALDEVLEMIISEHEQTTNTDKTRHEDFVDILLTFKHQNIDHGSEQNHVIDRTNIKAILLDMVVASFSTSATTIEWALSELLRHPRVMKNLQDEIQNEVGNKRMVEEKDLKNFNYLDMVVDETLRLHPVSPFLLPRECRENITIDGYFVKEKTRVIVNAFTIARDPNVWSENAEEFCPERFINKKLNYEGQEFESIPFGFGRRRCPGIQLALRTVRLSIAQLVHCFNWKLPYNISPSNLNMDEKFGQSIHRAQHLHAIPSYRLAGDGKLE >RHN55443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18441729:18443430:-1 gene:gene30624 transcript:rna30624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl hydrolase, five-bladed beta-propellor domain-containing protein MDSSSSSLTSMALPSLKPYNLKNPTSTLIQSWSCSHKPNTLTLVSSNHSLTTKFQSFLLHCSTKPDTNTNNDTHNKNNNEPNSLSNKNHKESQQEEENEAFSSASSSLQSSSSTSSLCSRGLVFDLGFSNSWDSEDIGSPVVKRFQSDEEERWYMWYHGRPKGKPSNDLIGLAISSNGVHWERGGGPAKSSSDVGFVMNCGKDWWGFDTRGIRPSGLLIMSSYRVRGSNAVYWLYYTGYGSESVEFRDHSFEFSFDNPNGLNHENFGKGKILKSLPGLAISQDGRNWARIEGEHHSGALIDVGKEKDWDSLFISSPQVVYHGNGDLRMYYHSFDKEKGEFCIGIARSRDGIRWLKLGKIMGGGKVGSFDELGVMNACVTRNKSGGNYVMVYEGVGSNGRRCIGVAVSPDGLMEWVRVQDEAILMPSDEGCWDDKDVGSPCFVYMDNEENEWRLYYRGVGNGGRVGIGMAVSEGKDIRSFRRWTGFHV >RHN74608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35108820:35109790:-1 gene:gene10703 transcript:rna10703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MVEDLFVCNALVNLYAKCLRVTKAQTLFNLMPRRDVVSWNSLSVCYVNSGFPQQGLKVCNEMGQNGVKLNPVTMLSILPVCSDLQDLKLGKEIHEFVMRHSMVENLFVCNALVNLYAKYLYAISWNGVLTAYFTNKEYKKGLSLFSQISKDGVTTQQMKLHGMLSVVTDGCVENGRIEEAMEMFRKMQTMGFKPDEITISTFFLFEKMLLSRGKPDFVTFTCVLSACSHSRQVEEGVQIFNSMSREHRVEPDAKHYSCVVDIYNRVGRLDEA >RHN39260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5374981:5376094:-1 gene:gene45268 transcript:rna45268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prenylated rab acceptor PRA1 MTSTYGTIPTSPTPPKLEYITRGKQRIKAGLGTRRPWKTMFDIRSLGIPTGVPEAISRVRVNISYFRMNYTMVMLLILFLSLLWHPYSLIVFVILMAAWLFFYFLRDQPVILWGRLVDDRIVVVLMAFVTVALLLLTQATVNIVVAVSVATVVVVAHGVFRKTEDLFFEEEEEVIVSVAS >RHN76997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3095482:3102703:1 gene:gene404 transcript:rna404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative folate-biopterin transporter, major facilitator superfamily domain-containing protein MQEEENQEDSIPESKQQNEERKSKGVRIWKCFCIPSEWFKMLSREMHWSFVFGVVVVYGISQGLGGALAGVGTKYYMKDVQKVQPSEAQVYAGITSIPWIVKPLWGLLTDVLPIFGYRRKPYFIFAGLLGATAMLLLSFHENLHLVLAILALTAGSAGVAIADVTIDACVAQNSISHPSLASDMQSLCAFSSSIGALLGFSISGIFVHLIGPMGVFGLMTIPAGLMILVGFLLDEPRIQNFSYRQVSQNFVDAGKAMWTTLKNQDVWRPCLYMYLSFALSLNILEGMFYWYTDSKDGPSFSQESIGFIFSISSIGSLLGAILYQYALKDYAFRDLLFWTQLLYGLSGMFDLILVMRLNLKFGIPDYVFVVIVESIAQMTSRLKWMPMLVLSSKLCPSGIEGTFFALLMSIDNAGLLSSSWGGGFVLHVLKITRTKFDNLWLAILIRNILRLTPLCMLFLVPRVDPNSFILLPKENVDSKVIAIDDASETKDVELVSLVHSVDGNI >RHN58841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5369933:5374136:-1 gene:gene20795 transcript:rna20795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MSLMAMRSSSSSFSYGFTYDVFLSFRGTDTRYGFTGNLYEALRVKGIHTFIDDRELQRGDQITPSLLKAIQESKIVIIVFSNHYASSSFCLDELVHIIHCSKENGCLVLPIFYGVEPSHVRYQTGSYGEALAEHEEARKKEKYKDNMEKLQKWEMALKQAANLSGYHFNARTGYEYEFIQMIVTYVSNKINHTPLHVADYPVGLEPRVLKLYSLLDIGSNDKVQMLGIYGTGGMGKTTLGKAIYNFIAHQFECLCFLPNVRENSTKVDGLEYLQSKVLFKTIGLEIRFGDISEGIPIIKKRLQRKKVLLILDDIDKLKQLQVLAGEPDWFGLGSRVIITTRDKHLLKCHGIDITYEVDGLNENEALQLLRWKAFKNSTVNPSYEGILNRVVTYASGLPLALEVVGSNLFGKDIEKWKSLLDEYERIPNKEIQKILIVSFNNLGEYEQSVFLDIACCFKGYSLDEVEYILCAHYGYCMKYHIGKLVDKSLIKIQLSRVTLHDLIEIMGKEIVRKESVIEPGKRTRLWFCEDIVRVLKENTGTGNTEIIHLDFSSIKEVVDWNGKAFKKMKILKTLVIKSGHFSKAPVYFPSTLRVLEWQRYPSQCLPSSIFNKKFENLKILKFDYCEYLIDTPDVSCLPNLEKISFQSCKNLVTIHNSTGFLNKLKFLSVEGCCKLRYFPPLELISLENLQISRCKSLQSFPKILGKIENLKYLSIYGTSIKGFPVSFQNLTGLCNISIEGHGMFRLPSFILKMPKLSSISVNGYSHLLPKKNDKLSFLVSSTVKYLDLIRNNLSDECLPILLRLFANVTYLYLSGNNFKILPECLKECRFLWSLQLNECKSLQEIRGIPPTLKNMSALRCGSLNSSSRSMLVNQQLHEGGETKFCFPSSRTETIPKWFEHQSKQPTISFWYRNNFPSIALFFSTKWMHNKDSNSIDTKFRGNLFINGHTCTFVGNGNPFDPSIKKKRITLIQG >RHN51020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12949928:12951786:-1 gene:gene35381 transcript:rna35381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MTQQHRHQSAYRGKVIKKFAQTKKKSSKSKSKLTMDYQTNSNPLVLNQSKGKCLLDDLRYNKIKKNLVCKPEEDDDQEFYLNYQPWTPIEYDGLTIGFEIPEWIPITFRPPSSITMNDICAYTAAYIFMYDEEDSNGLVLIRSTTGAYGDRKALRTLMPKCHVDQKVMNLVVLRQNWLLDSISKVNIVWYLPTLFSIFIPINDRGIHWYPMVVDFLENKIVLLDSLPFPEKEGHRLLEVLKLVYDLVVTATRTKLALQLVQCVVKIGVIKDCGVLNLSGRKKKGVGQSLNGDGI >RHN64868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62580507:62587404:1 gene:gene27736 transcript:rna27736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative initiation factor eIF-4 gamma, MA3 MQQGDQTVLSLRPGGGRGNRLLAPRFDSSSSNSPAFGSFSSDLSHLRPNAGASSLLAFKVGDSQFESRERVRYTKEELLHIRETLEETPEDILKLRHDIDAELFGEDQSWGRVENNPPTQIQNRYSEPDNRDWRGRSAQPPANADERSWDNIKENREFGNTSQVNRQDQPRTNQGGGPAPTLVKAEVPWSARRGTLSDKDRVLKTVKGILNKLTPEKFDLLKGQLIDSGITSADILKGVISLIFDKAVLEPTFCPMYAQLCSDLNEKLPSFPSEESGGKEITFKRLLLDNCQEAFEGAGKLREELAQMTSPEQETERRDKDRLVKIRTLGNIRLIGELLKQKMVPERIVHHIVQLLGAADSNVCPAEENVEAICHFFNTIGKQLDESPKSRRINDMYFGRLKELSTNPQLAPRMKFMVRDVIDLRASNWVPRREEIKAKTISEIHDEAEKNLGLRPGATAGMRNTRVTGVQGNTGAGGFPIARPGTGGLMPGMPGARKMPGMPGIDNDNWEVPRTRSMPRGDMSGAQTGGRGQSPYLSKPSVINSKLLPQGSSGLISGKNSALVLGGGTPSALPSNIVSGTEPAPQIPSPVKPVSAASPEKPQAPAVKLNIDDLHRKTVSLLEEYFNVRLLDEALQCVEELKAPTYHPEVVKEAISLGLDKSPPRVEPVANLIEYLFTKKILTARDIGTGCLLFASLLDDIGIDLPKAPNNFGEIIGKLVLSAGLDFKVVKEILKKVGDDYFQKAIFNSAVQVISSASGQAVLDSQASDIEACQALFE >RHN62070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40477759:40480209:-1 gene:gene24601 transcript:rna24601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MCKLNNLLPFVLIIITLSCYLNSSTAKAASSSSTYNVINYGAKPDGKTDSTKAFGNVWAKACSSPYPASIYVPQGKFLLGTATFSGKCINKAISITIDGTLVASSNYRVTGKNGNWLKFQHVDGVSIRGGLLDGQGTALWDCKNSDKSNCPIGATTLEFSNSKNIMISGLTSMNSQMFHIVFNGCENVKMQGVNIMAAGNSPNTDGIHVQMSSHVTIVNSKIRTGDDCISIGPGTSNLWIENIACGPGHGISIGSLGWKLNEPGVQNVTVKTVTFTGTQNGVRIKSWGRPSNGFVRNIFFQDAIMVNVQNPIVIDQNYCPNNKGCPGQASGIKVSGVTYQNIHGTSATQVAVKFDCSSKYPCSGIKMKDVKLTYKNQVAEASCNHAGGAALGSVQPESCL >RHN45145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12267555:12268630:-1 gene:gene39361 transcript:rna39361 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYFAVKNNSISQEHAFTTLSPHSLIEKLNHHHHHHHRNMSSQVNSDIPPSSTPVVMLSAPDTQDNPQEAFHTPPEQASLHSSDVNVVRHSAGSQVLDDPSDHSVFLDEIRKFHDDFQLGFGEDFLPVNDDDSCKDIPMDKNSVPEKNAVNDDDFLLFCREIDRFSSYQVGEGSGYVNGDNRSSVEVGEVSDLRQGPVLDLLPDSIRSRLEKVVAGVSHERIKRFTMFEVLKALKKKLTLLETAKRSGFAFPESDPFFEGIDGSFMNE >RHN53438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1664281:1670799:1 gene:gene28345 transcript:rna28345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative O-fucosylpeptide 3-beta-N-acetylglucosaminyltransferase MVIMTQPVKTIISSFRDHDKNSRLSPLSPCRHGTRQWRPTRLGSLAVAIAALLLFTTAWLSLVFSDATTCCFHRVKDWEGRHHFFPWNKCAPLHLSKATIPPTLQFRTTLDHPHNGSSIAEQEGLSVQHIVFGIAGSSQLWKRRKEYIRLWWRPNDMRGHVWLEEKVVEEHGDELLPPTMISGDISYFRYTNPIGHPSGLRISRIIKESFRLGLSDVRWFVLCDDDTIFNVNNLVDVLSKYNSSEMIYIGSPSESHSANTYFSHSMAYGGGGIAISRPLAKALYEILDECIERYPGLYGSDDRLHACITELGIPLTREHGFHQWDIKGDAHGLLSSHPIAPFVSIHHVEAVNPFYPGLSSLDSLKLFTKAMRAEPRSFLQRSICYDHSRHLTFSVSLGYAIQVLPNIVFPRELERSERTYSAWNGISQRNEFDFDARDPHKSVCKKPIRFFLKDTGREGNASWGSYVRNKDKDDFKRRLFCFPNFPPLHNVRKIQVVAQPLSNNWHLVPRRLCCKPSQTSKEMLQISVGQCGNWEGAF >RHN47154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37539071:37543931:-1 gene:gene41714 transcript:rna41714 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-Xa family MVLSSRIFSTPIIVSFSLLVISCGITYGTETDILCLKRVKESLKDPNNYLQNWDFNNKTEGSICKFTGVECWHPDENRVLNLKLSNMGLKGEFPRGIQNCSSLTGLDFSLNSLSKSIPADVSTLIGFVTTLDLSSNDFTGEIPVSLANCTYLNSIKLDQNQLTGQIPLEFGGLTRLKTFSVSNNLLSGQVPTFIKQGIVTADSFANNSGLCGAPLEACSKSSKTNTAVIAGAAVGGATLAALGVGVGLLFFVRSVSHRKKEEDPEGNKWARILKGTKKIKVSMFEKSISKMNLSDLMKATNNFSKSNVIGTGRSGTVYKAVLDDGTSLMVKRLLESQHSEQEFTAEMATLGTVRHRNLVPLLGFCLAKKERLLVYKNMPNGTLHDKLHPDAGECTMEWSVRLKIAIGAAKGFAWLHHNCNPRIIHRNISSKCILLDVDFEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYTTTLVATPKGDVYSFGTVLLELVTGERPTHIAKAPETFKGNLVEWIMQLSVNSKLKDAIDESLVGKGVDHELFQFLKVACNCVSSTPKERPTMFEVYQFLRDIGSRYNFITEDEIMILTDNGDAGKLEELIVAREGHN >RHN57881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42369689:42372150:-1 gene:gene33472 transcript:rna33472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MIHRQIITFNKRMVKITHALFFVLCLMTSSIGHMVDGRHSSLNEDFERQLNLINRSHVKSIQRKPTFERKINETSAATSPTKHAYGFEKVRCPKGTVPIRRIIKDDLIRGKSLFNEHSLNENDGAISHYANVFLNSKGGPYYGVGGTTSVYNPEVVKGQSSAGHVFVQNGEGDGTNKIVVGWHVSPLLYNDGGTYIYSVWTPDNFKTGCYNMLCPGFIQTDQSYYPGMDVGETSTYGGVMIELPISLHQDEKGNWWLHVVDKDIGYFPAALFSKVGWGGFTVTPTNALSPPMGSGHFPN >RHN57480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39431905:39436725:-1 gene:gene33027 transcript:rna33027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAKKRKLRSSDPEPTKQAEPEPQQEEVAEEEPTTQEEENTPIEEDQPQNEGEGEEEPEEEVAEEEEDDQQGEDETLENQQQQDGGEASNNAAVSEQTVTVEANGGGENNNGEEEEDLELEDEPVEKLLEPFTKEQLHALVKQAVEKYPDLSENVRQLADVDPAHRKIFVHGLGWDATAETLTTTFSKYGEIEDCKAVTDKASGKSKGYAFILFKHRTGCRRALKQPQKVIGNRTTSCQLASAGPVPAPPPNAPPVSEYTQRKIFVSNVSSDIDPQKLLEFFKQFGEVDDGPLGLDKATGKPKGFALFVYKTVESAKKALEEPNKVFEGHTLYCQKAVDGPKGYNKGGHYQQQHHHQPHHSHHHHHGGGGGHYNPRKEKKYSHGGGGPSHGGHLMAPTGPAAGGYNAGVPPQGLNPALGQALSALLANQGAGLGLGNLLGGLGGAPVNQAGPPAAYGNQAAMGYGQPGLQPQYQNPQLGQSGGVRPHPGPGGAPYMGH >RHN65348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1376429:1377174:-1 gene:gene13175 transcript:rna13175 gene_biotype:protein_coding transcript_biotype:protein_coding MQYFREKKYIDHPFGTLFCTTADGFSLFKPFLKVVVVKECGGEIERSEGDLWPPLWWRSVTEVVVADEKERGSGGEIEMKNK >RHN65315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1160476:1162955:-1 gene:gene13140 transcript:rna13140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MAMRSRTPTSRTKPSPNPVYETFQPKSELKENEEAYFLHIHLPGFIKERINIKFVGLSRTLRITGERQLLGSNKWSLFDQSYPVPENCEVEKLQGKFENGTLIVAMPKKYPSLKSQVETTKEKIVPSPRTPKGTNIPSKPPTRVLEEPIVRDKKVPSSPSNIVTGLNDLNKLKEQKGTQDTFPSQSPKGKLKALEPFVESRPQNVYEENNVPKGKSQAIGAKAQKSQEEIEPRFGFKMDPIKQIDDEKIQEENRKKSILETIRKQLHEDEKKSVANKKIEEDKKTYESSKLDQQYIDHNMFLEGKEIKARKESSKGEEYFAPKDAKKEKDTTIEKTKRYKKDEKYTKEKGIFKEVATSASQVVKRIGEGKLNEQEKPLVANMGAAILVIVALGAYATYKFTSSSKN >RHN40061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12912706:12913155:1 gene:gene46156 transcript:rna46156 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSENFDLILFVDFCCVNPKINRFFFFTGSTFLSLFFFLKKKRLCDRA >RHN62032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40201783:40205218:1 gene:gene24558 transcript:rna24558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphodiesterase I MIPHTILFTLLLSSTFTSTLAQSKPTLTVTPTTLTKSGDTVTLRWSGIQSPSDLDFLAIYSPPTSAHKNYIGYLFLSKSPTWQSGSGNLSLPLINLRSNYSFRIFHWSQSEINPKRQDHDHNPLPQTHHLLAFSDEVSFPSLRPEQIHLAFADEEDAMRVMYVTGVPKKTYVRYGEREDMMDRLVVANVKRYEREHMCDAPANQSVGWRDPGFIHDALITGLDKGRRYYYKVGNDNGGWSATHSFVSRNSDSNETIAFLFGDMGTFTAYNTYLRTQDESISTMKWILRDVEALGNKPAFISHIGDTSYARGYAWLWDHFFAQIEPVATKVAYHVCIGNHEYNWPLQPWKPDWANYRTDGGGECGVPYSLRFNMPGNSSEPTGTVAPATRNLYYSFDMGAVHFVYISTETNFLPGSNQYNFLKRDLESVDRNKTPFVVVQGHRPMYTTSNEFRDAALRGKMVEHLEPLLVNNHVTLALWGHVHRYERFCPLNNFTCGNGVGRRAGEKGHTIHLVIGMAGQDWQPMWRPRPDHPDVPIYPQPKRSLYRGGEFGYIRLMATKQNLVISYVGNHDGEVHDTLEILESGEVVSGGGGNDNVNGGIGSAKPEGQIKESTLSWYVQGGSVLVLGAFMGYILGFVSHARKKQPESRSGFSPVKTEET >RHN40806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21441011:21452015:-1 gene:gene47020 transcript:rna47020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA recombination and repair protein RecA MDFVFFTPKPHTFILKPPSSSSLLFHPIKKFHSLPPLFASSSSKHVKIQCELNGSLSADFDARFVDRQKALEAAMNDINSSFGKGSVTRLGSAGGALVETFPSGCLALDFALGGGLPKGRIVEIFGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKAVGVDVENLIVCQPDHGEMALEIADRMCRSGAIDLICVDSVSALTPRAEVEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASVRLEIRSIGKIKSAKGDEEVGLKVRVRVQKSKVSRPYKVAEFDISFGEGVNKLGCILDCAEMMNIVLKKGSWYSYGDHRLGQGREKTLQYLKENTHLLEEVEKVVRSSMNDGTNQTTFSHTKNSPMLPQDEDIFEESL >RHN62216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41783550:41784871:1 gene:gene24768 transcript:rna24768 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRISFSNDFVVSQQAIKHENIYREAPVSSDFEFSVKNYSMISADQAFFKGMLLPLSSEGSKKVTIRDELLNDDDSPKWPKSLSRWKERLGLKRSSASKKDKEKQKMQKSAANQTVPSFGQGETTVNKESMLSQELCYEGGLSCRSK >RHN41600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31406828:31407583:1 gene:gene47938 transcript:rna47938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MNKLGVNVGLLVTLITLVIVYTMNKLGVNAYTMNKLGVNVGNNTFNWSGLNCKSFECLKGKKLSRDCVNCFDLVSGGNENQRFVKSKSKNDFLVDDVLALGNGGIRMGFDIGGGSGSFAAIMFDRNVTVITNTLNVDAPFSEFIAARGLFPLYLSLDHRFPFYDNVFDLIHASSALEFLMFDIDRVLRAGGLFWLDNFFCFSEEKKQTLTRLIERFGYKKLKWVVGEKVDSFGSGKSEVVLSAVIQKPVKG >RHN47058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36850981:36851474:-1 gene:gene41612 transcript:rna41612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MNPGLVYDCTPQDYVNFLCGLKFTKRQILTITRSSSHGCENTSLDLNYPSFIAFYNKKTRSMVHTFNRTVTNVGDGAATYSAKVTQPKGCVVRVLPEILTFSYRNEKQSYYIIIKCDMYKKKYVSFGDLVWIEDGGVHTVRSPIVVAPTGII >RHN67894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31237196:31238202:1 gene:gene16139 transcript:rna16139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 18S rRNA (adenine(1779)-N(6)/adenine(1780)-N(6))-dimethyltransferase MSEISVIQGDVLKTELPYFDICVANIPYQISSPLTFKLLNHQPAFRCAIIMFQREFAMRLVAQPGDKLYCRLTVNTQLHARISHLLKVGRNNFRPPPKVDSFVVRIECER >RHN77286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5738457:5740012:1 gene:gene726 transcript:rna726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MEEEDREYCCSMNSRTTNSPIAIDHKNNNNNKGMKKEKKAMKPYRGIRMRKWGKWVAEIREPNKRSRIWLGSYITPIAAARAYDTAVFYLRGPSANLNFPELLFKDQQEDEENLQQHGNMSADSIRKKAAQVGARVDAIETNSLINHHHHHHVSSNNHVHSNSTSSLKPDLNEFPKPEDC >RHN59011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6640654:6643640:-1 gene:gene20992 transcript:rna20992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor EF1B/ribosomal protein S6 MAVTFYNLKAESGLKKLDEYLLSRSYITGYQASKDDITVYSALPSVPSYEYGNVARWYKHIDALLRISGVSGEGSGVTVESSLVAEDAVATPPVSDTKAAEAEDDDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVQMEGLFWGASKLVPVGYGIKKLQIMLTIVDDLVSVDDMVEDRLTAEPINEYVQSCDIVAFNKICKICHSYGMEIMVVGRHCNY >RHN65648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4083297:4090384:-1 gene:gene13514 transcript:rna13514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-disulfide reductase MINPFTQKPSFSFLTQKLSLSLSKIFHLPSSMALFHSYTLPHHKLSTLSPFSTFSSKPLSVSPSSSAFSSSTHQHPYPLTISTDFNNRKLLCKPPKGKHVKEDYLVKKLSAEEIQELVKGDRNVPLIIDFYATWCGPCILMAQELEMLAVEYENNAMIVKVDTDDEYEFARDMQIWPIRTPLIHLR >RHN75514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42885340:42886757:1 gene:gene11734 transcript:rna11734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative The fantastic four family protein MVMQNSHSKITSELDIWSSILNQKNKDEASQSKTPPYIHPLVKNSNNYLSAKSLEICTESLGSETGSDGFSSYTSYEDNNSNEDENLKEKADMVKKPRCYPPPLPSLFSHSQPLQMMPRRDNGRLSLFLQVVSIPSPNKFFAKRQNGRLILTFATNDEEEIDEDFEEDKSVIEKTQILSSEITNVHSLELTSVNKTIGLVNKRPKRSKFNQVSNFDDGNIVQHGSILPMINGYEYYWRNKATGNVFSRNMNIYQGSNEASQDLPHLFVLSGKNKDKLVHDLRFCKDYRATRSFLLRDPCYIAT >RHN62399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43144403:43146809:1 gene:gene24971 transcript:rna24971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MFGNCVDEKTVITCNKLTQAEIDDLKVSMASSSGGGNRVMEKPGQELLQQQQQALRCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRLKRPNHSSSNIDTATASPSSSTPNSANSNPPSQQQQQQHHSFDIASTSNHINSLLYGNSSCHDVMNFPFATRFNSTRVSSSSSGYDHLQQQQPQVNGLGLGFSSGVVNLNHHDDYRNGFGSNNNNYSSIFGSSSTSTNDNSMASTTPVMASLLNSTLLQQKFMSAAGGGMKGGDPFHHEAGMMDSKEVKLGEGLQNRLDQWSNNMNVPNGGVAFQNQMENMGLSDSSSLYWNNNNTNNNNNNNNNNNTAATGLSSVWNTDHQPNNIGHNSVTSLI >RHN65464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2437375:2441701:-1 gene:gene13305 transcript:rna13305 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLDNILFVEEWLKRSCGNKFTSETSRQPTTTSAKSIIQAWSHLRNTLQSTSSSFNQHHLHQHLNTLLNSQTSLHVADPQAKLLLSILTSSNFSLSHQSFPLCFRLLYIWIRKSTKPTKQTFDIVDSVVEFLSNLFLSSTSQFHFGNNHVLLFSEAILLLGAFSFVHSLSQNTKNLCLDILSRLLVDKCRIVCLFDELVPNVLAGIGYALSSSVNVHFVRIFDCLFKIWGKDDDGPRGSAVHGLMVLYLFDWIASNLINFGFLDKVSVLVRETFESFKENYASFAVFMSGIGVLRATDRYASSTGMKVDVLTRMRTSAIIRVEALVSDLVSRTLRFRNSGNDLQDRLLLQCVTLGMTRTISFSNHSSLFVCLGLSLLTEMLPLPRLYESVFELSPSSGGLKVNEIKEHLDNILFKEAGAVTGVFCNQYVLADEENKNIVENLIWEYCRDIYFGHRKVATHLKGKEDVLLTDFEKIAESAFLMVVVFALAVTKHKLSSKFAQEIQTEVSLKILVSLSCVEYFRHVRLPEYMETIRKVIASVNKNENACTFFVNSIPSYGDLTNGPDQKTKYFWSKDEVQTARVLFYLRVIPTLIECLPGPVFGDMVAPTMFLYMEHPNGKVARASHSVFTAFISMGKESEKIDGVSLKEKLVFHYIQVSLSGYPDITPFEGMASGVVGMVQHLPAGSPATFYCIHSLVEKANQLCSEVFTHEADAWKQWQGEPEPSKKLMDLLLRLVFLVDIQVLPNLMQLLAQLITKLPQDAQNIVLNELYSQVADSDDVVRKPMLVSWLQSLSYLCTMASNQSTASKKNNSEDPISAGRITAHL >RHN62287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42334625:42347293:1 gene:gene24845 transcript:rna24845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WW domain, SAC domain-containing protein MESQGGLRDTSVVVVTLDSDEVYIIVSLSTRTDTQILYVDPTTGILRYEAKRGFDLFHSQKEAYEFVTNGSRTGCKSRILGRAILGYAALGNFAFLLIATRLIASIPNLPGGGCVYTVGESQWIKIPLQNAQVQGKGEVKNVMELLELDIDGKHYFCETRDITRPFPSRMAVNQPDPEFVWNAWFSTQFVNVGLATHCVNLLQGFAECRSFGSSGQLEGVVALTARRSRLHPGTRYLARGLNSCFSTGNEVECEQLVWVPKRAGQSVPFNTYVWRRGTIPIWWGAELKITAAEAEIYVSDCDPYKGSVQYYERLSKRYDTRNLNIRAGETSNRKALVPIVCINLLRNGEGKSECILVQHFEESLNFIRSTGKLPNTRVHLINYDWHASVKLKGEQQTIEGLWRLLKAPTISIGISEGDYLPSRQRINDCRGEVICNDDFVGAFCLRTHQNGTVRFNCADSLDRTNAASFFGCLQVFMEQCRRLGISLDSDGALGYHSMNNNYGGYTAPLPPGWEKRSDAVTGKTYFIDHNTRTTTWMHPCPDKPWKRLDMGFEEFKRSTILSPVSQLADLFLLAGDIHATLYTGSKAMHSQILNIFNEDTGKFKQFSAAQNMKITLQRRYKNAIVDSSRQKQLEMFLGMRLFKHLPSISLQPLHVPSRPSGFFLKPVANLFPISGGEASLLSFKGKNVVWISPQSTDVVEIFIYLGEPCHVCQLLLTISHGADDSTYPSTVDVRTGRHLDGLKLVLEAASIPQCASGTNLLIPLPGAISAEDMAITGASSRLHAQDTPPLSLLYDFEELEGEWDFLSRVVAITLYPTVSGRKPLTLGEIEILGVSIPWRDAFTNEGPGAKLIEHVKKFEEEPNPFLSGSDMNPFNSLSTENVSPPDQKGTSPDVLLDLLSGNDPLPHPLAQPVTENFAYEESDPLDFLDQNVGYSGQSDSKISAEDTRHSDTSTEQYLKCLKSLAGPNLQKKLDFIEAMKLEIERLKLNLSAAERDKVLLSVGMDPATINPNALLDEVYMGRLSKVASNLALLGEASLEDKLIASIGLGTVDDNPIDFWNIIRIGETCLGGKCEVRAEIKKSVHSSNLMSSGGVSEPVFFCSQCERKVCRVCCAGRGALLLGGYNSRDVINYNCAPADLPMNRLLARDGIICKRCCQDIVLDTLILDYVRVLTSLRRKDRVEKAAYNALKQIIGSSWDCLLEKKQIPDRQSAGKAVQLLLNGHESLAEFPFASFLHPVETAANSAPFLSLLAPFNSGSWLSYWKAPSSAISVEFGIVLGNISDVSGVTLIVSPCGYSLADAPIVQIWASNKIHKEERSLMGKWDLQSMIKGSSELCGPEKPGTEHKVPRHVKFTFKSSVRCRIIWISLRLQRPGSSSINIGSDFNLLSLDENPFAQETRRASFGGSSESESCLHAKRILVLGSPIRKEIDLNLNSYQSPDKLNLTGFLERAPQLNRFKVPIEAERLMDNDLVLEQYLSPASPLVAGFRLDVFSAIKPRVTHSPLSDVHSPHFSSMFDDRYINPAVLYLQVSVLQDNHTMVIIGEYRLPEARAGTPMYFDFSRQIQTRRISFKLHGDVAAFTDDLSEQDDSGTRISPLAVGLSLSNRIKLYYYADPYDLGKWASLTAV >RHN38790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1984776:1985841:1 gene:gene44757 transcript:rna44757 gene_biotype:protein_coding transcript_biotype:protein_coding MLKISVKLCLQIIKRVHRRYHNLNCLFKSEVPNTAFNFCNLGHVSLDKNFKKRIID >RHN70496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51809803:51811511:1 gene:gene19078 transcript:rna19078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase TKL-Pl-8 family MYRKRMERTQDYLRYCLQIAQENGILEHIIHSKGELQHSPLSVYNVSSITNSPRIPTHSPKHQHHPNLEAIIDQAKINGWYINPTEIQLEDKIGQGTTAEIHRGTWRGFDVAVKCISPEFFRTNANGVEFFAQEVETLSKQRHRFVLNLMGACLDPPNHAWVVTEYLSTTLKEWLYGPGKRRRDRIVPLPPFKERLTRVIEIAQAMQYLHEQKPKIIHRDLKPSNIFMDFNLHVRVADFGHARFLGDGEMALTGETGTYVYMSPEVIRCEPYNEKCDVYSFGVILNEILTGKHPYIETEYGPAKIAMEVVEGKLRPTLPSRDDGEHLGELIDLIRLCWDGTPSTRPSFDTITRILKSYTNRVLH >RHN71159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56843353:56843896:-1 gene:gene19809 transcript:rna19809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MKDPITTDLGSIATPYDYGAGEITISESFQPGLDTIQPTIKAISKTVPGSFSCPKDSTPDHISNINYPSIAISNFTGRGSVNVSRTVTNVGEEDETVYSAIVDAPSGVKVQLIPEKLQFTKSSKKISYQVIFSYTLIPLNDDLFGSITWRNDKYSVRSPFVLSP >RHN51827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26070460:26075242:1 gene:gene36365 transcript:rna36365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSSCCARFRSSTNPISLFPFLRIRLYSHSSFNSNNLDNVVSSFNHMLHMNPTPSIIEFNKILGSLVKSNNNHYPTAISLFHQLEFHGITPSIVTFNILINCYCLLREMDLAFSLFGKILKVGFHPDIVTLTTLIKGMCLNGKVKEALHFHDHVISLGFHLDQVSYGTLINGLCKLGKTTEALQVLRKIDGKLVNTDVVMYSTIIDCLCKDKLVTEAYVLYSEMITKRISPNVVTFNSLIYGFCIVGRLKEAFGLFHEMLLTNILPDVYTFSILVDGLCKEGKIKEAKNVIAVMMKEGVIPDVVTYGSLMDGYCLVNEVNKAKHVLSLISRMGVAPNAHSYTTMINGFCKNKMVQEAFSLFNEMRSVGIAPDKVTYSSLIDALCKSGRISHAWELLDQMHDRGQHANVITYNSFLHALCKNHQVDKAIALVKKIKDQGIQPNINTYNILIDGLCKEGRLEIAQVIFQDLLIKGYKVTLWTYTIMINGLCLEGLFDEAMTLLEKMEDNGCIPNAVTYATIIHALFKNDENDKAEKLLREMIARGLL >RHN50227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5338415:5339173:1 gene:gene34494 transcript:rna34494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain-containing protein MDRNDVTQILNGCGLFADIGISVLVERSLVTVDDKNTLGMHDLLRDMGREIIREKSPKDPEERSRLWFHEDVLGVLFQQIGTKAVEGLALKLPITSSKCFNTKTFKKMERLRLLQLAGVQLDGDFKYLSGKLR >RHN65059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63921353:63922280:1 gene:gene27952 transcript:rna27952 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSASGSSSNEQQGEAPAYEIKGRTMSLEEWELNIQSESPVDFDSLAAHDCDIGKYYEKQGLGRYFNLLNGPTYQTLVRHFWVRASIYDREAAKIEEDEKVLLNPELKGKSRAEMGLETFSKTQIRSSIMGIRVWITEDTIAFVIRRPAEGEHEAGISKPKDSPWNAIVNRTLYNKVKDFAYSDMNTKTKVMLKIQNENLLPKGGGGDQPSLEHKILLHFVIKGVEANIPRYIFRHMVHQLRESQLNKRSWVPYGRLLSEIFYQGGIIEMLKEAQIFTDEQLGTQKM >RHN69154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41439771:41440261:-1 gene:gene17587 transcript:rna17587 gene_biotype:protein_coding transcript_biotype:protein_coding MISIYLIDEVCSVCRKTYLGTFGGMRSGISVKKEGHVNFLTDPLDRRWTLRSVDVMMYGWVGGKHVCVDLIGVSSLMRLEVEIFTVGHIALQVVSNKVTKHEEACSDNQHDFISFTFDGFGFIALEVVDLLQRVQGSCTVMS >RHN56850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34197925:34200588:1 gene:gene32309 transcript:rna32309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate decarboxylase MQNRCVNMIAHLFNAPLEDSEPAVGVGTVGSSEAIMLAGLAFKRKWQNRRKSEGKSCENPNIVTGANVQVCWEKFARYFEVELKEVKLRDGYYVMDPEKAAEMVDENTICVAAILGSTLNGEFEDVKRLNDLLVEKNAETGWDTPIHVDAASGGFIAPFLYPELVWDFRLSLVKSINVSGHKYGLVYAGIGWIIWRSKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYRNVMENCRDNMMVLKDGLEKTERFEIVSKDEGVPLVAFTLKDHNNFNEFQISDMLKRHGWIIPAYTMPPDAEHVTVLRVVIREDFSRTFAERLVIDITRVIHELDLVPSRVVSTNTITVTGGEEDADNDGTVTIANQSVLETQRKITTAWKKFVMNRKKTNGVC >RHN58642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3604680:3605123:1 gene:gene20570 transcript:rna20570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSLRKVNLSGHCHAIDSLLMHLCKNCEFLEEVTIMNCSSITCIGIASANRERPTLKSISITWRSIKPRYNNINSHFIDLLVSLKGLTCLDLSSSCIPDELLSSIAIGGLPLRRLVLHNCTGYSYAEIFSLLSKCQCIQHLDLQKLTF >RHN61228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34002299:34005291:1 gene:gene23668 transcript:rna23668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MRSIIVRDLVVYRDHVQAIKSGFTSSIFTCNQLIHLYSKHGLLKDAHKLFDEIPHPNAYSWNALIMAYIKAQNLTQARAVFDSAVDRDLVSYNSMLSAYVGADGYETEAVDLFDKMQSARDMIGIDEFSLTTMVNFSAKLRLVCYGKQMHSYMVKTASDLSKFASSSLINMYSKCGLFRDACSVVSGFDGVVDLVSKNAMVAACCREGEMDMALNVFWKNHELNDTVSWNTLIAGYAQNGYMDKALALFVKMTERGVRFDEHTLASVLSVCSGLKHLKLGKCVHAWVLKNDYNSNQFISSGIVDLYCKCGNIRYAELVYAGIGIKSQFAVSSLIVGYSSQGEMMKAQRLFDSLLERNSVVWTALCSGYAKSQQCEEVFKLFRKFVTREELIPDAMIIIRVLGACATQATLSLGKQIHTYILRMRLKMDKKLLSAMVDMYSKCGNIMYAEKSFQLMTDRDRDVILYNVMIAGYAHHGFENKAIQLFHDMLKKNVKPDAVTFVALLSACRHRGLVEQGEIFFISMEDYSVLPEINHYACMVDMYGRANQLEKALEFMRKIPIQIDATIWGAFLNACQINNNTSLVNKAEEELLKIGADTGSRCVQLANVYASEGNWNEMGRIRKKMRVKEAKKLTGCSWIYVENGIHAFTSGDTSHAKADAIYSTLLCLNWKEVKQLHEILG >RHN69590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44711133:44711579:1 gene:gene18077 transcript:rna18077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MDESLYDIFEDRTEFGNSDDLFAILESLEDFTDFPLINQAEAATPKENEVTSTSRLVSQKSTSSLQDSETELETEPKRKRQKLTPTLLEEQINSVDGQQRVSHITVERNRRKQMNEHLSVLRSLMPCFYVKRVSVYLFLWLQKLILNI >RHN59747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12742071:12742220:-1 gene:gene21858 transcript:rna21858 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S19 MIGHTIAIHNGKEHLPIYITDRMVGHKLGEFSPTLNFRGYAKNDNRSNR >RHN70871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54672955:54678793:1 gene:gene19501 transcript:rna19501 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLFRDLSLGHSKRRDSTTPSPPSLKIMPPPPTADDLPSPLGQLSTNLSNEYLTLTAYEIFVAACRTSSGKPLSSSIANSSSNNNNSHSDSPNQNSPLAIQRSLTSTAASKVKKAFGLKSPGSGSKKSPGSGSGSASASGSGQGKLKRPLTVGELMRNQMRVSEAMDSRVRRALLRISAGQVGRRIESVVVPLELMQQLKASDFTDQQEYNEWQKRTLKVLEAGLILHPYIPLDKSNSAAQRLRQIIHAALDRPIETGKNNESMQVLRSSVMSLANRSYDGSLTDSCHWADGIPLNLRIYEMLLQSCFDVNDESSIIEDFDELMEQIKKTWGILGLNQTYHNLCFTWVLFHRFVATGQMDLELLSDADGQLAEVAKDAKTTKDSEYSKILSSTLTSILGWAEKRLLAYHETFDRGNVETMEGIVSLGVAAAKILLEDISNEYRRRRRNEVNVARERIETYIRSSLRTAFAQIMEKADSSRRASRNQPNALPLLAILAKDVGSLAVNEKLVFSPILKRWHPLAAGLAVATLHACYGNELKQFISGITELTPDAVQVLRAADQLEKDLVQIAVEDSVDSDDGGKAIIREMPPYEAEGAIANLVKIWTKTRIDRLKDWVDRNLQQELWSPQANQEGYAPSSVEVLRIINETLDAFFQLPIPMHPALLPEVMHGVDRCLQYYVAKAKSGCGSRNTFIPTMPALTRCTIGSKFQGFGKKKDKSPNSQKRNSQVATNGDSSFGIPQLCVRINTLQWILGEFDVLEKRIITLLRNSESAREEDFSNGLASKFELSPAACLEGIQQLCEAVAYRIVFHDLSHVLWDSLYVGDPSSSRVDPFLQELERNLMFISDNVHEKIRTRIITEIMRASFDGFLFVLLAGGPSRAFSRKDSQIIEDDFKVLKELFWANGDGLPSEIIDRFATTLRSILPLFRTDTESLIEQFRRITVETYKSSARSRIPLPPTSGQWGPSDPNTLLRVLCYRNDEAASKFLKKTYDLPKKL >RHN55958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26038111:26041884:-1 gene:gene31257 transcript:rna31257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase METTTPKNNSQTKLTRTKSSLLRCSSPTTRSSTPSLIPSLGSVNEEDFYDEEEHDKNNKYKNKNKKKKKTRFGSHSRSSSGFGSTRFLGFFSLCSIFFYIFYFFHIGSVGPTSENVLLILIFIAVALYFINRNKRVIHRSVSVLKHSWDGNLKRLGFSSKGSEKPVQWFIGEPGSAEENEKNVKGLLKEGVEVEFYSNGDFYEGEFHGGKCNGSGVYHYYVSGRYEGDWVDGKYDGYGIESWARGSRYKGCYKQGLRHGYGVYRFYTGDCYSGEWFNGQSHGFGVQSCSDGSCYVGEFKFGSKHGLGSYHFRNGDKYTGEYFGDKMHGFGVYHFANGHCYEGAWHEGRRQGYGVYTFRNGDRRCGEWDSGDLKHSLPPQTQAVLTSAQAARRTAENAINHPRNDDQVEKAVMAANRAATAARVAAVKAVQDRMGGKFCDDQV >RHN46926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35818270:35819158:1 gene:gene41463 transcript:rna41463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MQSFCSKASFLFLVFLVITNLLHSEAQQCRPSGRIKGEKAPSGQCNQENDSDCCVQGKMYTTYKCSPSVSTHTKAYLTLNSFEEGGDGGGPSACDNQYHSDDTPVVALSTGWFNNKSRCLNKIKISANGRSVVAKVVDECDSRAGCDKEHDYQPPCNNNIVDASKAVWKALGVPHDQWGGLDITWSDA >RHN81213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44137997:44141203:-1 gene:gene5225 transcript:rna5225 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKPKPKKDAPVRAFGQRSITSTFRTLPPNSNQPSTKTESPRLLLSHFLDRKLHKSSPITHKVPGKSTPFASPLGLRVPTRDEVGNVKQIEEERKGATTDDKVILEMFKHTEEDGKNDFVIPVDVDELENSVADDVQESKKRKNPFEGRHENQTVRKHVVVLGGESRLKPKKRTENDSNGIKQKPYNHYANGRGWWDYDMEGVDNEELGASEAWEGVGSTTLGGIVDWH >RHN54892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13295852:13297418:1 gene:gene29990 transcript:rna29990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamyl-alcohol dehydrogenase MARQPEFEHPNKAFGWAARDTSGVLSPFNFSRRETGEKDVAFKILYCGICHSDVCMAKNEWGISTYPLVPGHEIVGIVTKVGSKVEKFNVGDKVGVGYIIDSCRSCQNCVDNLENYCPAYTTTVGGMHRDGTITHGGYSDSLVVAENFVVHIPDGLPLEAAAPLLCAGITVYSPLRYFGLDKPGLHVGVVGLGGLGHMAVKFAKAFGANVTVISTSASKEKEAKEHLGADSFLISRDQDKMQSALGTLDGIIDTVSANHPVLPLLGLLKSHGKLVMVGVPDKPLEIPVFPLILGRKLVAGSGIGSIKETQEMLEFAAKHNVKPDIEVVPVDYVNTAIERLLKADVKYRFVLDIGNTLKPSS >RHN73053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13046753:13053794:-1 gene:gene8830 transcript:rna8830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-CTR1-DRK-2 family MESPEPEAELLKKIERLEAGQVHLKQEMSKLKLSQRRRSHSVSPQRSRLGASASSASSAASASSKGCSSPLQRESRSSHDPQNGGGKYLNILQSIGHSVHILDLQCRIIYWNPSAENLYGYTAEEVLGLDGIEVLVDPSEFDIANDIFNRVKMGESWTGQFPVKNKIGDRFVVVATDTPFYDDDGSLVGVICVTSDSRPFLENIVPFSARKNEEKDSGVNFPRIAITNKLGLDPEQPLQNALASKISNLATKVSNKVKSKIRTRENNVNGEGGSSESHHSEHGFSDYGHSDQREDGGNSSGASTPAGEVPPTPFGSFSRAEERSQGKTMRNSGDESEEKPIHKIITSRAEAWIQKKTMSWPWKTNDREESEAKNVRVSWPWLQGDQDKEPANQKNASSGLKPPESRAVESYQPVQNEATGSWSSFNANSTSSTSSCGSGSGSSSAANNRVDVDSDCLDYEILWEDLTIGESIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEDVIQSFRQEVSLMKRLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNTSKPDWRRRVHMAVDIARGVNYLHHCNPPIIHRDLKTSNLLVDKNWTVKVGDFGLSRIKHETYLETKTGKGTPQWMAPEVLRNEPSNEKSDVYSFGVIMWELATEKIPWDTLNAMQVIGAVGFMNHRLEIPEDIDPQWASIIESCWHTDPALRPTFQELLERLKELQRRYAIQFQAARSAGGEKTQKES >RHN48186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45638973:45645866:-1 gene:gene42874 transcript:rna42874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MVNNLHQLLRSLCFNTQWNYAIFWKLKHSAPLILTLEDAYYDNSDYFDASENKYCQKTLEQIEGGKFSHDALGLAVAKMRYNAYPLGQGIVGQVAVTGKHQWICADNNQVTSSGLSFEFVDGWQSQFSAGIRTIVVVSVVPLGVVQLGSLIKVNEDTGVVNHIRNLFLSTQDYSIDHIPSQIQSSSKSSSSQPDVLMEKSSSDFMPACMRNKTVDVLTPLQCSGRNNTTHSAYWEMGDNMVKHEGAELYSDVSPILLQSMSDMINVEHQEFGEIRPINSRECEGGSSGCKNMILDSEQNRSSFLNNSAINNAGVYDLIHQSEKNSSYTPLKFPAGCELLEALGPSSLKGSKYFDWLAQVNQDMKIVDVPDVFNTSQLTSESHPEHLLEAMVANICHSSNNDVNSELSFCRSMQSALSSGKTIDASIQDVHTIDHTSLVREDKNHCLSSSSKVVSSTCPSACSEQFERSSEPSKNSKKRARPGESCRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERSIKHMLFLQSITKHADKLTKLADTKSKLHHMETDILGSSSSEQGSSWAVEVGGHLKVHSILVENLSNNGQMLIETLCEESSHFLEIAEAVRSLGLTILKGATKARNKKISICFIVEGENNRNVHRLDILWPLVQILQSKSVVYQQ >RHN62750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45624326:45625047:-1 gene:gene25355 transcript:rna25355 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAQLIKIFHIMCQNHRKRNPLHIFPVKKTRAVCILSANADCLLQLKRFLCFSLKNRACPVSNKGTH >RHN46727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34376262:34381883:1 gene:gene41249 transcript:rna41249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEGNGASSSSSRRYGFPSAASIIQAPLSALLEYSGILPARSNQQHQPIPDSAPNDGEVSIRIIGSNEQDNHRDEQGSPLVVNDGGGAAAMTNSSEHGDVEGVLRTGSGNVDAESGGGGGGGDVGANGRDSSYQRYDIQHAARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWIAAVLFKSNDILRKQTALKGERKMPVLIGISVAFTLHVVSVYWWYQNDDLMYPLVMLPPKEIPPFWHAIFIIMVNDTLARQAAMVVKCILLMYYKNSRGRNYRKQGQMLTLVEYLLLLYRALLPAPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSVVEKVQSFFAAVKALSRKEVHYGAHATSEQVIAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >RHN52586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36828725:36834919:-1 gene:gene37280 transcript:rna37280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MADQQFSSTSYTYDVFISFEGFDTRNGFTGHLWKALNDIGILAFIDDTEFSRGEETKPAIFKAIHVSRIAIIVFSDNYAGSKFLLEELAFIVDNFQQSDNLRFIVPVYYNIEASHVRHQSGPFEAAFVKHEERFHENREKVLKWKTALSQVANLPGWHFDGVEYEHQFLQKIVKEISRRLDRAPLHVADYPVGLDSRLGEVFRHLELESHEVLTVGIYGIGGIGKTTLARAVYNTISDQFETSCFLSNIRKSSNTQSLAHLQNILLSEMTGLKDIQLKDTSKGISEIKHRLYRKKVLLILDDVDRLEQMEALAGGLDWFGPGSRVVITTRDRHLLAFRGVERRYEVQELNDVDALDLLSHKVFKQGIVDPNYTELLNRAVTYASGLPLALEVIGSSLFGLSVDQCEHALNQFKRILPKDIQKLLRVSFDALDQEVKNIFLDITCCFKGYALADVEQLLCARYGHDMKYHIKVLIDKSLINILDGKVTTTHPLIESMGKEIVREESPEDPGRRSRLWFSEDIVEVLKNNKGTSSIEIIHLDSPLIEDEEAIEWDGKAFKEMPNLKILLLENVISPQLPSIFQTV >RHN43881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49186920:49187189:-1 gene:gene50515 transcript:rna50515 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLSWCSMLRYLSRFHLSYLHNLVHLHVVKVLGAHVHSLKRNKKAPHRAMKDLVVTFDFYHGVLPIMSLDMGLDHRMIMNFLKLFTCL >RHN72288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6461485:6462052:-1 gene:gene7982 transcript:rna7982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ion transport domain-containing protein MSFSGLKNFFQRFWLDEMEMGSYTHSSFLSSDLLPSLGARTNQETRLRKYIISPFNPQYRLWELLLIVLVIYSAWICPFEFAFLTYKQNGLFIIDNIVNGFFAIDIVLTFFVAYHDSHSSLLIDDPKKIATR >RHN56560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31877367:31886543:1 gene:gene31977 transcript:rna31977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-phosphofructo-2-kinase, Fructose-2,6-bisphosphate 2-phosphatase MATISDEERDGNGINQTPLRIPELLYVSVKMENPIRALAGNLFPHVFCSSALLGDCLDSSKAILMERESASMWELSFVVPQNHEALDFKFLLKPKDDNSPCFLEEGPNRVLVAGALQDGARLASFKLDNDHAVEYKVFVQASKVSSFDLAASWRAYKENFHPSAVRGIPDVSINSEVQTESENVSSASLELDLEHYVVPAPSSSPNSSAVYAADMTENPRSRNSGYGSNSYSAGDDSGYVDQPETEMEVNTLVPSRVYECRKLVKSLSVGTMSTLRKEDGQRGPFVDRGVGFPRIVKSSSLKSFTVDLSLDTDTENSFPAAAGAVAAGAVADQMLGPKEDKHLAIVMVSLPARGKTFTAAKLTRYLRWLGHNTKHFNVGKYRRLKHGASQSADFFRADNPEGIEARNEVAKLAFEDMITWMQEGGQVGIFDATNSSKQRRNMLMKLAEGRCKIIFLETICNDVDMIERNIRFKIQQSPDYAEVYVCFKTCDILSQSLCRNVHN >RHN76130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47900417:47900797:-1 gene:gene12411 transcript:rna12411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MLLNLGFNIAIATHSFKMNSSTSDALFDDACAYDNAVKSTCQEKYSEFAEVTSDYRDGRIDPGGVKARVHELFKGHRHLILGINNIMPKNYEIILPPSDDKLNRQDATTFLKQVKVVFQDKMEKYY >RHN52136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31920845:31922555:1 gene:gene36765 transcript:rna36765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-linalool synthase MSVRHIFCVDLFDSLKCNKRMFEEKHGEDVKGLIALYEASQLSIEGEDHLNDVGYLCWELLHAWLSRNQEHNEALYVANALQNPLHYGLSRFMDRNIFIHNLKAEKDLICLKELANINSSIVRFMNQNETTEVSKWWKELGLAKEVKFSEYQPLKWYTWPMACFTDPNFSEQRIELTKPISLIYVIDDIFDVHGTLDQLTIFTDAINRWEITGTEQLPNFMKISLNALYDITNNFAEKVYKKHGFNPIDTLKKWWIRLLNAFMEEARWLNSSHLPRAEDYLNNGIVSTGVHVVLVHAFFLLDHVNGITKETVDILDENFPNVIYSVAKILRLSDDLEGAKSGDQNGLDGSYLDCFMSEHQDISGEDVQRHVARMISNEWKCLNQEILVANKFSSSFSNFCINAARMVPLMYHYKSNPSLSNL >RHN62071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40488923:40492126:-1 gene:gene24602 transcript:rna24602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MELRTTAIVVIQSYSSCYSLPSNFLILKPPPDLPISSLRSSSLPFSYKDGGARHTRVVLNRVGEGFSCASTSGSVCSFDDDSDTNCDKPKAKTDLAKLRDTCSAAVKVYDIATESNEALNAAELNFPDIINSPLDASDVKIDNFAEKGECFNPETVAHWLRLCYDVEEVGRVHTIALKCFRGSSTYVDNNLICSYLRLGKLAQARKVFDGMSRRNTVTWTAIIDGYLKYDLDDEAFKLFHGSIKHGVQPNSKMFVCFMNLCCKRVDLALGKQIHARILKSNWRNLIVDSAVVNFYSKCGKISSAFRTFDRMAKRDVVCWTTIITACSQHGLGHEALLLLSQMLVDGFFPNEYTICAALKACGENKAFKCGTQLHGAIVKKICKSDVFIGTSLIDMYAKCGEIVSSKKVFDRMKVRNTATWTSIISGYARNGFGEEALNFFRLMKRKKVYVNKSTLVCVMTACGTIKASLIGREVHAQKIKSVILTNMYIETTLVWFYCRCKDYSHAFNVLKYIPLRDVVSWTAIISGCARLGLETEALEFLREMMEEGVLPNSYTYSSALKACAKLEAPMQGKLIHSNASKTPALSNVFVNSALIYMYAKCGYIADAFQVFDNMPERNLVSWKAMILGYARNGHCRKALQLMYRMRAEGFVVDDYILTTVLTACGGIDSGDIDWDLESSSHDLHAS >RHN39503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7660923:7664559:-1 gene:gene45530 transcript:rna45530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MRAMAVVVRCSCFHSRLHSSLPQFCSNNTNLHLSGKFTCFSLKVDKVVPLLKDTFDRSSKIPTVLHCSNCKDDMPKRFHSSLFLASNFLMFSMPCKALAETCEADNSMFNMPILLAVALIGATVGGLVARQRKGELQRLNEQLLQINAALRKQAKIESYAPTLSYAPIGGGRIAESEIIVDPKKQELISKLKSGKNFLRNQQPDRAFTEFKTALELAQNIKDPIEEKKAARGLGASLQRQGKYRDAVKYHSMVLSISEREGEDSGSTEAFGAIADCYTELGDLEKAGQYYDKYIARLEKD >RHN70110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48838562:48840018:-1 gene:gene18659 transcript:rna18659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MGEEEQKTEEPKKEEETKPEERKDEAAATPPPPAEILLRVFMHCEGCARKVRRSLKDFPGVEEVITDCKSHMVVVKGEKAEPLKVLERVQKKSHRKVELLSPIPIAPEEEKIVEEDKAAPEEKKKKEPQIVTVVKIHMHCEACAQEIKKRILKMKGVEWVEANLKNSEVSVKGVYDSAMLVEYMYKRIGKHAVIVKEEKKVEEGEENKKKEGEGDTKPQEEEKETTKLEEEMKKNEHYFNPPINMYAYPPPPQMFSDENPNACCVM >RHN46846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35281862:35282494:-1 gene:gene41380 transcript:rna41380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphopantothenoylcysteine decarboxylase MNMKIYTDDDLEWEKIGDSVLPIELASWADIMDIAPLSADIVAKIAGGFSDNLLTTIVRAWDYEKPMFVAPSMNDAMWRNPFTEQQLMRIEKLGVTVIQPVEHMPTNMREMADPSTISSNVKSFYVSKIIKLARFRNMLL >RHN40545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17459197:17461492:-1 gene:gene46706 transcript:rna46706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MGSTYLSVLTLFSLALAGIVASHSDIEGKLLFLENDLYPGKKMSGLQKLSNIQPFRTIGWLPIEKATQQSGNVVEKESYSLDEICGGPPAIGEDKFCATSSESMKEFAISKLGAKIKSYSGYFAKNQDQYVVEEVRKIADKGVMCHRMNFEKVVFYCHQVNASTTYMVPLLASDGSKVNALAACHHDTRGMNPNLLDEVLKVKPGTIPVCHFVGNKAVAWLPIPVASESDDRPCAI >RHN58609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3259573:3261874:-1 gene:gene20534 transcript:rna20534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 1 MQKHSIKNYNIAFIYNHSIDSVSKRKKEFMHVTHSSNQMEECNNSRGELQSSSSRSIPQQHSPSLRRRESKGSSNASFSWIGSNRLVLCLILVTLWAYLGFFVQSKWDQYEKEQELKGFDFHLKNHQDSVVQKSSLFVDNEKVGVNNLVDVVLAKKRENGNISSRKRKSRRFLRSKVHGKEKELEIPLVGPFDSMEDKILKLSTNEKGCGKCDKKSEFAKLVMSKSFVLIFHELSMTGAPLSMMELATELMSCGANVSAVVLSRKGGLMQELVRREIKVIDDKVDHSFKTSMNADLVIAGSAVCASWIEQYIEYFPAAANQVVWWIMENRREYFDRSKDVLNKVKMLVFLSESQSKKWQKWCEEESITLRFQPVHVPLSVNDELAFSAGLYSSSDTEKMNEKRKLLRKSVRRELGLNDNDMLVISLSSINPGKGQLLFLEPAKSVLENESFEDDYKMQNSSKVEDIYTLARRHHLRKLLPMMKDSNSKRVLSNNNGEMKQSLKILIGSVGSKSNKVEYVKSILRFLSQHSNLSKSVLWTPSTTHVASLYSAADVYVINSQGLGETFGRVTIEAMAFGLPVLGTDGGGTKEIVEHNVSGLLHPIRRKGNHVLAQNLEFLLENRLAREQMGMNGRKKVQRMYLKEHMYSKFVEVLVTCL >RHN53742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3747010:3750120:-1 gene:gene28684 transcript:rna28684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellular retinaldehyde binding/alpha-tocopherol transport, CRAL/TRIO MSTPTAKGEDRDIVITRSDRKNASSSGVKGLRTKSIHPPIEMHWDLPTTQKGKKKASLSIKSLLSYPLMKFGRSKSLEMVLEGTHDPNDEQIVEAFREMLSREGLLPPKHNDYHTLLRFLRMNDFDMTISKDMFLNYLKWRKEFRVDMIHKEFKFTEYTEVKKCYPHGYHGVDKCGRPVYIERIGMIDINKLWQITTQERLIKHHVSEQEKTLRVRYPACSLAAKRHIASTTSILDVNGVGMSNFSKPARYIFMEIQKIDSSYYPETLNKLFIINAGSGFKMLWKAVKAFLSERTVAKIQVLGSNYLSVLLEAIDPSNLPTFLGGNCTCSEYGGCLMSDQGPWKNSELLEMIQTTEEMDGACEHNNVVSEGSLMSKTVEMQNKDDLSMSLALEETTRARTSLSCRLALQKIDWLEASLGDIKNKIKTLEDALQDTKMALKELAQHIEQ >RHN41171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27752222:27752944:-1 gene:gene47462 transcript:rna47462 gene_biotype:protein_coding transcript_biotype:protein_coding MQISLYFSVSQVKLKTIDGNVLRANGGLPPWRNSVTHDIPHRSATQDWILWDVDVLEIHVGNPPPPPIPHSDSLDFGSSTPSALSFRSDRFSRQEIFSPDLWIDFTFNL >RHN77311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5944615:5949194:-1 gene:gene753 transcript:rna753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WEB family protein MEEVEDKPTSSRNVEPNSLADAPQENTDIITAPTDNHSSIEASINSLADKNIVEHDTHFQETNFSEIESKSTRASDEQSIGQDEHLLTDDSISTPKEETVHEIEENHLGAITSDSELEALEDIQDGGSTVTANGDVDHNLMELSTSSSVTEDLQIDPKDRPQTEVIDAPQENLASTSDAEVHVTEQSHQEPVVTDSEQETLDDIVNMHKDGGFSDSFLDNQLDLTASSSETEEFQNDHKELKVNLPQIKIPDVAVGGADSPASEKKIAENRGIIDTAAPFESVKEAVSKFGGIVDWKAHRMIAVERSKEVEQQLEKLYEEIPEYRKRSEDSEQEKVQVLQELDSAKRLIEELKLSLERAQTEEHQARQDSELAKLRVEEMEQGIAEDSSVAAKAQLEVAKARYTSAITELTSVKHELDSLRVEYASLVDEKGEAIDKAEDAVAASKQVEKTVEDLTIELIATKESLESAHSAHMEAEEHRIGTVMARDQDSLNWERELKQEEQELEKLNQKILFAMDLKSKHSKASALLLDLKAELNAYMESKSNQEGDDDEGVSKEQLDKSHIEMQAAVESAKKELEEVKLDIEKATSEVNNLKVAATSLRSELEQEKSSLASIGQREGMASITVASIEVELNKTKSDIAFVQMKEKEGKEMILELPKKLQEASEEANKANLLAREACEVFRRVKEEAEQAKAGASTMHSRLLAAQKEIEAARASERLAIQAIKALQESESARSNNNEVDPSNGVILSVEEYYRITKQVHDAEERANSRVATANSEIDIAKETELKTMEKLNEVNKEIVARRESLKIAMDKSEKAREGKLGVEQELRKWRAEHGQRRKAGEIGQNVVNQNINHSGKLDQNHSETIPVHYFSSPKSYVHANNENGSSPDVKSGKKKKKSLFPRIFMFFARRKAHPTPSG >RHN49164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52952896:52956055:1 gene:gene43965 transcript:rna43965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MGSASIIPLVLMIVMQLAYAGMNITSKIAILGGMNPLILVAYRQIFATVSIAPFAYWLERDMVPRMTKRIMVQILLSSITGVTGNQVLYFAGLKYTTATIACALTNLLPAFTFVLAILFRQENLGIKKKSGIAKVVGTVLCVGGAILLSFYHGQVIGIPESKIHWSYAERIEGAGDNSSAAQSNNVLLGPILLILSALIWSLWFIIQADMSKNFPVPYTSTAYMCFLASFQCVFIALCFDHRVSSWSLSDAMRLTSSLYAGIICTGLSYCIISWTIERKGPLYVSVFTPLQLIITAFISWAFLREKLYVGTALGSLLIVGGLYSVLWGKSKEVDNNKVEDATDDDDDDDDDDDEAIVMPLPPSIKNDMEMQSYIPSSNGNGH >RHN41706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32206855:32210991:-1 gene:gene48056 transcript:rna48056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase chromatin remodeling SET family MDPFSLTLETPSPSQPSSHVSVAIPTPNFTISNQIPNFSPSPPTTTISSGAPLQTLLVPKPEPFDDFFATQDSQQQPHFSPGSETDDQSDLYTEYYRISQLFNATFGKSLYPFMGIDDSNNASAVSMENLVNGCNPDLNLNPPNLRVDSIDSEPCEGNDRAIVVVPEKQETAVAVVRKKASQVMELVRVSDLSMKDQMHFRDVMRKTRMIYDSLRVLASVEEEKRMADERKLAAEVAVEEERRLSEERRLAEERMLAAEVAVMEAEMRVGEESRVAAAGLEGEMSSGRRRRGRNRIRGDMRAAALMRKRELWLYRDKRIVGPIPGVYVGDVFLFRMELCVIGLHMQIQAGIDYLPKSRCSNGEPIATSVIVSGGYEDDMELDDGDVIIYTGHGGQEKNSSRQICDQKLVGGNLALERSMHYGIEVRVIRGMKYEGSASGSGKVYVYDGLYRIVDCWFDVGKSGFGVYKYKLWRIEGQAKMGSAVLKEAREIKKIGLDVKPMYCLSVDISHKRENVPIRLFNDIDDNQEPLYYEYLPKTTFPQFVFHQSGNATGCECVEACTDGCFCSMKNGGEFPYNLQGLLVRGRPLIFECGPFCSCPPNCRNRVAQKGIRHRLEVFRSAQTGWGVRSLDLIQAGAFICEYTGVILTREQAQILTMNGDSLIYPNRFSDRWAEWGDLSQIYTDHVRPSYPSIPPLDYSLDVSTMRNVACYMSHSSSPNVFVQYVLYDHNNLMFPHVMLYAMENIPPLRELSIDYGIADEWTGKLSICM >RHN48304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46469949:46474730:1 gene:gene43006 transcript:rna43006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEDSGEIYDGVRAEFPLSFGKQSKSQTPLETIHKATRRSVPTSNPKTTSDDFPSKECLHSIRPPKNPNPPSPPLQDDSPLVGPPPPPPHHEADDDDGEMIGPPPPPPGSNFNDSEDEDNDSDQDELGNRFRIPLSNEIVLKGHTKVVSALAVDHTGSRVLSGSYDYMVRMYDFQGMNSRLQSFRQIEPSEGHQIRNLSWSPTADRFLCVTGSAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHITGLTCGEWHPKTKETILTSSEDGSLRIWDVNDFTTQKQVIKPKLARPGRVPVTTCAWDYDGKCIAGGIGDGSIQVWSIKPGWGSRPDIHFEKCHEDDITSLKFSADGRILLSRSFDDSLKVWDLRKTKEALKVFEELPNHYGQTNVGFSPDEQLFFTGTSVEKDSTTGGLLCFFDRVNLELVSKVGISPTCSVVQCSWHPKLNQIFASVGDKSQGGTHILYDPTISERGALVCVARAPRKKSVDDFVANPVIHNPHALPLFRDQPNRKRQREKVLKDPLKSHKPEMPMTGPGFGGRVGTSQGSLLTQYLLKKGGMIKETWMDEDPREAILKYADVAAKEPKFIAPAYAETQPEPLFAKSDSEDEEK >RHN41739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32531523:32534061:1 gene:gene48091 transcript:rna48091 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAESKHSNRQQNATENDDVASIAGESSRRKDAVSKQGGGSGSEDSVGCGMRISGSCNPNARVLNKDDCQKNDQDSDQDLLSDARDSELKLDVDDPLLKSIHNLKLSRDALEQEIEKFKEIGNESSSHDDNGSIKCRSEAAGPSAVDLEFHDSCSSGHSDEQNSSSSRELQIASLTEKIDILENKLYRLKCDLEQRDGRILILESDLYTKEESTSTIGEKCKELESELESLFLQKVEAEVKYLTIKNMMKSLKVASTFTEKQETMSGNKVQNTNKLEVAGSEDSIMKNRTDESGKYRGEVLGAEETFMIQRRLCNLTYCFVLQFMLLILVLWLILSNLEPNSREFVPT >RHN43555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46823113:46824524:-1 gene:gene50156 transcript:rna50156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytidine deaminase MDRPVFVIEATEAKSMAKSSSLTLTQLLPSLVTSAQSLARPPISNFHVGAVGLSPSGRILIGVNVEFPGLPLHHSIHAEQFLLTNLSLHDEPNLHSFAVSAAPCGHCRQFFQEIRGAPDIQIIITSESDPNFTSLSHFLPYRFGPHDLLPQHAPLFLEPRNNGLTQKLPNGVCKGDAVDEKLKIAAMEGANKSHAPYSNSPSGMAIVDCNGKIYKGSYVESAAFNPSLGPLQAAVVAFMVGGGGKYDEIVGAVLVEKDGAMVKQEGTVRLLLEAISPKCQLQTFLCE >RHN79510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29753638:29755155:1 gene:gene3310 transcript:rna3310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MICISGESEAEETTTIAAVHSDIIQTHILTRLDGPALASAASTCSQFNSLCTNEHLWANMCHSTWPSTNTERVQQVISNFPNGFRSFFADSFSSHHQRDMTLTNHDETLSLISAVDIFHREGLVFSKVVETETVTGWFRCSPFRVDLLDTKDVIKYPVVGDENNVTCHDLGEELRLSWILIDPASHRAVNVSSRKAVAVQRHWLSGEVKARFTTVVYGETATALEVALCSVVVMLAEESMEVREVSLQVEDMDGKHVNGRDSLVILQKALEGGRKWKGVGEGEGYREFVKKKKEREERKKRVERRMDIMCLFVGLVSLTFVAFFAL >RHN80306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37029092:37032746:1 gene:gene4213 transcript:rna4213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MERGVVDGIINRLLEVRGRPGKQVQLSEAEIKQLCLVSKDIFMNQPNLLKLEAPIKICGDIHGQYSDLLRLFEYGGFPPRSNYLFLGDYVDRGKQSLETICLLLAYKIKYPKNFFLLRGNHECASINRIYGFYDECKRRYNVKLWKMFTDCFNCLPVAALIDEKIICMHGGLSPELHDLNQIKNLRRPCEVPESGLLCDLLWSDPSSDVRGWGESERGVSYTFGADRVKEFLQKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGDFDNVGAMMTVNESLVCSFQILKPLDKKPKFSFGSTTTVKSGTPTRFKQAFFGAKA >RHN69207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41812390:41816037:1 gene:gene17647 transcript:rna17647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane attack complex component/perforin (MACPF) domain-containing protein MEDEGGSSMMFPMEKRALDCLGKGFDLTSDFRMKFSKGLINGGRLVVIDEMNKRDIMVPGGVTIKDVSEDIRCDKGDRVRFKSDVLQFNQMSEMLNQKSAIQGKIPSGYFNAVFDLSGDWFRDAQDIKSLAFDGYFISLYNLHLTASHLILQEELKKSVPAHWDPASLSRFIATYGTHIIVGMAVGGQDVICVKQKHSSKVPPGDLRRHLEDLGDFLFSDLRSPSLLERKTTTTEAKQKVPEVFNRVLQSSTMQFTSISETSSKDGLTIICSKRGGDVFKHSHSSWLQTMASNPEAIHFKFVPISSLLTGIPGSGYLSHAINLYLRYKPTPDDLQYFLEFQIPREWAPMFSELPLRHQRKKTYSPPLQFSFMSPKLHINSTQVVSEQKPVVGLRLYLEGRKCDKLAIHIHHLSSLPNTMTLSSSTTTMWRGSDEDESSNQFAEPIRWKKFAHVCTSVVKYDPNWLHESNSSGVYIVTGAQLISKGNWPRNVLHLRLLFTHIPNCSIRKTEWAAAPEASRKSTFFTNLSTTFSFTQQSVTATQKQAQQAAAAAATALNSGVYPNGPPVPVRSAKMLKYVETCEVLRGPHDAPGHWLVIAAKLVTEGGKVGLQVKFALLDY >RHN59123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7718051:7719061:1 gene:gene21113 transcript:rna21113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFHIYSVTSTDMFLIADCFPLLEELDLSQRGRLTNIVGGVEALSSALFKLRRVNLSGHEYINDELLFHLFKNCKLLEDAIVFRCPQLTQAGMSSALIERPTLRSLSFTRYYEVYEHAVLFEFIRNCPSLNAIKVEYQCGRVQIVRNPNRVNFVLNPQLKSLSLVSNRWLTNENMEAFASSFPNLELLDLSSSHNMYEEGVCQVLRRCCKIRHLNLSYCPRVKLRNMNFEVLELEVLNLSHTRVDDKGLRVISKSCCGLLQLLLESCFEVTEKGLKHVVENCKQLREINLKNCRGVQGDIVASMVSSRPSLRKIIAPPCYSFTDKKWEYFSLCMIIA >RHN74676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35690431:35695855:1 gene:gene10783 transcript:rna10783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (S)-2-hydroxy-acid oxidase MGEITNISEYEEIARQKLPKMAFDYYASGAEDQWTLQENRNAFSRILFRPRILIDVSKIDLSTTVLGFKISMPIMIAPTAFQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAEKAGFKAIALTVDTPRLGRREADIKNRFVLPPFLTLKNFEGLNLGKMDEANDSGLASYVAGQIDRTLSWKDVKWLQTITSLPILVKGVLTAEDARLAVQSGAAGIIVSNHGARQLDYVPATISALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVYSLAAEGEVGVRKVLQMLRDEFELTMALSGCRSLKEITRDHIVADWDTPRVNPRAIPRL >RHN47421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39637952:39640575:1 gene:gene42020 transcript:rna42020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylethanolamine-binding protein MHKHAANTKRGPVELSIYLSIKKNIMPQNLVDPLGVIGDVLSPFTNSVSLSALINNREISNGCIMKPSQLVNRPRVNVGGDDLRTFYTMVMVDADAPSPSNPFLKEYLHWMVTDIPATTSASFGKEVVFYESPKPSAGIHRFVIALFKQLGRDTVFAPDWRHNFNTTNFAEINNLVIVASVYFNCQRERGCGGRRC >RHN51662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21698213:21703607:1 gene:gene36144 transcript:rna36144 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEHRHPHNSASQQVAHGTVEHHDEHEKKSVLKKVKAKAKKIKDTITKHGHHDDHEHGHGHGHDQYHYENQHIPDDHDLDEEDEVDEDPEIHGAPIYDSAPARNVTPQGQGVNFGGTSIMGEGHDHEPRVLVVKPTRTTIVREEITIVQPKVDLVEDPHAPGSRFDAHAPANYQTKVTDPTGAGAAEMDITPIAKSIDRMTVHNEPIPSHQPHTLPTIAETQTPSLGIHNQFSPELSTATKTPYPSSTITHDQNLPHLSSEIKTQYPQSHEQFTPVFSTSTHSQNPTHHQNLSQKLPSDPINQHHFATSHDQNLPHFSNVTKTQYPSVTGHDHSTPHLQRTEPGLEHSPAIRHDQHLPLSSGAMESEYPSVTSHDHFTPHLQRTEPGLKHTPAIRHDQHLPLSSGAMEFEYPSAGSHDQFKSELLPTEPKARHHYATNLPQHSSARPHHPLNTSEDQYLSPYSSATEANPQHQSATNLPHHSGAMQTPYPSSGSHDQFRAGLPTEHHSATSHGQYMQPQHSSAMKTQNPSAGSHEQYNKNTTLGEQPHLHYESMENSSNQGNNTYTDKIYSATSAIADTAAGAKNAVASKLGYGEKGETTTAEAPLNQSSYTDKIYSAASAVTNKAADATNAVASKIGYGSETGETTTGERPTSQVSYPSVTAAVIDKAALAKNAVTSKLGYGEGGETTTGETPTNQSSYTDKIYNAASAVTDKAAGAKNAVASKLGYGETNETTPGERQSNQTSYPSVTAAVIDKAALAKNAVASKLGYGEEGETTTGETPSNQSSYTSTIADKAATAKNTVASKLGYGEEGKTTAGDTPLNQRSYTSTIADKAATAKNAVASKLGYGETGVTTNETPSNQSSYTEKISSATSAIADKAASAKNAVASKLGFGEKGATETERMTTYEENNSGVSPAEYGKNIAASLTEKLSPVTGKVIGTGTERVTAGVEQDKGVSMKDYFSEKLRPGEEDRALSEVISEKLYKKNVDEAVEDVYDEGEGRNARKVVSNAVHKRGGDDGKEVHRPRGKVTESEEVKRRLGGWDDEIKDGEFGKGMVDMVKETVGSWFGKPGENESTQGSEGMPRNTGAEVGQVHQTAGERRLQDSAH >RHN47693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41665086:41678231:-1 gene:gene42319 transcript:rna42319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MPSSKHSSSSSKKQSSKSKSRLQSSIPDAASTPPRTTTIQLDSLLQHASRIFPSFISHSALVAEITDVESADSLSKGAAKIWLSESSMVASSLAPGSLVSVSIPSSSKGIAESHNFPLTSLTNGLETSKTLDDDVAGNYFAIATVFPSNKVLKDGVRLSLNLFFAMGRPPLGTCVFVHPVHKQSLIYNCKEIYLQLATCKSGTPLKVNNSPSLDLSKSKSRVQTENDIVASPKTPSYGSRFSNDSVYSSPVYEDSASSVTDNNGQSVTSFDVSKALGNESSKKLLETCATGLLYSRCLLLGNLVTVQMLSEFFIFRVMDIKKVSTTIYDYSLNGSSNLNLKDSEMAVENVNLAFTVNWETKVFLSLPSNVAFEESIQRDLSCLKLDNISKLGGLSKEEILLKRIISFSLNDILSRFGQQNTRGVLLHGPPGTGKTSLAQLCAHDAGVNFFSINGPEIVTENYGESEKALQEVFDSAIQAAPAVLFIDKIDAIAPPRKDGGEELSKRLVVTLLGLMDGIRRNEGLVVIAATNRLDRIDPALRRPGRFDKEVEIGVPSQVERGDILRAILGEIDHSLSETQIEELASITHGFVGADLVGLRNWAALICLRRYAEQKLKKTCNASSDDITKQPTPLKSATNSKDHSDETPDHGEEEHILKVTFEDFQKARPEIRPSAMREVTLEVPKVNWEDIGGQKEVKNQLLEAVVWPQKHRDAFTRIGTDPPTAVLMFGPPGCSKTLMARAVASEAGLNFLAVKGPELFSKWVGESEKAVRSLFDKARANAPAIIFFDEIDSLAITRGKDGDGVSVSDRVMAQLLVQLDGVLKRVDVIVIAATNRPDKIDPALLRQGRFDRLLYVGPPNEIDREEIFSIHLRKTPYDSDVSMKELAQLTDGYTGADIAHICRQAALAALEESFDASVVTMKHFKMAIKQVQPSEFQSYQKLSAKFQRAVFSDAI >RHN52398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34825750:34826136:1 gene:gene37069 transcript:rna37069 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLGFAASGGGFALELWSRDDSMMKIRKESLRITQKKMNLMNL >RHN55615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20080144:20081800:1 gene:gene30818 transcript:rna30818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 7-O-beta-glucosyltransferase MAFQTKNLHFVLVPLFAQGHMIPMIDMARILAEKSVMVTLVTTPQNTSRFHNIIQRATKLGLQLHLLEIPFPCQQVQLPLDCENLDALPSRNLLRNFYNALHMLQEPLENYLKNHTFPPSCIISDKCISWTILTAQKFNIPRLVFHGMSCFSLLSSYNIKLHNAHCSVDSDSDPFVIPGVMPQRIEITRAQLPGTFFPLHDLDDYRNKMHEAEMSSYGIVVNSFEELEQGCAKEYEKVMNKRVYCIGPVSLCNKKNLDKFERGNKSSIQEKQCLEWLNLMETRSVIYVCLGSLCRLVSSQLIEIGLGLESSNRPFIWVVTNNGENYFELENWLIKENFEERVKGRGLLIKGWAPQILILSHPSIGGFLTHCGWNSTIEGVCFGVPMITWPLFAEQFLNEKYIVQVLKIGVRIGVEVPVRFGDEKKTGVLVKKSRIVEVIEMCMEGGVEGEKRRCRAKELGNMATKTLEVDEGSSYFNISCLIQDIIEHQSTKKY >RHN40411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16054666:16055093:1 gene:gene46559 transcript:rna46559 gene_biotype:protein_coding transcript_biotype:protein_coding MNMESHSKMPVTTFVAMLFALAFASSLCNASIESVNVDVNFSDGVFVSCNSESNGLTNQGSGNVHVTVPVGQRVICRASWNGSSALFDGYDPQYDQGHAFVYWEVRQDGLYHSWDDKNFVKKGVWKIPAIVEN >RHN49831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1551167:1558859:1 gene:gene34055 transcript:rna34055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HD/PDEase domain-containing protein MGAYHNDAVSLPPRYDVVSSRDKHVHDNVHGNIFIDSLSLKFIDTEQFQRLRELKQLGFTHLVYPGAVHSRFEHSLGVYWIAGQSVEKLNSYQGMELGIDKFDIQSVKLAGLLHDVGHGPFSHLFEREFLPRVISGSHWSHEQMSVKMVDYIVGEHHIDIDPHMLKRVKEMILASSEFSLPRSSSEKGFLYDIVANGRNGIDVDKFDYIARDCRACGLGCNFEFQRIMETMRVLDDEICYRAKDYLTIHKLFATRADLYRTVYTHPKVKAMELMVVDALVQANDYLQISSSIQDPGEYWKLDDSIIKTIETSPLPELKEARELILRIRRRDLYQFCNEYAVPKDIMDNFKKVTPQDIVCSQKNGGVMLKEEDVAVCNVKIDLTRGKHNPLESIHFFKDYESDEKFTIPDERISHLLPASFQDMIVRVYSKKPELVEKISEAFENYQLKTYGIKAQVHSTPDKKKRRYNS >RHN43209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44046520:44048244:1 gene:gene49749 transcript:rna49749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRSPCCEKQHTNKGAWSKEEDERLINYIKQQGEGCWRSLPKAAGLARCGKSCRLRWINYLRPDLKRGNFTHEEDELIISLHAMVGNKWSQIAQKLPGRTDNEIKNYWNTHIKRKLYSRGIDPTTHQPLKTLSGTAAASTGTSSATTTSAEAASPTMVVNNNPPVFPVVASNNESSTSSSMSHHNKIKSEFQLFSNFSGSRLKKFVATDSGYGGVEESVSNSSSGVTVEDIAYPRQINLELSLAIPSPSQQQQPQALCLCRQISLGLYGSSHQPCCCNNTIPIVGVSTSTAPLPATTVAGNGFFKFSGTGIVNKF >RHN71246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57569878:57574209:-1 gene:gene19902 transcript:rna19902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MNGSSSFNTHLPILEARNWERWSAVMKNLFGAQDLLEIVQDGVHELAANATEVQRNAHKELKKKDCKALFLIQQSLDEGNFERISKSLSSKEAWDILSKYHEGDDKVKLIKLQSLRRKFELMQMEDDQKISEYISKLINLVNQMKACSEAITDQQIVEKIMRTLSSRFDFIVVAIQESKDVKTLKIEELQSSLEAHELMVSERSNERSIQQALQVQTIKKDDYDRKNFKKGKKNSKGGNWSKGKNNGSDKGESSKEGNSNQKKKVDKKKIQCFKCEKFGHYASECWSGKGKQSKNDEEEAKIAQDDSDSESLFMMVTTTSNESCNSESWFLDTGCSNHMTGNKTWLRKVDPGRNTKVKLADHRVLVAEGMGNIAIEGKNGKVAIIEEVLYVPGMQCNLLSVGQFIQKGYSVTMKDNTFKLFDNHQRLVLKTPLAKNRTFQTNMKAVELNCLSAMVKDEDSWLWHYRFGHLNFRGLNQLVDKEMILGVPKIEIPNTVCGTCLLGKQPRSAFSSSSASRSKELLNVVYSDVCGPLEVPSLGGNKYFISFVDEFSRKLWLYLIKAKSEAFDMFQKFKILVEKQSGKSIKILRTDGGGEYTSKVFEKFCEDNGIVHEVTALYTPQHNGLAERRNRSLLDMTRSMLKMKKMPNTFWGEAVRTAAYILNRCPTKKLNQIPEEIWLGCKQSAKHLRVFGSLCYMHIPDAKRRKLGDKSEPMILVGYHETGAYRLYHPLNHSIVISRDVKICENEAWDWTKKEKSSSHTIPTIIEDDDQVEQVQLDTEVQPEVHVEENQVTRTSVRQRFASTRLAGHEVIPDNVVNEEGEFVHFALLADAEPINYEAALNEDVWKNAMIEELNSINRNNTWKLIELPASKKPIDVKWVFKLKLKPNGEVAKYKARLVARGFMQKAGMDYFEVYAPVARLETVRLIVAIACGRNWPMHHLDVKSAFLNGPLDEEVYVTQPPGFKIKGKEDMVYRLHKALYGLKQAPRAWNKRIDSFLVKQEFVKCKSEYGVYVKKGSEGNQLLICLYVDDLIVTGSDMNEIEAFKSQMMSEFEMSDLGKLTYFLGMEFTEVAEGLVMHQKKYASDILKRFNMMSCNPSSSPAETNVKLVMNEDEEPVNPTLFKQIVGSLRYLCNSRPDIAYAVGIISRFMSEPRVSHLLAAKRVMRYIKGTLQYGILFPKCLNENTIELTAYSDADWCGDRQDRKSTSGYLFKFMNAPISWCAKKQPVVALSTCESEYIAGCMAACQAIWLENILKEMEIEVSRPIELLIDNKSAISLARNPVLHGRSKHIEAKFHFLREQVNKGALQIVHCSTELQLADIFTKALKVDRFIKLRSLIGMKEVET >RHN42508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38924894:38931068:-1 gene:gene48955 transcript:rna48955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane attack complex component/perforin (MACPF) domain-containing protein MEVKEGIERVALESLGKGFDLASDFRLRFAKGIRGGGNSNSGSKRLVVLDEQNKRDILIPGVGGATVIKGVSENIRCDKGDRIRFKSDVLEFNQMSELLNQKSAVQGKIPSGYFNALFDMSGDWLRDAADIKYLAFDGYFISLYCLHLTASPLVLQEEVKKSVPAQWDPASLSRFIQTYGTHIVVGMAVGGQDVICVKQKHSSKIPPGDVRRHLEDLGDFLFSDVRSPSSLQRKTADSKHKVPEVFNRVMQSNTTQFTSISETSSKDGLTIICSKRGGDVFKHSHSNWLQTVPSNPEAIIFKFVPISSLLTGIPGSGYLSHAINLYLRYKPSPEDLQYFLEFQIPRQWAPMFCELPLRHQRRKTSSLSLQFCCLGPKLHISSTEVVSEQKPVVGLRLYLEGKKSDRLALHINHLSSLPNKMILSSDASTPSIQSMWRGSDENESSNQFLEPVRWKRFSNVCTAVVKHDPNWLNDCGGVYIVTGAQLIIRGSWPRNVLHLRLLFTHITNCTIRKSEWGAAPEASRKSSFFTNLSTTFSFTQHSIAAAPPKQAPTALNSGIYPNGPPVPVRSSKLLKYVETAEVLRGPHDAPGHWLVTAAKLVTEGGKIGLQVKFALLDYW >RHN75060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39089800:39090454:-1 gene:gene11210 transcript:rna11210 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTFTFLAQCKELVKTTPNAAKVINFSIAKQSHIHTQGGDPLGAINQGSENAREVLEEVGKENVETAWDATKKTVQLVTETTTAEADMNVVDTVEYRSSEDLSGQLGDGCDKIQL >RHN75746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44749789:44752437:1 gene:gene11988 transcript:rna11988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton pump-interactor MEDKEATSPPNPSLESNGKFDEKTKAIDEDSEHVSRIFHQFYFVKLWPTDPDSITKIKKEENVLMKLNQDICEVTEKITEKMSQREYLDSMLNRLHYLQKERRNRVASKEKILRDLYMALDELNFMNKASKGGRFGEKPDKNSMNSVMLHSCKNLAEEKNILRDINIQQKGVASFKSLKVLKKTIRWSFYLKNWQKLLREIEQFQIQYMERASGNDPVKENISNYESLKKIIKDQIKLLFDESFENRREWKECGTKVRHGVKELEAINGELYSLKAKLTENHKKKGEAYQRILKLKNLHHEEILHYYQHCSLINKVHQLAEEKDVSTLDEMSNSEVGKFMLEWNNNKTFREDYEKKVRQSLERRQLSRDGRRKPINHGILCYCNGGREKCEYCKV >RHN62266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42188626:42194181:-1 gene:gene24821 transcript:rna24821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MASDNNSPSLEILVRRPEECSLWTGPPFENGQPNIKLDKVICLNAKFSDDGSLLMVVKSNSVITIYDCKTAKEIRSFQVPNLVASVLSPRGTYLQTFQKPSGPQDKNVTLWKIETGDSIYQHSQKNMTRANWPAIQFSSDEATACRVPTNEVQFFDTGDFSKGVVCRLRVPGVASAELSISPASHVAAFVPESKGVPASVQIFACGDASQTQPMARRSFFRCSTTQLKWNHGSTGLLIVVQSDVDKTNQSYYGESKLYYLTTDGVHEGLVPLRKEGPIHDAQWSNSGSEFAVVYGFMPAKATLFDKKCRPLLELGTGPYNTIRWNPKGKFLCLAGFGNLPGDMVFWDYVERKQLASTKAEWSVSSEWSPDGCYFMTATTAPRLQVDNGIKIFHYNGSLYFKQMFDKLYQADWKPESPSKFGDITELIKSLDSIKLEDKKPSGQGPKPTQVSTKASSANPTAQKPAAYRPPHAKTAAAIQAELLGESSAESLSKNALRNKKKREKQKEKKAAADASS >RHN82065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50989625:50994134:1 gene:gene6182 transcript:rna6182 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNSSVIQTILLLNHSQESGCDPSVIREQQLSKTHHTFPSSLETQLMLPPCLVFFDQHHHPYHWICRKVDLRRQR >RHN64850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62456109:62458879:1 gene:gene27716 transcript:rna27716 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L35 MALASATATVSSFAALRLSPPSTSTRVSFSSVKSPFSNNVNSLKLNWSCSISAPILNQNHSIVTTSPRSLTIVSAKGYKMKTHKASAKRFRVTGAGKIVRRRAGKQHLLYKKNKKRKLRLSKMIQVNRSDYDNVIGALPYLKVNRKAT >RHN55530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19250557:19251652:1 gene:gene30727 transcript:rna30727 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLALSPNKPLHTSIAGVSLVSPVSFLKANPKTAIFFPETVLNIEETIRFTNRAFW >RHN45283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14345598:14346299:-1 gene:gene39528 transcript:rna39528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo-like helical protein MGDNLKQKLAKSIELADKVTSTPNEQISFKQECGELKYKTKKICALLQQAATTSSELYMQRPMQQILKKTEHVLNKTLSLVLKCRTDTIRKRVFNIIPKATFHRTSSQLENTIGNVSWLLYISRCNEDHDSENHILPSIILNDPMVTLIWELIASLCTGSQEYRSDSAASLVSLAHRSNHYGKMIIEEGGVGPLLKLVDEGNTEGQKQEPYLSSKPETPLSYSSPAHLCGRGS >RHN62892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46562935:46564258:1 gene:gene25525 transcript:rna25525 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSISEHYQAVLGPSEVLGRASIMSVHSKFLLDREGTINEKGI >RHN64167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57064202:57067724:1 gene:gene26954 transcript:rna26954 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMRLLSSLEGVSKIVKFHESMVRLKLAMLLLAFSFKMAGFSKVSN >RHN53609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2828535:2831143:-1 gene:gene28534 transcript:rna28534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSIMTKKGVWKNTEDEILKAAVMKYGKNQWARISSLLVCKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKVMPTQRRTISVGRTPSQCLERYEKLLDAACVKDGNYEPGDDPRKLRPGGIDPNPESKPPRPDPVDMDEDEKEMLSEACARLANTKGKKAKRKAREKQLEEAGRLASLQKKRELKAAGIDIRQRRRKRRGIDYNAEIPFEKRPPPGYFDVADEDREVEQRFPTTIEELEGKRRIDVEAQLRKQDVARNKIAERQDAPAAILHARKLNDPETVRKRSKLMLPPPQISDQELDEIAKFGYASDLVGSDELTKGSSATRALLPNYPQTPNQAMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTLLLGGENPELHPSDFSGVTPKKKEIHIPSPLLTPSATPGSAGLTPRSGMTPARDGFSFGMTPKGTPLRDELHINEDMEMRDSAKLELRRQADMKKSLRSGLSSLPQPKNEYQIVMQPIQEDAEEPEEKIEEDMSDRMAREKAEEEARQQALLRKRSKVLQRELPTPPPASLELIRNSLIRADGDKSSFVPPTPIEQADEMIRKELQALLEHDNAKYPLEEIANKEREKGSK >RHN45002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10629163:10629747:1 gene:gene39195 transcript:rna39195 gene_biotype:protein_coding transcript_biotype:protein_coding MKCIHWLFISIVIYFIFIIGFTLHLPRITLHLPTLPHVIPKFEVSSASLYSLNINSSRISAEWDVTLNVLNPKYWLDVSYKVVSVGVHYNSKHAQDHLGAILLDSFFHGSNDNVTLGVRFNMTVHVDDKVATDIVVSRSRGRVKFGILLSLLVNYSDMFYFYRGRPLKVYCDPLYFVLSPRSPNWVLLHGLTCH >RHN50273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5728360:5729214:1 gene:gene34545 transcript:rna34545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MLYFGYNFLFCLVSFLCFNVLCAESFHTNKCVETERRALLKFRDAVNFNREFISSWKGEDCCKWEGISCNNFTHHVIGLNLEPLNYTKELRGKLDSSICELKHLTSLNLYRNNLEGKIPKCIGSLDKLIELNLGYNYFDGVIPPSLGNLSNLQTLDLSENYYGMSANDLEWLSHLSNLRYLDLSYVNLTLAVDWLSSISKIPSLSELHLYVCGLHQVNPKSIPLLNTSISLKSVDLSDNELQSSILKSFRNMSQLQELYLNFNQLSGKLSDNIQQLCTTKSLGP >RHN64993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63501455:63502297:-1 gene:gene27882 transcript:rna27882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAQNNSTAVMDVDTVEKEKKMKVKKSEMLKLNKFIYEVLKKVHPDIAISAGAVELMNTILTDMMLKLAQKASKHNKIRHKNSPLVEPDISAAVREVFPEDMAGLALLSALKSVIRMNVEDICHGITKM >RHN74288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31504063:31504371:-1 gene:gene10326 transcript:rna10326 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAMNKLYWTVWMIVITSVIILLEKVLLKPRRIRSVLEKQGIKGPKPSFPFGNISEMQQIRPQPPAGADSTEEWVYSLFPYFETWKQRYGMSNMKFLFIFI >RHN67268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25347680:25348785:-1 gene:gene15443 transcript:rna15443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MASAYAESNPLISSDHVKAAVTEFARGFNHSYYLPLHLAILKGDWESTKAFLDNDPSALTAKVTVHGRTALHVAAVGAQWNLVEKLVEHMPANMLTELDFMGCSCLHYVAMGESVNAAKALVAKNPSLTQVTDFKGFTPLIYSLTSTRHRHMVRYLLMNTTDERPGCPFSGPSASQLVALLTASGFHGN >RHN77935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10623940:10626659:-1 gene:gene1445 transcript:rna1445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MTSNTTHFLTINKFHVSFVLFFIVLSIASIVNGSGNECNFPAIFNFGDSNSDTGGMAAAFVQPPTPYGETYFNRPTGRSSDGRLIIDFIADSFGLPFLSAYLDSLGANYSHGGNFATASSTIKLTPIILPQLNGQSPFLLGIQYAQFAQFKVRTQFIKQQGGVFATLMPKKEYFHKALYTIDIGQNDLGGGFYRVMTIQQVTADVPEIVKIFKINVKALYNLGARSFWIHNTGPIGCLPYISLKFIFAERDQYGCAKQYNEVAQHFNLKLKEALDQLREELPQAAITYVDIYSVKYSLFSNSAKYGFEQPLVTCCGFGGEYNYSTTVGCGQTIEVNGSQILVVPCENRPKRVVWDGIHYTEAANKFIFDQISTGAFSDPPIPLNMACHRTSI >RHN66827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19675268:19678566:1 gene:gene14926 transcript:rna14926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein 50S-L18Ae/60S-L20/60S-L18A MATFKYHQYQVVGRALPTEKDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEYMYNEMASRHRVRHPCIQIIKTATIPANLCKRESTKQFHNSKIKFPLVFKKIRPPTRKLKTTYKATKPNMFM >RHN68798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38684487:38685793:1 gene:gene17199 transcript:rna17199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MVMEIVISLIILFVGIAILVSIHFCIVGRAFRRDSNNEDMQVQSSSTRSTAKGMFGGDNIGDLKNLPCFDYVEQPEKGNNNLVDCAVCLESFKVGDACRLLPNCRHSFHVQCIDLWILKTPFCPICRTWVHSRVIMREGSADSDIVHIEMP >RHN52402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34915358:34915791:-1 gene:gene37073 transcript:rna37073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MLQPPGFVEKNFTDHICRLKNALYGLRQAPRAWYTELRVFLLSLGFVNSTADASLFIHHKPGVPPCNTPFSQCKNFI >RHN68355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34839949:34841459:-1 gene:gene16696 transcript:rna16696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MSVDLSVSCLMYMAKMGETKWYFFCVRERKYPTGRRTNRATNAGYWKATGKDKEIFKGNALIGMKKTLVFYIGRAPSGEKTNWVMHEYRLKGNTLSEHNPSTNGMSEWVLSRVFEKKNCAKKKDVSKHGRFNSSREGPSNTNESLLPQLLDSSPYNSENQTTLSDFSQNKVKPKSQDDNIVHNNETSILNISSSSKQMDVYPLAEVIAADLNQTSMVGNSSNFFFSQDQSLLRMQLENENIGTTSNQSINQEFSFGRYSDDDISSVVYGNGMLQRWFGNQELSSASTGHVVNDSLKNF >RHN40591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17954268:17955284:1 gene:gene46756 transcript:rna46756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase (ATP-hydrolyzing) MMQPGTCNYESKSIKYRDFVNKELLFFSMKNLQGSIPSMVDGLTLGQRKTLFTSFKMNLTKPTEVFRLTAYVNQHSDCHGDGDEQSIASTIIGMAQDFVGSNNINLLVPYGQFGTRDLGGKDHASFRKLCTNLNCVTRLLFPVDDDKLLEYLNENGNSIEPNWYIPIIPLVLVNGCHATGTCFSSDIPKYHPCEIIENVRRFLNKEEMVPMKPWYRGFRGTIKKSAKGYTINGLVERINEQTVRIEELPIRMWTEDYKKFLEKKNCSGAH >RHN70013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48014219:48023476:1 gene:gene18552 transcript:rna18552 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNNSSKIELLVWFSQFMGGLCSRSSQDVDRVFANSSDADADSDHNKPNPTTPPHPPQTMDRNNNPPGQLFYDGIPLYADKPRAKQPTTVAKVSEVSSRIGRAGLGKAVEVLDNLGSSMANLNAGSGFVSGSAIKGSEISILAFEVANTIVKGFHLLESLSTKSIRHLKEEVLLSETVHDLVSKDKDELLTIVAADKRQELKVFSDEVIRFGNRSKDPQWHNLDRYFEKISKESSAQRLSRDEAESMMKQLMTSVQFTAELYHELHALDRFEQDIEHKGEEEDQRGDSLAFLRAEIKSQRKQIKHLKKKSLWCRSLEEVIEKLVDIVHFLHLEISNNFGSADGEKPIIGNIGNRQRLGPAGLALHYANIVLQIDTLVARSISMPANTRDTLYQNLPPNIKLALRSKLPSFHVVEELTVADIKDEMEKTLHWLVPIATNTSKAHHGFGWVGEWANTGSEGNKKVFQGGAMRIETFHYADKDKVEHYILELLLWLHRLAIKSKAGSNAGKVKSAIKPSVGATLEETNNQSPNALSSFLTIDERNMLQDVSRKPKMKGNSKSLDFDSLKPTLSEKCRLTKSSSLSSSKSKGLSFNRILSKLPVIDFDIDKERALNVIDRLDVVR >RHN47616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41013695:41014081:-1 gene:gene42236 transcript:rna42236 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLTLFLLFLQLTYFTAFAEEEFDIGGGMGGFRTPFIKPAPEGLRLPRNEPGIKDNPPPGNGNHPVKPRVRDPEENYRPQSHYGYQ >RHN70153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49155802:49156739:1 gene:gene18708 transcript:rna18708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MTFFKALVLCFFLAITMPLPTLATNHIVGDGLGWTVDSDYTTWASDKTFVVGDSLVFNYEAGWHTVDEVRESDYQSCTTRNSISTDGSGATTIPLKKAGTHYFICAVPVHCISGGMKLSVKVQDSSSSSSSSSSSSSAPSAAPSPSGKGSPSSDDTPAATTTTTTIPTIAAPSPSGKGLPSDDTPAATTTTTPTMQSASSATCISPIVAFFFIVSWILIN >RHN74806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36807055:36812295:1 gene:gene10926 transcript:rna10926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MQKDQLKKDVKEVKFFTDYGDANRYKILEVVGKGSYGVVCAAIDTHTGGKVAIKKIHDVFEHISDAIRILREVKLLRLLRHPDIVEIKRIMLPPSKREFKDIYVVFELMESDLHQVIKANDDLTREHQQFFLYQMLRALKFMHTANVYHRDLKPKNILANANCKLKVCDFGLARVAFNDTPTTTFWTDYVATRWYRAPELCGSFFAKDYLSLLEVDFICPSGNCYTPAIDIWSIGCIFAEVLTGKPLFPGKSIVHQLDLITDLLGTPPPEIISGVRNEKARKYLMEMRKKLPVPFERKFPNADPLALRLLQRLLAFDPKDRPTAQEALADPFFKGLAKIEREPSSQSISRMEFEFERRRVSKDDIKELIYREILEYHPQLLKDYINGTEGTNFMYPSAIDQFRKQFAYLEENNGKCGPVIPPERKHVSLPRSTVLSSTIPPSTQSSFAPYANRQIAQEAFSIPRAAESNSLSQSKGLRPPPRAPAAKSGRVTGPVLYDNGRSTKDNYDARIFYQNAIPQAISPHCFQRVAHAHQTSTKTTPETYKDNSQGKHQHSPQKCNVPTRPAIDLNTNPYRQQGKNDLFNDPVTVIDAKLLQAQSQFGAAGAAAVAVAAHRHSAGFQYGLT >RHN60428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26645672:26648347:-1 gene:gene22740 transcript:rna22740 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEENIQHLKIFYGINFESAMDKALLKNEWIYVELKFHFFIGIPENVIQGLRSAKMGIHVCKEKNNTNEDVIFTNPYSRKRKIDEYLNASLSQFHPTLKKHRFVEVGVSETEILQQQHLVLLSGMRNLVLTETIEKEHHG >RHN81281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44534228:44535142:-1 gene:gene5297 transcript:rna5297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MDFITRSIVLLVFFATTATSADIFLEWHVSLGLNDDLVSENKLVIAINGMFPGPLINATTNDIIHVNVFNGYIEPVLFTWYMVLYVFNVFVLDLEMVLELNMQNYGFVMHRNGIQQRQNSWQDGVSGTNCPIQPQTNWTYVFETKDQIGTFFYFPSINFLKAGGGFGPIRVNNRAVISVPFPKPEAEFDLLIGDWYNRSYMDVRDRLDTMDIVSPSWMLINGKGPYMNNFSKTYETFNVTQGKKK >RHN63329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50419949:50420173:-1 gene:gene26016 transcript:rna26016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Albumin-2 MTKPGYINAAFRSSRNNEAYFFMNDKYVLLDYAPGTPNDEVLNGPEFVRDGFRSLAHTVFGSYGIDCAFDTDNT >RHN56765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33541223:33542232:1 gene:gene32209 transcript:rna32209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MYQSSYQHMNHTNNNDGKSKEWTWDENKIFETILFEYLEEVQEGRWENIGLVCGRSSTEVKEHYETLLHDLALIEEGLVDFSTNSDDFISKASIDEKKDPPTKNKTKKVVSVKHWTEEEHRLFLEGIEIHKKGNWKMISQHVRTRTASQVASHAQKHFLHQLDGTSKKTYKKRSNFYITSLKGNSKPLLNKDNIPSPSTSWDGNFHPLLYKDNYVPALPSPHLN >RHN53056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41558501:41563878:-1 gene:gene37805 transcript:rna37805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mediator complex, subunit Med4 MLQHQVVQSPARLGLTNPNSPLIPNPTPPKLPPLQTTNHHQDRHLATPSPALLSLLPPLPRAQALLQQMASLSTKLFEVSPNKSLWVSAFRGSLPTFLSSQGQPRSSASLDSSPSTTKEILSLFTNLQTQMFEAVSELQEVLDQKDAKQKIDQEICSKDSALLAFANKLKDAERVLDILVDDYSDYRSKTKRLKLGDGSEDVSLTTSTVSSQLKLSDILSYAHRISYTTFAPPEFGAGQAPLRGALPPAPQDEQMRASQLYNFADLDIGLPKSAETTEKTIEAIIEPPPLQPVDANSLANLPGIQGLLPPNFTVPAGWKPGMPVQLPIDIPIKPPPGWKPGDPVALDSLSIPRIEEQQLHPHVPQPKLPEIIQVAPVNLDLGESDSSDYSSDDASTDDED >RHN43652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47482909:47489372:1 gene:gene50261 transcript:rna50261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CK1-CK1 family MERIVGGSYKLGRKIGSGSFGEIYLATHIDTFEIVAVKIENSKTKHPQLLYEAKLYNILQGGSGIPGLKWFGIDREDNVLAIDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRESTTNRHIPYRENKSLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLRAATKKQKYEKICQKKVSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFAREGHEFDFVFDWTILKYQQSQKNLVQPSLSPVPGASNRHAVAMDVDNHQGHGEERIRSGNATGSGVKVQFKSPAGRNLSSDNPRDKNIFGEANMASASYSPAGTSKRNPLNPALSAEPSNTGHGQASKIGPSSSWMSSLQRISSSK >RHN80440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38171547:38172131:-1 gene:gene4368 transcript:rna4368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MRLQQLNMLLVPFFLLVLTGTKTKGSPIPSMDSPIPLLSDHFPDPFCVMKQTSFGVEKDQPAMTLSPVKVDWKETPEGHVITMDVPGLRKDEIKIEVEENSVLRVIGERKKEVEKKGDRWHRAERSYGKFWRQFRLPENADLDSVKAKIENGVLTLTLNKLSHDQIKSTRVVSIDEENEKSPKVNNDGANNNEL >RHN66893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21034034:21036540:1 gene:gene15007 transcript:rna15007 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEIFQSWKMKVGHLIIVVLVMISSINVPKYVFQFLTRKDEELF >RHN49303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53931245:53934217:-1 gene:gene44118 transcript:rna44118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKKDPTNLFILFGILLLSITKTQSQATNDNPTNPNFNQEFNPSFAIIIVILVAALFLMGFFSIYIRRCSDSPSSNNLLLPITNGRRAVARGLDPSVIETFPILEYSEVKIHKIGKDVLECAVCLMEFEDTETLRLIPKCDHVFHPECIDEWLSSHTTCPVCRANLVPQPGDSVHGVPESQQQDVEAQNDAVQLPTESDSVLLAPEVISLNKTLNRNRTRGSQSNRPRRFPRSHSTGHSLIQPGENTDRFTLKLPNKVRKQIMSRQLQRARSLITLPRESSSRHGYRTGGEGSNRGKSLRRLDLSFKSDRWIFNRAPSFLARALSFRSPKPKVNNSDDDEGTSSAAAPIMPSSAVDSARPQI >RHN43600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47146860:47152430:1 gene:gene50206 transcript:rna50206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MMNNKMSNVSSSNLIDSKLEEHQLCGSKHCPRCGHKFDQGKPDWLGLPAGVKFDPTDQELIEHLEAKVESKNMQKSHPLIDEFILTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTEECDLQGSTGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDNNQRIISATTTTGEGSGGEPNYNINGRRDSGSGSSSSKEIVTQIHRDEMSAVVGVPSPLTSFTNALDIQHLKSDHFGFIPFRKSYDEVGIGEGSTTREMQASGGSCDQEVHERQHHVLAHHHQQQQQQQHHQQQQQQHDHHVHQQISANAAFHISRPSNPISTIISPPPLHHTSTIILDDNSYHVSTIMLQQNENFQQQQQHHKLGGGRSASGLEEVIMGCTSTSSDIKEESSIRNQQEAEWLKYSSYWPVDPHDNNHHHHD >RHN72620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9200284:9200491:-1 gene:gene8363 transcript:rna8363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription initiation factor IIF, alpha subunit MNKEKYKNRPWFLEDETGQSQFQGHLEGSQSATYYLLMKGKK >RHN64225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57415000:57416301:-1 gene:gene27015 transcript:rna27015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSHSTLSYFPREDTQWKLPRPTMEKNKTDAPIQITTEKILIEAKELQETKICTPKQKCPRSVSEVDDLNHTLLNYSCKIVVFENEVRENPFNYDSWFEYIRLEESVGDKEKTREVYERAISNVPPNQEKISWRRYIYLLINYARYEEFDTRNMERARDVYRNFLKLMAHKKFSSAKLWLLAAQFEIRMLNFKGARRILDYAIGKAPKHKLFKKYIEMELELGNTDRCRKLYVNYLEWSADKCKAWSKYAEDLERSLSETERARAIFESAIARPELVKQELFWKAFHDFETAKCEFERTRVHYERIPNRKKQHLEIWISYAEFEATATYKAGLEQKKQCIEHARRVFEEAVSYITSSAPDSREERAMLLVKWLNLEASSGELGDVSLVLPKLPKKQQKKRRKLTTEDGSSRIEEFFNYRFPEETQVNHLKKQKL >RHN53413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1522858:1523499:1 gene:gene28316 transcript:rna28316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone synthase 1 MYITEEILKENPNVCAYTAPSLDARQDMVVVKVPRLGKEVAVKAIKEWGQPKSKITHLIFCTTSGVDMSGAHYQLTKLLGLHPYVKRYMMYQQRCFAGGTVLHLAKDLAENNQDARVLVVCSKVIAVTFCGPGDTHLDSLVGQALFGDGAAALIVGTDPVPEIEKSIFEMVWTAQTIAPNSEGAIDGHLREVGLTFRLLKDVSVTVSKTLIKH >RHN53251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:394928:399969:1 gene:gene28141 transcript:rna28141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase with an alcohol group as acceptor MKLNGEEEEEKVVVGYALTSKKKKSFLKPNFIALARNKGIFFVAIDLNKPMLEQGPFDVVLHKLPGKEWREIIEDYRHKHPEVTILDPPDAIQHLLNRQSMLQNVAELNLSDCHGKVGVPQQLVITKNASASTIPYEVTKAGMKLPLVAKPLVVDGSAKSHELCIAYDELSLLKLEPPLVLQEFVNHGGLLFKIYIVGETIKVVRRFSLPNVGKHELLDVDGLFRFPRVSCAAASADEADLDPNIAEHPPKPLLERLARDLRRRLGLHLFNIDMIREHGTKDVFYVIDINYFPGYGKMPEYEQIFIDFLLSLVKNKV >RHN44288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3231396:3235434:-1 gene:gene38388 transcript:rna38388 gene_biotype:protein_coding transcript_biotype:protein_coding MIVYSVNVYFWRRFKINYPFIFGFKEGTELRYREVLLLSSGLAVLTLNAVLSNLDMEMDQRTKSFSAFTELVPLGLVIVVLLILFCPFNIIYKSSRFFLIKCAFRAICAPLYKVHFPDSFLADQLTSQVQAFRCLEFYVCHFFWGDFKTRSNKCIESEIYKTFYLIVAITPFWIRFLQCLRRLIEDKDKMHALNGLKYTSTVVALAMRTTNEFRKGTVWKILAATSSSIATAFNTYWDIVMDWGLLKKDSRNPWLRDKLSLHDKNLYFVAMVLNVILRLAWMQSVLGIKEAPFLHRTALTALVACLEIIRRGIWNFLRLENEHFNNVGNYRAFKSVPLPFNYQVDDDEDSSDT >RHN60933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31674887:31678781:-1 gene:gene23330 transcript:rna23330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MASQASLLLQKQLKDLCKNPVDGFSAGLVDENNIFEWSVTVIGPPDTLYEGGFFNAIMSFPSNYPNSPPTVKFTSEIWHPNVYTDGRVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANVEAAKMWRDNRDEFRKKVGRCVRKSQEML >RHN66253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10046561:10048403:-1 gene:gene14198 transcript:rna14198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKIVNFVYSMIIFVSLFLVATKGGSKPFLTRPYPCNTGSDCPQNMCPPGYKPGCEDGYCNHCYKRW >RHN43468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46116612:46117121:1 gene:gene50050 transcript:rna50050 gene_biotype:protein_coding transcript_biotype:protein_coding MFACLKKTSQKKIKVEKIIKATKDVCELTQKQTTCKTKKRVRFVDSEPTILGEENNNEEFEKKRCISSNDLVEKEGIRVTIRLTKEQAAELLSRCNGSVLELKDVARELLSIQGKREGAFVQTISS >RHN39224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5089452:5096665:1 gene:gene45228 transcript:rna45228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin-conjugating enzyme/RWD MTDYAQEQEMEIEALEAILMDEFKEIHSGESGLSTSNRCFQIKITAQEEDGDESNTNPAQQLALIFAHTEKYPDEPPLLNVSSLQGISSEDLRILKDKLQQEASENLGMAMIYTLVSSAKEWLTERYCEDSDGDAEAEEAAKEDIVVPHGEAVTVETFLAWRERFEAELALERAKLMPESVLATSKEKKLSGRQWFESGRMKGAAVVTEDLDEEDEEDIDFDDDGDFEDDEDDMLEHYLAEKSDSSTHSSMRAS >RHN61938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39490260:39493424:1 gene:gene24452 transcript:rna24452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein Ist1 MFRRSFKPGKCKNALRMAAARIKLINHKRGLQVKQLEREIIYLLESCQSHTATIRVKAFEMEQKKMAAYDTIAIYCELIASRMPLIESQKNCPGDLKEAISSVIYASRLCTEIPELLDVRMHFISKYGKIFVSDAEFRSEGGANFMLMEKLSSKPPDHPTKIKILVAIAEEHNIKWKPNDIGQSTFGKAAYVEPSEVHTSPVHDDEGPANSCATSQLEPKQDASTHSYEQNASGSARNGSGNHSTTPGMANVEITFSEDGGQEMNFEDSYFENRSAFPVGKHLDMESKGATSAALADISSSLRAHVKSSDFDSYALVADSQKTTSTHEPHIQNKKSETKKKSSSLASIRYFDSDDSDSEDGLHKRNSASVAHPIRRICQRT >RHN57745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41444597:41447547:-1 gene:gene33329 transcript:rna33329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MENQSSVSSLTLLVLKKVEEKNKTEKINDVVMEWLNDVDKVMEEEEKMEIEMEILEILCTSIDSEKRYRLYNEMLRKIKTLNTNCEFEPFSSPIPGLEYFSFGNFVCFESTKVASDQLLEALQDGNCYIIGLYGKKGSGKTKLVKAVGEKAKYLKIFDAVLLANASQNPNVRTIQDKIAESLNLKFDRNTEAGRARTISSALQSRDRILVILNDVCSKLELEDIGIPCNGNRCKVLLTTRRQRECALMDCQREIPLGPLSKDEAWTLLKKHSGIDDESSSEILNVAHQVAYECEGLPGTIKEVGSSLKSKPVEEWKESLDSLRHSMARYHIFLSFRGEDTRYSFTGSLYRALCQVGFKTFMDEGGLEGGDQISLSLLNAIEASRLSIIVLSENFAYSSWCLDELVKILECMKMKNQLVWPIFYKVEPSDVRYQKNSYGKAMTEHENNFGNDYEKIQKWRSALFQVANLSGLCYTTGYEYDFIQMILERAKNIKTRLYIQSMDMD >RHN63702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53344264:53345991:1 gene:gene26438 transcript:rna26438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MVGEIDTTPIEPVRHGVSLFDDKGDNKKFLPRNKRDYEKEIEDLTTELASYKVQLEAKHVAHIKALLKPEQNQKMIQELSTLLKNSDIERNKYVNEHSEGRASSKDELESMMKEMADLRLETVKVRDQLSHVLSELKSTQRELLNKDTDIFAARDSELNAVTKAEQLENELKMEKEQKEELLHQVNELNERVHRSKLAAIKVEKQNIGMLYQKDEEIQLATKDNDQAQQEIVDMRKNIDMLHGLQNKQMVDETLTLSEDSPSNAIKDLYNDIEQKERYIMDQSSCIDRLEMELNQLKNELTSAKEEINELNINNESLTSELHRTKEELKTNKERDIDAQVEIALLKSHLQEYRLAYKDGYITDHSKGEAEENNDSITISLEDYNYMIKEVQKGNKKGNQIELALMKKELENASLKISEMRTRAEQAISRAELAENGKAALEDKIRRHREHRLRKKAALTALREESTPKPFTPSTSYGTPSMYQPLSKVLNIKL >RHN44511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5558554:5560413:1 gene:gene38639 transcript:rna38639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative naringenin-chalcone synthase MVSVSEIRNAQRAEGPATILAIGTANPANCVEQSTYPDFYFKITNSEHKTELKEKFQRMCDKSMIKRRYMYLTEEILKENPSVCEYMAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIVCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPVPEIEKPIFEMVWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPGIVSKNITKALVEAFEPLGISDYNSIFWIAHPGGPAILDQVEQKLALKPEKMNATREVLSEYGNMSSACVLFILDEMRKKSTQNGLKTTGEGLEWGVLFGFGPGLTIETVVLRSVTI >RHN57968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42812495:42816135:-1 gene:gene33564 transcript:rna33564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MTYNIISRATFGDKCNDQEAFILFIKECTRVVESVNIPNLFPSQHWLHVISGMVHKLKTMHRSGDMVLEKIINKAITKTSGDGSLLSCLLNLKDESSQTGFHLTTNICHHSVQDIIIGGSEPSTTTMEWAFSEMLKNPRILKRAQEEVRHAFVSRGYVDEKDLEELKFLKAVIKETFRLHPPNPLLLRECAETCEINGYTIPGGTHVLVNTWAIARDQKNWSDGDKFYPERFLDSPIDYKGSNFDFLPFGAGKRMCPGILFATPTIELPLAQLLFYFDWQLPFGISHENLDMTEAFGSVAKKKSELFVIPIPYNQ >RHN54078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6769656:6770663:-1 gene:gene29059 transcript:rna29059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative powdery mildew resistance protein, RPW8 MTDLLSGGAVGAGMGELVKYAIQTINSGLQFGSTLKTSNETLNVLALYVEKMKGYNDLLDRPKEEIGRLEALVREGEELVGKSKKLTWKNFYFFPGYQGKLKKQDAKLERHLNVNVQVENKNDLMELRVKVDEMYKILKIVNRMVSLGQFDGKQIRGLCGAPEEPGFIGMDEPAPLNNLKVKLMKDGVSVLTVSEFELTTLDNMLCRDPQIRGKFGRNIFYVRLSRTPNLKNIVQTIIDSCGFWVPEFQNEDAIDILGLLFREIGFPILLLLDEKFKFQLPDYKILYTGET >RHN52594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36968801:36969087:1 gene:gene37288 transcript:rna37288 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLQVILSSRWWLTVSRALIRGMGFYCFLSFLCIKFWIMLL >RHN77621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8201460:8201732:-1 gene:gene1093 transcript:rna1093 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSYMGFSRIMPFILRIISKLKYWWVINVAKEICGLLFSIFVFYIFKLIEGTPERVGEVEMQPHKWNWTQKNLKGNVFPSTVLVILITI >RHN63012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47603009:47606719:1 gene:gene25660 transcript:rna25660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NRAMP family protein MYPNSQEQSQPLIVEEQEEEKPQETAYDSSEKIVVVGIDESTNWGTSVPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATAMGLLIQLLSARLGVATGKHLAELCREEYPRWARYVLWVMAEFALIGSDIQEVIGSAIAIRILSNGVIPLWAGVVITALDCFIFLFLENYGVRTLEAFFAVLIGVMALAFAWMFGEAKPSGKELLVGILVPKLSSRTIQQAVGVVGCLIMPHNVFLHSALVQSRQVDHSNKGRVQEALNYYSIESTIALIVSFIINIFVTAVFAKGFYGSEVANKIGLENAGQYLQETYGGGVFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWMRALITRSFAIVPTMIVALMFDATEDSLDVLNEWLNVLQSVQIPFALIPLLCLVSKEQIMGTFKIGPVLKIFSWSVAALVTVINGYLLLEFFSAEVNGIIVGVVVCTITAAYVAFIIYLILRATTFSPWQSVTRPKAILPSDS >RHN76728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:780326:784318:-1 gene:gene98 transcript:rna98 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MDFDRLGPSDPGGSSRRSTAPLTPSTTSNSSPKSNKKLIMLSILAAVLIIASAISAALITVVRSRASSNNSNLLHSKPTQAISRTCSKTRYPSLCINSLLDFPGSTSASEQELVHISFNMTHRHISKALFASSGLSYTVANPRVRAAYEDCLELMDESMDAIRSSMDSLMTTSSTLSNDDGESRQFSNVAGSTEDVMTWLSAALTNQDTCLEGFEDTSGTVKDQMVGNLKDLSELVSNSLAIFSASGDNDFTGVPIQNKRRLMGMSDISREFPKWLEKRDRRLLSLPVSEIQADIIVSKSGGNGTVKTITEAIKKAPEHSRRRFIIYVRAGRYEENNLKVGKKKTNIMFIGDGRGKTVITGKRSVGDGMTTFHTASFAASGPGFMARDITFENYAGPEKHQAVALRVGSDHAVVYRCNIVGYQDACYVHSNRQFFRECNIYGTVDFIFGNAAVVFQKCNIYARKPMAQQKNTITAQNRKDPNQNTGISIHDCRILPAPDLASSKGSIETYLGRPWKMYSRTVYMLSYMGDHVHPHGWLEWNGDFALKTLYYGEYMNFGPGAAIGQRVKWPGYRVITSTLEANRYTVAQFISGSSWLPSTGVAFLAGLST >RHN68846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39064375:39065989:1 gene:gene17257 transcript:rna17257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MADRVHPKSSPPSKSNTVPSSSPPPSGTYVIQLPKDQTYRIPPPENAQRYANYTRRKSRRCGCCCCLCWFIGILFTLIVLMAIAAGVFYLIVRPEAPKYAIDRVSVKGMNLTSLSTISPEFDVSVKADNGNKKIGIYYESDSSVEMFYRGVSLCKGVLPAFYQPSNNVTVFQTVLKGNGIELVRSDQRALVNAVTKGSVPLTLKLRAPVKIKVGSVKKTWKVRVKVDCDLTVDQLTAQAKIVDRDCSYGFDLGYENHYLDLGIWD >RHN40824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21719721:21726353:1 gene:gene47041 transcript:rna47041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MTQKIQQLKNSKELEFFTEYGEANRYKIIEIIGKGSYGVVCAAIDTHTGEKVAIKKIQDAFEHISDAVRILREVKLLRLLRHPDIVDIKRIMLPPSKREFKDIYVVFELMESDLHQVIKANDDLTREHHQFFLYQMLRALKYMHSANVYHRDLKPKNILANANCKLKVCDFGLARVAFNDAPTSIFWTDYVATRWYRAPELCGSFASKYTPAIDLWSIGCIFAEVLLGKPLFPGKSVVHQLDLITDLLGTPSFETIAGVRNDNARKYLMGMRKKPPVPFEQKFPDADPSALRLLQRLLAFDPKNRPTAEEALADPYFKGLAKVEREPSCKPISKLEFEFERRRLTKDDVRELVYREILEYHPQLLKDYMNGTEGANFLYPSAIDQLRKQFVYLEENDGKSGPVLAPERKHVSLPRSTVHSSAVLPSNQSTFALCENKKMVEDTSKGLRAAAENSGNQIRGVQPPPRVPARPGRVVGPVLHYEDARITYSSIDPRMVYQNTTQAVSSHFRTQQSNLQATPTSDLNNNPYRRRGSNNNLNDHVTIDTKLLHAQSHIGVVGAAAVAVATHSHRYSRGIQYSLS >RHN49007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51713621:51717096:-1 gene:gene43786 transcript:rna43786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain, acetyltransferase A, auxiliary subunit MAVTTHSLTATEKKHWWLTNRKIVEKYIKDARSLIATQEQSEILSALNLLDAALAISPRLDQALELRARSLLYLRRFKDVADMLQDYIPSLRMTNEDPSSGSSSSSSSSDSSSSREGVKLLSSDSPVRDQSFKCFSVSDLKKKVMAGLCKSCEKEGQWRYLVLGEACCHLGLMEDAMVLLQTGKRIASAAFRRESVCWSDDSFPLLTIPLAGDTPNQQPTTPPRAPLNETESVTHLLSHIKFLLRRRAAALAALDAGLYSEAIRHFSKIVDGRRAAPQGFLAECYMHRASAHRSAGRIAESIADCNRTLSLDPTCIQALETRASLFEAIRCLPDSLHDLEHLKLLYNSILRDRKLPGPAWKRHNVRYREIPGKLCALTTKIQELKQRLSSGETTSVDYYALIGVRRGCSRSELERAHLLLCLRHKPDKATNFIERCEFADERDIDTVKEKAKMCSLLLYRLVQKGYTSVMSNVLDEEAAEKQRKKNVAAAAQAQAAAIQVQVKKTNEAELKNNKAENKSSNVFVENDQPLISSCTVNPAVFQGVFCRDLAVVGSLLTQARFNRTMPVKYEALSC >RHN82017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50598079:50599704:1 gene:gene6133 transcript:rna6133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MDFVSCTLVFLLTCLSIHALYSLLTRITKPNYKLPPGPSPLPIIGNLLELGEKPHKSLAKLAKIHGPLMSLKFGQITTIVISSATMAKEVLLTNDKFLSKRNIPQTVSVLNHEQYSLAFMPISPLWKELRKICNTQLLSHKSLDASQDVRRKKIQQLLNDIHQSSKIGEAIDIGTIVFKTTINLLSNTIFSMDLIHSNGAAGEFKDVVTNITKLAGTPNVADFFPVLKLLDPQGLKRRQTKNVGKLLDIFEDLVNQRLEMREGTSVDTNKDMLDAMLNISKENEFMDKNMIQHLSHDIFVAGTDTTTSTLEWAMTELIRNPEAMQKAKKELKQTIGCGVPLEESNITELPYLNAIIKETLRKHPPVPFLLPRKAERNVEISGYIIPKDSQVLVNVWTICRDANLWENPTLFSPERFLGSDIDVKGRNFELVPFGGGRRICPGLQLANRMLLLMLGSLVNSFDWELEGGMKPEDMDMDDKFGLTLQKAKPLRILPLPPIN >RHN56057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27243115:27245527:-1 gene:gene31383 transcript:rna31383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ZC3H15/TMA46 family protein MGAESERKEEERPIRASITQDQFLSWKRHKDAAESARKAEQSRKRAEDIAAGTVQMNGRELFLHEPWVFDDSRV >RHN55627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20226965:20227729:1 gene:gene30834 transcript:rna30834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MCTCDGILCFTPSTSKDNFVVLWNPSIRKFKRVPPLGYQCRLFSNHYSFGYDPFIDNYKLIVVYFSRESEKNEISVHTLGTEYWRRIQDFPFSGHIGGPGIFVKDTVNWLAFENVDNNGLFAIVSLDLETESYEIISIPDVNSDKYWSLEVLRDCLCIYVTSDLDLDVWIMKEYAIKESWTKLYSVSFVGGQMYDIRTLYIFEHDQILVELHDWERTQHLIVYDSKIDTFNIQDIENGSLLKNPKVYIESLISP >RHN51683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22674476:22675569:-1 gene:gene36177 transcript:rna36177 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVEMFKEVTDHSELPKWHSRSTVPHQTAYCDTTLDEHDFLSSMKTVNDDFSQILKQY >RHN81944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50003031:50004843:-1 gene:gene6045 transcript:rna6045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein MAVSSYQSIMSSSITSSISSRPRVNQFNNIPSVYMPSFRRNASLKVRSMAEEEQKEQSEVPLDPITTPSAPTPPPQSAYTRAPKMSTKFSDLMAFGGPAPERINGRLAMIGFVAAMGVEIAKGQGLFDQISGGGIPWFLGTSVLLSLASLIPFFQGVSVESKSKGVMSSDAELWNGRIAMLGLIALAFTEYVKGTSLV >RHN79831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32658154:32662399:1 gene:gene3674 transcript:rna3674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal transduction histidine kinase, phosphotransfer (Hpt) domain-containing protein MQTQKARNGTSGVPRKKSPSNPRTARKTKSSGSDSNSASSSSNPANKTPKDRSPNVKSTQSPISEKNGTNRVHELESRLSQLEEDLKKAKEQLNSSESLRIKAQHEAEDAKNRLLSMSRELEESQRQLLELSNSEDERIQELRKISQDRDREWQSELEAVQKQHSMDSAALASAMNEIQKLKMQLERARESEATRIDNAESADAEIQDLRMELDETLSLIAKMKNEVSHCRESESQALETVGTTQMQLEAANKTVETLRLEGAKASEDYQSLALELEKSRSQVSSLEELVSKLQDDLVSGVGLSPRLPALEVVESEEINRLKAELVSVKAEAGQLKSALDGAEVRYQNEYIQSTLQIRSAFERLENTKSESYQRQAELYEELKKSKADVEELRARLMEKESHLQDSDVAELKARLLERETKLQNITEENNTLKMEIKREELEKNKIMDEAVASAEAARAAEREALTKLSYITEEADESNRMITRVTEELDTAQASNLELEAELRRLKVQSDQWRKAAEAAAAIISSGNNNKKIVERTGSLDGGYNNSTIGNKMSSPYSEETDDDSPKKKNTTMLKKIGVLWKKNHQ >RHN71959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3956810:3957412:-1 gene:gene7621 transcript:rna7621 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHGKKMPKHEGAALLMTYLGVAQHEAEKICNQEYGGYISYPRLRDFYTSYLGRANVLAGTEDPEELERVRTYCVRCYLLYLVGCLLFGDRSNKRIELIYLTTMADGYAGMRNYSWGAMTLAYLYGELADACRPGHRALGGSVTLLTVRKLKFYIYEGADRADFDQEESPEAEEEAPSSGSGPVVLFSFFRTYFLVLVF >RHN67760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30034581:30036947:-1 gene:gene15985 transcript:rna15985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MIHSPIFFTILVLLFHFQHSLSFSLSVERHENDFIVSPKGTFTAGFYPVGENAYSFAIWFTQKHKNLTNATVVWMANREQPVNGKRSTLSLLNTGNLILTDAGQFNVWSTNTYSLKQLELVLYDTGNLILREHNTNGFILWQSFDFPTDTLLPDQSFTRYMNLVSSKRDTTNYSSSCYKLFFDNDNLLRLLYDGPGDSSVYWPDPLFLDWQDSRSMYNHNRVATLNRLGNFSSSDNFTFITSDYGTVLQRRLTLDFDGNVRVYSRKQGQEKWLVSGQFVQQPCQIHGICGPNSTCSYGPIKGRKCSCLPGYSIINNQDWSQGCKPSFQFSCNNKTEYRFKFLPRVQFNSYHYGFRKNYTYKQCEHLCLQMCECIAFQFRYIKKKGVNNCYPKTQLLNGLRSTEFKGSLFLKLPKNNIVFSPEYDNLVCSRNNGIKQLQRLYVGEKENGLVNFMLMFASGLGGIEVLCFFLVGCILFKNRKQSSVDNHGYVIASATGFRKFSYSELKKATKGFSQEIGRGAGGTVYKGILSDDRVVAIKRLHDTNQGDSEFLAEVSIIGRLNHMNLIGMWGYCAEGKHKLLVYEYMENGTLADNLSSNELDWGKRYGIAIGTAKCLAYLHEECLEWILHCDIKPQNILVDSDYRPKVADFGLSKLLNRNEHDNSNFSRIRGTRGYMAPEWVFNMQITSKVDVYSYGVVVLEMITGKSPTTGIQIKDKEELYHERLVTWVREKRRKVLEVACWVEEIVDPALGSNYDAKRMETLANVALDCVQEDKDVRPTMSQVVERLS >RHN62067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40449211:40450489:1 gene:gene24597 transcript:rna24597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MHSMAFRIMISFVVTLVLLSSICDAQLSSTFYDSTCPNALSTIRTVIRTAVSKERRMAASLIRLHFHDCFVQGCDASILLDDTSTIESEKSALPNINSVRGFEVIDKAKANVEKVCPGVVSCADIVAVAARDASFAVGGPSWTVKLGRRDSTVASKSQANSDLPKFTDDLTTLIAHFTNKGLTLKDMVTLSGAHTIGQAQCFTFRDRIYNNASDIDAGFASTRRRGCPSLSSTTNNQKLAALDLVTPNSFDNNYFKNLIQKKGLLQSDQVLFGGGGSTDSIVSEYSKNPTTFKSDFAAAMIKMGDIQPLTGSAGIIRSICSAIN >RHN65554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3161076:3161987:-1 gene:gene13401 transcript:rna13401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MASESSSISVTSQDQGDSSHSKKEVNMKEIEQVQTSNSKSDKPIDFLKLSNDNSVSVSKMQEHNFFGPIRVGSSSSLPNNNNQGKDANNGEKKTSDPVSFLCSFCKRQFSTSQALGGHQNAHKAERALEKQRQQRYDGSALSFGQPYFNPYLSYPSTLFTPYSYRSLGVRSESMIQKPPYFNPRITPHSFGYSHSALLQEMLNPSLVSLRNMGVGNSVIGNLGIGGATTSKIEYGTYNKIGAILELGDSSTKVATISNSNMEKQIIVAPNSTNDDDIHDQSKSNIEEEPSDSESSDLDLSLKL >RHN54324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8669438:8674106:-1 gene:gene29336 transcript:rna29336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MLAIATRTTKMFNFSTLVHNPQPHTLFFSPFPSSTPRKFQSFQSLTNRNLHKILSSSHQHDNNYEEHIIGDCLVFEEGIFEDPIFPASDINLVDTKKPKPISKKKKKTVIKSENLVPDKWKEVQAEINITKKERRKIAQEIEFNSKVMKKKRGLIPLRDMDLNEYKAYKEAKLAQMKPLVLDKVFAEKEDDEEEGGLSDGSGDERVVPRNPRWAVYGRGFEDVNEFLNSESYDPAAAMKTGGRPRLFTREERALLSRKKPDLSVATSDKWLPLHTFAASGESFLLESLLHHDVDINVMDKDGLTALCKAVIGRKHAITNCLLRNSANPFVQDNDGATLIHYAVQTASVRTIKVLLLYNVDINLQDNDGWTPLHLAVQTQRTDIVKLLLIKGADQTLKNKDGLTPLDLCLYSGQNVRTYELIKLLKQPYRRSRQMGIRKK >RHN46219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29778901:29780578:-1 gene:gene40673 transcript:rna40673 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTQKDEYVQLKLLVNEETNKVVFAEAEKDFVDILCSFLTLPLGTIARLSQMDSSMGPVKIGCLNSLYQSVAGLDECLCTETNKEMLLYPRNSSEDYCGTLKLNIDDVEPTEYFMSANKHCGSESDCECDYFPANIHGKCGRCSSCCNCPVFLRPFYKGFVNSIATFVITDDLIIMPNSMVHTSFSLLQNSGIATSSAKEMTVNVTKEKVLDLLKCALVSLSPLTDVFLGKKPSIQRYLFSAGTFKNNRYIQSILQLVIRKSDGKILFAHAEQDFADLLVSFLTFPLGGVVSNLRGYGSIGSVGELYKSIFKLDIRKYLMTKEAKMRLVHPHLSSEFKLSKNILPIKTERRHFYCYYQGESYKKSIINDQFFVTDEYRSDEKKCETIDLIKGNSLKRSASDEGYLKGPRTYLVTDELVIGPSSSSISALLLINRLQVPLEDLKEKIVTIGVKECFSILEAALTTTSALTNGLNHLLTKVKEEK >RHN69757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46042906:46043770:-1 gene:gene18270 transcript:rna18270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MYAEYGICGGRVFLLNSNTSTINSRKKATNLLASCYQVSLIHSLKFLCSKTTNNLSSSCKLYFFTSNISSSPTSNPYLFNLYANGFPLPLIPSPPKVSCCSRGLFHCLPLCQEQHLRHRVLKNDHPQFSTISSIFLLCVLFPWQMLYRVFQTKERLFFQQLFQHIDMRVTEDNLLLRLHLLQLDREDVKIYVDHKTITIKDAHGGSIFGIFGDGSRFTYTLELTEQYCKHPITAKMENSMLEVVVPKMNNEEDMLNVVLQGVTIDVKVE >RHN43381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45302479:45308277:-1 gene:gene49944 transcript:rna49944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling ARID family MANGSFLDRLEAEFHGNGGCIVEYRADRDKAKQKSLFCQIFSVYLKENCSRGIVRPMPVMLGDGPLLDLYQLFFLVKEKGGYDAVSRKGLWDSVIIEMGFNLHVLASVKLVYEKYLSDFEGWLSKTFEERSLKNGNHGYLNSLPIDLEKEFRSLLCSNLKDNDDDFVPLESSNIIKRIEFVNHKSDGYLLDTNYQNNKWEGVQNVDGDDIDDDEKFGNGVEDYLAASCVEISEKEFNSRKRKRESLSGMVNWTRHIAKHPLDPVAPPLPEPSRWKQYKGGQDFFVQLLRARDVLSVRKQAEPNGGSSSQKVKMHPAMYEDQVALGHQGTVKLRYSERLPVSVKSRCLCCNSCSGKGNKLHGSVNMESEICPLEKTTAKPDVVTEKKKSTAKPDATEKRKSTANPDVTEKRKSEPSMDDDFREKLVSVGHLFQAEVPEWTGIVSESDSKWSGTQVWPVKDDSKPTTETDLVGRGRRGRCSCNVQGSVDCVRFHIAENRMKLKLELHSVFYHWGFDKMGEEVSLRWTADEEKRFKDAMRLKFPSQNKSFWNNPSRYFRNKTRKDMVSYYFNVYLIQLRSYQNRVTPKTVDSDDDEVEFGSFGDGFGREAMKHPYMDYLECSENKQCFDFE >RHN71648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1642117:1649745:1 gene:gene7269 transcript:rna7269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MAEESGDEINGGFSMDSTESRWVFHEDDEDEDASDIEEYDTDLRFRGRVNVHDSEEEEDEDNAEQKLIRTGPRIDSFDVEALDVPGAHRNDYEDIGVGRKIVLAFQTLGVVFGDVGTSPLYTFSVMFRKAPINDNEDILGALSLVLYTLILIPLVKYILIVLWANDNGEGGTFALYSLICRHAKVSLLPNQLPSDARISSFRLKVPSPELERSLKIKERLESSLTLKKALLIFVLAGTSMVIANGVVTPAMSVLSSVGGLKVGIDSIKQDEVVMISVACLIVLFSVQKYGTSKVGLAVGPALFIWFCSLAGIGIYNLLKYDRSVLRAFNPIHIYYFFKRNSTKAWYSLGGCLLCATGSEAMFADLCYFSVRSVQLTFVFLVLPCLLLGYLGQAAYLMENHADAGRAFYSSVPSGAFWPTFLIANIAALIASRAMTTATFSCIKQSTALGCFPRLKIIHTSRKFMGQIYIPVLNWFLLAASLVLVCSISSIDEIGNAYGIAELGVMTTTTVLVTLVMLLIWQVHIIIVLCFAVFFLGLELTFFSSVLWSVTDGSWIILVFAVIMFLIMYVWNYGSNLKYETEVKQKLSMDLMRELGSNLGTIRAPGIGLLYNELVKGIPAILGHFLTTLPAIHSMIIFVSIKYVPVPVVPQSERFLFRRVCLKSYHIFRCIARYGYKDVRKENHQTFEQLLIESLEKFIRREAQERSLESDGDDDIDSENEYSSSRVLIAPNGSVYSLGVPLLADFQDTSASVLEASTSEVLSSTTSDSLLFDAEQSLERELSFIHKAKESGVVYLLGHGDIRARKDSWFIKKLVINYFYAFLRKNCRRGITTLSVPHSHLMQVSMTYMV >RHN61334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34884105:34885049:1 gene:gene23788 transcript:rna23788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MEVTTYLSLLAIYLILLNHQVTFAQDNPLISKVCANLPNKEQCVSILSSDKLSQQATLKDLAMISLRVAASNATGILSDVKMMIDDPNLDPGIQQGLADCKETLLDAESQLEDTVAALLSNAKHDAQLWLQAALAAIDTCDASIPGDDDVLSTKSRSFRQLCNIAVAVNKAMTDGDKA >RHN70233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49922440:49923663:1 gene:gene18794 transcript:rna18794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MDHRESITNQTKVSLNMAKHLFSKESDKNVVFSPLSLQVMLNIVAAASEGRTQQQLLEFLRSKSIDHLNSFTSHLVSIILSDAAPSGGSRLSFTQRVWVDQTLSLQPSFKETMVTDYKATLASVDFQNKDLLPILLCNSLPVFSSFAGLAPYPVRKFEFEFTSNCSEILN >RHN56281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29456925:29481318:-1 gene:gene31653 transcript:rna31653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FMP27, GFWDK domain-containing protein MEPFAFNFLFLFLFLCIAVAFTFIFFHALLSWILSRILSASVGFCVGGCNSLRDVVVKFKKGPTESVSIGEIKLSLCHSSVEPGVDSRSWNPKLQLLICDLEVVTRPTNKSPANKSHAKKKTQKSKTRSLGKGKWKTIVNIARYLSFSLTGLVVKTPKSSVGIGKLNVDISKGGGPESNLLVSVQILPIVVHIGDPQVSRDLLLKFNGGGCSVSSQASVAPREKSSAPFICEKFSVSCEFGHYREVGIVIKNVDISCGEVTVNLNERLVVKRKRSSESPSVSDRSIGSNVDHTSTKPSLTKEEKLARYSSLFPEKVGFNLPKLNVSFEHCEYGLSVENYITGIQFNSIKSRSNKDIGESARLHIKLEFREIHLLREADASILEITKVNLVSFVYVPVQSISLLRAEIEIKLGRSQCNIILSRLKPWLLIHSSKKKKVVLREEASVAKPKSNDNKTITWTCKFSTPEMTIMLYNMAGFPVYRGCLQSPHLFAKNISNMGTSVHFELGEFNLQLADENKEFLKETIFGVESDFGSIIYITKVSLDWGKKDMKSSEEDGPRCMLGLSVDVTSMGIYLTFKRLESLISAAISFQALMKSISSKKKSTQSRGRSSKTSGKGTQMLKCSLVQCSVYILGETGLENTVVLDPKRVNYGSQGGRVIIDVSEDGTPRNAKIVSTVSDDYRKLKYCISLEIIQITLSVNKVKQSTQIELVTARSIYQEYVEENRPMTKVALFDMQNTKFVKRLGGVKENAACSLFSATDITMRWEPDVHLSLIELVLQMKLIVHNKKLEECGNEHVEDSSNVRNTNSKNEATTESRNLDKKKGSIFAVDVEMLNISAGLGDGVEAMVQVQSIFSENASIGVLFEGLMINFNGARILKSSRMQISRIPSISASASDAKGPAATTWDWVIQGLYVYICLPYRLELRAIDDALEDMLRALKLIVAAKTNLIFPVKKDSSKAKKPSSSKFGCIKFFLRKLTADIEEEPIQGWLDEHYKMLKKEAGELVVRLNFLDEFISKAKQDPKTSDDLNNSSKEGKLYFNDVEVDVNNSSIIESMREEIYKRSFRSYYEACQKIVFSEGSGACKDGFQAGFKPSASRSSLFSISVSDLDLSLTKIDGGDAGMIEFLRKLDPVCLECDIPFSRLYGANILLNMSSLVVQLRNYTFPLFAGSSGKCKGCLVLAQQATSFQPQILQDVYVGQWRKVCMLRSATGTTPPMKTFLDLPIHFQRGEVSFGVGFEPVLTDLSYAFTVVMRRANLSIRNPGPLILPPKKEKSLPWWDDMRNYIHGRTSLLFSETRFNILATTDPYENLDKLQIVTSSMEIHQSDGRILLSSKDFKIFLSSLESLANKRGSKIPAGVSGSFLEAPVFTVEVTMDWDCDSGKPLNHYLFALPIEGKSREIVFDPFRSTSLSLRWNISFGSGLPLSEKKHPSSTARDSIEGDVNVPHPLKICQNDSPASPTLKFGAYDLAWIIRFWNLNFLPPHKLRSFSRWPRFGVPRLIRSGNLSLDRVMTEFMLRLDCTPICIKNMPLHDDDPAKGLTLMMTKLKLELCFSRGSQHYTFESKRDLLDLVYQGIDLYMPKGFLIKEEYGNVAKSINVMPKNSQSASEEKTFSEKGYFTQKNNDDGFLFSCDYFTIRKQSAKADPDRLLAWHEAGRRNFEKTNVQSNCEKQSETDEHMESDPSGDDGYNVVIEDDGYNVVIADSCQRVFVYGLKLLWTIENRNAICFWAGGLSKAFAPAKPSPSRQYAQRKLYENNNKQDGTETSQDEACETHQDDETETHRDDGAETHKDEGVETNQDEVSKFLPTGNISDSPSSLAASTSEIPSFPSHSLKLDSLPSAKYENTDDSKEGTDSKEGTRHFMVNVIEPQFNLHSEGANGRFLLAAVSGRVLAQSFHSVLRVGHDMIEQALGTTDENTSQYEPEIAWKRMEISVMLEHVQAHVAPTDVDLGAGVQWLPKIIRGSPKVMRTGALLERVFMPCDMYFQFTRHKGGTPEVKVKPLKELKFNSHNITATMTSRQFQVMLDVLNNLLFARLPKPPKSSLTLSVEDDENDEEEADEVVPDGVEEVELAKINLEKKEREQNLLLDDMKKLSFWCDTSNGKEPEKEQDLWMIYGGIAMLVQGLKKELVSAKKSRKEAYASLRMAMKKAAQVRLMEKEKNKSPSYAMRVILQINKVVWSMILDGKSFAEAEINDMIYDFDRDYKDVGISQFTTKYIVFKNCLPNAKSDTIVSAWKPPDEWVNKVMLRVDARQGAPKDGSSPFELFQVDIYPLKIHLTETMYRMMWGYFFPEEERDSQRRQEVWKVSTAGGARRVKKGSSAHEASISSNQSTKESEASSKSGISALLFPATNQPSGSTQTSKAQNVKIVPGAGSTPECEETVAESVADENGPFGSTEQKDEVSKNKSKDVKGVKAGQSSLEEKKVAKPQDDKRSRPKKIMEFHNIKISQVELCITYEGQRFVVNDMKLLMDQFVRAEFTGTWQRLFSRVKKHIIWGVLKSVTGMQGKKFKDKGQNQPSGAGAPEGEANLSDTEGHAGKPDKFSPSWPKRPTDGAGDGFVTSVRGIFNSQRRKAKKLLKPKKDEAENEGHGDLSENEVETTHFARQLTIIKAKKLFKRDNKKPPHSKGQKGSSSIQNEELPSSSKEAIAYDSDSSSGSSSSYELILE >RHN76011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46987082:46990904:1 gene:gene12281 transcript:rna12281 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAD-superfamily hydrolase, subfamily IIA MSFRLLTKAWRQQIQPLNRTEFSSYILHRSFSRVSERPSFGIAFDIDGVILLGNTPVGGSPAALRKLYNYDGTLKFPYVFLTNGGGIPEAKRASELSELLGLNVSASQVLQGHSPFRQLVNRFEDKLIVAAGKGEPALVMSEYGFKNVISIDAYASRFENIDPLAPYKKWTTKLATTQNPKFDESGPQIDVFSERVQAAFIVSDPVDWSRDVQVLCDILKTGGLPGRNVGTQPHLYFANDDLEYQTKFPSERLGMGAFRIALESIFNRTHHHSLEYTCFGKPHPSVFKNAEIVLQKHVPRVDEDSYDINHKNAQPFQTLYMIGDNPAVDIRGARQTGHPWFSILTRTGVFKGKENHDKFPADLVVDTVEEAVDYILAKECA >RHN51978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29448065:29448505:1 gene:gene36566 transcript:rna36566 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLAATLVATNVMNFPDLKPFNLTSRCDCCMSPWRALAPGNNPASSEADSFFSANIIVRPPLKQTTCQHINNI >RHN42686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40251104:40251981:1 gene:gene49164 transcript:rna49164 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPAERNLENDTRTTNEARLKEISMLINIDKTSNANTTILLNRDSVHPRNNSQNQFQKQEYEVA >RHN56031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27011513:27013741:-1 gene:gene31349 transcript:rna31349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKKYTYVMTLILFLFLIAMNVNALYVCRKVAECPENFCVPPLITKCVNYTCICDDPAYGEPIYDFVSVRTEKQKIKIKR >RHN54640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10961993:10963803:-1 gene:gene29709 transcript:rna29709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase, beta-propeller MANSSWNWRTSSTCDYSSSSSTTVKHHKHYHHHHNHQHDLLIPGLPDHIAQLCLSSINPSLLFKVCHSWRRLIYSPSFPPFFSLYAILSPPKSHHSHSIQFHNFDPISNTWKILPPPPPLHHILLHHPSFLSRNLSVQSISVSDNLILLAATTHNLTPALSHPLIFNPSQGWSVGPALTNPRRWCALGTSEGMVYVASGIGSHFSVDVAKSIEKWDPINDPIWEKKTDMKDGRFSREAVDAVGWRGKLYMVNVKGDAAKEGVVYDVEEDTWKEMPEGMLLGFRGPVAAMEEEVMYVVDEAKGILSRYNSEDDIWEKIFESQRLKGAEQMVAKQGRICVVSTAGISVVDVVAVPPRISVVELPEGFEAVAVHVLPRMPVTE >RHN67435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27221258:27226462:-1 gene:gene15624 transcript:rna15624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, CRAL/TRIO domain-containing protein MDAIKQLQSLMENVDEQQKIAFKNLHQGYPTEMLARFLKARDGNVAKAQKMLIDCLHWRVENEIDKVLAKPIPADLYKPVRDSQLIGMSGYTKEGLPVIAVGVGLSTYDKASDKYYIQSHIQVNEYRDRVILPTATKKHGRYIGTCVKVLDMTGLKFSALNQLRLLTAISTIDDLNYPEKTDIYYIVNAPYVFSACWKVVKPLLQERTRKKIQVLQGCGKEELLKVMDYASLPHFCKKQDSKSSRHNASGSNTENCFSFNHVFHQQLYNYTKQQANFAESMSPMMRQGSFYVDIPEPDPDDAKIAKTIEVEFQKLENQNNGTIEVEFHKLEIQNNGFTNSRNGLAVNGH >RHN81908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49718173:49720549:1 gene:gene6005 transcript:rna6005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoglucomutase (alpha-D-glucose-1,6-bisphosphate-dependent) MECWCWEVMVDTLIEKLHRAVLAWISIIAHHNKDTKPGEKLISVFDVVKEHWATYGRNFFSRYDYEECESEGANKMIEYLRECLSKSKPGDKYGSYVLQFADDFTYTDPVDRSVVSKQGVRFVFTDGSRIIYRLSAQRFFNFFFFIFFFIKN >RHN82157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51588403:51591867:1 gene:gene6283 transcript:rna6283 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRPTLSQLSLSQSNFPRNSSLNHPTQQCFFHKRILKFQNSKSSRVCCSSSQDAKNQNNGEEPPESLFLKELKRRGMTPTSLLEDYKQTNYGVDEEAFVNEEDRGFLNRKTVSTNIERGLENQRERSMALNSEGLEGLVPRAKLLLTLGGTFFLAFGPLILITVAFFSALYFYFGPSFIHDGSKMSISPPQYVDPYALLEDERISQIAPRLN >RHN55981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26326197:26327480:1 gene:gene31286 transcript:rna31286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MERCVAALSSNSNKQNVKVRRSQSHIPNDLSFSILSKLPIKSFKRFGCVRKSWSLLLDNPYFMTMYRYHFVTKDHSYYDDTSLLLHQILTPWGLHPGCDRYPHDDTFELYSVSGSSFENKVKLDWPNIKLKPGYYRAGVKYERGFRLLGSGSVHGTLYMVCAHNGNFILWNPSTKESKLIPPSPFDSEPNWRFCVIHRGFGYDSVRDDYKVICYGKVSRNCYGVFTEEANCGSYLWEIYSVRRNCWRKLDVDMHNKHDSCNHQQLYVDGLSHWMCFGETCQERYMLSFDWSNEIFLTTLIPPAPISNINGRLEDFWGMKQLFLLNGSIAFIVSYKETGTFHISILGELGVKESWTKIFIVGPFPCLEYPIGAGKKGDMLFRKKDGKFAWFDLNTQTIIEEFGVITQMLGCQITIHKENLLPFEGKSI >RHN63270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49922625:49929018:1 gene:gene25951 transcript:rna25951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trehalose-phosphatase MDLQPSHASPILTDPVSKSRLGIHSSLLAYSQQDSPLSPGKYTKSNSKKNMGSLDDVRSNGWLDAMKASSPPRKKSVLKGSSALVASIDFDMEDYNLWMLEYPSALGAFEKIIDHAKNKKIAMFLDYDGTLSPIVEDPDCAFMTEPMRTTVRRVAKCFPTAIISGRSRDKVFDLVKLTELYYAGSHGMDIIGPVSDTLHENHPDCVKSTDDQGNEITLFQPAKEFLPMIDEVFRTLIDITKDIEGAKVENHKFCVSVHYRNVEENNWTVIAQRVHDILKNYPRLRSTHGRKVLEIRPVIDWNKGKAVEFLLESLGLTDMNDVLPIYIGDDKTDEDAFKVLRESNRGCGILVSSVRKDSNALYSLRDTSEVMRFLQRLVNWKRKQERKHGKK >RHN77357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6265974:6268587:-1 gene:gene803 transcript:rna803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MLSQKVLLWSLIFLVFAISCKANQQSEYLYKFIKSRRYQQKPSHVEAYSSTIVNEHVSKVHVNVEQHLRSRKAYKVKSLPGQPKGVNFDQYAGYITVDAKARRKLFYYFVESPSNSSTKPLVLWLNGGPGCSSLGYGAMQELGPFRVNSDGTTLSLNKDAWNVVANVIFLESPAGVGFSYSNNSLDYSNVGDNRTAIDSYIFLLNWLERFPQYKTRDFFIAGESYAGHYVPQLAHLILSKNKKRKNHNVINLKGIAVRIFSAQYKFNLKFYF >RHN42439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38370849:38372162:1 gene:gene48881 transcript:rna48881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vinorine synthase MEIELISRETIKPSSPTPSHLKIYPLSFIDNILMRNYVPLLYFYKPNKDSDQNVKISQLRKSLSQLLSKYYPFAGRLKDKITVECNDQGVPFLVTNVKNKLSEVLQNPIEKLLNPLFPDELQWKEMDWSETLIAIQINCFACGGMAISVCMSHKIGDGSTLFNFMNDWSIINENLPKEKEGEKGLLELPFHFLDGGASMFPQRDLPIFQELVLKRENNVVCKRFVFQPSMIKSLKKMATSSSVHSPTRVQVVTAWIYKCTVSITGLNFKTSLFSMAVDFRKRMVPPLSEKCVGNIFWFSSMFADKKEMELKDLVCKIKEGLSECCDVYPKIFGGKKNDNLLKISECLKQVTESYSENGNLISFSSWCRFPMYEADFGWGKPIWVTTSACYVRNGIILMDTRDGDGIEAVVNMEENDMTKFEHEVKLLQYASLNPTNV >RHN41166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27706233:27712382:-1 gene:gene47457 transcript:rna47457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVNVLSRLLLFLISLHCFVACLATNTKNITTDQSSLLAFKSLITSDPYDMLSNNWSTSSHVCTWVGVTCDERHNRVHSLNLHNMSLRGIVSPNLGNLSFLVILNLSSNSFNGQFSKEIYWLHRLKVISIAYNEFVGGIPEALGDLSQLQILYLGANNFSGFLPQSIGNLRQLKRFDISRNMLSGPIPQTISNMSSLEYLALQLNYFSGEILLFNNMTSLRVVYFEANNLNGRLPNEFFNQLPQLEDFTLRNNQFEGSIPRSIGNCTSLIYINLASNFLTGCFFQRIQVQAPRSHSSL >RHN79926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33574695:33575404:1 gene:gene3784 transcript:rna3784 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWCILVFLALAVVATNARNVPAGEDGLKDQKNYMTGAGVFSGIGNNGLPFGGVGFGGAGDAGGGLGGLPGLGGAGGLGGIGGGAGAGAGGLPGLGGAGGFGGLPGLGGAGGGGFGQP >RHN75151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39776876:39782999:-1 gene:gene11313 transcript:rna11313 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSYLDHALFQLTPTRTRCDLMIVASGVSERLASGLLEPFVSHLKCAKDQISKGGYSITLCPESTYAPWFTKATLQRFVRFVSTPEVIERFVTIEKEIVQIEGSSQSNEAEGNVSYAEGRVKRSTISSNQDGNEENSRVRLQRVLDNRKAMLCKEQAMAYARALVAGFYPESMDDLICFADAFGASRLREACLNFLELCKQKNEDKLWMDEIAAMQVSSQPVLPYLRTSGIILAGEDDSGSKINGTGDASVSDSTPSHASFDIGQDYSLPASVQTPSSDGRAQMLRSWPNHHPQYIHNFQGHAFQQTPPYQGYMYPGMQVPSPYYPGNMQWPPNGDRSHIVHDQEMDSHKKKKKKNKKSQVLDHSEEDESTASSESTYESDSDDNSKRSNKKHGKKSSRKVVIRNINYITSKGDGEKGSITEGSLSNEEEFINGNSLKHKVEEAVASLEKRNRPTSRQHKKQHSAKPHDMLNGSRNADSIGIKGDNNWDAFQNLLLIDDDDSTHDIEKQPMRFQEEYTMTKKYENGRSNEFNHHEEGVTKTRVVSNDSLRVEYFNEGKDGSTFMQTKKITNEELLLSQRNNESGSYYVSSSARDYLPVEKNKKGILADDSFMIQDRPSQYQFNSQTAPDISLVSDVIGAAEFTNGTQEGSHKKTDALISHEPDDLLMVLDRDSAVQQNGAPWRMEMDNENNISLYEANKKISDAKTERNHVSNHEGADKKNTEVKSGKVSSKEAKSKAPNASKTKSDIMSRSRTLPGSRSTATKSKSEKEEENRKRREELMMQRQKRIAERSALKKTGTEAKTSLTSARKGNPKIHPSNGETKKHNKPVLRSSTIDRLATARVTQQKVSPSQVNSGPTKKPSLKANKLVPLQKKQLQKEVKSSNHKEDAHKTKGKVLSKSGTKTKNEIKTSVGLPVKPDATEAVEQSNNNHGLKDIGVLTKASPEKHLRHLTSEREIMHENIGQLRTDSSLSNHDHAMRGSQSRNEEVSYKLPSLHGDNNQPRHNTDMITNSTAAIPNKPLIYTAVNSKVNQEIEESNATSPKVSEIQISTPPPSNQAMQESIHNRKKWINDEVDSSKVAKGFRKLIFFGRRS >RHN76281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49121404:49123422:1 gene:gene12579 transcript:rna12579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MHLISLMDMNIFRFFISIIDVARRYTGSRIEDETITLDFVKKMMDDFKNPKCLHRRYAYKIVLQIKKILKALPSLVDITVPHGKHITVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIYLGRGNHESRRMNKKCGFEGEVRSKLNGGFVKLFAEVFCCLSLAHVINKKVFVVHGGLFSVDGVKLSDIRSINRFCEPPDEGLMHDLLWSDPQYLPGRGPSKRGYELFCFGEDVTKRFLKDNNLDLVVRSHESKRKGYEIQHDGKLITVFSAPNYCDQMGNRGAFIRFEAPDLKPKIVTFEAVVSIFHNLKSVMLYYELSL >RHN61860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38934208:38947917:-1 gene:gene24366 transcript:rna24366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-CTR1-DRK-1 family MERTREDARMPSDFIGKFGSVSLGTQDETLNNKESPRHSNQDFMSPQRASQILWHTGMLSEPIPNGFYSVVPEKRLKKLFDSIPTLDELQALGGEGFRADVIVVDAKKDRKLSMLKQLIVTLVKGLNTNPGAIIKKIAGLVSDFYKRPNVESPAKAALEESSHMFESHGVQMLGQIKHGSCRPRAILFKVLADTVGLESRLMMGFPTDGAADCVDSYKHMSVIVALNSVELLVDLMRFPGQLLPRSIKSILMTHISAAGESDSAENDSCDSPLEPNSPLYGVSESAEKDDNLQFHRRFEASSNVSSPPLRNMMLRSNTCLDRKLSFSHSEPNIATSFGRRSRRKVIAEQRTASSSPEHPSFQARGQSKLGGEKTAFRDFADDQATSRSSYKSDGASSSGPRRIRRRSISITPEIGDDIARAVRAMNEKLKQNRLLREQGDDSSLPHSPNDRTSSAELQKNLSNFRLDGHETSPLYPLYRDNVPSQKAVSLPSSPHDYRRQTSETSRPLGYELNDELESTWNKILESSMADNKPLLPYEEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMEMGSLFYLIHVSGQKKKLSWRRRLKMLRDICRGLMHIHRMKIIHRDVKSANCLVDKHWTVKVCDFGLSRIITESPMRDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCNLSRPWEGVPPERVVYTVANEGSRLEIPEGPLGRLISECWAEPNERPSCEEILSRLVDIEYSMS >RHN63381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50817242:50819775:1 gene:gene26075 transcript:rna26075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MQDGTSKDGKQKRAKSNNEKDYEEDIINQLPDGIPINILANLPIKEAARTSILSRKWKNLWTYFSGTLEFEGSPIMKDMIKDLKKVTGGRLQMAMEIMYDAERQTYTSWINELLSSLQCSTLQGLKFWFPMKNVSDIDNWIHFAVQKKVQKLELYFGHTIVYVLPLHIFKVERFNSLCVLRMKSITVTDEMLEYLMCNCQLLETLSLVDSRVPKTMKVSGSSLKLKCLELVRCWELTKIEIFAEKLVSFKYYGSHLETEFKSVPCLEEASFGGSFVEFVRESFLPQIKVLKLDITQNSPEVIYWLSQLPKLKNLKHLELVACADDGISACVMLLKASPSLWRLKIKMLNTKPTYITEHKFTMECHYNLKELELVGFCGAACEVELVMYILENTDELQKITIDTRLPTKPKLRPLDEEHFETWDLEEKKRCAWRLKDKIPPCIEFVCL >RHN66058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8112271:8117071:-1 gene:gene13970 transcript:rna13970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonoid 3'-monooxygenase MSLWFIAIASFTLCILIYRFMKFAKRSSSLPLPPGPKPWPIIGNMPHLGPAPHQSIAALAKIHGPLMHLKLGFVDVIVAASGSVAEQFLKVHDANFSSRPPNTGAKYIAYNYQDLVFAPYGPRWRMLRKISSVHLFSNKVMEEFKHLRQEEVARLTSNLASNYSDTKAVNLGQLLNVCTTNALARVMLGRRVFNDGNGGCDPKADEFKEMVLELMVLAGVFNISDFIPSLEWLDLQGVQAKMKKLHKKFDAFLTNIIDERENSNFKSEKHKDLLSTLLLLKEETDVDGNKLTYIEIKALLLNMFAAGTDTSSSTTEWAIAELIRNPRILAQVQQELDNVVGRDRNVKEDDIPNLPYLQAVIKETFRLHPSTPLSLPRIASESCEIFGYHIPKGSTLLVNVWAIARDPKEWVDPLEFKPERFLPGGEKCDVDVKGNDFEVIPFGAGRRICPGMSLGLRMVQLLTATLAHSFDWELENGLNAGKMNMDEGYGLTLQRAVPLSVHPKPRLSPHVYSSCF >RHN69134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41265135:41265603:1 gene:gene17564 transcript:rna17564 gene_biotype:protein_coding transcript_biotype:protein_coding MSFILHLQNPMTEAAFAKSTIIRLLVPTEFTCCWTGSKIEGAETSHKFIWCS >RHN59133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7791019:7792847:-1 gene:gene21123 transcript:rna21123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyridoxal phosphatase MEFVNLFTQKPKYDCLLFDLDDTLYPLSSGLAEACRQNFKDYMEEMLCIDPRKSDNLCTLLYKNYGTTLAGLKAIGYDFDYDELHSFVHGRLPYVNLKPDPVLRKMLMSLPYRKLIFTNADKVHALKTLNILGLEDCFEGIICFETLNPIHRSSVSVDEDDIEFLGLTRTTKPTSSNSASSSQIFDIIDHLAQTNPSGILPKSPIVCKPSENAIQLALKIANLDPHRTLFFEDSVRNIQAGKRVGLDTVLIGTSQKVQGADYALESIHNLPEAIPDLWEADLKSEVVYTGKLAVETTVTA >RHN82783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56396951:56405283:1 gene:gene6970 transcript:rna6970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-isopropylmalate synthase MATLIRTPFFSPTTFTSSNNNNQLLLLRLHSSKQPTHSSFPNFSISCSSQSQSQSPRHRPPYIPNHIPDPSYVRIFDTTLRDGEQSPGASMTSKEKLDIARQLAKLGVDIIEAGFPAASTDDFEAVKMIANEVGNAVDDNGYVPVICGLSRCNENDIQTAWNAVKHAKRPRIHTFIATSAIHMEFKLRMSKQQVIDKARTMVAFARSLGCQDVEFSPEDAGRSDREFLYQILGEVIKAGATTLNIPDTVGITMPSEFGKLIADIKANTPGVENVIISTHCQNDLGLSTANTIEGARAGARQLEVTINGIGERAGNASLEEVVMALKCGGHIFNNLFTGINTRHIYLTSRMVEEYSGLQLQPHKALVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGLERSNEAGIVLGKLSGRHAMRKRLEELGYELKDDQVESLFWRFKAVAEQKKRITDADLRALVSDEVFQAEPIWKLDDLQVTCGTLGLSTATVKLVGDDGSTHIACSVGTGPVDSAYKAVDLIVKEPATLLEYSMIAVTEGIDAIATTRVVIRGDTDQTSTHALTGESVQRTFSGTGAGMDVVVSSVKAYIAAINKMLSFK >RHN51129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14215306:14221967:1 gene:gene35511 transcript:rna35511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIEIYKFVYVVIFFVSLFLVVVDSGKRIGGENRHRQCVDDHDCYKIFSCPREIAFKCVNGWCKCTL >RHN70126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48935853:48936441:-1 gene:gene18678 transcript:rna18678 gene_biotype:protein_coding transcript_biotype:protein_coding MKHCEFMLILRGKLQSLYSIDPQPQQWISKTCTLIKQRVRVVGLHQ >RHN65614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3797229:3799133:1 gene:gene13474 transcript:rna13474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAPYFIEETQVVGFESPTNELVSLLVGGANERTWVSVVGMGGLGKTTLAKHVFDNQLVKSHFDCCSFITVSQSYTMTELLIDVIKSSCMNNNETIPKGLRKMDDKTLITRVRQYLESKRYLVLFDDVWEENFSDEIEHALISNNEGSRIIVTTRKMNVAEYFKKSFPVHIHELQPLIPNKAWELFCNKVFRGQCPEDLEKMSKDIIQKCNPAEGFVKNEHTRPFEEVAEEYLIELVQRSLVQVSKLGFDGKVKRCQVHDLLCEMVIKKMKDFSFCHSVHEGDELVTVGITRRLSIVAISNNMLRRNGNSGIRAVLVFDKGEFPKRFMDGLSSKFKLLRVLEPESCENARGYWMFEVITETLLFGSKSRWNRPYPGAQDADTIKVGIRCMRREYGNALCAAIQEMKHLESQNITAIVKEEILDLDFISTPPDLIVLNLKGRLTKLPDWTPNLKYLVKLRPGLSNLVSDPLDSLKNLPSLLRLNLWDDALFW >RHN71601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1298829:1300920:1 gene:gene7217 transcript:rna7217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine decarboxylase MAMLPYSFEKEVQKCLRNAHYFKDRLIEAGIGAMLNELSSTVVFERPHDEEFIRKWQLACKGNIAHVVVMPNVTIEKLDDFLNELVQKRATWFEYGTFQPYCIASDVGENSCLAYVLIISNWSI >RHN44215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2476538:2477959:1 gene:gene38305 transcript:rna38305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MVLVKFIFLYSIFSFTFTTCFHQIQPKCHQYESQALLQLKQGFVINNLASANLLSYPKTASWNSSTDCCSWDGIKCHEHTDHVIHIDLSSSQLYGTMDANSSLFRLVHLRLLDLFDNDFNYSQIPSKIGELSQLKYLNLSISLFSGEIPQQFSQLSKLLSLDLGFRAIVRPKGSTSNLLQLKLSSLRSIIQNSTKIEILFLSYVTISSTLPDTLTNLTSLKALSLYNSELYGEFPVGVFHLPNLELLDLGYNSNLNGSLPEFQSSSLTYLLLGQTGFYGTLPVSIGKFSSLVILSIPDCHFFGYIPSSLGNLTQLIRIYLRNNKFRGDPSASLMNLTKLTVLEVSSNKFTIETFSWVGKLSSLNVLEISSVNIGSDIPLPFANLTQLEVLSAANSNMKGEIPSWIMNLTNLVILNLPHNSLHGKQELDMFLKLKKLVVLNLAFNKLSLYSGKSSTPFDWFSISSLRIGFMQFS >RHN58502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2308294:2313865:-1 gene:gene20421 transcript:rna20421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative haloalkane dehalogenase MVFSTMYSPTLNLAISSTTNYSCRRRRASSTISYRGVNNIIIRASSSEKSESKSKDQDDKSSFNPFGFVTDNPSSRSAIQLSENPAEAGNVGQMIDKIQDKGKEYGSYVKSGKLRWFVRETGSANSRRGTIVFLHGAPTQSFSYRVVMSELGDAGFHCFAPDWIGFGFSDKPQPGYGFNYTEKEFHDALDKLLEVLGVESPFSLVVQGFLVGSYGLTWALKNSSKISKLAILNSPLTSSSPIPGLFQQLRFPLYGEFQCQNAIIAERFIERGSPYVLKNEKADVYRLPYLASGGPGFALLEAARKANFNGTFSEIAEGFAANRWDKPVLLAWGLSDKYLPQSIAEEFQKGNTQNIKLKLIEGAGHMPQEDWPEKVVDALRMFF >RHN65142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64481759:64484410:1 gene:gene28045 transcript:rna28045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MAIAAATSSPNMSSMSLSHEDGTSNDNINKGATATVTVVDDDDDADDDHEHDVVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPALAAIGEKEWYFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRTENFRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPQHETERYQKGEISLCRVYKRAGVEDHPSLPRCLPITRPSSSSSSRGTTASHSDKNKQQNEILGMGFVVGQSPKTIDDHIVNKMEGSSSGGNSDHVTTTLGLSKYSNTPPPTNYRSEEEEGLMIMQQQQHQPRHLVQYSAAGNSLLVSAGGGGGVIFPVSASAAAAVSCSNTATSAAMMMDDLNRLVSYQQQQYCYNVQNPHLNHPNPNHLSTLLMQPMLPNQFPTTFSDRLWDWNPIPEDHNHPNSSNNTSFK >RHN47109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37197607:37198400:-1 gene:gene41665 transcript:rna41665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L29 MHSNHDLVHGHKKNSINFLVYFVCFSFSCARVQEAQSQKNLVNSTMARIKVHELRQKTKADLLAQLKDLKAELALLRVAKATRAAPNNLSKIKEVRLSIAQVLTVISQKRKAALREVYKNKKYLPIDLRPKKTRAVRRRLTKHQSSLKTEMEKKKETYFTMRKYAIKA >RHN63932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55136316:55139403:1 gene:gene26690 transcript:rna26690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronosyltransferase METNKQQHKKKKWFLPLIFSLLLTTFLILLSIFISSDSSSLLYLTHSRTSKNTPHFVESKLRISSTSPPNSVPRIAYLISGSVGDGESLKRTLKALYHPRNQYAVHLDLEASPKERLDLANFVRNEPLFAELEAGDWDWFINLSASDYPLLTQDDLLHTLSSIPRHLNFIEHTSDIGWKEDQRAKPVIIDPALYSVNKSDVFWVTEKRSVPTAYKLFTGSAWMMLSRQFVEYMLWGWDNLPRIVLMYYANFLSSPEGYFHTVICNAEEFRNTTVNHDLHFISWDNPPKQHPHFLTAEHYWSMVESNAPFGRKFGRNEPLLDKIDTELLGRNADGYVPGMWFSHANPNITKPYSFVKNITELRPGPGAKRLKNLINGLLSAEDFRKNQCS >RHN56559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31873426:31874204:1 gene:gene31975 transcript:rna31975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQNAKNMTEILKFVYVMFLFISMFIVTTEVGGECINDIDCPQTGNLFYVFICKNRICELINKYPQNL >RHN71792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2651642:2654510:-1 gene:gene7427 transcript:rna7427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H dehydrogenase (quinone) MATKVYIVYHSVYGHVEKLAEEILKGAASVEGVEAKLWQVPEILSEEVLRKMKAPPRSEVPDISPKQLADADGFLFGFPARYGMMSAQFKVFFDATGSLWNKQTLAGKPAGFFFSTASQGSGQEETPFTSITQLVHHGMLFVPIGYTFGAGMFEMENVKGGSPYGSGTFGEHEIGEPSELELAQAFHQGKYFAGIAKKLKGSQ >RHN73464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16681210:16686395:1 gene:gene9279 transcript:rna9279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoesterase metallo-dependent phosphatase MDSYNTKTTREKNWKHSLLYLTFIISILHLTHQTNFSRKLLIGNQTVRIKKTPQLPLRFRSDGTFKILQVADMHFGNGITKCRDVLASEFEFCSDLNTTLFLKRVIQDETPDFIAFTGDNIFGPSSHDAAESMFKAFGPAMESGLPWAAILGNHDQESTLNREELMSLISLMDYSVSQINPSADSLTNSAKGHKMSKIDGFGNYNLRVYGAPGSMMANSSVLNLFFLDSGDRVVYQGIRTYDWIKDSQLHWLRHVSQEPQAQEQDPLHSTDHVTSPITPPALAFFHIPIPEVRQLFYKQIVGQFQEGVACSRVNSAVLQTFVSMGDVKAVFIGHDHTNDFCGNLDGIWFCYGGGFGYHGYGKAGWPRRARIILAELQKGKESWTSVQKIMTWKRLDDEKMSKIDEQILWDHLHSR >RHN66118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8681999:8686197:-1 gene:gene14036 transcript:rna14036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEAVIEVVLNNLSSLAQKKLDLFLSFDQDLKSLASLLTTIKATLEDAEEKQFTDRAVKDWLIKLKDAAHVLDDILDECSTQALELEHGGFTCGPPHKVQSSCLSSFHPKHVAFRYNIAKKMKKIRKRLDEIAEERTKFHLTEIVREKRSGVFDWRQTTSIISQPQVYGRDEDRDKIIDFLVGDASGFQNLSVYPIVGLGGLGKTTLTQLIFNHEKIVDHFELRIWVCVSEDFSLKRMIRSIIESASGHASADLELEPLQRRLVEILQRKRYLLVLDDVWDDEQGNWQRLKSVLACGREGASVLVTTRLPKVAAIMGTRPPHDLSILCDTDCWEMFRERAFGTDEDEHAELVVIGKEIAKKCGGVPLAAIALGSLLRFKREEKEWLYVLESNLWSLQEAEDIGNEVWNELYWRSFFQDIMTDEFGKIIYFKMHDLVHDLAQSISEEVCCVTNDNGMPSMSERTRHLSNYRLKSFNEVDSVQVCFCISITCSRSHDATTNIQCMFDLCPRIQDAKAKTLSIWLPAAKSLKTCIMEVSADDDQLSPYILKCYSLRALDFERRKKLSSSIGRLKYLRYLNLSNGDFQTLPESLCKLKNLQMINLDYCQSLQKLPNSLVQLKALIRLSLRACRSLSNFPPHIGKMASLRTLSMYVVGKKRGLLLAELEQLNLKGDLYIKHLERVKCVMDAKEANMSSKHLNQLLLSWERNEESVSQENVEEILEALQPLTQKLQSLGVAGYTGEQFPQWMSSPSFKYLNSLELVDCKSCVHLPRVGKLPSLKKLTISNMMHIIYVQENSNGDGIVGCFMALEFLLLEKLPNLKRLSWEDRENMFPRLSTLQITKCPKLSGLPYLPSLNDMRVREKCNQGLLSSIHKHQSLETIRFAHNEELVYFPDRMLQNLTSLKVLDIFELSKLEKLPTEFVSLNSIQEIYISGSNSLKSLPDEVLQGLNSLKILDIVRCPKFNLSASFQYLTCLEKLMIESSSEIEGLHEALQHMTSLQSLILCDLPNLPSLPDWLGNLGLLHELIISKCPKLSCLPMSIQRLTRLKSLKIYGCPELGKCCQKETGEDWQKIAHVQDIEIQNWVMHIIGGGGGGYSSAKLF >RHN53020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41292900:41295977:-1 gene:gene37764 transcript:rna37764 gene_biotype:protein_coding transcript_biotype:protein_coding MCNFNSCVFFELNKINSTFLSNFYHKFHFQIPHKVTLYLDTILITNHYKTE >RHN49329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54068778:54069680:-1 gene:gene44147 transcript:rna44147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MFPANVCNPFLTLSLNQKNISARLLSSLSDNFQFQNFQFLQIRSTTKGGRDKPNATKSVSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVGNAARDNKKIQLAVRNDEELSKLLGAVTIANGGVLPNIHQTLLPKKSGKGKAEIGSASQEF >RHN68810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38778043:38781452:1 gene:gene17218 transcript:rna17218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MSLRIAAVSASRIAKPHNPSSPLFSPPFNNPKFQLHRLPHANAFPPLPIRKPGSQCYIRAISDRAVESTISKKEEEGEQSPKDWKIKMLYDGDCPLCMREVNMLRERNTSYGTIKFVDIGSDDYSPDENQGLDYQTAMGRIHAILSDGTVVTDVEAFRRLYEQVGLGWVYAITKYEPIGKIADSVYSFWAKYRLQVTGRPPMEEILEARRKKGEVCKDSDACKM >RHN71556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1025613:1026097:1 gene:gene7169 transcript:rna7169 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRIAFAALVCKITFAESLCIGTLQHRALLMFFPAEARCE >RHN42443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38399055:38399669:1 gene:gene48885 transcript:rna48885 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIASLVLVFLVVAMLNGYGAEGAGRDNQHKKDDGVYKYQKNTLACVLMCKMCDFGYRPSCDEYDRFCTPYGLCIPQSPPTYNADVQTTPAQSGYSEVQNPNP >RHN77549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7651018:7655554:1 gene:gene1015 transcript:rna1015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEALLGVVFHNLMSLVQNEFSTLFGIKSKAQKLSRTLELIKAVLQDAEKKQLTDRSIQIWLQQLKDAVYVLDDILDECLIKSSRLKGFKLKNVMFRRDLGTRLKEIASRLNQIAENKNKFLLREGIVVTEKPIEVADWRQTSSIIAEPKVFGREDDKERIVEFLLTQARDSDFLSVYPIVGLGGVGKTTLAQLVYNDDRVSHNFKTKIWVCVSEVFSVKGILCSIIESMTKQKCDAMGLDVIQRKVQEMLQGKRRLLVLDDVWIKSQEFEFGLDHEKWNKLKSVLSGGSKGTSVLVSTRDMEVASIMGTCSTRSLSVLSDDECWLLFKQYAFGHDREESAELVAIGKEIVKKCAGLPLAAQALGCLMHSRSEEKEWFEIKESELWDLPHENSTLPALRLSYFHLSPTLKQCFAFCAIFPKDTKIMKEELIHLWMANEFISSRKNLEVEDVGNMIWNELCQKSFFQDIHMDDDSRDISFKMHDLIHDLARSVVVQECMVLENECLTNMSKSTHHISFISPHPVSLEEVSFTKVESLRTLYQLAYYFEKYDNFLPVKYTLRVLKTSTLELSLLGSLIHLRYLELHNFDIETFPDSIYSLQKLKILKLKDFSNLSCLPEHLSCLQNLRHLVIEDCHLLSRMFRHVGKLSCLRTLSVYIVNSEKGHSLAELRDLNLGGKLEIRGLPNVGSLSEAQEANLMGKKDLDELCLSWLHNDSSVKTTIISDDQVLEVLQPHTNLKSLKIDFYKGLCFPSWIRTLGNLVTLEIKGCMHCERFSSLGKLPSLKTLQITLVSVKYLDDDEFHNGLEVRIFPSLEVLIIDDLPNLEGLLKVEKKEMFPCLSILNINNCPKLELPCLPSVKDLRVRKCTNELLKSISSLYCLTTLTLDGGEGITSFPKEMFGNLTCLQSLTLLGYRNLKELPNEPFNLVLEHLNIAFCDELEYLPEKIWGGLQSLQSMRIYCCKKLKCLPDGIRHLTALDLLNIAGCPILTELCKKGTGEDWNKIAHISKLDIS >RHN58336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:927049:928742:-1 gene:gene20239 transcript:rna20239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MSGQSQRLNVVPTVTMLGVVKARLVGATRGHALLKKKSDALTVQFRQILKKIVSTKESMGDIMKTSSFALTEAKYVAGDNIKHVVLENVKEASLRVRSRTENVAGVKLPKFDYSADGEATKNDLTGLARGGQQVQQCRVAYIKAIEVLVELASLQTSFLTLDDAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKRREIERKMVIAREQQAIEKLQLQQGVSFETSHNLLAGTTGKDEDIIF >RHN78167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12412114:12413028:1 gene:gene1692 transcript:rna1692 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKNTHRSYHLPSVRCVSASFACGFEFDFFLRYDLDPVRSVVVLHEFDSVSDSSFFFYCDSVLFLLESGAILVLDRFELVL >RHN63999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55825080:55827896:-1 gene:gene26771 transcript:rna26771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MAATLIMNYSWLVFPVTLFIIIFFVYYQNDLLLLLPTINLPPPTNNLKTLEAAAAAAPAPLSSSVGHTIIKRGKNGLVRIEQELGEARAAIRRAIKRRNFTITSEIQDFVPRGCVYRNAFAFHQSHKEMLKRFKVWTYKEGEPPLVHDGPMSSIYGIEGHFMTEIENRLSPFSTHNPDEAHVFMLPLSVTNMVHYLYNPLTTYSRDQIMHVTIDYTNIIAHKYRYWNRSKGADHLLVSCHDWAPEISRESSGKELFKNLIKVLCNANTSEGFDPKRDVSMPEMNLQGYKLSSPIPSKESNNRSILAFFAGGEHGMIRKTLLDQWKGKDKEVLVYEYLPKKLKYFKLMGKSKFCLCPSGYEVASPRLVESINTGCVPVIVSDNYQLPFSDVLDWSKFSLHIPSKRISEIKTILKSVPHARYLKLHRRVLKVQRHFVLNPPAKPFDVFHMILHSIWLRRLNIRLPL >RHN56454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30991436:30993651:1 gene:gene31859 transcript:rna31859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H dehydrogenase (quinone) MTITKVYIVYYSLYGHVNTMAREVHKGAASIEGVEATLWRVPEILSDRILEKMKAPPKPDDVADIKPEQLVEADALIFGFPSRFGMMPSQLKAFFDATGELWASQALAGKPAGIFWSTGFNGGGQELSAWTAITQLAHHGMLYVPLGYTFGSGMFEMDEVKGGSAYGAGTFAGDGTRQPTELELQQAFYQGKYIAEIAKKLKS >RHN52642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37329286:37333557:-1 gene:gene37336 transcript:rna37336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MADTFDEQCDYLFKTVLIGDSGVGKSNLISRFTKDEFRLDSKPTIGVEFGYKNIKVRDKLIKAQIWDTAGQERFRAITSSYYRGALGAMLVYDITRIITFVNIKKWLHELREFGNEDMVIILVANKSDLSQSREVEKEEGKGFAEKEGLCFMETSALQNLNVEDAFLEMITKIHDIISHKSLEDKMNGTKLGLPNGKEIHIADEVTATKQVNCCSG >RHN51901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28007671:28009958:1 gene:gene36467 transcript:rna36467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdenum cofactor sulfurtransferase, Selenocysteine lyase MDNLSQNETSQTCPHQCCCNVSIFNSPNSQNTKPKHRNSSSECRRTFASSTTSSVFPNTHFTNHESLPSFQESFNEFNKVYPQYSETEKVDHVRDKEYSHLSFSNHTCLDYIGIGLFSYSQMQQYHDTSKSTHRKTQESLPQFSDMPFFSISCKTGNLKTLLLHGGKDSEFESAMRKRIMNYLNISENDYFMVFTANRTSAFKLVADCYNFQKSRKLLTVYDHESEAVEAMISSSEKRGAKAMSAEFSWPRLRIQSTKLRKMIVSKRKKKKNKGLFVLPLHSRVTGARYPYIWISIAKENGWNVLVDACALGPKDMDCFGLSLFQPDFLICSCYKVFGENPSGFGCLFIKKSATSILETNSSVGIVNLVQEKKQQDSILTLHLDEEEPFYMTSLSSMIQTSQPAKFVEGESSEIKIVEAPSVSKPSEICEIEEVEKQVQIHEKGVKESDKNEHFDIECRCLDQVDSLGLLLINDRARYLINWLVNSMLKLKHPNTEGVSLVTIYGPKVKFDRGPAIAFNIFDWKGEKVEPVLVQKLADRSNISISYGLLHHIWFADKYADEKGRVLKENKKKDNEKVGITVVTAALGFLANFDDIYKLWCFVARFLDADFVEKERWRYTALNQKTVEV >RHN50888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11496686:11498022:1 gene:gene35237 transcript:rna35237 gene_biotype:protein_coding transcript_biotype:protein_coding MQCNTDHLQQWIEFVIFNSEYIKCGGETFQNPEILRSFLHPKNIYIPSFSLQLKSFLVP >RHN50286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5814063:5817603:1 gene:gene34558 transcript:rna34558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLYCGFKLLFCLVTILCINWSCAEDFHTNKCVEKEKRALLKFRDAINLKYRDGISSWKGEECCKWEGISCDNLTGHVTSLDLEAFDYTKALRGKLDSSICELQHLTSLNLHGNQFEGKIPKCIGSLDKLIELNLHDNNLVSVIPPSLGNLSNLQTLDLGYNSLTTNDLEWLSHLSNLRYLDLSYVNLTQAVDWLSSISKIRYLSQLNLYGCGLHQVNPKSIPLLNTSIFLKSLDLSDNGLNSSIVPWVINVSKVLTHLDLSNNQIESSVLKSFRNMSQLQELLLNTNKLSEKLSDNIQQLCLAKNGLRKLDLSNNPFNVRPLPNFSCFPLLETLSLQNTNVIGPFPKSFVHLRSLAYLDLSFNQLNGSQPLFEITKLISLDTLYLSHNHLSGPIPHTIGQLSGLRSLFLSSNKLIGVINETHLSNLSQLTFFDVSQNSLSFNFSLDWVPPFKLFDLYASSCILGPKFPVWLRHQGGLEYLDISHSSISDSFPKWFWKLSLSLRYLNVSHNILKGMLPKSFTKTKVYDRKLYVWDFSFNNLNGSLPAFPELGALFLSNNMFTGSLSSFCTSSSQNLIHLDLSSNMLVGPLPDCWEKFQSLRVLNLAQNYLSGKVPNSLGALEKIESLHLNNNNFSGEIPSLILCQNLKLIDVGDNNLQGALPMWIGHHLHQLIVLRMRGNKFQGNIPTSLCNLSFLQVLDLSENNITGEIPQCFDQIVALTNLKFPRKIFQHFPFIAINIVQNEWNEIGIFNDKEILAWKGSNREYGKILELAIFIDLSCNQLTGEIPQSITKLAALASLNLSRNNLTGLIPNNIGHMKMLESLDLSRNHLSGRMPTSFSNLTSLSYMNLSFNNLEGKIPISTQLQTFDSYSYVGNNRLCGPPLINLCPADVISSTRINDKHVTIEEDEDKLITFGFYVSLVLGFIIGFWGVCGSLVIKTSWRHAYFKFFNNMNDWIHITLAVFVNRLKKRFQVED >RHN70963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55443810:55444381:-1 gene:gene19599 transcript:rna19599 gene_biotype:protein_coding transcript_biotype:protein_coding METSPSSTRRSKTGGGGLLSCFGRLKLKLPWKRRTVGGFRYDPLSYAQNFDDGSWEEDDEEALGRGFSARYAASSAKTLKQ >RHN75441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42365513:42367789:-1 gene:gene11652 transcript:rna11652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylethanolamine-binding protein MSIVTDPLAIGRVIGDVVDYFTSTMKMSVTYNTKQVYNGHEFFPSSVTTKPKVQIHGGDMRSFFTLVMTDPDVPGPSDPYLKEHLHWIVTDIPGTTDATFGKEVMKYEMPRPNIGIHRFVFLLYKQKRRQTVMKIPTSRDLFNTKKFAQDNDLGPPVAAVFFNAQRETAARRR >RHN54554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10459747:10459938:1 gene:gene29618 transcript:rna29618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LSM domain-containing protein MANQSSTKGSSSSNPVESYIGCFISLISNYDIRYEGVLYFLNVQDSTIGLNNGMLTDFCCLLS >RHN52096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31444911:31450985:-1 gene:gene36716 transcript:rna36716 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGDDEKGLLWKLPVLKSNNLGKVGPGFGIGAGCGLGFGIGLLGGVGFGPGIPGLQVGFGFGAGCGVGLGFGYGVGKGIAQDEYRRYSNVGNPFRGSGRIINEDDITALVDDIVINTKKLIKATSKEIDKWRR >RHN74732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36234069:36234522:1 gene:gene10840 transcript:rna10840 gene_biotype:protein_coding transcript_biotype:protein_coding MHISKPALMCTALQYSTNTLAAAPELLLNYRVRRRTRPHRSFVMVGPMFTGRNLSQAQLMDLYD >RHN53906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5227054:5230080:-1 gene:gene28871 transcript:rna28871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BSD domain-containing protein MSWLARTIANSLKIDEENEEESENPNNNNSNNPNPKSESQTDPQPESESPSASSPTARGVKDDISELTKSFSRQFWGVASFLAPPPDHDHDSKTRDSDPNLADEDVIAGIRSDFAEISGKFKSGISKLSGNKTVSEFTKIASSFLQIGSEEEYDLNGVVGVTEEVVNFARNLAMHPETWLDFPLPDDPDSDDFDLSDAQQEHALAVEHLAPRLAALRMELCPGYMSDGCFWKIYFVLLHPKLSKNDAVILSTPQIMEARATLTQAIDRRSKEKKEVDLSNIPSKVEEQEQHLSVPSNAQLESVPLQTSAVEEAPPMVVSNVKTEEHSVKSDVTQPIEKSVVKETPINPSAEQSSSSSAKRFSYEESDEDDADDWLKEEDSSEIVGPSGTTNHTGDEEDVSFSDLEEEEDGDVHASNKKTSGSDSSTKDSRDWVQLGKSSPNSDKDINSMESRHAGSGLSSARNSVSKDSNDWLNVDDIDVI >RHN73781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19851492:19852303:1 gene:gene9643 transcript:rna9643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyridoxal phosphate-dependent transferase, major region, subdomain 1 MHWISEAHRNNWHVLLDASAYVVGKDRLHLALHRPDFVICSLDNNTHSSNTNSNPSRITCLLVRKESFDTSAASSQVVE >RHN71166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56874178:56879416:-1 gene:gene19816 transcript:rna19816 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain, 26S proteasome regulatory subunit MTQDLEMKDRSTPSNSVSPPVPSTLQHLKEIASVIETGSYSKEVRRIARAVRLTIALRKKLTASVISSFLDHVLTPGSEPHAKLSAYLPKEDDHEMEVDAATSAIQTPIPTAKHLLPELEIYCYLLVLLFLIDQKKYNEAKACSSASVAWLKNVNRRTVDVIASRLFFYYSYSHELTGDLAEIRGNLLALHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMEKALRPYFELTNAVRIGDLELFRNIADKFATTFSADGTHNLIVRLRHNVIRTGLRNISISYSRISLADVAKKLRLDSPNPVADAESIVSKAIRDGAIDATLDHANGWMVSKETGDIYSTNEPQLAFNSRIAFCLNMHNEAVRALRFPPNTHKEKESAEKRRERQQQEQELAKHIAEEDDDDF >RHN46049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28068371:28070056:-1 gene:gene40489 transcript:rna40489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C-CAP/cofactor C-like domain-containing protein MHYVLLLLPPRSLIATRLVLIHYVLLLLPPRSLIATRLVLIHYVLLLLPPPSLIATRLVLIHYVLLLLPPPSLIATRLVLIHYVLLLLPPPSLIATRLVLIHYVLLLLPPPSLIATRLVLIHYVLLLLPPPSLIATRLVLIHYVLLLLPPPSLIATRLVLIHYVLLLLPPPSLIATRLVLMHYVLLLLPPRSLIATRLVLIHNVLLLLPLRSLIATHALCSPSPSSSISDCNSSGIDTLCSPSPSSSISDCNSSGINTLCSPSPSSSISDCNSSGIDTLCSPSPSSSISDCNSSGIDTLCSPSPSSSISDCNSSGIDTLCSPSPSSSISDCNSSGIDTLCSPSPSSSISDCNSSGINTLCSPSPSSSISDCNSSGIDTLCSPSPSSSISDCNSSGIDTLCSPSPSSSISDCNSSGIDTLCSPSPSSSISDCNSSGIDTLCSPSPSSSISDCNSSGTNTLCSPSPSSSISDCNSSGIDTLCSPSPSSSISDCNSSGINTLCSPSPSSSISDCNSSGIDTLCSPSPSSSISDCNSSGINTLCSPSPSFSFSDCDSSSSGKISR >RHN77295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5831515:5835078:1 gene:gene737 transcript:rna737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MGSEQQIHGDYLFLRPQEASVVELGSLLFSSNLANRSFIDCPQGLEARKFRQRWLLFTSVVAQIVLVAIDPFLKIIGDLLESWLNCLSSNGGLIGLFFNFLKGEVITPEKSSAEFLSVVGHLDTRVDLDKNIHHKDIKYKGLLSIMASKFSYENEQVISNAVTNHWGMEFLGLYSFWNDYQKMESTKAMIVKDTKSEPNLIVVAFRGTTPFDAVQWKTDVDISWYDLPNVGKMHGGFMKALGLLENGGWPKEIDERSQHQYAYYAIREQLREMLKENKDAKFILTGHSLGGALAILFVAMLIFHEEEDMLDKLQGVYTFGQPRVGDEKFGEFMKSKLKKYDVKYFRYVYSNDMVPRVPYDDKSLFFKHFSPSLFFNSLYQGQILEEEPNKNYFSLFWVIPKILNAVWEVIRGFLLPIFLGKEYKQNWFMTLFRLVGLIIPGLPAHFPTDYVNATRLGSLDKHLELLISKDD >RHN73410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16107997:16124266:1 gene:gene9216 transcript:rna9216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative digestive organ expansion factor, predicted MKKRQHVAPTSESNSKRKKKEDKGTKKKKEGRRVEKETNVEIIKSVNLLEDDHDGEGSDIDSPRSNVVGSLQQNYDDNDSGLIEGSETEEDNEFSHSDEEDIRTNGGATSEDSVDLSSFDLHLQHNLSKEEIDSQKNLKFSWDVPVIGVSNCKWRGTGENILKDFNINSCPGLKEKLYEHWMDVSKTSGGKDINSPKQKMFFSLCSSYRDILYCNKRPFYLKGLEDTSIMDAYIMHSLNHVFRTRDRVKKNDSKLARIEEGADTERFRDQGFTRPKVLILLPFANIAYRVVKRLIQLTPSAYKVNVEHMDRFSAKFGDEEDKGDKENGEELENAYPQKPDDYKVLSPYHNEDDFMIGIKFTRKTIKLFSDFHTSDFIIASPLCLVNKIEETEINKEKDVDFLSSIEVLIIDHADVMTMQNWSHVRPVIEHLNRLPSTPPRTDVMRIRPWYLDEQARFYRQTIILGFYSNPEIITSFNHQCSNYEGKVKLMCEYKGVLQKVLPKIQQVYVRFNIESIVDADDDRFNYFVKEVFPRIKDSDQGGIMIFASSYFEFIRIRNFLKSQNASFCLVGEYTTNQDISRARGWFFKAERKIMLYTERCHFYRRFPIRGVKNLIMYSLPERKELYPEIVNRLDGSENPQCTALFSRLDKYRLERIVGTTHATKMVATKKVASEKPVFVFCD >RHN67799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30361519:30361899:-1 gene:gene16035 transcript:rna16035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 10-deacetylbaccatin III 10-O-acetyltransferase MCFPILTPYFPKAYQINISPSTTFLIYPFPWNMSKATLKIEKEDVLLVKPSKSTPSCILSLSTLNNKGANNNIAQIVHVYRSSSAIHDYDSSFNPCHVFKEALSKALFYYNPLAGILVYCFFKNNR >RHN73690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18909985:18912734:1 gene:gene9537 transcript:rna9537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MKYMNTDAYRFSISWARILPSNRKKGKLSGGKNKEGIRFYNNLINELLDNGLVPYVTLFHSDFPQTLLDEYGGFHSPYIVDDFKSFVEVCFEEFGDRVNHWITLNEPYFYSTSTKYPLVAAQNLLLAHAAAVKLYKTNYQASQKGIIGITLNCTWFLPQSDNPLDHQAAERALDLLLGWFLQPLTTGEYPASMVSNLETLPKFTKEQYESLIGSYDFIGINYYTSTYAVNKPPQEKNLYPTSNDDLYRAMHYTATSERADGTPIGPKFGSWLYVYPKGIQELLLYIKKKYNNPVIYITENGLNEYDDPTLSLEETLMDIYRIDYHYRHLYYVASAIRQGVNVQGYFAWSLFDNFEWQDGYTLRFGINFVDFKNNLKRYPKLSARWFRKFLEKP >RHN74086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27333842:27336722:1 gene:gene10057 transcript:rna10057 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGIYLEYSPEHLLLLLLGLQAILFWRTIYRGIFLEYTCLDFKSIVYYK >RHN39704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9739844:9744586:-1 gene:gene45754 transcript:rna45754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative importin-beta domain-containing protein MEWNQQTLQFLSECFLHTLSPLPEPRRHAESSLSSASSQPNFALAVLRLVAEPSISDQIRQAAAVNFKNHLRLRWSAEDNPILDSEKEQIKTLIVPLMLSATNKIQSQLSEALAIIGNHDFPKSWPSLLPELVASLQKGSQTSDYASINGILSTANSIFKKFRFQYKTNDLLLDLKYCLDNFAAPLLEIFLKTASLVDAAAAQQPPPPPETLKPLFESQKLCCRIFFSLNFQELPEFFEDHMNEWMGEFRKYLTTSYPSLEGSSDGLALVDELRAAVCENINLYMEKNEEEFKVFLNDFALAVWTLLGNVSQSTSRDQLAVTAIKFLTTVSTSVHHSLFAADGIIPQICQGIVIPNVRLREDDEELFEMNHIEYIRRDMEGSDLDTRRRIACELLKGIATRYGDAVRSIVSAQVQSLLSSFNANPVANWKDKDCAIYLVVSLSTKKAATGYVSTDLVDVQSFFESVIVPELQKADVNEYPMLKAGALKFFTMFRSQISKEVALRFLPDLVRFLAAESNVVHSYAASCIEKLLIVKDEGGRLRYGSADIAPVFSMLMNNLFSAFKLPESEENQYVMKCIMRVLGVADIQLDVARICIEGLGSILSEVCRNPKNPTFNHYLFESVAGLVKRASERDPTLVSVFETSLFPRLEIILSNDVTEFFPYAFQLLSLLVELNRPPIPPIYMQIFEILLSPDSWKRSASVPALVRLLQAFLQKAPNEISQGDRLTKVLGIFDTLIQASSTSDQGFYVLNTVIESLQYDVITPYVSHIWAAIFRELQRKRSVKLLKSLLIFSSLFLVKHGSANLVNTMNAVQPDIFSAILTQFWIPNLKLITGTIELKLTAVASTRLICESPVLLDPAASASWGKMVDSIVTLLSRQEQDRVEEEPDMPDIAENVGYTATFVQLYNAGRNQEDPLPDINDPREFFVSSLAQLCAQFPGRFPQVITANVDPVNQTALLQLCTTYNRALV >RHN73260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14890216:14891139:-1 gene:gene9057 transcript:rna9057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MTDFGVSDNVIASMLQSWPSVFGSKDLIKSLEEVKCLGFDPSKNSFGIALAAKKGLSKKLWDEKVDAFKKWGWSDEDVIRVFRIQPILMFVSIYKIDLLMSFWVNRLGWNSLALTKRPHMFSYSLEKRIIPRASVMQFLLMKGLRKKNASLVTPFAYSENMFLSKFVFRFMEESDYLLKLYEEKMKLAYTKENNGMPFT >RHN57753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41491263:41492710:1 gene:gene33337 transcript:rna33337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MEKLIELQENLKTRAEPEIPNYDCSLAGVFSADHRMRYWMYHEMLGKIKTLNAKCEFEPFSTTIPALKHFFSLNCVSFEPIKEASDRLLAALHDNNCFMIGLYGKRGFGKTNLVKAARDEDWTLLEKHSGSGIEDESSSDISNVAQDAAFECEGLPGTIIEVGSSLKGNPVEEWKESSDNLRRSMARWQIFISFRGEDTRYSFTGFLYDALCREGFKTFMDYGGLHIGDQISPSLIN >RHN62774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45746214:45748549:1 gene:gene25383 transcript:rna25383 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTENQSPSSSSFSSFGRSLFGMRQDQVHSVEASHESDSCNLELGSFQKRVTDRFNELSGAGDDELLSIDWMQKLLTAFICCHDEFRAILLNNKEQLSKPPMDRMTSEFIERSVKALDICNAGRDGIENIRGWQKQLEIVSCALGSNKRPLTEGQFRRARKALMDLALSMIDEKESGSVFSQRHRSFGRHNSSKDSHSSSTRHSRSHSWSVSRSWSAAKQLQSIANNLVPPRANEIAANKGLSVSVYTMNCILLFVLWTLVAAIPCQDRGLNIHFPIPKQFTWSTAVASLYDRILDESKKKEHRNSSGLLKEIYQIETATRHLTDLVDSAQFPLTEEHIMEVEQDLKELKLASEAFRVGLDPLERHVREVFRKIVDSRTEGLDSLGTSSYTGQ >RHN74678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35736170:35738706:-1 gene:gene10785 transcript:rna10785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 9-cis-epoxycarotenoid dioxygenase MATSTASSNTWINTKLGSSSSYSSPFKDSRSNSITLKKKRSISQNNKISCSLQTTLPFPKQYQPSKPKTVIPTKEPKPNQPITKTLPKQQQEQKQHKWNLLQKAAATALDFVETTLIKQEQKHPLPKTSDPRVQIAGNFAPVPEHPVTQSLPITGKIPTNIDGVYLRNGANPLYEPVAGHHFFDGDGMVHAVKFTNGSVSYSCRFTETHRLAQEKALGRPVFPKAIGELHGHSGIARLALYYARSLCGLVDGTHGMGVANAGLVYFNNRLLAMSEDDIPYHIRVTPNGDLTTVCRYDFDNQLKSTMIAHPKVDPVDKNMYALSYDVVQKPYLKYFRFDASGVKSPDVEIPLEQPTMMHDFAITENFVVVPDQQVVFKLGEMIRGGSPVVYDKEKVSRFGVLSKNAEDSSEMIWIDAPECFCFHLWNAWEEPENDEVVVIGSCMTPADSIFNECEENLESVLSEIRLNLKTGKSTRRPIIKESEQVNLEAGMVNKNKLGRKTQFAYLALAEPWPKVSGFAKVDLFSGEVKKYIYGENRFGGEPLFLPNSDSENEDDGYILTFVHDEKEWKSELQIVNAATLKLEASIQLPSRVPYGFHGTFINSNDLKKQE >RHN48201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45750271:45754527:1 gene:gene42891 transcript:rna42891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphate-transporting ATPase MAKDQIQVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCVSLVTKLLGRIYYHVDGAAKPGTLPPNVSAAVNGVAFCGTLSGQLFFGWLGDKMGRKKVYGMTLMMMVICSIGSGLSFGHTPKSVMTTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGFGILGAGIFAIIISSAFKARFDSPSYEVDPVGSTVPQADYIWRIIVMVGALPAAMTYYSRTKMPETARYTALVAKNTAQAAADMSKVLQVEIEAEPQKAEPEKAKAYGLFSKEFMSRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPPPQTMNALEEVYKIARAQTLIALCSTVPGYWFTVAFIDRIGRFTIQLMGFFFMTAFMFALAIPYEHWTHKENRIGFVVIYSLTFFFANFGPNATTFVVPAEIFPARFRSTCHGISSASGKLGAMVGAFGFLYLAQNKDKSKADAGYPAGIGMKNSLILLGVCNILGFLCTFLVPEANGKSLEEMSGENDEEVGSKDDVEKSHAQNNNTVR >RHN65049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63848496:63854066:1 gene:gene27942 transcript:rna27942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase, Rpb8 MSELLFDDFFKIVDVNPNGEKYDKVSRIVARSEKHGMHMLLDVNTEIYPMDRKEKFLLALSPSLVLNTKDGPVSIQEKFEYIMYGRLYDIKADGLSRSPPEVEVYASFGGLQLMLRGDPSHCVKFAVDQKLFLLIRKLES >RHN56461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31028036:31029386:-1 gene:gene31867 transcript:rna31867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein METMKKTEQYLSIELIIQILLMLPVKSLIRFKCVCKLWFSLISQSDFANSHFQLTAKTHAPRILLITPNLESLSIDLETSLYDDSASYSLNINFLLPQSFTQLDIKGSCRGFILLSCGSCLCLWNPSTGVHKYIPNSLIDCNLDAYHLYGFGYDESRDDYFVLSMSYDPNAYDKLTRLGLFSLRADAWNEIEGDNYFSYCLARENSKVEPFLNGAIHFLNGAIHWLALCYDISTNVILGYHLMQRELLELTLPADITSAPSKVYDLWVFRGCLSLWDMAHDNGTVEIWVMEKYNVTSSWTKTLVLSFDGIPSHYFCPKYDTKSGDIVGTNAGNVLAKYNEKGQLLEHQSYCDNEYGSLVVMYTESLLSIPDGDSGQAKKMKRIRRTRS >RHN74586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34913585:34913850:1 gene:gene10680 transcript:rna10680 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLSHSYCTVSCYSQNCYHHHHNFPPSSLLQCFYHYAPPHFSTDQLHHHH >RHN58948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6127091:6127975:1 gene:gene20909 transcript:rna20909 gene_biotype:protein_coding transcript_biotype:protein_coding MNACMHGYAKWIEYMVRVSSTTLESMVTTPFGKDYGGFNPRRI >RHN64393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58715576:58716142:1 gene:gene27209 transcript:rna27209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain, Cell wall/vacuolar inhibitor of fructosidase MKKMKLITIFLEMATFIILIPSVQSTLSHTSLLEQICRQSPHYHLCTMTLRSSINHRSKEDIAGFARLTLEIVKANASLTLEHVQKGYIQQTNGLEQKRAMRDCIALYNMIVNVHLREALNAMEKYDYKIVKQRVYAASIQAEICENKFKNSLTKPLKDTNRYVQNLCIIAASIVNKLLLQNHLTSAY >RHN55357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17605956:17606309:1 gene:gene30527 transcript:rna30527 gene_biotype:protein_coding transcript_biotype:protein_coding MRERGGNHRFNLRPPRLFSHSPTVRFNGMVGALARVSQNGGIVIGIEENVLWLAHDFIKQSDRVIEDRIDQQFNCTWKHTSLQNNRITYPRKNQRRRREIICLEALIFKPCLVHLTF >RHN53146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42318798:42320559:1 gene:gene37916 transcript:rna37916 gene_biotype:protein_coding transcript_biotype:protein_coding METTGLIPHVGENYTLKLKNTMQEILSELPKESPEFSHSSDALHELMQTKVDPPFDVIWVYSAIKFGCRKSLKGDILEQISAAKALFQLISACSAFVGGSKSIALLAPVVFIIHSVVKGLFEVKKEKKVMKEVKSLVDMILGFMSICCSKISEEKDLDLVLSLNDLARLWVVDDDDDDDETNEGFETLLPLVSSDVCGWICGREFHVGYLAGAVMMEVFLLKLCLFFDMGMEKSELEMCLKSWSVGSISSFQNVYFLEVLMRTTLETSLPLNSILNFYVNHGHSSDTLWNIPIRGFEILLL >RHN73169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14030738:14036626:-1 gene:gene8956 transcript:rna8956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycogen debranching enzyme, GlgX type MIAFSWWDDKGTFRAGETATIKVKVLENGDKIDKKKFHLILNVNGKDGNSSYVSTVLSNFKGDFDNWKISFTPIRVGLFNVLVSEDRYKVFDSSLHFQVEPGNMYPSVCVASWKGMKYEFEAGSKATIMVLLKDAFGNGISETTEVSYMPDFKLSMLSENGSIASEPDISNMGWNEFDYIVIEFVVTKAGNFSLRIEGGNQTLNGSPLPLKVNPGVIDVSKCVAKWNIEHHAWQLSSKMEIFIHQLDQYGNLVSGLYPFDVEVVERDTNLTIPIADLHFEEVEAGIQLFSFGNWEPGNFMLTIYDAKHNKSISNMPYVYTVFIGYCDGVKSVVNGSGLNNSVAGIREEFFVYLNDMYQYPSPVEEGILQVQILRDNDSYSVSPIIYPMLNKTGSRVDPQVRYDGIGRMEITPSSSPSVELGNNSNVSGNSVITSAFQVEYTPEKSGFYGINVYCGNILLNEGHSFRKEVKAGEVNISLSSVVRFSSKVPKMSKNEVLVQLVDSYFNPVLSQQSRLKLEITSINSSGFSTWDTIDNKDGSYNCSYMVKDIGTYEICASFDGKHFLPCPLSINVYSSEYFPKATDDTLSIWEDESIAFDPLANDYFAGDNASIVEFSKSDHGSLIQNGRVFRYTPYEDYYGNDSFWYTISDINGNLATAFVYISVLNIPPQFVSVPSQLQATEDLISPRFGGFSEFEITYSNLLEYISVNLSAQFGSIFLSPMLMQFGEPMWSELTINAGNLTATSLILEGSVEVINIALQSIQYLGNENFYGADSIQVSAKNKNGVNSLGVPIFVDPINDPPYIRVPYFIILRSNEDERLIFDKDKDKFDFYIGDPDLLTFPGGEAHFLVTFSMEVNDGLLATNLPSHLINTTEFKHRNNYQWQPLQTYVTISKHFMVKASGIRFQGTVNDCNTVMQQLYYHGDEHGATLTLTLNDMGNYGCHPDCTEGMSMPLYTEAMVNLMRKQPMGSFLAHALGSIIVIEFVIIFSLGLLLLYFTCKCAILLVHERRNREKRTSESSTDQSSQGQAVSVQSNNVCFQEWIYSHVKI >RHN81497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46451281:46451478:1 gene:gene5546 transcript:rna5546 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase epsilon chain MALMRGFVRIGNNEITILINDAEKSIDIDPQEAQQTLKIAEANLNKAEGKRQKIEANLALRRVRT >RHN75952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46449822:46450046:1 gene:gene12216 transcript:rna12216 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDNTISDLKKLVAALVFRNGTQSTMITRFMMAWASNSTTTNFLFLLIIITFMFNL >RHN70455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51541665:51547343:1 gene:gene19035 transcript:rna19035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ceramidase MMDYVTNHRFKRWKRNHRIWGATATFLCCICLFLFTPTIPRSSNQHQFADVRNLLGVPNTLNVMTNFPFLVVGVLGFVFALDGSFFNISSQGEVWGWVVFYGGMIGVAFGSAYYHLKPDNHRVLWDTLPMMVAFSSLMSCLIFERFSQRTGLCCMSALLVSAILCVLHERIYNDIRFCMMFQLILPLAIPAVAFMYRSKYTHSGYWFLSTGIYLLAKFEGVTDRKLCRISTYFITGHSLEHLCLALIPISLGIMLIYRELKFQRLVDHKDRL >RHN79587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30321836:30337275:1 gene:gene3400 transcript:rna3400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEEEKDNLSIATLFNIKERKKKKKKKKTKANKESESVADDSATAANHEGGGGSTSSGANPKISLFDISAENFFEDMDTIAKLCGEEERNIAVEQSEIKRMFSSVTFLREWKDFKYPSKSIRFSYGIGSSECYERNDIKDDINLPQFSSATVPKHNMQKEEQLGDAKPQESKDFVMNVGGSVWALDWCPRMHGEPDCSIKCEFIAVAAHPPGSSYHKMGASLTGRGAVQIWCLLNSREHNEEVSYLPGKKEKKPKKDTATNDKSIEIKRPRGRPRKNPTENNEEISPITNKRKRGRPKKNPTAIAVDSTNCGTKYIASGSVPNGNNENNEEILRITYKSKKRPKGRPKKNSKDGTVSDPNCENQFVPLTVQLPDSAEFISPDVVPGSSDEHHSQQFSNTKGKNAKKAAANTKGKNAKKAAANTKGKNAKKAASAYDSETLVARSRLDINHRERSCSPDTSRPLLIQCENEANHQPHGSPVLEPQASTCPIPQNVALPRVVSCLAHNGKVAWDVKWRPLSNLDSSCKHRMGYLAVLLGNGSLEVWEVPLPHALRAVYTQKEGTDPRFIKLEPVFKCSMLKRGSLQSIPLTVEWSVTPPHDYLLAGCHDGTVALWKFSTNSSTKCDDTKPILCFGGDTVPIRTVAWAPFEGDPETSNLIVTAGHEGLKFWDLRNPFRPLRLLQPSQRIIYSLDWQSKPSCIIMCFEDGTMKTISLAKAANDLPVTGTIYTGKKQPWLHGTTYSSYAIWSVQVSPITGMVAYCGADGAAVRFQLTTKAVETDHSHNRLPFFLCGSVTEEESTIIVNTPVSNSPFPLKPLERGRYSESFRDLLSKSRIAYNQMIKASTNDCHILALCDGDNMGLESVSEEALSSREQTKRPKLSCSRKKKSAESTALVCRDGAPTNTPGVDNEKPDSETIPEVFPPKMAALHKVRWNVNKGSERWLCFGGANGLVRCQEIVFSNIDKKMALKR >RHN67267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25344676:25345594:-1 gene:gene15442 transcript:rna15442 gene_biotype:protein_coding transcript_biotype:protein_coding MFISFLNFPCIDITMHLLQRYPDLATISDSNGSIILNVLSKLPSHFPSGNTYVLSRKFFYKPGSMKPVRDTKLRHLSAVRLTEFVFSQASAMNDYQFYESFVSEDIIFNATSYGIVEILRICFQFFPDLVWTHIPNEGYVIQIAIKNRQEKVIRLLSKMPIICKLLVLAIDESNNTTSHLAARFYSNNKSTLGAAFQVERESQWLQVCLI >RHN64378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58607601:58609513:1 gene:gene27194 transcript:rna27194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MCPSDRNLRPQPTATDFRPAFDILDTDCDGKISRDDLRSFYTTVTGGVNGGDDAIRAMMSVADTNKDGFVEYEEFERVVSGNNGEKRPLGCGAMEDVFKVMDRDGDGKLSHGDLKNYMAWAGFAASDEEINAMIKLGGGDQNGGVSFDGLIRLLALDHFVPAN >RHN50594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8391916:8395577:1 gene:gene34900 transcript:rna34900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MKIEEVQSTTKKQRVATHTHIKGLGLEVSGKALPFASGFVGQAEAREACGLVVDMIRQKKMAGKALLLAGPPGTGKTALALGICQELGTKVPFCPMVGSEVYSTGFLWKIFDVLSVTELSPEETESITEDYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVRDVIYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKKKEIVHYT >RHN41132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27311334:27313932:1 gene:gene47422 transcript:rna47422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MSRECSIPSLKKNLLDDEENLLVESNPKIHQRKPAWKAMPYILGNDTVERLATYGMQTNFVVYLMKVYNMDQVLAANIMNTWMAVSNVVPLIGAFVADSYLGKFLTIAISSFASLVGLVILMLTAWVPDFHPAPCSIDLQQLGVCNGYTNFHLWFLIFGLFWLSIGTGGIRPCSIPFAVDQFDLTTSEGRHGSSSFYSLYYTTQTIVMLINQTLLVYIEDSVSWTLGYGLFTLFMLIAIIVFFAGTRVYSYVQPEGSILSSIAQVLVAARHKKHHHLPDFEDTQEAFYDPPFMNDSEGKLPLTKEFRCLNKAALVIEENELNNDGTSKNPWRLCSIQQIEELKCMLKIMPIWVTSIIVNIPIGQLTIFSISQALKMDRNIGLFNFNFEIHAGSVTVISLIAIGIFLPFYDQVISPLLEKITKQEQGLTSLQRIGLGHGAAILAVVVAGLVEKTRRDLAISMGDSNGVAPMSVMWLAPQFLLIAFCHIFGTVGHTEFFNKESPESMRSISNSLLCLNLSVGSNLSTFIVNIVHSYTGKQGEADWLDSDINKGRLEYFYFIIAGLAVLNLCYFIFCARRYHYKSTSLKG >RHN51632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21268668:21271483:-1 gene:gene36110 transcript:rna36110 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGISMQREEIMCCTDGLIEINKDLSAAHKSNIMELSFRYLLDMKTPIKMNGNVLRELWPSWDARSFGFLIA >RHN71970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4044509:4050933:1 gene:gene7633 transcript:rna7633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLRFLLLRMFFLCFASSVAIAAQRGPFAMRISCGARQNVQTKPTTTLWYKDFGSTGGIPANASKTSYITPPLKTLRYFPLSEGPSNCYKINGVPKGHYSVRIFFALVAQARATNEPLFDISIQGTQIYTLKPGWTTQDDQAFTEAQVFLMDRTVSICFHSTGHGDPAILSIEILQIDGKAYYFGSNWSQEIILRTVKRLSCGFGQSKFGVDYGADPLGGDRFWQHTKTFGQDSDQQRSVESRIKKTSLAPNFYPETLYRSALVSTSSQPDLSYTLDVDPNKNYSIWLHFAEIDNSVHSIGQRVFDIMINGDVAFRDVDIVKLSGDRFTALVLNKTVPVNGRSLAITLRPKEGSLATITAIEILEVIVPESKTLSDEVMALQRLKKDLGLPPRFGWNGDPCVPQQHPWIGVDCQLDKSSGNWVIDGLGLDNQGLKGFLPKDISRLHNLQIINLSGNSIGGAIPSSLGTVTTLQVLDLSYNVFNGSIPDSLGQLTSLKRLNLNGNFLSGMVPATLGGRLLHRASFNFTDNSGLCGIPGLPTCGPHLSAGAKVGIGLGAFFTFLLLITCSVCWCKRRKNIIRAQQIAARAAPYAQKRTHFSRDIQMTRHSNNYGNSHTAAENGPILLGG >RHN59358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9825679:9826231:-1 gene:gene21383 transcript:rna21383 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFMNNLIFMNWFLFKIEPNHIDEIMRIISSVITIFIMRTFFHQS >RHN75910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46102604:46103165:1 gene:gene12170 transcript:rna12170 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLQEFLRRLSHHWYAMVMCLVLVMVLVAISHRLGLEDIQKSNSNCHDRTIRRLRVFHGPPLHLRISTTSSTTS >RHN53739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3722069:3723746:-1 gene:gene28679 transcript:rna28679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-lactate dehydrogenase MHKSISGSSLGPDGLDLTQSFFKSIQHASPPSPTKRHNKISVIGAGNVGMAIAQTILTQDLVDELVLVDAIPDKLRGEMLDLQHAAAFLPRTKIQASTDYSVTMGSDLCIVTAGARQINGESRLNLLQRNVALFKKIIPPLVRYSPHCVLLIVSNPVDVLTYIAWKLSGFPSNRVIGSGTNLDSSRFRFLIADHLDVNAQDVQAYIVGEHGDSSVALWSSISVGGVPVLSFLEKQQIAYEKEMLENIHKEVINGAYEVISLKGYTSWAIGYSVANLARTIMRNQRKIHPVSVLAKGFYGIDGGEVFISLPAQLGRGGVLGVTNIHMTEEEMKRLRDSAITILELQNQLGI >RHN64526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59810026:59811256:1 gene:gene27349 transcript:rna27349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MAKGGKLMKLKSALKKWNSFGNGKQSRHSISAVADEDSSSSRSDLHTVFVGKSRRLYRVTSDVVDNPVFRELVERSRETEQQNDTVNVVACEVVLFEHLLWMLENADPQPESLDELVDFYAC >RHN75479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42580358:42586360:1 gene:gene11695 transcript:rna11695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mRNA-decapping enzyme subunit 1, PH domain-containing protein MSQNGKLMPNLDQQSTKLLNLTVLQRIDPFVEEILITAAHVTFYEFNIDLSQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTENLVENLLGDFEYEIQVPYLLYRNAAQEVNGIWFYNARECEEVANLFSRILNAYAKVPPKLKVSSTKSEFEELEAVPTMAVMDGPLEPSSSITSNVADVPDDPSFINFFSAAMGIGNTSNAPITGQPYQSSATISSSGPTHAATPVVPLPTLQIPSLPTSTPFTPQHDAPESINSSSQATNLVKPSFFVPPLSSAAMMMPPVSSSIPTAPPLHPTGTVQRPYGTPMLQPFPPPTPPPSLAPVSSPLPNPAISREKVRDALLVLVQDNQFIDMVYKALLNAHQS >RHN57149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36848808:36864775:-1 gene:gene32652 transcript:rna32652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MFSRFFQKSSPQQSSTQQNVVESSVSSVKFDPRVVLHYGVPSTASILAFDCVQRLIAVGTLDGRIKVFGGDNIEGIMISPKQTSFKHLEFLENQGFLASVSSNNEIQVWDLRNRQIASALQWESIITSFSVIYGTSYMLVGTEHGLVYVLKFESEDRKVNILPYYVPTNVISEAVGMSLDNVSVARVLHQPCSNGKRLLVAYENGVMLLWDASEDRIVLIRDHKDIELKRKIVASYSDDPKDEHSDDKLKHEEEDKEISSVSWASNDGSVVVVGYVDGDIMFWDLPTADSPIDQDKKMSNNVVKLQLSSADRRLPIILLHWHANKTLNRSGGELFVYGGNEIGSEEVLTVLSIDRSCGIESLKCTGRIDVALRGSFADMVLLPSDCHAEGDCDMLFVLTNPGQLHLYDKNYLSSLMSEKQRKTSSPTMQYAIVIPTLEPQMTTARLDVVCQDVKSFTALSEILVAAKQHSVQNQRSAEIKWPLVGGVPGQILKEDHLFVQIYIAGYQDGSARIWDASCPALSLVYNIKPEVNDVKMGSASFAVSALDFCPNSLHLAVGDESGVVRLYGLRRSSDDINLHFVTENGTEVHNVNQGDGPHCKAVFSLQNSAVCGLQFANLGGKLVVGYEHGQVAMLDTITSSILFLTSAESPTSAVVSMNAKFLDTSSLNIPQESVSDISDNSAKGLVFIMTRDAHLVAIDTETGNMVCNRTMSPRVNSNAISMHIIDGTSEISAEKLQSHSPQQNDSGTQANIQSENTQDIVETITTIENSYFEQIVLNSLVLLCYESELSLHSLNIVIEGSNKYIRKVTLVKECCWTTTFRKDDKECVLVVLYQTGDIELRSLPSLDVLGEISLMSILRWNLKTDMEKTICSSSIGQIVLVNENEAAFLSLLSCENELWIPESFPCLHDEVLAAAVDVTVSLSPNQNQKQEAPSIFLNIAKNFKSRKADHDTNQADHNNYLENLEKCFSSPPFLKPSSGTEDTQDGFELDIDEIQIDEPMAFLSSQKIKIDKKEKAEETDRQKLLEESSTDAKPRARTTEEIKAKYRKTGDAAAAAALARDKLVERQEKLQMLNDHTEELQNGAQDFASMATELARRMENRKWWQL >RHN76907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2265622:2268968:-1 gene:gene296 transcript:rna296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MSGKGAKGLTTGKTPANLKDKDKKKPTSRSSRAGLQFPVGRIHRLLKSRTMAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >RHN38992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3364229:3368050:1 gene:gene44976 transcript:rna44976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MENQFFLNAGFEPYQTQDCFFNPNWDKSTDQNLNYDSTLSSIVSSPAATSSPSNPNMSNENFVMRELIGKLGTFGEISQHSSNPLVLPMMSRVAEFSPDPGFVQRAAKFSCFGSKSFNERGNQMVMNNVELAQRSHNLMENGMKLSRVSSSPSLKTFGSQMVNHENKNSSLQQENEKMEVANSQEESTISEQNTPNGEIGVKASPDMNSRKRKASSSKGKAPNSTNPTKGVEGSGEDFNAKKIKANEGERNENGVRNMEEEIKEGTPNAGEEKQNKSDSKPPEPQKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLSSVNTKMDLSIESLVVSKDIFQSNNSLQNSIFQLDSSVPSFYGQQPQQNQAIHNNIPNTNGSHCSVEPLDSSLCHNIGMHLPFLNGFNEGGSQQYPLTFSEEDLNTVVQMGFGQTSNRNTPIHSPSFNGSNQSTQMKIEL >RHN74487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33923892:33924446:1 gene:gene10572 transcript:rna10572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MVVSRRLVSSLIRLWKPSVSCSTLIRSSTSCASRHTYGGISQYASSASTPLSRQAKIEVPGGPQISDEFTGQDAIGHVCQVVDTLVDVKFKEGLPLPQIRTALEVLDHSSRLVLEVADHLGEGVVRTIAMSPTEGVARGWRVLNTGSPITVNNTQSLKNIIVVVAAAAAAFVVVVVKKESISTE >RHN57181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37137755:37141617:1 gene:gene32686 transcript:rna32686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase, protein trichome birefringence-like 32/46 MQIMKPPFSPSSILRSKGRFSPYLFTLLAFILFVTILYGEDFMCIFGEQLYNYSNQDKFFSTPERVKKQIKVPFAVGKTEEGCDIFSGRWVWDEDTRPLYEESECPYIQPQLTCQEHGRPDKDYQHWRWQPHGCDLPKFNASLMLETLRGKRMMFVGDSLNRGQYVSFVCLLHQLIPEDAKSMETFDSLTVFTAKEYNATIEFYWAPFLLESNSDNAVVHRISDRIVRKGSINKHGRNWKGVDILVFNTYLWWMTGLEMKILLGSFDDEVKEIVTISTEDAYRMAMKSMLRWVRLNMNPKKTRVFFTSMSPSHGKSIDWGGEPGGSCYNETTLINNSTYWGSDSRKSIMQVIGEVLSKTKVPITFLNITQLSSYRKDAHTSIYKKQWSPLTKEQLSNPVSYADCVHWCLPGLQDNWNELLFAKLFYP >RHN64603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60334905:60340186:-1 gene:gene27431 transcript:rna27431 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDGRVEENVDLRKGVESARSISVKNFDLLRPFARSNSRGQAGDPMAHGKGKYALVRDPEDFQSGLYDKPLPCFGCGIGWFSFLFGFICPPMWFYATILYFGNHYRKDPRERAGLGASAIAALVCSVGMLIFAAILLLKKSSSLYF >RHN78959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20276670:20284507:-1 gene:gene2628 transcript:rna2628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase, protein kinase TKL-Pl-3 family MVGKEIVEPNTCIRGCCTSSSIPLHLPPSSYELLFPIARGAESVVYEGTLNGDKVAVKKPILSLSQHINNFHKQLQLLCKLDHPGIATLIAAHAKPPNYMFFFKLYESSNLGHKLHVEEWAPTVNDALMITMQLAKALQYLHNLGILHRDVKPSNILLDINLCPHLTDFGLAEYKSDIKGVSLENWKSSGKPTGGFHKKNMVGTLVYMAPEILKKELHTEKSDVYSFGISINELLTGVVPYTDLRAEAQAHTVLEMNYTEQQLTAAVVSDGLRPVLASEELGIPSRLVSMIRKCWDANPKSRPSFDDIVKELDFIMEHRKVKKVEDMHIRPCNLSVDQLVDKTYQESISWSAQGELLARNTSSSTDSGFRTWCESYDEPFAYRPILSWGSYATCGRREAMEDTHFILPHVFNEKDVYAFGIFDGHRGAAAAEFSSRAVPAVLQTLGFKTSPANALVEAFLRTDTAFRKELASYRKSNRCIQKDWHPGCTAIAAIVSGNKLFVANSGDCRAILYRAGNPIALSKDHVASCLQERERVIRQGGQVHWQVDTWRVGLPALQVTRSIGDDDLKPAVTAEPEITENTLHAEDEFLVMASDGLWDVMSSLDVINIIKDTVKEAGMCSKRLATEAVERGSKDNITVIVVFLRPVSTAERIY >RHN68181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33465161:33466171:1 gene:gene16496 transcript:rna16496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MASVKLAPFAVFLLAAFLMFPMKKVEGVDCTGAYCDDLTGCGDYCFCDVIYFLGNQGVCVPYSAMKKKVEENPNLCQTHTECKKKGSGNFCARHINSDVKYGFCFASFSEAQDAYKMAITSNIKKDFLKIPGTTAY >RHN75583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43523037:43526431:1 gene:gene11812 transcript:rna11812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative APO domain-containing protein MAFRNTFYNDLLLYQKLGQSCFGNRGRFYATKVDLRKLRPMILKRIERRSQLYPVRAMIPVANEVLLARNVLIHGVSTLINSFPLMACKFCPEIYIGEQGHLIPTCRGYKRRAKNRVHEWVKGGLNDILVPVETFHLNNMFQNVIRHDQRFDFDRIAAVVELCWQAGADVPHDENLSPSSSNLEAANGNVDGFESLSPNVLAVVAKKTLEAWDVLRSGVEKLLLVYPVKVCKYCSEVHVGPSGHKARLCGVFKHESWKGAHFWTKANVDNLVPPKIVWRRRPQDPPVLVNEGRDFYGRVPAVLDLCTKAGVIVPAKYNVLMKVQGLSGPANYKFAAALEGQTTN >RHN40794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21253231:21254184:1 gene:gene47005 transcript:rna47005 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWGYSCKFTLNPTVIDIQIRSYNTFPFSPLFLSQNSLSLSLSPMANTNTDEHNSVRSSIMEWKQMHPLHQIAETPTHKLLLKQWLKEEELINGRIALKETQIDSIRKEITMLYIFFFLFHSTTLMLLFNSSSVTTPKACHKSWVPSLCSLLFSLGLIWALRYKSDVEAHMEKMLSREKEDRGLLRKCVEELKKKGLEFDLLKEVDALRRAKSLRVESKEVRKWSSRDFVSLFFFSMACLSLAVIRVILCS >RHN39730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10061289:10071858:1 gene:gene45791 transcript:rna45791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase transcription regulator PHD family MVIKRSVKVEMPKLKRCKLDEPHCEDEEHEGCSGSQKKHKVDGFNPEVDFDTGSASWNSEVTNNGGVGEVELNSSRKTVKVRFSCGGPQVLPSSFENDGKVMVKTGSNGSGSGLSFDGADEKINGNVGRRKDVYRLEEFTLGDIVWAKCGKRFPAWPGVVIDPLSEAPQSVIDCCVPDTLCVMFFGYSKNGTRDYAWVKQGMVFPFAEFMNRFQGQTQLFKSKPSDFRKALEEAKLAEDGILESQLRAEEMTDGEAYPDKLRQVSASNIDQEYYCQEKDARCCASCGLMLPCKTMKKVKDSSHAPQFLCKHCVKLRKSKQYCGICKKIWHHSDGGNWVCCDGCNVWVHAECDKISTEHFKDLENTDYYCPDCKERINCKLPESPTYKSKIKSVESSQNVVVPEKYRSVENSQNGVVPEKLTVVCNGMEGIYIPKLHLIMCKCVSCDSRKRTPSEWERHTGSRAKKWKYSVKVKSTMLPLEKWITEHIPEDGVSQELDQQQVLAFLQEKYEPVHAKWTTERCAICRWIEDYEDNKIIICNRCQIAVHQECYGAKHVQDFTSWVCRVCETPDVERECCLCPVKGGALKPTDVEMLWVHVTCAWFQREVVFKNPEVMEPALGILRIPPNSFVKTCVICKQSHGSCTSCCKCSTHFHVMCASRAGYTMELHSMVKNGTTITKKIIYCAVHRVPSPDSVLVSHSSLGIFAPRTLIENHKGCFRGSRLVSSNNIELNEGSSRENDRVEPFSTARCRVHLRSSNKRADVAIIHLLRGPSLHSLGEITQLNNYKDAEVFTSFKVRLHHLQKTENLRICFGKSGIHGWGLFARRDLQEGDMVVEYRGEQVRRSVADLREAKYRSEGKDCYLFKISEEVVIDATHKGNIARLINHSCMPNCYARILSLGNQGNRIVLIAKTNVSAGQELTYDYLFDPDEPEELKVPCLCRASNCRKFMN >RHN46549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32663806:32665685:1 gene:gene41047 transcript:rna41047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MVCLALLYKQNSHTMLPPKLRMASSSSSSACFLCNFHHIPSLKSPTLKSPSTLTTNFLPHCSAPLRLRASPSNKNPITTTTDDDDDDDYLDQTPPPKPPSLLPNFRNGWFKFDELGMDMLSIALPAAVALAADPIASLIDTAFVGHIGAVELAAVGVSASVFNLVSKVFNVPLLNITTSFVAEEQALIGKEEESEQAEENGKSERKKLLSSVSTSLVLAAGLGIAETVALSLGSGPLMTILGIAADSPIREPAEHFLTLRAFGALPIVIALAAQGTFRGFKDTKTPLYAVGKYCSSFLPLPLHLLTHNLHTVDSSYNRL >RHN39142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4441367:4448248:1 gene:gene45141 transcript:rna45141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase II associated factor Paf1 MASYRPFPPPASQNPIAPPPPPPQQRPSNWGGYGYSGVVEIPPQNSNFQHHQHQVQHHHVPHAPPPPPPSNYYPYQPPPPPPPPDNSYQPPPPPPGSMYYPSNNNQYNHQQQQQQPPPPPPPPGSSMPPPPPPPASPPPPPPTKNEVERVDNKGSLGKRDRDGVSHSHKQHQKSSHAHPPRRVETEEEKRMRKKKEYEKHRQEEKHRHQQKQLKESQNSVLQKTQMVSSGGAGKVHGSIAGSRMGDKRATPLLGGERVENRLKKPTTFLCKLRFRNELPDPTAQPKLMAFKKDKDQYAKYTITSLEKMYKPKLFVEPDLGIPLDLLDLSVYNPPSVRPPLAPEDEDLLRDDEAVTPLKKDGIKRKERPTDKGVAWLVKTQYISPLSMESAKQSLTEKQAKELREKKGGRSLLENLNNRDRRMREIEASFEAAKSQPVHATKKELYPVEFMPLLPDFDRYDDQFVIAAFDNAPTVDSEVYNKLDKSVRDISESRAVMKSYVATSSDPANPEKFLAYMVPQPGELSKDIYDEDEDVSYSWVREYHWDVRGDDADDPTTFLVSFDESEARYLPLPTKLVLRKKRAKEGRSGDEVEQFPAPSRVTVRRRPNVAAIELKDSEVYTRLKGNSSKNLDMDDDLDDQHGDADHHDNFQSSGAEDEMSE >RHN67040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23182167:23184047:1 gene:gene15172 transcript:rna15172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MASSSSVSKKRSIINGDDDDDVSPSSLHVFTKRNLNKNSIIETKEEELMVSPSTGENLTLKQAFFIKSSIKHSTPPPPLFSISSQTTTNSPLQVKYSGWRIQPKLQPEWNNWVTKLQPKFESLWIKTGIYNAIKASTYEIKRDDDLILQLANRWCSKTNTFVFPWGESTITLEDIKVCFGYSLLGDSISTPLLNSEQEAEAELIEARRMFNKTKAKKVTQSAWMKHFMENESKLEHEAFLVYWLQRFVFPEDSHDTISTTVIPIAILLAHGNRIALAPAVLAGIYRDLTLLNSTIQKSATTPTENSRVTIWAPFQLIQVWALERFRALKPRPCETRDGLPRVARWGGVNVMKNKNLKEDLDCAGFRNGFLWKPYENSPCIDVYDEKDLLKCDNPCLDEFSRCLRVCEFVGMGCKEKYFPHRVAMQFGLDQDIPGKVALCKKDPWINYNKPVSIADKNLFIQLCSRQASVTFRYYDWWKQSISRDEGDNNRIKVEESISGSNQYQGMTEITPSGFTSKFEKNQMEASDKEDHLLVYELSSSDDEVVENRKILSSPEFCDFISSNVGDEAGINSPFCDRDGEKEDSVGPFTEDMKFELENIGFELENRIQNLEGEVAKLKEARFGQKV >RHN40056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12847246:12849513:-1 gene:gene46151 transcript:rna46151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MISVEVISTIGVIYYAFLSGLEVNLNTILHVKKKAATIAISGIIFPMVMGPALYLLHRKFYGKGDGSNLEESTINVYILWTLVLTVTGFPVVVHTLSELKLLYTGLGKVALTSAMISDTYAWILFVLFVPFSVNGTEAIYTTLSTAIFIFISIFVVHPIIVKVIDRKTERDEWDANQLVFVFMGLMVFSFIADTLGTHDVVGAFLYGLILPHGKFADMVVSMTNDFGIGFLAPIYFCGNGSKLMVKTIFFQPNCAYTLIIILLLCVPKILSTLFATFFFGMRAQDGFALGLLLNTKGVVALIMLNISWDRSILSPPTYAVLTSAVLLMTIVVAPVINAIYKPRKRFENNKLKTIQKLRLDAELRILACVHNTRQAVGVISLIEYFNATRISPIHVFGLYLVEIVGRVGALVASHMEKSSGQSGTQNLTQSQLELESITNTFEAFKEAYDAIRVQTLNVVSNYATIHEDIYNSANEKCTSLILLPFHKQLSSEGALETTNTAYKDINLNVMQSAPCSVGIFVDRDLGSLPTLNFRICMIFVGGPDDREALAVAWRMAGRIGTQLSVVRMLLFDNAEIVDTSSHAEPQGILSIVMDSEKQKELDDEYVNGFRLTAMNNNDSISYSEVNVHSGEDIPTTLNELENFYCNLYIVGQGNRRNNRVFLNLLDWCDCPELGVLGDILASDNFVSRSSVLVVQQYGYGGMVLEKQPNHVTTNNDGFEWL >RHN73668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18705886:18707864:1 gene:gene9514 transcript:rna9514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MRGVISVFPSHEFHLQTTRSWDFLGLPHSFKRDQTIESSLVIGVMDTGIWPESESFNDKGLGSIPKKWKGVCAGGGNFSCNKKIIGARFYGVGDVSARDKSGHGTHTASIAGGREVNDVSFYGLANGIARGGIPSSRIDAYKICNVFGACTNDVVLAAFDDAIADGVDVITISLDAPNAIDFLSDSIAIGSFHAMEKGILTVQSAGNAGPISSSVCSIILGNGQTFIGKSINTKPSNGTKFPIVVHNAQACPAGGKTSPEKCDCMDKKMVNGKLVLCGSPIGEMLTSTSGAIGNPTLKLESKDFVHVQYYKNSTNYPVAEILKSEIFHDTSAPRIAIFSSRGSNPLVQEIMKPDISAPGVEILAAYSPLVSPSTDPSHNRMVKYNILSGTSTSCPHVAGVVGYVKSFHLDWSPTAIKSAIMTTATPVKGTYDDFVGEFAYGSGNINPKQAIHPGLVYDITKQDYMQMLCNYGYSSEKLNKLVEIIQVAMELLKDQW >RHN40989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25423423:25424733:1 gene:gene47252 transcript:rna47252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MALASKIISVLVLSLFCCLDMLCVNATSYREMLVMGQSVGNSDTLVSKGGNYELGFFTRNRENSIKYYYVGIWFKKVANDKIVWVANRDYEPQTSSAFLTIHDDGNIVIIDGGMIRYVTGAPSNNNRISTYATLLDTGNLVLVNKSNQVILWQSFDNPTDTLLPGMTLGHDTYTGRTWSLRSWKRTDDPSTGPFTLRYDSGFGYLSDSNGSNVVWINDDSDVPIQEIFNQVDFKLKPSYGLNYATLTINSNSRFILEASGDLKYQAWSEEYRQWIFLQFYQCVTNNSCGHFSVCTPKAVDACQCLYGFEKYDSDSWSKGDRSAGCVRINKLSCNTNNNKDEFHPLNISVKSLPHHVHRQVDKLSQCNDICFTNCSCVLYAYDISNGNCMLWNDQVPTLKNTSTEYAYNNINNYNLKFFLRVAGPDRPSTSKLKEFL >RHN49820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1440211:1444020:1 gene:gene34042 transcript:rna34042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MNFMRLIHVLWCFNLYVTCKFIQVSSDKCVYPAIYNFGDSNSDTGTIYATYTSVQPPNGISFFGNISGRASDGRLIIDSITEELKLPYLSAYLNSVGSNYRHGANFAVSGASIRPRGYHLFNLGLQVSQFILFKSHTKILFNQLSNNRTEPSLKSGLPRPEDFSKALYTIDIGQNDLAHGFQYTSEEQVQRSIPEILSNFSQSVKQLYNEGARVFWIHNTGPIGCLPFNYYTYKHKKVDANDCVKSQNKIAKEFNKKLKDQVSQLRKELLQAKFTYVDMYKAKHELISNAKSQGFVSRLDFCHNLYGINGTVNRYPCTNPSQYISWDGIHYSQRANQLIAEKIIHGSFSHPQVPIGNACF >RHN57837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42055066:42058454:-1 gene:gene33426 transcript:rna33426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MKTSKVEKNTWSLTLCFVLLSLITIFLPFCESFNYGQALSQTLLYFEAQRSGHLPHNQRVNWRHHSGLTDGLEQGVDLVGGYYDAGDNVKFGLPMAFTVTLLSWAAIEYGEEIAAAGEYVHAMEAIKWGTDYFIKAHTQPNVLWVEVFHLNSFNYCDFLFNFKFEVIINPRAVVAIEINNPSKLVGKIVLHEVFKCRKSFKTHPLQLAHSVGDGETDHYCWQRPEDMTTPRRAYKVDENNPGSEVAGETAAALAAASILFKKTNPHYSHLLLQHAQQLFEFGDKFKGKYDESVEVAKGYYTSVSGYMDELLWAAIWLYKATEKDEYLKYVLENANDFGGTTWAMTEFSWDVKYSGVQAIASMLLMEEKHKKHEVILKQYRSKAEHYFCACLNLNNASKDNVDRTPGGLLYIRQWNNMQYVANAAFLLAIYSDHLLATNQKLHCQKGEVGPNELLAFAKSQVDYILGSNPMGMSYLVGYGSNFPQRVHHRGASTESYKGNMGFIGCTQGYDNWYESPKPNPNILIGALVGGPDNKDQFRDERRNFEQLEACTYNTAALVGVFARLYNLE >RHN46214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29707556:29708630:-1 gene:gene40665 transcript:rna40665 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSFVSRLVSCLIAATMAVAVFQTKEHVVSLKLLVDTETNKVLFAEAEKEFVDILCSFLTLPLATIARLLHKDVEILRLLPSTNSSEDYCGSLKINIDDTDPKNYFICSKFEDYNRCNNLSIGTKRNYCRCENRMNRSVSMTQSGNGFVKDDSMFFVTDDLTVLPHSMDHTLFGLVNNLQMRNTSSVKEMTVYVTKEKVLDLLKHALLSKTPLTDLFLEMKPCVDKSSIFSCDFKNILNSCMDITVKLLIRKSDGNILYAQGGQDFADFINKRPSKSYLLNFFGCLIDIEEA >RHN79604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30490801:30496089:1 gene:gene3417 transcript:rna3417 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAQKHLHELLKEDQEPFLLNNYISHKRNQIKRSSSYTTLQLKKQKPPPTRTINLCKNACFFSFQNTPDIRKSPLFELSSPVKSPLRIPAKTASLLLEAALKIQSKKPNSKNKSFGVFGSFFKKLTQRNRSKKSENEENVSVKDILRWDSSIGRRHRKSFNSIVEEEENKGFEVCSCNGRPSCSGVWSESNEDKSLDMETSSSGHSCESVEEVEFVMSKKKENVDCACFDPHGFFCESPFRFALERSASSSSGRRTPEFSSPAESPRRPQIEDKENNGVDGVNKFQSGEDEDEKEQFSPVSVLDPLFEDYDDDDDCHENDDDEGDFDMECSYANVQRSKQLLLDRLRRFEQLAELDPLELEKRMQEDNEAFEDDDYEEDESEASSEEKALREIVHEILCHPSVHERWQDQKVFKRLVYDLIKEEEKELNFSQDMDIVMKRVCKKMELWKEVESNTIDMMIEEDFSREEIGWKKNAEETKELAGEVELAIFCLLVDEFSEELVC >RHN82592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55003986:55013502:-1 gene:gene6767 transcript:rna6767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartate--tRNA ligase MSSLFQKEFRLMTLRRLKESLEWISRTHFCGELSSNNVGETVRLCGWVAYHRSHGGLAFLNLRDHTGIIQVKTLTHEFPAAHYALNNVRLEYVVAIQGVVRSRLIQSIHNNMKTGFIEIVANEVQVLNSVNAKLPFLVTTHDDDHAKDSLKEETLLRYRCLDLRRQQLNSNMLLRHNVVKLIRRYLEDIHGFVEIETPILSRSTPEGARDYLVPSRIQRGTFYALPQSPQLFKQMLMVAGFDKYYQVARCFRDEDLRADRLPEFTQLDMEMAFTPLEDMFSLNEELIRKVFLEIKGVELPNPFPRLTYAEAMNRYGSDRPDTRFDLELKDVSDIFSRSSFKVFSDSLECGGVIKVLCVPSGAKKYRNFGAFGLPFLKITENGDIKGISALVSSMDPATTVDLLRRCSAGPSDLILFAVGHHASVNKTLGCLRVSVANELGLIDHARHSILWITDFPMFEWNDSEQRLEALHHPFTAPNPEDMNDLASARALAYDMVYNGVKIGGGSLRIYKRDIQQKVLETVGISMEQAEAKFGHLLEALDMGAPPHGGIAFGLDRLVMLLAGANSIRDVIAFPKTSTAFPKTRTAQCALTRSPSEVDPRQLKDLSITT >RHN79443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28919064:28924242:-1 gene:gene3233 transcript:rna3233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LOG family protein MEETKSKFKRICVYCGSSSGNKPTYQEAAVELGKEMVERRIDLVYGGGSVGLMGLVSQAVHDGGRHVLGVIPRSLMPREITGDPIGEVRAVSDMHQRKAEMARQADAFIALPGGYGTLEELLEIITWAQLGIHSKPVGLLNVDGFYNSLLCFIDKAVDEGFISPKARRIIVSASTAKELVRELEEHVHEQDEVVSKLVWEERLNYVPESEVAM >RHN66890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21009033:21009296:-1 gene:gene15004 transcript:rna15004 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYKLDNIKRLPYPSLLGCVLSSNGVRSDDTLLTKPNPRNGLDIGVVNTMHYYLDGRSNWYYDNGNFWFYDDIVVPVGSSSEEVDA >RHN43064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42949000:42950867:-1 gene:gene49587 transcript:rna49587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BolA protein MGVTKEQVESSLNSKLNPSHLEVTDISGGCGSSFAVEIVSEQFEGKRLLERHRMVNAALEEEMKEIHALSVKKAVTPEQWKKQQESNQSNPAA >RHN71870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3229937:3230441:1 gene:gene7513 transcript:rna7513 gene_biotype:protein_coding transcript_biotype:protein_coding MPESPITADNQKCNAKKKHQLNLVNLAIIIIEHNNTYNISTT >RHN62396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43107056:43108173:1 gene:gene24967 transcript:rna24967 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPTTTLKRTKKSSVSLLTFLVMLVTSSSKAFYWSLSMKCNCGPSTSRGYESRPDAGISGTRGLYSSRVMISSVSVVSALLSCISSDTLAMMNSTAAMQ >RHN50502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7702323:7706242:1 gene:gene34792 transcript:rna34792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MGKEKIHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGIVKPGMVVTFGPTGLTTEVKSVEMHHEALTEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKEIEKEPKFLKNGDAGIIKMVPTKPMVVETFAEYPPLGRFAVRDMRQTVAVGVIKAVEKKDPTGAKVTKAAAKKK >RHN63212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49413860:49417190:-1 gene:gene25888 transcript:rna25888 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPASVKSQPLHNFSLPFLKWGGTGKNNTNATNHHRSRRPPDHASEPDSEPDSRPHRLGSRTARNRFGFASSSSQRQAPPTPSSNNETDDNAGDRKRDAEDDAEAGGGAEEIVQKPWNLRPRKPMIPRGGFEIGAGGSRNNNGGELQEGVNGENPAPKSLRLRGFADTNCGEKKEKRKFWIALSKDEIEEDIFVMTGSRPNRRPRKRAKNVQKQMDNVFPGLWLVGITADAYRVADTPTKR >RHN66756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18320536:18327803:-1 gene:gene14834 transcript:rna14834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MTSTILRRSSSIFSRRFFVAAVEQLPIRHRSLALLSSAVNGKVGERLFHAKSGPLNFHSSLVSRGAQLAVDYDHAEHDDGCGVNGDEGLEIAKLGISKEIVNALGKKGIAKLFPIQRAVLEPAMQGRDMIGRARTGTGKTLAFGIPILDKIIKFNAKNGKRRDPLALVLAPTRELARQVEKEFKDAAPNLEIISLYGGMPIQQQISKLGSGVDIVVGTPGRVIDLLKRGSLKLKDVNYVVLDEADQMLQVGFQEAVETILQKLPSKRQTLMFSATMPSWIKNLTRNYLNKPLTIDLVGDSDQKLADGISLYSIASNAYSKAGILVPLITEHAKGGKCIVFTQTKRDADRLSHIMAKSIKCEALHGDISQTQRERTLTGFRNSYFNVLVATDVASRGLDIPNVDLVIHYELPNNSEIFVHRSGRTGRAGKKGTAILVHTENQSRDVKTIERDVGCKFKELPKIAVESGSADMFGSTSSGRYGSSGGRDRRSSSSSGSGRNGYGKSSGFGGSSSRKSGGGFEEFSGKTDRYGGGGFSSSSRSGGFGKFGSGKSGRYGDRN >RHN42281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37062944:37075640:1 gene:gene48705 transcript:rna48705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative uvrD-like Helicase, ATP-binding domain, P-loop containing nucleoside triphosphate hydrolase MHSTTFHFCFYSWLLRNPFLLFYSTVTVSTHFSVLSINSKPLLYIFVLVTEKERKPLHTMNRSTSTSKKKKKKKTTYHDDQNFIDTIFSWSLQDIFNQELYNNKVDYIDLSFASAEQYFQSFVYPLLEETRAQLCSCMEILSSSPYAEVISLEHSRSHSYGRNHYVVKTDTWKNRSSGHGKELYKTLFGDVFILADFKPETVNDLQRSGRTWSFVLSAGILDEEIEGDDDETKVMSTFKVIASKDIDIDEIGQKSLFIIFLTNIIPNRRIWSALHMDGDSKLIQKILCAIDVVDEDCYYCSPKTDALRDDETYQRLSSELNESQNQAICACLSSFHCNHKSTVDLIWGPPGTGKTKTLGTLLFALFKMNCRTLVCAPTNVAIKEVASRVLSMVRESFDRNSDALFCNLGDMLLFGNHERLKVGAEIEEIYLDYRVKQLILCFTPPNGWNYSFASMIDLLENCVSHYQIFIENEMRKEQDQTDDNNFNRAKDDSPSDSCVGIHKSFLEFVRERFLAIASPLRDCISILCTHIARSCIMEHNLKDLARLIYSLGSFQALLFENNIVCEKLEELFSPPESQHSSFESVVVSAAEYSLHQSRTECLSLLRTLKVSLGDLNLPDVVTEESIREFCLQSSLLIFSTASSSFKLHSVDMEPLDVLVIDEAAQLKECESIIPLLLSDINHAILVGDERQLPAMIESSVSFEVGFGRSLFARLSTLGHPNHLLNIQYRMHPAISSFPNSCFYLNQILDAPNVIAKNYREQYLPGQMFGPYSFINIIGGTEEFDDAGRSRKNMVEVAVVMKIIRNCFRAWLESNENLSIGVVSPYAAQVVSLQDMLGQKYDKHEGFDVKVKTIDGFQGGEQDIIILSTVRTDCSTSLGFISNNQRTNVALTRARHCLWILGNEKTLVSQENVWKDLVLDAKKRQLFFNADEDEDLAKGIWDAKKELDQLDDLLNADSLIFRNSRWKVLFSDNFLKSFKNLPSKRTKKSVLNLLLKLSSGWRPKRIKVDLLCGNSSQMLKQYKVEGLFIVCSKDIVKELNFTQVLRIWDILPPEDIPKVVKRLDSIFGSYTDDFIRRCSEQCFEGKMEVPMNWEKSTEIIKIKNLDSNENEAELSCCDQRIYVENSKVEESFLLMKFYSLSSVVVSHLLSDRNSNELELPFEVSDEEHDIILFSKSTFVLGRSGTGKTTVLTMKLFKKEELHHAALEHTYGIKNVDVPCLNDDKEYKDSSTVNDRPVLQQLFVTVSPKLCQAVKQQVVRMKRFICGGDISAKSCSIDEDIVDVDTSIQFKNIPDSFVNLPTNSYPLVITFQKFLMMLDGTVGNSYFERFSDLSSLSENLGVRSVALETFIRKKEVTYDRFESLYWPHFNSQYTKTLDSSRVFTEIVSHIKGSMQSVEPGEGKLSRQDYLSLSENRASSLSKQKREIIYDIYQSYEKMKLDKGDFDLADIVDDLHRRLRINKYEGDEMHFVYIDEVQDLTMSQIALFKYVCQNVEEGFVFCGDTAQTIARGIDFRFQDIKSLFYKKFVLESKRVAYNQEKVKVKVSKTFLLNQNFRTHAGVLKLSQSTIELLFRFFPHSIDVLKPETSLIYGEAPVVLECGSRKNAIVTIFGNTGHESGKIVGFGAEQVILVRDDYARKEILNYVGKQALVLTILECKGLEFQDVLLYNFFGTSPLKNRWRVIYEYMNEQDMLEPTESKSFPTFSDSKHNILCSELKQLYVAITRTRQRLWICENTEEYCKPMFDYWKRKCLVQFKELDDSLAQAMKVASSPEEWKSRGKKLYYQNNYEMATMCFERAGDSYWEKKSKAAGLRATANRLRDLNPEDANAILREAAEIFEGIGMAESSAQCFSDLGDHERAGKLYLEKCEEPDLKRAGDCFYLARCFDMAAQVYAKGCFFSDCLNVCAKGGLFDIGLHYIQHWKQNESADPGWANSHDLYAIEQKYLENCARKYFDNKDIKSMMKFVRAFHSMDMKREFLQSLSLLDELLELEEESGNYMEAVNIAKMMGDILREADLLGKAGEFLEAYELMIFYVLANSLWSGGSKAWPLKQFTLKAELLGKALTFAKGVSSSFYELASTEAEILSNKHDNIFEIMNQLKSSRIYSSIRGEILCLWKLLDSHFRLNSSKYVWQDSLFDVSVEGMIMKNQFSVETLFYCWTYWKDNIVQMLDFLSNFKTPESHQHNSYVKFALNCLGVQKQIYNLTDIYLLLIPDATWVMKLGDRFLKKKGRLVSVDVQPLVSFAQSYWSSELLSVGMDVLKNLDALYKFSVNKAFSEFCQVQSLLHIYEVSKFLLKSKCFRHGHGNLKTLERFYRLPIECLFHHVAPLDWKKSLAKEMVYQRVTEAWQDIMKEGINENTKRKDTLTYGQIGRVVVMILGTANVQDDLFVQVMTRFDDKDDWNNFIQSLRLNSVNGSADKAVLEMHCTRKLYLALQYTCSVNWIKEVDYISPDCFMYLVERLLLLTSCWKGFIYATKSSFIEWLICQDENSLSNLSFMSNELVSAHDFIANILREFVYDQNGTKNWIKKSNLDVKNYFPTLLLRLVVSMCSLHLSSGSGKYLQLLRNLLGKRHITDQLPLEFCNVLQKGKKHLGLEVIAEAFKVIGNPLIIAKLQNNSTEIVCSDAIFVDLTICQKRELILQTLFPSTVDYVAEETIAEASDSKSKEFPSNLQDKSLASVSDQASDGQIKDEINLSVNDDFLNWLENFESSTDVSWLNNVSPDFMMIKGFLDHFIRLWNLLVHNPVKMENKIEMEEFVSLLDEMKQLRASMNMSDSVIEKDTVIVLSKKIRSGRSKVEHILYQLNLVQRNTNVESDPSQASTAVGNDDHKQNVLKESKGNVSNNSQGPVNSGHEKAKENNKGGNNNKSKKNKRGKKGKK >RHN70699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53295242:53301513:1 gene:gene19310 transcript:rna19310 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNLDELDCRKMNVVEQLYELRARINKEGVDSAVHKLYTSIKSLKDLEKKENQFLLNRDFKHSQLQSEISELERKIANDCGHGSKSLTDGLHHSLTESPERFDLMKKELAARLRDVVAVRRQIDDLPCQSEIVQYEHRLSELYAQIQGKHRQTRKYYSTYNALLEIKELMLKETSLLNSIISQFQEAFSSTDGRIKLVHSMEGIVKGSEQKLRKVQLGLQEEETNLNDLKDRYAAAVGEHKRCYSLMKAFQEKCSKEKLRCQSSK >RHN61469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35674556:35677229:-1 gene:gene23940 transcript:rna23940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MASKRIVKELKDLQRDPPTSCSAGPVGEDMFHWQATIIGPNDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGNICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEVAHMCKTDRVKYESTARSWTQKFAMG >RHN49055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52133040:52134967:1 gene:gene43842 transcript:rna43842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MGMLKVVGVEKSYTRNGSQSKMISIELYYDGYTLFGPYVDELNAFLASGETDNVVVAVQLTKIKIFQGQAMIQNTINATKVLFNPTFTAALLLKKRMVENDDSPSPDISKITEASKVSVEDFLNLSPMTTVEGLKEKFFAVFGTVNVIVDDSDWWYTSCVVCNKKVHDQDEGC >RHN43408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45590166:45591748:1 gene:gene49979 transcript:rna49979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MDELAFLMDWDLEAINEVPTTNPYFSHLFSEQDHDELLFGSFPEFSKTTNVLIHEFEELCKPNFYPLSSQTILTSSLATPKEPEQVKEIKAASHQDLQIPAVSKFKKSKKNKNKSNIVKKVTAVDGTLCDAWAWRKYGQKPIKGSPYPRSYYRCSSSKGCLAKKQVEKNHLDPKVYLVTYTAEHNHPQPTRRNSLAGSTRKNNILVTHSSKTPLVKIEDEVNVTSMKQQNKGFEKDDQDLLEWLDGAQLCDDGWIPSKELEELIGLDNKCQYQ >RHN43855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49017489:49019766:-1 gene:gene50487 transcript:rna50487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain-containing protein MMDPSSPSISISQKQHPLPFPESVSALPSSPRHHYHNDTSDLLLIPHVPGSKLRLMCSYGGHIMPRDNSLYYVGGDTRIVAVDRHSSLSHLFSHLSRTLLHGRRPFTLKYHLPNEDLDNLITVSTDEDLQNMIDEYDRLSSNPSPSPSRLRLFLFFSKPDTAVSMGNGHFYNHAWFVEALNNSGILSRVVSDSAAAVDNCLLNLDDYDISASSNDENTNNNVIKEHLIDVDYSVPVEEEEEKNMFNYSIANLRVRVDHDDDGSGVKQEQRLRMEQQHENNFDNVKQQNDPFLESSYCHDGRAGYDFINRVVSDDRKPPLPSLIVQPRTTGASLGLPSPDSLASDSSVASACVDNKDTTLSNNIKSEVFDQNQQGEQAKDLDYTPPQQQLNQNQQPQTYQQHQFVYIHRPIGTGQVPLSSYYPVYAPPSQSHQLHQYPVYVMPIGHGSTQPYNMALQSNLSDTNVVASTMPLIPQSVVPEVNPSVYKTPMASNPSFIQVLSNQYQQQYVSLSQNIHHPPQKTIYGYEYGGGGATQEQVYYTQQQPANANAPPQYQSMTPAPAVAALLDVSKQFPIDNNIQQQNRTSQQV >RHN68485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35910823:35911228:1 gene:gene16847 transcript:rna16847 gene_biotype:protein_coding transcript_biotype:protein_coding MCRYRWTMIAWTYQYICVNLFFEGSSKTSEISQILFLLIKLVYLLRTPSYTIIKSCLTRISPRNRRIIRSSDCFCFLSFKTIHHVDYAPNSFITCPTYCIPWRP >RHN42358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37680723:37684380:-1 gene:gene48790 transcript:rna48790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MMTPNLNLEPENQSEAPQDLDPMTLDLTLNFSSSEAELMGSSDASSEVGAAEVHASPSVTPRIFSCNYCKRKFYSSQALGGHQNAHKRERTMAKRAMRMGMFTERYTSLASLPLHGSPFRSLGVEAHSAMHQRHMQPSSSMRAPDMRAAAKFDRNHFGSLVYVEDDDVGSFWPGSFRQVDQGGVVNIGYPQTSNSSFVPMAPPPPQASASPDLTLKL >RHN41816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33264296:33276893:1 gene:gene48178 transcript:rna48178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative structural maintenance of chromosomes protein MESQPESARGRPRLFIQEMVMRNFKSYAGEQRVGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLDSAGVSVHFQEIVDLEDGTYETVPGTDFVITRVAFRDNSSKYYINNRSSNFTEVTKKLKGKGVDLDNNRFLILQGEVEQISLMKPKSQGPHDEGFLEYLEDIIGTNKYVEKIDESYKQLESLNERRSGVVQMVKLSEKERDSLEDVKNEAEAYMLKELSLLKWQEKATTLAVDDTGGKMDELQVGVASLEENLKAERDKIQENKQILKELETKHNKYIQIQEELDNDMRKCKEEFKEFERQDVKFREDYKHMNQKIKKLEDKAEKDSSKIEALVKEGENSNDMIPKLEDNIPKLQKLLTDEEKVLEEITESSKVETEKFRSELAKFRSELEPWEKDLIEHKGKLEVASSEAKLLNEKHEGAREAFKGAQNQMKIITETIKSKTASISQIKSNIEKSKCEASEAHKAEEECIKKQDALIPLEQGARQKVAEMKSVLDSEKSQGSVLKAVMKAKETGQIEGIYGRMGDLGAIDAKFDVAISTACSGLDYIVVETTNAAQACVELLRRENLGVATFMILEKQVDLLPMMKKSVSTPEGVPRLFDLVKVRDERMKLAFFAALRNTVVAKDLDQASRIAYGGNNEFRRVVTLGGALFEKSGTMSGGGSTPKGGKMGTSIRATNVSGEAVASAESELSGLTDKLNKIRQRMMDAVKVYQEAEKKIAAWEMELAKSQKEVDSLNSQHSYIEKQLGSLEVASNPQENELDRLKELMKIISAEEREINRLTDGSKKLKEKVSELQKKIENAGGEKLKSQKLKVQKIQSDIDKASSEINRHKVQIETGQKMMKKLTKGIEESKKEKERLAEEREKLKGNFKEIEQKAFAVQKNYEKTEEMIKKHRDGLEEARSEYDKMKKAVDELRASEVDADFKLKDMKKAYKELEIKGKGYRKRLDELQTAISKHLEQIQVDLVDNEKLHATLTEEHLNAACDLKKACETVALLEAQLKEMNPNLDSIAEYRKKVALYNERVEELNAVTQERDDIKKQHDELRKRRLDEFMEGFNAISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITIDPCSFVVCQKGA >RHN40672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19205338:19205553:1 gene:gene46858 transcript:rna46858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chorismate mutase MILQDKDRLMELLTYPEVEESIKRRVAINLKDQKTDPVYKINPSLVADLYSDWIMPLTKEVQVAYLLRKLD >RHN77748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9166532:9167653:1 gene:gene1235 transcript:rna1235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MYYEGVWAIGHYVLPSILLARFLFGISFFIALLIYKWRKRHWSMYECIEIYLQHNNLMPIGYSYKEIKKMAKGFKEELGKGGFGTVFKGNLRSGPCVAIKMLGKSKGNGQDFINEVTTIGRIHHLNVVQLLGFCIEGSKRALVYEFMPNGSLDKFIFSKEGSINLSYNKIYDIAIGVARGIAYLHHGCEMKILHFDIKPHNILLDENFIPKISDFGLAKLHPIENSVITMTAARGTIGYMAPELFYKNIGGVSYKADVYSFGMLLMEMASKRKNLNTKAEHSSQLYFPFWIYDQLGKQGEIEIENVVEEDMKIVKKMIMVALWCIQLKPNDRPSMSRVVEMLEGDIETLEMPPEPTMYPDEVISRDEITTNSN >RHN72316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6720735:6723927:-1 gene:gene8014 transcript:rna8014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casparian strip membrane protein MEVQSTKELKENVVIKSSLEGTCSMVLRLCALVLTLTAAVVLVADKQTTVVPVKISDSLPPLDVPVTAKWQYVSAYVYFVVANFIAFAYATLSFVIALANGHKSKLLVTLVTLLDAIMVALLFSGNGAAWAIGVLAEKGNSHVMWNKVCHVFDKFCNQAAAACLISLLGSIVFLSLVMLPALRLHRRRT >RHN49290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53851527:53853623:-1 gene:gene44105 transcript:rna44105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronosyltransferase MSFKLFANYLSHSISKQRCFFIFFVLVSLPFLVFVLSIKYQKFDVHENTKPSWFEVIAQDFKSKTKIKIGLVDINPRSIGEQLDGNRSRVDIVPIHFDRVSENLKWSDFFPEWIDEEGKPDEPKCPNMPMPSLANYKDLDVVMAMVPCREESMEEKGIRDLFRLQVNLVVANLVVENKWKEKSESNGKDMYIVFVGSCGPMVEIFRCDDLLMHQGEYWVYKPDLNRLKQKTLMPVGSCQISPGYAESGKEIWRSYLSSLSKHNKKAPKLAYVTVLHSSEAYVCGAIALAQSILLTGKNSYIFQPIDLVLLADDSIGPKSMKGLRAAGWKIKRIQRIESPFAKKDAYNRWNYSKLRIWQLTMYDKIIFIDSDFLVLKNIDNFFFYPQLSAAPNEDVIFNSGLIVVEPSQCMFESMMNKTSKVKPYNGGDQGFLNEVFTWWHRLPSKLNYMKSFKQVGNNHKHEVPNDVYTLHYLGLKPWMCYRDYDCNWDMQGYDIFASDSANEVWWKVYDTMPKHLQSYCSLTKQSNERIVKNRKIARNAIFSDGHWRIEVKDPRRLNYID >RHN56059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27270047:27275650:1 gene:gene31385 transcript:rna31385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SLC26A/SulP transporter MSCPADENVETKEMDSRSLSSSQGQEPYAHKVGIPPKQNLFKEFQYTVKETFFSDDPLRSFKDQTKSRKLILGIEAIFPILSWGRTYTLQKFRGDLIAGLTIASLCIPQDIGYSKLANLAPQYGLYSSFVPPLIYAVMGSSRDIAIGPVAVVSLLLGTLLQNEIDPNTHPTEYRRLAFTATFFAGITQATLGVFRLGFLIDFLSHAAIVGFMGGAAITIALQQLKGFLGIQKFTKKTDIISVMNSVFSSAHHGWNWQTILIGSTFLAFLLFAKYIGKKGQKFFWVPAIAPLISVVLSTLFVYITRADKHGVAIVKHIEKGINPSSVKEIYFTGDYLAKGVRIGIVAGMIALTEAIAIGRTFASMKDYQLDGNKEMVALGAMNVVGSMTSCYVATGSFSRSAVNFMAGCETAVSNIVMSVVVFLTLQFITPLFKYTPNAILASIIICAVINLVDYKAAILIWKIDKFDFVACMGAFFGVVFASVEIGLLIAVSISFAKILLQVTRPRTAILGKIPRTTVYRNIQQYPEATRVPGVLIIRVDSAIYFSNSNYVKERILRWLMDEEERVNRDYQTRIQFLIVEMSPVTDIDTSGIHALEELYRSLQKREVQLVLANPGPLVIDKLHTSNFANFLGEDKIFLTVAEAVAYCSPKLAEDP >RHN47480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40069219:40069895:1 gene:gene42090 transcript:rna42090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MPKGSLDKFIHISGSPDAICDLDWNTMYQIATGIAPDDSIVSILGTRGTIGYIAPEVFSRTFGGVSHKSDVYSYGMLILEMIGGRKNYDTGGSCTSEMYFSDWIYKDLELSNNLNCSANSEEENDMARKITMISLWCIQTNPSDRPSMSKVIEMLQGPLGSVPYPPKPFLYSPERPSLQISYVSSNNL >RHN73730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19414503:19424092:1 gene:gene9585 transcript:rna9585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein RED MTQSKKNHKDKPIRRKEEKPEEPELPKYRDRAKERREDQNPDYEQTELGFHAVAPPGTVDIRASDAHKLSIEKSKYLGGDVEHTHLVKGLDYALLNKVRSEIKKPDAGDDGDGKPRAPKEDQKVSIRTAVAKSVYQWIVKPQTISKTNEMFLPGRMTFIYNMESGYHHDIPTTLHRSKADCPVPEEMVTVNVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKEKDAKGKFLAVGNGYDKEDKLAKVEGVGTKYHTEREPILPPPPPMKKNPIISRENQGPAVAREEDDDIFVGEGVNYEIPGPPSPVSEDMEESPKNKERPQYFAEPEPEPVYGPIPPVMDQGWQETNGYDVMQTQAMVGGYQGEWQQEYQYAEQLAYPDQYLQQNMQGYDVQPDANIPQDPRFMTQEEKDRGLGSVFKRDDGRLQQLREKDAREKDPNFISESYSECYPGYQEYNREVVDSDDEADLSKMDMGGRAKGRLHRWDFETEEEWATYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLNNDLHKINKILSRKKMDKEGGGEGGDYDDEPTPGKKVRV >RHN39544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8070196:8071149:1 gene:gene45575 transcript:rna45575 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNGVFVGPLLHFIKTEFKMKISGDSFVLFLFRFAFGSKKSLTFLFF >RHN67602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28556173:28557158:-1 gene:gene15807 transcript:rna15807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, MuDR, plant MIVIRMLLRSKVCGMDNEKLSNELDNASKEDSETKGKPKYDKFYTEAEITKDFKFSIGMEFTSRHQFKDVVRELNVLNGRKIKFTRNGKRNVRAVCWFNQKCAYNVFASTAKKTNTFWVRSLRPMHTCGMVLNFNSSRSKWVKEVLVKHNINQEPNKRGRPKVNTLSNVKPNEAAKRKTKRRDACYKITKELDQQQPEVLVDGEPLNQNEKVSADGNMSAKNTFVEPISVVNLVPTTADPNPLPSYVRKEKKEKSYKKVGFKGGEED >RHN42856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41477924:41481957:-1 gene:gene49353 transcript:rna49353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S28e MDSQIKHAIAVKVMGRTGSRGQVIQVRVKFTDDTNRHIMTNVKGPVREARRLR >RHN50827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10876374:10879818:-1 gene:gene35163 transcript:rna35163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MVDDSNDDPSKIQSLSTNSPNDAGNDVKVSSKDQRDALPGEPQCIVCGRYGEYICDETDDDVCSLECKQSVLSRISKSSSSVGCLPPPKKLPAADECIYVRDTDNISGTPSLGGDHVQAELLRKKLDIHVKGVVSAPVMSFASCNLPDKLLQNIEAAGYEMPTPVQMQAIPAALVGKNMLVLADTGSGKSASFLIPIISRCASHRLMYVSDNKKPLAMVLTPTRELSIQVEEHAKLLGKGLPFKTALVVGGDAMARQLYRIQQGVELIVGTPGRLVDLLTKNEIDLDDVTTFVVDEVDCMLQRGFRDQVLQIFTALSQPQVLMYSATTSDSLEKMANSMAKDTVVITVGEPNRPNKAVKQLAIWVESKQKKQKLFDILKSQKHFKPPVVIYVGSRLGADLLANAITVSTGVKAVSIHGEKSMTERREIMQSFMVGEFPVVVATGVLGRGVDLLGVRQVIVFDMPNSINEYVHQIGRASRMGEEGQAIVFVNDENKNVFPELVDVLKSGAAAIPRELINSQYTTRVFSGGKGSKKRKHR >RHN64502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59580532:59581483:1 gene:gene27322 transcript:rna27322 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTIAMLLLLLQLTSSFIAFAQELYSGHPAYPPHAPAPLHPPANAPHHHHHHHNPSPTPPPFPHTSLHPPTKSSVHPRANTPHHYHSSSSAPTNVHTPVHPHPTYKPPAHHHHHHHPPAHAPAVNTLVVPTNPPLHTIVPSKPPTPNHHHPPAPARVRTPVVPTHPQLHPTPCPRSFNVVQGIVHVKSCEYDGLNSLLGATKLLDYALFHY >RHN41147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27496146:27525148:-1 gene:gene47437 transcript:rna47437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MYHSCQSLASSIIHTHYRKMVNLSSHLFLLSLSLHCCFIACLAANTKNITTDQSALLAFKSLITSDPYDVLANNWSTSSSVCNWVGVTCDERHGRVHSLILQNMSLRGTVSPNLGNLSFLVILDLKNNSFGGQFPKELCSLRRLKVLHISYNEFEGGIPPALGNLSQLQYLYLGANNFNGFIPQSIGNLHGLIELDTIRNKLSGLIPRTISNMSSIEVLHLSFNYFSGEIPSLNNMTSLRVVDFAFNNLNGRLPNDFFNQLPQLRNFSLNNNQFEGSIPQSIGNCTSLIYLDLSSNFLTGEIPKGILGDLTQLISLSLMDNQLSGEIPSFNSMTSLRVVKFSYNNLNGNLPNDFFNQLPQLENCNLHNNQFEGSIPRSIGNCTSLIYINLASNFLTGTIPEEIGYLDKLQRLSFSNNSLSGSIPSKIFNMSSLTNLEVEHNSLSGTIPSNTGYSLPSLQHLHLNNNNFVGNIPNNIFNSSNLIHFQLHDNEFSGTLPNIAFGDLGLLESFRIYNNKLTIEDSHQFFTSLTNCRYLKYLELSGNHISNLPKSIGNITSEKFEVDSCGIDGNIPQEVGNMTNLLHFNLHGNNISGPIPGTFKGLQKLQYLNLGHNGLQGSFIEEFCEMKSLGELGLENNKLSGVLPTCLGNMSSIIRLYIGSNSLNSKIPSSLWSVIDILELDLSSNAFIGNLPPEIGNLRAIILLDLSRNQISSNIPTTISSLQTLQNLSLAQNKLIGSIPKSLGHMVSLISLDLSQNMLKGVIPKSLESLVYLQNINFSYNRLQGEIPDGGHFKNFIAESFMHNDALCGDPRLQVPPCGKQVQKWSNEKKLILKCILPIVVSAILVVACIILLKHNKRRKNENNVERGLSTLGAPRRISYYEIVQATNGFNDRNFLGSGGFGSVYQGKLLDGEMIAVKVIDLQSEAKSKSFDAECNAMRNLRHRNLVKIISSCSNLDFKSLVMEFMSNGSVDKWLYSNNYCLSFLQRLNIMIDVASGLEYLHHGSSIPVVHCDLKPSNVLLDENMVAHVSDFGISKLMDEGQSKTHTQTLATIGYLAPEYGSKGIVSVKGDVYSYGIMLMEIFTRRKPIDDMFVAELSLKTWISGSLPNSIMEVMDSNLVQRTRDQIDDILTHMSSIFSLALNCCEDSPEARINMADVIATLIKIKTLVVVANTI >RHN53242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:327324:336940:-1 gene:gene28132 transcript:rna28132 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEAEDMNHHESLLGRIRQLEHERDELRKDIEQLCMQQAGPAYLSVATRMHFQRTAGLEQEIQTLQNKLAASTTDTLNLQDQLSQAYRIKAQLADLHAAELSKNIEAEKQLKFFQGCVATAFSERDHAIIEAEKAKEMEDAMSQQIHGFLKRIEELTSVCCKQKELNYALQSDQAMYIEQNEKFKKVINKFFQIRQYSQKECDDTSWDVKSTCLLDDSEELWSFNDASTSKYISALEEQLDKVNNSVDCLQSKLRVGLEIENHLKKRINLMEQNQIYMNKVIENGIADLKHHHFKYRDHIMNLLRDGESTIKSTINVIDERIRRFNENIEPNLVHQRDKEREENECRDAHISPQDKTLSQSKSTGLDSLAVKADGQCDSSDALAMALHEKVDALLLLSQQEERHLLERNVNSALQIKTEELQRNLLQVTNEKVKALMELAQLKQEHQLLLEKLDPETNQGVDSGERKLVIRERDGTLKNLLKKSYLRRWIGPLDASGKEVDSSPNNEGKFFNQRSSSVDFARMKIENATLKESMDCMEHLTSSVHRLRLSLWKVKESVTSEGTVSGVSEVLNGAINEAKLLRTALGSSLPISWSVETEVGYTGDSKGVETVHQQCSDEKIDTVSAAGLEMVELLIFAAQMLRDMETTTVPGTEVR >RHN46702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34195146:34197705:-1 gene:gene41222 transcript:rna41222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MAASSLTVLSSTGLLSKSLHRPIVCSLLRPFAFYAYRYFKSNPHHLRPVASSAYSSFNTNAMPPLLRPVVASSSSSAYRSSNPKTVADRLSDSSFPHINMYPHSLSSLTVPSAGHISKSLLLPIVFSLLRSIALSSLTVFSANLISKSFLRPIAPSSLTVSSPGLISKSYLYPIVLSVLRPSYRYFKANPHLISPFAPFNTSGMPQNADTNRNGLVSAVFDLFMNQVVFNKKVIRIIQSRYHWRETEDYMLIRMYMPGRFKEDVNINVYQNTLSIKGERGGRESSCTHDLTGRHYKIDQIRARMKCSVLKVVLPKIKDGETTEERNDNNVIIVKVE >RHN74772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36574520:36577103:-1 gene:gene10885 transcript:rna10885 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIHLLRRRVMSQCHSRVTLKSYKHDLQACRAFKIYSLCKENKHALFCIASCHIDHLCLNQSTWCNKDIP >RHN81421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45770787:45778003:-1 gene:gene5460 transcript:rna5460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LSM domain, FDF domain, DFDF domain-containing protein MASDTASRSSSAADSYIGCLISLTSKSEIRYEGVLYNINTDESSIGLKNVRSFGTEGRKKDGPQILPGDKVYEYILFRGTDIKDLQVKSSPPVQPAVPTNTDPAIIQSQYPRLATTSTSLPAVSGSLTDASPNPNTTQLGHPGSNFQGPLYQPGGNVVSWGASSPAPNANGGGLAMPMYWQGYYGAPNGLPQLHQQSLFRPPPGLSMPSSMQQPMPSSLQQPLPSSMQQPMPSSMQQPMPSSMQQPMPSSMQQPMLSSMQQPLQFPSFSSSLPTGPSNFPEFPSAFLPVGTSSPNITSTSAPPLNLSTTILPPAPSATLAPETFQASVSNKAPTVSLPAATLGANLPSLAPFTSGGSDINAAVPLANKPNAISGSSLSYQTVPQQMTPSIVGSSNSVRTEPPVPSLVTPAQLLQSGQTVAASSKPSQTPHKDVEVVQVSSTSAPEPSVPVAAESQPPILPLPATSRPIHRPGGASNQTHHGYGYRGRGRGRGIGGFRPAERFTEDFDFTAMNEKFKKDEVWGHLGKSNKKDGEENASDEDGGQDEDNGDVSNLEVKPVYNKDDFFDSLSCNSLNHDPQNGRVRYSEQIKMDTETFGDFSRHRGGWGGRGPWRGGGGGRARGGYYGRGYGGYGGRGRGGGRGMPGGRY >RHN51897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27936634:27937134:1 gene:gene36459 transcript:rna36459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MTTPSTIILKCSILLTVLLAFEARETIAYSFGYRVTVFIYNKVLTPTPTNITVRCKSKDDNLGDHTLMPGETYEFSFKPSHLPFKNTLFFCGFTWPGNPHRHYLDIYDQAHDHCKQCSWDIILEGGCFYDGKCVPWKSIEFMEAYNTSKWPGKKGSHELAYGHPLT >RHN81964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50158383:50162310:-1 gene:gene6070 transcript:rna6070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyl-ornithine/Acetyl-lysine deacetylase MTSFFSVNVTTSPSPCILQQRCFSTLSSSTHLPHSSLQQKGKFGAFLLLGFRPFSFNWRRRRTLMCAANQDAKEAVKEIGEIDGLIGVMGEVDSHQVHDKSIEAWSQFSGRISGEWDGFGADFSKQGKVIELPESVVPEAYREWEVKVFDWQTQCPTLADPKDHVIQYRSVQLLPTVGCEADAATVYSSDERKVSVENSEVNAFAYQSSGSYVAVWQKKDDLIELEYCLINPQDFESRVRFIQRICVLNNTEMELQGIRVFREQWYGPFRNGDQLGGCAIRDSAFASTAPMISSDIAGIWQGSKAVTTFDTTNTGIFRELLGDETQKSVRDGENNVLLPKQLWFSFEQNKVGETLSEVGWLLDHGKAITSSCLFSSTAKLKEISIALETIALEHVV >RHN39649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9079186:9082812:1 gene:gene45691 transcript:rna45691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine permease, plant MLSASLLQPESMEAASMEAAEAPIPSESLMDQISKYKTMMIAAYKRKPIPYWILLVLGIIAMVVAFPASSILSRVYYANGGQSKWIISWVAVVGWPLIALILLPTYFVTKTVPTPLSLILFLSYVVLGFLSAADNLMYAYAYAYLPASTAALVASSSLVFSALFGYILVNNRMNASIINALFVITAGLTIIALDSSSDRYDNVSNNEYIMGLVWDVLASALHGLIFALSELIFVKLLGRRSFVVVLEQQIMVSLFAFLFTTVGTFVSGDFQRMTTEATSFKGGRSAYYLVLIWSAVTFQLGVLGATAVIFLASTVLAGVLNAVRTPITSIAAVILLHDPMSGFKILSLVITFWGFGSYIYGSSMDDKQS >RHN72111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5075904:5079823:1 gene:gene7784 transcript:rna7784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-II family MERVKVFVWLVTFFVLLHLVLVVVEGSESLLSPKGVNYEVAALMSMKNKMNDGLHAMNGWDINSVDPCTWNMVGCSSEGYVISLEMASAGLSGIISSGIGNLSHLRTLLLQNNQLSGPIPAEIGNLLELQTLDLSGNQLVGNIPSSLGSLTHLSYLRLSKNKLSGQIPQLVANLTGLSFLDLSFNNLSGPTPKILAKGYSILGNNFLCTSPSETCMGGSKPVNDTRSSQTVSSHHHVVLSAVIGFSCAFVISVMLLVYWLHWYKSRILYSSYVEQDCEFGIGHLKRFSFRELQVATGNFTSKNIVGQGGFGVVYKGCLANKMLVAVKRLKDPNYTGEVQFQTEVEMIGLAVHRNLLRLYGFCMTPDERLLVYPFMPNGSVADRLRESFRGKPCLDWDRRMRIAVGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDQRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDAGNVQVQKGMILDWARTLFEEKRLEVLVDRDLKGCYDPVELEKAVELSLQCTQSLPSLRPKMSEVLKILEGLIGLSVRPEESQGGGNLYDERTCSFSQNYSDVHEEPSFIIEAIELSGPR >RHN78853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19212448:19214827:1 gene:gene2514 transcript:rna2514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MTTTKETSNLDLIRHHLLENSTFFTFLENYDLIADMEFFTHTSSSKETTPSKEIKDEVAIATTMVSSKKVKKEEECVDETRRYRGVRRRPWGKFAAEIRDPSRKGSRVWLGTFDCEIDAAKAYDSAAFRMRGQKAILNFPLEAGVCDPKPNSCGRKRRRESEIEGTRCSSSSS >RHN45778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25497477:25499135:-1 gene:gene40176 transcript:rna40176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MICSCLIYRNLLAGFKTCMEIDRYMREEMPNGSTDENGTFVAQYNDHEGPSSSKRGRRKGKNKKSGYLSKSRGIRSSGKRMIAGDTEPYKPHYTPCECQGMCTKKECPCLLQGSCCEKYCGCDKQCRYRFRGCLCVKSQCRTRQCPCFAAKRECDPDVCKDCWASCGDDTFKGPIPRGDGQCENMNLLLGKNKQKILLARSDVAGWGAFLKNSVNKNEYLGEYTGELISHKEAEKRGKLYERENFSFLFDVDDKASYCIDAYRKGDKLKFANHSSEPNCYPQGMFVGGDYRVAIFAKERIEAGEELFYDYNYTENQRAPSWFLEANKNASKKKESSNSHGKGKKFE >RHN48432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47436141:47440468:-1 gene:gene43147 transcript:rna43147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate kinase MSTIVEEKKPKTKIVCTLGPASRSIPMVEKLLRAGMNVARFNFSHGSHDYHQETLDNLRAAMENTGILCAVMLDTKGPEIRTGFLKDGKPIQLKQGNEITISTDYSLKGDENTICMSYKKLAEDVKPGSVILCADGTISFTVLSCDKELGLVRVRCENSAVLGERKNVNLPGVVVDLPTLTEKDREDIMVWGVPNKIDMIALSFVRKGSDLVQVRKLLGHHAKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICVEAESTINYGDVFKRIMEHSPVPMSPLESLASSAVKMANSAKAALILVLTRGGSTAKLVAKYRAGMPILSVVVPEIKTDTFDWSCSDEAPARHSLIFRGLIPVLSAGSARASHTETTEEALDFAIQYAKTKGLCNNGDSVVALHRVGVASVIKILTVK >RHN39027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3614790:3617735:1 gene:gene45012 transcript:rna45012 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MAKTTHIAVISVPLFSHQSSIIELCKRLIHLHKYIHITCIFPTIDAPIPATLKLLESLPSSINCTFLPPVNIQDLPHEDPSLLLLLAVSQSLPSIHNSLGSLLCSTSTTPPVALIVDLMATQALEIAKEFNLLSFIYFPLSAMAMSLLSHLPTLHEKFSCEYKDHIEPIQFPGCNVPIHGQDLIPNFLHDRGTLLLMVGPIIQTGSDSSDESNESICLKWLENQTPNSVLYVCFGSGGTLSQQQINELALGLELSNQKFLWVLREPSNSKDVNYQSATIGNDNNDLLKYLPHGFLERTKEQGLVVPLWAPQTKILSHTSTGGFLTHCGWNSTLESIVLGVPMITWPLFGEQRMNAVLLTEGLKVGLKIKFNENGIAERVEIAKVVKDLMLGEERSEIRQRIEELKDDAACALAEDGSSTRALFEFGTRVENFSLN >RHN64170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57072079:57074571:-1 gene:gene26957 transcript:rna26957 gene_biotype:protein_coding transcript_biotype:protein_coding MNESSENQNAKGTKRQWTTEEDAVLVQGLLQLVDDGWKADAGTFKPGYTKVLERYLLKKNSRLHIKS >RHN46957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36019266:36022222:1 gene:gene41499 transcript:rna41499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PRONE domain-containing protein MNKSFKFGEISDVEYHPSPSMDHHLVSDTPAFSRLSGDSFGYCRVWTSSDASNSNFSDSVDDSSYASESSPLPSPSPSRWREAKPGLSRLGMKLRKHSIDDKLDENDLFDSGELEMMKERFAKLLLGEDMSGGGKGVSTAVTISNAITNLYATVFGQSLKLEPLKPEKKIMWKREMKVLLSVCDYIQEFAPTAQYLEDGTIVEMMKSRPRSDIYINLPALQKLDTMLIEILDSFEDTEFWYAENVSASSPRLRAASFRRIAQRKDEKWWLPVPCVLPGGLSEKSRKHLTEKRDCANQILKAAMAINSNILADIDIPETYIDDLPQLKFYCQVIDDSFKCDPIRQMSWNLSSNFISLLWKPIIAAESCKKRDDIIPYKSGRGNLGDTIYQYMYTVDKFSPERLLDCLKLSSEHEALDLADRVESSMYTWRRKACLSHSKSSWKEVKDLMDDTDWKDKNYILADRAEALLFSLKQRYPELSQTSLDACKIQYNKDVGKAVLESYSRVLEGLAFNTVAWIEDVLYVDKSMANREV >RHN70394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51154910:51155203:1 gene:gene18970 transcript:rna18970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ER membrane protein complex subunit 10 MRDFFTDDDKNKFQELLKGNAFYRIRVPSNVLNPTGKQYIVSSVKAQCLPGHGLEEHFVIHMEGVNILAVNYGAPHGACPNPRQLKLPAKTCQDSFD >RHN75417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42132204:42133938:1 gene:gene11620 transcript:rna11620 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTVYPGHGIQFVRNVAKIFRFCRSKCHKNFEMKRNPRKVKWTKAYRRVHVKAMTQDSTFECERKRNRPERYDRILNENVLKAIPMIVKIRDTREGRLHKKRMEGNKKKVQREAKEELEQSIHMVKAPSAVQPEKIQVKVSQQQQENPLMEE >RHN46609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33234572:33236259:1 gene:gene41116 transcript:rna41116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthoxin dehydrogenase MGVMSEKPLQELSLHSTDTTFSPPSPKRLEGKIAIVTGGARGIGEATVRIFVKHGAKVVIGDVEDELGIMLANSLSPSAIYVHCDVSVEKDVENLVTSTISHYGKLDIMFNNAGFLGNQSKNKSIVNFDTEEFDRVMNVNVKGVALGMKHAAKVMIPRGNGCIVSTSSVAGVLGGLGPHAYTASKHAIVGLTKNASCELGKYGIRVNCISPFGVATSMLVNAWRNGEDEVDEGINFGLPLIEEVEKMEEFVRGIGNLRGTTLKTQDIAEAVLYLASDESKYVSGHNLVVDGGITSSRNCIGL >RHN67276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25436519:25437631:-1 gene:gene15453 transcript:rna15453 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSFPQDEHQLPNYDFQHQKLPSYQSTESPHMKCQSIPKPLSGLRLQS >RHN72800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10802299:10808544:-1 gene:gene8558 transcript:rna8558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MEDQPFLDYKPFVNDEEEEEEDEFCSCCEDAEERDDEEREEEQEEWKETEEKVVEGLKEELDEFSVRMFFKGLSITGVENSTSGFSGIGVFMERSSNLPPIRVQKRLDFYAEEPMVDYLALMDGLLEALQNKIRRVYAFTDSELLFKITAEKNLDMPLLMALRERILEHANNFETFDLKLIPSIDLEQPMQLATVAMGLVTFPVNDEKLLENCSICCDDKPVPMMITLKCSHTFCSHCLRSYADGKLQCCQVPIRCPQPGCRYCISTPECKSFLPFISFESLEKALSEANIAQSERFYCPFPNCSVLLDPCECLSAMDGSSSQSDNSCIECPVCQRFICVGCGVPWHSSMSCEEFQSLPEEERDASDITLHRLAQNKRWKRCQQCRIMIELTQGCYHMTCRCGHEFCYSCGAEYRDGQQTCQCAFWDEDSLTNSLQESEQWSWETSMIMDAYSDQERSQLALIQRFLDGGFSLSDHNPYQSPPPQCTESFVDPLKDLHQLPWLERFVSVISDNYYEDYMQ >RHN44573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6310771:6316059:-1 gene:gene38708 transcript:rna38708 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNAESYPYSSRRRDESDFNLREWSVKARITRESNNNSRRYSGSYMRSFREDTRSQRSNITISSTASSPGYPFKDEIDPSTYSFTTALKALQARVSYNSWECLSPEGFALNSKWNEAEKYICNPLSGEVPMECLSAKTLSGRSFRNSTNRITMSAPLVYSARHIQMKPSIYTHEDVSLKFPIPEKKKEGMTRDVGTQSTPPYLSSSSPSPTSTPSIIERLKTRAVDSPSSNSNAKTKSEEEVEVKDNCETWETKREKSEWRKKEEKQLCKQIGCFSWMMKKKRHRERNKDDKERRPSFSLITSKGC >RHN59414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10412053:10412262:1 gene:gene21440 transcript:rna21440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MVSLRDVITFILAMAFVIQLFSAGGEGSPLAEENGAACDYRCSQTHHMKPCLFFCNKCSATCLCVPSGT >RHN58289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:538473:538652:-1 gene:gene20187 transcript:rna20187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl32 MAVPKKRTSISKKNIRKNFWKKKGYWTALKAFSLAQSIFTGKSKFVTNKIKMLDWNNIN >RHN64862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62543772:62551962:1 gene:gene27729 transcript:rna27729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative QWRF family protein MDVCESEQALRKHRTRETPRRPLVPAEKNNAIPTRRSGTREVSSRYKSPTPAAASGPQRCPSPSGTHRCPSPSGPRRCPSPSSFTRTTAASKLLPKRALSAERKRPTTPTSPPSPSTPAQDDVHLSRRAASSRMQESLWPSTMRSLSVSFQSDTISIPVSKKERPVTSASDRTLRPTSNVAHKQVETPNTRKPTPERRRSPLKGKNASDQSENSKPVDGLQSRLIDQHRWPSRIGGKVSSNSLNRSVDHSDKITRTLNSSVPGSGVSSLRRFSLPGDASRPLQKTSTDVARLLSLVENGRIGSEVKAFDDSFQVLRPHKSVLATTSGKTGLAFAGVRSQSLATPGSRPASPSRTTMLSSSSSRGVSPSRSRPATPPGGVSPSRIRATNSSNQSNNSISVLSFIADFKKGKKGAAYVEDAHQLRLMYNRYLQWRFANARAEDAFYVKNAIVEKTLYNVWSTTLSMWESITRKRIYLQQLQLELKLNSILNDQMAYLDDWAALESNHVDALSGAVEDLEANTLRLPLTGGAKADIEPLKVAICSAVDVMQAMGSAIRPLFSRVEGMNNLISEVAIVSAQEKAMLDECEALLAFSTSMQVEEYSLRTHLMQFKQALEVKR >RHN66637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15443619:15444277:1 gene:gene14674 transcript:rna14674 gene_biotype:protein_coding transcript_biotype:protein_coding MLERERDRVKLTSLLRSTRMTPFAKEELRAARAQQAVVQGIAHSNPRQDEHIGCSTAHPKDPHSKTSSKKRRLNSSMVVIPEVVEEHVEPEASELASYVGSPGTWRSELATFKSGAEDSSLWDVEFPFNHAWNEVSKQGDKAKMKKLGINESLKAMRAYSLWASALANSTESLGQR >RHN50056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3684362:3684850:1 gene:gene34304 transcript:rna34304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MCKYDGFCLQNCIHNFNMLLTILVALQLADGKTDSYYTIYEHIVNNMTDTVLGVCCKDKNHDAGFRRINFQEVYTFDFTPNPILRVTLWFFQFTWNNDFQYFDIYIQKRDYRSCTKDCAWFINRSGPCKLKGTSLDCFPWNPKVAIVYEHMQLGHDNNTLDM >RHN44453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4894839:4897484:-1 gene:gene38574 transcript:rna38574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MANTINKKNYTKISILSLILLLFPLLATSYNPIYNIAISCGSSTNTTALDSRIWVGDNNDNTKLFTFIEPKTTNPSPKTSLKSPNFTVPYTHARVSFSNFTYSFSSITVSPVFLRLYFYPASYQNFEPSNALFSVKVNNNLTLLQNFNPSLWLHHDENNIIKEYCIQIKTNEKLNITFIPTSNVSYAFINGIEVVSMPSFLYYTNLSDQDYRIKLDGSEDIPYQILNDKALEMVYRVNVGRIQVPPNQDTGMFRNWDNDYPRYLEKQYPLSVSNDYEHHLNYLKNAIPNYTAPEAVYLTARSLEMKLLVSNGFGVSSSWENDFNVTWNFEVDSGFTYMVRLHFCEFDPDITNKGDRVFQIFIDNTLVEEKADVIGWSGARMVPVHKDYAMSMDYQEGSSQLERANLSIKLQPLTEYNGFILNGIEILKISDKNNNLAIAVSTQSSKITKITPLVAIVVVTSVSGLVLAISMGITVFWIIRRCHNVMEDNLLKTKNGESLPPHLCRSFTIAEIKAATNNFDDAFIIGVGGFGNVYKGCVDGSTLVAVKRLKSGSQQGANEFMNEIELLSQLRHIHLVSLVGYCNDDTEMILVYEFMQHGTLCEYLYGSNNEPLPWRQRLEILLGAARGLNYLHAEVKHKIIHRDVKSTNILLDEKWIAKVSDFGLSKVGPTGISTTHISTMVKGSLGYLDPEYYMFQRLTLKSDVYSFGVVLLEVLCARPPLVRNLDKNTASLVCWFKRCYEEGVAIEQIVDPFLRDSITGECLEYYCKLALSCLHDDGIQRPSMSQVVGGLEFALQLVVSEEDYDVCTTQKEITCIKGLRFSQSMSDEGSGMHFARSQTFKESTISARSITKEHLFSEIRNQKRRSYSCQNFKVYI >RHN53810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4318097:4322245:-1 gene:gene28760 transcript:rna28760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclophilin-type peptidyl-prolyl cis-trans isomerase domain-containing protein MARKNIEPSTILLNRLILLFVCFVSCGLVYVFLSAVTRSGSVSEFRNIGSTLDLVGEKNVGGCCRGIENLELWGSAVKWGSEFKFNTSEECCNSCKSMCTGKDGPCLCDTWVFCGNREACGSKFGECWLKKQKDSLAPERQEEGPNGEIISWTSGLIFGKGEGIIGLETEHGTLHIKLFPHCAPHTVSYILELLPMRHCAGCQFYRAESRGQSWDSEGNHIKNAGFGPPFALIQGTLEAQGTPFNKLPVEDCPILRRGSVALIGPGPEFFISLADHSEWKQEYTVFGSVLPEDMNVAEKIATLPTLPDVWNNVNVTVLKKPVPFLLRRIKKNNQD >RHN41830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33404173:33423104:-1 gene:gene48192 transcript:rna48192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exoribonuclease II MLHNKSFVKKTKGGKVIRQVREHYLRDDIYCGAPSCTICNTSGARLSASPSTILVLDTNVVLNQIDLLENPAIDDVVVLSIVLDEVKNKNLSVYNRLRAICSNPMRKFFVFSNEYHRDTYVKEMSGETKNDRNDRAIRVASQWYQKHLGDAIKVLLVTNDKENKRKASEEGICAETVESYVKSLDRPDLLDLLVRPSSEDVEMEDVEDHRPSKRKIIYAEHKPMSEITSGLHRGIYHQGKLRVNRYNPFEAYVGSESIGDEIIIYGRSNMNRAFDGDIVAVELLPQDQWQGEKSMSIASEEDEDDDDVHLAPNSADVAPRTIPQQSSTGEINAGSGRPSGCIVGIIKRNWQSYCGSLEPMPIPGGSRGVAYALFVSKDRRFPKIRIQTRQLENLLDKRIIVSVDSWDRQSRYPSGHYVRTVGKIGDKDTETEVVLIENDINSRPFSAQVLACLPPLPWSVSSEDLSIPFRQDLRHLRVFSVDPPGCKDIDDALHCIALPNGNFDVGVHIADVTNFVFPGTPLDDEASQRGTSVYLVEKRIDMLPKPLTEDVCSLRSDVERLAFSVIWEMTPEADIISTRYTKSVIKSAAALSYVEAQARMDDSRLMDPVTTDLRNMNSLAKKMRLRRIENGALTLASAEVKFQIDTETHNPLDIGIYQIKEANQMVEEFMLAANVSVAQQILKSFPLCSLLRRHPTPTKEMLEPLLRVSAAIGLNLDVSSSKALADSLDHAVGDDPYFNKLIRILTTRCMSQAVYFCSGDLSPPEYHHYGLATPLYTHFTSPIRRYADVIVHRLLAASIGISKLPSVFQDRLQLTSIADNLNYRHRNAQMAGRASVELNTLIYFRTRPTDTEARVVKIRSNGFFVFVPKFGIEGPVYLTTRAEKGSGEWYVDEQEQKIKKMDGSFSYSILQTVQIHLEVVEPQPNRPKLQLTLI >RHN70284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50245072:50246285:-1 gene:gene18848 transcript:rna18848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MKMSFYKELFLQILLIFSLIALSSQTRYQTKSHYNFVVKEARYTRLCCTKNILTVNGQFPGPTIRVHKGDTIYVNVYNKGKYNITIHWHGVKQPRNPWTDGPEYITQCPIKPGGKFRQKVIFSEEEGTLWWHAHSDWARATVHGAINIYPGINSTYPFPKPYGEIPIIFGEWWKNDVNKVLTESLESGGAPNSSDAITINGQPGDLYLCSKSGKCIKIFLWINN >RHN76248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48846969:48848914:-1 gene:gene12541 transcript:rna12541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative MULE transposase domain-containing protein MNPKWCWPKLHNRVKENPNLNLKSIIDRAQTKWGINVGPSKAYRARGIAIDMVDESFREQYTRIYDYCHELLRSNPYSTVRVSNQPFVGSEEALETPGAIMCPHFQRMYICFKACKDSFFKCRPIIGLDGCFLKGYYGGQLLSAIGRDPNDQMMPIAFSVVEEETKESWAWFLDLLVRDLGGVRLCKTYTFISDQQKGLLPALEGVNVESC >RHN72647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9371544:9380005:1 gene:gene8391 transcript:rna8391 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFNYKYGLFHIFSIVLEVKDKSRRSFSKRPERERIHHQILHTPNKRDKCDLRHTIQNECSSIHYPFKILQTKQTNSITRNNLRILFQLLHITDPSFQPLQSQFKSSGQEVVPVEKNESHRSKFNDITKIVYKKPCF >RHN55229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16287369:16293743:1 gene:gene30374 transcript:rna30374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide repeat protein MEGRIKLNGCGYDVTTSSQLCISIINSYYQQVLSYGRERSVIMEAVVHDNYCVLANTLAAHFINSSDSSRAASLLHTAKSHLEHATLYEKLVFDVISYLMSEDRDDDVAVELHSKLLKEFPRDLVSLKRAQILCFNMGRPDLSLSLVNQVLPQNEGENFIYGMLAFPLLELGQMKEAEEAAKRGFEINNQDGWSQHATCHVLQYECRFREAVEFMEECSPSWNSFLSFMLTHNWWHVALCYLEGNAPMQRVLEVYDNYIWKELDKTDATVAEVYLNAVALLLRLCVRDELEFFGDRLKMLADRLADQANWYLEWHLDILTVWALAKTGQISKAEELLKGLKERISRMTKKKQQIMQKGMVLAEALYAYGRGNNKHGVELLGPDFDANDYKIIGASDEQVDVFNEVWYIMLLNNGDAIKAIEVIEKQIKKRDGTAFLWRLLERGYKLANRPEAAIANEKAKVFESAYFN >RHN61984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39786777:39788561:-1 gene:gene24504 transcript:rna24504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MAFIGLVLVCSLTMFSSVYAYGGGWTNAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEIRCANDHRWCLPGSIVVTATNFCPPNNALPNNDGGWCNPPLQHFDLAQPVFLRIAQYKAGIVPVDFRRVSCRRKGGIRFTINGHSYFNLVLITNVGGAGDVHAASIKGSKTGWISMSRNWGQNWQSNNYLNGQSLSFKVTTSDGRTVVSNNVAPAGWSFGQTYTGAQIL >RHN42705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40340905:40343798:1 gene:gene49186 transcript:rna49186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NIP1 MANDNSARIETHEVVLDTNKDSSDTCKGSGSFVSVPFLQKLIAEMVGTYFLIFAGCASIVVNKDNDNVVTLPGIAIVWGLTLLVLIYSLGHISGAHFNPAVTIAFATTRRFPLLQVPAYISAQLLGATLASGTLKLIFSGAHDHFSGTLPSGSNLQAFVLEFITTFYLMFTISGVATDTRAIGELAGIAIGSTLLLNVMIAGPVTGASMNPVRTLGPAFVHNEYRGIWIYLLSPILGAIAGAWVYNTVRYTNKPLREITQSASFLKEAGRGGHQIV >RHN62031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40199904:40201739:1 gene:gene24557 transcript:rna24557 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTDRIREVTLHIYRDAHVFFRKRMQVFFFFFLRRGTQFF >RHN69923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47359932:47362235:1 gene:gene18456 transcript:rna18456 gene_biotype:protein_coding transcript_biotype:protein_coding MSAITTTSKDIRLTVSPPFPSSNSTERKVLSLTKRYRTRGFPNFGRVKIDGEARNTFRF >RHN67729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29788471:29794636:-1 gene:gene15949 transcript:rna15949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VI-2 family MKEVWRFIIFCCMVVIGVTLGHSLSLTSQGLTLEKMKKLLNWNGEGVIDPCFWFGVECSHGNIVALHFGQQGDIPYNRKLLQTSNSAKSFDHKENPSPSSSPTPSPVSPSESPSNSPSFFLPSLLTSPELSPSPSSSPSPSPVISPSPTVLSTPPHSSWISAPSPAPSSNQMNSQSSNPKHHSVVIWSTVGGFSFLVLVSFMVFICFRSSKVVTVKPWTTGLSGQLRKAFVTGIPSLKRGELEVACEDFSNIIGSLQDETIYKGTLSSGVEIAVVSSAATSSLDWSKNMQAQFRKKIEMLSRLNHKNFVNLIGYCEENKPFTRMMVFEYAPNGTLFEHLHIREAEELDWGMRKRIAMGIAYCLDHMHNLTPPISHRNLLSSSIYLTEDYAAKISDLSFCTDKVDTKNRYEKTSAEEVKDNVYSFGVILFELITGKIPYAVENGSQTDWAGEYIRGQPLREMVDTRLNSLKDDEIEKWCEVINNCVDLDQETRSSMKEITSKLKEITDMGPDGATPKSSPLWWAEIEIMSEDIC >RHN54416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9387498:9388473:1 gene:gene29451 transcript:rna29451 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNYHVRSNSFPSQSHPNTSRLEQELTKIKTWEATSTSTSDSITNGLSFLEDLYISLENLLNMSSTQKAIAHRQGEKFVEELLDGSVKILDICGITRDTVSQIKENVQTLHSALRRRKADSSIEKSVAEYKFFTKKMKKNVTKLITSLKHMESKFGASSLLNQDQDLVAVITVIREVIAMNMSIFQSLLSFLVGSASKSKATKWFKVAKLMHKTTISCEEKLENFNELQSVDASLRTLSREGSIVSKDARERFEALENAIEMIENGLENIFRCLVKTRVCLLNIVTLS >RHN46117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28833204:28839450:1 gene:gene40558 transcript:rna40558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MIMQKRLDYSFNGYQVPRASRSPRGRGTVRKRPDSNRIQAFDILASVAGNLLQKNQSSIPDNAASAKDPLAVFGINIKENKQENQVGSFKEEQFENGTCSEVVSGCVPSLQGKHENQRVAGDSSFHDNHLEGQGQNVIEREDERVKLRSTNEKTIRIKNRSDGLIEPSQRASRDCSAENHVEKPSLEGHFRRNLDSLPNGSGTRKLLNRDDDENFVRSTELNSKNKIPDPPTDTIKVKDASPFVNDENNSGDNSVLENSEMMYPFKKRKFFYQTSSSTSDSWSHCQGTVDSSCTRVNGTNHGTGGTMHVIEESSSIADEEVHPESKGCNVKLSIKSFKVPELFIDIPETATIGSLKRTVMEAVTTILGDELHVGILLQGKKIRDDSKTLIQTGISQDDKRHRLGFMLEPRHNRISSSYNEDPCFLTTGSQPKLSRQSTSLMLQQGTYNVSRERSTVKIENCAEGDLNMVSSLGEASANNNNMSKCRALVTVPAINMDALAVVPYRRKSGNSYFGQRRIRRPFSVLEVEALVQAVEKLGTGRWRDVKQRAFDHAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLSAHAYWSQQQCKHQFKSM >RHN62689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45177316:45178946:-1 gene:gene25289 transcript:rna25289 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTVVLMLVATLLVSSTIAQSPSSSPTISPVATPPKSSQAPSPSAVSPVASPPVPVKNAPSPSPPASSDSPAVSPAVTPSSISTTPSEAPSPSDNSAAAFNRFTVAGSAAVMVFAAALMM >RHN62327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42646706:42647838:1 gene:gene24889 transcript:rna24889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HB-WOX family MKNPPVDEIKKIREQLQEFGQIGDASVFYWFQNRKSKGKNKKAPYPKKSKRQPIADPALNNSLPQITTPPPNSSSSSSSNNIIGISNINDGTVMVPNSPAISLNQNQDDTYPDTLAETGLQLPTPPFPSFPVENHINERVVLNDMTTLEGSNYFSDFPNMIEPSPQQNVDLPLLNYDNIMNNENENGIYSVHDLFYQENIQEEAMNMMHMHQQDPQFNFGVTTTSSNDDSTDLAPLPPAIDMSAPVDVPFLFTGDQLQGFGEEDGMKKCRVFTTNEVIEVNAGPFNVRESFGNRAVLFDSFSTPVLTDEWGVTLNSLYHGADYYLVCNFFLIYHGVFY >RHN79188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25341093:25341422:-1 gene:gene2921 transcript:rna2921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MGFQSLVLIVIVLLVSAATNQAIPVDINDPHVIKVATFAVTEYNKHNTEANLIFEKVINGVSDVTENGTNYRLTLSANDGSTSNNFSAIVLENPADNFTLIAFALIPHA >RHN58621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3402486:3403422:-1 gene:gene20548 transcript:rna20548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor OFP family MPKNFQKSLQNYLSKIKTNRPRQLRISSKKWIGLKGCKHPRTPSLSFDKKNNDNKDDEATLEDVDRFLFENFKSLYLEDDKEADCNNNTKSVVSSDEEEDDEEEEETREEKYSKQGPTLFDSSNETPLDLCGSNRFVLTRGFSGSTTTTTNTFEETGSCSNSSTPKTFNVNSFSKEDQMNIPDNCVVVLASSPSPYDDFRRSMQETVEARLRNNESVDWDFMEELLFCYMNLNEKKSYKFILSAFCDLISIMRKSSETKPEKPRSVRTVRIGTEVRKKKIKELTLEFEGSR >RHN66917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21606588:21608472:-1 gene:gene15039 transcript:rna15039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MQRVDVATRRGEDVQGNALFWEKEADKLIQEDTKTNQRCLFGFCPRIIWQYRRGKELTNKNEQIRRLIETGKELSIGLPARLPDVERYSSQHYIPFKSRESRYKELLDSLKDDNNYVIGLKGMGGTGKTTLAKEVGKELKQSKKFTYVIDTTMSLSFNISKIQDDIAGPLGLKFNDCSESDRPKKLWSRLTNGEKILLILDDVWGDINFVEIGIPQSGDHNGCRILITTRSMLVCNKLECSKTVQLELLSEEDAWTMFKRHAGLSKNSTKIFLDKGRKIANECKRLPIAIAVIASSLKGIQRPEEWEWALNSVQKHMPMHDVHDDDDHMVKIYECLQFSYHNMKDEKAKRLFLLCSVFREDEAIPIERLIRFGIGVGLLGEVYGSYENARSQVVISKNKLLDSCLLLEANQNSVKMHDLVRDAAQWIAKKEIQTVKLYDKNQKAMVEREMNIRYLLCEGKLKDLFSFKLDGSKVEILIVNVHKDKDCHNVKIEVPNSFFENSAGLRIFHLISPRFSKLYLSLPLSIQSLKNIRSLLFTHVFLGDISILGNLQSLETLDLDDCDIDELPHGITKLDKLKLLNLTNCRISRNNPFEVIEGCSSLEDLYFRGSI >RHN46016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27766781:27767146:1 gene:gene40452 transcript:rna40452 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRWVGGKHTCVDLIGVSPLVGLGVGPFTVGQTALKAASSKVAKHEKACSDNQHAFIPFAFDTFDFLAPEAVDLLHRVQKVMHSNVMSPRSMNVVFTRIDFAIQKGLTAQLVVCLPSIQV >RHN82192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51842078:51843943:-1 gene:gene6332 transcript:rna6332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEPAQLISEEWDSLSGQYTAEEADFMNQFLSGNKNTSFGMPSYFPHADFMCFSQGSSTTSSGTYSCDLATNFDSLSMFFCLEDAKFSPQYLDDSISKHINNDIIDHKGSCLEPSSEEDRSTNMENPAKRFRSSLEVSENTRNVRKCPKSASMSNNEEGLMWNGTNNRFSHVDSIGGIISSSLSPKEHEAPKLGRKSRAASSPATDAQSIYARKRRERINERLRILQTLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSEDMWMYAPIAYNGMNIGLDLSFSSPTN >RHN69092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40960626:40963032:-1 gene:gene17521 transcript:rna17521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6'-deoxychalcone synthase MAATPTVPEVVLPSSTGQRKMPVMGLGTAPEATSKVTTKDAVLEAIKQGYRHFDAAAAYGVEKSVGEAIAEALKLGLISSRDELFVTSKLWVTDNHPELIVPALQKSLRTLQLENLDLILIHWPITTKPGEVKYPIEVSEIVEFDMKGVWTSLEECQKLGLTKAIGASNFSIKKLEKLLSFATIPPAVNQVEVNLGWQQEKLRAFCKEKGIVVTAFSPLRKGASRGANLVMDNDILKELADAHGKTIAQICLRWLYEQGLTFVVKSYDKERMNQNLQIFDWSLTEDDYKKISEIHQERLIKGPTKPLLDDLWDEE >RHN55887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25161059:25161310:1 gene:gene31166 transcript:rna31166 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFYLMDPIISRCCELHPNNVWYLCYPFQNQCGLMACAMVIVLTALLRLVGSILFEVINNGCSVSLEPRHCRNITIIAALRI >RHN67367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26473286:26473954:1 gene:gene15548 transcript:rna15548 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSNWFPYFLQAQDVYSISMVPEEGENARSVSPLAFLSVVEVPNQAKSGVCLDTRINCQNSIDFQMNRKDIYAQCIVDIPNVNGNSVSSESYDDGVESFKTGNSPKSVLWRESSLKAGEKLMQSIVNLGSPRGILLFLGILIYLFQISLCSFLDWNQLDSRDIMIFCKQSE >RHN39188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4847568:4848476:-1 gene:gene45191 transcript:rna45191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-I-1 family MITNILAPLTFFSVDDNPDLCMTESCGKKNFFVPLIIIALIVMLLIFLGYWIFRTQKATCLNSKKRRSMKSKNQTFSYAEILNITNNFKTITGEGGFGKVYIGFLQDHTQVAAELLMIVHHRNLVSLIGYCDEGKSKALVYEYMAKGNLQ >RHN64118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56723934:56726301:-1 gene:gene26901 transcript:rna26901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MGGSWSNNNSNNRNISRRRHNSYHEHYPPPPYYYYSSQPQPLMPQPQPQPQPPQGYFPPHQYYSNGYTPANSMLPQPHHPFYATTNTQPLDIDVNVVQALPPPPPYTDHETAKKVRNDVNLHKHTLQLYQDPNNPDHHLISFVFDALFPGRITIFYIAREEEHQCRFVPLFPEAFEPITFPFQKGVGQKFCQPSGTGIDLGFFDLDDLSNPSPEEDIFPLVICAESTPLQDHDTPVSSLADASPHMQITQAVLEKNSDTGSFQVKVVRQILWIDQVRYELRELYGIGNSTAPDFDRNDPGKECVICMTEPKDTAVLPCRHMCMCGECAKALRVQSNNCPICRQPIEQLIEIKINNDDQ >RHN50863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11263311:11265071:-1 gene:gene35204 transcript:rna35204 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVIWFLKTRHILATLHISIPSPSVGFSHSFNYTSHSSQISFASVLLCMNLLHCYFSQFL >RHN75112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39484436:39489713:1 gene:gene11271 transcript:rna11271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDPDVVEIPPPIHQDTPPFKRKKKRPIVHDVIDIDDDDNDDDDLMIIGEINRKRHKGKALETIHEGYGDQQVVPGMEKVGTVSGIQSFNSQPAVSHNSINVEGQGSGPSLANNDYLDMFTDNYMDVDEYALLQAQFDNVDLPTGIEAPFTLLPKPFHGKAKSSFFGSKKQDFADNPDAMKLPYFGQFESAKKGAGSSSSFHSNFNGHNGSSYLLGMESGNPWFKSSHNINATPEHLFGESSVLKSARAGCDGAAVVPSGFTVTHEPENETLRKLRSFKQFDTVTDTSDHYFIKNNCSVKQNPKSWAKKIQEEWRILEEHLPDTIFVRIFESRMDLMRAVIIGAEGTPYHDGLFFFDVYFPPEYPNVPPKVHYHSVGHRLNPNLYDCGKVCLSLLNTWSGDKNQMWTPGVSTMLQVLVSIQGLILNAKPYFNEPGYASLSGSSRGEVMSLQYNENTFILSVRTMTSVIRNPPKNFEDLVVGHFYSRAHDILRSCKAYMEGVQVGCLVKRGDNIVDESKGKCSDGFKSGLVGYVNYLVTEFVRIGVKDCEKFVLPPSSTAYHPHNCISGQGTFFSKPNFQTPVLHPSNLQIQTSLLHPSNLQIQTPLLQPSSAMYNLPLSGSVYNLPPSSTVYNSHNYMSGQGTYFF >RHN46285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30386369:30395936:1 gene:gene40760 transcript:rna40760 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWNPNGDVISYITHWSLGGQRQLDREVCNYFPLLYSHFLPFFPISVSHSFFYPHPTIKSHVPPLFFFFFPIMSVNTRRIFFKNQIINL >RHN60516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27516835:27517708:1 gene:gene22837 transcript:rna22837 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQSPWFIFFSCASLFFRCSIRFLSGWVGCLFPLWSCSAVPGLYGLRWGKRVRSGLILILYVVIWTI >RHN66237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9957151:9957702:-1 gene:gene14181 transcript:rna14181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MASTDWCELPTELLNLISQRIDDELDLIHFRSVCSKWRRSSISNHNPNSTLKVPQLSYNLSDTSSPFCCLFKRTLFFIKPLQHHKPLRPWLIRVTQNSHGKKLFHLLLNSLYFNHRFPRVLDFNKHSVLNLGTDFIMDYGDINRRNYFFYNKNREKFLAITCNGENPMVLGISEYFPHPMLFC >RHN57118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36633100:36636366:1 gene:gene32618 transcript:rna32618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MRTHSQLLLYFMLSTTVALALSLSSVTDKHALLSLKEKLTNGIPDALPSWNESLYFCEWEGVTCGRRHMRVSVLHLENQNWGGTLGPSLGNLTFLRKLKLSNIDLHGEIPKEVGLLKRLQVLDLSKNKFHGKIPFELTNCTNLQEIILLYNQLTGNVPSWFGSMTQLNKLLLGANNLVGQIPPSLGNISSLQNITLARNQLEGNIPYTLGKLSNLRDLNLGSNNFSGEIPHSLYNLSKIYVFILGQNQLFGTLPSNMHLVFPNLRSFLVGENHISGTLPLSISNITGLKWFDISINNFHGPVPPTLGHLNKLRRFDIGYNGFGSGRAHDLDFISSLTNCTQLQVLNLKYNRFGGTMTDLMTNFSTTLNWLSMAGNQIYGEIPERIGQLIGLTHFDMMENFLEGTIPDSIGKLTNLVRLILQENRLSGKIPIVIGNLTKLSEFYLHTNKLEGNVPSTLRYCTKLQSFGVSDNNLSGHIPDQTFGYLESLINLDLSNNSLTGPIPSEFGNLKHLSILNLYTNKLSGQIPNELAGCLTLIELMLQRNFFHGSIPSFLGSSLRSLQILDLSSNNFTSVIPRELENLTSLNSLNLSFNNLYGEVPINGVFSNVTAISLMGNNDLCEGIPQLKLPPCSRLLSKKHTRFLKKKFIPIFVIGGILISSMAFIGIYFLRKKAKKFLSLASLRNGHLEVTYEDLHEATNGFSSSNLVGAGSFGSVYKGSLLKFEGPIVVKVLKLETRGASKSFVAECKVLEKMKHKNLLKLLTFCSSIDYNGEVFKAIVFEFMPMGSLEGLLHNNEHLESRNLNLRQRLSVALDVAHALDYLHHNSHEAVVHCDIKPSNVLLDDDIIAYLGDFGLARFLNGATGSSSKDQVSSAAIQGTIGYVPPEYGVGGKVSPQGDIYSYGILLLEMLTAKKPTDNMFCEGLSLHKLCKMAIPQKITEIADTQLLVPSSEEQTGIMEDQRESLVSFARIGVACSAEYPAQRMCIKDVITELHAIKQKLTL >RHN70019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48073120:48075037:1 gene:gene18559 transcript:rna18559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MKASSTCSSQFLALFLALALTALVLVSSWIHSPNFSSFLGSWSWKAKQPTSLDIEIDRASTNEGEPPSSSAFPLQTFAPYDSHDGEKLDTNFKHKGTDKVNVRDHKYSKLEKIESKLAKARYSIKEASKVQNLTSTHQDQDYVPQGPIYRNPNAFHRSYLEMEKVFKIFVYEEGEPPLFHNGLSKDIYSTEGIFINEMEKGRYYRTNDPNEAFVYFLPFSVVMMVEYLYVGGSNDKTPIGVTVKDYIHVISHKYPFWNQSLGHDHFMLSCHDWGPDASAYEDNLFNNSIRVLCNANTSEGFKPAKDASFPEMFLKKGEITNLVGGYSPSRRTILAFFAGHLHGYIRYLLLDTWKNKDQDVQVYEELPDEDSYHTKLRSSKFCLCPSGYEVASPRIVEAIFAECVPVLISDSYVPPFSDVLNWKAFSVQIDVKDIPNIKNILMGISQRQYLKMQRRVKQVQRHFVPNEPPKRFDVFHMTIHSIWLRRLNVRIHDDQ >RHN44777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8391150:8392027:-1 gene:gene38938 transcript:rna38938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dodecenoyl-CoA isomerase MCTIRKKGNIFFLTLNNDEHCLNPTLLNSIKSTLHRVRQQATTSSVLITIAHDKFFSNGYDIDWAQSNKSRFILIDDSLRSVLSELISLPMPTIAVVTGHASTAGYIFALSHDYFLMSSDHEFLYKNELDIDIDIDHVIPAWFVAVVEAKVGDALAMKRIVTEAEKVTAEEAVRLGIVDSAHDSVEETVKAAVELSGDLVKRGLNGDVYAENRKKLLGHVIGTVEDNPLTKQPLMLVLLMLQLAQMVASCFSTM >RHN82755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56136703:56137223:1 gene:gene6940 transcript:rna6940 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVDYLYLLLLLIGSINDLAFSNSLKSIDRNKNTILFSIYNRMSGKDVEFYFNSLITTRTILSPGEMITETSNLDPKTCSIYMNQFCTSFHVYDPKIDGNNHDIVFMVTNNGVFYSLDDSGIWSRKSTWYHC >RHN70660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53047093:53048052:1 gene:gene19269 transcript:rna19269 gene_biotype:protein_coding transcript_biotype:protein_coding MISCSLNIKPNRISGLHLKFFSIRTSPFNISSSAFPISISTGHLYHIFLVLQLPTFNPFLPVNVSQTI >RHN42434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38335934:38336773:1 gene:gene48875 transcript:rna48875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MSQKVNKEAEFAFGAGLVNPTRALNPGLIYDMDEFGYVQFLCHEGYNGSTLSILIGSPVNCTSLLPGIGHDAINYPSMQLSVKRNTESTIGVFRRRVTNVGPGPTMYNATIKSPKGVEITVKPTSLIFYHTLQKKNFKVVVKAKSMASMKIVSGSLIWRNPRYIVRSPIVIYSS >RHN80264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36623938:36632340:-1 gene:gene4167 transcript:rna4167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclopropane-fatty-acyl-phospholipid synthase MRVAVVGGGISGLVSAYVLAKGGVNVVLYEKEDYLGGHAKTVNADGVDLDLGFMVFNRVTYPNMMEFFESLGVEMELSDMSFSVSLDKGRGCEWGSRSLSGLFAQKRNALNPYFWQMIREIVKFKDDAISYIDMFENNPDMDHNESLGQFLKSRGYSELFQKAYLIPICGSIWSCSSEGVLSFSAFSVLSFCRNHHLLQLFGRPQWLTVRWRSQNYVKKVKEELQSNGSQIITNCEVDFVSSSEKGCVVYGKDGSEEVYDGCIMSVHAPDALRLLGDEATYDERRIIGAFQYAYSDIYLHRDKNLMPQNPAAWSAWNFLGSNNNKVCVTYWLNILQNIEEAGKPFFVTLNPDHVPENTLLKWSTGHPVPSVAAYKASVELDRIQGKRKIWFSGAYQGYGFHEDGLKAGMTAAHGILGDCCTLKTNPKHMVPSWKELGARIFVTRFLSSFITTGSLTLLEEGGTMFTFEGTGKKCSPKSVLRVHSPQFYWKVMTQADLGLADAYINGDFSFVDKDEGLLNFFLVLIANRDLNSSNPKLKSRGWWTPILFTAGLTSAKFFMDHVSRKNTLTQARRNISRHYDLSNELFALFLDETMTYSCAVFKDEDEDLKEAQMRKISLLIEKARIEKKHEILEIGCGWGSLAIEVVKRTGCKYTGITLSEEQLKLAEKRVKDAGLQDNIKFLLCDYRQLPKTYKYDRIISCEMIEAVGHEYMEEFFGCCESVMANDGLLVLQFISIPDERYDEYRRSSDFIKEYIFPGGCLPSLSRITSSMASTSRLCVEHVENFGIHYYQTLRLWRKNFLERQSEILALGFNEKFIRTWEYYFDYCGAGFKSRTLGNYQVVFSRPGNVTAFTDPYKSWP >RHN46959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36029796:36036014:-1 gene:gene41501 transcript:rna41501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mediator complex, subunit Med12 MQRYHAGSCTSAVNNNTIGGPSARDIGRIDSSSLPPNFPVSSRRLPPPFTLYKLKCDKEPLNSRLGPPDFNPQTPNCPEETLTKEYLQSGYRDTVEGLEEAREILLTQIPHFNKTIVLNCKEAIRKRLRAINESRVQKRKAGQVYGVALSGSQLSKPGVFPEQRPCSEDFRKKWIEGLSQQHKRLRSLADHVPQYRRKSVLEVLIRNNVPLPRATWFVKVTYLNLVRSGSASVPSGGTNDKTQLSCSELWTKDIIEYLQTLLDDFFSKNTSHSIHNRDRSPQIPYMTSVKHRSNQLLPVSNGEEPSLHFRWWYVVRLLQWHHAEGLLLPSLVIDWVLRQLQEKQLLEIWQLLLPIVYGFLEIVVLSQTYVRTFAGVALRIIRDPAPGGSDLVDNSRRAYTTAALIEMLRYLILAVPETFVALDCFPLPSSVVSLAINDGNFAPKAIEAADKIKSSSADVCIFRSKGLDVRYESLAFDRVISSIQKHAENLTKAVSPGYPGHCLAKAAQALDKSLVLGDLCEAFKYLFEDLYDEPASDDWVAKVSPCLRLSLNV >RHN56936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35023154:35023519:-1 gene:gene32406 transcript:rna32406 gene_biotype:protein_coding transcript_biotype:protein_coding MLASNKDGNVSTKHVNFVSQPMLASNKDGNVSKDRDNRETMVTYQNGRDCASASQPSIDNVPIPCALSSDNLWDLGEQVGSEKIGSGSSIQEENFNMEFLDVDDSLWNSNLCDFDSLLDLY >RHN48301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46456604:46457449:-1 gene:gene43003 transcript:rna43003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative B3 domain-containing protein MLQNNQNTEAMTKEQRYAITREKIKGYMEKAKTAPLNLSHNFAWQCVIAIRAPQFYSEEELTQIKKHSQLVLQETRKIPQNTVEGEVANTKKRCRPCDEVSMSYAERRTKPKTTKKVEPKKRTKPKTTRKVPKKQDKKNLALPPPILPINVKNKIKELNGTDIRYIMSKKLFASDIDEGQSRLLMPLKEDELGFLTKTEKETLDEKDENDKPVGLEVIVLDPYFRSFTMCFKKWKMTNKWVYSLIQNWKHAVRGNGFEIGQILNVWSFRVKDKLQFVLNIN >RHN55810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24063864:24068876:-1 gene:gene31077 transcript:rna31077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase Group-Pl-4 family MATVATKGIGVTKLRPYNPNKKSIFLGQRLRIKPSNNEQPPQPPCSARFITTKAIHGAEWLLESAHNLFVGVGVGLPCTVMECGDMIYRSTLPKSSGLNVTVPGVVLAFGALSYLWATPGVAPGFFDMFVLAFVERLFRPTFRKDDFVLGKKLGEGSFGVVYRVTLAKKPSSKEGDLVLKKATEYGAVEIWMNERVRRACANSCADFVYGFLERSTKKTPEYWLIWRFEGDATLADLLQSRDFPYNVETLILGEVQDLPKGLERENKIIQTIIRQLLFALDGLHSTGIVHRDIKPQNIIFSEGSRTFKIIDLGAATDLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQLNLPDRFDIYSTGLIFLQMAFPGLRSDNSLIQFNRQLKRCDYDLVAWRKTVEPRCGAELRRGFELLDLDGGIGWELLTSMVRYKARQRLSAKAALAHPYFDKEGLLALSIMQNLRLKFFRATIQDYGEAAKWIIQLMAKSGTEKEGGFTEAQLQELREIVPKKKTGAQRNALASALKVQRKIIKTLNESMDELSKNRKSIWWRRWIPREE >RHN50482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7496371:7498156:1 gene:gene34765 transcript:rna34765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative delta(7)-sterol 5(6)-desaturase MFLQISVAMKGVLWYTLLPTISEYLTEIGWTKSFPRINNVGWVAYIGYLAIYMVIVEFGIYWIHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPLDGILQALPHSLALFIVPVHFIAHLVLLFIEGVWTANIHDCIHGKLWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMFDTLRDPEEVKGKESE >RHN63522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51939134:51941126:-1 gene:gene26228 transcript:rna26228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine phosphatase superfamily MLLAPNNTCQTLSFSHPEQPPCLPPKQLNNLLHRRHLLTTTAFTISFNFNLSSKTSLPISEPVAIASGLFIMPPPRLKNQYYLVRSGESEFESMGVINTNPVAKTSMDNGLSDRGKKQSIRAAFDLKEMGACDNNCWIWPAITQRAYQTAEIIASVNSITRSYIVPEYSFLDARGLGAYEGKTLEYVSKIYASDGISTKIKPPPIDDGTPNESVADVFVRVTQLMSILETQYAGDTVVIVSPDSDNLSILQAGLVGLDLRRHRELSFAPGEVRYVDTNDIPTYKMPPSAVYKCTKLPNCN >RHN42340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37486121:37486501:-1 gene:gene48771 transcript:rna48771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S17e MGRVRTKTVKKSSRQVIECHCPRVTLDFHTNKKILEEVALIPSKRLRNKIAGFSTLLMKRIQKGPVRGISLKLQEEERERRMDFVPDVSAIRTDHIEVDKETIDMLAALGMSKIPGVVHVDRVPVQ >RHN67505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27806699:27808956:-1 gene:gene15700 transcript:rna15700 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGRTASNKISRTTKATTRTRTRQPRRTDFKKKQQQQQSSTHTKKQEIINKVLPSSCLPKTSQESSKEEKTEISEVIDVSNSNNNSACSTPKGQKYRIPEISTCPPAPKKQRVVSNCSLRRSPLSFFVPPDLEHFFLVTL >RHN63555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52174885:52179226:-1 gene:gene26269 transcript:rna26269 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKAAAYYDELTRKGEGAAKFKQGLGFSTSTSNDAVPKPGSSLVSSSSFLSQFVKASSSSTTTTASTTAPSDSDRKSQLQSIQNKLKKKPTTESRVSEKTNRDYSSRDSDRRKRRSRSRSRERYRDRDSRRRSRSRERNSRYSDRDRDRDRDSGRSRSRSDSDVDRGRDRRKRERGREKGRRRSRSVSPRKQRRSEVKSSDVKERGKGSEMRKGKNVGVDYAKLIHGYDNMAPAERVKAKMKLQLSETAARDSDRGVGWERFEFNKDAPLDDEEVEGAEDDASLVKHIGQSFRFSAVESKREDQIQAAHDEAMFGAPAPPPPTSSTDSEPERENEKEVDNKKDLVSSLLSETVLARPKGSWRDRVRQT >RHN72194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5652596:5652841:-1 gene:gene7878 transcript:rna7878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MIFCISGFTNINAPCCQVRSDGMCAPDLISCSNRNVFVFYDAFHTTEAVNFLIALTSYDSSSAPGTTYPMDIKQLAQYPIN >RHN55450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18515002:18517325:1 gene:gene30631 transcript:rna30631 gene_biotype:protein_coding transcript_biotype:protein_coding MKMFELKHQPYFLFLLFLAVGLFSCSVQSMRFDLQPGVARCFAENMKNYLMTFGNYSIVNPKENQTLTVRVTSQGGTASHHLAEHVQSGQFSFVAHESGDFLACIWGDETHDPQVLSIDFEWKTGVNAKDWPKIAKKSNIDRMALEVQILHETALSIKDEMSYLLQRNTEMLEVNWRTDSGMLLFIFVSFFVTFIVAGLQLWNLKSFFKKNKIL >RHN74293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31577399:31596842:-1 gene:gene10331 transcript:rna10331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MSYRRGSEQQPQRRILRTQTAGNLGADPILDSEVVPSSLVEIAPILRVANEVEASNKRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDTTLEGRAKSDAREMQSFYRHYYRKYIEALQNAADKDRAQLTKAYQTAAVLFEVLKAVNQTEAVEVSDEILEVHTRVEEKKQLYAPYNILPLDPESGKEAIMRYPEIQAACSALRNTRGLPWPTNHSNKINQDILDWLQLMFGFQKDNVENQREHLILLLANVHIRQFPKHDQQPKLDDRALNEVMKKLFRSYKKWCKYLGRKSSLWLPTIQQEMQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPLTGEPVKPAYGGEKEAFLMKVIKPIYDTIYKETLRSKGGKAKHSHWRNYDDLNEFFWSVDCFRLGWPMRINSEFFSVPLPQSQRGNSKEEESKSYDDERWMGKVDFVEIRSFWHVFRSFDRMWSFYLLCLQAMVIIAWNDSGSLSNIFDGDVFKKVLSIFITAAILKLAQALLDIVLSWKARNVMSLHVKLRYIFKAISGAAWVVILPVTYAFSWKNPSGFGQTIKNWFGNGSGSPSIFILAVFIYLSPNILSAILFLFPFIRRYLERSNYGPVKLMMWWSQPRLFVGRGMQEGQLQLFKYSTFWVLLIISKLAFSYYLEIKPLVGPTKAIMQAHVSVYSWHEFFPHAKNNIGVVIAIWAPIMLVYFMDTQIWYAIFATFVGGIYGAFRRLGEIRTLELLRTRFDSIPGAFNARLIPLDQTEKKKKKGLKATFSRRFDQVSTNKDMEAARFAQLWNKIITSLREEDLIDNREMDLMLVPYMADLSLNLIQWPPFLLASKIPIAVSMAKDSFGKGQELEKRLSRDKYMKSAVQECYTSFRNIINFLVLGEREKIVMQNIFQRVDELIERGDLLKDLDLSALPDLYDRFVKLIECLLKNNQEVKDQIVILLLDMLEIVTRDIMDGDVEGLQDSSHGGALRKDERMTPLDQQYQFLGRLQFPVTTDTEAWSEKLKRLQLLLTVKESAMDVPSNLDAKRRITFFSNSLFMNMPSAPKVRNMLSFSVLTPYFDEPVLFSRDHLGERNEDGVSILFYLQKIFPDEWKNFLERFDCKSEEELKEELDEELRLWASYRGQTLTKTVRGMMYFRQALELQAFLDMAKDEELMKGYKAAELESKENPTSERSLWTQCQSLADMKFTYVVSCQQYSIHKRSGDPRAKEILKLMTKYPSLRVAYIDEVEEPSKDSSRKIDKVYYSALTKAALPTKSIDSSEAVQSLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLKKHGGPRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVVNLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTMSRDIYRLGHRFDFFRMLSVYFTTIGFYFSTLLTVLTVYVFLYGRLYLSLSGLEEGLNKQRAIRDNKALQVALASQSVVQIGFLLALPMLMEIGLEKGFREAFSDFVLMQIQLAPVFFTFSLGTKTHYYGRTLLHGGAQYRGTGRGFVVFHAKFADNYRLYSRSHFVKGIELVILLVVYHIFGHAYRGVVAYILITITIWFMAGTWLFAPFLFNPSGFEWQKILDDWTDWHKWISNRGGIGVPPEKSWESWWEKEHEHLEHSGMRGIATEIILALRFFIYQYGLVYHLSITRSHQSVLVYGISWMIIFLILGLMKGISVGRRRLSADFQLVFRLIEGSIFITFLATLIILIAVANMTIKDIIICILAVMPTGWGMLQIAQACKPLIAKTGLWGSVRALARGYEVIMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKRDRTNKE >RHN65783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5304720:5305395:1 gene:gene13672 transcript:rna13672 gene_biotype:protein_coding transcript_biotype:protein_coding MCEIKDECALGTVKDLSTKAWILPESLRFVDKTIGGIYLQLSKYKPES >RHN77962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10856449:10863641:1 gene:gene1473 transcript:rna1473 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MRRDMALSGKVEAEVEIQAPGAKFYNIFRKQLEHLPNISNEIHEARVHEGDWENIGSIKHWEFTVEGRKQSAKAKIETIDDDNKIIIYSIFDGEVSENYKSLKAILQVIHKEHGGGIVKWAYEYEKLKEEITGGSPDSYLDLAVKVTKDIDSHLAKE >RHN74898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37634651:37636089:-1 gene:gene11032 transcript:rna11032 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAGSFTHMPVLPTLKYESKKSMKITIKCANNNKNKTKVVGKKPMGSTGSGGGGTGPKTEDGVLEPDPKTESGFLGPDPQTENPQTPINSDDDEDQLDNTFAFNLTGKEWVVIFLFLILFVGSTLFKAH >RHN47070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36907678:36915707:1 gene:gene41625 transcript:rna41625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterol 3-beta-glucosyltransferase MASNDNFNRSDSSFSDNSVYLDAQDRSVHDASSSGRINRDSSSSVSQNVEEYGALGVVPEGESSKNDAIVGKQRLHSRLWARFFDENVPLRKRLRWLKNAFMVKDDGTVEIDVPGNIKPLALENGTGVIDPSDESCNETINEDIEPIRPQQIAMLIVGTRGDVQPFVAIGKRLQADGHRVRLATHKNFEDFVLSAGLEFYPLGGDPKVLAEYMVKNKGFLPSGPSEIHLQRSQIRAIIHSLLPACNSRYPESNEPFKADAIIANPPAYGHTHVAEYLNVPLHIFFTMPWTPTSDFPHPLSRVRQPIGYRLSYQIVDALIWLGIRDLINEFRKKKLKLRAVTYLRGSYTFPPDMPYGYIWSPHLVPKPKDWGPNIDIVGFCFLDLASNYEPPKSLVDWLEEGENPIYVGFGSLPLQEPEKMTRIIVQALEQTGQRGIINKGWGGLGNLAELNTSKSVYLLDNCPHDWLFPRCAAVVHHGGAGTTAAGLRAECPTTVVPFFGDQPFWGERVHARGVGPAPIRVEEFTLERLVDAIRFMLNPEVKKRAVELANAMKNEDGVAGAVNAFYKHYPREKPDTEAEPRPVPSVHKHLSIRGCFGCYHSSA >RHN64893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62736377:62742410:-1 gene:gene27765 transcript:rna27765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MAEHRVSEALPVGPTQLPPEQQKAQEIKEPVGESPLATQSQKSELPSSDENSNSETLIAFPSSVLDQPSFDVQGIPDTLSSVAKSEIKETVGPPENEKEVAKSEAAQEPSAQAEYQIQVTVSLTPPSELSPNSVAKSFSSVPSPTIQIQNMSPPNVSNTPVAEVNKKNTSGGRALSSVSVPRTPSSDGFNWRKYGQKQVKSPTTGSRSYYRCTQSNCCAKKIECWDHSGHVIETVYKSEHSHDPPRKISSIRESKFAPSNEPTAENSVLVKPADALKDSDPSTSSKAQEETPCSSDKKLQNSSDINGNGKIVLNEEHVDEPDPKRRKDKGDLVHSDSPVKPEKKPKFVVHAAGDVGISGDGYRWRKYGQKMVKGNPHPRNYYRCTSAGCPVRKHVETAVDSSDAVIITYKGVHDHDTPVPKKRHGPPSAPLVAAAAPASMNNLQCPPSAPLVADAAPASLNNLQLSKPDSPQNQKISTQWSVDTEGELTGEAKDLGGEKAIESARTLLSIGFEIKPC >RHN69512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44072030:44075404:1 gene:gene17991 transcript:rna17991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative staygreen protein MASSLCYNVYNAFSFSPTTRVFPFMLKPSSSFRCSSSSSITTTNSTPSYNSLVYETVRLLGPPAKFEATKLKVVLLEDQINRYASIIPRTYILSHCDLTANLTLAVSNVIKLEQLRGWYEKDDVVAEWKKVKNEMCLHVHCFVSGPTFLDLAAEFRYHIFSKEMTLVLKAIQYGDSVLFQEHPELLDSIVRVYFHSSSKKYNRMECWGPLKDAMEGKRADQLQGLINRDRPPEKLGSPMSNFQALFAFLLSNVLLVTWLICLYPDSFLS >RHN63609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52679642:52681493:1 gene:gene26333 transcript:rna26333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Band 7 domain-containing protein MGNIVCCVQVDQSQVAMKEGFGKFEKVLHPGCHCMPWFLGKRIAGHLSLRVQQLDIKCETKTKAVLYDTPCIDNVFVNVVASIQYRALANKANDAFYKLSNTRGQIQAYVFDVIRASVPKLNLDDTFEQKNEIAKAVEEEREKAMSAYGYEIVQTLITDIEPDQHVKTAMNEINAAARMRIAANEKAEAEKILQIKRAEGEAESKYLSGMGIARQRQAIVDGLRDSVIGFSENVPGPSAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVASQICDGLLQGSHQ >RHN42105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35425875:35427221:-1 gene:gene48501 transcript:rna48501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MMHHCCSSSQQGHIMGGTCTCGMFHPQTNSNYSLIYSNYQQHDHCDFDPYSYTTPSSSSSSSVDCTLSLATPSTRLSEDQDKRNRRSSLANFFCTKSSNTKHNSQSQSKSNNIGSNSNNDSLLARRCASCDSTSTPLWRNGPRGPKSLCNACGIRYKKEERRANAAVATTAATNGGIMESGNFYSNNNNNNSWYSQPQSQMYGNELRFMDDSDAESENGIPNFLSWRLNTSLVHDYTR >RHN50639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8725451:8725945:1 gene:gene34949 transcript:rna34949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MTTTSLAFMICHCNVETICKTAKDPSFCSTFLKSRPAGVGRDLVSLAQYSIENVHTNVTNTVDLITKLVAQSRDMNEKSHYGNCLQHFNSIVEYVKEAEGFLKIGDYEDVHMNANFIIINVDDCLFGDSPSDPPFHDTSMLPKYADVVQKIAEIIFIISNLLKQ >RHN81052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42925123:42929724:1 gene:gene5046 transcript:rna5046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MDRISNEATVDLFPIGPSGILARTIAFRVLFCKSISHLRYQLFLTLFDSFHRFRKFWGPIISSLHPKNPQGILAIITILAFLLKRYSNVKVRAELAYRRKFWRNMMRSALTYEEWAHAAKMLDKETTLKTMNESDFYDVELVRNKVQELRHRRQEGSLRDIIFCMRADLVRNLGNMCNPQLHKGRLHVPRQIKEYIDEVAMQLRMVCHSDSEELSLEEKLAFMHETRHAFGRTALLLSGGASLGAFHVGVVKTLVEHKLMPRIISGSSVGSIMCSIVATRSWPELQSFFEDSLHSLQFFDQMGGIFTIVKRVTTFGAVHEIRQLQIMLRHLTSNLTFQEAYDMTGRVLGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFNLGPEEGSSQVRRWRDGSLEIDLPMMQLKELFNVNHFIVSQANPHIAPLLRLKEFVRAYGGNFAAKLAHLVEMEVKHRCNQILELGFPLGGLAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSYVELQKAANQGRRCTWEKLSAIKANCGIELALDECVAILNHMRRLKRSAERAASASHGLSSTVKFSASKRIPSWNVIARENSTGSLEDFLADTAASFHHGVSSSSGATGKNSKHHRSMHDVSDSESESAELNTWTRSGGPLMRTASADMFTDFVQNLEVDTELNRGMGTNFSPRDSQYHSPRLTTPDRCSENSEPDQRENGNKVVMNGSSIMVTEGDLLQPERIHNGIVFNVVKKEDLTPSSRSHDYDSEIAECLQIECPGKEMDDAASSASENGDDDSATARPLTETPDSNPTDNS >RHN69630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45063029:45064765:-1 gene:gene18122 transcript:rna18122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MGCFCSTSKNVKTPGYEDPTILASETPFTVSEVEALYELFLKLSNSIIEDGLIHKEEFQLALFRNENEKNLFADRIFDLFDVKRNGVIEFGEFVRSLGVFHPNAALEDKIACKIRFILKLT >RHN73867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20830805:20831262:1 gene:gene9752 transcript:rna9752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFKFSECALIDESLVSDVDQWILHLTRSSIKELVLEVWIEGYSKIPWCLFSCQSLHHLKLHWCCLKPPTTFESFKSLKSLDLNLVTVAQNDFENLISGCPLLEKFKFTEVDGFTQVNIRAPNLKFLKINGEYEDINFENTFQL >RHN67875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31112974:31116175:-1 gene:gene16120 transcript:rna16120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MATQMQDPNAPSSNVFIRGSVNGVSTTATVAGEPHSHHRRAHSEINYRLPDDIMDLSPSDPLTGGGSSTASLDEIGSEDDLFFTYIDVEKLGGVTNGSNTNQTGYGNCAGAGAGAGSGTSGNNDGEKSGNDATTPRTRHRHSCSVDGSTSTTNMFGEIMDAKKAMPPDKLAELWTIDPKRAKRILANRQSAARSKERKARYIQELERKVQTLQTEATTLSAQLTLYQRDTNGLSTENTELKLRLQSMEQQAHLRDALNDALMKEVDRLKIATGEAMNPSESYNMGMHQLQFAGSNFFSMPQHSGPSGHQNIQFPQFGHSPSDMPNYQLQQTNSHQISDMLQNDQLGRLQGLDISSKGSTLVKSEAPSISASESSTTF >RHN72341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6909338:6915727:-1 gene:gene8042 transcript:rna8042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase MARCH MASHSSRNRTETGLNKEISDSGANGRRPDISLQVPPRPLGFGSTAGGKVLDHSQSFSKGWSSPKGFLRVLSFKRKVNVAADGERSSLLNSDPKTAAESTSMTSISEIPWSRCNSLPVSHAPNLSPSVAATPVSARTYNEQQIKPHKDVKSKVSRSLSIPGRNVVIVRSVSFNTRSEQDKEDTNDDQITPAPVEVTEDEEIPEEAAVCRICLDECDEGNTFKMECYCKGDLRLVHEECLIKWLNTKGTNKCEICGKVVQNLPVTLLRVSSSVQRRNRPLQDHQNFNSETISAWQDFVVLVLISTICYFFFLEQLLLPDLKTQAIIMSAPFSFTLGLLGSVFAIVLAIKEYIWTYAALEFALVAITVHLFYTMLHLAPIYSILLSTVFGFGIAMGINYIYIQYVNWRLQVSINENPA >RHN48207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45788627:45794461:1 gene:gene42897 transcript:rna42897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MATASVAFKSREDHRKQIELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTTSWYDRGAKTFQAQKYRKGACENCGAMTHNAKACMERPRKVGAKHTDKHIAADEKVETFELDYDGKRDRWNGYDASTYARVIERYEARDDARKKFLKEQQLKRLEKGDQNDEGAAIDEAEDEDDLRVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPEADPNEKFYLGDNQYRSSGQALEFKDLNIHAWEAFDKGQDVHMQAAPSQAELLYKNFKVMKEKLKSQTKDTIIEKYGNAADEDKLPRELLLGQSERQVEYDRAGRIVKGQEVAIPRSKYEEDVYINNHTTVWGSWWKDHQWGFKCCKQTIRNSYCTGAAGIEAAEAAGDLMRANIARKESAAEDPKPIEEKRPATWGTDVPDDVVLDEKLLADALKKEDQRKREEKDERKRKYNVRWNDQVTQEDMEAYRMKKVHHDDPMKNFS >RHN65145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64499875:64505066:1 gene:gene28049 transcript:rna28049 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein Alfin1 MEGMAQHPVPRTVEEVFSDYKGRRAGLIKALTTDVEKFYQLCDPEKENLCLYGFPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNDRKRLFQMINDLPTVFELATGTAKQSKDQLTAHNNGSNSKYKSSGKSRQSESQTKGVKMSAPVKEEVDSGEEEEDDDEQGATCGACGDNYGTDEFWICCDMCEKWFHGKCVKITPAKAEHIKQYKCPGCSIKKPRIG >RHN54618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10805156:10809928:-1 gene:gene29687 transcript:rna29687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGSSSSKTSSSCSSSSSSCSLRKGRSKRYKGFPSYCLGTTSGSRDSDNDDQVCDQNKVNGSDVTYTSSNEIDSDEVKSESFRKVKPEEIPCMPSNIDLDEWSRTESRTASSSAHGSSTQSTNPASRFLSRFSIIPGNISFRLSRTTSLGSSRPCPVSSASLSIFNNEDELSLHPRPHDLLNASFVNQVPIENHENASNNLSSNVPTFGLDRNLSSPMLSPIQDMVRDGYATRDMPGVNMFSPRIHTETGNAETRQIDRRNGAREPVDRNVRFSRTLSVGRLRDRVHRRSGLADFNFCPLTQERELRDASEDNGRQVGERGTRVSPSDRNALNSHTTSGYPLPNMSSSPFTTQDYEVEASRSRETRYQDLLEHRSNFLERRRRIRSQVRALQRLGSRFENLSGHDRSCILSGQHRNGRCTCRLTSRDNNSNSNDDTNARASISRIVMLAEALFEVLDEIHQQSVVLSSRPSVSSIGSVPAPAEVVESLPVKLYTKLQKHQEEPVQCYICLVEYEDGDSMRVLPCHHEFHTTCIDKWLKEVHRVCPLCRGDICISDSLPTEN >RHN51431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17714616:17715400:1 gene:gene35864 transcript:rna35864 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPLMYGLSRSAWEHCNYLIHSWILNSVSRQIAQTIVFHEYAIDVWIELQERLSKVGRVIISSLHSVINNLKQGKKLCLITCAHPCRCESMRSARNFCLEDEVIQFLTRLNDTFEVVKNPVLLMDPLPSINKVYSLVTQEESNNHGVTAHFLTRIIILLIFVIKNMVSLMLTRMNI >RHN48727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49768306:49771954:-1 gene:gene43474 transcript:rna43474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysosomal Pro-Xaa carboxypeptidase MAIVFQFIIILFSLFFLMYFTFTCAHVIPKLPSSAVSPELQQRSISAQNGLYRTKFFTQILDHFNYNPQSYQTFQQRYLINDTYWGGAKKKAPIFVYMGNEGDIQWFAQNTGFMFEIAPYFNAILVFIEHRYYGKSIPFGGNDEVANKTSSTLGYMSSTQALADYATLIIDLKKNLSATDSPVVVFGGSYGGMLAAWFRMKYPHVAIGALASSAPILQFLDLVSPYTFTNIVTQDYKSESENCYKVIKGSWKEIDDTANTPGGIEQLQKSFRLCNNNISAGDLKNWLQTALMYTAMTDYPTPSNFVTPLPAYPVKKMCEAIDSKSSGNETFTKLYAAANIFYNYSGSVICFDISNDSGGWDWQSCTEMIMPLGGNNEESIFPAHKWELEETLSYCKQTYHIDPRPHWITTEFGGHDIGRVLKRYGSNIIFFNGLRDPWSGGGVLKNISKTLIAIVAKEGAHHVDLRFSTKEDPEWLKDVRKQEVKIITSWISQYYQDLLQG >RHN51966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29237567:29241210:-1 gene:gene36551 transcript:rna36551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MANLANFHKIHYLFTLIIFLTFFTSTNSWDDPFFLYQYCSSNRTSAYTSFQFDLTTLLSSLSSKATKNTNTQFYNTTFIGNNPSDTIYGMFLCRDDVPSQLCQQCILNATQRLSLQCSLSKEGIVWYNECMVWYSTTFIFSTVARTTPTFNLLNTDKVANPKSFMSLLFSTMNKTADEAAFGDKKFASNAITISKFQTLYCLVQCTPNLSPHECRSCLSGLIEELPVCCEGRVGGRVLNPSCNIRYEFYPFYISSNGSSNPSSQQIILPQTKNLDADSTISEHPFYLSHNCSSNKTFTFSNTFKKHLTTLFSYMSSNATKSLFHKAEVENTTFGLFMCRGDVPFSLCEQCVKNATQRISKECNIFQEGIIWYTQCMIRYSNWNFFSIVDKTHVYYEMNITSDSSPNKERNLFNFVISTTLSNVAIVAGDSDERFGTKSLKVNDLQTLYTLGQCTQDLSSDDCKGCLGDVIGNRIPWPYLGSVGGRVLYPSCNLRFELFQFYRDNETVPKPIIKRYSSGKRRKLPQTITVIVVVTFIPVILLFVGCYLLKRRARKSFRTILRENFGHESAILEPLQFELAVIEEATNNFSSENFIGKGGFGEVYKGILSDGRQIAVKRLSRTSTQGAKEFKNEVLLIAKLQHRNLVTFIGFCLEEQEKILIYEYVPNKGLDQFLFDFQRAKFLSWSQRYSIIRGIAQGILYLHEHSRLKVIHRDLKPSNILLDENMIPKISDFGLARIVELNQDKGSTNRIVGTLGYMSPEYAMLGQFSEKSDVYSFGVMVLEIITGKKNIRSYESHVGDGLLSYVWKQWRDEIPLSILDPNIKGRYSEIEVIKCIQIGLLCVQQFPDARPTIVSIVSYLTNDFIELPTPQESAIVFHRQMDAKEIPQDSSSSRSINTSTPLTINDFSITEVLPR >RHN80940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42058684:42060555:-1 gene:gene4925 transcript:rna4925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin MSPTNWTILVILCCAISFTIASDPDTLQDLCVALPSSGVKVNGFACKEESNVTAVDFFFDGLANPKVVNNTVGSLVTAANVDKIPGLNTLGVSLSRIDYKPKGLNPPHTHPRATEVVFVLEGELDVGFITTSNKLISKSIKKGEIFVFPKGLVHYQKNNGDKAASVISAFNSQLPGTLSIVSSLFDSTPTVPDDVLAQAFQIDAKQVDEIKTKVAPKKT >RHN59116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7635564:7636094:1 gene:gene21106 transcript:rna21106 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MYLLSISNNSISGTIPSSIGMFSNLLALRMVENLLEGEIPIGMFSNMSILEYIDLSQNKLIGSIPDLSSLKNLRLLYLQKNDLSGSIPFELSEGSQLQLLDLRENKLSSKIPNWMDKLSELRVLLLGRNNLEGDIPIQLCRLKKIDKIDLSENMLNASIPPCFQNMSFGMIQYVDN >RHN71878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3307300:3313167:-1 gene:gene7522 transcript:rna7522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LisH family MSLFWIVIRQLAQIEAMAASKKVITREEWEKKLNNVKIRKEDMNKLVMNFLVTEGFVDAAEKFRKESGTEPDIDLATITDRMAVKKAVQSGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKIEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVSNCPVGELLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNQLDEKAAFPRINDLSTAALEDAV >RHN58956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6223973:6224298:1 gene:gene20921 transcript:rna20921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVETLKLVYVLNLFYSIFLGIIVCNTSLIKYFDVPWEKDKDCPKPLRFNIRCRKGFCVRI >RHN70367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50913268:50922046:1 gene:gene18937 transcript:rna18937 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRFDNYNNNKGFNKVQKKFVPKNPTPTLSTSLREKQQTSSGSGSNINNSSGRVQPGGVNGNFVYYLPQDEAVAAGFGAEDGGLDALESQKVVDLLNSQLSCLLKLKPKDFWSQVASDTSLHEFLNSFLQFRSRWYDFPHRGARGIVAGVIFGEHDLSRRVFMMLYRMSSNRDPGARPADTLSSKDHEVLLQEKKLLDLPKLFDICAIYSHENEELTRLLVKNALHAQPWLHDNLAAVTSHFMVIVSTMHERCSSSLEVLFASESLDDHNATLLKTDLLEVMDFINDAIVSMDAFVSAYEQAALLFSSPVEMRYGNEEMLSFLARLHDSLIPSMQKGFHIIFAGKQDDTVSNIVVSLKMLRMRLVKFGWQLLHFCYLSDDVFLDSIPLPAATKMFPANVEDPVIRADILVQTFREINSVSLSFQEIHKKETFLQGVERNFNISSRIEGLKHNGWIFVEDEQLKYISEILSSLKETINREPYSAKISVPNQTMQTDEDAAVLESKISQIRDLFPDYGKGFLSACLEVYDHNPEEVIQRILEGTLHNDLKCLDTSLETVPQLQAKSTAVSGKDKGKGVLIDVSGKDKGKGILIDSTSVSSNTKVSNGKNLTEGSLMPSSAPLGKFVRKSRADRPDPSILDNNDEKDASRILQYEYDDEYDDSFDDLGLSVAESGVEESEVIDDEMNEKLGKSRETGTGNSGQNASNTKWGSRQKPQYYVKDGKNYSYKVAGAVAVANSNEASLVNEAQKELIHGLGRGGNLPLGAVQKLADSYKGGGGGNQFHVSGTEGRGSGGGRGKREGGRHIEHNQHQEKQSDDVSEVEGRDQGPNNRGRGRGRGRGGGRNNHYRKDQAMKKHFSGLSGF >RHN59732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12719655:12719822:1 gene:gene21837 transcript:rna21837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein H MATQTVEDSSRSGPRRTVVGDLLKPLNSEYGKVAPGWGTTLDGMQWLYLRILSII >RHN67371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26511741:26515605:1 gene:gene15553 transcript:rna15553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRVGRRNMVEIVKFTYVMIILISLFLFSTNVDALRIRCNRVSDCPKQMYCNRDYELSCVYNQCKCVRIT >RHN47023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36526450:36530214:1 gene:gene41569 transcript:rna41569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galacturan 1,4-alpha-galacturonidase MKLLWKTHIRVQMIQIFLAIFVVTLVYPERVESRKAKIVTTSFVYNGINCRAHSASLTDFGGVGDGNTSNTKAFQSAISHLSQYGSQGGSQLYVPAGKWLTGSFSLTSHFTLYLDRDAVLLASQDITEWPVLEPLPSYGRGRDAPAGRFRSLIFGTNLTDVIVTGGNGTIDGQGAFWWQQFHRKKLKYTRPYLIELMFSDSIQISNLTLLNSPSWNVHPVYSSNIIIQGITIIAPISSPNTDGINPDSCTNTKIEDCYIVSGDDCVAVKSGWDEYGIKFGWPTKQLVIRRLTCISPFSATIALGSEMSGGIQDVRAEDITAIRTESGVRIKTAVGRGGYVKDIYVKRFTMHTMKWAFKMTGDYNSHADTHFDPNALPEIANINYRDVVAENVTIAARFQGISNDPFKGICIANVTLGMAVKAKKRSWTCTDIEGMTSGVTPPPCDLLPDQGPEKIGACDFPAESLPIDNLELKKCSYSMKYVM >RHN71993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4242128:4243658:1 gene:gene7659 transcript:rna7659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MNLGEHIAYDTVMAILSISLIILGIFLFFSCKKKPVESEETLPVKQIALLYPLTVIDIATNGFNHRRIIGKGRLGTVYAGKLENEELVAVKRIHSVLVLSNAGFGFSSVMKWLSLSQHPNVVPIIGFSEAPGERVILMEFVRMANLEFYLHENQDGGSSSLLDWNKRFKIAAGVAKGLQYLHEVVAPNIVHGCVKSSNVLIDVNFCAKISDYGLSFLGGVEKRGLVGYVDDEYWNEGIRGGSVCKENDVYGLGVILLELLSGRGCEGGLLVKWVLPLIKDMRFSEVLDPRLIIPSNMNAIIRLAKVASACVGNPRKCRPCVNHVMNILNDLEMEVCLLSN >RHN44479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5201427:5203332:-1 gene:gene38602 transcript:rna38602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MDEEVKVIHQYQVSPPQGSLPSSITIPLSHLDLPWFHCPHVKRIFYYNFPHSTQHFLQTSLPILKNSLSLTLQHFFPFSSKIIFPPKPQTPHILYSQGDSISLTICESKSNFNHLISNSPKDLTIAFPFVPLIPPPSILEDGTSCIPTMAIQITIFPNSGFTICITFRHEIADGKAFHHFIKFWSSLSKGNLECSFLSLPLHKREIIQDPKNLKQSILEQLWNHPPKSLESTTSTNDHAASRNNIVRYRFNLTRHQVENLKKWVVTKCQNIGLETFHLSTFVVACSLLWVCIVKLKSLEDFSDKVIDNSPTDDDYEDIHGFGFLMDLRNRFELSIPSTYFGNCLSFCLATLPKRKLVGENGICEAANIIGREISLKDPLKEDKEFNKSLNMIRVIGSPKFDVYETDFGLGKPILTDVINNDESSAFCLSDSKDEYCGVEVAMVLERAHVKKFSDILEVQLRDTVM >RHN73849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20621771:20622934:-1 gene:gene9731 transcript:rna9731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGEENDTSKRQLYLSSTGDGLLHRQPFLPDELVFEILSRLPVKSLLQYKCVSKSWKTLISDPQFTQTHLRNLIVDPTINHQRFFYSPESKPSKIASIPVKPLFENLSEPPKATEFSMEHEYGILGSCNGLLCLFSFKEGYVTLLNPSIEWKSKISPTLDSYEYHKYWITYHGFGYDHVNDRYKVLAVVCVVANGIVKKLTQIHTFGENSWTSIPNFPSPSPMISRFSWVGKFVSGTLNWVIIKTGVIPKRNVILSFDLAKETYKEVLLPEPDGVDVSNHVLGVLSNCLCVCFDSNKTHSDFWLMKKYGVAESWTRLMMIPLNKIWHCLQSRPDFIQPLFMSENSSVLLRTYSKFLLYNLNNGRLDCLQGYNEFDPDIYHESLVSPKF >RHN43502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46341647:46349392:-1 gene:gene50092 transcript:rna50092 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSKKRWMVTYTKHVKQKRKVYQDGFLVLNVSTRKLSLYDECEKLLECRLLKSDENCSSGESLTFNSHLVDIGNLEGENKPLPDLNVDKKQKNVSRFRTPDVKINAKETITRTQKPLSPSQKIIKEFKKREILKYQSPKISQETPKPSTTEWQVLYTSQMTQKAKKYHDGFLGLVTGSQGAQVRLFDANRNLLDSRFLKKDDLIKPGESIRFDTYLVDISEDQESHPPNSIVKGGNCTNLQRIQKIDRQKTSLDTDTPVIVGKHEWQVLYTTQLTQKAKKYHDGFLQLECCGSLGRQVILYDLSKRPLERRFLKKDEVIKAGSLVYFAGHLVDVGEPEGSHQSPVKLSELGSGGENVVKTQQRHVQKVCRELHPSTAKGQPSSRPCLRQGAGLNSHFAVIEELKANKTVPVVKPLHDGQPSSRPCLRQGAGLISHFAVIEEIKANNTVPVVKPLHDEQPLSRPCLRRETGLNSPFAKIDVIKSNKTVEAVKPIRDANQILSFLQDPKPHECYVAGSRSPSRSYQNIMDRESTETMKPPDISTTSTKATCVDGNFQFTGNVKMSHQPYSEKEAQENISDANFDLLLSSPGGDSSCPISNEGQSAEDISSEREAFPSFDLGF >RHN52120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31679113:31679991:1 gene:gene36748 transcript:rna36748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKSTLFTFSLLLFSFTYFPLAFTETVEDINGNPVFPGGKYYIAPLISKGGGGGLKLGKTGDSECPVTVLQDFSEVVRGLPVRFTIIVKRGVIFTTDEVDIEFVKKPKCAESAKWVLAHDDFPTSWVGIGDNIDAFQGKFKIETLGSGSGAYKLVYCPLFSAPPGACSDIGRYRDENGWRLVPTENDPFRVVFIDATESEKAVV >RHN65027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63721782:63724903:-1 gene:gene27920 transcript:rna27920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-tyrosine-phosphatase MKLDNSNSNSNGQVSLPAAADVSPPRNSDDADEAFVPPLNFAMVDNGIFRSGFPDSANFGFMKSLRLRSVICLCPEPYPEATAEFLNANGIRLYQFGIDGCKEPFVNIPNDKIREALKVVLDVRNHPVLIHCKRGKHRTGCLVGCIRRLQRWCLSSIFDEYQRFAGAKARVSDQRFIELFDISSLKHNPLIFSCSRK >RHN48447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47515793:47518033:-1 gene:gene43163 transcript:rna43163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor S1Fa-like family MADKVPPNAGSQGFNPGLIVLLVIGGLLLTFLIGNYALYVYAQKALPPRKKKPVSKKKMKKERLKQGVSAPGE >RHN77239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5312883:5326584:-1 gene:gene675 transcript:rna675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain, SPOC-like domain-containing protein MEEENLLDTTKLKRQHTETTVTNSPLSTTTTTAMTSVEHPLKKRKLHDSPPSQSPQPETSSHFLQTLPTPPLSPDEILAKRRNKDAIRNLYEGYKRIKRCILQKQSSSMPDLDQNFLALIASSRGCTSVQRIVANLIPQYAGHCPTALEAAAKVVINMHNWSLALTSKEEDSNGIAFETAKACIFGLADICCIASSVAPTSAVIRGIRSTVFQNVLTFFVPLFEGNDVLKMIDKNFLNMQDNPEVFSELKQKVLDEDDSSLTKLSKFRALCILRVFFSCPKELLAACLELLGSTTKEGSSNEGQRFLSMVTSMLNYDEAVHLLGRANDGPKSCNGFIEEGIKEIEVGEKAVTNDNHISDAIQKSCLLMLVLNKDPSLRKWTLRRCKKLLDSLTNASPETTSVLQGILGMFAQQTELEDCQVDSDEDKSDSSIFTNRNYVIPRISEELENIGERSQKGSHFDNGGVSRSVGVEKGDIPHVRCSTPRDSVSHQMFSPTVRTAVDFRSNSFDGRNEFPNVEKNQVSNINFNSPLSRSSSGAVSNVLASPNHRFMSPNSLTKSQIVLCFDGDPAAVDIVAASRQLWVGCVAPDMPESHIRFQIERFGPIEKFIFFPSNGFALVEYRRIMDAIKARHCAPGNFPCRVKFMDVGLGSRGAVNGVAVGSSSHIYVGNVSSQWAKDEILHESRKVVYKGPLAVIDLSFECALLMEFDSPEEAASVMLHLRQLRRERSSYSPHFGPGTVNVVSGHGYMDGARPLPAPAHLDLKVSNSAGSPHARALHGSPADSSRTRMSHLCNILASLRAKYNINQNIGLHDNYMTGNSCASSTREEDVVPSNTLWITIPHSSSQFLTDDELMSICNLAIGNSGSIARLRQAKMHMGCGWFVECSNVDGAVTILQNLRGCPGLFFQIEFSNPGNQNAVPFAIKPENRAMELVSPRMNAENRSSGGHGAPLSQSNWHFPDSREIPEVGGRKPDGYDHLSLDPRQGGNVPHAYSGAHGPSIPPPQQIQSSPFTRPVYVPPNGQWDPHGINNQLPVNQYQTVVMPNNFNASPFIPVSVTPLAQIQGTPMQPYNQQIPPSIVPPPLSSLPPPQPEMPPPHPHPPSQPPLPETQPPLVPPPPPPGSPPPPPPPLPVQEPVSVECSGQPLQYQWQGNLCKSGVSYCTIYACRADSNICSYSNVMPEPAEWPTKLDMTKRTDFKHVQSTFAATPSHRREVCRLIPSSTSEDRRFQDFVSYLKQRDCAGVIKVPASKSIWARLLFLLPHSLEMCSLLSIAPDPSDCLIALVLPKETNSDWL >RHN75154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39830082:39831549:1 gene:gene11316 transcript:rna11316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC transporter, P-loop containing nucleoside triphosphate hydrolase MTMQFDDVVYKIKAKKGGLFKKNIEVEEKTILKGVTGIVQPGEMLAMLGPSGSGKTTLLTALGGRLGGKLYGSITYNEKPFSNVIKRNTGFVTQDDVLYPHLTVTETLVFTALLRLPNSVTKEEKVTHAKNVIDQLGLTKCKDSIVGSAYLRGVSGGERKRVSIGQELLINPSLLFLDEPTSGLDSTTAQRIVSTLWDLARGGRTIVMTIHQPSSRLYYMFHKVLLLAEGNVLYFGKGSEAIEHFTNIGYAPAMAMNPSDFLLDLANGIYTDDTNHDHTIDKQKLISAFKNNYDEQSKPEHREINDSDTSQGRFQETGSGKWPTSWSEQFFVLLRRDVKERKYESFSGLRIAQVLVVALMSGLLWYKSDMSHLQDQVTF >RHN58274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:508386:514789:-1 gene:gene20166 transcript:rna20166 gene_biotype:protein_coding transcript_biotype:protein_coding MNTATVSTPSWWCCIQTHHQHHSPPHFFPRPIHPQFKGLRLLYRKRVVESRWENGAVSGSNEVNVSPWDDKPFEILPNGKRSYLDEQDVVAFLDPPNTLIPLDPTSYNPAAYLWKKIEDIPEERRHRLLLLINPRLISMAWQIAGTRYEDPKLVKKSESTLLISSNKDDVMLEYYNCRTSGGPMPISWINSFRKAIFSGKDGKTYGRLIGGSALASFANSFAPLYFTMTQVKEVLSTEQPCDLAYEFGDGLYDIKELPLGFPRPVKHPYPFNDQIVIYVRYLGPGVSVGQAWQEGNKLEQIPQKLCGGILMVKDYTSLQEPQ >RHN59332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9652318:9653365:-1 gene:gene21344 transcript:rna21344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSWVLSSRDHSSSLLNLTIHACMDGAEEDLYKLIKINPLLSLKIFGYAKCPKSELLLPLLFGSRSLTFLDLSYCMKNGYAKCPKSLHIPALRTLHLQWFHFVATHDHCADPFPNCHVLNTLVLIACSLIEDAQVLCISNQTLSNLTIRKVSADQYSLSAPNLSSFTIDDCPIFQKSLSSTCNLSFLQQVNMYGFSNNGEASIFLRWLQVLANVKILEFGYAVFEKIQNEFLLNPISKKVQPPRFVKLELLIVHAYADKKQEIMEIVEHLLQNTTSMTRVVQVGRRFCFSLF >RHN82801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56500085:56502917:1 gene:gene6989 transcript:rna6989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MEYYGVVGLVLAVLVWIWLAMVMKHIRVKLGHQLPPGPRCWPVVGNIFQLGLSPPHESFTILSRRHGPIMTLWLGSMCTVVVSSCEAARDMFKNNDAALAGRKVYEAMKGNHNHGSEGSLITSQYDAHWRMLRRLSTTEFFVTSRLDAMQGVRAKCIDRMVHLIDEAAAESSNCAVDVGKFFFLMAFNLIGNLIFSKDLLDPEMERGARFYYHAIKVMKYAGKPNVADFFPILKWLDPQGIRRNTQFHVERAFEIAGWFIKQRMENDIVGNGNSKDFLDVLLQFRGDGVSGPYSFTSRTINVVVFEMFTAGTDTTTSTLEWAMAELLHNPRTLSKVQMELRSKISSDRKMEEKDIESLPYLKAVIKETLRLHPPLPFLVPHMAMDSCMIGDYFIPKETQILVNVWAIGRDPKVWDAPLLFWPERFMQPNMVDYKGHHFEYIPFGSGRRMCPALPLASRVLPLALGSLLNSFDWILSDGLKPEEMDMTEGMGITLRKAVPLKVIPVPYKGSPVAAAAAAVAGE >RHN82487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54181763:54183771:-1 gene:gene6656 transcript:rna6656 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQSYLLFFKRKSLIFAWTALSGFQSTGNIFVLPLIFAWTALSGFQSTGTPSVA >RHN78759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18100261:18109290:1 gene:gene2408 transcript:rna2408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gdt1 family protein MKGLGSHTPLIPKGKPPLSFAILNALPSCTYLSGNTTLSPLRCRQKLGLSLARGTIRAQASNISVGPGDYGSNNEKDSQNVSDRNSVDDSSSKISERNSVDDSSSKIVKPTQIPYPVSIAFVLFGCALVFSLIAFVKGGPSSVLAAIAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYEKGLVLLGSMGALALMSILSVVIGRIFQSVPAQFQTTLPIGEYAAVTLLLFFGLKSIKDAWDLPSKDVKNGDNSSPELDELAEAEELVKEKASQRLSNPLEIIWKSFSLVFFAEWGDRSMLATIALAAAQSPWGVASGAIAGHLLATCIAIVGGSLLANYISEKLVGYLGGGLFLIFAIATFFGVF >RHN69596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44766530:44766977:1 gene:gene18085 transcript:rna18085 gene_biotype:protein_coding transcript_biotype:protein_coding MPYMLLLENVYVSPKIYMDQVGVIISTSVNFSSKKKVRGLLADSIMLACLE >RHN59816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13538298:13540505:-1 gene:gene21975 transcript:rna21975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MVFDFANNHRGSYNDSIGSGVCPFYCDINGYMDELIWGAAWLYKASNNENYMKFVKSNIQSIQPYEFGWDAKHAGINVLVSQWVMNISSNQNPFIPNADNLICSLLPKSPTKSVTYSKGGLLFKRGPGNLQHVTALSFLLIVYGRYMHANNKIVYCGNVTATPSKLIHLAKTQVDYILGNNPLGMSYMVGYGQKYPQKIHHRGSTLPSLDVHPKNMGCRDGDEHFQSSKPNINVLTGAIVGGPAYDDSFLDSRLNISQSEPTTYINAPFVGVLAYFKKHM >RHN53354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1155360:1157065:-1 gene:gene28254 transcript:rna28254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MDHFASLYRLTVVLAVVTAMAAPAYAQITTPCNMSMISSTISPCLSFLTNSSGNGTSPTADCCNAIKTLTSGSKDCMCLIATGNVPFALPINRTLAISLPRACNLPGVPLQCKTSGSPLPAPGPASFGPSLSPASTPSLSPQASSILPSPVTPSLSPQPETTNPLSPSANPDIPSATPGSGRSDLTPSSAGSSSYSLLLSVLVMGFSILKHY >RHN77906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10376727:10381782:-1 gene:gene1410 transcript:rna1410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MNHQQQPFEVSIDTAGSKCFDDDGRLKRTGNEWTASAHIITAVIGSGVLSLAWAIAQLGWIAGPSMMLLFSFVTYYTSTLLSVCYRTGDQLNGKRNYTYTDAVRAYLGGFKYKICGVVQYANLFGVAIGYTIAASISMMAIKRSNCFHSSGGKNPCHMNGNIYMISFGIVEIFFSQIPDFDQLWWLSALAAVMSFTYSTIGLGLGIGKVIENKGFKGSLTGITVGTVTETQKVWRSFQALGNIAFAYSYSMILIEIQDTIKSPPSESKTMKKATLISVIVTTIFYMMCGCFGYAAFGDSSPGNLLTGFGFYNPFWLLDIANAAIVIHLVGAFQVYVQPLYSVVEKTAAERFPDSDFVNKDIEISIPCVASPLKINLFRLVWRSIFVIITTVISMLLPFFNDIVGLIGAIGFWPLTVYFPVEMYIVQKQIPKWSTKWICLHMLSAACLVISLAAAAGSVAGVIADLGVYKPFHAMY >RHN68385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35044416:35051373:1 gene:gene16729 transcript:rna16729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MAYFLFFIIFIFFLFLLLLRKLRYARLQLPPGSLGLPFIGETLQMISAYKSDNPEPFIDQRVNRYGSIFTSHVFGEPTVFSADPETNRFIIMNEGKLFECSYPGSISNLLGKHSLLLMKGSLHKKMHSLTMSFANSSIIKDHLLFDIDRLIRLNLDSWSDRVLLMEEAKKITFELTVKQLMSFDPDEWTESLRKEYMLVIEGFFTLPFPLFSTTYRRAIKARTKVAEALTLVVRQRRKENEIGIEKKNDMLGALLASGEQFSNEEIVDFMLALLVAGYETTSTIMTLAIKFLTETPLALAQLKEEHEQIRARSEPEAALEWTDYKSMTFTQCVVNETLRVANIIGAIFRRTTTDIDIKGYTIPKGMKVIASFRAVHLNPEHFKDARTFNPWRWQNNNSEAVASPGSIFTPFGGGPRLCPGYELARVVLSVFLHRMVTRISWVPAEEDKLVFFPTTRTQKRYPILVKRREESTRSCIGFGYTTDTGRKKES >RHN48966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51446465:51449565:1 gene:gene43742 transcript:rna43742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L18e MGIDLKAGGKSKKTKRTAPKSNDIYLKLLVKLYRFLVRRTESNFNKVILKRLFMSKVNKPPLSLSRLIRYTKGKEGKIAVVVGAVTDDIRVYEVPAIKVTALRFTEKARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGRAPGVPHSNTKPYVRGKKSRKVEAARGKRKSRGFKV >RHN80348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37354645:37355998:-1 gene:gene4262 transcript:rna4262 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGNKKVALLLLLCLHAFVVNVVARNVNNDDEKNLVGFGKGGGFGGGFGGGGGAGGGGGGGFGGGGGFGGGAGGGGGFGGGAGGGHGIGGGGGFGGGGGAGGGHGIGGGIGKGGGLGGGIGKGGGAGGGGGFGKGGGVGGGAGGGFGKGGGLGGGHGGGIGKGGGFGGGGGIGKGGGVGGGSGGGFGKGGGLGGGIGKGGGGGFGKGGGVGGGIGKGGGHGGGGGFGKGGGIGGGIGKGGGLGGGGGFGKGGGVGGGIGKGGGFGKGGGVGGGIGKGGGLGGGGGFGKGGGVGGGIGKGGGLGGGGGFGKGGGIGGGIGKGGGLGGGIGGGSGGGGSFGGGSGTGIGGGIGKGFGGGIGGGSGGGFGGGGGSGGGIGGGFGKGGGFGGGAGGGSGGGIGGGFGKGGGFGGGVGGGEGGGFGGGFGGGGGGGGGGGIGHH >RHN47360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39151976:39154837:-1 gene:gene41952 transcript:rna41952 gene_biotype:protein_coding transcript_biotype:protein_coding MKCGVIVVDGVTIPTIEKETFIDFDFWLHWKSSHVNLLLIQFLFI >RHN81152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43671638:43672004:-1 gene:gene5155 transcript:rna5155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MDMITRMVSDKPVVIFSKSTCCLSHSIMTLIRSFGANPIVYELDEITNGTQIERALIQLRYQPSVPAVFIGQQFIGGSKRIMTLHLRNELVPMLMNAGAIWI >RHN59722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12710963:12711103:1 gene:gene21826 transcript:rna21826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b6/f complex, subunit 5 MIEVSLFGIVLGLIPITLAGLFVTAYLQYRRGDQLIFDLINISLVY >RHN76538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51078729:51079465:-1 gene:gene12881 transcript:rna12881 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVSEIVGLGSTYGYCNLSRFRRRVNVTSPNTTLISSIIFPTRRKWSSVVVRAQNSSTYSSQKEDIVYLAKLVVGSFGGAGVIKYGSAIFPEITTPNLVLALVIISTPVLVAVLLLINQSLRYNS >RHN39780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10466839:10468356:-1 gene:gene45842 transcript:rna45842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DOMON domain, cytochrome b561/ferric reductase transmembrane MAFHTKMKLTYFCLLIFLILLCSSSILVTSLDSCASNLVQLQQPIPFDTKSLLCIPVWGDHNFILRYAKASSNIWSFIFSFPTNINAYGAIGFSKNGNMVGSTAIVGWMPSSGAGGMKMYSLDGKSTNEVILDKGELYIMNASSIAAAGTSLVYMIFQLKTTQPSTKLLFAIGPNGVFPNYPDYALSKHSGHISLVIDYSKGKIIKRNCNPKVPRRRHGILQSAGWTTLMILGSIIYRYFKQRDPAWFYLHASIQTIYFLAGIISLRLYVIELLVIVIDFFFF >RHN72780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10644182:10644490:1 gene:gene8536 transcript:rna8536 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEMNPRPIPTWQPHEHHSDVGASTSTQGVTGTSLAIVDVAAPSSTTTVVIQGQTISHSATHITDVAANSFSFALHNVSDEIPQGTLPQPFITVLELVFRG >RHN72571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8764926:8773447:-1 gene:gene8298 transcript:rna8298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LysM family MITNQIFILNFLLFVLLIMKTVRSCNSGCDLALASYYIEEGTNLTYISNLFNQPTSEILKYNPNIQNPDTIQSHTRLNIPFTCDCLSGLFLGHTFSYKLKEGENYKAVANGYYSNLTTIDFLIRVNSYPATDIPAGTVINVTVNCSCGDRDVSKDYGLFLTYPLRNGDSLPGIAMESGVPVELVKRYNPASNFRAGELVFLPAKDENGNFPPLKMGSGMSKGGIVGIVVGGAFGILLLVLILYVVFYRRKKVADQVTLLPVPGASELDQSSQLQHGRGSSMDKTSESTTVVSPRLTGITVDKSVEFSYEELAKATDGFSTANIIGRGGFGLVYYAELRNEKAAIKKMDMQASKEFLAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEYIENGNLSQHLRGTGKDPLSWPARVQIALDSARGLEYIHEHTVPVYIHRDVKSANILIDKNFRGKVADFGLTKLTEYGSSSLQTRLVGTFGYMPPEYAQYGEVSPKIDVYAFGVVLFELISGKQAIVKTDEAKNESKGLVALFEEVLGLSEPKEDLGKLVDPRLGENYPIDSVFKMSQLAKACTHENPQLRPSMRSIVVALMTLSSAAEDWDVGSFYENQALVHLMSGR >RHN68545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36355451:36364149:1 gene:gene16912 transcript:rna16912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbon--nitrogen ligase MVLLNSASTASLLLATTRRVYNYHLSTYTLMASSAVDCSLLVLCGKSLAENETAIAIKTNNTLKLPDKKELSIILHSEFNKSVIQRQSFQFSSFMNSLSTNQFGRLLIWSPELTSTHDVVSHNFCELPVGTVCVGDIQNKGRGRSKNAWESPLGCLMFSFTLQMEDGRIVPLVQYVVSLAITEAIKDICDKNGLPCVDVKIKWPNDLYLNGFKVGGILCTSTYRSKKFNISAGIGLNVNNEKPTTSLNTVLRELSVGAYQFQREDVLAAFFNKFEKFFDLFLNQGFQTLEELYYKTWLHSGQRVIVQEKNEEKVVEHAVTIQGLTSSGYLLAVGDDNQMCELHPDGNSFDFFKGLVRRKLD >RHN49369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54325636:54330967:-1 gene:gene44191 transcript:rna44191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzyl alcohol O-benzoyltransferase MIKYGGNQCIATHPNETKQLYKTSVFFLLPFDIMTSSSLMFTVRRCQPELVPPAAPTPREVKLLSDIDDQEGMRFNSPVIFIYRHKPSMVEKDPLKVLRHALSRTLVYYYPLAGRIREGAGRKLMVDCTGEGVMFIEAEADVTLDQFGDALHPPFPCFQQLLYDVPGSTQIIDRPIRQIQVTRLKCGGFIVAMNWNHTLGDAAGLRQFMTAWAEMARGAHRPSIQPVWNREILMARDPPRITCNHLEYKQIFSPNTIKEEDTASLVHRSFFFRASDIAALRLLVPFHLRQCTTFDLIASCFWCCRTKALQLEADKEVRMMCVVNARSRFNINNSPLVGYYGNCFAYPAAVTTAGKLCGNPFGYAVELVRKLKAEVTEEYMHSVADLMVIKERCLFTTVRSCVISDLTRARLSEVNFGWGEGVYGGVAKGGAGTFPGSTYIVPYKNINGEETLMLPICLPSEDMKRFAKELDEMLGNQNYPTPSAHNFAMSTL >RHN39368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6519650:6527657:1 gene:gene45385 transcript:rna45385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydrolipoyllysine-residue acetyltransferase MASHLLNHSKKLRNASSLLHHDRALLLRMFCSDVQPRNPDVWKTQLQERESSARNHVSESSPNFTKLSFGVQKRNMSTMKRGYMRESLLNREISQNSQVLSRRSYSSASDLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGFLAKIVRKEGEKEIQVGEVIAITVEEEADIAKFKDYQPSASESSAPPAKETPAPPPPKKEVAEEPAREPEPKVSKPSAPPSSGDRIFASPLARKLAEEKNVNLSSIKGTGPDGLIVKGDIDDYLASGAKEVSAPSKAKPAADAALDYTDIPVSQIRKITASRLLLSKQTIPHYYLTVDTCVDKLMSLRTQLNSLQEASGGARISVNDLVIKAAALALRKVPQCNSSWTNDYIRQYHNVNINVAVQTDHGLFVPVVRDADKKGLSTIGEEVKQLAKKAKENSLKPQDYEGGTFTVSNLGGPFGVKQFCAIVNPPQSGILAVGSAERRVVPGSGAEEFKFASFIAVTLSCDHRVIDGAIGAEWLKAFKGYIENPETMLL >RHN49080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52293795:52294693:-1 gene:gene43869 transcript:rna43869 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRGWCSSPRQGRHSGLKSTYKDNYIYFPSKALAGLEPGLSRIQDLSFYH >RHN51502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19052753:19053956:1 gene:gene35949 transcript:rna35949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MLGKEQEKPALIPTDAVQKCRLASAKPRLKKTNSQDKLVKNRGSGIPQKIEKLLDPPSGDVAKNISSQNEYGETSDAVTGLVKDQTQFVPAQVITESVVRNWLGVWQTHHVLSTLLVSKIAAIGRMRLKCQIKCGDVFENKVVDEFNECSYQRRHVCLRNLIWENFTRQFLLGLAYLHSKKTIHREIKGANLLVDSSGVVKLAEPFLEKATQWFKVVHTINFIDILRSFMVTSNFSCDTIHICLHNQDI >RHN43655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47497261:47497527:1 gene:gene50265 transcript:rna50265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSNLIEAADSRPCEDFDENEMGRLMIIGLWSWCAPPDYTFEAYNTIRQAVDVLNSEAPLSNLASKMPFILHLWHLHLLVLNALRLAPN >RHN76954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2678727:2684025:1 gene:gene350 transcript:rna350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MGSLRYKAGLFLIGTVVIIWVSSAEVTQDIYTDYKQPFVVTYLGASLMVLYLPIAFIKDWFYNFLKHRSSKSGKNAESGNGMQKDFEMELGNVVRKDSDIDLSTLGEIAPLVAKYNETSLAKEEKELTTKEIATYGFYIAPIWFITEYLSNAALARTSVASTTVLSSTSGLFTLFIGVLMGEDTLNISKVVAVLVSMTGVAMTTLGKTWAADDSQFNASNGQRSLVGDLFGLLSAMSYGLFTVLLKKFSGEEGERVDVQKLFGYIGLFTLVALWWLIWPLSALGIEPKFAIPHSAKMDEVVIANGLVGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMMADMVIHGRHYSALYMLGSAQVFAGFVIANLSDWATKRLGL >RHN57248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37535342:37536511:1 gene:gene32768 transcript:rna32768 gene_biotype:protein_coding transcript_biotype:protein_coding MGILYPPPPPFPPFPLPPPFRPVVCHPVPLVILISLSHIVPSTYPFLQ >RHN39998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12179669:12182825:-1 gene:gene46085 transcript:rna46085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol-polyphosphate multikinase MVKLKIPEHQVAGHQAKTGILGPLIDDSGKFYKPLQGDERGSNELAFYTSLYSDPRIPSNILKFFPSFHGSETIDASDGSGLHPHLILEDIVSNYTNAAVVDIKIGSRTWQPQSSEDYIRKCLQKDRESSTIKLGFRISGLRSVGPSNKLWQPHRKLLMDLSADDVMLVLRKFVSSDGDVDEPDVVFGSRVFVPVLEELLELKKWFEVQTIFHFYSCSVLVVYEKDEKENEKKSARAVVKLIDFAHVVDAKGAIDHNFLGGLCSLIKFVKDVLAGLGDAGNNVSKDEC >RHN51160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14614755:14616015:-1 gene:gene35546 transcript:rna35546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol-forming fatty acyl-CoA reductase MGEMLVANMKDNLPLIIIRPIIVISTHSETFPGWIENTRDIDYVIDKYGKGLMRSFVGLPETVLDVIPADMVVNSMIIASVARSKNLCRSLIYHIGSSSRNPFKFSDLVDGMHCYFSKNPWINKNDTLVHVGKKLTLFSTTMDDFDKNKVFVCKRSGHKDGDCDRALQTIWSL >RHN46052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28092530:28093195:1 gene:gene40492 transcript:rna40492 gene_biotype:protein_coding transcript_biotype:protein_coding MMASQTIKEKFVELQKSKQTPQNSRPKIQRVPQYLRNRNNFEKHYTPKFVSIGPIHHDNTNMKPGEEYKLMWAAKYIENNGFILEDRHKKIADKINELKGHFSDDVLTLTGKSLEGFDSLEEKLSWMLFVDGCSLLHILEKANVDEAGHMNIKVDQLMMDVLLLENQLPYQVLKLLWKDDNESEHEEIPQPLLLGQTRWVTVREGEGRRRRT >RHN45629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23864372:23865797:-1 gene:gene40009 transcript:rna40009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MLVKPSFHIYQNTNYYNKYKMKTSIVLITFLTMMVVGYAFDCEEAKTSILPCIGYLIGEDDHPSIACCNGVKDLKSSVPTKGDRHKACECLKKTSSHFHNIRDDLNALLPKRCGVDLNFTINKSIKCDQIP >RHN75307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41115749:41124524:-1 gene:gene11488 transcript:rna11488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucoside 2''-O-glucosyltransferase MDAPSPLHIAMFPWFAMGHLTPYLHFSNKLAIRGHKISFFIPKNTLNKLQHLNLHPNLITFFPITVPHVNGLPHNAETTSDVPFSLFPLIATAMDQTDKQIELLLKELKPQIVFFDFQYWLPNLTQKLGIKSLQYLIWNPISSAYLGNIPRKSQGKYLTKDDLKKPPAGFPDSSIKFHSHELRFLASTRKIEFGSGVLLYDRVDTGTKSSDAVSFKGCREIDGLYADYLETVFGKPVLLSGPLLPEPPKATLEEKWLTWLKEFKHGSVIFCAYGSEALKPPIGFESIEEALPEGFNERIKGKGIVYGSWIQQQLILEHPSVGCFITHCGAASITEGLVNTCQLVLLPRAGADHIMNARMMSSTLKVGIEVERGEEDGLFTKESVGNAVRIVMDDENEVGKEVRANHAKMRNFLLSSNLESSCVNSFCQKLYDLLYGWKLKKMMVKIDDLKVENEKMKKLLGRSSRAILFGPLHLVAAMDALPPMHISMFPWFAMGHFTPYLHFSNKLAIRGHKISFFIPKNTLNKLQHLNLHPNLITFFPITVPHVNGLPHNAETTSDVPFSLFPLIATAMDQTDKQIELLLKELKPQIVFFDFQYWLPNLTQKLGIKSLQYLIWSPISPAYLGSIPRKSQGTYLTEDDLKKPPTGFPDSSIKFHSHELRFLASTRKQEFGSGVLLYDRVDTGTKFSDAVSFKGCREIDGLYADYLETVFGKPVLLSGPLLPEAPKSTLEEKWLTWLEGFKHGSVVFCAYGSEGPLQHNQFQELLLGLELTGFPFLAALKPPIGFDSIEEALPEGFNERVNGKGIVYGNWIQQQLILEHPSVGCFITHCGAASITEGLVNTCQLVLLPRAGTDHIMNARMMSSKLKVGVEVEKGEEDGLFTKESVCKAVKIVMDDENEVGKAVRANHAKMRNFLLSSNLESSCVDSFCQKLYDLLYQF >RHN67718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29633634:29638265:-1 gene:gene15937 transcript:rna15937 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSINNIKFDSIPSVSSLPLLLTHFTPISHSSPTFLSKLKPIFPLTSSTPSRRNHPSATMFHHDPFVSDFVATGISGVVAFSCLGLFKETAKRGLFDQKLNRKLVHITIGLVFMLCWPLFGNGRWAPFYAAFIPGVNILRMFVIGSGILKDEATVKSMSRFGDYRELLRGPLYYAATITLASMIYWRTSPISIAAICNLCAGDGMADIVGRRFGSEKLPYNKNKSYAGSIAMASAGFLASIGYMWYFSSFGYMEGGWSKVIGFLVVSVITAVVESHPISTDLDDNLTVPLTSILVGSMVF >RHN49784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1116128:1116749:1 gene:gene33995 transcript:rna33995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTTILKFAYIMIICLFLFLLHVAAQKDLKVFTCQRDEDCKVACATYGGDPWCFRNVCFCKHYNEGGTLHAELH >RHN76622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51714804:51715240:1 gene:gene12973 transcript:rna12973 gene_biotype:protein_coding transcript_biotype:protein_coding MIEWHRTMKVALKKMWILPLYIVDGCRALIKGYHLYLFIYIYLRGKT >RHN60221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23824594:23825343:1 gene:gene22484 transcript:rna22484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MDMISNLPDDIIIFCILPLVTTKEAVATSILSKRWTNLCHFVPKIDFTNISVNSYESNSSFNKFVYSVLSSGDAVFPHFIDSFSLDIKYGNPNLAYLGFPNIFEWINHVVQRRVEYLRLHLHVDNLDHDHDDDEDQPKFPISTLTCKTLVTLKLSGFHLESFSVSSIGFGFPLLRTLHLRYIEFTDIQDFMLFLAGCPFLENLRLSDIFFPEEEEDSVTIQEVKSFILPKLTIANITFLVFIFSDESTL >RHN55087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15081370:15082915:1 gene:gene30215 transcript:rna30215 gene_biotype:protein_coding transcript_biotype:protein_coding MREGDFLCCCFCDGVGFLVPPGSVADVLFMYYEEMEQSGTHLTKEVFVALIHAYAACCEDYEEQMVILLLKELSGQDWFDGFRWAIRYSIQNKNLSSTIELLKQLKVYYNNVASKRISGTSFTRRRRNVSYEAYDIISKFGSTYLQFGLDLLDLIKKERLSPSMMCLDILLHLCAESRDLNNANFVWREYVFAKIPHGPLSYLRWASNLCTIFSLSYSIFLLLMVLLYGCSMYHVLLASGDHKSADIILNNVPSFLSGVASHLKKRYSAKMDEEEAVEKQNGKKKKMKKKKKKKQPKDSKKKGKKEKPRRLE >RHN75619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43807995:43810679:-1 gene:gene11852 transcript:rna11852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MYNKFNCNLLTGQVSFMMPDGKSYRVTLIDEAGRKFYIQTNDTNHCDDSSSYQNDKPSSPFNVTNWCFKDDQIEVNWAAAPQPQCDEYFSCKSWPHSTCIANSSGGENRCHCDSKYIWNPSSLSCIKDKVSIQIQESLHDSEKHVRDLIGLGNIGENDSESIEVPYYTFRSIQAATNNFSDSNKLGQGGYGPVYKGRFPGGQEIAIKRLSSVSTQGLQEFKNEIVLIAKLQHRNLVRLRGYCIKGDEKILLYEYMSNKSLDTFIFDRTRTVLLGWKLRFDIIVGIARGMLYLHQDSRLRVIHRDLKTSNILLDDEMIPKISDFGLAKIFGGKETGASTQRVMGTYGYMSPEYALDGFFSIKSDVFSFGVVLLEILSGKKNTGFFRSQQISSLLGYAWRLWTENKLLDLMDSALSETCNENEFVKCAQIGLLCVQDEPGNRPTMSNILTMLDGETATIPIPSQPTFFTTKHQSCSSSSSKLEISMQIDSSYQEGR >RHN43649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47479894:47480440:1 gene:gene50258 transcript:rna50258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MIKFEKMLVAFFICALMITNIIQVNANFSKSMYLTWGAQHASIVGEDLHLVLDKTSGSAARSKRSFLFGSIEMLIKLIPGNSAGIVTAYYVSSLDQQEL >RHN41798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33097852:33099137:1 gene:gene48159 transcript:rna48159 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTDENKELINQPLSILQRLDRLELLLLFLEKKQCHTIRDSSDVAIYKCLEQEQQCKSLSYVFEEVHHKGTLLERVALLENRVIQLSIDLDMGNTSRSSSSTSTIAAKLGKGSGSLDANENMDMVTKLEEKHDPLITQDSIIVEACSLNSPSCRAHRIKAMSRMGYNRKWLKWLKFGC >RHN51137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14250555:14266909:1 gene:gene35521 transcript:rna35521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MTKMSIMNPFSIKLIQAIFLICLVLQADQLVCSKEFVRCIQSERQALLQFKAGLTDVYDNMLSSWTTEDCCQWKGIGCSNVTGHVIMLDLHGNYYGNYNDDYNYIIRGDIHKSLVELQQLQYLNLSGNNFRKSILPGFFGSLRNLRYLDLSNCHFGGQIHIQFESLSHLKYLNLSWNHLDGLIPHQLGDLSNLQFLDLSYNFLEGSIPSQLGKLVNLQELYLGSAYYDIANLTIDNIINLTIDNSTDHSGGQWLSNLTSLTHLHLMSISNLDKFNSWLKMVGKLPKLRELSLRNCDLSDHFIHSLSQSKFNFSNSLSILDLSVNNFVSSMIFPLLSNISSNLVELDLSFNHLEAPPSIDYGIVMNSLERLGLSGNRLKGGVFKSFMNVCTLSSLDLSRQNNLTEDLQIILQNLSSGCVRNSLQVLDISYNEIAGTLPDLSIFTSLKTLDLSSNQLSGKIPEGSSLPFQLEYFDIRSNSLEGGIPKSFWMNACKLKSLTLSKNRFSGELQVIIDHLPKCARYSLRELDLSFNQINGTQPDLSIFSLLEIFDISKNRLSGKIYEDIRFPTKLRTLRMGSNSMNGVISEFHFSGMSMLKDLDLSGNSLALRFNENWVPPFQLDTIGLGSCILGPTFPKWIKTQKYLQFLDISNAEISDNVPEWFWDNLSLQMCNTINISNNNLKGSIPNLKVKNHCSLLSLSSNDFEGPIPPFLRGSGLIDLSKNKFSDSRSFLCANVIDEMLAQFDVSNNQLSGRIPDCWSNFKSLVYVDLSHNNFSGMIPTSMGSLVKLRALLLRNNSLTEQIPSSLMNCTKLVMLDMRDNRLEGVIPYWIGSELKELQVLSLHRNHFFGSLPVELCYLRNIQLFDLSLNNLSGQVPKCIKNFTSMTQKASTQDFTDNTFITTSDTSQFIREYQLNALLTWKGVEQLFINNRFVLLKSIDLSSNHFSEEIPPEIANLIQLVSLNLSRNNLTGKIPSNIGRLTSLEFLDLSQNKLFGSIPSSLSQIDRLGGLDVSHNQLSGEIPKSTQLQGFNASFYEDNLDLCGPPLVKLCVKVKPLHDPKVEVQDDDERLLNRGFYISLTFGFIIGFWGVFGSILIKRSWRHAYFKFLNNLVDDVYVKCRWWLKY >RHN62299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42458331:42462550:-1 gene:gene24858 transcript:rna24858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MEFKKRKTEENGDANSPPSSIDAAVIPPALTSDDIRKILQPFTQEQLLDLLQSASLQHPDILDAVRSVADRDTTRRKLFVRGLSGETTTETLRTVFSSYGDLDEAIVIFDKATGRSKGYGFVVYRHVDGAVLALKEPSKKIDGRMTVTQLAAAGSTGGDVSSRKVFVGNVPFEVSAESLLSEFSKYGEIEEGPLGFDKASGKTRGFAFFVYKTEEGAKNSVAESMKTVDGHQVICKFAVDNKKPKPVGQNPSGFPVDNSNVNYHPPNPTMMPPQYGAPPNSYVQQPYGNQVPAAGGYGPGPVPHYGGPVAGPGPVPGPRGPQTAVGGYPDGSQYGYPQQPMPVQRPPPGGMYQPYY >RHN57339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38218402:38219549:-1 gene:gene32866 transcript:rna32866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MSYHITKLFLSSFILCSFLLEHTDALRKTYIVYLGGHSHGPNPSLDDLDSATNSHYDLLASILGSHEKAKETVMYSYNKHINGFAALLEDEEASKIANNSNVVSVFLSKEYKLHTTRSWDFLGLEKDGGISLDSGWWKARFGEDTIMANLDSGVWPEHESFSGIGYGPVPSKWHGNGVCEIDHLITPSNTTFCNRSFY >RHN62538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44214587:44216764:1 gene:gene25128 transcript:rna25128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain-containing protein MASSLPHLDDDSVSPSPRSNHFNDAPPRVRFMCSFGGKILPRPSDNQLRYVGGDTRIVAVNRSISFSALVHKLSKLSGMSNITAKYQLPNEDLDALITVTTDEDVDNMIDEYDRVTQSENPRAARLRLFLFPEGEDSRTNSISSLLNGSSKRENWFMDALNGGVSGLERGRSEASSMVSEVPDYLFGLDNNSELPESRPKEQQRHLLQQQDNVSNSDPGSPAPVVSSSPFCSTSSVLSVPSIPNLPPVKTKLDNQVSEPVFKGNQIETETVLQPQLKMDNYQINPAIHYQQPQPRPQPQEGAYSGHHAQPVPVYYIQGSVQPGNVPVHMQGHGHYPYVQQPYHQVMQPQVPIGYHQMIPGTGQVYGAGMRHVAPVQPYSPTTVVHDGLKQQVYQGVQNNSGPVPVYQAMVGTGGDELQRGGTGRGTNSPHN >RHN80026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34471728:34472093:-1 gene:gene3901 transcript:rna3901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S24/S26A/S26B/S26C, peptidase S24/S26, beta-ribbon domain-containing protein MIFRRILVMFLDSLISSNIQCSQFKWQEAIDSRTFGPIHMNKIVGRVLYCMRSAVDHNRVLNSKESMFFDSPVLEMEHDVDEMAKSHKASEIQF >RHN66498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13049514:13050694:-1 gene:gene14500 transcript:rna14500 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFPFNLESQRYLLWQCLQAVVGSRSLGNGLKSSQTEEVLLLPLALGLLHLVVGKGGSSAAAC >RHN41086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26872313:26872789:1 gene:gene47371 transcript:rna47371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSSTRKITLKSSDGETFEIDEVVAMESQTIKHVIEAGCVDDAGIPLLQVTRNILAKVIEYCKKHVEATSSEEKPSEDALNAWDTEFLKVDPYTLMKLTLAANYLNIKNLYDLTCKTIADMMKNKPPEEIRETFNIVNDYTPEEEAEVRQEHYQAFQWL >RHN43238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44220903:44224361:-1 gene:gene49782 transcript:rna49782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transaldolase MVSICQTNITSSVTPIPINKAPILLQYYYNRSWSFKCLCAKMNNSNVTFTRTILHDLYEKQRQSPYYDNLCRPVSDLVPFIASGIRGVTTNPAIFEKAISSSNAYNHQLRELVEAGKDTESAYWELVVKDIHDTCKLLEPIYNESDGIDGYVSIAVSPELANDTKGTIEAAKCLHKMVGCPNVYIKIPATHESIPSIKEVISLGISVNVTLIFSLPIYEAVINAYLDGLEASSNTDLSKVSSAAAFYISRVDVTIEKKLEQIGTAEALDLKGKAAVAQAVLAYQLYQKMFSGPRWERLEKRGAKKQRLMWASTNVKNSAYSDTFYVNSLIGPDTISTMPPEPALKAFMDHGILSRTLDAKVSEAQSIYNEIEKLGIDWSGVGSQLEHEILDSFTRSFDNVIECLRKKARLQVQDE >RHN79701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31357013:31361018:1 gene:gene3526 transcript:rna3526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamine synthetase MDLSELRKAVEEVELVDGHAHNIVSLQSNLPFIHSFSEAHGDALASSQHSLSFKRNLRDLAELYGCELSLQGVEEHRKVSGLELSCSTCFKAARISAILMDDGLELDKMYDIEWHKSFIPLVGRILRIERVAEKILDQDLPDGSCWTLDSLTEAFLSKFLSDTLTAAAEIYGLKSIAAYRSGLEINTNVTEKDAEEGLRQVLLSGKPIRIANKNLIDYIFLQSLEVAQSYDLPMQIHTGFRDKDLDMRLANPLHLRSIFEDKKYSKSRIVLLHASYPFSKEASYLASVYPQVYLDFGLAIPKLSVHGMISSLKDILELAPINKVMFSTDGYAFPESFYLGAKKSREVVFSVLRDSCLDGDLTVTEAVEASKDILARNSIHFYKINLANSNINSDNNLQLNVIDDDLETDVSFVRIIWVDNSGQHRCRAVPRKRFNDVVSKNGVGLAFAPMGMSSLIDGPAAGSGLGAVGETRLTPDLSTKRRIPWSKEDEMVLGDLNVKPCQAWEYCPREALRRVSKILKDEFDLVVNAGFENEFFLLKSMTREGKEEWIPFDSSPYCSASAFDAASPILREVASALHSIGIPVEQLHAESGKGQFELVLGHTIYTKAADNLVYTRETVRAIARKHGLLATFVPK >RHN49464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54981940:54984870:1 gene:gene44299 transcript:rna44299 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYKEEKEAKKEAFRKYLESSGAVDALTKGVLVSLYEQNDKPSSALEFIQQKLSCPSISEYEKLQAQFSDLQIRYNDLLAAHHSTCKELEEMKSSHALAMVSTKETTDHESPNKDDS >RHN75437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42333310:42333861:1 gene:gene11648 transcript:rna11648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator A20-like family MKEKMAEEHRLLPPQLCVNNCGFFGSNTTENLCSRCYRDLQLKEQQASSTKFVLNQSIAASSPAVVVFEPSSSLPDPVGPANVVVVEKPLQHNRCMTCKKRVGLTGFKCKCGRMLCGIHRYPEQHACEFDFKGLGKEQIEKANPVVKGEKLEKI >RHN78689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17533534:17539781:-1 gene:gene2327 transcript:rna2327 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQQWWKLRFSFKNATILVCFLNIITAIFLLHGFFFSSPYTRTKLSTQNTNSAELNYIKESEEIRLAMLPLELIKRVREIEQEGYTEPETAQKKDTKQTAAVDLSKRLKDFRSLNDAASLKALEEWRKRKMERARQRQLEKNGTTSSQP >RHN79806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32359933:32363272:-1 gene:gene3647 transcript:rna3647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MKFSLFACFSSWDTENNNINNDYAEGDINDGSFRVFTYNQLKSATNNFDHKIGQGGFGSVYKGRLMDGSFVAVKVLSVETESMRGEREFVAELAALSNIKHQNLVGFKGCCVEGAKRYLVYDYMENNSLHHTFLGSEERRMRFSWESRRNISIGVARAISYLHEELKPHIVHRDIKAKNILIDRNFTPKVADFGLAKLMRDETSYISTKVAGTLGYLAPEYASSGQLRRKSDVYSFGVLLLQIITGLAVVDAYTDIERFIVEKAWAAYEANDLLRIVDPVLNKNYSVEEAIKFLKVGLLCVQETARLRPKMSEVVEMLTNNNVDHMKEVKISKPGFVADLRNIRIKQHKITDSPQSGSSPGASFASSIWSMGNLAR >RHN68196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33590078:33592776:-1 gene:gene16511 transcript:rna16511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MKKIEGTGCGMPCNEVHDVCDSEDCFCYSEASFSGDGNCVTFTPFIKKVEEHPNLCQTHTECTKKGSGNFCARFPNSNRKYGFCVAANSEAKEAFKMASSSKLKNYFLKMYVPA >RHN58409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1525479:1527939:-1 gene:gene20318 transcript:rna20318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MVDQDPIDPSKMIGGRRAMTQSHRRERQSGHIPKRGRSRRGDGSGSSQATQPEESQVVDPSQPVHQTGVEYLNYQDQVQHDVTDGGYDQDHIPHKQDAGDEDDIAAAAAPEVLPTDPPFPSGPADLSLLHSYAGHVALPLWYNSNNVRKTRVLKPINHGAKILTLGRPNGNENWFWDALQQSGLHDLVYLGYSTVPHALLLTLCESWHPETSTFHMPMGEMTVTLDDVACLTHLPIEGRMLDHGKKMPKHEGAALLMTYLGVAQHEAEKICNQEYGGYISYPRLRDFYTSYLGRANVLADTEDPEEVEELARVRSYCVRCYLLYLVGCLLFGDRSNKRIELIYLTTMADGYAGMRNYSWGAMTLAYLYGELTDACRPGHRALGGSVTLLTAWFLAHFPEFFSVDLNTDYLENYPVAARWKLQKGHGEGITYRSLLDRIQLDDVCWRPYEEHREIQDFEEVFWYSGWIMCGVRRVYRHLPERVLRQYGYVQTIPRHPTDVRDLPPPSIVQMFVDFRTHTLKADARGEQVGEDTWRGWRMAMSCGTLGCLTLRSCHLFQEIFRGLQMKSRSLQSSGSGKRREARLTPMTWLVALLPTLMRS >RHN78804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18597212:18598033:-1 gene:gene2458 transcript:rna2458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVKIIMFVYVNFFLSLFLFGTNINAISECEEDGDCPRTWCFGQFFVKCITNECICVHEDRLLPWIP >RHN58826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5270762:5273647:1 gene:gene20779 transcript:rna20779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MYSFIMGLDEELWDILEDGVDDLDLDEEGAAIDRKIHTPAQKKLYKKHHKIRGIIVASIPRTEYMKMSDKSTAKAMFASLCANFEGSKKVKEAKVLMLVHQYELFRMKDDESIEEMYSRFQTLVSGLQILKKSYVASDHVSKILRSLPSRWRPKVTAIGEAKDLNTLSVEDLVSSLKVHEMSLNEHESSKKSKSIALPSKGKTSKSSKAYKASESEEESPDGDSDEDQSVKMAMLSNKLEYLARKQKKFLSKRGGYKNSKKEDQKGCFNCKKPGHFIADCPDLQKEKSKSKSKKSSFSSNKFRKQIKKSLMATWEDLDSESGSDKEEAEDDTKAAMGLVATVSSEAVSEAESDSKDENEVYSKIPRQELVDSLKELLSLFEHRTNELTDLKEKYVDLMKQQKSTLLELKASEEELKWFNLISTTYEDRLKILCQKLQEKCDKGSGNKHEIALDDFIMAGIDRSKVASMIYSTYKNNGKGIGYSEEKSKEYSLKSYCDCIKDGLKSTFVPEGTNAVTAVQSEPEASGSQAKITSKPENLKSKVMTKSDPKSQRIKIMKRSEPVPQSLIKLESKIPKQKDQKNKAVTAYEKTIRKGVKPKVLNDQKPLSIHPKVQGRKSKASRTNPKGPMKIWVPKSELVKNAGVPKGKRETKVMVPRQRMFKAHDWRESFVPYPYNERWRRSEVWWQPDWKNHWYRYYW >RHN82769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56235058:56241186:1 gene:gene6954 transcript:rna6954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CK1-CK1-Pl family MPQLRSGVRRPRPAAPSPPPPPPPKPATRGRPRTRLAAAKNINKSSQEEDPPLLLQPDTFVVEPPKENIVIEEEAVGVMGDERVGLSANKDKAVAAVPEEDANSPPLPERVQVGGSPLYKVERKLGKGGFGQVFVGRRERANAPGAVEVALKFEHRNSKGCNYGPPYEWQVYNTLGGSHGIPKVHYKGRQGEYYVMVMDILGPSLWDVWNTSGQSMSPEMVSCIAVESLSILEKLHAKGYVHGDVKPENFLLGQPNTAQEKKLFLVDLGLATKWKDSSSGKHTEYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGFQGDGKSFLVCKKKMGTSAEMLCCFCPAPFKQFLETVVNMKFDEEPNYSKLISLFDGMLGPNPALRPINTEGAQKVGQKRGRLNVEADDDSQPKKKVRSGIPASQWISVYNARQPMKQRYHYNVADGRLAQHIERGIADDLLISCVSCCSNLWALIMDAGTNYSAQVYKLSPLFLHKEWILEQWDKNFYITSIAGASNGSSVVVMSKGTRYTQQSYKVSESFPYKWINKKWKEGFHVTSMGTAGNRWGIVMSRNAGFSDQVVELDFLYPSEGIHKRWDNGYRITATAATLDQSALILSIPRNRPGDETQETLRTSQFPSAHVKEKWSKNLYLAHLCYGRTVC >RHN46020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27787438:27792196:-1 gene:gene40456 transcript:rna40456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor IIIC, subunit 5 MAVSRMFDEKPIWSKNSLTERLLDKGLSFSHGMFRRLLSRIAYYFSSGPFQRFWIKKGYDPRKDPGSRIYQRIDYRVPVPLRSFCDTYSADKLKHKWGDICAFRAFPYKFQTSLQFVELIDDYIQSEINKPPMQDTCTFESGWFSLNKINCLRQRLMVRYLSIFPKPGAESLLRVAASKFEKLKRECNREAVKLCVEERQQANTGLEESEEPENVEDDDGEAAEANNSDEESEEELDLTGDTEMPLPSPSHPNISMTHLQELFGSFPSDEIDGDKAQENGSEEEYHIYEEDSDNYSEE >RHN47425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39657135:39658857:1 gene:gene42024 transcript:rna42024 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSDRIFNVMEKILEKEDIPVHNQCLIFDYRRLDYNLTCLCSTKLGTR >RHN51690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22890332:22891336:-1 gene:gene36188 transcript:rna36188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MIAMMMKQGVVPDVVTYTSLMDGYCLVNQVNKAKHVFNILGKRKIKPDVHSYTIIINGLCKIKMVDEALNLFKEMHCEPNMVTYSSLIDGLCKSGRVSHAWELLDKMHDDRGQPVNIITYNSLLHALCKNHHVDKAIALVKKIKDQGIEPNMTTYNILIDGLCKEGRLRNAQSIMINGLCLEGLFDEAVTLLSKMEDNGCIPDAVTYETIICALFKDNENDKAEKLLREMIARGLL >RHN74000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23364191:23368271:1 gene:gene9924 transcript:rna9924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CALMODULIN-BINDING PROTEIN60 MESSMNNKVEKRSYDDEDAQNHLTQSKKPKLPGLASVIVEALKVDSLQRLCSSLEPLLRKIVSEEVERALAKLDHAKLGDRSSPARIEAPGEKNLQLHFRTRMPPHLFTGGKVEGEQGAVIHVVLLDPNTGNVVQVGPESVAKLNVVVLEGDFNEEIDDDWTKEHFESHEVKEREGKRPLLTGDLQVSLKEGVGTLGDLSFTDNSSWIRSRKFRLGVKVAPGYCDGIRVREGKTEAFAVKDHRGELYKKHYPPALHDEVWRLDRIAKDGALHKKLIQSRIVTVEDFLRLLVREPQKLRSVLGSGMSNRMWENTVEHAKTCVLGTKLFVYYTDKTHSTGIMFNNIYELRGLIADGQFFSLESLTSNQKMSVDSLVKKAYDNWDQVIEYDGEVLNSLTNSKRGSKSVAAHTMHQNNFQEQQYASAKGRASYVSSDQNQHLQITNNYSSSSDYQMVGTSQIALPGTMNYNMSGDNNPEIGGNYYHGDWSRQRNGQGLEDIVAEELRLRSSQMLEGDDMQRLLKTINEGANFGHSNENCYTYRLQYEPQMYHSFGEDNVKCSGKAVVGWLKLKAALRWGIFIRKKAAERRAQLTELN >RHN49806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1231828:1241270:-1 gene:gene34027 transcript:rna34027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase STE-STE20-Fray family MERISEKRYPLSAKDYKLYEEVGEGVSASVYRALCIPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNLLRAHCSFTAGHSLWVVMPFMSGGSCLHIMKSSFPEGFDEPVIATVLREVLKALVYLHAHGHIHRDVKAGNILLDANGSVKMADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKELVATCLVKDPKKRPSSEKLLKHHFFKHARATEYLSRTILDGLAPLGDRFRQLKAKEADLLMQNKALYEDMEQLSQKEYIRGISAWNFNLEDLKSQAALIQDEDMSNAEEPDMAKKNEDTSNDLKVPVENLSASNHSDGTSTLDKEDGFNNLQDLESSLASFPMKPLQALKGCFDVGDDDGNNTSPRDLDHSYGRNDNESAGPSNLSPQNAQPNKFLSGSLQSDNFAKKVTDGDRDYLQTKYPSERNHSGPLYRQRREINNFPSVDDTSEGAIVQRRGRFKVTSADPSSMGLSNNTSGPVAVSPTPTSPPNQNSMAASILPSLQCILQQNGLQREEIVKLIKYAEQSYGKNTESIEAGASDALQASPVSTREKELHLQVIQLQQSIGSLVEELQRQKLKNVQLERQLSSMVNKVEK >RHN54946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13828929:13830885:1 gene:gene30053 transcript:rna30053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MGVKGFVEGGIASIIAGCSTHPLDLIKVRMQLQGENAPKPNPVQILRPALAFGQTGTTTIHVGSTPVPQPRVGLVSVGVRLVQQEGVTALFSGISATVLRQTLYSTTRMGLYEVLKNKWTDREAGGTMPLVRKIEAGLIAGGVGAAIGNPADVAMVRMQADGRLPPAQQRNYKSVVDAITRMAKQEGVTSLWRGSSLTVNRAMLVTASQLASYDQFKEMILEKGVMRDGLGTHVTASFAAGFVAAVASNPVDVIKTRVMNMKVEAGKEPPYAGALDCALKTVRAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDF >RHN70415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51290662:51291413:1 gene:gene18994 transcript:rna18994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-dependent channel, 7TM region phosphate MVQLVSGYLPSAILVLFLIGVGPVMMLLSAVEGPISRSGKKKSACCKVVYFTIWNVFFVNVFTGSIISQLSVFTSITELPAQLAKAVPAQVTFFTTYVLSSGWASLAFEIIQPFPLFCNMFQRLVLCSGKDSYNGTLTFPYHTEVPRILLFGFLGFTCSILAPLILPFLLFYFFLAYLVYRNQVRLSPCYCVLLFTKLSFQS >RHN81144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43620434:43622497:-1 gene:gene5145 transcript:rna5145 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YB6 MGDNNKGKQVSDREVFKYNTNFTSHEQEQDHEVIKEQDRLLPIANVGRIMKQILPPNAKISKDAKETMQECVSEFVSFVTGEASDKCHKEKRKTVNGDDVCWALGTLGFDDYAEPLKRYLYKYRELDAERGNQNNKGNNNINININNNNSDEIENNINETYYYDDGKSQTPRKVNVASSSMFNNRNSGPQSRGY >RHN56583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32032278:32032810:1 gene:gene32009 transcript:rna32009 gene_biotype:protein_coding transcript_biotype:protein_coding MSYASVKNIFPLFSIFVNKNWLGGGGEAWRWRRRLWAWKKELVAECVTLLHNVTLQSNVSDQWQCTPTLRGAIQCVQVWSWIGVSRVDHQSLRAHFPHFTHYLGGLRARRSFLQLL >RHN69862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46896444:46900988:-1 gene:gene18389 transcript:rna18389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-Xb-1 family MKPLYSTNTLLLLLSLFLLHLAPYLSASSSQRDPTSQLLNFKQSLPNPSLLHNWLPNNNPCSFTGITCNQTTITSIDLTSIPLNTNLTTITTYLLTLPHLQILTLKSTNITSSPPIPLTHTKCTTTLTTLDLSLNTLSSSFSDLSFLSTCLSLKSLNLSNNDLQFDSPKWGLASSLKSLDLSENKINGPNFFHWILNHDLELLSLRGNKITGEIDFSGYNNLRHLDISSNNFSVSIPSFGECSSLQYLDISANKYFGDISRTLSPCKNLLHLNVSGNQFTGPVPELPSGSLKFLYLAANHFFGKIPARLAELCSTLVELDLSSNNLTGDIPREFGACTSLTSFDISSNTFAGELQVEVLSEMSSLKELSVAFNDFVGPVPVSLSKITGLELLDLSSNNFTGTIPKWLCEEEFGNNLKELYLQNNGFTGFIPPTLSNCSNLVALDLSFNYLTGTIPPSLGSLSKLRDLIMWLNQLHGEIPQELGNMESLENLILDFNELSGGIPSGLVNCSKLNWISLSNNRLGGEIPAWIGKLSNLAILKLSNNSFSGRVPPELGDCPSLLWLDLNTNLLTGTIPPELFKQSGKVTVNFINGKTYVYIKNDGSRECHGAGNLLEFAGISQKKLNRISTKNPCNFTRVYGGKLQPTFTTNGSMIFLDISHNMLSGTIPKEIGEMHYLYILHLSYNNLSGSIPQELGTMKNLNILDLSYNMLQGQIPQALAGLSLLTEIDLSNNFLYGLIPESGQFDTFPPVKFLNNSGLCGVPLPPCGKDTGANAAQHQKSHRRQASLVGSVAMGLLFSLFCVFGLIIIAIETRKRRKKKEAAIDGYIDNSHSGNANNSGWKLTSAREALSINLATFEKPLRKLTFADLLEATNGFHNDSLIGSGGFGDVYKAQLKDGSVVAIKKLIHVSGQGDREFTAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMKYGSLEDVLHDPKKAGLKMNWSVRRKIAIGAARGLAFLHHSCIPHIIHRDMKSSNVLLDENLEARVSDFGMARMMSAMDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGRRPTDSADFGDNNLVGWVKQHAKLKISDVFDPELMKEDPNMEIELLQHLKVACACLDDRPWRRPTMIQVMAMFKEIQAGSGMDSQSTIATEDEGFNAVEMVEMSIKEVPELTKH >RHN68406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35219716:35220920:1 gene:gene16753 transcript:rna16753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MFSEQNILRVYCKFTGITSSSYNPLVGWMQGALILATFFSIFSESFKLIIRMLYFIYTFFQDIVNTYIQLPLFVPKLALCRKEVLEFDIYHNSKHTLGNIIPLNNNNHLNSSSPLRNHYFSRRKKIYISPSPSIMISTIWNWVVIIIMLKIIKCTSLKERSDGDGITIYGAAHIWVDHCSLSNCFDGFIDVVHGSTAVTISNNYMTRHNKVMLFGHSDSYEEDKNMQATIAFNHFGEGLGGRMPRCRFGYFHVVNNDYTHWQQYAIGGSSSRTIFSQGNRFLAPDDDDHRGKRYIEQNKKLKTI >RHN48579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48564561:48565144:-1 gene:gene43310 transcript:rna43310 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFDFFLVFSNYTVVVMVLYSKSCLAIIQFSFSYSNYTVVVIVLYSRIMPMK >RHN60731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29993657:30000924:-1 gene:gene23087 transcript:rna23087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar fusion protein Mon1 MSSSSQSTEPVTEERDIDIRTSTEPGSNTVWVRTGSEQEMDLPSSPSSSGYAGEGGGSTSATTGSAIEIEHDDEIEEEEEQSRIRNQIDEISITDSNTDWIPGKRHQDEDDGSISWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIVSFVENGGDSIKLVRAGKHQVVFLVKGPIYLVCISSTEEPYESLRGQLELIYGQILVILTKSINKCFEKNSKFDMTPLLGGTDTVFSSLIHSFSWNPATFLHAYTCLPLSYATRQAAGAVLQDIADSGVLFATLMCRHKVISLVGAQKASLHPDDMLLLANFVMSSESFRTSESFSPVCLPRYNPSAFLYAYIHYFDADTYLMLLTTNSDAFYHLKDCRICIETVLLKSNVLSEVQRSLLDGGMHVEDLPPIPQSGAAHLGQHMHPSDSPERFKDPHHGIGGPAGLWHFIYRSIYLDQYVSSEFSSSISTRQQQKRLYRAYQKLFASMHDKGIGPHRTQFRRDENYVLLCWVTQDFELYAAFDPLADKALAIKTCNRVCQWIKDVENEIFLLGASPFSW >RHN52961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40707655:40710426:-1 gene:gene37703 transcript:rna37703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MASSSNSSSALVTSSRRNYYDVFVTFRGEDTRNNFTDFLFDALQTKGIIVFSDDTNLPKGESIGPELLRAIEGSQVFVAVFSINYASSTWCLQELEKICECVKGSGKHVLPVFYDVDPSEVRKQSGIYGEAFMKHEQRFQQEHQKVSKWRDALKQVGSISGWDLRDKPQAGEIKKIVQKIMSTLECKSSCVSKDLVAIDSRLEALQNHFLLDMVDGVRAIGIWGMGGIGKTTLAMNLYGQICHRFDASCFIDDVSKIFRLHDGPIDAQKQILHQTLGIEHHQICNHYSATDLIRNRLSREKTLLILDNVDQVEQLERIGVHREWLGAGSRIVIISRDEHILKEYGVDVVYKVPLLNWAEAHKLFCRKAFKAEKIIMSNYKNLANEILRYANGLPLAIKVLGSYLFGRNVTEWKSTLASLRESPDNDVMDVLQLSFDGLKEMEKEIFLDIACFSTFRNEKYVKNILNCCGFHADIGLSVLIAKSLISISNSRIIMHSLLQELGRKIVQNSSCKEPRKWSRLWSAKQFYNVKMENMEKQVKAIVLDDEEVDVEQLSKMSNLRLLIIRYGMYISGSPSCLSNKLRYVEWDEYPSKYLPSSFHPNELVELILVKSNITQLWKNKKYLPNLRTLDLSHSIELEKIIDFGEFPNLEWLNLEGCTNLVELDPSIGLLRNLVYLNLENCYNLVSIPNTIFGLALLNI >RHN80518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38760881:38761680:1 gene:gene4455 transcript:rna4455 gene_biotype:protein_coding transcript_biotype:protein_coding MQHENLHFNDLHIQCPYFHLKSCIIIFKQIEKRIKPFLLNHGCQFDTK >RHN38695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1352294:1354052:1 gene:gene44656 transcript:rna44656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MAELESPMMPKLINFLSSLLERVAESNDETQNHHKISIFHGLSRPNISIQSYLERIFKYANCSSSCFIVAYVYLDRFTQTQPSLPINSFNVHRLLITSVMVAAKFMDDVCYNNAYYAKVGGITKTEMNFLELDFLFGLGFNLNVTPVTFQAYCVHLQREMLQMQPLVFEDSTLSLAKSLKAHLCLNEDESSHQNQQQLAV >RHN60907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31533218:31537922:-1 gene:gene23302 transcript:rna23302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small monomeric GTPase MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDEASFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDNKAEPTTIKINQDSATGSGQAAQKSACCG >RHN43920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49471302:49472739:-1 gene:gene50557 transcript:rna50557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TIFY family MRRNCSNLELCLFPLYDSGNHNNSNHHIGVEEESPMQNQQQPLTIFYDGKMCLTDVTEFQAKSILMLANKIKLQEKVKTPRGSEPTTPVQSGLSMKRSLQRFLQKRKNRVQEASPYLH >RHN51895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27917184:27919243:-1 gene:gene36457 transcript:rna36457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gag-polypeptide of LTR copia-type MVRNNNNQNQDQGLDPYFVHPSENSSTVSVTPQLNGDNYHAWSMKMRRALAMKNKFKFVDGTIPIPDDDELNRSAWERCNNLVHTWIINSITPSIAQSVVFIENAIDMWNDLKDRFMRGDRIRVAQLHQEIANLKQGNHKITDYFTELRGLWEELEQYRPMPQCNCPITCTCAAMRYAKEFRLEDRTIQFLIGLNEEYQGVASQVLLMDPMPPINRVFSMVMQQERKMQYGVINVQNTPLEDTTSIVNAVNGQKQFGRGRGNGSSQGRGRGNGRFCTFCERTNHTVETCYKKHGYPPNWGRGGGNSYANMIDGDDVESKMQTASTSRNEESAGVTLTKDQYQNLMSLLEKSNLETKCSANVVKGKEQFEEDWFS >RHN74060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:25661334:25667524:1 gene:gene10008 transcript:rna10008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoid-associated protein YbaB/EbfC family MASMVSLSNAIGLCHTKNTLSLRKLNSNSNIVDTKIFSGRRRGCKKVGDERRCFRLYGLFGGKKDEKSDDAPSKAGVLGNMQNLFETVKKAQMVVQVEAVRVQKELAAAEFDGYCEGELVKVTLSGNQQPLRTEITEAAMELGSEKLSLLITEAYKEAHQRSVQAMKERMNDLAQSLGMPPGLGEGLK >RHN73536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17469249:17470050:-1 gene:gene9359 transcript:rna9359 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSSDGPEGPFVQNVSVGTDICRRSLEVDFIKMNNQLKELKAKLRRLKFLNLLLMIGILLSLIPLLFYKFK >RHN55024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14492219:14493743:1 gene:gene30143 transcript:rna30143 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKEKILLKIVSEISYQKLIINKVDKCSHVTLFSCKRFEDK >RHN63060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48015842:48016822:-1 gene:gene25715 transcript:rna25715 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKDKSKGSKLTRYMKAPLRFLVKLKDMYVNGMIQCSRDFAYVDHATMGCPTQLYSFPRSFSVNSTTSTISDDDFKELVRAASLRIRDENCVKLGSKAMKVPRSRSVGIGRIEEDEVCEFGVDDNIKVDKPLLYSRSRSCAIRSRSNMF >RHN44834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8994331:8996617:-1 gene:gene39000 transcript:rna39000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MEEKYEGVAIGIDLGTTYSCVGVWHEQNDRVEIIHNDQGNRTTPSCVAFTNSQRLIGDAAKNQAATNPSNTIFDTKRLIGRKYSDSIVQKDCLLWPFKVIAGTNDKPTIVVNYKGEEKRFVAEEISSVILSKMREIAEAFLQTPVKNAVISVPAYFNDSQRRATKDAGAIAGLNVMQIINEPTAAALAYGLQKRANCVDKRNIFIFDLGGGTFDVSILTVKNNVFEVKATAGDTHLGGQDFDNIMVDHFVKEFRNKYKEDISENSKALRRLRTACEKAKRTLSYDTDATIELDAIYKGIDFCSSITRAKFEEMNMNLFEKCMNTVNICLADAKMDKNSVDDVVLVGGSSRIPKVRQLLQEVFKGKELCKSINPDEAVAYGAVVQAALLSEGSKNVPNLVLRDVTPLSFGVSELGNLMSVMIPRNTSIPVTVEKTKTYYECYDYYNKYSVTVKVYQGERITAYDNKLLGLFNFSVPPIKGQLTPRGHLIHLSFSIDVDGILNVSAKEETSGYKKGIAMTNQYCRLSTQEIARMIQEAEIFKAQDMEFKKKVTAINALDDYLHNARKLMKDDGVGSKLTPVDKEKINSAMIKGESLIDGNQQEDMSVFVDLLKELESIFESSKNKINKGYSDEESDWVLVDDFLVISFD >RHN81240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44282560:44290389:-1 gene:gene5253 transcript:rna5253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-phosphofructokinase MDLSSSSSVYTSFSSLSKTPPSFSLSSSSSSSRQNSLQLLLLRSHLPQRALFLRNPILPPPFSRQNRLPLAPVRVSNQSENDDGFLLEDVPHLTNFLPNLPSYPNPLQTSQAYSIVKNTFVSPEDVVAQTIVVQKNSPRGVHFRRAGPREKVYFKAEEVRACIVTCGGLCPGINTVIREIVFGLKNMYGVEDILGIEEGYKGFYSKNTMRLTPKVVSDIHKLGGTFLRTSRGGHDTHKIVDNIEDRGINQVYIIGGDGTQKGAARIYEEVEKRRLQVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEVNSVENGIGIVKLMGRYSGFIAMNATLASRDVDCCLIPESPFYLEGRGGIFEFIEQRLKENGHLVIVVAEGAGQECVASEVNTVDEKDASGNKLLLDVGPWLSNKIKDHFTKLPKMVVNMKYIDPTYMIRAIPSNASDNIYCTLLAHSAVHGAMAGYSGFTVGPVNSKHAYLPIACVTERPNTVQLTGRMWARLLESTNQPSFVSSDQQRVEKEMVEDTNKMNITSI >RHN58665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3775286:3779085:1 gene:gene20597 transcript:rna20597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyanobacterial aminoacyl-tRNA synthetase, CAAD domain, protein CURVATURE THYLAKOID 1 MASIIASLPPPLLLHGRNSFPGNFQNFSVSSLPPGRRSNVPFVVKASGESSESSTSLTVFKSVQNVWDKPEDRLGLFGLGFAAVVALWASTNLIAAIDKLPVIPISLELIGILFSVWFTYRYLLFKPDREELFQILNKSTSDILGQ >RHN72189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5624404:5626336:-1 gene:gene7873 transcript:rna7873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MLVLTKYFGFLVSNAPCCPPRLIGRCYANERPCYNRSDYFFWDEVHPTEAYNQLTATRSYYDSYNSGFTYPMDIKNLVEQKTKMELESINESTSKLSASS >RHN56317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29806018:29806633:-1 gene:gene31700 transcript:rna31700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transaminase MNRNNENHRTPAITALTKTLLDDVKKIFKTTSRIPFLIPTTGIGAWESALTNTLSLGDRSVFFNWPIQFALD >RHN52367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34350522:34350920:-1 gene:gene37032 transcript:rna37032 gene_biotype:protein_coding transcript_biotype:protein_coding MENNDNDFTDFCSTYYGSTDVNLNDDKTYPNFVGSQGKGNENVEHNVDDDSPKDECADDESDDDDDDSAGDYIAGDEDEDDEIAGDDSAGDESADDVNEGDHHQHYNHQHHHHHQFGSTVSVKVNDFYFWYG >RHN47648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41242487:41255566:1 gene:gene42270 transcript:rna42270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-reducing end alpha-L-arabinofuranosidase MPNTLFGIFYEEINHAGSGGIWAQLVNNSGFEAAGTRTPSNIFPWTIIGTESSVKLQTELSSCFERNKVALRMDVLCDKCPPDGVGVSNPGFWGMNIVQGKKYKVVFFYRSLGSLDMRVAFRDAISGRILASSHIIRHKASKKKGSKWQRVQTILEARASSSNSNLTLTTTKEGTVWLDQVSAMPTDTFKGHGFRKDLVEMLIQLKPAFLRFPGGCFVEGVQLRNAFRWKDSVGPWEQRPGHLNDIWNYWTDDGLGFFEGLQLAEDIGALPIWVFNNGISHSDEVDTSVISPFVKEALEGIEFARGSSTSKWGSVRASMGHPKPFNLKYVAIGNEDCYKKNYYGNYMAFYKAIKKFYPDIQIISNCPAFKTPLNHPADLYDYHTYPIDARAMFNAYHDFDKSPRNGPKAFVSEYALIGALQAKYGTLLGAVSEAGFLIGLERNSDHVAMASYAPLLVNANDRNWNPDAIVFNSYQAYGTPSYWVTYMFKESNGATFLNSQLQTPDPGSLIASAILCQSPQNNSTYLKIKIANIGSIPVNLKISLQGYVSKNLAGSTKTVLTSGNILDENTFAAPKKIAPQTSPLQNPGNEMNVMIPPVSLTVLDMFR >RHN45395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19408824:19410520:-1 gene:gene39708 transcript:rna39708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription repressor PLATZ family MCSSPNVVPRWLQVMLTEKFYNACLIHQEEKKNEKNIYCLDCSISLCPHCVSPHASHRLLQIRRYVYHDIIRMDDAAKLIDCSSIQFYRTNGAKVIFINQRPIPKKCSSSNICRTCTRSLQDPYKFCSLSCKVSHLVKTFGSLSGYLVECNTMPPLSDSGLDDSLMTPDSVLEPSGSNRTSSGSGGYIGIDCKTALACTATTEVVRKKRTGVITFRPSCRPACSPVSEISGSLMNRRKGTPHRAPLH >RHN71103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56503024:56507469:-1 gene:gene19746 transcript:rna19746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor E2F-DP family MSTPSEDQIPHFSQFNFTPFTSSSSSMFPLSQRNEINNVNLHTSSNVEAFPSQSNTVNLPPLLQTQPNPRGKHNGKSKVSKNSKSANQISNTDSLNSATVNRYDSSLGLLTKKFISLINEAKDGTLDLNKTAEILKVQKRRIYDITNVLEGIGLIEKTSKNHIRWKGCDGLEPRELEHQVNTLKDEVDSLYAEEFKLDQCISERKELIRNLEEGENTGKYLFFTKEDILTLPCFQNKQLITIKAPKASFIEVPDPDEELGFHQRQYRMIVRSATGPINLYLLNKHDHKFEGVSAKQAKLEDPSRNSNGCRMDGVGLLENQGFQKNPSGSLNSLDSEAFGIQQITPTDLDVDGDYWFQSDPQVGLTELWRTSAVGSDR >RHN57127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36695403:36700940:-1 gene:gene32627 transcript:rna32627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation HMG family MTDGHLFNNITLGGRGGTNPGQIKIYSGGILWKRQGGGKSIEVDKSDIVSVTWMKVPKSNQLGVQIKDGLFYKFTGFRDQDVVSLTSFFQNTFGITVKEKQLSVSGRNWGEVDLNGNMLAFMVGSKQAFEVPLADVSQTNLQGKNDVILEFHVDDTTGANEKDSLMEISFHIPNSNTQFVGDENCPPAQVFRDKIISVADVGAGGEDAVVTFDGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVVISLDPPIRKGQTLYPHIVMQFETDYVVESELALSEDLYNSKFKDRLELSYKGLIHEVFTTVLRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGILYPLEKSFFFLPKPPTLITHEEIDYVEFERHAAGGSNMHYFDLLIRLKSDQEHLFRNIQRNEYHNLYGFISSKGLKIMNLGDAQPTTGVAKVLEGDDDDAVDPHLERIRNEAGEDESDEEDEDFVAEKDDEGSPTDDSGADDSDASQSGDEKEIPAKKEPKKDLSSKASASTSTSTSKKKSKDADEDGKKKKQKKKKDPNAPKRGMSGFMFFSQMERENIKKANPGISFTDVAKLLGENWKKMSAEEKEPYEAKARVDKKRYEDEKIVYNAQPQPMNIDSGNESDSA >RHN44085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1500160:1505564:1 gene:gene38143 transcript:rna38143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein phosphatase methylesterase-1 MAMTMGLSVCSIFKVSYNHNYNNKTVTVALQKKEKMSVPYNLKEGQSRIFHELPSGLNMEVIVQKKKKKNESESQCSPPLVFVHGSYHAAWCWAEHWFPFFSQSGYDCYALSLLAQGESDEPEDSVAGTLQTHARDVADFIHRNIQSPPILLGHSFGGLIIQYYISNLGNDKLKENLYPELRGAVLVCSVPPSGNSGLVWRYLISKPIAAFKVTYSLAAKGFQSSLSLCKETFFSATMEDHVVKRYQELMKESSRMPLFDLRKLNASLPVPLVPNLPVEVLVLGSNNDFIVDAEGLRETAQFYGVSPVSVEGLAHDMMLDTSWEKGAEVILSWLKGLHK >RHN66665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15987845:15989212:1 gene:gene14705 transcript:rna14705 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEYLNSDQWLMIPSGLGINPVTPAIVRVNPRPELITRYWQITRMPYDRMKELMQQNTSAATVCAEIARFDSTPGTTLFADWGIGPAVGEADPKSVVDNVYMFVSKNAEMNFDVRDMGILNADNMSVTDVAWRNLNDVDMDRVSLKINEYSMQQLAALNLVPCAGSKLAAWTRAYGVGCGIMPHPTSRMMKEYCWTDSFAKQENVRACLAWEKEMCSGKPTNMICLNIIGLFGLFHLNKDHTFCNNDPIMNRICTSWLNTLDSITTKTMQNEILKQKEALCRIAAHPFGLAQTYWLAQVLGRTNMLASKLALTIDASPPPVQRFLVFEATFSDWGKLATGKEVNYFYKERIELILEGVDLVRKCPPAYSELYKLYGLDEKNDLRKEITEALWTMMPLVFGYARSKHGDKGIIHGLKMEYGEVIINWWTNKWDMDNVEKAYPTSTSSSADGYLG >RHN42465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38571274:38574324:1 gene:gene48909 transcript:rna48909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MHSIEELLNKETKPEKNYGSTVKLLSDLEILNEMHFDDVHGAYFDFGNHTEKVQLKWKELKARRQLVREVLERPVLKLVPQIGYVNFFPFMGRIIPSGSWILEKQLELISNRSLLWTDYGLRSLAKTSSLYMKYNTEHEAPYWRGTIWINMNYRVLSALHHYSEESGPYQEKAKTMYKELRSNLIRNMVHNYQQTGFLWEQYDQVNGKGKGAHPFTGWTSTVVLMMAEAYDII >RHN73872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20907949:20908332:1 gene:gene9757 transcript:rna9757 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPNCGCGETVVIRTVTDAFNRNFGKKLWGCRHYRNSSDKGCSYFKIVDEDDVMDERDLLIEKQKLKIEKQKKKKKKNKFKSELSRTRQWLIMALFFGFLCFGIVLILGTILVCKTTSILSGFYLK >RHN72524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8405889:8407462:1 gene:gene8250 transcript:rna8250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative petal formation-expressed MVCLQISAAANLCSLRTVNAAIHLPKLPSLSNLSIPQLSKTRKLIEEFNGSKDQIERNNNVVLTTQSHDELYRQNNKKSNAIIKLYAILEAVSDRVEMHQNIGEQRNNWNTLLLNSINMITLTATTMSGVAATAAATCSDSSLLALKLSSALLFSAATGLLLIMNKIQPSQLAEEQRNATRLFKQLQSQIQTKIAIGNPTEEDVKDAMEKVLALDKAYPLPLLGAMLEKYPSKFEPANWWPMSKKVKTQSKKMGKMNNGWSKELEMEMREVVEVIKRKDAEDYDRLGNIALKVNKSLAIAGPLLTGIAAIGSTFVGSGSLAAFVPLLAGSLASVINTFEHGGQVGMVFEMYRASGGFFNLIETSIDSTLGEKDLEKRENGELFEMKMALQLGRSVSELRELASKSASCRMEGIEIDEFASKLF >RHN81999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50488008:50489470:-1 gene:gene6113 transcript:rna6113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MANSVALCHLAIILVINIVMAGPANAQINTPCNPSTISTLFTPCMGFLTGSSANGTSPTTECCGALKSLTSSGMNCLCLLVTASVPFKIPINRTLAISLPRACNMPGVPVQCKASASPLPAPGPVALGPSHSPASSPSGFIPTPSPQASSDLPASPTSSPLAPQQDTNVPLLTPPSPSVSTTGSGRSSLTPSSAITSYNVSPTVLLIALGFVALKYY >RHN44162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2103842:2104410:-1 gene:gene38249 transcript:rna38249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRVKNMAETLKFVYVLILFISIFLVVIGCDSIYYPISRPCKTDKDCPNRKNYKGKCRKGFCMSSRLR >RHN70806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54166311:54176750:1 gene:gene19425 transcript:rna19425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta2-adaptin/TBP domain, beta-adaptin appendage subdomain, AP complex subunit beta MAPAPPQSNRSPSPSQPSGKSEVSDLKLQLRQLAGSRAPGTDDSKRDLYKKVISNMTIGIDVSSLFGEMVMCSATSDIVLKKMCYLYVGNYAKVNPDLALLTINFLQRDCKDQDPMIRGLALRSLCSLRVANLVEYLVGPLGSGLKDNNSYVRTVAVIGVLKLYHISASTCIDADFPETLKHLMLNDQDTQVVANCLSALQEIWTLESTTSEEAARERETLHSKPIVYYLLNRIKEFSEWAQCLVMELVAKYIPSDNSEIFDIMNLLEDRLQHANGAVVLATTKVFLHLTLSMADVHQQVYERIKAPLLTQVSSGSPEQSYAILSHLHLLVMRAPYIFSLDYKHFYCQYNEPSYVKKLKLEMLTAVANESNTYEIVTELCEYAANVDIPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDYVTSEALVLVKDLLRKYPQWSQDCIAVVGNISSNNVQEPKAKAALIWMLGEYSQDMHDAPYVLESLVENWDEEHSAEVRLHLLTAVMKCFFKRPPETQKALGAALAAGLADFHQDVHDRALFYYRLLQYNVSVAESVVNPPKQAVSVFADTQSSEVKDRIFDEFNSLSVVYQKPSYMFTDKEHRGTFEFADELGNLSISAESGDSVVPVQRVEANDKDLLLSTTEKDDVRDTGSNGSAYNAPSYNGSAPSAASQPLADLAFSSTSMTSQAPASGLAIDDLLGLDFSVGTVTTPSPPPLTLNPKAVLDPGTFQQKWRQLPISLSEEYSLSPLAIASLTAPNALLRHMQGHSIQCIASGGQSPNFKFFFFAQKAEETSIYLVECIINTSSAKSQIKIKADDQSSSRAFSTLFQSALSKFGLP >RHN82331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52861452:52866566:-1 gene:gene6490 transcript:rna6490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MNFLSSTLTMETSILETPLCSDQHELDAQTFDQGCCSREHVVEEAKKQLWLAGPLIAVSLLQYSLQMISIMFVGHLGKLPLSGASLGNSFASVTGYSVLLGMGSALETLCGQAYGAKQYHMLGVHTQRAMLVLLVLSIPLSLIWFNTSNLLIALGQDYEISTEAGTFNRWMIPGLFAYAIIQCLNRFLQTQNNVLPMLISSGITTLVHLVFCWVFVFEYELGIKGAALAISLSYWVNVFMLVIYINSATACASTWTGVSKEALNDILSFLRLAMASTLMICLEYWSFEMVVLLSGLLPNPQLETSVLSISLNTCWMVYMISVGLGGAISTRVSNELGCGNAKGALLALRVMIVIAIVEGTTVVLVTILVKNVWGKLYSNEDEVIKYVAKMMPLLALSDFLDGFQCVLSGAARGCGWQNLCASINLGAYYVVGIPSAILFAFTFHIGGMGLWMGIICGLCVQGIALVTVNACTNWDREAMKAVHANQKTEAMTERYIDRQTSE >RHN76644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:67701:68509:-1 gene:gene3 transcript:rna3 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLNPSHSHIHVLILGGLCTFVLVVQFSGIMHGAKIQNDWLLVKGGKVSRIMHSSTIITHESKLTT >RHN81169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43814339:43815298:1 gene:gene5175 transcript:rna5175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MAGSDNNSSVSGTSSHDEKQNWAKSPSTVFNHFATSGISVAVATSITHPLDVLKVRLQMQLVGQKGPLSGMVVH >RHN76648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:82537:86653:1 gene:gene10 transcript:rna10 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MAKITLGTTQEAAQPNCIQALIVEFIVTFLFVFAGVASKMAADKLSGDGLVGLFFVAMANVLLVAVMISAGHVSGGHLNPAVTFGLLVGGHITVVRSILYWIDQLIASAGACYLLHYLTGGLTTPVHTLKSGVGCTQGVVWEIVLTFSLLFTVYATMVDPKKGGFAGLGPILIGFVVGANILAGGAFAGASMNPARSFGPALVSGNWTDQWIFWVGPLIGGGLAGFIYENFFINRTHVPLLVDEVSY >RHN51811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25677068:25677199:1 gene:gene36345 transcript:rna36345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II PsbN METATLIAISISGLIVSFTGYALYTAFGQPYQQLRDPFEEHGG >RHN50720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9767509:9767946:-1 gene:gene35043 transcript:rna35043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MKFREIDTQEEFEEILHKIKQEPFDCSKKDNCRCDDPADIEYDSSRTWVKYKPNIPKTPKGFKRISVLRDDYSKLDSYYITPTGKQLRSRNEIAAYLKDHPQSNGVSALDFDFSSPKVMPDTIPEFIVKQKDSANKKAKIAKDEV >RHN57935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42634268:42634909:-1 gene:gene33527 transcript:rna33527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MGWLLILCLQFFLLLTHVISSSHFICCLDDSSSLLQFKASFNIDTTDTNCGKLAYAEVSTWQNGTDCCSWLGVTCDTISGHVIGLDLSCNDLQGIIHPNSTLFHLSHLQTLNLAHNRLFPTQLSSQFGAFVNLTHLNLSDTEIQGEVSSCISHLSNLVSLDLSMNDNLKWIQEVTLKRLLQNETSLTESLFLTIQTCLSSRQAHFFHFLIYLL >RHN52336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34058315:34059165:1 gene:gene36999 transcript:rna36999 gene_biotype:protein_coding transcript_biotype:protein_coding MYLCIKNLCVSDIRTRLIRGVYVLHNFGLMCIAHLLCGLYCSASWFSNLFCLASCIIALYTLVCFFKVLLQFVTFSEKGINMQISFQSSELLLMMALLFMSRPLPIPPIFLEVTPLALSSLGNK >RHN47424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39651056:39656144:1 gene:gene42023 transcript:rna42023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MVVGAVKAAVGDLVLTFMWVFCSSMLGIVSSAITKALDLQNVSYNGFPYPSLIVTTTLVFLLVFLFTMIGNAMGGASFNPTGTAAFYSVGLGSDTLFSMALRFPAQALGAAGGAMAIAELIHPKYKHMIRGPSLKVDLHTGAAAELVLTFVITFAVLCIFLKGPRNDLMKIWLLAMSTVTLVMVGSAYTGPSMNPANAFGWAYLNNWHNTWDQFYVYWICPFTGAILAAWLFKAIFPPPEVKQKKA >RHN78354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14337503:14338716:-1 gene:gene1898 transcript:rna1898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase transcription factor C2H2 family MKIPCCSVCQTRYNEEERVPLLLQCGHGFCKECLSRMFSSSSDANLTCPRCRHVSTVGNSVQALRKNYAVLSLILSAADSAAAAGGGGGGDCDFTDDDEDRDDSEVDDGDDQKLDCRKNSRGSQASSSGGCAPVIEVGVHQDLKLVRRIGEGRRAGVEMWSAVIGGGRCKHQVAVKKVVLNEGMDLDWMLGKLEDLRRTSM >RHN61965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39643524:39646205:-1 gene:gene24482 transcript:rna24482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nck-associated protein MLASYSFLCILCIYQPHVDLLAYCCCVLFCSISIYGLSCIYINMAATWVQRMRDGGCPVVGRDDFNNHFKFAASLSCLCSEREYMRECILGNFRRRLLGVLKTDNDLQRPSVLESLTWRHVSIVHLAEQLISMDITQGIREVFLSEAFLGPVSSLHLFEKPADQHTGDATESVCNWYIENIIKDVSGAGILFVAIHKCFRSTRPVGGYFAESVTDISELQAFIHIFGGYGVDRLDRMLKEHTAALLNCIDTSLHAGDRIEREASMKQIVDLETLIDFCIQAGLALAFDRLLSEASGAILEEGAPLIHSLLTGNENSGKYGRCCK >RHN80484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38499278:38502455:1 gene:gene4418 transcript:rna4418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mRNA splicing factor, Cwf18 MGTEDESIEQAVASRRERLLALRAAQQLSNSSEPEPNNQDEEQPQPPPTSSEDDDEQQEEKDGEGEEKLSMKFRNYVPHDKNLQEGKLAPAVLPKFEDPVSVPEPEEKPKEDPFLNIAPKKPNWDLRRDVQKKLDKLEKRTQKALYQLMVEQEKQNQLAEGDDTNGTKD >RHN60395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26164822:26172659:1 gene:gene22703 transcript:rna22703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-YABBY family MSMDMIATERVCYVHCNFCNTILAVNVPYSSLLTIVTVRCGHCANLLSVNMVAPLLQPFPPPQLPQKQHIIDEEASSKEIGSSSSKCNKIASFEAVEHPRIPPIRPIEKRHRVPSAYNRFIKEEIQRIKASNPDISHREAFSSAAKNWAHFPHIHFGKQQAKLDHGEGTREKTNGFY >RHN75614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43759116:43761971:1 gene:gene11846 transcript:rna11846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MLAKACEKGDGSKSLGKILARWREYNAQLDAGNDANKPVRKVAAKGSKKGCMKGKGGPENSRCNYRGVRQRTWGKWVSEIREPNRGSRLWLGTFTTAIGAALAYDEAARAMYGSRARLNFPNVSVTRFSDESPNDSPDANHLAVSTNTESMIIPDNSGIGVEDSNDMEPTSLCLSIKQENEEGESEIN >RHN80614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39456960:39461295:-1 gene:gene4559 transcript:rna4559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived nuclease domain-containing protein MNVWKEQLEHNTQEEEEDDDTFEESYILAALLGEYATKYLCKEPCRTSELTGHAWVQEILQGNPTRCYEMFRMEKHIFHKLCHELVEHDLKSSKHMGVEEMVAMFLVVVGHGVGNRMIQERFQHSGETVSRHFHRVLHACLKLSFKYIKPEDPMFCECHAKIKNDQRYWPFFKNAIGAIDGTHVSCVVSASEQPRFIGRKGYPTQNIMAVCDWNMCFTFVLAGWEGTAHDARVFDKALATANLNFPHPPQGKYYLVDSGYPTPIGYIGPYRCERYHLPEFRRSSGFENHNEVFNYYHSSLRCTIERTFGVWKNKFAILRSMPKFKYETQVHIVVATMAIHNFIRKSAEMDVDFNLYEDENTVIHHDDDHRSTNLNQSQSFNVASSSEMDHARNSIRDQIIAYKLNN >RHN46100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28503943:28505112:1 gene:gene40540 transcript:rna40540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNLSPPKSQQPPSNVASSPIILPLDLITELLSFLPVKSLLQFRCVCMSWKILISDSFFVKLHLQRSIQNPRLAVTQESRECSMDVIVSPTSLSHLLENPSKPTTLTNDPYYSLNDKDCRSVAGSCNGLLCLLGLSEDREMWLRFWNPATRAISDKLGHYPADFTGGFEVAFGYDNSTDTYKVVYLQKGMTGVFSLGDNVWRNIESFPLGYYLDNRVHLRDSLNWLGLRSYVDDCDDYDCEYITSIEQFMIVALDLRTETCKELLLPRGFDEVPCYEPSLCVLMDCICFSHLVKKTHLVIWKMMDYGDDDSWTQLLEINLQILKKIDEWSAWVPLHLSKNYDTLILGSTLEHEFAVYNLRDGSIEKTRITNGESSWIYINDYVESLVFCH >RHN38721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1511978:1512391:-1 gene:gene44685 transcript:rna44685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MTPDQLLEFAWGLADCKKPFLWITRPDLVIGGSVVLSSEFMKEISDRGLISNWCPQEKVLNHPSIGGFLTHCGWNSTTESICAGVPMLCWPFFADQPTNCRFICNEWKIGMEIDTNVKREGLEKLINELMVGENEKR >RHN59623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12545916:12546195:1 gene:gene21681 transcript:rna21681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I MYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFLFGITIPNRMRIMYWQEC >RHN62646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44904963:44910752:-1 gene:gene25241 transcript:rna25241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MASSFLLKLVFKSLHHFAWCASIQAIETDSDAEIKNIISYWILLSLIYLFEYAFMSLLLWFHLWPYIKLMIIFCLIIPDFGRASYVYNNLIRPMKLQIVSWRLNNYWRKCFVEKDDFLMHAERYMQENGTEALEKLIASKNTMCRPEVTNEIIATDNKEMLKSNAERLQIEHKDIKDSDAVEKKVLPAFKQDIPVLPKIGPSQNASLATVETNVTAERNSAGGEVPQSSTSTQKEVQKEWTCALCLVTTTSEKILNSHLSGKKHRAALQRQKDAETNGERILTEHKIIKDLDAVEKKEIHETKQDIPVIPKIGPSQNESSASVETKGTVEGDRAGGEVPQSSSMQMDLQKDRTCDLCLTTAEEILNARFSGRKHSAALQKQKDAEAINEITTTDNKEILKGTNGDRLQTEHKDIKDLEAIEEKEIPATKQDILMPKIWPSQMHRQPQWKPMEQQSDTVGVEVPQSSTIAQKEVQKEWACALCLVTVPCEKTLNSHLNGRKHRAACEAALKAKKLKIYKAKEEVKQENFSNKLNSDVENGGGIVNNRLKGPVRMQKLHFIGPTPSPQALSGPTPFGGPTSSPIRVPFSYHRGPTPFRGPAPFGGPTPPPIRVPFSYHGSDGSWARQPEFTYFIPTPPRLPPLEPNSR >RHN61152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33507074:33508319:1 gene:gene23586 transcript:rna23586 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKMEEHHPENDANDAISVAYALAVDFFFSIMTSGLTEHELQQVHRGLTEIGLMLMPPPPSDPPPSNYRLNPPPPPPRSRFSTVFQTGESCRTIQHWQDQRIQLFLAVITAFSFQLLMNLGKRGTLSWMSVLVFWQTKLVAAQ >RHN47138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37429214:37431132:1 gene:gene41697 transcript:rna41697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MKNTDRRWKEVQFTAKEPPTENDVLNVEVVDITSSNNPLQQKESLGYVNIHLGDVVSKKSINEKYHLENSKNGWIQIELQ >RHN44876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9354282:9355601:1 gene:gene39043 transcript:rna39043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MGTVRVLSTDTIKATKSSDQTIHLTPWDLRSLLIPTNKKGLFYHHPVVSNQIQRLRHSLSSTLAFFQPLAGRLKITEYQDKTVSCSVICNNAGVLFVHARSENTCVADILEPTYVPPIVDSFFALTDVRSYEGTSKPLLAVQVTELIDGIFIGCSFNHAVIDGKSVWHFINSWAEISRSCCHHQISKPPTLERWFPDGVQRPIRFPFTLEQQKNHSDGLSFLSLDDEKLCFSNRIFHFTKEKIVQLKLKINEEIGTIKISSLQAPLTHLWCCVMCSKKIDPQEEVVNRIVIGVGPRLVPPLPEYYFGNAVISCMVKMTAGELLKEGGLCKGACEMNKLIALHTDEKLKNHYESWLRNPSFSNMPKKNFIAISSSPWFDVYGNDFGWGKPVAGRGGYKANGLITVFAGIEEGSIDLQVCLPYEILEAMGNDPQFMDVASN >RHN46379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31158199:31162712:1 gene:gene40861 transcript:rna40861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphate-transporting ATPase MAATNSSICNNNLYSSTRKHSLSCHSYAPPHLMSFVSFRKAKLGFETKKLEWKRGRREELRKWSVKCTAEGIDGGMFVGRKSRENGIVVSIPERYKVVLLLACVMCLCNADRVVMSVAVVPLAAKHGWSSSFLGIVQSSFLWGYIFSSVIGGALVDRYGGKRVMAWGVLLWSLATLLTPLAANHSTIALLAIRAFFGLAEGVALPSMSTLLSRWFPNNERATAFGISMAGFHIGNVIGLLITPIMLSTIGIFGPFILFSFIGLLWAMTWSYQVTDDPSESNFINRSELRLIQAGKTASPKKSNKLPPIGLILSKLPSWAIIFANATNNWGYFVLLSWMPVYFKSVYNVNLKQAAWFSAVPWATMAVSGYLAGTASDFLINAGYPTTFVRKIMQTIGFMGPAVTLICLNYANTPTMAATLLTAALSLSSFSQAGFMLNIQDIAPQYAGILHGISNSAGTLAAIISTIGTGYFVQWLGSFQAFLTITACLYVVTTIFWNLFATGEQVL >RHN72635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9288335:9288586:-1 gene:gene8378 transcript:rna8378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSAEQRKVLLFFWTSVKHLPVKGFHGLDSCLFICKSSEPNNHLPSSHTCFYELCFPPYSSMAIMQDRLGIITQEHVGFSFGAP >RHN69950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47520566:47524011:-1 gene:gene18483 transcript:rna18483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MSMNTMIRKSTTILTYFKSNYNNFFSTSTRRKPNLTNHHPRRFNSTTSPNPIHDQVIDFPGGNLKFIPQMTFLSESQQHRVPCYRVLDDNGEPIFGTDFVQVSEDFAVKMYNNMVALQTMDTIFYEAQRQGRISFYVTTNGEEAINIASAAALSMNDVIFPQYREQGVLLWRGFTLQEFANQCFSNKFDNGKGRQMPAHYGSNKHNYMNVASTVATQIPHAVGAAYSLKMDKKDACAVTYFGDGGSSEGDFHAGLNFAAVMEAPVIFICRNNGWAISTPTSDQFRSDGIVVKGQAYGVRSIRVDGNDALAIYSAVQAARQMAVSEERPILIEALTYRVGHHSTSDDSTKYRPANEIEWWRLSRDPVARFRKWIERNGWWNDMAESELRNSLRQQLLQTIQVAESVEKPPLADMFSDVYDVPPSNLHEQEKRLKETVKKHPHVYPTNISI >RHN45166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12555042:12565661:-1 gene:gene39384 transcript:rna39384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-transporting ATPase MSSSYYFSISNSLPFPHSINNNNKPQTHSVLFSKIIKKSLITRCTSSSSSSSTFHNILPKRLAFAASTLPGGDWWTLPKHPEEDRAEPMPALLALRRMWELVADQRWVALVAFVSLVLAALSEITMPSILAASIFSAQSGETVAFSRNAMFLVLLCFTSGICSGLRSGCFGILNVTLVKRLREHLYTAILFQDISYFDKEKVGTLTSRLAADCQRLSHVIGNDLQLILRNTLQGSGAIINLLALSWPLALSALMICSVLSAIFMVYGRYQRKAAKLTQDFTACANDVAQETLSSIRTVRVYGTGKQEFERYDQWLQKLAFISGRESVGNGLWNLSFSTLYRSTQIFAVLLGGMSVLSCSVTVEQLTKYVLYCEWLIYATWRVTNSLSSLLQSIGASEQIFQLMNLLPCDQFLTKGIKLQKLMGDVQFVNVSFHYPARSMMPVLEHLNFSIKANQVIAIVGLSGSGKSTLINLLLRLYEPSSGQISVDGIPLKELDIRWLRQNIGYVSQEPHIFNMDIKSNIKYGCPRNISQEDIKQAAKLAYAHDFISSLPNGYETLVDGNALSGGQKQRIAIARAILRDPVIMILDEPTSALDSESEHYIKEVLFTLKDEAKSRTIVIIAHRLSTVKAADRIIVMDNGRIIETGNHEELIVKNGLYAKLNKIQADILT >RHN40256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14626329:14626779:1 gene:gene46381 transcript:rna46381 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKSQRSCVESLAAKTKRLWIQHWQDCSMFDFCLWQLEVQKDYLQFHVSKGFLLTSELNVKCLCLNIFT >RHN69649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45241522:45245251:-1 gene:gene18144 transcript:rna18144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyltransferase A, auxiliary subunit MEASSCFVPSPSFIGFRTSKDRPHVLSLQEFKRIISFSVCNQKQNYNEKLYIIPIRTISRFCISRSFAKSLELGGTGPQSDALLGYSAPDEDNRSNFDKELEELFGEVKKMIKMGKKSDAIDLLNANYEMVKERLNAGTKGIEEAAILDILALGYMAVGDLKSVGYLLNSLKEVVDTLKDDAPHLDSIVMHMGNMYATLNKFEESLNTYQRAVYIVERIYGKDSTILVTPHLGMAKALGSIGKATKAVEIYQHVITLLESNRGAESKDLVVPLLSLGNLLLKEGRANDAESRFSRVLNIYTKVYGENDGRIGMAMSSLAQVKCALGKTDEAIHLFKRALKVMKDSNYMSPDNSIMEKMRVDLAELLHTSGRGQKGREILEECLLITERYKGKEHPSLATHMINLATSYTRSKNYAEAEHLLRRSLQIMIKHNGTDDQSISFPMLQLAATLYHLKNDEEAEKLALEVLRIREKAFGDYSLPVGEALDCLVSIQARLGKDDSELLELLRRILIIQEKGFGHESEQVLLTLKKIVYYLDKLGRKDEKLPLQRRLSVLRKKYKHMIHQ >RHN44344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3737271:3741519:1 gene:gene38453 transcript:rna38453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, NAD(P)-binding domain-containing protein MIITKQYRCIHSASCQCSKGHLSEDAIFLVFHNLKWNPKLIAALSCVCKWFDDLAKRVLWKEFCRTRAPKMLCDLQSTGSHVVDGNWRALGKLLTYCSGCTKDQGEEGDIGFFRGVFKSFATSKVRKMLISKGAKLHQTEVCPYCKAKLWSMQQAKMIPQSASCRLGSYEDDIEYFVCLNGHLIGICTLLPLSDSEEAPELE >RHN55956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26002231:26003518:-1 gene:gene31254 transcript:rna31254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MPMNHGHSQQSTRWYKLCFIPTSGDSSDDSDTESVIISPSTQAQTSTWQQPSPPRPPLSPRNLEPEIGWLCPPPPPPLPFFTPSRLSVRSVPPLQFQPVNSEPRINQRSSQPSLLPSQPPNPEPRINWSSLPPPPPPPFFPPPSSLLPLESPASNHESIINLQNSQPLPPPPPPLPTLDPWDFFNTFPSLTTHGRPDDDDHSQFLRNHIVKISKLHLKDDVSHCPICMEEFKLGDQACQLPCKHTYKFECILRWLNTSKTCPVCRLQLDRFEGQCRPYSILDDEESLDLEPQSPPQVTDSLQNLFQSSLDSQVIEDDAGENSDEAEYDSACDELGWY >RHN53268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:515213:518567:1 gene:gene28159 transcript:rna28159 gene_biotype:protein_coding transcript_biotype:protein_coding MEESPKLDLSSCYYGCGDHAFEMEQKNTPTQRMSVSVSDHMNGFQYPTEKFDSYVIDMDAAFSSGINKDSSANANPRITKGLQRSLSRKGSQRGVDRKVNGLSMLQVHDINNKDAISALCSPLGSCTPTAMAAGSPDHSINPHNHHQINIIGTTTATTGESRLTRRNSFIRSSSWTLDPKRVLIFFATLSSMGTMLLIYFTLISNKQNFDGYVGDIGSSE >RHN40636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18691028:18691836:-1 gene:gene46816 transcript:rna46816 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCLGRHIHELMKRIGIVVGVSFKWVDLVNWLKVRLIFMFNVFFSVFSCLETFRHTLGSNLGIGKSKLKFYENSA >RHN59303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9257830:9260536:1 gene:gene21309 transcript:rna21309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MISFRLFVTLLVPFLLATFVSSYSMNEVKLWCNQTPNPQPCVYFLSNNPSYKHKLIKQKVDFFKLSLELVQERALKGHAKTLSLGSKCSNSREKSAWADCVELYEQTILKLNKTLNPKTKCSQVDAQTWLSTALTNLETCKAGFYDLGVQDYILPLMSNNVTKLLSNTLALNKVQYQEPSYKDGFPTWVRPGDRKLLQTSSPRPNVVVAKDGSGKYTTVSAAVNAIPKNNKGRYVIHVKAGVYNEQVEIKAKNIMLVGDGIGKTIITGSKSVGGGTTTFRSATVAVVGDGFIAQDITFRNTAGGKNHQAVALRSGSDLSVFYRCSFEGFQDTLYVHSDRQFYKQCNIYGTVDFIFGNAAAVFQNCNIFPRNPPNKVNTITAQGRTDPNQNTGISIHNSRVTAASDLKPVQNSVKTYLGRPWKQYSRAVFMKTSLDSLIHPSGWLEWSGNFALSTLYYGEYMNTGLGSSTRNRVKWQGFHVITSASEASKFTVSNFIAGNAWLPATKVPFTSTL >RHN72039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4565516:4567675:1 gene:gene7707 transcript:rna7707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(+) diphosphatase MSSNGSQRLQTLLHHLKSPSNTNPLKETSIYKQNKRAAVLICLFEGQDGNLRVILTQRASSLSTHAGEVALPGGKRDESDADDIETALREAKEEIGLDPSLVTVVTLLEPFHTKYGITIIPVVGILSNKDTFSPILDSAEVEAVFDVPLEMFLKNDNRRSEEREWLGEKHLVHYFDYEVGNKRYVLWTQSRNANDQKKSTMYEAPTNNMYVTKLVILGVHFAMNFLVYNHLESLMSRGTSWLSLGTF >RHN67074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23486476:23494671:1 gene:gene15214 transcript:rna15214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bleomycin hydrolase MATLASSALTLITLTRSSSSSSHYSKCLFNHFSSKTHHQRSRFCSFNTSTTKTMASYTPPSESDTITTAPYGSWKSPITADVVSGASKRLGGTAVDGRGRLIWLESRPTESGRAVLVLEPENPGGEAVDITPKEFGVRTLAQEYGGGAFTVAGDVVFFANYKDQRLYKQSITSLDVPPIPLTPDYGGPVVSYADGVLDTRFNRFIAVREDRRESSQNPPTTIVSIALGSKDDHEPEVLVGGSDFYAFPRLGPKSEKIAWIQWSHPNMPWDKSELWVGYISENGEIYKRVCVAGNDPSVVESPTEPKWSSDGELFFITDRGSGFWNLHKWIESENKAVPVYSLDAEFARPLWVFGMNSYEFVKSPKQRNLIACSYRQKGVSYLGIIEDAQGSNLSVLDIPFTDIDNITSGTDCLFVEGASAVLPSSVAKVALDDKKSKVVDFNIIWSSSPDSLKYSSYISKPELIEFPTEVPGQNAYAYFYPPSNPTYRAIEGEKPPLLLKSHGGPTAETHGILNLSIQYWTSRGWAFADVNYGGSTGYGREYRDRLLGRWGIVDVNDCCSCATYLVDSGKVDGERLCITGGSAGGYTTLAALAFKDTFKAGASLYGVADLKLLVEETHKFESHYIDNLVGGEKAWFERSPINHVDKFSCPIILFQGLEDKVVPPSQAREIYQALKKKGVPVALIEYEGEQHGFRKAENIKYTLEQQMLFFARLIGRFNVADDITPVKIENFD >RHN51281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15725036:15734048:-1 gene:gene35695 transcript:rna35695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain, putative transposase, Ptta/En/Spm, plant MEYYDEDNDYDPDNEESDNEESDSRNDGSNSTEREITRGITLMKNVIRARDKGVKYEVSWNVRNQPIGTYSATFASFVGADVRRHIPITCDQWNPKDKSMNPHKDFLFKDIQSYFLNVDESRKKYVLQLAGKLHRCFRSYLTAKYLKDADGNFVDADRPTLYESLISPEEWETFKAKRNTPEFKKLSETNKKRALNPQYPYLRGRMGYARLTEKIVADTNSKEEDIDDCLRWREGRVKKDGTVDETVQKVYEECVTLSQSCQTSSCRDILSKALNVREYSGRVRGMGLGVTQTVLNKGHQKSEKNPTTRELLAIIQNLSSDLDQLKKERGKDISRSQHDMHIPSDKDSTNINVLKNIPEGISPCSLYLMSPTYRIVAKGMVHNILGDKLHHKPLPDGYLKVSIDVALDQDAELLIPDDVADIRLVRDAIGTYVAWQRNLISLNLEISATYKGNGNDGIRRGDESVTSKKQIQTQKLHEGTKIIKDKPRNMSHAQKLKEVNNKGRHSNIPITKQRQDIAKTKHQKPNSTKCRPSWVLALKSLVEVQMENSDTRQITMEEGIFGEEQYNEEITKEQMYEFFNNTEIGVSVVCVYIRYLYEKFVRDTDVPRKFSFLSPHRISLVLIEAEQEFVKAYMVKEFLKYKDEHKLFILPFYIHKPIGHWVLFVINPISEIIYYMDPLLNDYMNYPKMKSMFDTVLKVFRAARNAQVSKNKFNNISWVRVQCPRQENGIDCGYFVMRFMKEILISKLNEIPKLYIEDFKCATYSNDKLREIQEEWCQFMMSLLFI >RHN59122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7711041:7712605:1 gene:gene21112 transcript:rna21112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MALFTDKPASFPEGLKVLAIDHDITLLNSIEEMCNRCNYKVTKCSMASDAFNMLERKDCFDVMLIDPHMPNMDAYDFVQSVVLQLNIPVIMMAVDSAESSIMKSIQCGACECWTKPLVEKQFKTMWQHVIRKGLTANKEYEIVGSSVVQEIRKRGREDDNASKETQAKKARLSWSPELHQRFLWAVNQLGLDRAMPKKILKIMDVPNMTKEQVASHLQKYRNYLKSSTEDTKGRMKKLKKSPFETKFSLEATHSLPEQDQSFLLNSATQCRDNCDAQQHWVEIGESNITSNIFSDLPNLFPYVDDDLSSLVW >RHN55308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17092185:17094794:-1 gene:gene30473 transcript:rna30473 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MHEMAFFQANFMLQTPHHHDDHHQPSSLNSILPQDYHGGPSFLGKRCMSFSSGIELGEEANIPEEDLSDDGSQAGEKKRRLNMEQVKTLEKSFELGNKLEPERKMQLARALNLQPRQVAIWFQNRRARWKTKQLEKDYDVLKRQYDAIKLDNDALQAQNQKLQAEILALKNREPTESINLNKETEGSSSNRSENSSEIKLDMSRTPASDSPLSTHQHTTSRTFFPPSARPSSGIAQLFQTSSRPEIQCQKIDQMVKEESLSNMFCGMDDQAGFWPWLEQQHFN >RHN51398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17233763:17238450:-1 gene:gene35828 transcript:rna35828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADALIGIVIENLGSFVREEIASFLGVGELTQKLNENLTTIRAVLKDAEKKQITSDVVQKWLQKLGDAAYVLDDILDECSITSKAHEGNKCITRFHPMKILARRNIGKRMKEVAKRIDDIAEERKKFGFQSVGVTEEHQRGDDEWILTTSAVTEPKVYGRDKDKEQIVEFLLGHASTSEELSVYSIVGVGGQGKTTLAQVVYNDERVKTHFDLKIWVCVSDDFSLMKILESIIENTIGKNLELLSLESLRKKVQEILQNQRYLLVLDDVWSDDQVKWNTFKSLLPNGKKGASILVTTRLDIVASIMGTYVHHLTRLSDDDIWSLFKQQAFGANREERAELVAIGKKLVRKCVGSPLAAKVLGSSLRFTSDEHQWISVLESEFWNLPQVDRIMSALTLSYFNLKLSLRPCFTFCAVFPKDFEMVKEHLIHLWMANGLVTSRGNLQMEHVGNGIWDELYQRSFFQEVKSDLAGNITFKMHDLVHDLAKSVMVEECVAYEAESLTNLSSRVHHISCFVSKTKFDYNMIPFKKVESLRTFLEFKPPTTINLDVLPSIVPLRALRTSSCQFSSLKNLIHVRYLELNECYITTLPASVCRLQKLQTLKLEHCYFFSSFPKQFKKLQDLRHLIIKDCPSLKSTPFRIGELSSLQTLTNFIVDSKTGFGLAELHNLQLGGRLYIKGLENVLNEEDARKANLIGKKDLNHLYLSWGDAQVSGVHAERVLEALEPHSGLKHVGVDGYGGTDFPHWMKNTSILKNLVRIILSDCKNCRQLPLFGKLPCLNILFVSGMNDLKYIDDDLYEPATEKAFTSLKDLTLHDLPNLERVLEVEGVEMLPQLLELDIRNVPKLTLPPLPSVKSLCAEGGNEELLKSIVNNSNLKSLYILKFARLKELPSTSELGTLSALEFLGIQGCDEMESLTEQLLQGLSSLRTLIVRSCSRFKSLSDGMRSHLTCLKTLNIINCPQFVFPHNMNDLTSLWVLHVYGGDEKILEGLEGIPSLQILSLTNFPSLTSLPDSLGAITSLRRLGISGFPKLSSLPDNFQQLRNLQELSIDYCPLLEMRCKRGKGEDWHKIAHVPEFELNFKLQSDAEPTICENIISTWKQFLHPPQSYMFPHEFEQMIDNY >RHN82239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52220751:52221434:1 gene:gene6384 transcript:rna6384 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKHLKNVLFFLLALAAAHCAKAINYVVSNNAEASTGAARFDKEIVGVIIENIDGLAFASNDIIHISAGYIEKYREDVKKEIAGLIYHEMAHILQWDGNGKAPSGLTEGIADFMRMKAGYASDLWSPAGVGDQWDQGYEVTAHFLHYCDNLKNGFVADLNKKLKTDYSESYFNDLLGKPVAQLWSDYKAMYNN >RHN49048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52074297:52077373:-1 gene:gene43835 transcript:rna43835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nitrogen regulatory protein PII MALIAKPNVFNGLNFHINETQFPFSSFSVIRKRFGDSSHRNVVLKSNGNASILPKIRAQNLPDYVPESKFYKVEAILRPWRIPQVSSGLLKMGIRGVTVSDVKGFGAQGGSKERQGGSEFSEDNFVAKVKMEIVVRKDQVEAVINKIMETARTGEIGDGKIFLIPVSDVIRIRTGERGEQAERMAGGLTDALSV >RHN66974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22365131:22367089:1 gene:gene15101 transcript:rna15101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MTIFTSQMPLLLLLLLSVTTFHKSMCTNHTVVRCNEKDHETLLTFKHGINDSFGRISTWSTKKDFCAWEGVHCDNITGRVTEINLIYNHMEGDMNLCILGLEFLNYLDLSWNHFDVIRIPSIQHNITHSSKLVYLDLSYNYPILHMDSLHWLSPLSSLKYLNLSWIDLHKETNWFQVVSTLPSLLELQLSYCNLNNFPSVEYLNLYSIVTLDLSENNFTFHLHDGFFNLTYLHLRDNNIYGEIPSSLLNLQNLRHLDLSYNQLQGSIPSTLGNLSSLNYLFIGSNNFSGKISNLHFSKLCSLDELDLSNSNFVFQFDMDWVPPFQLSHLSLSNTNQGSHFPFWIYTQKSLQVLDILSSGISFVDRKKFSSLIERISFQILLSNNLIFEDISKLTLNCLFLSVDHNNFTGGLPNISPMAFEIDLSYNSFSGTIPHSWKNMKELRVMNLWSNRLSGKLPLYFSNLKQLQTMNVGENEFSGTIPVGMSQNLEVIILRANQFEGTILQQLFNLSYLIFLDLAHNKLSGSMPKCVYNLTNMVTIHETSLFTTTIELFTKGQDYVYEIQPERRTFDLSANSLSGEVPLELFRLVQLQTLNLSHNNFIGTIPKTIGSMKNMESLDLSNNKFFGEIPQDMTLLTLLEKAKLAREIIDGKN >RHN38507.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:195543:196133:1 gene:gene50618 transcript:rna50618 gene_biotype:protein_coding transcript_biotype:protein_coding MEIETNQLIIVTKKVWNTLRIILFMLTKNIAKSKMVAELNLLLKRSKLAAIKAIANTLALRHHSSASFVSPNDYEFSCSNSPAVIKFHNKNKNHHHGRHHNDVSTIQKVLEILNEVDASFFSSPSPLVTFPGFGKSPIGKKIRVTDSPFPLKVDEGDDHSHVDVAAEEFIKRFYKNLNLQQKLASIKSPYNNSQHR >RHN69505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44034016:44037147:1 gene:gene17984 transcript:rna17984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK family MVVHIKQLLLVTAFVVLYTKAETQPNCPTKCGNVTIPFPFGTTKDCSLDNTFLIDCNKTSSTSTEVPFLPQTNQSVLNISLNGELHVAWPVASYCYSKNGTQVNQTFQEINVTHFHISTQNKFIAVGCDTVGILAVGEADSEEKTYATGCVAFCNRLDDIEANQPCSGIGCCESSIPPGQMLTRVAYGSSGGVFYNQSDSKVHDFNPCGYSFLVANGNYSLTRSDLMLMKKEFPVLLDWKVGNQTCLQAQKNHSNYACKAIKSTCYETGTNKSGYLCRCSHGYRGNPYLINGCQDVDECKESNYCFEGATCINLPGSYHCLCPKGYEGDGKRCSPKSSTKSSKEIMLIIALSVSVSLVALLIGSFYAYLAFKKRKFIKLKEHFFQQNGGILLQQQIWRHGGSTEIAKVFKVEELKDATNNFDEGTILGQGGQGIVYKGVLQDNRIVAIKKSKISDPNQIESFINEVVILSQINHRNVVKILGCCLETEVPLLVYEFIPNGTVYEHLHDETQSMKLTWKTRLRIAKEAAGVLAYLHSAASTPIIHRDVKSSNILLDHNLTAKVSDFGASRIVPLDHSQINTLVQGTLGYLDPEYFHTSQLTEKSDVYSFGVVLAELLTGKKALSFGRPEVDRNLSAYFVSSMKQGRLLHILDKNIDETNIEQLKEVADIAERCLRVKGEDRPTMKEVAMELEGILVIEEFRWGSDYLSSEETENLLKTAESVKNVQDGIGGSDINSSGSYSLNQISISIGGR >RHN80685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40089011:40091811:1 gene:gene4641 transcript:rna4641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S24e MADKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRANVSKAELKEKLARIYDVKDPNTVFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLVRNGLDTKVEKSRKQMKERKNRAKKIRGVKKTKAGDAAKAGKKK >RHN44541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5903816:5911041:-1 gene:gene38670 transcript:rna38670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLNFSFQFHNSVPCCLHKHGYYSFLTHHTNVICSNNHLSNNVVLFSQQPNCYVPSIGSLGFHHSTTPLLSKNNKIVPQQNFYNVDVNDEYDDVVDNFDYDEDENDEKREEFDLSFVSNKKLSPLGELEQDGDVYFGAEKELGPLGEVEEEDVDVSFVAEKDLQRQGSKVVNENGVIFLEEMDENVLSNRILELSRTNKIRSAMEYFRSMKMFGLCPNNHACNSLLSGLLRNGSFDDCFKVFDFTKEKGMTTGHTYSLILMACAKGQGCDSAVEFFRKLERECDVEKGFDAVVYNTMISICKEVDNWSEIERLWRSMKENGCDRTLVTYRLLISSFVRCNQSELSLYAYHEMVHNGFEPNSNILNSIICVCAKEGKWDEALSVFQKMLTSDFKPNLVACNVLINSLGKEGELKLAFQVYNTMKSFGQKPDAYTYNALMSSLNKANKHNEALKIFNRIERSQICEFNKHLYNTALMSCSELRLWDRAVEILWKMEASGLSDLTVSYNLVIRTCELVKKPKIAWQVYEHMVHQNCSPNIFTYLSIIRCCTRGELYEELEEILNKTVPNAALYNAAVQGMCLRGNINLANEVYTKMLEHGLEPDVKTRVLMHPTIRK >RHN47565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40653062:40654125:1 gene:gene42181 transcript:rna42181 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFGSFGCFRMILSLPVAYSGEESVVDRVELLDWKWFRAKCPASSYSLYEWKVQPVLCWDQKGFVVVDLPGVRLRGWVGLYLLPSWCGFQLSLLSFCFCMCLLPFIASVCW >RHN81793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48903601:48913184:-1 gene:gene5882 transcript:rna5882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific protein-tyrosine kinase RLK-Pelle-RLCK-IXb family MGSIQEAVSITEERVKGVEENDTVYVAVGLNAEKNKKLLHWTTHKFSGNSICLLHIHQPDLVNSFSKAETNVFEYEPKDHATKAFQEHGSQTVHELLDKYILTLVPAGVQASKLLIEKDDIEKGIIEAIAQHNIRCLVMGAAADRHKMGVDSENTPGIVTAPVVLMLDSNTEAKQSEKIKSELIPDVLKYLDSSDMEETENGNSRCSLNAEWSFNSVIARTKLTDLLVHEDDEELQNWRAKEISCRKEVEVQLAREKQDVQEMKNQRDKIICELQMVQDQSSTLRNQMLESKCMVTELEEKIISAVDLLISFKEKRDKLRIEHANAVRKVEVLRKFGEVDTTSSYVVEFPAFSFMEINEATQDFDQSWKIGEGRYGSVYKGLLRNMPVAIKMLPSYGCQNQLEFQHQVEVLSRVRHPNLLTLIGSCAESKSLVYEYLNNGSLESHLACKDRTPLPWQIRISIATDICSALIFLQSSKPCIIHGNLKPSKVLLDANFVAKLGDLGIPSLVQHSMDSADTGTVVCNNSHKHLAYVDPECLVTGKFTPESDVYSFGIILLQLLTGRPLSGLVRDMKCALEMENLKTVLDFSAGEWPLHQTTQLAYLALRCCEKTWLNRPDLVSEIWSVLKPFRTICIDRRQELTSKKLQRAPSHFVCPIVQEVMEDPYIAADGFTYEEEAIRGWLDSGHNTSPMTNLKLEHTDLVPNYALHNAILEWQQL >RHN42347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37585017:37586894:1 gene:gene48778 transcript:rna48778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MGIVGDSLNIDFVISTGDNFYKDGLEGVDDPTFYESFVNIYTALSLQKIWYSGIVEFFFVDTTPFIEKYFTDPKEHTYDWNGVLPRELINVDSALVQSKARWKIVVGHHTIKTVGHHGNTQELEEQLLPILKALRGDIKSWNLEEVKFYHDGQGFMSVQITEMNVDVVFYDVLGKILHRWSISKELKAAT >RHN76482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50717339:50717788:1 gene:gene12815 transcript:rna12815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MDSEAETSQTHMRIKTQLCRRFMQGTCPLVAPQCNYAHGYHDLRTATGPRLCRMFMHTRHCSYGNNCRFLHATPPLPLHHVHPQQQQHENINFSFPQPRNFLQFLSFTTPLFNIVCSFLSIRMKRVFHCLALTHLLKVYPMFDTFQCLT >RHN75940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46324168:46324673:-1 gene:gene12204 transcript:rna12204 gene_biotype:protein_coding transcript_biotype:protein_coding MARELRPATMKPWMEVAPSLLEFPWKPSNTPKLETIFEDEECEEDGLADF >RHN77126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4280057:4284850:1 gene:gene550 transcript:rna550 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NBP35 MENGDIPEDANEHCPGPQSDSAGKSDACEGCPNQQICATAPKGPDPVDMVAIAERMATVKHKILVLSGKGGVGKSTFSAQLAFALAARDFQVGLLDIDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPHPDEAVIWRGPRKNGLIKQFLKDVYWGELDFLIVDAPPGTSDEHISIVQCLDAANVDGAIIVTTPQQVSLIDVKKEVNFCKKVGVKVLGVVENMSGLSQPISNLKFMKITDNGEMKDVTEWISEYMKEKAPEMLNLIACSEVFDSSGGGALKMCNEMAVPFLGKVPLDPQLCKAAEEGRSCFADKDCVVSAPALQKIIDKLMETSGLSMTESNGV >RHN80447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38197448:38203220:1 gene:gene4376 transcript:rna4376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone 7-O-methyltransferase MVSTNDQLEALELFQGQSLLYTHMYASLKPMSLKCAVQLNIPEIIYNHGKPITLPDLVSTLKVPLAKSSLVKRLMRFLAHIGIFAIHGKGEDDHDQTYALTPASKLLSKSCSKDHCLTPMVLMCTDPIFMSLFHQLGDLIHGEVPNLSEGALGVTLWEFLEEKPAYSSLFNEAMASNSQMVSLALKNCSEVFEGIESIVDVGGGNGTTAKIICEAFPKVKCLVFDVPHVVANSSCESQNVSYVGGDIFKSIPKADAIMLKSILHDWSDENCIKILKTCKDSVSSKGRKGKVIIIDILINEKDDEKDITQQNLIMDISMMAFDGGKERTEKEWKHLFIEAGSETMDNLFSTQVCFNGGNLYLFKVQDDVTLIKISKGSTE >RHN77181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4736213:4737370:-1 gene:gene607 transcript:rna607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEVPRDIAFEIFSWLPAKSVCKLNSTCNSVTGFSEETVFKRKQSRNLLGRDDTCLFIQPERIIQKYVKRVELHPLPEDRQSSGVPEKVLRLLSNSTSVLASGNGLLLCQTINDHGPIEFFIFNPITKCRSFIPTPESLQRNHDFANINIMLDFSSDDYKVFLFENPMEWSSINCYTCRVYHEKEGVWKTMDNGFLAGGRNMNFDMNMFHNKAIHVISDCSHYFAKPSPFYKPYIMSYHLENGNSTMLRLPREAIRGCHTMTNMGIFNWGKVSSSKRSICLVKVRKSVFTVWYLKDYESSSWQKVLKVRVRGLGLQEKNPQVTGFTVTNGDILVFATEEKVYSCGLNEERFMMVEEIGQHNCRFNLRLFSYSDTFRSCGINAVTWN >RHN76388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49857979:49869515:-1 gene:gene12707 transcript:rna12707 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFNAKNAWGNFPDLAGAVNKLQESVKSIEKNFDNALGFEEKDGESSNNEQASESSGSWPIPTDGKALFNPVLAFMGNKGEEDSEETSENIESSKLESEPEMAEEKPESLDHVPVAEGKEVIETDKRDNVEAEEITVQEENKVHETEEDGEHVESVDGTTVQDLDHRKDEQQQLPEMPVELPESPIQKSENSDSISNSEEKEIAEVGTLESPVMTQQPMVSNIVDDVVEGSTSELGESRGTSDVHDTIGVETEEESKEEERVHTEENVERISSVQPETSDDTEKRDDTDTSVLHSIASEESNSTDQSYNEHQSIATPNESSEVVSDLVSHDNETIVEENERDHANNIETDIKEQHLSSTQNMHDSDSKLELERVKREMKMMEAALQGAARQAQAKADEIAKLMNENEQFKALIEDLKRKSNEAEVESLREEYHQRVSTLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIITQVMAEGEELSKKQATQESTIRKLRAQIRDLEEEKKGLTTKLQVEENKVESIKRDKTATEKLLQETIEKHQNELAVQKEYYTNALAAAKESEALAEARANNEARTELESRLREAEERESMLVQALEELRQTLSRKEQQAVFKEDMLCRDIEDLQKRYQASERRCEELITQVPESTRPLLRQIEAMQDSNARRAEAWAAVERTLNSRLQEAEAKAATAEERERSVNDRLSQTLSRINVLEAQISCLRAEQTQLSRTLEKERQRAAESRQEYLAAKEEADTQEGRARQFEEEIRDIRQKHKQELQEALIHRELLQQEIEKEKAARSDLERTVRAHSAPSSEQTSTTKHNSAFENGNLSRKLSTASSLGSMEESYFLQASLDSSDSSSERRNPGELSMSPYYMKSMTPSSFEAALRQKEGELASYMSRLASLESIRDSLAEELVKLTAQCEKLRGEVAVLPGLKSELEALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQIMSSST >RHN77380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6460361:6463007:1 gene:gene827 transcript:rna827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MEEDGSRSWEEDIYWNHFQFIHFTLFLSTFDFQQQLALPKTFSDNLKNKLPENVTLKGPSGVVWNIGLTTRDYTVYFTDGWQRFVKDHSLKENDFLVFKYNGESLFEVLIFDRDNFCEKATSYFVGKCGHAQTEQEGSKAKNNNTSAFNTGVESASPEQIMADAVTKTTPVAVPSQKTSKRTKKKPVIEVTPVQTKKRGRPPKSANSFERSHDLVVCNKELSESSIVKRKRKEDEKKIAQSFTSSFPYFVKILKNGNVGGSRTLRIPRRFSAAHLPDDKTEVTLRNSRGECWTVNSVPYAKRGMLHTFCGGWMSFVRDNGVNFGDTCIFELVSDYVMQVHIYGVGKESINNQNGHVKLDNK >RHN58772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4710232:4716376:-1 gene:gene20715 transcript:rna20715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 1, Glycosyltransferase subfamily 4-like protein MNSSLSINSSLSHPFLSPISTTIHSSNFNSENSIRFRTFGAKPIIPFCKKTPFLSLESLKSVKRRKSLKLEATKMTIDEESLLNSRDEEEGPPDSVLNDIHSKPRRIALFVEPSPFSYVSGYKNRFQNFIKYLREMGDEVMVVTTHEGVPKEFYGAQLIGSKSFPFPWYQKVPLSLALSPRIISAVAQFKPDIIHASSPGIMVFGALIIAKLLSVPIVMSYHTHVPVYIPRYTFSWLVQPMWLVLKFLHRAADLTLVPSVAIGKDLEEARVTTANKIRLWNKGVDSESFNPKYKSHEMRLRLSNGEPEKPLVIHVGRLGVEKSLDFLKSVMDRLPEARIAIVGDGPYREELEKLLEGMPVVFTGMLSGEELSQAYASGDVFIMPSESETLGQVVSEALSSGIPVVGARAGGIPDIITEDQDGKIGYLYTPGDLDDCLSKLKPLLHDIELRETMGKAARIEMEKYDWREATRTIRNENYNTAIWFWRKKKAQLLLPFQWLTKHIFPSPEVV >RHN47792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42551314:42551727:-1 gene:gene42434 transcript:rna42434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MSKQMGQSYGSVGSSMRRKAVTECRCGEETVIRTVTDNTNPNCGKKFWGCKNYKNHFDKGCSFFKLLDEEEEVSDERDLLIAKLQKKNSKLKHELEKTRLWLMKALIFGLACFAVCLVLGTILICKISVSWSHMYLK >RHN73039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12929198:12929557:-1 gene:gene8815 transcript:rna8815 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNVGSGSSGSKRSHEDSVGSSARPMGREAAKKKGKMKSKGETLEKVEKEWVQFKELKEQEIEQLKELNLVKKQKNKLLQEKTQAKKMKMYLKLRDEEHLDDRKKELLENLERELFEN >RHN44226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2558089:2558550:-1 gene:gene38318 transcript:rna38318 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCTEISLERILDGVGSMAKLCDTHFIVGREKGLRFKYANATKATRIICQVKPSYYSEVGDVGLILHITSKTNILYDIMIRLIDGATHVSRHLEIKVLCEHRKKCKSIIYEGYMYFSYASFFEREMTTIFPFLCSSVYGECAGPKEESIYST >RHN77270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5580356:5581146:-1 gene:gene708 transcript:rna708 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLGIDLSCAIGSLRNGNIPEKDCLLPLISKLLGYAIVAASTTVKLPQARTPLLSIFRFTVEEKEK >RHN68814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38802198:38803896:-1 gene:gene17222 transcript:rna17222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEYHYDSGSSSSHSNHYLQPQQLGSTSLTSQRSLLSVPSLNPRHNPHINHTSHLITTLKSHNNNSSYTSSLTLFGKFLLTGSSDREITTWNRTTYEEDTTTNNKVIAGKGAVKSLVIQSNKNTLFSAHQDRKIRVWKLTNDQTKYTHVATLPTLGDRASKILIPKNHVQIRRHKKCTWVHHVDTVSSLALSKDGKFLYSVSWDRTIKIWRTKDFTCLESITNAHDDAINAVVVSYDGTFYSGSADKRIKVWKNLQGDDHNKKKHKHSLVDTLEKHNSGINALVLSSDESILYSGACDRSILVWEKEDGDNDDENGKMVLVGALRGHKNSILCLAVVWDFVCSGSADKTIRIWRGVGRDYCCLSILEGHQGSVKCLTAVVEHCDDNSESEEPSFLVYSGSLDCDIKVWQIFVPAI >RHN73079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13259342:13262382:-1 gene:gene8858 transcript:rna8858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MSTMKFCRECNNILYPKEDREQKILLYACRNCDHQEAADNFCVYRNEIHHSVAERTQVLQDVAADPTLPRTKAVRCVQCNHGEAVFFQATARGEEGMTLFFVCCNPNCGHRWRD >RHN69666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45372165:45380567:1 gene:gene18165 transcript:rna18165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSDAYWRYAESQQHAPPTIPGKRPRTEYDVSGVHNLANYFPHDDDRGRLQVIRDTESLDASYERYLRNAISSHGSGQSTRTIDGGVPSHSIDDSHVTSMGGVDRRTNVKDQILELSGGRPDHSLPPGATNTLFVEGLPSNCTRREVAHIFRPFVGYKEVRLVSKESRQPGGDPLLLCFVDFVSPAHAATAMDALHGYKFDELDRNSVNLRFQFARNPGRSGGGHRGKR >RHN76113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47786411:47788459:-1 gene:gene12392 transcript:rna12392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ZIP MASFKTLKSTFLILCLLASFFLNPIKAHGGHDDSDHDSDNINIRSRSLVLVKIWCLIILFVFTFIGGVSPYYFRWNEVFLLLGTQFAGGVFLGTSMMHFLSDSNETFEDLTKKTYPFAFMLACSGYLLTMFGDCVVVYVTSNNQREAKVEELEGGRTPQEEEGTTELAMDESNVAFMKTTNVGDTILLILALCFHSVFEGIAVGISGTKEEAWRNLWTISLHKIFAAIAMGIALLRMLPKRPLITTAGYSFAFAISSPIGVGIGIAIDATTEGKTADWMYAISMGIACGVFVYVAINHLISKGFKPQRKSRFDTPWFRFLAVLFGVAVIAVVMIWD >RHN75759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44827532:44829160:-1 gene:gene12004 transcript:rna12004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MYFSCSFRAFIFSLIVCLVIFECHADANGNGSQIIPKLVIDAGSTGRHIPDTLFGVFYEEINHAGAGGLWAELVKNKGFEAGFRGLNDSQRIDPWTIIGDQNKSSITVSTDMSSSFERNKVALRMDILCQGKSCPRSGVGISNPGYWGMNIEQGKMYKVVLHVKSLGPIDLKVSFVGVNGVNLGAKSIRADGLNVTKWSKMERIIKAKGTNHNSSLQITTKTKGVIRLDQVSAMPSDTYNGHGFRSDLFKMVEGNSLKYAFRWKETVGPREERSGHWNDVYSYWSDDGLGYFEGLQLAEDLGALPIWVFNAGISRSDEISTANIGPWVQEALDGIEFARGHANSQWGSVRASMGHPQPCNLKFVAIGNEDCGMQYYEGNYMKFYEAIKRTYPDIQIISNCNGSEHPLKHPADIYEYHVYTSSPDMFSRNTRFDKAPRSGPKALFVSEYAVWRDDAGHGSLYAAVAEAAFLIGIERNSDLVIMASYAPLLMNINMNLTKTKEANATWTWWPDAIVFNSYQNYGTPSY >RHN54128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7148114:7149877:1 gene:gene29118 transcript:rna29118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MEFQLSFVIIPFSIFILLHWLATKYYKPKTIFYKLPPSPRKLPLIGNLHQLAFAGKLPHHGLQKLSQKYGPLMHLQLGEINAVVVSSSNLAKEVMKTHDVVFANRPKLPSLKILAYGFKDIVFSPYGDYWRQMRKICVLEILSAKRVQSFSYIREDETKKFIESIKSFAGSKINLTTRIFSVINSIILRAALGDKSEDQEEFVSLIRKAVAVSSGLELIDLFPSMKLIHVLTGMKKNVEKIHKRVDKILDNVVRKHQEKRARGNEGNKSEIEKEDLVDVLLRVQQSGSLDVQLTINNIKAVIWDVFVAGTDTSSTTIEWAMSEMMKNPRVREKAQAELRQAFNGKELIYETDVEKLSYLKLVIKETLRLHPPSPLLVPRLSTELTKIDGYDIPKNTTVFINAWAIGRDPKYWNDAERFIPERFDDSLIDFKGNNFEYIPFGAGRRMCPGMTFGLASVIFPLALLLYHFNWELPNQMKSQDLDMIEDFGLTVGRKNELCLIPTVYDV >RHN66127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8820011:8821866:-1 gene:gene14046 transcript:rna14046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MVTIIASHTVIPEDATPQGCLWLSDIDQVVKLRHTQTIYIYKSKQNTDKAIETLKNSLSKILVYYYPVAGRYCYKEGGRIELNLNAKGAILLEAETTKTIHDYGDFSPSDSTMELVPIIDYNQPVEDIPLFVVQLTRFQNKDEGLAIGIAYSHPLSDGLGAIRLINSWAKIARGETLEVNELPFLDRTILKFSHTPLVRFEHKELKPLPLILGRKDTSEEKKKKTSATLLRLSPEQVDKLKKKANENDILAIKNKGSRPFSRYEAISAHIWKCASRARELEENQESVVRFNADIRTRLVPPLPKNYFGNALTQTAAIGYVGEITSKPLGYVAQMIREATELVSDEFIRSQIDVIRGFEHLDDARKLFMGGVGENIPYFGNPNFNFTSWMSMPIYEADFGWGKPVYFGLAFVSPHDRAVILHSPDGDGSVLVCLHFQIALLELFKKFFYGDI >RHN61515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36087345:36089020:-1 gene:gene23988 transcript:rna23988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mandelamide amidase MVAVSLGTETHGSIICPADHNSVVGIKPTVGLTSRAGVIPISPRQDTIGPICRTVSDAVHVLDVIVGFDPRDYEATKSAAKFIPSGGYKQFLNKQGLNGKKIGVLRNPFLIPYKGSNVTSIFEDHLNVLRQRGATVVDNLEVENLSILMDPSQSGEMATLLLEFKLSINKFLQELIYSPVRSLAEIIEFNINNPNLEKTNEYGQDFFMASEMTSGFGESEIEAVKKMEQLSKNGVERLIKKNQLDAVLAIGSDATSMLSIGGYPAITVPAGYDRQGMPFGICFGGLKGTEPKLIEIAYDFKDNIKT >RHN38484.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000010.1:532764:532997:-1 gene:gene50683 transcript:rna50683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase MCHFQAAVDDFPSDTKHKDIVLESGLFENRDNFLIFCQKSQFQFDTLRRAKYSSMMNLNHLLLCARSLSFSFKMNTN >RHN52545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36515187:36523679:1 gene:gene37234 transcript:rna37234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSSTGDNPTVPTATNSDDLRRYFCHQCERTSLISTTSTDLICPNCNSSFIEELESPIPNPNPNPLPPFFSHTTEFPAGGFSFPMLFSGNGAPTATATGAGAGAGSLPPFNDLPAFFQTSPSMSPPSPSPFGEAFNPMAFIQNYLRNMNAGGGGGGGIQFVINDGDEPGRFRFPGFPGNANLGDYFFGPGLEDLIQQLAENDPNRRGTPPASKSAVEKLPVIEVTGELLESDSSQCAVCKDTFALGEKAKQMPCKHIYHDDCILPWLELHNSCPVCRFELPTDDPDYEQRARGGGGGGGGAGNGGGSGGGGDDSVRTRFRVSLPLFRHVPSSSGAAAGTSNVEGVNNNDSSSGQPNAENRGNQNFESETRQEDLD >RHN65883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6213531:6214479:-1 gene:gene13776 transcript:rna13776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKNLKILIIRNARFSNSPQILPNCLKVLDWSGYPSSSLPSEFNPRNLAILNLHESRLKWFQSLKVFERLSLLDFEGCKFLIEVPSLSRVPNLGALCLDYCTNLIRVHDSVGFLDRLVLLSAQGCT >RHN42644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39937000:39937511:-1 gene:gene49109 transcript:rna49109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MFCFIFTIEICLLTLSDALFFILFALQGTIFVATFPAAVCCLPFEYVMIEIKKMQPDDKGKYPYTGYVDCAVKTLIAGGPLKFYTGFSVYCARIFPCVTLYILLMADAMYLLQPI >RHN48229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45965575:45966566:-1 gene:gene42921 transcript:rna42921 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFKSTPGLSSSAPLNMNRDIHCKRADDSEPRISLGTRYVSCLIFDIQNVVNSPHPHRRSIKSDSTNSSKKKCVNAAASSLTIKNLNSITNSMKKGSRSVNVEEDTNELPLPKSSKQHSHSSSGNIRESHAKEKSDKPRQPKKHSKHSTPNNDSFNNESKLTTTEQSRSMDTDSLQLHGTTNDNLPSKRTYSKKTKDIQGVGGSSKARSKAQHKEPDSGEGTHSRSSSKSKESNSNEGSHSRTGSKSRDPDSKEGPHSRSGSKSKNLNSNEGHPSRHDAKSKSKHRLSEDGQLERESNEDLLRKYTN >RHN56708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33046381:33049139:-1 gene:gene32146 transcript:rna32146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MLMPDRWSRSTRYSQKQNLSSNQSLIQAYSKMNSRIQIVFFVVTFATILSPTIAKLSSNYYDEICPQALPIIKSVVKQAIIREPRMGASLLRLHFHDCFVNGCDGSILLDDTPTFIGEKTAIPNINSLRGFEVVDQIKAAVDKACKRPIISCADILAIAARDSVAILGGHKYWYQVLLGRRDSRFASRDAANINLPPAFFNFSQLIANFQSQGLNLKDLVVLSGGHTIGFSRCTNFRSRIFNDTNINTNFAANLQKTCPRIGGDDNLAPFDSTPSRVDTKYYKALLHKKGLLHSDQELFKGDGSQSDRLVQLYSKHSHAFAYDFGVSMIKMGNIKPLTGKNGEIRCNCRKVNQI >RHN67000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22634899:22635363:-1 gene:gene15129 transcript:rna15129 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVFGLTNIMARIMRSSFLNLLLLVVLFTNLLHCFDAQPVPTPAPSPNSPSPAPNLRPPSPTPAPVPPPNSPPPAPVPSPISSPPPNPNAPEPEPTAAGQGGSSGLSGGQKAGIVIGTLLGAAILGFIGMVCWKRRVNIRRNRYSDAARNIEL >RHN68417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35318615:35319475:-1 gene:gene16767 transcript:rna16767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >RHN51357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16620561:16622518:-1 gene:gene35783 transcript:rna35783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MICNQSSSFIIAISLLISLLGNGLPSIGCPFNLSCTHDNKILELPAYPVPIKLLIRVINYTSQVLEARDPEHCFPRLLLQPNFSSSIFPFRIIDGSRFPVLYNEFTHISFFDCSSLGQRYLRRVHSQQDMISCPIYIAGFYDDVVELNLVSCTKLSQRVSPLILSDESVYGIQQNSILLSWSGTTIDKGCLECKHKSKKKIILSSAGVIIGSTLLVLLFGSIFQIYRYVKMKGEDHTRIENFLKDYRALKPTRFSYADLKRITNKFKDKIGEGAHGAVYKGKLSNQILVAVKILNNAEGDGKEFINEVGTMGKIHHLNVVRLLGFCADGFHRALVYDFFPNGSLQKFISHPNNKDDFLGWDKLQQIALGIANGIEYLHQGCDQRILHFDINPHNILLDDNFTPKITDFGLAKMCSKNQSNVSMTAAKGTLGYMAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGRKNTKTTSGDENIQVEYPDWIHNLFEGDIQIPIDEEGDFRIPKKLATVGLWCIQWHPLHRPTMKSVIKMLQAEADKLKVPTNPFGPTTKTKTNSNIVAERINLELEVIEEIE >RHN71665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1760557:1764993:-1 gene:gene7289 transcript:rna7289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MTGNKNWFIDIDEQYHQSVKLGNNFKMAVVGRGNVRLHVNGIVQVLNNVYYVPELKNNLISIGQLIEKGVSVLIQDGECHCYHSKEGLFLQTKMSANRMFVFHAKPMSQVTTCFKAASEDETYLWHCRYGHLNFNGLKTLHSKKMVTGLPEIRTPSKLCKDCVIGKQQRKSIPNKGLWRATHKLELVHSDICGPTRPLTSSGKSSHGIKRQLTTTFTPQQNGVAERKNQTIMNMVRYMLSEKHLPKFLWGEAVNWAAYILNRSPTLAVKDKTPEEAWSDIKPVVHHLKVFGCVAHVHIPEAKRKKLDAKSFPCVMLGISE >RHN61231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34020717:34024830:-1 gene:gene23672 transcript:rna23672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDDDYASTDEDYQYSDQDVEEEDSVGEFYENADDSIQLPSSIAPAAQVITKESLIVAQKEDLRRVMDMLSVRQEHARIMLIYHRWDVEKLFEVYVEKGKAFMFAQAGVSVDEYHDSGSLDSAIVMCEICMDDIPSDEATRMDCGHCFCNTCWTQHFFVKVNEGQSKRIRCMAHKCNSICDEAVVRTLLGRKHPDMAEKYARFLLESYIEDNNKVKWCPSTPHCGNAIRVGGDDDLCEVECSCGEQFCFKCLSEAHSPCSCLMWELWEKKCRDESETVNWITVHTKSCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSSIAGHSCGRYKEQEKSAERAKRDLYRYMHYHNRYKAHTDSFKLESKLKDIIQGKISITEEKHSGRKKTELNDYSWVNNGLLRLFRSRRVLSYSYAFAFYMFGDELFKEQMSEAEREMKQNLFEDQQQQLEANVEKLSKILEEPFDTFKYAKLMEIRMQIITLSALIDDLCKKMYECIDNDLLDSINLGSVHSIAPYKSKGIEKASELPVCWSNKADNTV >RHN65470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2482548:2483097:-1 gene:gene13311 transcript:rna13311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MHDHVDIFKAIPLFSNLIHIELWFNALFHGWDCVVELLCHCRKLQIVFIRKWSSSLAKEWKCPSSVLECVSSHLISCTILNFEGSANDLRFATYILQNGRILQNMTIDVTTSSSNGKLLEKSQIIEELSSCPTISPGCSKLSFEYNYLLQLSLFFL >RHN74070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:26231550:26235047:1 gene:gene10027 transcript:rna10027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PDZ domain-containing protein MVGTNVKAETKSLMDKRSALESEMNSIIARLSQFPGAPGLSGNLIDSEGFPRSDIDVPLVRAERRRLAELRNDYTDVTDKINQNIHILHSTRLGNHKNSDMQTSSTAETVASTPSQNVLVSLSPNSMDVDVLVSRPFAVVDEISDASPAVEDGLQLGDQILKFGNVEAGENLLHRLASEAQSSMGQTVPVVIMRQGTVINLTVTPRTWQGRGLLGCHFRIL >RHN46088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28396923:28398039:-1 gene:gene40528 transcript:rna40528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MSPPGSSRWSPTTEQLMILEELYRSGIRTPSAVQIQQITTHLSFYGRIEGKNVFYWFQNHKARDRQKLRRKLNKQLQLQQQQQQQQQQQLHVHHCQLNHNNMISNHFVGSTFGYPFGSNAQDFSFFNSPSLLFEGSVSANTSEGEINCKWNGYNNQQSLMEKKDIAFSNYGWTTLMDNVNHEAPSCCTKPLKTLDLFPLTTTRIKD >RHN61464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35619797:35621393:-1 gene:gene23935 transcript:rna23935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MNVRNSVALVVGVTGMAGLSLAKALKQPDCLGGPWKVYGAARRSADGWFPSSILDGFITFDAVNSADTHDKLLPLVQEVTHLFWVTFQFVGDEEANITVNKTMLLNVLTVLKSSPSSSLIHITLQTGTKHYMGPVHDPVLSTKLICHEPPFHENMPRLPYPNFYYVLEDLVTSYAPSVTYSIHRSSIIIGMSPRSAHNVLMKLAVYAAICHHLGLPFRYPGNKYTWEHFCDMTDAGVLAKQHVWAAVTEDAKNQAFNCTNGDVFTWKSMWMLLSEVFNVKFVELNDKEEFDLVELMRDKGEIWDLIVEEYGLHKTKLEEIASFEATVPVLRFQFQHVSSMNKSKDYGFFEYADTFKSIRFWVAKLREMKLIPVYKH >RHN76404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49979365:49983214:-1 gene:gene12725 transcript:rna12725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MDILTKLIKRVLSFAEKVESLQKFARVSKQFSLPLCFDSISHQKTPNLGFAEDSKEVFVYETMVRRSLRSRKHKHNENEDIISNLTDCILIHVLSFLNAKEAAQTCILSKRWITLWKGLPTITLSSSNFRTEKSLAEFLSHFLSLRDGSTTIHTLDVHCLYYLEPSLFQMIIKYAISHNVQHLLMNFPCRIDLFPSCFFSCHTLTSLNLSGYDILFGQIPIFPKNLNLPALTSLSLKYFSFHRSDAGCVVEPFSTFNMLNRLIIDSCIVQDAQNLCISSTKLVNLTIIMHDCEPKTIIGTYFGLELYAPSLQTFAFSGPYTPKLFASKNVLSSIKHVNIHVTCYWNSKARETSPVLLNWLVVLTNIESLTICLYTLEVLSLVRDLLKVEFPSLFNLKSLKLKTPMPSSKLDKRVDFLIQNSPSTKVDIIHL >RHN59595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12336453:12339930:1 gene:gene21646 transcript:rna21646 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:APY1 MVLLGQNTKNMMNFMTLITFLLFIMPSISYSQNLGNNILLTNRKIFPKQETISSYAVVFDAGSTGSRVHVYHFDQNLNLLHVGKDVEFYNKTTPGLSAYADNPEQAAKSLIPLLEQAESVVPEDQRSKTPVRLGATAGLRLLNGDASEKILQSVRDLLSNRSTFNVQPDAVSIIDGTQEGSYLWVTVNYALGTLGKKFTKTVGVMDLGGGSVQMAYAVSRNTAKNAPKVADGDDPYIKKLVLKGKKYDLYVHSYLHFGTEASRAEILKVTHNSPNPCILAGFDGTYRYAGEEFKANALASGASFKKCKKIVHQALKLNYPCPYQNCTFGGIWNGGGGSGQRKLFAASFFFYLAAEVGMVDPNKPNFKIRPVDFESEAKKACALNFEDAKSSYPFLAKKNIASYVCMDLIYQYVLLVDGFGLDPLQEITAGKQIEYQDSLVEAAWPLGNAVEAISSLPKFEKLMYFI >RHN79990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34163322:34169290:1 gene:gene3857 transcript:rna3857 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMKLLEYLWGLKPEFANIIGTRKEEKGGYAAVSRKGVVGLCDSMIFLSKRIE >RHN69735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45933630:45941082:-1 gene:gene18247 transcript:rna18247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative caffeate O-methyltransferase MYGPDTPNSTKQFLIKFYIIAIHFASLRSHSHTPSFFHILQITTTISKTSSITTMGSTGETQITPTHISDEEANLFAMQLASASVLPMVLKSALELDLLEIIAKAGPGAQISPIEIASQLPTTNPEAPVMLDRILRLLACYNILTCSVRTQQDGKVQRLYGLATVAKYLVKNEDGVSISALNLMNQDKVLMESWYHLKDAVLDGGIPFNKAYGMTAFEYHGTDPRFNKVFNKGMSDHSTITMKKILETYTGFEGLKSLVDVGGGTGAVINTIVSKYPTIKGINFDLPHVIEDAPSYPGVEHVGGDMFVSIPKADAVFMKWICHDWSDEHCLKFLKNCYEALPDNGKVIVAECILPVAPDSSLATKGVVHIDAIMLAHNPGGKERTQKEFEDLAKGAGFQGFKVHCNAFNTYIMEFLKKV >RHN77359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6285675:6288937:1 gene:gene805 transcript:rna805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ternary complex factor MIP1, leucine-zipper MQRSLRNGSKGGKSSTIERKLALQQDVDKLKKKLKHEENIRKALERAFNRPLGALPRLPPYLPPYILALLAEVAVLEEEIVWLEEKVVHFRQDLYHEAVYLSSSKTKLEHLSPLPNNSNTASTMNSPKLDELKSLSQTVDDNPAATSTTRPTIPLQDNGQGKENRSGTNSFKSRLQPSKQMSRSPIKNLHVDNKSSLHKKSHSPKRKQQPRVKEQKIAELRQPSPHQMSTEADCPNVISENILKCLSSILLRMSSVKYPGSAGDISSPLWALKPQNRVEGTEFWDPYGICLEFGRRDIGPYKHLCAIDAKSFNAKRTGNTLFLLHRLKLLFRKLTSVNLENLNHQEKLAFWINTYNSCMMNEFIENGIPDNPEMAVAMMRKAKINVGGHILSATTIEHFILRLPHHYKFTISKGAKNHDMIARSIYGLELSEPLVTFALSCGTWSSPAVRVYTASQVENELEVAKREYLQATVGISTSKFAIPKLLDWYLQNFAKDLESLMDWICLQLPSELGKEAIKLLEERKNEPLSQFVQIMPYDFSFRYLFCT >RHN79920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33520641:33527335:-1 gene:gene3778 transcript:rna3778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MADFTPNSSNCTPTTSSSSTSSSSCFQRTVTLYDWWLVKSSQGNNHRLAISGISSTKEEAVRVFNSAPIIKRYDEVSLETVDALYVLIRGFINKQRTLDNGFTPQIFKSFLFGFPQNWESSFLSCVREESETGTDSGNAVLDNEFAFYQGTFSVGEEKSIPTSSVLLEEAPENCKTPFPGDECKVSKKMTGVDIACSSGKNRRSTRLHNIKVCQQKKPKQHPESRGPFKHPDGEPSSTTMAVENHDSDSVVPDDVPANLPEISFDALENSVPTSLVTTDCNMSFLEDEQDMSIKMSEVNNVHGSGRLHNTKDCQKKQPASGDPLKNPEKEQSSTLKVVENHDLDTAVPDNVSTNLPEILSDAVEKSFPTSLVSLDKTTGDRNKAFLEDERDMSIKTGRVNVVHGSGGNKRSARMHNVKPYQKKQPATGDPATHPDKDQISASAVLKMSDGGLESLSTPVQSQKGRVNTMSGQVTNKLSSRISKSFSAKTQGRCKKKRATIETEVVTPKRKIIKHASSVKSPQGRNVSHSNKGSQQRLSTVSPESLSLKKSRSGRWLLPPLEFWRNQQPIYNMDREITEIQEGSSLISPFRGSSSLGR >RHN41857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33626728:33628145:1 gene:gene48220 transcript:rna48220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MASSLNNYVINVVLFLAIIHFKTTSSSSHHHHHHNNNLKSIHFSLYQHETINKTGYIIVNGIKGNAGVTQTTTPFGTLFVFQDPLTLTSNRSSKLVGIAEGTSITSSLDGLRSISIAKLTLRLKNHKGSVSIVGGTNNVKASDHPIVGGTEDFMFVQGYVTSSPVDLKGLTVVYKIEFHIYWPPYAIQSS >RHN40465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16537804:16539140:1 gene:gene46617 transcript:rna46617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MVMAMLVKTYTLFVNQSERTSSNPFANILLDFMTVHGASSGLVPPVTCIVSDISMSFTIQAAEELSLPVVLFNPSNACTFLTFIHFSTLLDKGLIPLNDESYLTNGYLDTKVDCIPGLQNIRLKDLPDFIRITDTNDSMLEFIIEAAGRAHRATAFIFNTSNELEKDVMNVRSLLDEPSSVVYVNFGSMTVMTAEKLLEFAWGLTNSKQHFQWIIRSDLVICGSVVLSSEFKNEISDRSLIASWCPQEQVLNHPSIGGFLTHCGWNSTTESIYAGVPMLCWPFFADQPAKCRYICNEWEIGMEIDTNVKRDEVEKLVNELMVGEKGKKMRKKIIELQMKVDEDPRPGGCSYMNLEKVIMEVLLKQNQT >RHN72270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6307137:6308480:1 gene:gene7964 transcript:rna7964 gene_biotype:protein_coding transcript_biotype:protein_coding MGAITGAVIAVVGVILGWITIEIACKPCLEQGRQAIDRNLNPDYDPDDEDSAAAVRAPLNPAPVAAKTVS >RHN64249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57655809:57658764:-1 gene:gene27044 transcript:rna27044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin MSKIINFEEGWGFIQQGIKKLKNNLEGFHETQFTADDYSLLYTTIYRMCSQKLPHDYSMILYDKYKEVFEEYIKSTVLPSLRGKKDELLLRELVQRWSNQKTMTRCLSRCFHYLDRYFIKRRGLNSLEETAFLSFYNLVYVEMHHQVMQTIIAMIDRKRAGGPIDEILINNALNFYSEIGDRTGKTDPKHFAETFIKENAAIYSMSRLQIE >RHN77353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6239904:6240197:1 gene:gene799 transcript:rna799 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVDAIIGLNSLFTTVTFIGLGWTQNDPSNNVNADPKCISNTSAATCLVIFNGFLFGYFLISNLIVYGLRVVLCLCTATTRRNHILHTCMVVFDVL >RHN71164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56867433:56869195:1 gene:gene19814 transcript:rna19814 gene_biotype:protein_coding transcript_biotype:protein_coding MTNCFSFFRRRRTGGGSSKERDVEDSLSSKSNVNSEYRNAFRTKSYVDICNKAQGYGIENTSMRSSHSNSCSTSSSSLLEPRQEIVTNMIKSFKVHHLLVDYFEASLEAYLCCDKILQGVRQTRFGYGKVINVVNKLSQRVVEYDTDTDVDTNVNDNIIYEELVSSVINNSLCLSNNIINFCDIQEKHIALLNRLNSKRLKLKRRITIKRLCKKVGGIGLVVSETALLVALLVFAFHSIIGLAAAPYVVGGSFGLMKKRSKWENKKYNSCEKLYEQIDVAAKGVYIVINDLDTMSRMVKRLADEVEHCREVADICVKNYGHGNGRCVILKMVLREFRDCQTNFMDQLEELEEHIYLCFLAINRSRRQLMQKITDKKY >RHN68518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36163086:36164323:1 gene:gene16881 transcript:rna16881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MVKSETNKIKKEPSNSISDSSKKKYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLKGSSSASNLNFPLTSSSSHYNIPQDMSPKSIQRVAAAAANSFIDNNNNNVNVNVNDNANTPSSSSLVSSPSSMVSSDDVSSLMSSFDQVNDESMAMISETNWYGLEGLQSPKYVDQMLLSASFFDIDSSSHLLGDDLYEESDIPLWNFS >RHN70187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49483074:49491069:1 gene:gene18743 transcript:rna18743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MPEKKSKKVTFSENAIATITQRYDVATVLTVFQELSHYAADENFNWNELVKKTTTGISTAREYQMLWRHLAYGYSFPEDLDQEAQPMDDDSDLDCELESLPSGCAESTSETSACVKVIIASRTLSESTPSSATIEAPMTVNFPVCHSSRTSNISQPSILMDQSSIMFPVTVPRQTLPTVSSTDALETKVTIGGTAASKRKRKAWSEEEDNLLRDAVQKWGEGNWATMAKGDSFPIKRSATQLSQRWSALRKKDGSANPGPTATTVTTNTQYTAEQLATRHSLNLALDMPFKKLSAPGVTDPGRTSTSIKNQVQPRNTAQFSTIRSSVPSQRPAQQACGSPTKPKLASENSVSKCNAMSARESKPGIVHSGAQTQTVSRTNAAPHLMVSQAKNVAHTVPACSTLTKTPISAGGHQKIRSNVPAGSSLTKTPISTGLAPVQPNVNVPDGRSLSRTPIAAGLPSNQKVHSNVHVGSSLTKIPISAGLPSNQKVYSNVPAASSLTKTPNSAGLPSDPKVHSNVAAGSSLPKTPISAGLPSNQKVHSNVLAGSSLTKPPISVGLPSDPKVHSNVSTGSSLTKTPISAGLPSNQKVHSNVPTGNSLTKTPISSCLPSNQKVHLNVSAGNSLTKTPITAGLPSNQKAHSNVPAGSSLTKTPITAGLPSNQKAHSNVPAGSSLTKTPISVGLPSNQKAHSNVPAGSSLTKTPISVGLPSDKKVHLNVHVASSLTKTPISASSPSNQKVHSNVPVGSSLTKTPISSGLSSDKKVHSNVPVASSLTKTPIYAGLPSGPKVHSNVPAGNSLTKTPVSASSPSDPKVHSNVPAGNSVTKTPISAGLPSDPKVHSNVPAGNTLTKTPISAGLPSDPKVHSNVPAGNSLTKIPISAGFPSDPKVHSNVPAGNSLTKTPISACLPSDPKVHSNVLAGNSLTKTPISTGLPSDQKDKHVTSVKEEEKRLAEPGSTPKENVKEEASTSTTEGQVNRKLDKARLDLDKAKSMPSEEVLEHKAVPQNPVGSEERGSVKNSNFTPNKATENGNDNLNKESQNLNQDKKTSSVNENSQHQNMKEKLVNLPKQDECRQGLEVP >RHN61944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39536327:39542794:-1 gene:gene24460 transcript:rna24460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydroflavanol 4-reductase MKEEMEEAMRMVRSSGQIVPTAKYCVTGATGYIGSWLVEALLQRGCTVHATVRDPEKSLHLLSLWKGGDQLRFFRADLHEEGSFEEAVKGCDGVFHVAASMQFNVNEKENIENFVQTNIIDPAIEGTVNLLKSCLKSNSVKRVVFTSSISTITAKDSNGKWKPIVDESCQIQTDTVWNTQPSGWVYALSKLLTEEAAFKFAKENGIDLVSVITSTVAGPFFTANVPSSVKVLLSSLTGETENYKILSAVNARMGSIALVHIEDICNAHIFLMEHAKAEGRYICSTQSCTLSNLAALVSKEYSNPTTQRKSQKYDKVPTEISSKKIKDLGFSYKHSLEEIVHQTIMCCLDYGYLPSV >RHN61614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36841087:36843478:1 gene:gene24097 transcript:rna24097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-fructofuranosidase MDRPLGRCATLSAAFFSALNKTEENSSSNQVDNPNDKADSLLQNSVPMINEAWESLRRSMLYLNGDPVGTIAALDSSNEKLNYDQVFVRDFVPSALAFLMNKEYGIVKKFLEMTLRLQNERKVIDRFHLSAGVMPASFKVKREAGKNHESVVPDFGGSAIGRVAPVDSGFWWIILLRAYTKSTGDTSLADSDACQEGMRLILNLCLSEGFDTFPTLLCTDGCCMIDRRMGLYGYPIEIQALFYMALKCAMHLLKQDKQGNEFLDRILFRLNALSYHLRNYFWLDLKQLNDVYRYKTEEYSHTAVNKFNVMPDSLPDWIFDFMPHRGGYFIGNVSPARMDFRWFCLGNCIAILSCLATPEQSTAIMDLIESRWDELIGEMPAKVCYPALENHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAASIKTGRQKIAEHALKIAETRLLKDNWPEYYDGKLGRCIGKQARKCQTWSVAGYLVAKLMMEDPLHLRMVAFEEDKLLSPQHRRSKSCPW >RHN41532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30811968:30812756:1 gene:gene47865 transcript:rna47865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain, legume lectin MAINTSRTQILFITIISFLILAQNVNSAAFTVSNFDPYKTSIELEGNAFISNGSIHLTNVVPNSAGRASWGGPVRLWDAHTGDLAGFTSVFSFVVAPTGPGLFGDGITFFIAPFNSHIPKNSSGGFLGLFNAETALNTYQNRIVAVEFDSFGGNPWDPVYPHVGIDVNSIASVTTAPWKTGSVANGFTAIAFVNYEPVEKNLSVVVRYPGGNFVNGTSSSVSFIIDLRSVLPEWVRIGFSGATGQLVELHKILSWTFKSSFQ >RHN82657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55488654:55489826:1 gene:gene6834 transcript:rna6834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTNYCASSSSSSSSSTHGNPLHAPPLPTLPFDLVAEILCLLPVKHLIKLRRVCKSWNSLISHDSKFAKKHFRLSTSNHDRHHLTLTPTRISHEFVLSDLPLSTIFSREEFVSTPRVTPIRYPPFPNNIGYNYCGRPSTCHGIFCFGINESFAVLCNPSIGKSKILPPLELETRTNLKTFYTLVYDRFTDNHKIIALSKCPGYQEVNVHTLGTYNWKRIQNIPSQCILFEPGIFVCDTVNWLAYDTCSYLWLIVSFHLEKDSCRTLLQPLHDNLFSPKRVLGELRGCLSILSHPSKFYDVWIMKEYGNEQSWNKLLSVPKMGGYPCFRGAYTKILYISEDDQVLLQFMKIREYGLVVYDPRNYTFKTLEIQNISGWMEPNIYVESLISPLS >RHN60039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17397002:17398519:-1 gene:gene22234 transcript:rna22234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MRAIRTLSCENNKRILTTLYNNLQTPRNPHSPLRFLKILETHHQRFLHSHHDSPSHASFIQNLLKFRRDKPTEQIERALNLTGIHPNNNLVLQVLQRHRSDWKPAFIFFKWVSKTNNYTPSCEVYNEIINILGKMKCFEELHQVLDEMSQRKEFINEETFCILIRRFVAAHKLEEAINIFYRREEFGLDNELDSEAFRTLLMWMCRYKHIEEAETLFHRNLNKFGFCRDIKTWNVILNGWCVLGNTHEAKRLWKDIIAYKCKPDIFTYATFIKAMTKKGKLGTALKLFNGMWKEESCKPDVVICNCLIDGLCFKKRIPEALRMEKVYELVEDMERRSGDCLPNGVTYSYLLQSLKAPEEVPAVLERMERNGCAMSDDICNLVLRLYMKWDDLDGLRKTWDEMERNGLGPDRRSYTIMIHGHYENGRTKDAMRYFREMTSKGIVLEPRTEKLVISMNGQLKERTEKQEGVEIEASNV >RHN59620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12543497:12544653:1 gene:gene21678 transcript:rna21678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A1 MADRYCTSSFSYCNSFLIAGHMYRTNWGIGHGIRDILEAHKGPFTGQGHKGLYEILTTSWHAQLSINLAMLGSLTIIVAHHMYAMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRILRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPVFAQWIQNTHALAPGTTAPVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSACDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGSINDQGVVTHITGGNFAQSSITINGWLRDCLWAQASQVIQSYGSSLSAYGLFS >RHN50320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6128632:6129501:-1 gene:gene34592 transcript:rna34592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MAAANIASTGIVLEVLTRDNYLDWSVLVKNYLIGKGLWDNVVEGNVNPIQSDGKTKNDDALHSKQSDWKSKNGQALHAIQLSCGHYTLRQIRNCVTAQEAWNHLKAVFSEDLRADKSYNDIEQGGLQSDIDKFHVAVKKGMWNDAILFIRNDGDIISQKSPSNGWTSLHVAVDAGQDKIMQKLVEMGALLTEKDWEGYTPFALAAKSTDDTKMVKWMLAKGGNDLLTMKIKADDGKGDIPVLLAAAKGHKEMTRFLFSMTRWFTLTDNNYYYGAKLLSYCIHAEIFGKC >RHN41738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32516599:32530282:1 gene:gene48090 transcript:rna48090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CMGC-DYRK-YAK family MDEVVRPVGSSCSSEVEQSQSRDTVVETASSSDSGWRPRELAFAPFVPRGDEQRVNAAGKSLKLRAFVRKPLVARLTKGIVETYQICNPKFKYSDDLNPKRFLTSPSTGVLNDGFDNANSDLILTVNLVLIHLEKSRRYIVKDLLGHGTFGQVAKCWNSDTNSFVAVKIIKNQPAYYQQALVEVTILTTLNKKYDPEDKHHIVRIYDYFVYQRHLCICFELLDTNLYELIKMNHFRGLSLGIVQLFSKQILCGLALLKDAGIIHCDLKPENILLCTSSVKSAEIKIIDFGSACMENRTVYSYIQSRYYRSPEVLLGYQYTTAIDMWSFGCIVAELFLGLPLFPGASEFDLLKRMIEIIGGQPPDYVLRDAKNTSKFFKCIGNLQNIEISEGSKNGRVYQALTAEEYEARDLKKPSIGKEYFKHMNLEAIVTNYPYRKNLPKEDIAKESQIRLALIDFLRGLVEFDPAKRWSPFQASKHPFITGEPFTHPYRPSPETPHIPVVQNVKVDNHPGGGHWFAAGLSPNVAGKNRASLYSSPHFQLAQHPPANSYGSVGSHGSYNDGIGLGSSYGSYGESSNMFAYYSPIAPSGMNMHSQGGMSMLGNSPDARRRFKYQPGNGLGVSPSGGNFAPLPLGASPSQFTPPSSYSQISVGSPGHFGPTSPARGASHGSPLGKTAAVSQFNRMKNWGHSGSPQTQETAFSSHWHGQYPDSFSQAEGTSHAPGSSPSYSQSNINPGNWKQRGSGGVSANQNIPSMVMPGSNMNSQSMELVRDNVETGFSLPDPGDWDPNYSDELLLQEGGSNESSLTTEFGRSVNLGSTEPWSGFGRLNGPGQTLSNVEMGSPPTHDLPAAYIPSMSKPFHLTPHISQNSPSRFGHQSVQRFTHGRPPQGSDWNQIKIQAPSNFSNVGPRSPRNASFTGNMTWGRRMNPPMASIPPISNMPPTSRSRKDYARID >RHN45316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16539880:16540485:-1 gene:gene39583 transcript:rna39583 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps4 MSRYRGPRFKKIRRLGALPGLTSKGPTVGSELKNQSRSSKKSQYRIRLEEKQKLRFHYGLTERQLLKYVRIAGKAKGSTGQVLLQLLEMRLDNILFRLGMASTIPQARQLVNHRHVLVNGRIVDIPSYRCKPEDIITAKDEQKSRTLIQNSLESAPREKLPIHLTLDPFQYKGLVNQIIDSKWVGLKINELLVVEYYSRQT >RHN59919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14791525:14792543:1 gene:gene22088 transcript:rna22088 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTSNSTARCSVAASCVGDLILQKSLRVAFVSGSSPTWFNGSIRNNFIIPSGVISLINYVRRSMS >RHN56478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31142379:31144865:-1 gene:gene31886 transcript:rna31886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKRGKNMSKILKFIYATLVLYLFLVVTKASDDECKIDGDCPISWQKFHTYKCINQKCKWVLRFHEY >RHN63628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52793015:52796208:-1 gene:gene26353 transcript:rna26353 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQSTVDVSGNSASGKPKLQRYPLRSASRSKEQKPDASVTTIPSQSKRGRSIPNVSQSVGVLEFSGKDRSSSAKPPRRLSNPVKASATPSPKAVGNITPISETRSRRSGNSQEPPTRTRTPVSEIFRSSTKMKFSLLSSASYWLSQIKLSENVEKHNISLGFFKLALEAGCEPFQKLQDELKSYLRRHQQKLTELGEQVKVLVESYNIAEIIEQSQVSESISQMPEEETRSSDDEGNFSTSSTMVTGKLTPKCLNTASIQVTSPVKAIESPKKETSQKKNLTASSQVTSPVKTIESPKKETSQKKIFGTKLKENLRMNSAISKSASGSLNRSRSVKKSDKSSKLETKKSGVKKYGRKADAKEVSVSPITSTEEKENMDVRSTDDDLIEVM >RHN78990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20604017:20613492:-1 gene:gene2666 transcript:rna2666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo-like helical, condensin complex subunit 1 MAPTFVFPRTLQHLEQDSSSEDNDNNNNNNNNNLSVQNPTNISSLSSSQLEEFVKGVSFDLSDREILCIQDQDVFDRVYSLVRGYSSLHHSCKLNLVESLRSNLAVLLPNVDSLSRVSNEDVDDHVLVVDRVASHRNAFKIYTYFLLNIVLAEDSNNAPKVVAASSRKKQPAHSWNWEPQRARILNLIASSLEINLELLFGSSDPDEGYLSFITKNAFTMFENAALLKDSNSKDALCRIIGACSTKYHYTTQSSVSIMHLIHKYDFAVVHMADAVAGAEKKYSDGSLATSIVREIGRTNPKDYVKDTIGAENVGRFLVELADRIPKLISTNIGILVPHFGGESYKIRNALVAVLGKLVSKAFKDIDGEASTKNIRLRTKQAMLEILLERCRDVSAYTRSRVLQVWGELCEEHSVSIGLWNEVAEIAAGRLEDKSAIVRKSALNLLIMMLQHNPFGPQLRVTSFEATLDQYRKKLKELEPSEGSDNENSNVDGEVDDLNFEAVEKERQESVTDTFMSQEEDAIPMQNGSDSSVPDVGNLEQTRALVASLEAGLRFSKCIEATMATLVQLMASSSASDVENTILLLMRCKQFQIDGSEECLRKMLPLAFSQDKSIFEAVENAFRAIYIRKNPMETAKNLLSLATDSNTGDLAALEFIVGTLVSKGDISSSTISALWDFFCFNVGGTTAEQSRGALSVLCMVEKTSTGVLGSHLQDIIDIGFGRWSKVDPLLARTACLAIERLSEDDKAKLLANNSVRIFGILDSLITGFWLPANIWYAAADKAITAIYAVHPTPEIIAADMIKKSLSSVYKDCGGSDTDTSSSMPITVQVAKLSRCLFVVSHTAMNQLVYIESCARKIQKQKLAKEREDIESQNTDSNGTASTGTQKDNDINAELGFAASEDAALDAMFEKAEKEIVSGGSKDKNLVGICATFLSKLCRNFGLLQKYPELQASAMLALCRLMVIDADFCDANLQLLFTVVENAQSEIVRSNCTIALGDLAVRFPNLLEPWTEKMYARLQDTSISVRKNAVLVLSHLILNDMMKVKGYINEMAVRLEDEDERISNLAKLFFLELSKKGNNPIYNLLPDILSKLSKQNLSNESFFNIMQFLIASIKKDRQMEALVEKLCNRFSGVTDVRQWEHISYCLSQLSFTEKGMKKLIDLFKTYEHALSEDSVMDNFRNILNKAKKFAKLELKTYIEEFEDKLNKFHMEKKEQEVTARNAQIHQQKIDSREGFNVNKNYEDHSTSNTSSDGEVIDTSTEGTNSLSINGKSGSKPVPEASSSALSELIESDQVDIEVQSPMVKTTGAQRSRIKTNVKGEKGDISIIKESSSVLSELIESDQVDIEVQSPMVKTRGAQQSRVKKTNVKDENGDISITKKRATRSRR >RHN63758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53840315:53849095:-1 gene:gene26502 transcript:rna26502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MELPQTRSYGAGGAGRKSTHDFLSLYSNSTAEQDPRPSAQASFLKTHDFLRPLEEVEAKSSAKEEATDEISSVVEKQPPLATPASVEHLLPGGIGTYSISHINSCVNNNQRVPKPEASSLFTVHQATSADRNDDNSNCSSHTSSGFTLWEESEIRKGKTGKENNVGDKPIIGDSAAKLGQWTLKERTSQSFSNNHHGSFNSRSSSQTTGQKNQSFMEMMKSAQDCAQDEELENEGTFFLKRESSNVQRGELRVRVDGKSTDQKPNTPRSKHSATEQRRRSKINDRFQMLRELIPHSDQKRDKASFLLEVIEYIHFLQEKVHKYEGSFQGWNNEPEKLMPWRNNDRPAESFQPRSANGGSNPSPTLLFASKVDEKNITISPTTHGGIHNVESSLSTTTALKTIDHPSGITNKAFPSPISSQPNFLTSTQIGGSGGAVSQLRRRLSSDAENTNYQPSVESQTMTSTSEKLKEKELTIEGGAISISSVYSQGLLDTLTQALQSSGVDLSQASISVQIELGKQASLRPNIPMPMCVSKDDEDPSKNQRKMRSGSRVASSEKSDQALKKLKMCRS >RHN64147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56914720:56916260:1 gene:gene26933 transcript:rna26933 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSKSVALVLLTLNLVLYFIVIVIASWAMNHGIQRSGEAASVLTTPAHIFPIYFPMGNMTTGFFIIFTLIAGVVGFTTSVTGLNNIFQWNAPNLNAAAMSSLTTWALTLLAMGFACKEIERGWTDSNLRTLETITIIVTATQLLCTTVIHVGASEVPQRRV >RHN62845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46158495:46163003:-1 gene:gene25465 transcript:rna25465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MGFTFSTMTLNLLLLIAMVATNILSLYHLSTTLQSPKSPKPLPPIPDELLRQLHTIRATINHLTRLQNTNPNTNTKSSIPSDLLLYSHISPIASSCHNHPDLLHKYMTYTPFSICPSDSELAESLILRGCHPLPRRRCFSPTPKKQTSSSSLPKNPFPSSLPDSSVIWDRYSCKSFDCFNRQNPNLGFEPSREVSKFTSYNSDLDLPVQQLLQIAKSAKSVLRLGLDVGGGTGSFAAAMKVRNVTVVTTTMNVVAPYSEAVALRGLVPLHVPLQQRLPMFDGVVDIVRCGRAVNRWIPVTMLEFLLFDVDRVLRGGGYLWLDHFFSKGVDLDKVYKPLIAKMGYKKVKWATGNKTDAGGVKHGELYLTALLQKPLSR >RHN82022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50632330:50636852:-1 gene:gene6138 transcript:rna6138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyltransferase A, auxiliary subunit MSSKESCRSELRIAIRQLSNRCLYSASKWAAEQLVGIEVQDPSKFTPSNTRFQRGSSSIRRKYRTHEITMTTPITGVSYIATPVMEEDEVVDGDFYLLAKSYFDCREYKRAAHVLRDQIGRKSLFLRSYALYLAGEKRKEEEMIELEGLGKSDAVNQELVSLERELSTLRKNGTIDPFCLYLYGLILKQKGNDNLARTVLVESVNSYPWNWNAWTELQSLSTSVDILNSLNVSSHWMKDFFLASLYQKLRMHNESLSKYEYLLGTFGFSNYIQAQIAKAQYSLKEFDQVEAIFEELLRIDPYRVEDMDMYSNVLYAKECFSGLSYLAHRVFMTDKYRPESCCIIGNYYSLKGQHEKSVLYFKRALKLDKNYLSAWTLMGHEFVEMKNTPAAVNAYRRAVEIDPCDYRALYGLGQAYEVMCMPLYALHYFKKAVFLHPNDSRLWIAMAQCYETDQLRKLDEAIKCYRRASNCDDGEAIALHNLAKLHSELGRTEEAAFYYRKDLERMESEEREGPNMIEALLYLAHYYKSTRRTEEAEVYCTRLLDYTGPERETAKSLLRGLRSTQSSFPPADVDHFPP >RHN50598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8421803:8427471:1 gene:gene34904 transcript:rna34904 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGMKGKNMRTSKVQVDYLIHIQEIKPWPPSQSLRSLHSVLMELKNGSSTLGSTKLVSPSLGSVIGEGRIEFNQSFRISATLLRDVSVRGGDSNVFQKNFLEFNLYEPRRDNKIVKGQLLGNSVVDLADYGVIKEGLSISTSLNCKRSYRNNDQPLLFVKIEPVEMIRVRSSLKERFSNEVFEENSNGGDSVSTLMNEEYAEEAEIASFTDDDVSSHSSVAAVSTSFESSGFTPPKTDKFQIDPNGQIQKTNFNDKEHHLASETKLGNMNMTQQDTYKKLNSSSSMDASLSTEKNATSPSSVCENLDKNSRSRTRSNDYENLEENFHDKVVHSRNIVEDVPRLSIKSTFNIHSNLNEKRDAKTNPKEARNANLEGKIDYLDKKVKMLEGELREAASIEAALYSVVAEHGNSMSKVLAPARRLSRLYLHTCRENVQGRRFGAAKSSVSGLVLVSKACGNDVPRLTFWLSNTIVLRTIISQNSKDMVLSNHAGSNRRRKTEGDGNAKIASSQRWKGDKNECFGNWDDPHVFISALEKVEAWIFSRIVESIWWQTLTPHMQHTNTNLTNKEVASASSKSNRRVSNSCDQDLGNMSLNIWKSAFKESCERICPIRAGGNECGCLPMLPKLVMEQCVARLDVAMFNAILRESGDEIPTDPISDAISDPKVLPIPPGKTSFGAGAKLKTVIGNWSRWLSDLCGMNEEDSLEDKDDNDNNKEKHNTSFKSFTLLNALSDLLMLPKDMLLSASIRNEVCPMFSAPLIKKILDNFFPDEFCPDPVPTTVLEALDLENDMENGNEFGNNFPCNAAPIVYSPLPVTSVTSILGEIGSKSQLRRNKSSVVRKSYSSDDELNDLESPLSLIFFGNSSSSRVSTKSNMKTREIPSESPVRYDLLRDVWMNSN >RHN39610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8658235:8660115:1 gene:gene45649 transcript:rna45649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MTNSFPHNYDVPVGFRFRPTDEELVNHYLKKKLLGNDSIVNNVIAEVDVCKFEPWDLPACSLIKSNDPEWFFFCPRDYKYANSKRFNRRTQCGYWKATGLDRKIKVRGTDNVIGIKKTLVFYNGCVPGVKSNWVIHEYHSATFHDNQRTFVLCRLMKKAEKKAEEEIDRMICDEGEPSRHMSSDHENQETVEGIPDVISGTLLEKNMEPMFQEPHQAENYFPFSTYQPSISENENEVSLSNSGFYDSESGHIDMQSPFETIEEENQFINSMLNDGYFVNSEKIRHTFVNFPVQSKSLRMIDYGSSDTDAELVSKPCRNVLDTSTAFLEHPSSSEYYASFRNDFGGLEASSCDSSADKPLEMINCFEISSAPSTLRRNKNQYHPRPATFMSKRAASRISQT >RHN38640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:945786:948328:-1 gene:gene44598 transcript:rna44598 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSKGRSPPTSLSSLSISPRLESLACSLVFSLFNLQRRGHNQGSLEGSWVKWFLMKTT >RHN58199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44505585:44508839:-1 gene:gene33817 transcript:rna33817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adaptor protein complex, sigma subunit MIHFVLLISRQGKVRLTKWYSPYTQKERSKVIRELSGVILSRAPKLCNFVEWRGHKVVYKRYASLYFCMCIDDADNELEVLEMIHHFVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKTVARLIAAQDSLVETAKEEASSLSNIIAQATK >RHN65626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3891333:3892630:-1 gene:gene13489 transcript:rna13489 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRFVLVVHHGGGGGGGGVVDFNHNGYNGTETVLECEPDYWAYFSILSILKRLGYPMIRSLWYHDPNLVDDLIRLRSDIGCRRMMYMYGRVHLFVEHTVGEQPVMGELNPLIEYPIQNVGANVGGNGGVHVEEIFEEVGNEGNVGNEGNLGVYVEEIFEEGANEGNGGINVGEYFEEEEVNVGGDDVEEVNEGNVVEGDMDCGANDYGNMGPTLVDDRGNLMQNMGDTVVEESEHVGPTVDGEGIFNGPTTLNMGSNEVGPSGVVNDYGRTQFGVHEEYRDEYVDELGEQREDSALDTDFWDSEEEVAKEDGFGDAVAQEERVFDDRIFEPEPKTVADDRVEREVAEQCSSGVAEAEQGTRQASESRSKEKGKEASGSKPKKKRGRPPKQQKKVSETVFKDHLIVQGNNTTEEV >RHN60097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20239222:20241069:1 gene:gene22319 transcript:rna22319 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFPPISKEENPEVLYQFIYAHFKNTGEIISYASIPDKMGGGPLKVKGKRTKISEDVAAPKPKRTKVPKAEASKASNTASDSEEVIQKKRTEKPEVQDAAREAALREKEAVKEATEEWVNEEEEEDVLEPKKKKEKQSKEIVSPMMVVTPEMAQMAKEYADNAIAKKKQLKQQYILERDEKLKAAGMVEINPLSAEKDAEILALTAEVEDQTMKEATDLLQGALKGKGISEAAGSEAHHSGNTSDQKAYVNTQTLPSSPSLSSSTTESDFDFIPLNQKIRKPRRISKTSSFEPSNVDEVQIGLSQRRIEIYKKLPADHFFQPPIIEALNMIPANASAEPTSSQIPSSNKPQPSSPSTLFSLEKHFGGEMSKTPQKASETVPEKTVLENQQPPRSVIEEEPEVHVELQNQTQNNSKTQPEIQPEIIINQQTQNETANEQHQAPELSSKLNQHTNSDKSSSSSQTIIIQQPLPNILESECIDNELLRIRDEVKELILLRKVPILSIHYEDQWMNLKKNVAELLDKISQKCLRTQAIVLKRHLAAIHRAEKAKGPLLCLANAPFFSESEYVTREDKLFQQLKQKLLSTQAEAQAKENEFQKMK >RHN66697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16703191:16707603:1 gene:gene14748 transcript:rna14748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MERGGSSNKKAPYNDYGFMDLIFSWSIEDILDEDLYKNKVEKVGLSFRSVTHYLGSYKYPLLEETRAALSSSMELIHQAPYGRVFGLKLAKPFNNENGNETENPCKNKLYNLKIEGWKNRFIRGEPYKTLPGDVLVLADFKPESMNDFQRFGRMWSFLTVVRTEDENESDKMDAVCLKVKASKDLDLDELRYKPLFIVFLTNVGSYRKAWSGLHMTDGNLKLVRQILCNGDDEVKGSCDCTSLYDAMWDDCSYRRLSSDLNEAQNTAISNCLSGIHCSHNATVKLVWGPPGTGKTKTLGTMLFILMKMKYRILVCAPTNVAIKEVASRVLHIARESQCSAGDMLLFGNNDRLDVGSEEIEDIFLDNRVRKLRKCLSSFTGWRNCLISMIHFFKSCASDYKMFIENEILKLTKPDNKSYKLTSFLDFLRERFLPRADQLKDCISMLCTHVPMCIILEHNYWKLVYLNAALESFQKMLFQENLSSDELKMLFSNLEMPVNSSLYFKGTAEHVFKKRNECLSALETVKDSLDRLELKRFTDDESVSDFCFKNSSIIFCTASSSFRLHTISMKPINLLVIDEAAQLKECESIVPLLLPRISHAILVGDECQLPSMVRSNVCSVAGFGRSLFERLSLLGSPKNLLNTQHRMHPEISLFPNSYFYSNKINDSPNVQRNYGKKYLPGPMFGTYSFINVAGGREEFDDDGRSYKNIAEVAVVMTILKNLHKVWLAKKEKLSIGIVSPYAGQVLKIQEKLAMMNYSSHDGFNVNVKSIDGFQGGEQDIIILSTVRTNYRTSLQFISSPQRTNVALTRARYCLWILGNERALVNNNNVWRALVIDSKNRGLFFSTDQNPEMAKAVLDSMKELDQSLDLLDTNSAIFRNTMWKVYFTDQFRKSFQKVRQPQSKISVINVLERLANGWRPRGRTVELVCENSSKILKQFKVERRYIICSIEIVKDFQCHVQVLKMWDIVRLEDIPKLAKSLDSEFRKYTDEYILCCKENGFDG >RHN50933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11965352:11966691:1 gene:gene35289 transcript:rna35289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MDFNTIKNQIEANDGTGYKHVWEACADVRLVFKNAMKYNDERSDVHVMAKTLREKFEEKWLQFLPRVAEEETRREEEEAEARLAMQFAQEAAHAKMAKHLSNELMLDEVDLHLEELREMVVKKCRKMSTEEKRNLGIALTKLSPDDLRRALNIVTQTNPSFQANAVEADLDIDAQSQSTLWRLNFFVMDALEVQSQNSESMDGDERIMRCYCKCFEEEDQEA >RHN61480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35772996:35776612:1 gene:gene23951 transcript:rna23951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MGMNVITLKEVSPEEPKRFTLDLLKTMDPNDAQNEKSRGQIVVEVTYKPLNEEEMGKGFDETQTIPKAPEGTPAGGGQLVVIVHEAQDVEGKHHTNPQARLIFRGEEKKTKRIKKNRDPRWEDEFQFIAEEPPTNDKLHVEVVSSSSRTLLHQKESLGYVDINLGDVVSNKRINEKYHLIDSKNGRIQVELQWRTA >RHN69910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47290472:47294889:1 gene:gene18443 transcript:rna18443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronosyltransferase MRGIMGTSPRSVEARHRLPSSIEDLYKRKVSRSKVKDLEKPFHLSIQDRNLRCKFSFLKLILLITISATFVMFLYSPEIYNTTHLTGSSARWIWGGSDPRYISNVDTDWGDIMKITEKLKEKNEFQGIGLLNFNNTEISTWKHKFHDATHVALHLEHAANSVTWESLYPEWIDEEEETEVPVCASLPSLGSPGTRLNLIAVKLPCKNGANWSRDVARLHLQLAAAGLATSFKGNYPIYVLFITNCFPILNLFTCKELVGREGNVWLYRPNLSVLREKVQLPVGSCELALPMRGRELVYNGNAPREAYATILHSAHVYVCGAIAAAQSIRMSGSTRDLVILVDETISGYHRSGLEAAGWKVRTIKRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEITATGNDATLFNSGVMVVEPSNCTFQLLMDHINEIESYNGGDQGYLNEIFTWWHRIPRHMNFLKHFWVGDEEEKKQMKTMLFGAEPPILYVLHYLGLKPWLCFRDYDCNWNADIFHEFASDVAHAKWWKVHDAMPKLLQQFCLLQSKQKAQLEWDRSQAEIANYTDGHWRIKVKDRRLKKCIDNLCDWKSMLRHWGESNWTDDESFTPTPPTVTMSSLSAL >RHN63850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54454728:54460521:1 gene:gene26604 transcript:rna26604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (guanine(37)-N(1))-methyltransferase MLLDKSKFDVHLKLWALRIPCQLCKVATRILNGYLLDKPRIKPITEDPTCDKNRYLILSEKVQNQDLSDIPNQKLGELKDLCEIDVLPHSLILDYSYWSADHVLKQILPNGVEVPSSFETIFYFTHSGFTFDYCHIAHLNLHDELLPFKDVIAKVIYDKNHPRIKTIVNKVGSISNEFRVPEFEVLAGEHDMITEVKQYGATFRLDYSLVYWNSRLEHEHKRLVSMFKAGEIICDMFAGIGPFAIPAAQKGCLVYANDLNPDSIHYLRINAKINKVDDQICSYNLDARKFISQLMEVPDSENKKENDVPISDTCYTCKIQDNTEPNSEKKLLTVDTKDLGDCTNTSLEDIQGSAENAAKSAIAVKRSCGSPHEGNGKAHGTEVLEGGGKKGSTNKRRRGSEISATKTWEHIDHVVMNLPASAIQFLDAFKGLIQRKYWKGNLPLIHCYCFIRATETPESIIAVAESALKATILDSKFHRVRDVAPNKAMFCLSFRLPEACIHEEDSQ >RHN56757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33463465:33467620:-1 gene:gene32199 transcript:rna32199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MTEITLNSIVNILYHTLKKLSMKFFSPLQAFLFIIVILSPLAIADLNSDKQALLDFASAIPHRRNLKWDPATSICTSWIGITCNPNSTRVVSVRLPGVGLVGTIPSNTLGKLDSLKTISLRSNLLSGSIPHDITSLPSLQYLYLQHNNLSGELPTSLPSQLNALILSYNSFTGSIPKTLQNLTQLTRLSLENNSLSGPIPDLHVNLKQLNLSYNHLNGSIPSSLHSFSSSSFEGNSLLCGLPLKPCSVVPPPSPPPALAPIRHDSKNKLSKGAIIAIAVGGAVLLFFVALVIVLCCLKKKDNGTSRVVKAKGPSGGGGRTEKPKEEFGSGVQESERNKLAFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAILEEQTTVVVKRLKEVVVGKREFEQQMEIVGSIGNHPNVVPLRAYYYSKDEKLLVCDYFPNGNLSILLHGTRTGGRTTLDWNTRVKISLGIARGIAHLHLVGGPRFTHGNVKSSNVLLNQDNDGCISDFGLTPLMNIPATPSRTMGYRAPEVIETRKHTHKSDVYSFGVLLLEMLTGKAPQQSPVRDDMVDLPRWVRSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIGMTCVAKVPDMRPNMEEVVRMIEEIRQSDSDNRPSSDDNKSKDLNVQTP >RHN46848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35286884:35287786:-1 gene:gene41382 transcript:rna41382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphopantothenoylcysteine decarboxylase MMANSDSKSAIGHVNAPRKPRILLGCCGSVAAMKFGLVFNAFSEWAEVRAVVTETSLQFLVHEKAESLFTHRHDIYKDDSEWKNWKKIGDSVLHIELANWADIMVISPLSAHTLSKISGGLCDNLLTSIVRAWDYEKPMFVAPSMDGCMWRNPFTEQNFMSIEELGVTLIPPVQHMQTNMREMADPSTISSTVKSFYDSKILKDK >RHN68258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34042852:34047860:1 gene:gene16589 transcript:rna16589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVFKKRANHGFNGFQVPVIPRAPRSARGRSSLNKKVKDNQVCAFEILASIAGKLLEESESSASSNASEGFIVQDRQNEVKPSIAEGIHHGSSGESIFTTEVASQNLDQKSFVHTETGFSLEKAEKANVKIEAVESDNKDRIYSNRLVETPEKFRESCNRKIKKGFRSNKCGLKDRLELYMSPAPVDSKINVKYPLHRKPFPTDTFTKHGNGIKLDFRDDDENFLRCTKVCTKSKAFRSPRRMAHRRIKNLLSSKYWNVAPKLKDCELSRSDLEIPLYRKRKVCNGSDSSHHNTTVKKRKFFDRVSGVTSDGGFSSESVSNSPEKGTDGHKPSSSSKLQVTEDSRVKFSIKSFRIPELYIEVPESATVGSLKRTVMEAVMAIIGGGMHVGVLLQGEEIGDDNRTLEQTGLSCNENLDTLSFMLEPSSLLASPVSCVGDPSSQCETSEPIRLPETPALDSGITDTVRDSYLPINPGNLVENNHDSTYSPTDTIVDKVTPDQSRAIVALPASTEALAVVPVSQKIKRSEFANRRTRRPFSVTEVEALVHAVEELGTGRWRDVKLRAFENADHRTYVDLKDKWKTLVHTAKISPQQRRGEPVPQELLDRVLGAHAYWSQHQIKQHGKHQCGTGTLKITEASAERVSTQV >RHN60842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30859748:30863055:1 gene:gene23228 transcript:rna23228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MCQLGWGEEGEAWRWRLFAWEEEMVGELMLLLHNVTLQVNKDDRWLWTFETSHAFSVRSVYNFLTVQPPIATSVVVSSLWHMDVLLKVVLFTWRLFWDRLPTKDNLFRRGVIDYNFMECVVGCGSVESSAHLLLHCNCFGSVWHFIYRWLCISAVVPLYVQDHFIQFSYSGGTAKVRQSILQAI >RHN57376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38507305:38515917:1 gene:gene32911 transcript:rna32911 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:lyk2 MKLKNGLLLFFMFLECVFSKVESKCVKGCDVALASYHVMLPFTYQNITSFMQSKIVSVSSLSDVIISYNKGKVSKNGNLFAFSRVNIPFPCECIGGDFLGHVFEYSAKEGDTYDLIANSYYASLTTVELLKKFNSYDQDHIPAKAKVNVTVNCSCGNSQISKDYGLFITYPLRTDDTLQKIANQSNLDEGLIQSYNSGVNFSNGSGIVFIPGRDQNGDYVPLYPRSGLAKGATVGIIIAGIFGLLLLVIYIYVRYFKKKEEEKTKLAEALSTQDGSAEYETSGSSVHATVFTGIMVAKSTEFSYQELAKATNNFSLDNKIGQGGFGAVYYAELRGEKTAIKKMDVQASSEFLCELKVLTHVHHLNLVRLIGYCVEGSLFLVYEHIDNGNLGQYLHGTGKEPLPWSSRVEIALDSARGLEYIHEHTVPMYIHRDVKSANILIDKNLRGKVADFGLTKLLEVGNSTLQTRLVGTFGYMPPEYAQYGDVSPKIDVYAFGVVLFELISAKNAVLKTGEFVAESRGLVALFEEALNQTDPLESLRKLVDPRLREDYPIDSVLKMAQLGRECTKDNPLLRPSMRSIVVSLMSLLSPSEDCDGDTSDENQTIINLLSVR >RHN54584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10608063:10611200:-1 gene:gene29649 transcript:rna29649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MKPYILMLPMSWYVYLHLFTLALMWFGPNRTVAVALGNQTDHLALLQFKQLISSDPYGILNKWNSSTHFCNWNGIICSPKHQRVTKLKLSGYKLHGSISPYIGNLSRLRFLNLENNNFNGNIPQELGRLSRLRYFLLSNNSLVGEFPLNLTNCSELKSVDLEGNKLFGKIPSQFGSLQKLHIFYIGTNNLSGKIPPSIRNLSSLNIFSIGYNNLVGNIPREICFLKQLKFIAVHANKLSGTFLSCLYNMSSLTGISVAANSFSGSLPPNMFNTLPNLYFYGIGGNQFSGPIPTSIANAYTLIRFDIGGNHFVGQVPCLGKLQKLWSLSLQDNKLGDNSSKDLEFLKSLANCSQLYSLSVTNNNFGGSLPNLIGNLSPGLSELYIGGNQIYGKIPIELGNLTSLILLTMEDNRLEGTIPKTFRMFQKIQYLGLGGNRLSGDIPAFIGNLSQLFVLRMEENLLEGNIPLSIGECQKLQFLNLSLNNLRGAIPLEIFRIYSLTKGLDLSQNSLSGSLPDEVGLLKNIGTIDVSENHLSGGIPGTIGDCINLEYLHLQGNLFLGTIPFTLASLKGLQYLDMSRNQLSGSIPTSLQNIVFLEYFNVSFNMLEGEVPMKGVFQNASRLAMIGNNKLCGGVLELHLPPCPIKVIKPTKHLKLKLVAVIISVIFIIILIFILTIYWVRKRNMKLSSDTPTTDQLVKVSYQELHQGTDGFSDGNLIGSGSFCSVYKGILVSQDKSVAIKVLNLKKKGADKSFIAECNALKNVRHRNLAKILTCCSGTDYKGQEFKALVFDYMKNGSLEQWLHPWNVNSEHPRTLDLVHRLNITIDIASALHYLHHECEQVVLHCDIKPSNVLLDDDMVAHVSDFGIARLVSVIEDTSHQETSTIGIKGTVGYAPPEYGMGSEVSTSGDMYSFGMLMLEMITGRRPTDEMFEDGQNLHMFVESSFQDNLIQILDPHLVSIEDGHNENLIPAKEKCLVSLLRIGLACSMESPKERMSIIDVTRELNIIRTVFVDGETN >RHN41080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26803720:26804608:-1 gene:gene47362 transcript:rna47362 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLFECVLHHAWDLSCFSDPEYVGPEEVLDCNSDFFSYFALLSTLKGLGYISLKSLWYFDPSLEDGMVALNNDLGCRRMQSIAYEFDKVHLYVVHPMSQPEIVELNPLIEYPMMTPHVPPVRNETNEIPTSVGPTAECEGANVDGGVSGVGPSDGCEGANVDGGVNGVGPSAECTNADGPELDLNEHGPMIDENELFAEYDNGPELGQNSNGPELGKEGVNVSEPAVEETVNVGEPGLGNEDENVSGEKEDSALNVHFGDSEDEGVIQGDLDSEDLSG >RHN40026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12354274:12356823:1 gene:gene46116 transcript:rna46116 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVGRALKSKKLTPKFIGPYQILERVGTVAYRVGLPPHLSNLHNVFHVSQLRKYVPDPSHVIQSDDVQVRDNLTVETLPLRIDDRKVKTLRGKEIPLVRVVWSGATSESFTWELESKMLESYPELFA >RHN78085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11776626:11777182:-1 gene:gene1600 transcript:rna1600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin 11-oxidase MLQEVKWGIIGCYIIPNGWKVLTWSRAIHHEPTYYSNPDEFNPSRWDDHKAKVGTFIPFGAGSMHCPASDLAKPEIFVFLHYFLLNYR >RHN55773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23528627:23529055:1 gene:gene31022 transcript:rna31022 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQADARSVHVPKHTESARAVAHNLDDDVCGTCSLSVYHQYLALDGIYRPIGAEFPNNPTRRQCLVVRLGTSTTRLSPSLAPLSKGLGPNSPLRTLLQTTIPTPRATDSHGGLIPVRSPLLRESLLVSFPPLIDMLKFSG >RHN44279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3128479:3135349:1 gene:gene38379 transcript:rna38379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MAGQRTSYGNKRSHSHNSVDDNRTNNKRRNPNTDETTPRDTFHITQDDTVYRYLCPVRKIGSIIGRGGEIVKQLRLDTKAKIRIGETVPGCDERVVTVYSVSDESNDFEDSGEFMCPAMDALVRIHQKVIAEDLRGVEDEDVDMEKVDGGDLVTAKLLVASDQIGCVIGKGGQIVQNIRSETGAQIRILKDDRLPLCALNSDELVQISGEIAVVKKALIQVAARLHDNPSRTQHLLTSAVPGVYAASGSMVGSHGGAPIMGMAPIGGGYGGYKGDVVDYPRSMYPGSRDEGSVKEFSVRFVCPTANIGGVIGKGGGIINQIRQDSGANIKVDSSATEGDDCLIAISARELFEDPFSATIEAAVLLQPRCSEKVERDSGILSFTSRLLVSSSRIGCLIGKGGSIITEMRRLTKANIRILSKEDLPKIATEDDEMVQISGELDIAKDALLQVLTRLRANLFDKERSVSSLLPPVLAYLPASPEGPDSFSYEGRDGRRHGRGGYSYSDGYGGSSDFVSGDGYGNYGSSQLGRGGPYGAYGSYAAERTSTSGLSDRLSDRLSGQHGVSRRRNHGY >RHN60841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30836542:30837122:-1 gene:gene23227 transcript:rna23227 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCVFGCGEVETSQHLFVSCPIFRDLWQHVRAWIGVSGVDPFDISDHFVQFSYLLGSTSKIQSFMQLLWLACVWVLWTERNNKQFNNIENSIHHLVEKVQIHSYWWLKATNVVHVFGARSWFASPLRCLGIG >RHN56629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32375319:32381450:-1 gene:gene32061 transcript:rna32061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MATEARTKFQNPDYRPDFTNLTPPAAPSTHDGLHYWQFMISGSIAGCIEHMAMFPVDTVKTHMQAITSCPIKSVSVRQALQSILKSEGPSALYRGIGAMGLGAGPAHAVYFSVYETLKKKFSHGNVNDHFVHAGSGVCATVASDAVFTPMDMVKQRLQLSNSGYKGVFDCVKRVLSEEGFGAFYASYRTTVLMNAPFTAVHFATYEAAKRGLNEISPESVDDERLIVHATAGAAAGGLAAAVTTPLDVVKTQLQCQGVCGCDRFKSGSIGDVIKTIVKKDGYKGLMRGWVPRMLFHAPAAAICWSTYEAGKSFFQDYNEQRDSGTVT >RHN56545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31801325:31803958:1 gene:gene31961 transcript:rna31961 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKSLKNKTHNTRVPFSQCFLLLLPLIIITTANPLPQSTDVLPRMFHVFSTLTVIFLLSYFAVVDSTATFFPSPRE >RHN80005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34269349:34269927:1 gene:gene3876 transcript:rna3876 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTQALIILAFSYICLSSIFLWNYKPPIHPTEQKALYNVLNSINPDFPWTTRFSGDLCRLPPPGVVCRYSYFHLLKYRKLRSHVVEMNFGNYDSDEKQNPTLPCSHNATFNSTLFTPFNYLGKLIFRECFNNRENPIIISLSTFPTTLQQLVFIDNLSPIQGSFSFAEFGMINKLQIVRTHKSLVGKEKE >RHN75655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44121707:44122610:1 gene:gene11889 transcript:rna11889 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSRAWVAAGSVAVVEALKDQGICRWNHTLKSVQNHVKNNVRSFSQAKKLSSSSSSSAMVSNANKQQKEKAKESEESLRKVMYLSCWGPN >RHN67758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30029229:30030357:1 gene:gene15983 transcript:rna15983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MHQCCIYTCQVPVSSKHLPLVSKAEPVELWHFLRDNQGYVLAGARFRKFTYSELKLATKCFSQEIGSGAGGTVYKGLLSDNRVVAIKRLHEANKGESEFLAEVSVIGRLNHMNLIGMWGYCAEGKHRLLVFEYMEKGSLADNLSSNALNWGKRYKIALGTAKCLAYLHEECLEWILHCDIKPQNILIDSDYQPKVADFGLSKLLQRNNLDNSSFSRMRGTRGYMAPEWIFNLPITSKVDVYSYGVVLLEMITGKSAMTSILITDGEKTHNESLVTWVREKRRKLLEMKSLVEQIVDPTLGSNYDMVKSETLTMVALKCVEEEKDMRPNMSEVVEMLQTHEHDS >RHN75698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44377957:44381806:-1 gene:gene11936 transcript:rna11936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGCCVSTSNKSNDGSHIPSCLEIGLCGQKKARRTFSDHVVSLNKLASLPNRIFSNGKSRSSCIFTQQGRKGVNQDAMIVWEDFVSEDAIFCGVFDGHGPYGHLVARKVRDALPIKLLSFLDSSESRRNESSKAFSKDIKFESGEAENNFSGEDEVNSMWREAFMKAYKAMDKELKSHANLDCFCSGSTSVTIVKQGSNLYMGNIGDSRAIMGSKDSNDSMVAIQLTVDLKPDLPREAERIKKCKGRVFALEDEPEVCRVWLPFDDAPGLAMARAFGDFCLKEYGVISVPEFTHRILTDRDQFIVLASDGIWDVLSNEEVVEIVSSAPSRSSAARVLVESAAREWKLKYPTSKMDDCAVVCLFLDGKMDSEESDCDEQCYSSATIQSNRHSGNPVESDDGQKSEPSLQRNFTVRTSEFNETCIGGGKGGESVDVEDGTSLAEDQNWLGLEGVTRVNSLVQLPRFSEERPNS >RHN68833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38928773:38932508:1 gene:gene17243 transcript:rna17243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative formin, FH2 domain-containing protein MLAHIAMAVAITAIAMLFISGIFLYFYHKFILARYKSRNKVITKGTFFHEPVLNHHEDINRFCGNVKGKIVEKNGVDVIYMMDKESRQMITRFPNCMYNPSYEDHEEEKIIDVLVHKSKTSNEIPFACESPLPPLQKSSQMNLEKNKIHMSYYHQPLPSPPPSPPPPPPPPPPPAPPRKEIPKAPPLPPTMYREGAAAAPPPPGPPPLPKSRGFISSMKPPPAPKGKANVKEGVIGESSREKAGGQTRLKPLHWDKVAADVDHSTVWDQINDGSFRFDDELMESLFGYSTGYKTQERNRSLSTMNKSNFSTPTQIFILEPRKSQNTAIVLRSLAISRREILEAVLDGQGLNVETLEKLTKIAPSQEEASKIVQFSGNPNNLAEAESFLYYILKSVPTSFNRLKAMLFRSNYDSEILRLKEHLQTLDLGCKELKTSGLFLKLLEAILKTGNRMNAGTSRGNAQGFNLSALTKLSGVKSTNGKTSLLHFVVEQVAHSEGKRQAIYEKHNDESEKGYLFLGLLVLGELSDELSEAKKAASIHYHSFITMCSNLISHVTEIRDIITCCGNTEKGGFFNEMKEFLELCEEELKVVKEEQTKIMELVKKTNEYYLAGASKDNMPNPFHLFVIVKDFVEMVSQACIELKKKAEKKNVGVESASTTPPLSPSKIVPLRFRNLDLNFLSNQLDSTCSSQSEDDF >RHN74169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29772981:29773485:-1 gene:gene10175 transcript:rna10175 gene_biotype:protein_coding transcript_biotype:protein_coding MESFTFFSPFSGSFCSSPVRFPLAPVRPPLLPFRFVLLFSGPVRSGPVRF >RHN66509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13223374:13225891:1 gene:gene14512 transcript:rna14512 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQKKKIVHSFSLSARLSLTFVLFSLGEVVSSAILFDTIVVIDGFPKRSISSHILAIASDFSVIRNP >RHN42706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40346125:40348885:1 gene:gene49188 transcript:rna49188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MAENSASNATNEIVLNVNKDVSNKSEDSTSHATASFLQKLVAEVIGTYFLIFAGCASVLVNKNNENVVTLPGISIVWGLAVMVLVYSLGHISGAHFNPAVTIAFASTKRFPLKQVPAYVAAQVFGSTLASGTLRLIFTGKHNQFVGTLPAGSDLQAFVIEFIITFYLMFIISGVATDNRAIGELAGIAVGSTVLLNVMFAGPITGASMNPARSIGPALLHSEYRGIWIYLVSPILGAVAGAWVYNVIRYTDKPVREITKSSSFLKAAKP >RHN69997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47853358:47857515:1 gene:gene18533 transcript:rna18533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BCP1 family protein MPRKPIRRQRPKSWPITFSPFGRALARMASVSKPNRLTESIENPTLSSTSNGFNKHTLEERPDGSESSDGEFDGVVQADFAFFDPKPTDFHGVKTLLQTYLDVEEWDLSGFADLILEQTTVGTVVKVEDDKDEGVFALVTTLNLWRYREQRCIMKLKEFLLLKAGQEKGIADKLRLLLGEQAHNVGLLVSQRVVNLPPQLLPHLYDSLFNEVSWATEDEPTEDLRNSFRFKHYIILSKIYKHKNAEQQKRKLSDDSEEAIVYAKPEDELFHKLSSWSFCFPLRTQQPAPHELKNYSSMGLIMAVEADKMPTFRQQLTSLINEG >RHN48581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48572816:48576271:-1 gene:gene43314 transcript:rna43314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription repressor PLATZ family MDTVLVPPWLEPLLKTPFFNICRIHADAARNECNMYCLDCNNGDGAFCFYCRSSRHKDHQVIQIRRSSYHDVVRVSEIQKVLDISGVQTYVINSARVLFLNVRPQPKSGKGVAHICEICGRSLLDSFRFCSLGCKLERIKKNGDASFALEGKNEGLTMEGVSSSRSEEELREGSTQDMYPLTPPPPPLNARRRKGIPHRAPFGSSF >RHN50975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12538693:12549053:1 gene:gene35334 transcript:rna35334 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGIKERRRHLLFLKMEQLFLFADQLLHNQGRMPLQQSIFSDFLFIVWITQCRKTMQQMGRNTMLID >RHN57487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39488753:39489319:-1 gene:gene33034 transcript:rna33034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MQLQNTFGSDGAAVAATISGDKSAIFNCGFLGYQDTLWDRTGRHYFKNCYIQGDVDFIFGEAQSFYEDCVINATQDISKFSGYITAQFRNSSNEPSGFVFRGGRIDGIGKVNLGRAWGPYSRVIFWETYLSSVVLPQGWDAWDYKGHE >RHN79867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33000511:33001907:1 gene:gene3716 transcript:rna3716 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEMATKQVTLVHVDEDYIDMELCSPSHFFSYSFGSPPKNTREFEFQMSSSISNEKNSKTTPADDLFYKGKLLPLHLPRRLQMVQKLLENTNLEDNTFPFTPPTFASYTTLETCNISGSESCRVSSDVSPDEYSFEMNGFVVRDLPKNKSWPKKLKMMNQLLFGQKLKASKAYLKSLFNKTSCSEKACASDPNNKVGIKSKNKNSFEVFCEENKKQVKRDMVEDDFVNHRKSFSGVVQRHCGSNKVSSLSTSSSGSSSNSSSFSFSSSGNYDLQLFKRSISANYEVEGSVEGAIAHCKQSQQHFNSKNGAEDARICSQFGVKVEVCGDKE >RHN41829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33402150:33403755:1 gene:gene48191 transcript:rna48191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane transport protein MGNLPVVIIPAICNQKVTPFGTHDACRTRALSYSFFSLALGGIYIWTFTYQLIRQSSVKYKAFKAAELLKIANTDLDTNAETQLLKGNDNVGDTENQILVDQALSTVPNSKSFMCRMVETSSHVLKEIMSPPTIATKFHPLFNDQYLGFLFGGVKTLRNLIIGQDAPLKVIQDSIQLLGDGTIPCITLLLGGNLTQGMRSSSIKPLVLISIIIVKLFLLPVIGFFVVKAAANLGFLPLDPLFQYVLIIQYVLPPAMNISTMTQLFDVGTEEFSVILLWSYGAAAIALTLWSTFLLWSLS >RHN57586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40321930:40326590:-1 gene:gene33158 transcript:rna33158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP-binding protein, middle MLRNLSATLESTKRKILTSSKCRIYPPPSPYSTAPVDDAPPKLLVVQPRLRSEKLLQAKLNEALCLANSLEDQRDGYFHTDFFDLPLPPHVIVQNPSLKGHKARADTYFGPGTVDTIKCHLNAVESKGEVDAVFVNAILSGIQIRNMERAWNKPVVDRVGLIIEIFNAHAFTKEAKLQAELAALSYKKSRLVRVLGPNGRLTFGGSGEAEVVSARGRGSGGQGFMSGAGETELQLQRRRLLDRRNYLLTQIEEVRRTRAVQRAGRKRQGGSSAQRLATIAVVGYTNAGKSTLVSNLTDSDLYSDCRLFATVDPRLRSAVLPSGRKVLFSDTVGFISDLPVKLVEAFHATLEEVVEADLLVHVVDSTAPNLEEHRTTVFQVLQQIGVSQEKLQNMIEVWNKIDAEEEFTDVDENVDEHLNEDEEADETSSIDGEEDVNIETLTEEKEDYSDGWLYEEDTVLNEGDFCLPSSAADQQIESSNKDNSVENAGAMLPSSPHVKTSAITGVGLQELMELIDEKLSSQDEKLKGAQVVERNLFDRKWRPSHTQDSSIVVEN >RHN46356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30968560:30969532:1 gene:gene40838 transcript:rna40838 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLRNFNCLSPCTEVSVKILVDEVRNKVLFVQAGKDFVDVLLSFLTLPLGTIARLVSQESNMENVCVGSLSLLYESVANLDKEKFRSAIEKELLVRPINSMDRYCKYLKLNIDDTEKLRSFNCGKQECIDNPAKRNCKCCEKKRTSLCVVKHHPKNGFVPATATFLISDDLNYLGCENIDTIKIVTVDVTRKEILDLVKCSLISATPLTDVFLSKKLFLENPRPFNVFDIGSNIGSETSHFEIRGKCFPFLNCGVIF >RHN53750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3837942:3840901:1 gene:gene28693 transcript:rna28693 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSGVSGIRTPAPAYKMHLSLPTEQSLRGHLCFFFIISFCVMILSTYTFFSLISSSLYWRDTKCLYNRATLQCLNVNFFTLTYLKDINSFQNNL >RHN67631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28833192:28837265:-1 gene:gene15838 transcript:rna15838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MAKTTSLLLFSLFLFGTLSLIQAKKAKEDKEVTNKVYFDVQIDGKDAGRVVIGLFGKTVPKTAENFRALCTGEKGIGKSGKPLHYKGSSFHRIIPSFMIQGGDFTHGNGMGGESIYGEKFADENFKLKHTGPGFLSMANAGPDTNGSQFFITTVTTSWLDGRHVVFGKVLSGMDVVYKIEAEGNQSGTPKSKVVIADSGELPL >RHN59855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14087045:14093164:1 gene:gene22020 transcript:rna22020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase MLIKLSMRSSCYSSLRIIQPRFFSYVADEEKGYASKSIIHPSAIVHPNAVLGEGVSIGPFCSISSSAKLGNGCQLYPGSHIFGNTDLGDNCMLMTGAVVGDDYPGCTVIGSNNIIGYHAVVGVKCQDLKYKPEDECFLEVGHNNDIREHTSIHRSSKSTDTTVVGNGNLIMGACHIAHDCKIGNNNIFANNTLLAGHVEVEDYVHTAGATVIHQFCHLGSFSFLGGGSVVSQDVPKYMMVAGDRAELRGLNLVGLTRRGFSIEEIRNLKTAYRKIFMCTDTTIGSFEERIAQVEQHEGLIHVPAVRAMLQSIRDSFAEDRRGICKYGCWNGS >RHN78294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13773880:13774323:1 gene:gene1831 transcript:rna1831 gene_biotype:protein_coding transcript_biotype:protein_coding MEKILEVAKQTERNRTCMVEVGVTKTMIMVIKKKFKKGNTIGLEEALKITRLLWNEAAINNRLKLLVGKNMDIMNLLTWILKIYIDNNNFEMVNEVMPLLKLTIDVVDSNLLRNLNIEFFITFSKQAIKSVLHVLIEVFIEMVTLNS >RHN53028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41358611:41362019:1 gene:gene37773 transcript:rna37773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFLKGLYNSPKGARFTGATQAVRLNAPRIGGSFAVWGGLFSTFDCTMVYVRQKEDPWNSIVAGAATGGFLAMRQGLAASARSAAFGGVLLALIEGAGIALNKFLSAQQPLPMMIEDMPPENSNSNANSEENKGGKPWFGGFGGIFGQKEEEKIGGGGSEVKVLESFDAPPVPNFEYK >RHN65217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:300124:300378:1 gene:gene13036 transcript:rna13036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MSEIQFASYGDPEGSCGSFKIGEWESRYSKTVVEKACIGKQSCSINVTSSTFRLKKGGTNGQLAVQLSCGGSNPEDNRVQSLLA >RHN50771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10305272:10310191:1 gene:gene35099 transcript:rna35099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5-methyltetrahydropteroyltriglutamate--homocysteine S-methyltransferase MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLEKVAADLRASIWKQMSSAGIKYIPSNTFAYYDQVLNTTAMVGAVPPRYGWTGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPDVKFTYASHKAVNEYKEAKALGVDTIPVLVGPVSYLLLSKLANGVDPSFDLLTLLPKVIAVYKEVVADLKAAGASWIQFDEPSLVLDLESPKLNAFTAAYSDLASSLSGLNVLVETYFADIPAEAYKILTGLAGVTAFGFDLVRGAKTVDLIKGGFPSGKYLFAGVVDGRNIWANDLTSSLITLNGLEEIVGKDKLVVSTSCSLLHTAVDLVNETKLDNEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFSSNSAALASRKSSPRVTNEGVQKATAALKGSDHRRATNVSARLEAQQKKLNLPVLPTTTIGSFPQTPELRRVRREYKATKVSEEEYVKSMKEEIRQVVELQEKLDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSMAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRSETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSGQADYLDWAVHSFRITNVGVQDTTQIHTHMCYSHFNDIIHSIIDMDADVITIENSRSDEKLLRVFRDGVKYGAGIGPGVYDIHSPRIPPTEEIAERINKMLAVLEKNVLWVNPDCGLKTRKYTEVNPALTAMVEATKRIRNQLASGK >RHN54060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6604976:6605419:1 gene:gene29039 transcript:rna29039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MQHNESEYYNMLRVNVLVIVVLLIVATAQAQQEGDWNLYKTTVRVQNILGGNSVLVVHCHSSDNDLGKHDVIGGAFVEWKFRVDLRETTLFRCTLQWDNVPEKNVVIYDAKIDNPICRERCWREVRPDGLFFLHEKGSGFWEKRYSW >RHN45207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13000974:13006195:-1 gene:gene39437 transcript:rna39437 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGNDPQKQLLSIIRNFATEKSQGERRVVTLRKQIETLKSELSDANEELEKSKRCKELVEQELKGFELHLFLSEASVQTLEARVSLTQNDMSVVESDLETLKNEEVTLRDQFIHNMLDLNAKIRKFQESLVTGDIDAVEYEACRGSSILPTVTDPVQVTLKENDADVALGALESRLSEIISQTSKEDDEFQAQQKIYENVQCELIDCERKVSLMNMIVTETKELQDLTIYPSNYQAGDVVFTLSHLTCYTT >RHN52915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40306411:40306920:1 gene:gene37652 transcript:rna37652 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIDHSWVKRNATNKNKRKGLVTAGDVNIGNIQHSTIQVQMIGCKRVHSGTRKHSKHTYSISTNLIGAHYNVSASHPSMFVIQFPKVPPRRESGNDNVLGLSCHQCTWMNQEIGAPYNGLASYPSKFQIQFPKIPKKRRTQNRKSLNTRITSFVITKNGAPLLISSRR >RHN54766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12031586:12035198:1 gene:gene29848 transcript:rna29848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKATSVASVGIVPEVLTSDNYERWSVFMTNYLMGKGLWDFVSETSSLEENNEAGKHLSNKKGKELEATPDIEQGLGDAYQSVSKVEREVSWKKKNAKALHIIQISCGKRIQDEIFHFKIASEAWNHLANIHGRTQKGKLYPLKEIELDDSLGEEHKHIFRRVARGVHIYTDSDIYIKSASGTTLLHVAVIAGNVKNVEMLVKKGSDRLLLMQDKHGNTALAHVARYTGNTEIAKCLVETKTGLHDSLLEIKNNEKVIPILIAAANGYKELTTYLYSKTPSALFHGDEGSQNRVLLLSLCITAEIFGKQISSFVSHSKKNIVTVANRFAKTKPKK >RHN68020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32198498:32199080:-1 gene:gene16301 transcript:rna16301 gene_biotype:protein_coding transcript_biotype:protein_coding MELEQAKEELEMLETLYPNQHDYLKHELRSFISHLQNSYSYSHPLPQNNTSLTAFLDTEESTSLEHIKSIQLALPEREEVMKEGKKGAENSELESPKSVVIKHCPSRRKNKRKDRVDLVLEKAQNCLKKIRHFKTSLFSPS >RHN66718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17347995:17349763:1 gene:gene14778 transcript:rna14778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MNSFNQSHQSSTFRYNPNSNKMNHLDDNDNDDDVEFSGFLDIYVHHAKNIHNICIYDNQDVYAKFSLTYNPDETLSTRIINKGGKNPIFNQNLRVKIVQIDAVLKCEIWMFSRSRNHLEDQLLGFALVPISEVFGKGKVTEDYSLSSTDLFHSPAGTVQLTLSLDTSFPINSTLSSKPQSGTNSSISSEVVLLDPIEYSRIEFPDINVANENQKMVCEYFNLESYGSISRPNSIGSLPFLQLSGSHQDVDCEMTMNSSGENHESISRLSSSTTTSLSDDRNTNGSVVIKSNLRGDLSNSFNVLVSVESNQNSCVSPDTPTSKKESETRDENKELKFSTKENETNIDRNIEATKFGQVYSVPPQNIDMEAEQSSMQKQIVDMYMRSMHQFTESLAKMKLPMDLDHKHDNQNQGDVIQNHKDNKLETDKKKNDGSRVFYGSRAFF >RHN74076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:26409080:26409499:-1 gene:gene10034 transcript:rna10034 gene_biotype:protein_coding transcript_biotype:protein_coding MYYMERYLGDLKSFVRNRRYPEGSIAEAYVAKEGLTFCSRYLSSAVDTGMNRMTRNSDDTPSIGHPIGGKKLVCFDHKDLNQAHGYILFNCDEVQEYIRA >RHN78445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15172854:15176307:-1 gene:gene2012 transcript:rna2012 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzyl alcohol O-benzoyltransferase MITTIKFSTLSSIIPFLIMAQSLLFTVKRSAPEFVRPSKPTPHEIKLLSDIDDQEGLRFQIPVIQFYKYDPNMAGKDPVDIIRKALAKTLVFYYPFAGRLREGPGRKLMVDCTGEGVLFIEADADVSLKEFGDALQPPFPCLDELLFDVPGSSEVLNCPLLVIQVTRLKCGGFIFALRLNHTMSDAAGLVQFMSALGEISCGMNEPSILPVWHRELLNSRDPPRVTCTHREYEQVPDTKGTIIPLDDMAHRSFFFGPTEVAKIRALLPPHQQKQSNFEILTACLWRCRTIALQPDTDEEVRIICIVNARGKFNPPLPNGYYGNAFAFPVAVTTAGKLMENPLGYALELVKKAKSDVTQEYMHSVADLMVIKGRPHFTVVRSYLVSDVTRAGFGDVEFGWGKAVYGGPAKGGVGAIPGVASFYIPFKNAKGEEGLVIPLCLPAQAMEKFVKELDSVLKNNINQPTIGGPKPSFIISSL >RHN50423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6995278:6995448:-1 gene:gene34705 transcript:rna34705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase C MCVPFTGCQARTRSIGYNIVDEWRPWLSNGQIVGYTQGYGHNVTFLTIKGAGHTVP >RHN77147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4433408:4439395:1 gene:gene572 transcript:rna572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MSSPPSCSAADDTLMRNRILSTKLYFDVPPSKVPLIYSDSYDISFLGIEKLHPFDSSKWGRICKFLISFGVLDKNRIVEPLEASKDDLLVVHSESYLNSLKESSNVAKIIEVPPVALFPNCLVQRKVLFPFRKQVGGTILAAKLAKERGWAINVGGGFHHCSAENGGGFCAYADISLCIHFAFVQLNISRVIIIDLDAHQGNGHEMDFADDNRVYILDMYNPGIYPLDHEARSYINQKVEVKSGTRTEEYLLKLDEALEVAGRRFNPELLVYNAGTDILEGDPLGRLKISPDGIALRDEKVFRFARKKNIPIVMLTSGGYMKSSARVIADSILNLEKKCLIETSGDPKTA >RHN42799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41070059:41074399:-1 gene:gene49288 transcript:rna49288 gene_biotype:protein_coding transcript_biotype:protein_coding MFWEKQDVIMEALVKHFFVDGAVTSPLLMEILYNGYQSINADNTTAKFVRIEKNRFGLVGDVPSLINIVVGIGYKPMKVNTPTEEDYESIVTELGCRIVEIFVLDYLFRQKIKVNFTHSELLQGIRLYGWERRSKTKSHRFEAN >RHN65227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:369800:376797:1 gene:gene13046 transcript:rna13046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative malonyl-[acyl-carrier protein] O-methyltransferase MMRGALFSLFRRKTKPPFCFSAPLFFFTTSTSKLNIFDRQLKRNQRDRAAWLMPQTDPLLHTVAHNLLDRLQDCKKTFPSALCLGGSLQAVTRSIRGHGGIEKLIVMDASHDMVQRCKNDYHASNNENIETTFVVGDEEFLPIKESSVDLVISCLGLHWTNDLPGAMIQSMLALKPDGLFLAAILGGETLKELRIACTIAQMEREGGISPRISPLAQVRDAGNLLTRAGFNLPGVDVDEYTVKYPSALELIEHLRAMGETNALSQMNAMLKRDTALATAAIYDSMFASEDGTVPATFQVIYMTGWKEHSSQQKPKRRGSATVSFKDIQKQFGNQS >RHN38813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2198976:2206073:-1 gene:gene44781 transcript:rna44781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribose-phosphate diphosphokinase MSTLLQSSFISSSSSSFSPITPRFSLRYAAAVRCNNVVQSVNLENGKPYRSTEYSVTGGTSSIPSYLVAPNTRIHDLVNKNRLRIFSGTANPALAQEIACYMGLDLGKIKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLVMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACTTHAVFSPPAIERLSSGLFQEVIITNTIPVAEKNYFPQLTVLSVANLLGETIWRVHDDCSGGIEPYSSLGID >RHN76935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2507183:2508800:1 gene:gene328 transcript:rna328 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFLHELTHLVLKQPSCIRFRIKNVKHMFLFFSLNNIHLFHPFLLHKLSIHNTSFLQKILSSNINLNSRKLQPNTLTHIIFLKITTKKIAVRIFRQTQSPKHIIHFHILNTSFFLHLFLSPFLTPKIRMYKHNPFNLHRTKPIILNSFNSNIMRNVTTSTITREKNI >RHN67315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25892699:25900417:-1 gene:gene15495 transcript:rna15495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MTKLASLEESLLNGEGTVSNNNFDSKKTLRNEISTSYLNAGFFSIVTFSWMTPLITLGSKKTLDHEDLPLLSTNDSANGTFSTFKNELDLKCGNVRNVTTINLAKVLFFSTWQGIFLSGFFALVYTCATYVGPYLIDNLVQYLNDENKAKSEGYILAMMFVAAKLVECFSQRHWMFKFQQVGVRMQSMLVSMIYAKGLTLSCQSKTKEGHSSGEIINLMTVDAERIGEFCWYMHDPWTAVLQVALALFILHRSVGVASVAAFAATVVVMLLNHPLASLQEKFQAKLMEFKDKRMKATSEILMNMRILKLQAWEMKFLSKIIHIRKLEETWLKKFLGSTAIIRFLFFNAPMFVAVVTFGACVLIGIPLESGKILSALATFRILQTPIHSLPDTISMIAQTKVSLDRIVAFLRLDDLQTDVVEKLPRGSSDIAIEIVEGNFSWDFSSVIITLKNINLRVFNGMRVAVCGTVGSGKSSLISCIIGEIPKISGNLKVCGTKAYVAQSPWIQSGKIEDNILFGREMDREKYEKVLEACSLKKDLEVLPFGDQTIIGEKGINLSGGQKQRVQIARALYQDADIYLLDDPFSAVDAHTGSHLFKECLLGLLKTKTVIYITHQVEFLPDADLILVMKEGRITQSGKFNDILTSGTDFMELVGAHRAALSSVKSLERRNTFKKSSIMEEDTVLLSDSELEQEVENIDDQKGKLHGTSDGKGQLVQDEEREKGRVGFKVFLKYITTAYGGALVPFLLLSQILTVGLQIASNFWMVLATPVSATAEPDIGSLTLMAVYVSLAIGSSFATLARSVLAVIAGYKTSTMLFNQMHLSFIRAPMSFFDATPSGRILNRASTDQSAVDMSIPNVAWGLTFNVVKVLGTVAVMSQVAWQVFIVLIPVMATCIWYQRYYSASARELARLTGICQAPVIQHFSETISGSTTIRSFEQESRFHEMNMQLIDKYSQPKLYSASAMEWLTFRLDLLSSIVFAFCLVFLVSFPSSIADPSIAGLAVTYGINLNAVQNNFIWFVCNLENKIISVERILQYTSIPSEAPLMIKDNQPDHSWPSFGEVHIQDLQVHYAPHLPLVLRGLTCTFTAGAKTGIVGRTGSGKSTLVQALFRLVEPVAGQILIDNINTSLIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYADEQIWEALDMCQLGDEVRKKEGKLDSTVTENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNIIQQTVKQHFSDCTVITIAHRITSILDSDMVLFLSEGLIEEYDSPKKLLKDKSSSLAHLVAEYTRRSNTGFGS >RHN66840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19892835:19895981:1 gene:gene14942 transcript:rna14942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MLYQTTISISWLLTMLCNKETKDNTYVNINGHVVEKDDIRDKEVNGRTSKLIDITLEDLEIHCSLWGDYARQMHSYLTSQSGSFPIVIVLQLCKLKKYFGVMGVSNAFHGTKLIINSDDSAIREYMTKLEGVDVEVSQGVSQVSGLQIVPMSDDMLQLHMMMIEDIIESTDSCVAVVVATICDIEAEHWWYYEACTKCAGRVTIVAGRMFCGRCNQSRNAVQRCVPMRK >RHN44218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2495498:2495848:-1 gene:gene38309 transcript:rna38309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative quinoprotein alcohol dehydrogenase-like superfamily MYAWDGKIQWSISNLINTTLISGPVSVANGVLFVASTTKDGTIYAINTKNGEIIWSYGTRFAVYGGVSISDGCLLCVRCWRYNNGNMHGKHVGDTMHCNFRETFARSFLVTYRISE >RHN52855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39589136:39589765:1 gene:gene37579 transcript:rna37579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MTLQFPVQKIPHFLSKKEADSMPLSLSQLTRVLHLLSIPQDSPQAKYMRKTLEQCEKAIIVKGETRMCVNSLESMLEFVGTIIGSEAKYDILTTSNPSPTTTPLQKYTILEVSPDINAPKWVACHPIPYPYAIYGCHHIATGSKVFKVSLVGNENGDKMEALGMCHLDTSGWNPNHKLFKTLGIKSVKNSSACHFFPVNHILWIPKSTM >RHN72749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10419197:10422295:-1 gene:gene8502 transcript:rna8502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MAAELPPEILTEILSRLPVISLLRFRSTSKSFKSLIDSNKFINLHLRNSPNQSLILRFKFDIYQIKIDDDFSDPDTSMLLFPHNHPFTGNSTNIDPFKGNNTITLIGSCNGLLAMSHGVIAFTHPNAPNEITIWNPNTRKHRIIPFLPLPIPNILQSDNPNRGCLCVHGFGFDSVSGDYKLLRISNLLDLQNPFYDPHVRLFSLKTNSWKVIPNLPYSLYYALTMGVFVENSSSLHWVATRKVQLFQPDLILAFNLTLETFNEVPLPDEIEEEVNSKSFKIRVAALGGCLCMIVDYKDTKIDVWVMKEYGCRESWCKLFTVVKSSFDLPLQSLRLLGYSSDRKKVLLRVDVENLFWYDLESKQVSYVQEILNLDDTMICVGSLVPPYFPVDNRRKQENPTSESEKRDDFLSGGFKLRL >RHN82337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52909587:52911326:-1 gene:gene6497 transcript:rna6497 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNFNIVWSGPKLNYELDFNYWEFMMTTHLKAHNIWSYVESGLQQGADELARRRDQLALSQILQGIDYSIFGKIANAKTSKEAWDILKLSHKGVEKAQKSKLQSLRREYERYRDCAGEIERS >RHN55674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20988451:20993535:1 gene:gene30894 transcript:rna30894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MSMSIRWPRVLNPTYLTQIIRTQKNPLKALEIFNEAKLKYPKYSHNGPVYATMINILGTSGRLKEMSDLIEQMKEDSCECKDSVFVSAIKTYAKEGLVDEAISLYKNIPQFNCVNWTQSFNTLLEIMVNENKLEDAHSLFVESSCGWEVKSRVQALNLLMYALCRKSRSDLALQIFQEMDYQGCYPNRESYLIVMKGLCQDKRLHEATHLLYSMFWRISLKGNGEDIVIYRTLLDALCDNGKFDEAVEILGKILRKGLKAPKRCYNRLDISQCGDGKDAEVTKRWIHEALVRGSVPSTASYTSMAVDLYEEGKIDEADKVIIEMKDRRFKPKHSIFEAKVAALCKVGKVDEAIKVIEEDMVEVNCLPNATVYTILLKNLGGVGNSTSVLESLNKMSKKVNCMADKETYCILLEMLCRERKYLEASQLLEQMSIKSYWPCANTYNLLIEGLCSLGRQYEAVMWLEDMISQGKLPEISVWNSLASSFCNSGMMKVSTETFDRIRSL >RHN46205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29629204:29632445:1 gene:gene40655 transcript:rna40655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial glycoprotein MSMYTALRRATAAAVPLLSRRAAATSSRTFHSAIAVNLLRQNETTHFVPSRSFAYGVLAKTPADDTLLRVLNSEIDCALEDNDSLSANLEFPGDFPFEVEDNPGERTIQLKRQFEDETITVQVDIPNVAPQQSEDEADPEKIENENDSESSIPLVVTVFKGNGVCMEFGVTAFPDEVVIDSLSIKNPDESEDQLLYEGPEFTDLDENLQKAFLKYLEIRGITPNTTNFLQEYMFNKDNKEYLGWLKKLKSFIEK >RHN58923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5934248:5935977:-1 gene:gene20879 transcript:rna20879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ammonium/urea transporter MNYLYTITQVDDTLGVFHTHAVAGLLGGLLTGLLAEPDLCRLILPVTNSRGAFYGGGGGIQFLKQLVAALFITGWNLVSTTLILLTIQLFIPLRMSNDQLETGDDAVHGEEAYALWGDGEKYDPTKHGSSSKADEIEVSPYVTGARGVTINL >RHN67146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24322340:24327401:1 gene:gene15295 transcript:rna15295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MANIVVTVATNVGKYLGGPIFREVQYFLCVNNVINDLETEKEALTSERDNLLIRVAQALEKTEIIEKPVEKWLIVVENLLREVDVLVQRTETDANCFQGWFPTCGRYLLCKQMVQKIDAMGKYKGKSIDIQPFSHRAPLPGSRYRSFEEFIYFESTKVAYNQLLEALKDDCISIIGMYGMGGCGKTTLAAEVGKKAEELDMFDKVISITVAQTPNIRGIQGKLADMLNLKLEEESEEGRAQRLWLCLKQKKRILIIVDDLWREFHLINIGILVDNVNEHTWKVLITTRNEHVCTSMDCQKKIHLGLLSEDESWTLFQKFARIDDEYSKLLDGVPREVCNECNGLPLSIKTIGSSLKGRHEIELWQQALDKLRDSKASNDEGGVRTTLSCLKLSYDYLQRQYTQLLLMICTLFPEDYHIPTEDLMRFAFGLGMGEKLSLQSMRSLIQADINKLFDSCLFTPGSVKMHGMVRETVLWIANTSDNCKILVNVDKPLSTLAEDNKIRECFTLSSWWYNENPTFCQLHAPNLKMLLVNISARESLNFLDLSHLTFEGVQGLEVLSITIDNKIVPVSFSPSIQLLTNVRTLRLNGLKLDDISFIGSLTSIEVLDLRRCHFNGLPIEIGKLISLKLLDLSECRISRNSYNGAIGKCSQLEELYASACYPEKHVPEIILDIGILVNLQSFVLDDQILQERRRVLRVKGFNTSRLKTSKKNILQIAEVISLEALHGGCKNIIPDMVGVVGGMDDLTSLHLTRCQDIECIFDATYDFREDDLIPRLGELRLRSMNNLTELYRGPSLQVLHYFEKLELVDIQDCRKLHIMFPLECKLRNLKILSLSYCRTDEVLFSASVAQSLQQLEQLKISGCYKLKHIIAASGRQHGGSNTSEEISPAPMNSHFLMTKLRDVNISDCPRLESIFPICYVEGLTQLQQMEIGYSPKLEYVFGKCDHKEHLSSHHVMLPHLEVLGLSSLENLIGMCPENCQANWSSQCLRMLNIYNCPNMAIPWFNLKVGYDQRQHHPNESLLSKLQELVLYDLPQLHSISWVGPTPSQIWSFQCLQRLRVDSCENLKYLFSMEVNICHGCKLHADKLLQLHIYDCPKTASSLRKIKRKLQKEAGLGDGEDSHYLELKNDENEAAAEDDEDSPIYEYPTSSKTDYVKDPSVPNLAWDKGKTKFVVDKDEEAAAEDDEDSYFGTELLTKLNI >RHN69028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40394980:40402849:1 gene:gene17454 transcript:rna17454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MDEFQAGIDNVDTGHEAKMTENTLVVMNTSDEIRVPFQEDLYPNEAMDVIQARLENLDSGYEAKMTENTPVTNTSEKFQGAPLEEDFYQKAAMDVLQAGLENVDSGYEAKVTENTPVTNTSEKFRGVRFQEDFYPKEAMDVLQVGIENVDSGYEANVTENTPATNTSDKFQGVPFQEDLYQKAAMDVLQAGAGLKNVDSGYEKKMTENTPMTDTSEKIRGDLYQKAAMDVLQAEAGLENVDSGYEKKMTENTPMADTSEKIRGDLYQKAAMDVLQAGAGLENVENTPMIDTSEKIRGGAESRCRRRSKYLSYPYTNSGPRDKDSPAETEESKTPCVVVKEKASSRTSKPSNGSILPDKLVSKRFQNNWYRKFISCSSMSSSPDFVSASSGDLLSGLFSTAVDCMSPIEDKNFDLVEWFFCKKRISEYHDEAELATSLVSVNGGKTVKPGGNDLLDTKSRKKRKNTKAENAARHKMKPLSGLSDMKGNVSIVDCTSSGKKLQQKRKVEEITSLHQLQSPETTINESGNICSSVPETQNHNVLASEKKTRPKKKQKLEAAQKYQGAQLASHFHSKSTECSSLVIDLQFMSPPLPVDIHQKSNGENKEEQVFKVSNPEIRVSQGELDGNVTRNLLVGTSEAGTASQEGFAANITNHNMSVNAASELGSVYQARFVGTASINKREKKKRTKKAPQEHPNTKHAREIPDLNNISFESSSIRKESGPVNFLSSELKSGHPRSLSACSSRTKTVNLGGVEYNGKSVGTFLFLQFAPGVDIPSKEDLLKTFCRFGPLKASETQMMKDNGSAQIVFVRSIDAAEALRNLEQNNPFGAALVGYRLHPPPAAAPPLEQCMTPTQPRPHHPPAAASPLEQFMTPTQPRLHHPPAGAPPLTQLITPTQPRVHHPPAAAPPLPTQSRPHHPPAAAPPLEQLMTPTQPTRSMPMPGETPPPLEIMKQNLQMMTSALEKSGNNLSPQMKAKLEGEIKNLLTKMNRGKV >RHN47131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37358069:37362677:-1 gene:gene41689 transcript:rna41689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKRGRKKHNDYVKEDRLSDLPNCVLLRILSLLDADEAVKTCTISSRWRHLWKHLPTLILCSSHFMRIKSFNRFVSRILCLRDVSTPLHAVDFLCRGVVDPRLLKKVVTYAVSHNVEKLRVHACCDFQHFSSCFFSCHTLTSLDLCVGPPRTDERLSFPKSLNLPALTTLSLESFAFCVGDDGCAEPFSALNSLKNLMILYCNVLDAESLCISSVTLTNLTIVGDPVYYSTVELSTPSLFTFDFVCYEGIPVLKLCRSKINLSSVKHVNIEVRMWTDYADASLVLLNWLAELANIKSLTLNHTALKVLSLVPDLLKVEFHSLCNLKLLKVKMRIPSSMPNGTLYFLLQNSPSAKVEIVDWRYYIDFCCPYGANWEISKKQYQSNEVLNQTFVRTHYALVFMYKHSCAGILEALADVF >RHN79099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22601466:22601788:1 gene:gene2803 transcript:rna2803 gene_biotype:protein_coding transcript_biotype:protein_coding MISVSLVCSNDRREGTRSGMEAQRFASLYTNTDSADTAPLFIWGDEPERYPIICIFSIEVITH >RHN65268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:689437:691435:-1 gene:gene13089 transcript:rna13089 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGRGTSFWYDNWVGNVPLKVRFPRLFDLTVDRGIGGGYGD >RHN80007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34285307:34292518:-1 gene:gene3879 transcript:rna3879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rab-GTPase-TBC domain-containing protein MIGCFGLLSVLMKRSGTTELNDFYPIRPECKADVPTTRFKPRSGKTLSARRWNSAFNEEGRLDIAKVLRRIQRGGVHPSIKGEVWEFLLGCYDPNSTSEERNEIKQRRRGQYDMWKAECQKIVPVLGSGKFVTTPLVGDDGQPIDPSLVKIKTSDKKVVQWLQLLHQIGLDVVRTDRALAFYEIEANQSKLWDVLSIYAWLDNDIGYVQGMNDICSPLVILIENEADCFWCFDRAMRRMRENFRVTATSMGVQTQLGTLSQIMKTVDPKLHHHLEDLDGGEYLFAFRMLMVLFRREFSFADTLYLWELMWGMEYNPNIFTKYEDPEGAKTKAPTTAVNNKVLQQYGKFERKIVKTGRTEECSALAIFLVASVLETKNKRILTEAKGVDDVVKILGDITSSLDAKKACKEALKIQEKYLNKTKNP >RHN61634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37037317:37039083:1 gene:gene24118 transcript:rna24118 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGVVVVVFALSAFGVHIRFGRERGTRDQALKHDRDEGENFDRRES >RHN43338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45013730:45016574:-1 gene:gene49895 transcript:rna49895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MKLKVYADRMSQPSRAILIFCKVNGIDFEEIHIELSKRQHLSPEFQAINPFKKVPAIVDGRFKLFESHAILIYLASAFPGVAHHWYPADVSRRARIQAVLDWHHLNLRRGAAGFVLNTVLAPLLGLPLNKQAAAEAEKVLISSLSTIENIWLKDDGLYLLGGFRPSIADLSLVCEIMQLQLLDEKDHDRILGPYKKVQQWVESTKNATKPHFHEVHNVLYKLKLRLSLKQSSQTDGEMKSGIKAPIASRM >RHN53590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2725054:2741858:1 gene:gene28514 transcript:rna28514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling JmjC-ARID family MGKGNPRSVEKRVLGQNLPISSPTIPSAPVYYPTEDEFKDPLEFIHKIRPEAEPYGICRIVPPNNWKPPFALDLDSFTFPTKTQAIHKLQVRPAACDSKTFELEYGRFLRDYCGKKVKKRVVFEGEDLDLCKVFNGVKRFGGYDKVVDGKKWGEVARFVRCNGKISDCAKHVLCQLYREHLYDYEVFCNKVSKGTSTSGSCKSKSDQGVESSVSKKHHGVVDDMKIKDLKVKDRKVKDESRDQICEQCKSGLHGEVMLLCDRCDKGWHIYCLSPPLKQIPLGNWYCFNCLSSDRESFGFVPGKQYSLETFRRIADRSRRRWFGQGPVSRVQIEKKFWEIVEGSVGEVEVMYGNDLDTSIYGSGFPNETNQKQKPQSIDDKLWQEYSTNPWNLNNLPKLKGSMLRAVHHNITGVMVPWLYIGMLFSSFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSQARAFEKVMRSSLPDLFDAQPDLLFQLVTMLNPSVLQENGVPVYSTLQPGNFVITFPRAYHGGFNLGLNCAEAVNFAPADWLPHGTFGADLYKRYHKTAVLSHEELLCAVAQYGDVDSRGSSYLKMELLKISDREKSWREKLWRSGIVKSSRLAPRKCPQYVGTEDDPACIICQQYLYLSAVVCSCRPSSFVCLEHWEHLCECKTAKLRLLYRHSLGELYDLAFSIDKYTSEEKAESRNVKRQSSCLSALTKKVNGSSITFTQLATEWLLQSSTILQNVFVTDASITALRKAEQFLWAGSEMDSVRDMVKSLTEAQKWAEGIKDCVTKIELWLSHRDSSLKKVNLEYVEEFLRFNPVPCNEPHYHKLKEYAEEARSLLQEIETALSMCSNISELELLYSRARGLPIYVKETKKLKGKISSTKTWMDSVRNCISARDPAELDVDVLYKLKSEIADLQVQLPEIDALQNLLNQAESCSSQCRCMLEGPMNLKNVGLLLKEWDSFTVDVPQLRLLRNYHSDAVLWVSHFNDVLGRVHRQEDQHNPVDELKSILEEGLSLKIQVDELPIVKIELKKASCRQKALKAHDSKMPLESIQQLLKEAAMLEIEGEKQFISLSCVLGVAMRWEERAGAILSAEASISDFEDMIRASENIFVILASLDDVNKALLEANSWLRNSKPYLASSNCVSNSVRKVEDLQLLVSQSKHLKVSLEERRTLELVLNDCKKWECEARSLLDDGRCLFELDTTVHGISSGLLFKVEDLIARIQSAITSGVSLGFDFNDISKLQASCSTLEWCKRALCFCNHSPCLEDVLEVVKGLSHSSVSGALLKVLVDGVEWLRRALEGISRPCSSRRFKLTDIEDILTDYQARFCVIFCLIFYIAPYYVLFIHW >RHN58833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5313965:5314333:1 gene:gene20787 transcript:rna20787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLDKNYNASLGDLGSAYAVENEKTSYELYEVQWDTSYMAPECFHIGRATREPDVF >RHN55208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16127972:16128469:-1 gene:gene30353 transcript:rna30353 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLVSLYFLFPTIFTIILVLLTPIPSAQLTNSETRILLQLQTLLEYPQEYPQLLQNNLTNFCNISSSPSFNIVCTKNHVTELTIIGNKTRPVSWKSRKTLSERFSIDSFFTVLTKLSNMKVLSLVLLGLWGPLPSKISRLSHLKFSTFHQISCMEKFHYQFLQ >RHN73603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18070806:18078930:-1 gene:gene9434 transcript:rna9434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MFLFDPPSDEEIEHYNPESEEEQGKSESESGVEEKEEEYKEPRVSKKKTQSPWDFTKYSESVAEEHARRSTTSVDDKIYAVRQRAMPIVAFPDTDDYSNSDSEPDKQEDYRSEEDGEEGNAGGDNKPFFAPSDGTSFSADSFLQLNLSRSLLLACEALGYAKPTPIQAACIPLALTGRDICGSAITGSGKTAAFALPTLERLLFRPKGVHAIRVLILTPTRELAVQVHSMIEELAKFTDNIRCCLIVGGVPTKGQEAALRSRPDIVVATPGRMIDHLRNSMSVDLDDLAVLILDEADRLLELGFSAEIQELVRVCPKKRQTMLFSATMTEEVDDLIKLSLSKPVRLSADPSAKRPASLTEEVVRIRRMREVNHEAVLLAMCTKTFTSKVIIFSGTKQAAHRLRIIFGLSGLKAAELHGNLTQAQRLDALEQFRKQQVDFLVATDVAARGLDIIGVQTVINFACPRDLTSYVHRVGRTARAGRAGSAVTFVTDSDRSLLKAIAKRAGSKLKSRIVAEQAILKWSQVIEQMEYQISEVLQEKREEMILRKAEMEATKAENMIAHRDEIFSRPKRTWFVTEKEKKLSAKAAKAYLDKEEGSSQKVISAEQAEDLKMKEKRKREREKNMPRKKRRKLEAAREMLENEEQDDKPKDKGANKNDKGGMALVDLAYRRAKAVKAVKRALDSGKVVKKPQKKSNKSNPPEKTSSRTEEMWEIFQTDMNDKKPKQRGSGVGKVKKSFKSKSRYKRK >RHN69096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41005280:41009901:-1 gene:gene17525 transcript:rna17525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator GNAT family MAAAAWKKGSVSLEGKKVILVPYMEPHVPKYHEWMQDPSLLQATASEPLSLEQEYQMQLSWSRDLNKETFIVLDKDLLFGTFSHGQPHVEAMVGDVNIFMNDINNPQIAEVEIMIAEQTSRGKGLGKESVLIMMAFAIEKLGIRTFQVKIGESNVESINLFKKLGFVQTSHSNIFKEVTLELQITQAKSEEMLGLMGTVIKHT >RHN38442.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000014.1:81124:89522:1 gene:gene50734 transcript:rna50734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MLALDSSTVVLRIPVRNPTRSLFTAINTCCYHSGRSGPSFFVGPTPSLNSIKMQLPRLKVEAEISGEDFFNASTSKRIPRHLVIMVNGITGSASDWRYAAEQFVKRLPDKVIVHRSECNSSRLTFDGVDTMGERLAEEVLSVVRRWPEVHKISFVAHSLGGLVARYAIGRLYDNSSKLEHVGNSRNHFKEEKTEYSKQCLTQSYEAKIAGLEPMNFITFATPHLGSRGNKQLPLLCGLPFLERRASQTAHLVAGRSGKHLFLMDSDGGKPPLLLRMVDDSDDLKFISALHAFKRRVAYANANFDQMVGWRTSSIRRQNELPKSNLIVNDEKYPHIVYVEGENTRGIYNKASSNVGGQTVDLEEKMIRGLTQVSWERVDVSFQTSKQRYIAHTTIQVKSYWLNSDGADVVYHMIDNFHL >RHN67666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29056371:29058018:1 gene:gene15875 transcript:rna15875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MCNSANGKPCQISLIRENEYGTQELHHKISALLEFSAKDVDEVGLWYGRKIGSKEMSYEERTPLMIAALFGSKGVLSYILGTGRVNVSRPCGSDRGTALHFSVTGCSAASAEIIKLLVDASADGSVVDENDNWCNDLIVSVRFPNVEQQQDVCTPRTEKKDYPIDPSIPGIKNEIYSTDEFRMFAFKGKPCLRAYPHDWTKCHFVHPGENARRRDLRKYHYTWDACEYAHGICECWLHPGQYRTRHCKDETCCTRSVCIFAHKPEELRPLYDFTGSAFPSPTSYSNSPSSSLIDSFTLSSPSSLIQSASRPPLTPSATTLLVAGTMWKTRIQLHVAVPTLQMPISRFNTALNARNDVEFLELKNHLSLQSPSNRLAGVNPTNLENFFGSVLHSPTSMQVHQNANQQLWGYPSNLSNSNVSGSPQFRVDAFSKRSHSFIECNSRVSFNSLIPTSVAMEPSTFSGWGSLDGKLDWSICGYELNKMRKSYSFGFRNRSSTSTAASNIDVIQM >RHN73643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18450738:18451177:-1 gene:gene9481 transcript:rna9481 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSERKEIVLIFVMMMIVVAQANDSAHEESFKRAVCALKCPFKCKGNIKHYAVCVVTCELLCTQKTSKVDYDCATNCAIFKSVNANNEARDVSVYVNPCIEVCKNK >RHN58298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:572285:574012:-1 gene:gene20196 transcript:rna20196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MVETRILPDDCWESVITFLTGGDSNHPYLKSLSVVSKQFLSITNNLPLSLTVYNQTRPFLPRLFIRFTNLTSLNLTCFGGDLDGLLCVISCFRLNHLTSLNLSNQPFIPTNGLQVFARKFTTLNSLTCSNIDSLCNNDLVCISGCFPFLEELDLSNPKEIIDITDVGVKAMSTALPKLRKVNLSGHYYINDSLLFHLCQSCEFLEEFVMLDHSSRWSPSLTNDGIASAIRVRPSLRSLSIKWPSKGRHDISSHLIDSLMCLKGLTCLDFRSSRISDMLLSSIAMEAIPLRKLVLQNCFGYSYAGISCFLSKCQHIQHLDLQNAVFLTNQHVLDLSLFLGDLEFINLSQCGQLTNLALFALVSNCASLSEIKMELTKIGMESVENSNSFKDFVVSPQLKSLHLAKNSCIRDESIKMFPSMFPNLQLLDLSYCRNISEDSICDVLRRCCKIRHLNLSNCLVVNLFGMNFEVPKLEVVNLSRTIVNDETLYVISKSCCGLLELLLEECFHVTEKGVKHVLENCTKLREINLRACNKVNVNAVASMLFLRPSLRKIIAPSGLDLSKTERIFLHRGDYAC >RHN72046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4613275:4616388:-1 gene:gene7715 transcript:rna7715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MTKRPQIYLFGDSITEESFDVGGWGASLSNFFSRTADVVLRGYSGYNTRWVLKVLERVFPVSQGGDSGTETAPIALTVFFGANDACLPNRCSAFQHVPLHDYKENLCSIVSFFKKRWPTTKVILITPPPIDEVARLRYPFENNPEGLPERTNEAAGEYARACITVATECHIPYIDLWTKMQQFPDWKKVYLSDGLHLTNGGNQLVFEEVIKKLRDEGLSLESIPVDLPLVSDIDPNDPLKAFL >RHN72743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10370942:10374260:-1 gene:gene8496 transcript:rna8496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GNAT domain, possible tRNA binding domain-containing protein MDIEPEIQAPPRVTEAAEKASLLEENVKPRTDLPHLLVNLRERRPEKLHYIGVSFGLMLDLFSFWRKLKFSPFYIGQIPNTVTGEHSCMVLKPLNNDEIEVDGSNHQDFFRPFHLDFRQRFTRLLSSTFRGMDYKLAMRIMDPKIKFTEQEQTKSTSDKFSGPVGEYDMKRLKAYVDGPYEFRVILDLVPILTHLYFQEKLPVELSALQASVLLCIGLQNQDISYIEVQLELKEEQILQQLKKIMKKFYKYLDGLESKKIESTFPRLKDIVMEPLSVSLDEDLNNAAKQVEDDMKSKEEAPLAPDFESILQNNGGKMPFDGVIAVKAIRSGVKLQKEKRSHKSGGTKRGKDNHSHNLIKRRRY >RHN47130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37352956:37357551:-1 gene:gene41688 transcript:rna41688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide chain release factor 2, double-stranded RNA-binding domain-containing protein MEVVVSHPLCCYYTTTVTAKTFRSYKQPFLIPQFPSSSSSSSSSSPHFPFLSFRTTTLSHRPSPFPLSPVVLFATPENQLSSVGEGEEDTHTSDSALQDFYSLRKDVEIASQRVKEIRESSGLQLLEQELAKLEEEASCSSFWDDRAKAQQTLSTLADVKEKIKLLNDYKTQVEDAETIVMLTEEMESVDKGLYEEASSLIKELNKSIDRFELTQLLSGPYDKEGAVISITAGAGGTDAQDWATCFRCSDEKQRYKTRVVEKSLGEEAGIKSATIEVEGRYAYGYLSGEKGTHRIVRQSPFNSKGLRQTSFSGVEVMPLLPEESLNVEIPEEDLEISFSRAGGKGGQNVNKVETAVRITHIPTGVTLRCTEERSQLANKIKALSRLKAKLLVIAEEQRATEFKQIRGDVVKAEWGQQIRNYVFHPYKLVKDVRTGHETPDITSVIDGELDPFIKSYLKHKYSMTMSTSGVN >RHN79490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29507862:29508557:-1 gene:gene3286 transcript:rna3286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor OFP family MVKKMKFLSLFKNKDGKSSSSWSWPSCNQPKTLSFRANINDTLFKSINPTFVGSTNKDILETSDSILTEFSYEYFRGNSGNSIETMICGLCLDRLFFDPNETSSILKTKEIINISSNEIISSNNNNLLLPFKNTLEVSMDSRNPCEDFKESIVEMVEAYGINDWETLEKLLSWYFEVNEKRNHGFIIDAFFDLFVRFDHGSPNCSPLSIHSCSSLDSLWSTPCISSYSSPF >RHN39562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8209852:8222076:1 gene:gene45595 transcript:rna45595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-3-phosphate 5-kinase chromatin regulator PHD family MRKLDCLSMDVIDKTFSELVSIIKSWIPWQSEPANVSRDFWMPDHSCRVCYECDSQFTLFNRRHHCRLCGRIFCSKCTTNSIPAPFSGERNPWDEWEKIRVCNYCYKQWEQGIVSFDNTGQVSNLDRTMSASSVASSKTSATADSSNITLCSAPYSAGSYKQIQQGSCANNLLQSPKRGKDTDREGLSSLGGRNIDLIEDPLPKQYGFSISRSDDDEDDYGVYRLDSDMRQYPQVNSYYGQAVLDGISNVDGSQKVHPSGENIDAKLSSNYNFDAHGLEGTPITSKNEDEPDICDENEAPSSLYVSEDVDAEPVDFENNGLLWLPPEPEDEEDDREAILFDDDDDNDGNCTGEWGYLRSSSSFGSGESRHRDRSNEEHKKVMKNVVDGHFRALVSQLLQVENLPVEDNNKNSWLEIIISLSWEAANLLKPDMSKGGGMDPAGYSKVKCIACGSRIESVVVKGVVCKKNVAHRRMTSKVDKPRMLILGGALEYQRVTNLLSSVDTLLQQEMDHLKMAVAKIASHQPNILLVEKSVSRYAQEYLLAKDITLVLNVKRPLLERIARCTGTQIVPSVDHLSSQKLGYCETFHVQKFLEDLISAGQGAKKTVKTLMFFQGCPKPLGCTILLRGADMDELKKVKHVVQYAVFAAYHLAMETSFLADEGVSLPELPLNSLALPNKSSSIQRSISTVPGFSVPGNEKSQAHEPNAEPRRTKSVTVAELASAICNTGSLCNGSSQSLPPGLNLNHSSALYSSTVASGDEIPESYHKKLLSTQPLAKETTVVDNTPVVVDDPSVNDSDTAEKIYQGILAGKSQNGHSQIYANQLSGSESLSPTNAQNHTEKPVITNEEPVPQKEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDQSYRCHSCDMPSEAHVHCYTHRQGTLTISVKKLPEIILPGEKDGKIWMWHRCLRCPRISGFPPATQRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASIDVHSVYLPPHKLNFDYGNQDWIQKETDEVVNRAELLFSEILNGLGQIGEKRSSASQINSGHKTPEIRRQVAELEGMLQREKLEFEETLQKILNQEKRNGQPGTGIDILEVNRLWRQLLFQSYMWDHRLIYADSLANSNNETGLSSSISEDMEIPIDENLTTDVSLAGRGFSSVDSICGVVDAKSSQSDAFHQEVDMVKNKQNEKEEQPNLSISKSINDQSDLLEPELGVRRALSEGPFPVVPSLSETLDAKWTGENQSGIGTQKDSTSVNPDTSTADALTATVQREAYHLGDRTEDQNGYKSIFSAPKGHDNMEDSLSWLGMPFLNFYRQFNKNLFASSQKFETLVDYNPVFVSSFGKLELQGGARMLLPIGINDTVIPIYDDEPSSIIAYALMSPEYHFQLSDDGERPKDGSSELASSYFSDSGAFQSFSSADDAFDSQKSFGSIEDMILSMSGTRNSSMLDPVTHTKAMHARVSFGEDGLLGKVKYSVTGYYAKRFEALRRVCCPSELDYIRSLSRCKKWRAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFGPEYFKYLSESIATGSPTCLAKILGIYQVTSKHLKGGKESKMDVLVMENLLFRRTVTRLYDLKGSSRSRYNPDSTGKNKVLLDQNLIEAMPTSPIFVGNKAKRLLERGVWNDTGFLASVDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTTYFLMLPDQWSPPSLIPSLSQSDLVEEKENNAQSRTLAE >RHN61683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37505764:37509475:-1 gene:gene24171 transcript:rna24171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylic ester hydrolase MASVVPVLLPNTLNQHSILPYPSHSHHLFSKPINHKSNILFLSNSKKPNNSPILFLKCSSSSSTMNQLHEEEEEEEEEDEDEEEEEEEEEEEEESPLPPLSEVWREIQGENDWEGLLDPMDPILRKEIIRYGELAQACYDSFDFDQNSKYCGTCKYHPAHFFEKLYMGDGYTISRYLYATSNINLPKFFKKSKISSVWSPYANWMGYIAVSTNEEEIKRLGRRDIVIAWRGTVTYIEWIYDLKDILHEANFKNDPSIKVETGFYDLYTKKEQSCTYCSFSAREQVLSEIKRLLQFYQGEKISITVTGHSLGAALAVLSAYDIAELGVNIIEDGDKTTNVPITVYSFAGPRVGNLHFKERCEELGVKVLRIHNIHDKVPTVPGIITNEKFQFQKYIEDTLSFPWSYAHVGTEIKLDHRNSPFLKENGDLGCTHNLEVLLHLMDGYHGKDKKFNMVTERDIALVNKSCDLLRSEFGVPPHWRQDENKGMVQTADGRWVVPERPLLEAHPPDIAHHLALVLKNRIQNRIGSFQSRTT >RHN43141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43509388:43509749:1 gene:gene49672 transcript:rna49672 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVNINEPSSEPFPWNTDRESLSMNIEHTFVGEGIAGGCGFKYPGLKSGSFFVFQNGDLAFVWKESRDVLTLQRLNLQELLKKGRRIPSLPPIDNFSYLMKSCLNVFTS >RHN77098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4075951:4078893:1 gene:gene518 transcript:rna518 gene_biotype:protein_coding transcript_biotype:protein_coding MISVSVLGSLWYMLGWKLVYNGFCESALDKRTTALVLIDDCGNKWNCTLFLGSISYCHRKIAGEWKKMIAAHRICEVAQIKPGALMVGKNEIVYLEFIPILCLCMLLFCVQVTFQGLCEVNMKNRANTRRISFGKFVLLLINLHIQQVLTTSNRHHGC >RHN55242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16375274:16378176:-1 gene:gene30389 transcript:rna30389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MAWSYSSSSSSFNNAPLEKHEVFISFRGEDTRNNFTSHLNGALKRLDIRTYIDNDLNSGDEIPTTLVRAIEEAKLSVIVFSKNYAVSKWCLEELMKILEIKKMKGQIVVPVFYDVDPSDVRNQRGSYAEAFAKHENNFEGKIKVQEWRNGLLEAANYAGWDCNVNRTELALVEEIAVDVLNKINGVYVGDLDQQIELKMERSLRLLRLSPDMLSHLENSSNNNNLVPLTEKKKKKKKLFLF >RHN74344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32019344:32020679:1 gene:gene10385 transcript:rna10385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MKSSLIAFSALVLLIFVASNSEAAISCSDVIKDLKPCVSYLVSGSGQPPAACCSGAKALASAVSTSEDKKAACNCIKSTSKSIKINSQLAQALAGNCGINTPITISPDADCSKVG >RHN60152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21802592:21804464:-1 gene:gene22390 transcript:rna22390 gene_biotype:protein_coding transcript_biotype:protein_coding MRPASVIILFSSGHFKNQHAITVYIRLRRNCTKSSTKYFR >RHN67667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29060237:29060746:1 gene:gene15876 transcript:rna15876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MVKQKQHVGGPQTMKKDYRIDRSLPDIKNEVYITDEFRMYKFKVKLCSWDNAPHDWTECPFAHSGEIARRRDPKKYYYSCVHCHEFQNGSCSKGDTCQYAHGVFESWLHPGRYRTTLCNNGTKCTREICFFAHKPEELRLLKAYIPVLLCLHLHQIQIHQVPLQWIPSH >RHN49027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51873651:51877948:-1 gene:gene43809 transcript:rna43809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative INO80 complex subunit B-like region, Zinc finger, HIT-type, INO80 complex, subunit Ies2 MEDLGSTRFDGISNAVRRKRSQTSRRPRPDSQPIPDPEGRDFSPSSSTPPSEEAADKVVSSDENDGYDNTGSKRKEFNLNHCVTQPSSAGGRSSLNNRRSSEGVLAPANWKGSSSKFKDSFDSESKNADIYGGRNPESTSLGQLQDGLGSENRVKKVKLKVGGVTRTIQANSASNSASGSGSTSKSSRLSDSSRPRQKQQSNSDDNISPSAKKRGLKGFPWKDFKRGGFGLGKEETSMGKISIKNTSVKQGDKSEPVRKSKRVPKRRVLDGEFDDDADDEIRYLEKLKTSKVSAVYRDEEESSRKHRKLSSVSNMDNAVSSRSGKDGKKRSRSDRMLEDTDYEDEVESGSAGELEDKKKKKQRKESVDVLMDTKREMTLTTRQRALQSGKDASASNASLIEFPNGLPPAPPRKQKEKPTEEELLSKKTEAAIRRKMQVEKAARESEAEAIRKILGQDSSRKKREDKIKKRQEDLAQEKAAEAEKHASNTIRYVIGPTGTVVTFPGEMGIPAIINSKPISYPPPRENCAGPSCTNPYKYRDSKTKVPLCSLQCYKAVQAKATGKTTS >RHN40659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18915878:18919290:-1 gene:gene46845 transcript:rna46845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MNLIKYLVSNFFGPKMWMVFLLICGLVEGTQSATMTSHQLQMEANAILNSGWWNTSYADFNISDRCHGHGIFCNDAGSIIAIKIDSDDSTYAAWEYDYKTRNLSTLNLACFKNLESLVIRKIGLEGTIPKEIGHLSKLTHLDMSYNNLQGQVPHSLGNLSKLTHLDLSANILKGQVPHSLGNLSKLTHLDLSDNILSGVVPHSLGNLSKLTHLDLSDNLLSGVVPHSLGNLSKLTHLDLSDNLLSGVVPPSLGNLSKLTHLDLSVNLLKGQVPHSLGNLSKLTHLDFSYNSLEGEIPNSLGNHRQLKYLDISNNNLNGSIPHELGFIKYLGSLNLSTNRISGDIPPSLGNLVKLTHLVIYGNSLVGKIPPSIGNLRSLESLEISDNYIQGSIPPRLGLLKNLTTLRLSHNRIKGEIPPSLGNLKQLEELDISNNNIQGFLPFELGLLKNLTTLDLSHNRLNGNLPISLKNLTQLIYLNCSYNFFTGFLPYNFDQSTKLKVLLLSRNSIGGIFPFSLKTLDISHNLLIGTLPSNLFPFIDYVTSMDLSHNLISGEIPSELGYFQQLTLRNNNLTGTIPQSLCNVIYVDISYNCLKGPIPICLQTTKMENSDICSFNQFQPWSPHKKNNKLKHIVVIVIPMLIILVIVFLLLICFNLHHNSSKKLHGNSTKIKNGDMFCIWNYDGMIAYDDIIKATEDFDMRYCIGTGAYGSVYKAQLPSGKVVALKKLHGYEAEVPSFDESFRNEVRILTEIKHKHIVKLYGFCLHKRIMFLIYQYMDRGSLFSVLYDDVEAMEFKWRKRVNTIKGVAFALSYLHHDCTAPIVHRDVSTSNILLNSEWQASVCDFGTARLLQYDSSNRTIVAGTIGYIAPELAYTMAVNEKCDVYSFGVVALETLAGRHPGDLLSSLQSTSTQSVKLCQVLDQRLPLPNNEMVIRNIIHFAVVAFACLNVNPRSRPTMKCVSQSFVTELPRLSIPFSEISVQQLMSEELKALFYIANP >RHN71667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1773372:1778869:-1 gene:gene7291 transcript:rna7291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-deoxy-7-phosphoheptulonate synthase MSAISASLIGFTPSLSIPHPPRHHQRRSITVPNSSSSDAKNSVATTSPLSTTPWNIDSWRKKKALQLPEYPDQEQLDEVLQTLSSFPPIVFAGEARNLEDKLSQASMGNAFLLMGGDCAESFKEFSANNIRDTFRVILQMGVVLMFGAQMPVIKVGRMAGQFAKPRSDSFEEKNGVKLPSYRGDNVNGDAFDAASRIPDPQRMVRAYCQSVATLNLLRAFATGGYAAMQRVNQWNLDFMEQSEQGDRYRELAHRVDEALGFMSVAGITSDHPIMTTTDFWTSHECLLLPYEQALTREDSTSGLHYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPKELVKLIDILNPNNKPGRITVIVRMGAENMRVKLPHLIRAVRNAGQIVAWVSDPMHGNTIKAPSGLKTRSFDSIRAELRAFFDVHEQEGSYPGGVHLEMTGQNVTECVGGSRTITFDDLSSRYHTHCDPRLNASQSLELAFAIAERLRKRRLNSTQSLSSAVRI >RHN60401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26238036:26238378:-1 gene:gene22710 transcript:rna22710 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENVAEKSDFEEKKRNPKTTSFRRRLKWTMKNQWKKKGWRGWRKMKVMKKKKRKHLVNLNVNKYEHMMLLELLKNGGCMVFVHL >RHN42907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41851370:41861352:1 gene:gene49413 transcript:rna49413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-transporting ATPase MEWNLLKDFELEPKNRSVEALRRWRSAVTLVKNRRRRFRMVADLEKRSEAEQIKQGIKEKIRIALYVQKAALQFIDAGNRVEYKLSREAIEAGFDIHPNEIASIVRSQDYKNLSNNGGVEAVARKLSVSIDEGVNDTSVDCRQQIFGANRYTEKPSRTFLMFVWDALQDLTLTILMVCAVVSIGIGLATEGWPKGTYDGVGIILSIFLVVIVTAVSDYRQSLQFMDLDREKKKIFVQVNRDGKRKKISIYDVVVGDIIHLSTGDQVPADGIYISGYSLLIDESSLSGESEPVFITEKHPFLLSGTKVQDGQGKMLVTTVGMRTEWGKLMETLNEGGEDETPLQVKLNGVATIIGKIGLFFAIVTFLVLTVRFLVEKALHGEFGNWSSNDATKLLDFFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDMALVRHLSACETMGSASCICTDKTGTLTTNHMVVNKIWICENTTQLKGDESADELKTNISEGVLSILLQAIFQNTSAEVVKDKNGKNTILGSPTESALLEFGLLLGSEFDARNHSKAYKILKLEPFNSVRKKMSVLVGLPNGRVQAFCKGASEIILEMCDKMIDCNGEVVDLPADRANIVSDVINSFASEALRTLCLAVRDINETQGETNIPDSGYTLIALVGIKDPVRPGVKEAVQTCIAAGITVRMVTGDNINTAKAIAKECGILTDDGVAIEGPSFRELSDEQMKDIIPRIQVMARSLPLDKHKLVTNLRNMFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKEKADVIIMDDNFATIVNVVKWGRAVYINIQKFVQFQLTVNVVALIINFVSACITGSAPLTAVQLLWVNLIMDTLGALALATEPPNDGLLKRPPVGRGASFITKTMWRNIIGQSIYQLIVLAILNFDGKRLLGINGSDATEVLNTLIFNSFVFCQVFNEINSRDIEKINIFRGMFDSWIFLLIIFSTVAFQVVIVEFLGAFASTVPLSWQLWLLSVLIGAISMPLAVIVKCIPVERKNSIKQNHDGYEALPSGPELA >RHN80862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41387914:41392141:-1 gene:gene4837 transcript:rna4837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kelch-type beta propeller MESLLAETPKKKAMWLYPKVLGFNPSQRWGHSACFSGRLMYIFGGCCGGLHFSDVLCLDLDKMEWSKVTTTGEKPGPRDSHSAVLVGHKMIVFGGTNGFKKVNETHILDLYTKEWIKPKCEGIPPSPRESHTATLVGGERLVIFGGSGEGDANYLNDLHILDLRTMRWSSFPEVKGDLPVPRDSHCTLAIGNKLIVYGGDSGDQYHGDVSLLDMETMTWTRLKNQGSSPGVRAGHAAVNVGTKVYIIGGVGDKRYCNDIWVFDIHTCLWSQLDIHGQQPQGRFSHTAVVADTDIVIYGGCGEDERPLNELLVLQLAAEYPNGHNNLSSCKVFGSYWNQEKKTNLGGGVDTNTKISRVGNNVEVLGKLGYGVALEKVQPYQFDSGTSQQKRRRIAATKVWDVDSEQEEHSLSLSQHSSPSQSDQEQTPGQKPNASAMDSQRYRRVKLVNKTPSTCQLDNFSSNKRFLKNCTQISQQDLHVMDQQPKQEQYLHVGEDKKGARLQALEPKPAGRGHSQHLIGTEVLGKIDGAFDSGFLMTAVVNGRLFRGVLFAPGAGVAEPNCLFPSSQPLMNSNHVDNSRNSEKVTISSCLEPCYALKQPLHARPSPIIKGTNTVSLPGEHKIRSDLQGLALTLGGPASGNNA >RHN81255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44375781:44380007:1 gene:gene5271 transcript:rna5271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MGYLYVFLLCFSILLYVTSALNFEGLALLSLLSHWTVVPANISSTWNSSHSTPCSWKGVECSDDSLNVTSLSLSDHSISGQLGPEIGKLIHLQLLDLSINDLSGEIPIELSNCNMLQYLDLSENNFSGEIPSELSNCSMLQYLYLSVNSFRGEIPQSLFQINPLEDLRLNNNSLNGSIPVGIGNLANLSVISLESNQLSGTIPKSIGNCSQLSYLILDSNRLEGVLPESLNNLKELYYVSLNHNNLGGAIQLGSRNCKNLNYLSLSFNNFTGGIPSSLGNCSGLTEFYAAMNKLDGNIPSTFGLLHNLSILEIPENLLSGNIPPQIGNCKSLEMLHLYTNELEGEIPSELGKLSKLRDLRLYENLLVGEIPLGIWKIRSLEHVLVYNNSLMGELPVEMTELKNLKNISLFNNQFSGVIPQTLGINSSLVQLDFTSNNFNGTLPPNLCFGKKLAKLNMGENQFIGRITSDVGSCTTLTRLKLEDNYFTGPLPDFETNPSISYLSIGNNNINGTIPSSLSNCTNLSLLDLSMNSLTGFVPLELGNLLNLQSLKLSYNNLEGPLPHQLSKCTKMSVFDVGFNFLNGSFPSSLRSWTALTSLTLRENRFSGGIPDFLSAFENLNELKLDGNNFGGNIPKSIGQLQNLLYDLNLSANGLVGELPREIGNLKSLLKMDLSWNNLTGSIQVLDELESLSELNISYNSFEGPVPEQLTKLSNSSSSFLGNPGLCVSLSLPSSNLKLCNHDGTKSKGHGKVAIVMIALGSSILVVVLLGLIYIFLVRKSKQEAVITEEDGSSDLLKKVMKATANLNDEYIIGRGAEGVVYKAAIGPDNILAVKKLVFGENERKRVSMLREVETLSKIRHRNLVRLEGVWLRENYGLISYRFMPNGSLYEVLHEKNPPQSLKWNVRNKIAVGIAQGLVYLHYDCDPVIVHRDIKTSNILLDSEMEPHVADFGLSKILDQSSSSSSTQSVNVSGTLGYIAPENAYTTVMGKESDVYSYGVVLLELISRKKAINPSFMEGMDIVTWVRSLWEETGVVDEIVDSELANEISNYDSNKVMKEVTNVLLVALRCTERDPRRRPTMRDVIKHLL >RHN82243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52273149:52274855:-1 gene:gene6388 transcript:rna6388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gallate 1-beta-glucosyltransferase MTYEDPIKLLLVSFPAQGHINHLVGLGKYLAAKGATVIFTTTETAGKNMRAANNIIDKLATPIGDGTFAFEFFDDGLPDGDRSAFRALQHSAEIEVAGRPSISQMIKNHADLNKPFSCIINNYFFPWVCDVANEHNIPSVLSWTNSAAVFTTYYNYVHKLTPFPTNEEPYIDVQLIPSRVLKYNEISDLVHPFCSFPFLGKLVLEEFKDLSKVFCVLVDTYEELEHEFIDYISKKSIPIRTVGPSFKNPNAKGASNIHGDFAKSNDDDKIIEWLDTKPKDSVVYVSFGTLVNYPQEQMNEIVYGLLNSQVSFLWSLSNPGVLPDDFLEETNERGKVVEWSPQVDVLAHPSVACFITHCGWNSSIEALSLGVPVLTFPSRGDQLTNAKFLVDVFGVGIKMGSGWAVNNKLVTRDEVKKCLLEATIGEKAEKLKQNANKWKKKAEEALAVGGSSDRNLDEFMEDIKKHASLINNVNI >RHN75902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46041282:46042003:-1 gene:gene12161 transcript:rna12161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nitrate-transporting ATPase MQTTNRNPIHSFIHKHIININWFWSSSIFLSTPIAIQSSLVVLQALVMDRSLGPHFKIPASFVSVIVLISAPIFLTFLDRVLLPCWHKITGKSLMPLQRIGAGHVLTVLGMVVSALVESKRLKLAHVHIMFPCPSFHFPGQVTFYYQQFPQSLKSTSTAMISMLIGIAFYLSTALIDQVRRSTDWLPDEINHGKVDNVYWMLVVFGAINFVYYLLCSISYKYEDI >RHN69504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44027543:44030691:1 gene:gene17983 transcript:rna17983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK family MDFCNDEPEKNSKDMDVHSKQLLLMVVAVIYTKVATQPVSLPNCPTKCGNVTIPFPFGTTNNCSLDNTFLIDCNKTSSTSTDVPFLPQTNQSVLNISLDGELRAAWPVASDCYSENGTKLSQIIQDINMTHFHISPTKNKLIAVGCDTVGVLEAADSGRKTYATGCVAYCNNRTDIVANQPCSGIGCCEIQLPQVHMLTRVLYGSYGGIFYNQSHSKVHDFNPCGYAFLIENGSYSLTRSDLLKLKNKEFPVLLNWTVGNQTCLQAQKNLFNYACKAEKSTCYDPGTNKSGYLCRCFGGYRGNPYLINGCEEYNECLEHNDCVEEATCIDLPGSYHCLCPEGYEGDGKNNGTGCSPKSSTKSRKDIMLTIALKQQENDYVSICLLSGVSASLVALLIGSFYAYLAFKKRKFIKLQEHFFQQNGGLLLQQQIGRHGGSTEIAKVFKVEELKEATNNFDQGKILGQGGQGIVYKGVLQNNRIVAIKKSKISDPNQVESFINEVVVLSQINHRNVVKILGCCLETEVPLVVYEFIPNGTVYEHLHDETQSIKLTWKTRLRISKEIAGVLAYLHSAASTPIIHRDVKSSNILLDHNLTAKVSDFGASRIVPLDHSQINTLVQGTLGYLDPEYFHTSQLTEKSDVYSFGVVLAELLTGKKALSFGRPEVDRNLAAYFVSSMKQGRLLHILDKNIDEANIEQLKEVAHIAERCLRVKGEDRPTMKEVAMELEGILVVEERRWGSDNLSSEEAENLLKTARSIKNIEDVVGGSGINSYESYSLNQISMSLIGGR >RHN75517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42922309:42933624:-1 gene:gene11738 transcript:rna11738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MEVDSSMLSEPGHNHGHDHDQPGAATAEAVVEGGEVTEAPAVVVGGGSPVEEVQHSAVVGPRLAPNYTVVNAILEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGNAGIRLAGATADVHCYDVLTNKWSRLTPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQWPRWHRVSVQGPGPGSRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAAAASNVQAGRLPGRYGFDDRPRQTMTEVAADGSVVLGNPVAPPMNGDIYTDISTENAILQGPRRTAKGVEYLVEASAAEAEAISAALAAAKARQENGEVELPDRDRGAEATPSGKHTSSLIKPDPVANNIASGGVRLHHRAVVIAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLSAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPTNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHIEQIENIQRPIPMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPETSPERHIEDTWMQELNANRPPTPTRGRPPVTNDRGSLAWI >RHN71356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58482528:58484939:-1 gene:gene20029 transcript:rna20029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosome-binding factor A, K domain-like, alpha/beta MSTTQLLNTVAGLIPSVTPCWRCNNHRTAATATVTIFQTQRLTGNASRITIKCMANPRRVKMVAKQIRRELSDMLITDNVLQFAVLPEASLGADLYLSSVTTITDVEITADLQVARVYVSVFGDERGKEVAMAGLKSKAKYVRGELGRRMKLRLTPEIRFLEDESFERGSRVIAILDKIKNEKSETSKNMEQLDSSVNGDDTEQLNSSSDEDDADWEDEDPEEGIIYVE >RHN82016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50592242:50594716:1 gene:gene6132 transcript:rna6132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MNIHPQNLLGCLLESAVSTHCSILGRTIHAHIIRTHVTPLPSFLSNHLVNMYSKLDLLNSAQHVLSFTHLRTVVTWTSLISGCVHNRRFLPALLHFTNMRRDNVQPNDFTFPCVFKASALLQIPMTGKQIHGLALKGGMIYDVFVGCSCFDMYCKTGFHGDACNMFDEMPHRNLATWNAYISNAVQDRRSLDVIAAFKEFLCVHGEPNSITFCAFLNACVDMMRLNLGRQLHAFIVRCGYKEDVSVANGLIDFYGKCGDIVSAEMVFSRIGNRKNVVSWCSMLTALVQNHEEERACMVFLQVRKEVEPTDFMISSVLSACAELGGLELGRSVHALAVKACVKDNIFVGSALVDLYGKCGSIENAEQVFSELPESNLVTWNAMIGGYAHQGDIDMALRLFEEMTLGSRGIRPSYVTLVSILSVCSRVGAVERGIQIFESMRLNYGIEPGAEHFACVVDLLGRSGLVDRAYEFIQNMPIQPTISVWGALLGACRMHGKTELGKIAAEKLFELDHVDSGNHVVLSNMLASAGRWEDATVVRKEMKDIGIKKNVGYSWIAVKNRIHVFQAKDSSHDRNSEIQAMLGKLRGGMKEAGYVPDTNLSLFDLEDEEKASEVWYHSEKIALAFGLIALPQGVPIRITKNLRICGDCHSAIKFISRIVGREIIVRDNHRFHRFKDGCCSCKDYW >RHN67962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31838715:31845868:-1 gene:gene16227 transcript:rna16227 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFVFNGLLSKQSLEREKSCMHRTWNLWGAIVIYLEVKRIRLKVSSSFAVMSIL >RHN44447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4837774:4840335:-1 gene:gene38568 transcript:rna38568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MVFDEAKLEDTIAQEMITKLSVKDEKKEEEEKVLCISSSVEIPIGCLSKKKLIVLDLNGLVADIVSPPPKNVKSDATIARKAVFKRPFYHEFLNFCFERFDVAVWSSRMKKNVDRVVDYLMGDMKHRLIFCWNLSHCTETTFKTLQNKHKPLVFKDLRKIWNKYDPNLPWEKGYYNESNTLLLDDSPYKALLNPPYNSIFPHTFSYENQNDTSLAAGGDLRRYVEGLANAENMLMYVEQHPFGQERITEKSEAWDFYLNVINSLSTCQPKK >RHN56077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27435343:27441192:-1 gene:gene31403 transcript:rna31403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MGHFSITITFIFLFLTRYHRLVHADSLATNVPPYSCDTSNPLTKSFPFCNLNLTITQRAKDIVSRLTLDEKISQLVNTAPAIPRLGIPSYQWWNEALHGVSYVGKGIRLNGSITAATSFPQIILIAASFDPKLWYRISKVIGTEARGVYNAGQAQGMTFWAPNINIFRDPRWGRGQETAGEDPLVNSKYGVSYVRGLQGDSFEGGKLIGGRLKASACCKHFTAYDLENWKGVNRYVFDAKVTLQDLADTYQPSFHSCVVQGRSSGIMCAYNRVNGVPNCADYNLLTNTARKKWNFNGYIASDCDAVRFIYEKQGYAKTPEDVVADVLRAGMDVECGNYMTKHAKSAVLQKKIPISQIDRALHNLFTIRIRLGLFDGNPTKLQYGRIGPNQVCSKENLDLALEAARSGIVLLKNTASILPLPRVNTLGVIGPNANKSSIVLLGNYFGQPCKQVSILKGFYTYASQTHYRSGCTDGVKCASAEIDRAVEVAKISDYVILVMGLDQSQETETLDRDHLELPGKQQKLINSVAKASKKPVILVILCGGPVDITFAKNNDKIGGIIWAGYPGELGGRALAQVVFGDYNPGGRLPMTWYPKDFIKIPMTDMRMRADPSSGYPGRTYRFYTGPKVYEFGYGLSYSNYSYNFISVKNNNIHINQSTTHSILENSETIRYKLVSELGKKACKTMSISVTLGITNTGSMAGKHPVLLFVKPKKGRNGNPVKQLVGFESVTVEGGGKGEVGFEVSVCEHLSRANESGVKVIEEGGYLFLVGELEYSINITL >RHN63613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52696693:52698440:1 gene:gene26337 transcript:rna26337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MEVNKRRLLFGCVFFLAIVPIFEANIVHFDQYWQNRSDDAKKAAQQAYKPNPQEITSNLNMHVHKALSGSNSTRRELAKYKGPCSATNPIDSCWRCDPNWEKNRKKLADCVLGFGHGTTGGKAGKIYVVTDSSDNDLVTPKPGTLRFAAIQKEPLWIIFKHNMNIKLKAELLLTSDKTIDARGANVHISEGAQITLQYVKNIIIHGLHIHDTKKTSGGQIRDSMDHYGSRSASDGDAISMFGASHVWIDHISMWNCADGLVDAVAGSTAITISNCHMTRHNDVMLFGANDGFSGDQISQITVAFNHFGKGLIQRMPRCRWGFFHIVNNDYTHWLMYAIGGSTHPTILSQGNRFIAPPNPNAKEVTKRDYAPESVWKAWSWRSENDLMMNGAFFKQSGTKAANFPKSDIKAKPGSFAAALTRFSGCLKCEVGKPC >RHN74596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34985668:34988554:-1 gene:gene10690 transcript:rna10690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isopenicillin N synthase MAATGLPALGRVKLSDLVPSDGLPSDSYKISVSILSQSLAQFSAVIVQFPATDAALLRSGLESARLYFNQRETYPPADMIHNSDSLDWCKTSGYYADPNLWQETYDYRPGLTPLEPNNSIELPPAGLSDIFSLFGKAARDILDAISYHLNLRSSPFVEILDNIPLRNQEISSSVLSVCCHARPSFQGPQQHHNIAATQEDGQLLMYPDNDHQVDKSLISLVKSDRAGLHVRDYQGRWILVDGDLGPQEAIVYPGLALYQATAGYVNPALHKTDTNMEANMYGRCSLGFKLLPKSMTSLDCSEMRAAGYGVDSQFQLPVPVDDFMQRSHPTDLLFNRPAFQCFNFQPTHDGMSLDR >RHN66691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16594049:16594287:-1 gene:gene14741 transcript:rna14741 gene_biotype:protein_coding transcript_biotype:protein_coding MQVCSTLALEMSILCIRKHLTSDPCYGPLYYEMPVLIDNLCLRLFHAYGWLFVVSLDYSVEANLMIW >RHN48910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51076470:51078573:-1 gene:gene43676 transcript:rna43676 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVCKQGSDFENVEDTKYTLSKFLVILVSHCTIYSKAICQVWFVRLARLYVSLVCAPVTARSWVVTNS >RHN69591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44712119:44713321:1 gene:gene18079 transcript:rna18079 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGDQASIIGGVVDYITELQQLLQALEAKKQRKVYSEVLSPRLVPSPRPSPLSPRKPPLSPRLNLPISPRTPQPTSPYKPRMQQSYIALSPLDPSPTSSSTSSVNDNINELVANSKSPIADVEVKFSGPHVLLKTVSQRIPGQPLKIISALEDLALEILHVNINSTSDDTMLNSFTIKIGIECQLSAEELAQQIQQTFC >RHN74099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27618626:27621225:-1 gene:gene10075 transcript:rna10075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MAQENASNCIYLATFLIIFLTIISSSSAWVGVNWGTMTTHQLPPKKVVKMLKDNGFRKLKLFDADDTILTALMGTDIEVMVAIPNVMLSKISNSPMDADSWVYENVTAYLFRGGVNINLMVGCEDVLCGHTLTVPHFTCYHCRYVAVGNEPFLKAYNGSYLNKTLPALKNIQTSLNNAGLESKVKVTVPFNADIYYSPDSNPVPSAGDFRPELRDITIEIVQFLYSTNSTFTVNIYPFLSLYYGQDNFPFDFAFFDGKNEPLRDGKAVYNNVFDANLDTLMWALEKAGYPDLHVTVGEVGWPTDGDKNANAKNAKRFNQGFIKHALRGNGTPKRKGMMDFYLFSLIDENDKSVAPGNFERHWGIYEFDGKPKYELDIRGNHKEKGLVSVEGIKYLEKKWCVLDPEATDLDDLGKNVDYACSHSDCTALGYGCSCSNLNVQGNASYAFNMYYQVNDQMDWDCDFSGLAMVTHEDPSENGCNFPLMVASGSRAGLSKILMKAMEVYFFAMLLL >RHN52354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34220998:34234047:1 gene:gene37019 transcript:rna37019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAMQSPSSSSSISYQFKYQVFLSFRGSDTRYGFTGNLYKALTDKGIHTFIDDRELQRGDEIKPSLDNAIEESRIFIPVFSPNYAYSSFCLDELVHIIHCYKTKGRLVLPVFYGVDPTHIRHQTGSYGEALAKHAKRFHNNNTYNMERLQKWKIALAQAANLSGDHRHPGYEYKLTRKITLDQTPDSSSDHCSQGYEYDFIEKIVKYISNKINRVPLHVAKYPVGLQSQLQQVKSLLDNGSDDGVHMVGMYGIGGLGKSTLARAIYNFVADQFEGLCFLHDVRENSAQNNLKHLQEKLLFKTTGLEIKLDHVSEGISIIKERLCRKKILLILDDVDSIRQLDALAGGLDWFGRGSRVIITTRNKHLLSTHGIKSTHAVEGLYGTDGHELLRWMAFKSDKVPSGYEDILNRAVAYASGLPLVLEIVGSNLFGKSIEEWKYTLDGYDRIPNKEIQKILKVSYDALEEEEQSVFLDIACCSKGCGWREFEDMLRAHYGHCITHHLGVLVDKCLIYQSYGDMTLHDLIEDMGKAIVRQESPKEPGERSRLWCQDDIFHVLKENSGTSKIEMIYMNFPSMESVIDQKGKAFRKMTKLKTLIIEDGRFSKGLKYLPSSLRVLKWKGCLSESLSASFLNKFQNMKVLTLDECEHLTHIPDISGLSNLQKLTFNFCKNLITIDDSIGHLNKLELVSASCCKKLENFPPLWLVSLKNLELSLHPCVSGMLRFPKHNDKMYSNVTELCLRECNLSDEYLPIILKWFVNVKHLDLSENVGIKILPECLNECHLLRILNLDGCESLEEIRGIPPNLNYLSATECLSLSSSTRRMLLSQKVHEAGCTNIRFHNAKEGIPDWFEHQIRGHNTISFWFRKKIPSITSFLYFKGEDMIAGVHLFVNGYECPLESCEYLLCGSYSFAGLYTKHAYLFDLKLEEKIKCCGIKFESEMDKTLLKNEWIHVELRYRIIYDKIKILRSAQMGIHVLKEKSNTDEDVIFTNPYRHFDNKWIDGNGAFRDYSNTSLSQFEPPLKKQRLVEMGVSETESLQQQQHLALLSQQQQMALLPQQQRITVLSGMWNLVLNETKEKEQHDWIP >RHN75213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40202130:40203873:1 gene:gene11384 transcript:rna11384 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SPEAR1 MASASENQAKNKGRKNGKGPKKPLRGVGVEQLEKQRIAALMKKHFDLPHQQQVLKYGAFSPTGPFQFPQQQMMMNENTTNNNNNTVLVGSGYVPFVGSNVGAGWIVPNNQNQNQNNIKNRVVVGGGNYGSGSLLCSSRNPFEPSKELSSMPNLHHSQPFDLCLKKTRLNEEESNVMRGAGINTRNLEAWSNHHYVHDFLGGYMPQPQPSAYPTHHNLDEYVEVVAVHRRGSSSSGGKDKVFMEYDFFHEKDGRDTSFKNLKFPTIGEASSSSSLSLTVSAYGGDNATTDLSSIDLSLKL >RHN45883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26519795:26528372:1 gene:gene40307 transcript:rna40307 gene_biotype:protein_coding transcript_biotype:protein_coding MASKYSQVLLLLGMMVLTTVVSDGHNSFGYAPMLDPEEDWPDQFFPPLTIIEGRKSHSFGYPPILDPEED >RHN38774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1897708:1898859:-1 gene:gene44740 transcript:rna44740 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGEKACYRYSRTNFIRKYSVFNTLESVICYKLQEDHQY >RHN75096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39337788:39338501:1 gene:gene11253 transcript:rna11253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MSGAKKTRGRQKIEMKKMSNESNLQVTFSKRRSGLFKKASELCTLCGAYIALIIFSPSEKVFSFGYPNVETVIDRYLSLIPPQNDGIMEFMEDFRRAKVRELNGILTRMNDAIDIDKNRENELNQQRKMNGGQFWWTRPIDEMNMVQLDLLKKALEDLQKLVRQHADRVEMQGTSTQALPFFVGNGSSSNMPIEHQPNPQQESNFSADFFHTHMLQPHLFGFNNMGGQDGHGPHGFV >RHN42870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41568400:41569049:-1 gene:gene49368 transcript:rna49368 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQNRKHNNYGWGHIHEVYELAYPHHHPHHQKRRVALYEEPIAEADRSYHVEVRRETEKTDRQGTRFGDQNGTTYENVDQEAEAFIQHEHKRMALAKLMSSR >RHN68904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39502395:39503587:-1 gene:gene17320 transcript:rna17320 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLSCGITKPFLKFNSSLKYQQQHRPNISVALVRCESKDSSEENELLEKRSKLDKGSPIIIIEAPKMIKTAASVPCLRVNSGLVKPGDVGRIVSRKPKDVWAVRLSIGTYLIDGKYFKPLDLAQNS >RHN49045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52051134:52053617:1 gene:gene43832 transcript:rna43832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol 3-alpha-galactosyltransferase MAPELVPTAAKSVTGFTKPVTIPKRAYVTFLAGNGDYVKGVIGLAKGLRKVMTAYPLVVAVLPDVPEEHREMLEAQGCIVREIEPVNPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVYENIDHLFDLPDGHFYAVMDCFCERTWSHTPQYKIGYCQQCPEKVHWPKEMGQPPSLYFNAGMFLFEPSIDTYHDLLKTLKVTPPTPFAEQDFLNMYFKDIYKPIPFVYNLVLAMLWRHPENVELHKVKVVHYCAAGSKPWRYTGKEENMQREDIRMLVKKWWDIYNDSSLDYNKNLSGSGEVQTNGFEIEPFVQALSEVGRVQYVTAPSAA >RHN56923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34833692:34834905:-1 gene:gene32392 transcript:rna32392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSPISLSANGTHISMKRESLAEDYADKKKKPPHKFMLKIKSSPLVFPAISLSAYGKKIPVNRHSLAEDNEKKKPMKATTNRQHQPLSAKGSQNTVKRNSLSQDLDDEYEENKKATTGNNKQVQIKPERNRNKIKIIFLLKRKTKTVIEQGQSSKIFCGICFDSVTDSNMFSTGCNHPFCTKCICKYVKNQITEKVVKLNCPDPECSDKIYCPYNNCSLLMVNDAACAVTSCECSSCHRLFCVQCKVPWHTDMNCRQFQKSMSENQLDKNFLKLAKREKWQRCPKCSMHVQKTGGCMHMHCRCGFHFCYMCGRHWQQGHTCKHTR >RHN77114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4194497:4195317:-1 gene:gene536 transcript:rna536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MNLLFIGEVSLNSLHATSHLSSMQTPRVQLVTCISCILQHVNACNHPLCHHALHEKCNFSFMHHGHVSCYKSAVSFTYNPAAATFGHEKMVWGHSISNDLINWTHLNDAIVPTIPGDINSCWSGSATILPGEKPAMLYTGIDQNRHQVQNLAMPKNLSDPYLREWEKHPQNPLMTPPSGVEVGEFRDPSTAWQGKDGKWRVIIGAQNGDEGKIILYKSEDFVKWIVDPIPFFATDDTGVCECPDFFTVYINSTNGVDTTMENSSVRHVLK >RHN70239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49942688:49944079:1 gene:gene18800 transcript:rna18800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MLAPKVMIPNTQSDIQIDPTQHQQLLDFLRSESALANNQHSSVSLTIAKHLFSKESHRNKNIVLSPLSLQVVLSIIAAGSDGSTQQQLLDFLQSNSTDQLNSFASKLVSVILKDGAPAGGPRLSFVDGVWVEKTLSLQPSFKQIVSNDYKANLSSVDFKNKICFYICSLFTTKFKCINFVLQYFGQRSEFMGCKKTNGIIKQLLPSRSVNSLTRLIIANALYFKGVWNDKFDASKTKDYDFHLLNGSSIKVPFMTSKEEQYIRAFDDFKVLGLPYKQGEDKREFTMYFFLPNAKDGLPTLLEKLASESESLKHKLPYDRVEVGDFRIPRFNISFGLETSDMLKELGVVLPFTNGGLTKMVNSSQNLCISKIFHKSFIDVNEEGTEAVAATATEVFTSSGMGFPTRLDFVAGHPFLFMIREELTGTIIFVGQVFNPLAG >RHN78286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13629051:13631708:-1 gene:gene1821 transcript:rna1821 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKEISVFDGINNDAYWWVLCNDRYFATNGTSGWKKLIEAVPTLCGCAHQWWYHRHPHASWEFFSDALIWHFYLDFHEVLPHPDEGKVT >RHN66686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16564797:16564928:1 gene:gene14736 transcript:rna14736 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRHSRTNINPHFFHFTYTFQNRWIHGHKFLISRLFLVFGGW >RHN57615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40550590:40554873:1 gene:gene33191 transcript:rna33191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PsbP family protein MASSTQCFLSHLALSTPTTSTRFSSQRQVVNVRSKAKQFLVCKAKKQAIQEDDGNNIIVSRRLALTVLIGGAVVGSKVSPADAAYGQSANVFGKPKTNTDFLPYDGDGFKLSVPSKWNPSKEVEYTGQVLRYEDNFDTTSNVVVTVTPTDKKAITDYGSPEEFLSQVNYLLGKQAFFGETLSEGGFDPNAVATANILETSTPVIDGKKYYVLSVLTRTADGDEGGKHQIIRATVKDGKLYICKAQAGDKRWFKGARRFVESTANSFSVA >RHN39717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9902819:9904003:1 gene:gene45771 transcript:rna45771 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSKKNISKKKGICSDSVSNSYDHATKSCEVISKVLLPEDLMFYILTLVPLDCLINSARYVCKPWAAIIASSFYVQMCESRARSKPGLYVENRTTRSSSYFLEFKDGVNGQFERTNLGIPQEMGDIICTRDGILLLWNFFVNSIFVVNPLLKSWLRIPPFPIFGQHISWRTTRCAIARVPGTIKFKLFFVDIFYISNAFWYVFYMLRIGIDNSWREIARKEYLFQHQPLYNESSDLYWISNKEVIVMDVDKEIILREYPLPQVPSGEDPTYLWMENRLSCIVRKDPHYKTYNIYILDFDSRKWSFYHQMELAFGHKLASFEAFRLWIDDQIIFRATPHQNQLSKILQGIKKMHFCYNVKTKQLIKIEDIDVGDFKVWFHTNSLVSLSSTPP >RHN69222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41882628:41883689:1 gene:gene17662 transcript:rna17662 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDRRLELIDQAIQKHIHDNNHNLKDHETEYKQTLSHLFSVSQQLEMSKGGETVKQSEASSPLKQVDSAIEKTKGETADGDKDEIIKELKKVKKQNFVTHCLLSAMIVLTVAWQLSEVSLVMRVKDGMNHPFRSVGNMFKEMVKDVSGSNGQDAAGDKENNESTSLPSLKIPDMTNVDVPNFGK >RHN43606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47184354:47185715:1 gene:gene50212 transcript:rna50212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative profilin MSWQTYVDEHLMCDIDGTGHHLSAAAIIGHDGSVWAQSSSFPQIKPQENTDIMKDFDEPGHLAPTGLHLAGVKYMVIQGESGAVIRGKKGSGGITIKKTGQALVFGVYEEPVTPGQCNMVVERLGDYLIDQGL >RHN44684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7384306:7384668:1 gene:gene38832 transcript:rna38832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MFLLYEYDIFWTFLIISIFIPILAFLISGILAPIRKGPEKLSSYESRIEPMGDAWLQFQIRYYMFALVFVVFDVETVFLYPWAMSFDVLGVSVFIEAFIFVLILIVGLVYAWRKGALEWS >RHN79582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30287349:30291063:1 gene:gene3395 transcript:rna3395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MDSHKQLFILLWLSLVLVLLSGLQAEASRHLKYPTKELFVFGDSYVDTGNSQKSKPGSWKEPYGITFPGKPAGRFSDGRVLTDFIAKYLRLRSPIPHKFRNIVSKHYLKYGMNFAYGGTGVFDTFTSGPNMTAQIDSFNQLIQENVYTPSDLSKAIAYVSVAGNDYNHYLATNGSLPGFPSFIASVVKQTTTDLIHLQSLGFKRIVVGALQPLGCLPQTTAESSFQRCNSTFNDLVALHNNLLNQSVAKLNQETKDHTTFTILDIFDSFASVLNHPASHNIKDHLKPCCAGVSSQYNCGSVDENNVKKYLVCENPESSFFWDGLHPTQAGWNAVYNELEKKGLHNILY >RHN51496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18888945:18890357:1 gene:gene35941 transcript:rna35941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MIGKNYIGQFVMTKLLLPLIERSHVSSKIVNVTSFTHRIVCLLLFSYELHRQLCQIGKSHQIFVNVADPEVVQTNIMREVPAKLSSVTFFILKRLHLLESSECGNDSIIDVALTPSVSTFLGKSLLIYVLHFYCMH >RHN64042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56128410:56132333:1 gene:gene26819 transcript:rna26819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial import inner membrane translocase subunit Tim16 MAAKILANLIVIGGSILARGVVAAYRQALQNATKNGVAQETIQNVVHRGSKMMTVEEAQLILGVTEKTFWEDIVKKFETMHYKKFCILRRILCDPCLKTASKCTFATVSATLEPNRRRFCVISHVFP >RHN68246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33977158:33978112:-1 gene:gene16575 transcript:rna16575 gene_biotype:protein_coding transcript_biotype:protein_coding MELVEVREKKLKICGFHLYGCLAAAQLQQTHHFSENQKTSSSSYNQAFAVRTESLKQHKSVPRPKQNQAKNKTTTLDKTNF >RHN62508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43946061:43946646:1 gene:gene25092 transcript:rna25092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LysM domain-containing protein MAKFTKISFALIFALILIMMFIAESRPTPTVPRCDTIHAVAEAETCSSIFQKFNLLEAHFLEINPNINCVGIFVGQWVCVEGEVN >RHN43724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48013938:48016907:1 gene:gene50344 transcript:rna50344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MFPVKSPSDVIKLMDIGLKNRAIGSTAMNERSSRSHSVVSIHVRGKDFKSGSTMHGNLHLVDLAGSERVDRSDVTGDRLKEAQHINKSLSALGDVIFALSQKSPHVPYRNSKLTQLLQTSLGGQAKTLMFVQINSDVSSYSETLSTLKFAERVSSVELGAARNNKETRELSEQVTSMKNTILKKDEEIERLKSLNASIGGISKQIQKVSSGSFKHLVEGDIKQQMDDHKTEYLRSPEKARRVTQGVSATDFQQKSSDFSDNKESRLKSLNKSVSGISKQIQKVSSGSFKHLVEGDIKQQMDDHKTEYFRSPEKARRVTQGVSATDFQQKSSDFSDNKESRLKSLNKSVSGISKHIQKVSSGSFKHLVEGDIKQRMDDHKTEFLRSPEKARQVTRGVSATDFQQKSSDFSDNKESRLKSLNKSVSGISKQIQKVSSGSFKHLVEGDTKQRMDDHKTEFLHSPEKARRVTQGVSATYFQQRSSDFSDNNSLALGTETDGSSDSSSMSEAKKTSDKSKTSKVISRTVQAVRKFGRISSTTAVAKDPSKKSSGTIKSPSIGTPKAPKRWQ >RHN43080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43020094:43021073:-1 gene:gene49603 transcript:rna49603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MYASTSFTSPLLHELLVESHTRRLLIQNPVDHQSLKINPPVFTNNNNSTDSKFGAREFDSDVVMIIAVLLCAFICLLVLNSIIRCFLRISNNNESSSSSNSNSSPQSVNKGIKKKALKAFPTVSYSTDLKLPSLDAECMICLSEFTKGEKVRILPKCNHGFHVRCIDKWLKEHSSCPKCRQCLLETCRKIGGSQVQPIVLPVPEIIIAIQM >RHN70166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49266285:49269638:-1 gene:gene18721 transcript:rna18721 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPENHFNNDDNREKQASQLAALLKEMKEGLDNVKSKIQTLTAKVKNQDSTADGFSYLEAKNLLLLNYCQSLVYYLLRKAKGCSIEEHPVVRSIVEIRLFLEKIRPIDKKQQYQIQKLIKASESATSNTGEKEPAASKKSEDVSKYRPNPDMLVSKVEPTAEDDGDGDNVYRPPKFAPTSMDLEKSSKQERNASRRDKEILKQAKQSDFIRSMVNDMEDRPEEIRDFEGTSREVDKYISKMEDRARQEEELFNRVPLSREERKREKHMKKATNGMQGLTESLFDEVRALPFEDYTREQTMGSRNGGRRNGKLKKRKRKH >RHN43228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44182134:44183010:-1 gene:gene49769 transcript:rna49769 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPTMGNWMVLIKNGFGGGGGGKSAYTTSTVPKMKAYAPPASDYGHIHHGQQHGKASSKSTKQDFVPIYVAVGMIALSTMLGIHTAWQNLRNNPTVRVKKQRRETVPEVVEPDHVMEESDRFFKSSFFRKIAHVQERSYPDHNQVSSPIHRDAFAYQPRAETLKSVGVDPSHV >RHN38463.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000011.1:11304:11469:1 gene:gene50696 transcript:rna50696 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFCASFLSYRLRFYRLGHLILWFQHMAMVQIQTKYFLSCFDLSWWK >RHN67707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29540627:29543485:-1 gene:gene15925 transcript:rna15925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLKIVTEDTIENSCYSLFPKLKGLHIRECPQLQFILPILSARDIEFLEVIKIKCCDKLKYIFGQHQDVKLTSLKEVAIEDLPNFIDIFPPKASSISKDGSKPQTQLDTIKSNTFSMCCYRYKLKSTKIPSVSEDQPQDCSISLESNSYCLDIWNYAPKVKEIQISNVPKMKSVFIVSSALRMLETFTIEKCDELKQIIIDTGDHNSTSGNNFGNVFPKLKTLWVVNCVQLEYIFGHYNHDHLPPFDKRSMDGTIIKELSGNEENGQQMNLSLEDIMLIDLPMMRCLFVGLKYSFVLNNLTTMRIDGCEKLEIVFSTSVLRWLPQLVRLEVEECKELKHIIEDDLEDKNFQSSNTFFPKLETLIVTKCDKLKYMFPVSICKEFPELKVMFIREANELEEIFKSDKKDEVEEISKSEVQIPNLKAVGFAYLPSLCHAQEVHFQAVKHRLVHSCQKLSLTSCNIEGDVRSWDFMKDDYFLHYQFDVIRIECVKAASEHKLTSPQVNTITI >RHN42342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37498347:37501869:-1 gene:gene48773 transcript:rna48773 gene_biotype:protein_coding transcript_biotype:protein_coding MYTADDRNIHSVDEITDGERLTLALWFSRDGSHDEDTKLVSLLSQHLLHKSMASSCLPFPASSNMYWCSQNQASTDHFGFNICWARLHVLGYDIYISQDSSSGFDVSELMVKPVRLVRGSELLDHEFVNILHALQVVQFYCWKGSALQTNMSNIDSKVVKLLDVQREKISGINSLLLNDVNFASRIFCRRPSYLEENGCIYFNWTGIVAAIAAWEDYVLKLSKQIHLQLPYWRMQESLYNVQIDA >RHN51531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19486775:19487956:1 gene:gene35987 transcript:rna35987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MNKLVLKVDLYDDRIKQKAMKAVSGLSGLDAVSIDMKDKKMTLIGDMDPVSVVSKLRKLCHAEIIMIGPAKEEKEEEKEEEEKKEEENKEEELDDLVTAYETIISLSVL >RHN44747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8078207:8080567:1 gene:gene38907 transcript:rna38907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MAIHRIAIGSPGEAGQPDAIRAAFAEFFSMLIFVFAGQGSGMAYSKLTNNGPATPAGLIAASLSHAFGLFVAVSVGANISGGHVNPAVTFGAFIGGNITLLRSILYWIAQLLGSVVACILLKSATGGMETSAFALSSDISVWNALLFEIVMTFGLVYTVYATAVDPKKGNIGIIAPIAIGVIVGANILAGGAFDGASMNPAVSFGPAVVSWTWTHHWVYWVGPFIGAAFAAIIYDNIFIGDDGHEPLSNSDF >RHN67415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27021272:27022348:1 gene:gene15604 transcript:rna15604 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKYFSFLCICVQLLIVVVAIMPFENGKQFGETKEPKTNVGVDEYAHTLGISAILQGDGGNKNKGESENVIKFPSGGRWGYGSNVVDFRSGGKGSRSGNIVHFPGGENEGGGGRAGDNG >RHN41696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32153551:32161138:1 gene:gene48046 transcript:rna48046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MPSQILPITNFQPPKKPLFSSSSSSSSASTMLLKDIDDPLTSLLISSSFKDNNNAIMSVKPLLSKASSFNNSIGSGGGGGVSCFYQKRRRRAASDDSLSCVSNGSSERSSFGRDVRHVASETFLVTRLALKMLTYLGVGYKWITQFLALGCYALLLLPGFIQVGYQYFFSSQIHRSIVYGDKPRNRLDLFLPKNSDGPKPVVAFITGGAWIIGYKAWGSLLGQQLSERDIIVACIDYRNFPQATISDMIDDASQGISFICNNIAEYGGDPNRIYLMGQSAGAHIAACTMVEQAIKEAGEGESTSWSLSQIKAYFGLSGGYNLFNLVDHFHSRGLYRSLFLSIMEGEESLRRFSPEVMVQDPNFGNAVSLLPPIVLFHGTGDYSIPSDQSVSFADTLKRFGVKAESILYEGKTHTDVFLQDPMRGGDDDMFDDLVAYIHAGDAEALSRDATAPPRKRLVPEFMLKLAHTVSPF >RHN70595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52623522:52628385:1 gene:gene19193 transcript:rna19193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-KNOX family MAYHNQDNPLHHFTEQQQPTSDPHHSFQTAPNWLNNALLRNLDTNVNATATNNNNGSQSSGQWLSRPILHRNHSEVIDDVTGAGMKAEESGEATAEGVMNWQKAKHKGEIMAHPLYEQLLSAHVSCLRIATPVDQLPRIDAQLAESQNVVAKYSALGQQGMLDNDDNKQLDHFMSHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSEDEDEQVDSDVNLFDGGLDGSDNSMGGFGPLVLTENERSLMERVRHELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKDWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSNALKSKRKRNK >RHN81192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43961959:43964471:1 gene:gene5199 transcript:rna5199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RKF3 family MNMNMNMTIIMNILVLIFTTLLTTSHSATCPLNFTLLTTLSGGGATTKPPSSFTSTPCQFVRQALRLVQSDYLRRTDSFIPPSNSSTTCWDSFQSYINQFEPTFNIRSSCGFQTEWISQGCVNVTTRQQFEALVPKSNIQNMQSNCNQSIQDNSPCALCTTSLSGLPSLGQPIGNLSDCTGYPSIYAAAFANQFGPSDPGTVKCLFQLDFTSGSSSGSKKKIVAIVVSIVSVLVVLSIVIGFWAYRKFNDKAIGDGKDHGANIGESVSVSGLGSMDNSTTLIRFTIDDIKKATKNFHRDNIIGKGGYGNVYKGLLNDGSEVAFKRFKNCSAAGDTSFTHEVEVIASVRHVNLVALRGYCSATTRLEGYQRIIVCDLMKNGSLHDHLFESNGAKLSWPARQKIALGTARGLSYLHYGAQPAIIHRDIKANNILLDDKFEAKVADFGLAKFNPEGMTHMSTRVAGTMGYVAPEYALYGQLTERSDVFSFGVVLLELLSGRKALQTNDDGQPSALTDWAWSLVRTGKALDVIEDGMPEQGSDQVLEKYVLIAVLCSHPQLYARPTMDQVVKMMETDDELVPAIPGRPIPLVAGRLDIERSVSGFGSGQLSSPTGYQSFTLEMGSEQMESERHSSNHSRDERSSASRILSSDLDQ >RHN64671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60889056:60892173:-1 gene:gene27511 transcript:rna27511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein translocase complex, SecE/Sec61-gamma subunit, protein translocase SecE MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFSKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSGDDNGNYNRLRKSSCEAYGGSRGSQFNRTFSALNLSYVFSFVFPYFFFSKTSQFNNM >RHN64045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56139935:56140147:1 gene:gene26822 transcript:rna26822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anaphase-promoting complex, subunit CDC26 MLRRKPTKIEVKIEDKEELEEARRRNTANATTNTTTTTTTGAASLLRQFDRAEDSSSKANRIGLSS >RHN58516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2404165:2404353:-1 gene:gene20436 transcript:rna20436 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQSLASPGVYGNCVKTLSACHWFECWQMDGGGDGGGKEVIVDDDDDDDCSHI >RHN64762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61638389:61639734:-1 gene:gene27617 transcript:rna27617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casparian strip membrane protein MEFRMAKGEVLLRVSAILFLVSTACIVAFDTETKVVILMIKKKATYKDLDALKILLYVTSAAAGYNMLQLCKHSFSACSTIRNFNDSYYMHMAWISFLLDQIVVYLTFATNTSAFEACLFALTGSEAFQWMKVCNKFTRFCYQIGGAILCCYIASILMAMISTISAYKVLRMYSPKRFLRLKGK >RHN43559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46849683:46858994:1 gene:gene50160 transcript:rna50160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MRLSSHAILKVLILCHLLLCYPSFSFSLLAANSTVETELLLPETNVTAVGNASLAGSNEDSLANMIDRALEREFPENEQNEGTDDAGSFNNSVAGQQAVLETVARVKPKKNETKEEKSFQFHDVFNLDNENRVEDTPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVMTGYLLAGSLIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAILGGLLQILLFMCLCGIIASLCGGKSSEGIFVGAFLSMSSTAVVLKFLMERNSVNALHGQVIIGTLILQDCAVGLLFALLPVLGGTSGVLQGVISMSKSLLTLITFLVVLSILSRTCVPWFLKLMISLSSQTNELYQLASVAFCLIVAWCSDKLGLSLELGSFAAGVMISTTDLGQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVILVIIVKTIVAASVVKGFGYNNKTSILVGMSLAQIGEFAFVLLSRASNVHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVLHLGALLRWFPPDSPAEVLPSKVSFKGDNFRADSAKRITLMVQGSHDS >RHN49821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1445696:1448480:1 gene:gene34043 transcript:rna34043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MNSMRLIHVLWCFNLYVTCTFIQVSSHECVYPAIYNFGDSNSDTGTAYATFLCNQPPNGISFGNISGRASDGRLIIDYITEELKVPYLSAYLNSVGSNYRYGANFAAGGASIRPGSGFSPFHLGLQVDQFIQFKSHTRILFNNGTEPSLKSGLPRPEDFCTALYTIDIGLNDLASGFLHASEEQVQMSFPEILGHFSKAVKQLYNVGARVFWIHNVGPVGCLPFNYYTNQNKKGILDANGCVESQNKITQELNNKLKDQLCQLRKELVHAKFTYVDMYKAKYELISNAKSQGFVSLIDFCCGSYTGNYGVNCGMNTNPCRNPSQHISWDGIHYSQRANQLIAEKIIHGSFSDPPVPIGNACF >RHN57545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39953312:39958441:1 gene:gene33107 transcript:rna33107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydropyrimidinase MMMSFSFQLLLPIFFFLITTSLSQHANNQFCEAGIESGESTCGTSSSTNKLLIKGGTVVNAHHQHVADVYVEDGIIVAVQPNIMVGDDVYVIDATGKFVMPGGIDPHAHLGMDALGTTSVDDFFSGQSAALAGGTTMHIDFVMPINGNLTAGFEAYEKKAKNSCMDYGFHVAVTKWDESVSTDMEIMVKEKGINSFKFFMAYKEFIMVNDELLLQGFKKCKSLGALAMVHAENGDAVYAGQQKMIELGITGPEGHPLSRPPMLEGEATSRAIRLADFVNTPLYVVHVMSIDAMEEIAKARKSGQRVIGEPIVSGLALDDSWLWHPDFTTAAKYLMSPPIRSKGHDKALQSALATGVLQLVGTDHCAWNSTQKARGVDDFRQIINGVNGIEERMHVVWDIMVESGQISVTDYVRVTSTECARIFNIYPRKGAILPGSDADIIILNPNSSFEITAKSHHSRLDTNVYEGWKGKGKIEVTIAGGRVVWENNELKVVPGNGRYIQMPPFSYLFDGLDKKDAVYLNSLKAPVKRAKSTT >RHN64682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60970638:60976667:-1 gene:gene27524 transcript:rna27524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannosyl-oligosaccharide 1,2-alpha-mannosidase MARKNYSSSSSALRYVQPRYYAKRPKRFAFLIFLLISLAWFVYDRQLLSREHQQDIFRLKQEVTRLQKTLEDIKGNIKGSEESIEIDEKINKGVSVADEEEEEEENDPISLERREKVKDAMLHAWTSYEKYAWGKDELKPPSMTGVDSFGGLGATLVDSLDTLFIMGLDTQFKRAREWIEKSLYFNKDLEVSVFETTIRVLGGLLSAYDLSGDEVFLEKARDLADRLLPAWNTPSGIPYNRINLAYGNTDNPKWTRGNSILADSGSEQLEFIALSQRTKDPKYQEKAEKVIKELRKRFPEDGLLPIYINPLTGDKSAGAITFGAMGDSFYEYLLKAWIQGNKTETVKFYREMWETSMKGLQSLIKKSTPSSFVYISEKLGNSLIDKMDELACFVPGMLALGSSGYGPGEDDKCMALAEEVILLKYLFNLSSLNLSTKLRAVQTVQVLIIIL >RHN74198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30089311:30090185:1 gene:gene10213 transcript:rna10213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MFKILLFTSSIIVFLSLFFVTYEDFWNVCAYNSDCQSYPCDLGESRNCTLNRCICVYNI >RHN73351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15591452:15595419:-1 gene:gene9153 transcript:rna9153 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGRSISKPPYFDGTNLTEWRELMKIFIQSVDFEVWLVIENGPKLPKKIINGEEVLKTIDEFNDEDRKIMEPEMILREF >RHN79931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33592928:33593338:-1 gene:gene3789 transcript:rna3789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MEIKITTANLEDDTIKTLYNASLNGCVTTLNTLIQNKPLLLHKVSLSPYNETPLHIASLLGHLEFCEILLKNKPSFTNEVDSKGRCPLHLASAEGCTEVVKALLLANEDVCLVFDKDDMIPLHLAAKRGRIGVIKS >RHN75678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44242469:44243428:1 gene:gene11915 transcript:rna11915 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYSKTETYQYQIPIFHGCSLICINEVSKLIILIFNKYFIGYLCDTPHIHNDISKILHIYVS >RHN75380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41764699:41765326:-1 gene:gene11569 transcript:rna11569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription repressor PLATZ family MMCVPWLVNLLAITSFFATCEAHPNKSRNERNKFCLDCNENPLCESCIESRHKDHLVIQIRRSSYNEVVKTTEIYKHVDLLGIQTYVINSSSVVYLNKRAHAQSKRFDLEKIGHSRDSFCKRCDIYLMDSTYFCSLACKVNLWSQSHFFLYNISMILGLDFVQKNFT >RHN82237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52200322:52202811:1 gene:gene6382 transcript:rna6382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family METKDELQVMDHLTIPTTTSGTSFSQLLLGDDDNDHNPLGLDVDQTFSTSLFSLHNTPKMLCFGDYQNEIHETTTNLILTPQKSIITSSDSSSASSCNHQTNTGFNSLTTTKSNSLQKKRNGSGGQELGTKAGVGGQKGPKKTKGDNPTSTGHAKPKKEKLGERIAALQQLVSPFGKTDTASVLHEATGYIRFLHDQVQVLCSPYLQPSQVQFQNHPGDGDNNVNINVNEIEEIGEEVKNDLRSRGLCLIPVGCTLHVASSNGADFWSPASIGNNVSSSTITTKQ >RHN44703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7482910:7488654:1 gene:gene38853 transcript:rna38853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MAEEEEDGEDRISSLSDDLLVRILSNLHTRESVSTCVLSKRWVHVFKALTCLRLDDENGSLLDALDEMRHRTDLTSFDLSIFTRRSGDIVTVAEKAVRNIVRLNLSLNSLSIYGILNMYLTRPVFNSRTLVELKLHRVCIAETLSVASLPSLKVLSLSRVQFDTKSVFLSLLSAVSRVLEELRISSPTFSAPICDREADLFPCLRGAFLHKLPWEMLVLFISVSHLLTTLEFTPMDSRQMEEGEENVDNWINPIIVPVCLTNQLKSCLLHGYKSTTCQDRFVRYVMLNSNILKTISIKCLPSTDTTVKYRWLKKLASWRRSSTTSLLFD >RHN52239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32943062:32945389:1 gene:gene36888 transcript:rna36888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MTSLSVLMLLPQHLQLKAARQSHRSSTIGVLDSTDFISQSGNHQNLWIIGSGASDHIAGNRSLFSSISSPKFPRFITLADGSKVIAKGIVHVSVTPSITLKSVLLPGCPFNIISIHQLTRSLNCLVTFDAGSFTIQERGTSRMIGEGHESRGLYYLQSTPSVSCIAAESPNLLHERFGHPSLLKLKQMVPNLAKISSLKCESCQLGKHARSSFPSKVESRVDSPFSVIHSDIWGPSHVASNGFRYFVTFIDEFSQCTWVFLMKEQSELLPILTTFVNEVKTQFGKTIKILRSDNAKEYFSSGLSSFLSAHGILHQSSCPHTPQQNDIAERKNRHLVETARTLLLHANVPL >RHN59594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12326476:12328195:1 gene:gene21645 transcript:rna21645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MFSSFRRFKEITPVVWKPPTVRWVKINTDGSVRNSLASCGGIFRDHRGTFLGCFACNFGPVLVFEVDLSAIIFAMEFAARFDWLNLWLESDSSSAVLAFKNSNLIPFRLRNRWHNCFQLGIIVVCSHLS >RHN66709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16953600:16953815:-1 gene:gene14765 transcript:rna14765 gene_biotype:protein_coding transcript_biotype:protein_coding MPWNLTNIRPKAQRGGHIIFSNNVFAILCMPLQLFIDRSWSLKLFSIFICPWK >RHN40924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24458488:24459330:1 gene:gene47170 transcript:rna47170 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSINHVHFFIAILCIAFLLTPGEAGHGLPPGKDCVPRDPCSEQQCADDCLKMGYPPGKSTCSQKDQCCCD >RHN72821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11014814:11027005:1 gene:gene8582 transcript:rna8582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MGTTVDDSCATQLIDGDGVFNLTGLDNFIKTSNMANTGLSYAVVAIMGPQSSGKSTLMNHLFHTSFREMDAFRGRSQTTKGIWIAKCTGIEPCTIAMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPLENLEPILREDIQKIWDGVPKPQAHLHTPLSEFFNVEVTALSSYEDKEEKFKEEVAQLRQRFYHSIAPGGLAGDRRGVVPASAFSLSAQHIWKVIRENKDLDLPAHKVMVATVRCEEIAYEKLSQLRSDKGWLELEEAVQSGPVQGFGEKLSSIIDTYLSLYDEETIYFDDSVRNAKRKQLESNALDTVYPAYSTMIGHLRSKALDDFKTKLDQSLNNGEGFASSVRTWTQSIMLEFEKGSADASVRQANWGSSKVRDKLRRDIDSHALSVRNAKLSEITTNFEKQLAKALVAPVESLFEEGGKDTWLSIRKLLKSETEAAVSEFSAHVAGFELEEETVEKMQQSLRDYARKLVENKAREEAGKVLIRMKDRFSTVFNHDNDSLPRVWTGKEDIRAITRDARSASMKLLSDMAAIRLDEKPDQIERVLDLSLVNKTSAATSSQYTDREASVDPLASSTWEEVSPGDVLISPVQCKSLWRQFQGETEYTITQAIAAQEAYKRNNNWLPPAWTIMAMAIFGFNEFMMLLKNPLLILGIFVAYLLGKAIWVQMDVGGEFRHGALPGILSISSKVVPTIMNLLKRLAEEAQGNPAPERTEQHHSDSQIFRNEVSKPDSVSSSISNTGLSSVASSDGDGEFSTTNLSQRQRTNVSEAESL >RHN51911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28270206:28274796:-1 gene:gene36478 transcript:rna36478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MSDQSHQTSPPSQTIDPYKHLNIIRTSNNTITRLHQVPYTSPSSDPTLPITVLTKDITINQTNKTWVRLFLPNKPISNDHHKLPLIVFFHGSGFIVASAGSTMFHDFCVKMVDEIGVFVVSVEYRLAPEHRLPAAYDDAMEALFWIRNSDDEWLRCYVDYSKCYLMGKSAGATIAYHAGLRAVEVVKDLEPLKIQGLILRQPFFGGKERTKSELKLENDQILPLCVTDLMWELALPIGADRDHEYCNPRVGNSFEKFDKIRDLEWKVLVSGNGGDPVVDREKELAQLMEEKGVHVVKDFDEDGCHGIEYYDPLKAKKLIELIKGFIS >RHN65467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2471042:2471711:1 gene:gene13308 transcript:rna13308 gene_biotype:protein_coding transcript_biotype:protein_coding MFWDVWVMKEYGNKDSWTKLYHIPYMRYRGLWINPKILYVNEDDQLLMKVYDLGSSKVKLVVYNSKSGTLKFPKIQNIDYLMDPEVYIESVISPCSKC >RHN69930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47402858:47403958:1 gene:gene18463 transcript:rna18463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-1,4-mannosyl-glycoprotein 4-beta-N-acetylglucosaminyltransferase MHESSQTSGTSRTRSILRGLDVKNCIFMFMVVPMCIFGIYIHGQKISYFLRPLWEKPPKPFNVIRHYYNDNVTMVNLCRLHGWGVREYPRRVYDAVLFSNEIEILTLRWKELYPYVAEFVILESNSTFTGLPKPLVFNSNREKFKFIEPRLTYGTIGGRFKKGENPFVEEAYQRVALDQLLKIAGITDDDLLIMSDVDEIPSAHTINLLRWCDEIPSILHLQLKNYLYSFEFRLDDKSWRASVHRYQSGNTRYAHYRQSDNMLADAGWHCSFCFRRISDFIFKMKAYSHHDRVRFSHYLNPDRIQKVICEGSDLFDMIPEEYTFRDIIGKMGPIPHSYSAVHLPAFLLENAEKYKFLLPGNCMRER >RHN50173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4808831:4826434:-1 gene:gene34434 transcript:rna34434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MIPPITSDAVTAAEALTDHRGISMANTVHSEVASCLPLPSLPVFCGASDHNLRLFDSPLPLNRVEILSQSSKIAEMLRNTDVSYLNLRDDAKTVPYNYAEPLELHDEVLRCNPEAFECSHEGPVKEKISGSALPETKLSEASFSVPSQTQKDYSATPSRQLDNVYTNDISTLSSKKSKLKKKGGNGISIEPDPAALQDASIRRFCEFLEDLCRKSELNSDDRDEAAEWLPLPLSDLRLLVNEIMSTREKKLLHLVPVELLVRLLKVLDHQIHISEGLSIEDCDNSNSELVSSLLVALESIHAALAVMAHTDMPKQLYKEEVIERILEFSRHQIMDVMCACDPSYRALYRPSENTAHEVDDEENDAEFGPASKKRRTTSKTVKLKKSASSRFSSAVNVILQKLCTVLGLLKDLLLIERLSDSCILQLVKTSITTFLVDNIQLLQLKAIGLLSAIFYLYTQHRTYVLDEMLHLLWKLPHSKRALRNYHIREEEQRQIQMITALLIQLIHCSANLPDNLRQASSGNSVLEVSFDASYPTKCRDAVTEACCLFWRSVLERLASVKTQDASELKSIMENLVMDLLNTLNLPEYPASASILEVLCAILLQNAGSNSKDFASRSMAIDILGTIAARLKRDAVICSQEKFWVLQDLLSEDAAPQHYPKDTCCVCLGGRVENLFKCSGCDRLFHADCLDVKENEVPNRNWYCLMCICSKQLLVLQSYCNSQRKDDAKKNRKVSKDDSTFSNHEIVQQLLLNYFQDVTSADDLHHFICWFYLCSWYKNDPKCQQKPIYYFARMKSRTIVRDSGSVSSMLTRDSIKKITLALGQNSSFCRGFDKIFHTLLVSLKENSPVIRAKALRAVSIIVEADPEVLGDKFVQSSVEGRFCDTAISVREAALELVGRHIASHPDVGFKYFEKITERIKDTGVSVRKRAIKIIRDMCCSDGNFSGFTRACTEIISRVTDDESSIQDLVCKTFYEFWFEEPSTPQTQVFKDGSTVPLEVAKKTEQIVEMLKRLPNNQLLVTVIKRCLTLDFLPQSAKASGVNPVSLVTVRKRCELMCKCLLEKILQVDEMNSNELEKHALPYVLVLHAFCLVDPTLCAPASNPSQFVLTLQPYLKTQVDNSMVTQLLESIIFIIDSVLPLLRKLPPSIVEELEQDLKQMILRHSFLAVVHACIKCLCSMSELAGKGAAVIEHLIQVFFKCLDTEAVVNKQLVGRSLFCLGLLIRYGNCLLASSGNKLVDVKRSLNLFMKYLAGEDYALKARSLQALGYVLIARPEYMLENDIGKILEGTLSSIADDRLKIQALQNMFEYLLDAESKMETEEVDGKVPGHSVRAGQSVPVAAGAGDTNICGGIIQLYWNNILGRCVDFNTQVRQSALKIVEVVLRQGLVHPITCVPYLIALETDPLESNSKMAHHLLMNMHEKYPAFFESCLGDGLQMSFMFMQSIFVSPDENVNHKSQSKIAVSGKGKPEADSLAQSRVGVSRIYKLIRGNRISRNKFMSSIVRKFDNPKWNKFVIAFLTYCTEVLALLPFVAPDEPLYLIYTINRVVQVRAGPLEANFKAWSSSLLQSEGQGTPHGNGMYQRATDETIHSTQGQSMDLNGPFQQNVDVQPYVDDMTSVDLNGTNHQLPDYPLSHNGRLKVKPQAAGFADSFTFSKDDLEKVQADCLSAIALQLLLKLKRHLKIMYSLDDARCQAYSPSEPPKPGDVFSRQSIPFNIGESQFSLPTSPQELIQRYQEFKNALKEDTVDYSLYTANIKRKRPTQTPRKVRKSGPPMVGGDNDEDDDEDWAGGSRNISFSGGRRSSLRNSRQ >RHN61227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33994264:33997646:-1 gene:gene23667 transcript:rna23667 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSSNESMLFALSCTWKVTKTKTHSALESKKTMEEEGIGLVLARATELRLKINNCIQKPTSSPNGLLEDDEDEDATDRLLNICDALEALETQLSSLQVLQQQQRYEREIALAEIENSRKVLINKLKEYKGKDLEVIHEASTFASETVEPNNDLLLPPYPTRPPYSMSLDKQYLSQIPSVNKSGRNGLITLDNVIDAKKNPNEKEQNYVEDGAKNSRKGLRFFITSAAKAVVTVIGVVSILSMSGFGPNLLKTRWNVQRRHHRLENENGRSTSENKGDGQCPPGRVLVLENGEARCLVKERVEIPFSAVAATPDINYGCG >RHN77650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8427681:8429244:-1 gene:gene1124 transcript:rna1124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MASTIGMVLLMMIMVSLTSLASALSVNYYEHTCPQVESIVAGAVHKATMNDKTVPSALLRMHFHDCFVRGCDGSVLLKTKGKNKAEKDGPPNISLHAFYVIDNAKKALEAVCPGVVSCADILALAARDAVTLSGGPNWEVPKGRKDGIISKATETRQLPAPTFNISQLQQSFSQRGLSLQDLVALSGGHTLGFAHCSSFQNRIHKFSPKQAVDPSLNPSFASNLQSKCHIKNKVKNSGSPLDSTATYFDNAYYKLLLQGKSILSSDQALLTHPTTKALVSKYAHSQMEFERAFVKSMIKMSSITNGGKQIRLQCNLVR >RHN73666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18680211:18682958:-1 gene:gene9512 transcript:rna9512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative organic solute transporter subunit alpha/Transmembrane protein MASNHFSNYEGIYNIVHQPALIVAGCSVLVALVLSLFLIFQHLRSYTNPSEQKWIVAVISMVPIYATESIISLWNPRLSLACDILRNYYEAFALYSFGRYLISCLGGERKVVELLEDESEEHLEKPLLHDSDENNGTEQRSFCNFFWHPCRLGKDLLAIEKFGLVQYMILKTVCAFLAMILELFGVYGDGEFKWYYGYPYMAVVLNFSQIWALYCLVQFYNVTHERLQPIKPLAKFISFKAIVFATWWQGVGIALLCTFRVLPNDGKLQTGLQDFLICIEMAIAAVAHVFVFSAKPYNFVPVSSAHGRVTKETIEATLDIDEGGEHKPAVLKETTTQVEAPRTSVKESVQDIVVEGGQRVVKDVALTINQAIGPVEKGVTKIQKTFHKKTVVSSDDEEEESDIEIETNVTENLTAKESDDNEMV >RHN81974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50292103:50295035:1 gene:gene6085 transcript:rna6085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding protein MGKKGKWLKNFLTGKKDKEKEKEKCNTNQNFSNGTENPTTPVSTTPSKEKKRWSFRRSSATATPTASKELNNSEITASMTVQSTVIDIQNEQRNHAIAVAAATAAAADAAVAAAQAAAAVIRLTSGSNETSKSIEDAAAVKIQCVFRSHLARKALRALRGLVKLQALIRGHLVRKQAKATLRCMQALVTAQARARAQRIRMVSEGKPHLNHRNAMENDLFRQIYNEMDRGLEDNIKIVEMDFCESKGNSTSRSSSVNRQHYEQSENRYSINGSYTKEENYKVSPAPSALTELSPKACSGHFEDCFSTAQSSPQCYSAISKTDESNHPFAFPRPSYAEQMSYDYPLYPSYMANTESSRAKVRSQSAPKQRPDSFERQLSRRRISVEGRNVPRPVRMQRSSSQVGAAAQNYQYPWSIKLDRSAVSLKDSECGSTSTMLTNTNYCRSLVAYDPEGDRY >RHN69774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46169454:46170477:-1 gene:gene18288 transcript:rna18288 gene_biotype:protein_coding transcript_biotype:protein_coding MTWVIEKKGSVFLSDYYNLLLYNSLTLEGLYSVVWGKNRANTEGIACNAIGIMMTLFVVAL >RHN81391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45498780:45503820:1 gene:gene5420 transcript:rna5420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative R3H domain, SUZ domain-containing protein MASADLNESMNRLNLTISSDKDSNSHQHADDAPIPPSSSGGDDAINQVDQFLLDAIQNPRQRLSILRLEQDVVKFIQDPNQQQLEFQQLPTSYLRLAAHRVAQHYSLQSMVLLDYSLPDDSGSQIIVHKTSGCKPPLIRLADIPVKLPSENNTVKKIAIKQRPKKQSLGCSDTDSNSVKNQNSKSVEERTEEYSRARARIFSSSDNDGTLGGKPECESRKQDNSLVGSLDVSRVEGKSASVSDVSSSRLLVESSTNNSRARSRTETELVGGQRQSSRVAIFRDHEVDRKDPDYNRNYERFVQRFDPGFGFNGGSYTMQPMYATVSNYHTGFQQLGSTHGLQLSAEHHPQPFLQHVPGPWAPPTPAGIGYGYPETMLPFNPRQVGVCSAPTLYLHSPQYSCHCHGMPFIPHEPLHQPFAQSHQPPPDASFGMAWPW >RHN81005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42540440:42548934:-1 gene:gene4993 transcript:rna4993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MDVKNILGGLPNFSFPLQEKNSSEVCALTGGFAPLMPAVDLSLLKKKPPSDKKVIWRWLPFKNSARKDDLQLHHWIQVVNGVPPTGDYSFAKYNKSVDITRYTDEEYEKYLANPKWTKEETDQLFDLCERFNLRFIVIADRFPSSRTVEELKDRYYSVSRAMLIARNPSSTDVAMDPLVQEPYNVSQEMERKRAQSLILSQTKQQERRDEEVLAEAKRIAELRIMTKVDLESELDVASKACANVTERANPGETVSPSNTELPPIVVSSMTSTDNASTIASLRMLYVYSRTYALGQMVQSASSSAGLRTIKRVDQTLQELGVNLKPRVPTKTVCAEHLELRNEILTLLNLQKQLQNKEVEGSSFRDGSHCETTGTPKRLHRGGDQDLTFVPDNTSSGGERIGKRDQKRKKLHHHQPIQKDKKN >RHN65487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2610537:2612132:-1 gene:gene13330 transcript:rna13330 gene_biotype:protein_coding transcript_biotype:protein_coding MESFYTLILMTFSLLSLEFHGSYAQNIKSVHVLDLLIRDHTFKSLDKDFKTGIPQSVKLPSNLSGIKVDTVRFRCGSLTRYGAKLKEFHLGIGVSIHPCIERVMLIRQSIEYNNNWSSIYYANYNDLSKYQLVSPIVGILAYNADEDSNSSSNPFQLGIEAGEKLITIDFNNATNFNNQEEKGIKVKPLCVSFEGNGKMTLAITKPLATNVCVAKTHGHFGLVVESESLQHQDDEYKKPIRLSQWKIAVGSTIGAALGAFLLGLLFVAMVVRVKKRSRMVQMERRAYEEEALQVSMVGHVRAPTAPGTRTTPTLEQHDYITRHPR >RHN46912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35739457:35744006:1 gene:gene41447 transcript:rna41447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lipopolysaccharide-modifying protein MAIIFLDDTFILLLVFDQFKTKSTSLTKTTTIINRQQTQFTLKCFNGNPTQTCPNDHSPIQAFHQDQDPSSSTSTCPEHFRWIHEDLEPWKSTGITREMVDSGENISQLRIVIKQGKVYVETYGDSFQTRATFTVWGIVQLLRLYPGRVPDLELLFETGDRAVVDKKRYEGPEAVTPPPIFSYCGKNEALDIVFPDWSYWGWAETRIKPWEKVLKDIQESNKKIKWKDRIPYAFWKGNFLSNPRHELRKCNVTDQHDWNARVYSVDWNEEIDQGFKNTKLEDQCAHRYKIYVEGISWSVSEKYIIACDSMTLFIKPRYYDFFTRSLVPYKHYWPINKQNMCQDIKYAVDWGNTHPEKAQEIGREGTRFVEENVNMKLVYDYMLHLLTEYANLIRFEPKIPAEAIEVCTENVACSMDGIWREFMVESMVKSPSDTPPCAMFSPYFIYDDVK >RHN39227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5114521:5116433:-1 gene:gene45231 transcript:rna45231 gene_biotype:protein_coding transcript_biotype:protein_coding MSALEEHNNSLLHKEALRLQLASKDKNMARQDKPVYVKGYCPTNSIIEAAMSQTVRSILEATLKRESHAAEFIQAIQEVVQALERVIGRNSQ >RHN60481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27239739:27248752:1 gene:gene22796 transcript:rna22796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative von Willebrand factor, type A, Zinc finger, Sec23/Sec24-type, sec23/Sec24, trunk MAVRATVSRFPLDTDAREASGLLWGVTVAPFAAVDENNQSPVYGSSGELLPRCENCWAYFNTYCDLEQWSWGCSLCGNLNGLTSEAIERYSRPQSCAEMMSSFVDLELPQEEESSGNAALQACPVYVAAVDLSSSEEFLELTKSALLAALEALAPGSLFGLATFSHKLGLYDVQGPIPVVKNVFIPPEGTLSAELEDVMPLLQFLAPVDTCKDRIASALETLRPTTSWERTPGAGQGMDGVLLGGRGFGAAMESLCSYLGSEYGNTFALARVFAFLSGPPDYGAGQLDTRRYGEQYASKREDADRALLPEQTPFYKDLAAVAVQAGVCVDIFAVTNEYTDLASLKFLSIESGGSLFFYTSTEDSTLPQDMYRMLSRPYAFSCVLRLRTSTEFKPGNSYGHFFPDPQYENVQHVICCDSYATYAYDFVFENNVGFSRSKADVPTLQIAFQYSVVVPPQELSNSGGVSTSRTKHHSLQRRLRIRTVQFGVAQNINELYDSCDPEVVLSLLVHKVILASLEEGVREGRLLLQEWLVILVAQYNDAYKLVQYSNGNSIRSQIDVAFSQCPQLQPLPRLIFALLRNPLLRFHEEGVHPDYRIYLQCLFSALEPSSLHRAVYPVLTSYATPDKQAYPRHSLSRAALLTSGSPIFFLDAFTILIVFYSSTADPTLPFPPPHDCLLRTTINKLKKERCITPKLIFIQGGQDDASIFENFLIEEQDVDGSGLTSVMGFVSFLEDITVKVLEFIK >RHN77805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9528722:9529569:-1 gene:gene1298 transcript:rna1298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MYMAYKWRRRHLSAYDSVEIKSRANGQEFINEVATIGTIHHVNVVHLIGFCVEKTKRALVYEFMPNDSLEKHIFSRERMGSLSSEKMFDIALGVARGIEYLHRGCDMRILHFDIKPHNILLDVNFTPKCTAARGTMGYMAPELFYKNIGGVSYKADVYSLECC >RHN59942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15045159:15045575:1 gene:gene22113 transcript:rna22113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Caspase-like domain-containing protein MISDTCMSGGILDSANELYGISCDIKSLTESPSGTEDRLIADPTSLLDLPPPSRRELGICFTACQSHQTTSGGYNPTAKEYQTSFTQTLMNLAIAYNGSISNFGMAVSAHKEYERSVTNSHQMPGLYGSVDQGNLIFF >RHN70378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51004420:51011924:-1 gene:gene18948 transcript:rna18948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDTWKNIKDRESGKLRPNSFANRIKSNRISQLELSNHKELVSPHKGSINSLQIDLTEGRYLLSAASDASVAVYDVHRPSDFNGDGLITKHNCLFFVDKQHQLAHKYAVSSAIWYPIDTGLFITGSYDHHVNVWDTNTTQVVVNFKMPGKVYKTAMSTLSTSHTLIAAGTEDVQVRLCDIASGAFAHTLSGHRDGVMTVEWSASSEWVLITGGCDGAIRFWDIRRAGCFQVLNQSQTQLGRRPPLLKRSVLTKESNTKMRPVQKKVANGSGSGHLPIGRLSSKGPMKQKLHPGMISTQDRATAHYGAVTGLKVTEDGMYLLSAGSDSRLRLWDVESGCDTLVNFETVRLQTSKPIQLATTQDSTVVFVPCMRVVKAFDMWSGNARTILRGHYESVNSCWFNQQDQELYTGGNDRQILVWSPARSIADEMGEGDPDDQDSWSN >RHN58529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2542286:2543449:1 gene:gene20450 transcript:rna20450 gene_biotype:protein_coding transcript_biotype:protein_coding MTSISQGLVLTTAMVVSTTVLYLTFCKQKNSSTFQFHETPNSENKPILRSCLYTEEKKREKRRNKKKVKFSENVMVKEFREKPGKKNRVSINECRNEIPETKAMPANRVALYNGILRDRVQRMGCCH >RHN68934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39726391:39728611:-1 gene:gene17357 transcript:rna17357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LysM family MKGALLSIKAHTNHSFTSTSMRIMVTLFSATILTLILLLNFHIPQTKSQQEYLDNHQLDCDDPSKSTYGNICNSINSCQSYLTFKSSPPHYNTPATIAYLLNSTVPLIANANNISYVDPIPTDTMITVPVNCYCSGHYYQHNSSYTLKTEDENYFTLANNTYESLTTCQALDAQNIYGLTNLTAGLNMHVPLRCACPTSKQIENGFKYILTYLVSEGEYPELIAEIFGVDSQSVLDANKLIEDQVIFYFTPLMVPLKDKPPTKIQRTLPPPSTPLSKPHVENLARNKDSSSSKKWVVVGIAVGAAFLLLIFFVLLFCFCQQHKNKKKLSSAATKTTTEEVSNTNTSITNPSFSLCSEGLRYAFESLTVYEFEELHKATSFFSEANRIRGSSAYRASLKGDDAAVKVLKGDVSVEINILRRINHANITRISGLSVHKGSTYLVYEFAENGSLDDWIHFSKCINSVALTWKQRVQIAQDVADALNYLHNYVNPPHIHKNLKSDNVLLDGNFRGKLCNFGLARVVDDYDFGEEGFQFTRHVVGTHGYMPPEYIENGLVSPKMDVFAFGVVMLELLSGREAIVGDKNGGEKRLSAVVSEVLEGDNVREKLHAFMDPTLRGEYPLNMGYSMAEIAKRCVANYHNLRPNVSEVLVILSKIQSSSVNRDPSDVMEKTFDQISDWKI >RHN41943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34253024:34253392:1 gene:gene48319 transcript:rna48319 gene_biotype:protein_coding transcript_biotype:protein_coding MLYWKRMKADITKHGATCAQPLPKEELPSYLLLVFCSLSQSLSKYGMTFPWIVFIGSLPKFETDTYLYGRYSFSFLLFQSCQAPQVSIFHSFQIEITFSPVNLGLLFKYSTVKTNIKFIFPS >RHN73811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20158099:20159827:1 gene:gene9681 transcript:rna9681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MALQESITNQTKVSLDIARHLLLKQSDKNIVFSPLSLQIVLSLIAAGSEDPTQQQLVDFLRFKSTNHLNSFTSYLHSVLLKDFAHGRLSFVDGVWVEQTLSLQPSFKQIVRDNYKATPASLDFLTKVVEVTKKVNLWSEKETIGLIKELLPRGPVDRSASVIFANALYFIGAWNEKFDLSKTENSDFHLLNGNSVKVPFMVSKKMQFIEAYDGSKVLRLPYKKGQDTRQFSMYIFLPNAKDGLPALVEKMTSKYELLQENLSLYDQLKQVKVGEFKIPRFNVSFGLETSDTLKELGVVLPFFPGGLTKMVDSLAGQSLSVSHIFHKSFIRVNEEGTEVAAASAARLSKGCSFSPPLNFEVNHPFLFLIREDLTGAILFVGQVLNPLDE >RHN56893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34520190:34526251:-1 gene:gene32357 transcript:rna32357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, double-stranded RNA binding protein MDAQAKKKALFRAKLNAQKKDKRIDSPLVRYNEFDQPVCRVCDVVLKSESLWDAHQVSRKHREAITNLKANAAGLTKQSNAKPDIPKAKPEQPSGSQFKIPKSPQEVPKPQSSSVLPPDFFDNNDAKKTRSEKDSVHLVDSDLGRNMGASAQSSVSNSKEKDHFHGNNNPTQSKVNQATVETKQTSVKTIDAEIKQGKGALPEGFFDNKEADLRARGIKLVKPDVKDEYKEFEKLIQEDLQEVDDRMEEEEIDAAEMIEEAESVEQRNLKEKVEMLKRKRLELKASKAKLSKSSGVVTKEARHEDSSSDDESGENFAVDWRAQHL >RHN79723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31594777:31596887:-1 gene:gene3554 transcript:rna3554 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLVCLVPLFLVPIVNFLPLLFDFLMGKIYAAFGWEYRKPERVPPACPIKPSNKTINKDEVSVGPSPTEPTKPGSVDVKQD >RHN80522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38783601:38788341:-1 gene:gene4459 transcript:rna4459 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIYPQFHPFGHSSSASGSSQSVFGQQNNSSNSPFAPKPFGSPTPFGSIAVNSTSGSTSTGVFGAAQTPSRFSFGGSSMPAYGASSTPAFGSSMPAFGPSSTPVLGSSFFGQVPAFGGFGYTPTQISPFGCSIQPAFGSSIFGLTGPPAFGSTGTASGATGAPVFCVTSTPVYFVINTPVFFVTSTPAFGSTSTPAFDCTGGAFGMSSAPLFRGEGTFGASSNHAFGSSSTPAFEASSSPAFGASAFGQSSSAFGTNSTFGRTTSTYGGSHTPAPGFGNADIGQSGFGGQRGGSRVASYSATTEANCGRLEKLESISVMPVYKDKSHEELRWEDYQLGDKGGPLAFAPQPTGTGSWKLPGCLCSAAFPHSTVFCPSSSNLSNSTTPNSYPFVPNTSTFSSGFGTSAPAFSSSAFGLSTSAAAPSTFPSSTPLFGDNSSSQAFRPSASLFNTAARGTSAPFFTSSFRNTQPSQLFSSAAPTNAQSASDFGQNTAPIGQTAALSQSSLFSSPPSGLVGNIYSSGASLSCNDPTGFGQTAPSVSTPFQSAQPAQSSGTFSFSNFARTQPG >RHN42081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35283357:35286537:-1 gene:gene48476 transcript:rna48476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inorganic diphosphatase MATNNNNEQESKKATHSSSTAKIELPPVVALNERILSSMADKKDAAAHPWHDLEIGPGAPSVFNCVVEIGKGSKVKYELDKKSGLIKVDRILYSSVVYPHNYGFIPRTLCEDEDPLDVLVLMQEPVIPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYKDIKELPPHRLAEIRRFFEDYKKNENKIVNVEDFLPAEAAVKAIEHSMDLYAAYVVGNLRK >RHN75461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42469161:42470290:-1 gene:gene11676 transcript:rna11676 gene_biotype:protein_coding transcript_biotype:protein_coding MLWITFVCKKRISIDQKRRFDLRLKAKKWLNILYQIFSKCTR >RHN59769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12914996:12918928:-1 gene:gene21884 transcript:rna21884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA repair protein Rad52/59/22 MATSSLSFSSALKQNHLRTTLSYFLKQNRCYSKLKHAKTTSSSAADFEKVADDVPTSGICRPLSEILKELNKKVPDSLVKTRVEKDGFHIRYIPWHTVNRVLNLHAPEWSGEVRNIAYSADAKSVSVVYRVTLYGTDAEIFRESTGTASTDDTNYGDPVQKAEAMAFRRACARFGLGLHLYHEEAS >RHN80851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41288670:41289599:-1 gene:gene4826 transcript:rna4826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase La MGLAWTAMGGSTLYIETKFVEEGDGKGALHITGQLGDVMKENAQLAHTIARDILREKEPENTSFANTKIHLHVPAGATPKDGPSAGCTMTTSMLSLALKKPVKKDLAMTGEVTLTGKILPIGGVKEKTMAARRSEVKTTIFPSANKRDFDELAPVKGLEVHFVDDYMQIFDLAFGDEKSHNAEK >RHN76146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48007181:48009393:-1 gene:gene12429 transcript:rna12429 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPLIYAIAFCLIAISISINVAAYKPYYASQPNNYSPPSTKHVEYPRSQKTPYYYAKHPHLNHKLTPLYKHKSPLSTKHAEYPQSKYTPKHAKHSHPYPKLTPLYAYKSPPPPKYAKHPQSQYTLYHAKHSHLYPKLTPLYKHKSPLPTKHVEYPQSKYTPEHAKHSHPYPKLTPIYTYKSPPPPKYAKYPQSKYTSHHAKHSHLYPKLTQLYTYKSPPPPKYAKYPQSQYTPHHAKHSHLYPKLTPLYTYKSPPPPKHAKYPQSQYTPHHAKHAHLYPKLAPLYTYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYVYKSPPPPSPSPQPKYVYKSPPPPSASLPLSYIYKSPPPPSPSPPPPYIYKSPPPPSPSLPPPYVYKSPPPPSPSPPPPYVYKSPPPPSASLPLSYIYKSPPPPSPSPPPPYVHKSPPHPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPYASLPLSYVYNSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYAYKSPPPPSPSPPPPYVYKSPPPPSSSPPPPYIHKSPSPSRHVLKHPAYHLPYLYNSPPPPPRVY >RHN73555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17638058:17639596:1 gene:gene9380 transcript:rna9380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived nuclease domain-containing protein MDWVLVPYKHQNLTWTQHGFNERIGEIEKIGKDAFSRLKGRWGCLKKRTEIKLQDLPVVLGACCVLHNICEIMNEEMDDEWKFEVFDDEMVVEDGACSLDSLKARDQIAHYLLHHGRANTAFF >RHN75277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40855719:40857143:-1 gene:gene11453 transcript:rna11453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MASSSVDAIDRRKHKLSSKGQDLISNLPDHIIGYILYFLSTKEAVRTSVLSKKWIYLWKFITKLDFDDKNHFSLNKIRKKGFVDFVDRVLLHLNSAHIQSFSLKMSEEYNYFYINSFNINKWISVVVNLRVRNLCIYLKKGLEIQLVSFDALFKCQSLEELVLNGCAFTLPSFVCLSSLTILKLSRITITCDSSNESKTLALNFPALRKYETLDCTFLNVKSVTLQVPLLEVVSISYDPFYHKSHCEIKFYATRLAKFCYSGYMSDTILLEAHSVAFADIALYDDNEKSLQEIGIFVCKLLSINPESLKLQMFGRTLQSVMFVRILNHSFEDIPPFGRLSHLELNSVGCKYLLAILLQSPCLETNFTGLSRETF >RHN45384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:18997394:18997754:1 gene:gene39690 transcript:rna39690 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENSKEQKPLEPNKHFVIEAIPLRSVLPDAIIDMDNVQTVQTKKRKKHDMLYSGGTYPERRRA >RHN59530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11700267:11700527:1 gene:gene21572 transcript:rna21572 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDFQTLISYHSMTGDDETLKYLFISEIISGGDARNLFGLHVTGPLDPNPLTELDRFVNDLRRKYRTYVVGYDIEHVCFWSCVC >RHN66473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12748761:12753300:-1 gene:gene14467 transcript:rna14467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-Xb-2 family MSEQESDSWSFMLVCFLILFSGKLVAGDSLETDKHVLLNLKSYLENQTVSNRGEYIRWNKNNSNPCEWSGISCRQIKGKNKWRVVSVDISASDIAGKMFKKFSKLSELTHLDVSRNTLSGEIPEDVRKCKNLVYLNLSHNILEGEMNLTGLRKLQTLDLSTNRIKGELEVNFPDNCDSLVTLNVSDNRFFGRIDKCFDECSKLKYLDLSTNNLSGALWNGISRLKMFSISENFLSGIVPSQAFPMNCSLEKLDLSVNKFFSKPPKEVANCKNLEILNLSSNNFSGEIPREIGSITLLKSLFLQNNTFSRDIPNTLLNLTNLFILDISRNKFGGEIQEIFGKFKQLKFLLLHTNFYVKGLNTSGIFTLTNLTRLELSNNNFSGPLPAEISRMSGLIFLTLSNNNFNGTIPSELGKLSKLQALELSSNSFTGQIPPSLGNLKSLLWLMLANNSLTGEIPPKLGNCSSLLWLNLANNKLTGKFPSELTKIGRNAMETFESNHKNMVGVVAGNSECLSMRRWIPADYPPFSFVYSILTRKNCRSLWDRLLKGYGIFPMCASEPSTRSSHKFGYVQLSGNQISGEIPSEIGTMLNFSMLHLGDNKFSGEFPPEIGGLPLIVLNMTRNKFSGEIPREIGNMKCMQNLDLSWNNFSGTFPTSLINLDELSRFNISYNPLLSGTVPLSGHLLTFDKDSYLGDTLLDFPKFFDNTLDGKNKTLHIKMKKNTKWYLCVALTLASLVSGLLFLIVYFLVKSPSLEQGKFLKNKNRNHDDLVSYGSSQWSSDSFKIIHLNNIVFTHADILEATNNFKEERIIGKGGFGTVYKGVFPDGREVAVKKLQREGIEGEKEFKAEMKVLSGQEFGWPHPNLVTLYGWCLYGSQKLLVYEYIGGGSLEELVTDTKNLTYKRRLEVAIDVAKALVYLHHECYPPIVHRDVKASNVLLDKEGKAKVTDFGLARIVDIGDSHVSTIVAGTVGYVAPEYGQTWHATTKGDVYSFGVLIMELATGRRAVDGGDECLVECVRRVIGSGKNGLSNFGVVGGEKEMFELLQVGVKCTNDLPQNRPNMKEVLAMLVKIFNLYRNSNY >RHN45108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11869504:11869894:1 gene:gene39316 transcript:rna39316 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKHDLGNCRCLKESESVYIQGAKLHKTEVLKLNLKRCLLRRVSAVTTLDSLPVW >RHN62900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46628153:46630668:-1 gene:gene25533 transcript:rna25533 gene_biotype:protein_coding transcript_biotype:protein_coding MELGDIKEVVREISDEFETASNHGKEVAFLLEVYKPPYQSRLAAFRVIYSRIVEMPAPSKPPSNPPSGPPIQFSSRTMKLPKAYCGEPEKELISNPINLHPHLRNFMHGKRKKLYKEVKDEERLRAIYKKQCKRLKALDNRGAESNATESSIRKLLTKINICIRSVETILGRIHKLRDEELQPQLAALISGYEISFE >RHN68043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32339353:32344422:1 gene:gene16331 transcript:rna16331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MVLQLKLIFLTLVYWNILISYADCLVHGDSQTNMLLNGCNIFSYTDANMRRISYENINETFLDLRNQISNQSKHFATSQQQAIDEVKVYSMFQCRNYLSKNDCVACFNTASTEISTCASIGARFIYDGCFLRFETEIFYNQTTQPGNGMLCGNKTAKEGAAFGTIGQKVLMDIQLATPKIKGFFAATKTQVTPGGNSSIYAIAQCAETVTESGCLDCLTVGYNNLQACLPNTDGRAYDAGCFMRYSETPFFADNQTIDITAYLNQETIIGGGVGGSSNKWTIICGVVGGVCLAVIILAILVWLQYTKPKRAPRGNILGATEFKGPVNYKYSDLKIATKNFDGENKLGEGGFGDVYKGTLKNGNVVAVKKLVLGKSNKMAHDFESEVKLISNVHHRNLVKLLGCSIKNQERILVYEYMANSSLDKFLFGNKQGSLNWKQRCDIILGTARGLACLHEEFHISIIHRDIKTSNILLDDNLHPKIADFGLARLLPGDRSHLSTGVAGTLGYTAPEYAIHGQLSEKADTYSYGIVVLEIISGRKSTEVKEDDEDGEYLLKKSWKLHERGMHLELVDKALDPNDYDGEEVKKMIEIALLCTQASAGMRPTMSEVVVLLQTRSLAEHLQPTMPVFVETNLRSREGHSTSTGSSTSNATASFSVLSAR >RHN54328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8727175:8728522:1 gene:gene29342 transcript:rna29342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MLSSISLPSSLYAAGNFSGATVTPPPCRVRSKPILITASATATAEARSTWTEQQRPSYLNMNSFSYSSPGSHYEILGISAGASNQEIKAAYRRLARVCHPDVAAIDRKNSSADDFMKIHSAYSTLSDPDKRANYDRSLFRQQRRPLSTMVSSGYSSRKWETDQCW >RHN42515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38972037:38975052:-1 gene:gene48963 transcript:rna48963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative asparaginyl-tRNA synthase (glutamine-hydrolyzing) MDSACASSFFQFLSMIVLLVTLPSMLSTTTLASGFSIKEATVQDLQLAFQRKQLTSRQLVEFYLKQIKIQNPVLKGVLEVNPDALAQADRADQERRTKAVGSLSRLHGIPILVKDNIATKDKLNTTAGSFALLGSLVPRDAGVVNKLRKAGAIILGKATLSEWSYYRSNGAPSAWSARGGHGKNPYTLGKPCGSSSGSAISVAANMVTVSLGTETDGSILCPSGFNSVVGIKPTVGLTSRAGVVPISPRQDTVGPICRTVSDAAYVLETIAGIDTFDKATIEASKFIPKGGYAQFLKKDGLRGKRLGVVRLYYEVGNDTLLNKTFKLHLNTLRRRGAVLIDNLKIDNIVEIYTDQSEEIALSFEFKLSLNKYLKDLVASPVKSLADVIAFNKKHPKLEKLGYGQDVMVQAEKTNGIGKAEKQALLNMTRWSQNGFEKLMKRNKLDAVVTPIWSFSHILAIGGYPGLSVPAGYEKGQPFGIFFGGLKGSEPKLIEIAYSFEQATLIRKPPPFRKL >RHN76178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48279945:48282851:-1 gene:gene12464 transcript:rna12464 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKPTVTNHDDTVSGEDEKVPIQKKRGRPQKPVKDDFDEEVEKIDDSENVKNGVTNKEMKSPTAAELARKRKRNSQVKEKLDSAEEENGVGSRSSTEESAKSNGFRHNGSRRKSTPRRAAEAGVQCQ >RHN69402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43181130:43182673:-1 gene:gene17869 transcript:rna17869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zf-FLZ domain-containing protein MLLGKRPRPPIMRRTRSMSGGLSVDMQAHDQTNNLESHHEKESVMSHHNPLQPHPHHDDHQEHGINIKNPHTVVMGTETHIQSKLTVSDERLVGSAVMFPSHTNNIINPLSASAHDVIHSTPHFLRTCGLCNCRLAPGRDIYMYRGDTAFCSLECREQQIKQDKRKEKWKIAFTNKEDHRVSPPCTATAKASTAACT >RHN55804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24001432:24007317:1 gene:gene31070 transcript:rna31070 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRLGLIVAASLAAFTVKQLNVGNSKSEHGDERSKEHRDEAAEQEKVTSITDDSFEQNDDGEEEEEKEEVKLINSIINRANDFEDDILPEFEDLLSGEIELSFPGEENNDEKDKVYEIEMAYNDSELERLRQLVKELEEREVKLEGELLEYYGLKEQESDIVELQRQLKIKTVEIDMLNITINSLQAERKKLQEELTNGASAKRDLELARNKIKELQRQMQLEANQTKGQLLLLKQQVSGLQVKEEAGAIKDAEIDKKLKAVNDLEVAVVELKRKNKELQYEKRELTVKLNAAESRVAELSNMTETEMVAKAKEEVSNLRHANEDLSKQVEGLQMNRFSEVEELVYLRWVNACLRYELKNHQAPSGRLSARDLSKNLSPKSQAKAKQLMLEYAGSERGQGDTDLESNFSHPSSPGSEDFDNASIESFSSKYSSVSKKTSLIQKLKKWGKTKDDSSVLSSPSRSFSGSSPKRMSMSVKSRGPLESLMIRNASDSVAITTFGQGDQESIYSPETPNTASAGLRRVTSSDSLNSVASSFHLMSKSSVDASVDEKYPAYKDRHKLAMARESDLKEKAEKARVQKFGNSSSLNMTKIERERPNISLPPKLSKIKEKPIVHASSNDQSEDGKNVENQTISKIKFADIEKRPTRVPRPPPKPSGGGSVSTNSNPANGIPSAPSIPPPPPRPPGGPPPPPGGPPPPPPPPRGLSKGAADDDKVHRAPQLVEFYQSLMKREAKKDTSSLLVSSTGNTSDARNNMIGEIENRSTFLLAVKADVETQGDFVTSLATEVRASSFSDIEDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLMKLENRVSTFVDDPKLSCEAALKKMYSLLEKVEQSVYALLRTRDMAISRYREFGIPINWLQDAGVVGKIKLSSVQLARKYMKRVASELDALSGPEKEPAREFLILQGVRFAFRVHQFAGGFDAESMKAFEDLRSRIQTPQAPQVGDEDSKQPET >RHN47143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37469816:37472562:1 gene:gene41703 transcript:rna41703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MVGGLIGRCGSNISRIRNESGAMIKVYGGKGEQKHRQIQFGGSAEQVALAKKRVDEYIYDQLIQQPDT >RHN61677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37408889:37413053:1 gene:gene24165 transcript:rna24165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MTGNVLLNGKKKIPDYGFVAYVTQEDVLLGTLTVKETIAYSAHLRLPSTMSKEEVSSIIDGTIIEMGLQDCADRLIGNWHLRGISGGERKRTSIALEILTKPRLLFLDEPTCGLDSASAFFVVQTLRNIAHDGRTVISSIHQPNSEVFALFDDLFLLARGETVYFGEAKMAIEFFAEAGFPCPRKRNPSDHFLRCINSDFDVVTATLKGSQRIHDVPNSADPFMNLATAQIKSMLVERFKRSTYARRVKYNIQEQSTNEGIETETNYGSQASWWKQLSTLTRRSFVNMCRDIGYYWLRIIINIIVSICVGTLYFDVGYSYTSILARGACGAFVTGFMTTISIGSLPSVIEEMKLFSQVLPDSSLSYAITLGICGLCLRFLFDYTDNLYISSCTISTEFIHMKQVFYRERMNGYYGVAAYILANFISSFPFLVVIALTSCTITYNMVKFRPGFIHYVFFTLNVYGCLSVIESIMMVVASLVPNFLMGIVTGAGIIGIMMMSSGFFRLLSDLPKVVWRYPISYISYGAWATQGSYKNDLLGLEFDPLLPGDPKLTGEYVITHMFGVELSHSKWWDLGALFIILICYRILFFIILKFKERALPLFQALYAKRTLHQLEKRPSFKKMSSFTSMRRQPLHSLSSQEDLDSPLHY >RHN45898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26594536:26595605:1 gene:gene40322 transcript:rna40322 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYSIVLLLLGMMMVTTVVGAGESNMFGYSDYKTTDLEDDWFEQPRAIIMGGKRRRFGRPPPTLDPEENSSELGFSPLKFL >RHN38781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1923870:1925318:-1 gene:gene44747 transcript:rna44747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDTTFTPPSPHRSSPLQNISPSILIIITILAVTVIVSLLICFLLRHLNCHRLRRNPSPTTTEPPPHTHSRRISPETTPPSVIDSLPLFTFSSISRRSSAVTAADCAVCLSKFRNSDLLRSLPLCCHAFHAECIDTWLRSNLSCPLCRASILPSDSDLAKILRSTSSDSFRVDIGNISRRGNNLPNPDAVTTGGDSRSYSIGSFEYYVVEPSEVSFTQDHRRSVSDQKDIHIPVSEDSSMTHENTIAGEVAGSGHWLKDYVDRLSASISSRTASFRSSGRFFAGGGSSRRIEPVDYDVEGNRIGEEISEMFRWLSGV >RHN42324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37396577:37396978:-1 gene:gene48753 transcript:rna48753 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVETINMFSMFPSRFFENGDTQIPEIWCPFLLFYGRCGEYERVILSGNGVGKEYHHSRCSSDVVVMLFSKFEFAQFKGKIIVAVERYIPECNVITYIVEYA >RHN67170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24549803:24550500:-1 gene:gene15324 transcript:rna15324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rad60/SUMO-like domain-containing protein MDGDIIHTMCDFGRDIVLNVKGQRKGVPVLFLFDWFWDGFDGYEASFTMDECSRLEKLMDFYCRRYCLDITEVVFLFNGRLIRADQTPAEVCV >RHN56738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33325578:33326792:1 gene:gene32179 transcript:rna32179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MPSNSAYIHEDIAFSILLKLPIKSLKRFECVRKLWSHLTEDEDSPFMTMYSNNLLLSQPYDGDTSLLINMCPRLERFHSLSGERFANRVSLINPIQSDCEALQIIGFGSVNGILCLQYGETRISLWNPTTNEFKVIPPAGTRLPHIVHKFKSKLVDPFYIQTTIHGFGYDSVADDYKLICLQSFEPYYFYNDKQRMKQSLLLQHKSLQPFWMIYSLTSNSWKKLYVNMPRSSPTFQLEYYHGNHRLYMDGVCHWLSLPTSGACMVSFDLNNETFFVTPIPSYILRVRRRAWQQLMVVNHSIALVSLPYHNTQTYHISIWGEVGVKESWIKLFTGENPCTLVEYPIGLGMNGELVFANEDNKLLLFDLNTKKIVELGLNSEFKMGFHQVNFYIRKAFFQSKRINN >RHN74817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36866990:36867994:-1 gene:gene10937 transcript:rna10937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MTTRMIKITSLSLMLWLFMTIPTSAQPENEEVLDLTGRPLESGRKYYIRSDVSDFGGRITLVNKNGSCPLYVGQETTDFGQGLSVILTPLENDDTVVKVNRDFKVKFSSSSSCGQSTEWKLGDRDNRSGRRLIIAGSDGNSFRILKISFGIEGVIGNYNIRFCPSDTVNCGTVGNLRENGKILLALDDRNVLRVGFERA >RHN48159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45494695:45497411:-1 gene:gene42846 transcript:rna42846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-N-acetylglucosamine kinase MFKRVTSVYLFSSKGELYSLHREQRFERVAKNLKVASVFNTLVEEMKAMGIAVNDDSQCTEVMAPVAHSDRSPVLLLMGGGMGAGKSTVLKDILKEPFWAGASGNAVVIEADAFKESDVIYRALSSTGHHDMVKTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWVPFVVQTITMARNVHRRRYRMGVGYRVNDDGSVTENYWERIKDEEPEQVGGKKRKPYRIELVGVVCDAYLAVVRGIRRAIMSRRAVRVRSQLKSHKRFADAFMTYCHLVDNARLYCTNALEGPAKLIGWKDRDRTLLVDPDEIDCLKRVAKLNEDANSIYELYKHPNPACEAGSVWKDIVLSPSRLNIQQELKFSIQEIERFK >RHN44119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1834908:1836093:1 gene:gene38179 transcript:rna38179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIYLYYIQRRNNMPGISKFVYVMVLFFSLLIVVINIDAYRSCKTDDDCPDYLCTSPKIGKCMDNDCYCI >RHN64031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56052891:56058222:1 gene:gene26806 transcript:rna26806 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VP1 MGAVILPDLGTEILIPVCAVIGIAFALFQWLLVSKVKLTAGRDSATEAPGKNGYNDSLIEEEEGINDHNVVLKCAEIQNAISEGSTSFLFTMYKYVGIFMVAFAILIFLFLGSVEGFSTSHQPCTYDETKMCKPALATALFSTIAFILGGITSVISGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYITINLFKIYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAEASCAALVVASISSFGVNHEFTPMLFPLIISSVGLLVCLLTTLFATDFFEIKLVKEIEPALKKQLVISTALMTVGIAIVSWIALPASFTIFNFGEQKDVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFSFAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGITTVDVLTPKVFIGLLVGSMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVTISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKI >RHN81666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47924006:47930362:-1 gene:gene5740 transcript:rna5740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MDPHAAEDLPQYIHINQNDFFMRRHKKQKEEDIAICECRYDEDDPDSACGDGCLNVLTSTECTPGFCPCDIHCKNQKFQKCEYAKTKLFKTEGRGWGLLADEEIKAGQFVIEYCGEVISCKEAKRRSHTYEIQGLKDAFIISLNASESIDATRKGSLARFINHSCQPNCETRKWNVMGEIRVGIFALENIPIGTELAYDYNFEWFGGAKVRCLCGALKCSEFLGAKSRGFQEDTYLWEDDDDRYSIEKIPLYDSAEDELTSNVGGQSEQSMAIILKVEEPSESTVLNIQPLNSIGINGLGIQKMKTEIESEDMRLYSQDTKQDLPQKNAMISRIRSNTAGGKSISTKRSKGAKLKNRIQKKIDAKYAAGLLASKEAQEEILDYEKRKDDAREALDSLYNEIRPAIEEHEKDTQDSVSTTVAEKWIQASCLKLKAEFDLYSSIIKNVACTPQRAPSQAKGTEVDNEDKMKLLTFSPSSNP >RHN46935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35876542:35877089:-1 gene:gene41472 transcript:rna41472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I12, Bowman-Birk MELMKKKATMISLAFLVFLLSFTATVDARFDPTSFIAQVVSNGDATNYTVKFTAITCCNKCICTKTIPSMCRCVDVVETCRSGCRSCICPGSSPPQCYCDDLFQSRFCHKKCN >RHN82754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56129707:56130720:1 gene:gene6939 transcript:rna6939 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIGSNLITNLLGLITNLFKCKFVSSFLSYWGIRLWCCTAFWVSGLHGGGYSLHFWESRSLCVAVIVVEFIFQDSVWCVFANYVAYCEGEAVGEWYSSSSVVSSFVLSLVSSMGSLVSLVIKVVGYFILRCWGVVAVYLFYVGVFCLILAIYCICF >RHN41551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31004598:31005250:1 gene:gene47885 transcript:rna47885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyltransferase A, auxiliary subunit MASTTEAIIQFGILILTLSLFFLTHYLRNQKTHNKTRTRNKTHQPEPTRHLNQALHHLNRARSTTNRSQHAKNSLFEANQALSISPRDPSIHILRAKALHLMNHRTAAIKSLDTAFSLPSAKMLSSEERADALVFRAEMKLEVNRRRRVESAMEDIVEAIGLSGGEECENLEALCLLGKCYEWKGMREEAKNVFQKVLDFEPDSVEALGGLKRLGP >RHN65131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64407668:64411864:-1 gene:gene28034 transcript:rna28034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative snRNA-activating protein complex, subunit 3 MEDDELECSIGIDNPSISIPRGGPIYVSNMSGPIVRVPLFQDSLLSQLHSLQSELPPQHHDYDITVVDDLKVFTEDELMDMSLKQVFQNQPNAEDHHHQKKKLFRRKSRLTNKSILDSNCKEKVDKVVRIKHKQEEDKAQVTLHSFHPHCRINQSANKSIKTQRMMSLRSTSSATKLNTVGLQEHIPVQDSEVVLSVEIYHNFRNGVKVFSAKKKTQELLVLGGQNLSVLRDKINCSTDQVMQKAAQHDPSGYFLIEDVFYTDLRDPSAIDLTRPILDWLQNSKEEAQKKWEYIINGELQQKQKAIVGEASVSHLPRFASFEMHKIHFCDLGFRLGAGYLYCHQGDCTHTLVIRDMRLIHADDVQNWAVYPIVTFQLKIRFQKCGVCKIFRATKVTVDDKWTPDNPCYFCDECFSLLHLAEDGSPTYTDFIEYDYNHD >RHN44672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7367777:7368616:-1 gene:gene38817 transcript:rna38817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein CcsA MIITFFCVTGLLITRWFFSGHLPFSDLYESLIFLSWGFSIFHMVPCFKKEKNLLSTIIAPSVIFTQGFATSGLLTKMHQSVILVPTLQSHWLMMHVSMMILAYAALLCGSLLSVAILVITFQEAIQILAFTKNLDFLNKSVDFVEIKYMNMNERNNVLRKTSFYSSRNYYRSQFIQQLDRWGYRIISLGFLFLTIGILSGAVWANEAWGSYWNWDPKETWAFITWTIFAIYLHTRKTKKFEGVNSSIVASIGFLIIWICYLGINLLGIGLHSYGSFTSN >RHN56677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32756215:32756804:-1 gene:gene32114 transcript:rna32114 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCKLFLQPSIWKLELVEDYSLHCFQFHYLSCNHFCKQMAELAKFPAHSLFRISIFTITFVYSFFFDKDNGKPDVYSLVSGAAFAIMSLGLSKQSHFGFEIKLFLVIAGAIFSYPLIFLRFYLDAPTKYSEELTNTADYHVVQVDGSQVNSDCLVAPDLTFDVLPENGDIGL >RHN76161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48128359:48132634:1 gene:gene12446 transcript:rna12446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MESDLHQQQQPQVNSSGLTRFKSAPSSYFNNIIDREFYEHVFNKPSSPETERVFSRFINSFGSDDDLLAQKISVDSTVKEEEEVNINQQQQQQDQGLASINNEHVVHQQSNYNNSVPSSHGFYQSSMMPPLPNQNVSSGLDGSFSMGVNRLQQVKNHGGNNSNLIRHSSSPAGLFSQINIENGYVSMRGMGTLGAVNNSMKEAKFSTARSLKNQSNYSSGLMSTIDEVGDKDNRENNLENEAFGESHGNEYMDYPVDTWDDSEMMSENVGGLKRFRDNDSKQQFSGLNVQNETGGGHSNSPLAHQLSMPNTSSEMAAMEKFLHFSDSVPMKIRAKRGCATHPRSIAERVRRTKISERMRKLQDLVPNMDKQTNTADMLDLAVDYIKDLQKQAQLQDCQAKCTCPHKQQQQQ >RHN71611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1358813:1360855:1 gene:gene7227 transcript:rna7227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MDLLAGTKKPHALLIPFPTQGHINPFLKLAKILHNKGFYITFVNTEFNHKRLLKSIGPNVVNCLQDFQFETIPDGLPPTNNMDATQSIPDLCDSTSKNCLVPFCKLVSKLNDPPVTCIISDGVMSFTIQASRQFGLPNVLFWAHSACVFMSYKQIKNLTERGLTPLKDASYLTNGHLDTIIDWIPGMKNITLRNLPGIYHTTDPNDTLLDFVTEQIEAASKASAIILPTFDALEYDVLNELSTMFPKLYTLGPLDLFLDKISENNGFESIQCNLWKEESECLKWLDSQEENSVLYVNFGSVIVMKYNQLVELAWGLANSKKKFLWVIRPDLVKGESETLLVPQEIVEETKDRGLMVGWCPQEKVLKHKAVGGFLSHCGWNSTIESISNGVPLICCPIFNDQILNCKYICSEWKFGMAMDSDNVTRDEVEKLVVELIEGEKGKEMRIKAIEWKKMAEEATNVDGSSSLNLEKLVSEVLLFKS >RHN80679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40027209:40027427:1 gene:gene4635 transcript:rna4635 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIDYFKSKANPGRQSDKTKLQEHKGCTNIYHINFDLTESPKSTNMICQVHKERDVLKSIEKGACMDIMIY >RHN61436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35500270:35500530:-1 gene:gene23905 transcript:rna23905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLRSIIRSRASSSKAVDVPKGYLAVYVGEKMKRFVIPVSYLNQTSFQELLSEAEEQFEYDHPMGGLTIPCKEDIFLDITSHLN >RHN54095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6895248:6900062:1 gene:gene29079 transcript:rna29079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc-finger domain of monoamine-oxidase A repressor R1 MPPLQKRNRSEIDNLQSAITINGHQNKMMSEYELSREERIRENRERMGKLGILDISLSLKLKPNPPPSRRTPSNNPKSPISLNPSGPSRRSSRLQNVAPISYSEVPVKGEKLEKSNRIVLREGSQPEIYTEEHEKLLGNTEKTWELFVDGVGKDGKRIYDSVQGKTCHQCRQKTLGYRTRCCECNIVQGQFCGDCLYMRYGEHVLEALADPTWKCPPCRGICNCSICRNAKGWEPTGNLYRKVLQLGYKSVAHYLIQTRCSDTVEKTDDTCNLVSDTDVEKIDDASNLVSDTDVEKIDDASNLVSDTDVEKIDDASNPVLDTDVEKNGDASNPVSVKRSLPFSDEVNENKLGPMQSLAEAEADGDKVLAKRSLIFPDEQDKVEKSEGSNTVKLLASSTKPTPDSIAGRLRSRVKKP >RHN38595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:559068:562050:-1 gene:gene44551 transcript:rna44551 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSVEYHIQQIINIPENIEPPLWPQCCIYKVPTTLLNVKEEDYTPLLISIGPIHHSNKTHEDMQEHKQRYFHFFWNRLDQKSDLMNYKTFLEQEEHNIRHCYQKIFVDISQKQFVDMILLDAVFIMELFLREKKRWEHKDDYIVTQHCVSKSIQRDLLLLENQLPIYVLEKLYDTVVPSSVKNHNRFIKLAHEYFASCYPHHKESSERMFEEKNWEKSLHFTDLIRYSYLPMKLSDQYMDSQNECLMSRTATKLNEAGISLEKVYNRSLLDIKFKKRHFLSLFLCGCFPCFKARFLFPQLKVNHSTESILRNLIAFEQCHYPDKPYICNYVSLLDSLIDTKDDAELLVEKDMIVHELGSHEELTSLVINLSKHVVTNSSCYYQLMEDLNEHYNSGWRKAMGPLRRYGSTLLGIGAVIFTLFHFLWSPRY >RHN55123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15339624:15341079:1 gene:gene30254 transcript:rna30254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAEAVLELLLDNFNSLVQKELGLFLGFENDFKSLSSLLTTIKATLEDAEEKQFTDPVHGKAIKDWLLKLKDAAYVLDDILEECATKALELEYKGSKGGLRHKLHSSCLCSLHPKQVAFRYKIAKKMKNIRERLDEIAAERIKFHLTEIVREKRSGVPNWRQTTSIISQPQVYGRDKDMDKIVDFLVGEASGLEDLCVYPIVGIGGLGKTTLAQLIFNHERVVKHFEPRIWVCVSEDFSLKRMTKTIIEATSKKSCGILDLETLQTRLQDLLQGKRFLLVLDDVWDVKQENWQKLRSVLACRGKGSSILVTTRLLKVAEIMRTIPPHDISKLSDEDCWELFKQNAFGTNEVEREELVVIGKEILRKCGGVPLAAKALGSLLRFKREEKEWRYIKESKIWNLQDEENVMPALRLSLLEFAS >RHN67386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26710353:26711421:-1 gene:gene15571 transcript:rna15571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain, reverse transcriptase zinc-binding domain-containing protein MWRLARNIIPTRCNLRRRGVVLDTVCPLCFDADESSNHLFMACPMTLQVWFASPLGFQPPPQTDLNAWLQSWLSAKEPLAVQLFCVCLWKIWFFRNQAIFNQVVFEPRMVAASAHDFVSEFNLANPTRSVDRLQIPAQVWIAPPTDFLKANIDAGRDKHGKVTWGLVIRNHESEVLFAATQSPDIMADPLLVETLGLRWGIQTVLELQLSNVMFELDASVVVKCFNGLSTIASISPFISDCHDLFGSLVGSSVSFVNRSCNVAAHELAQAAKSIGSRTWVGNAPLKVWSLFSLDLFL >RHN61201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33792097:33792359:-1 gene:gene23639 transcript:rna23639 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVPVRCIQVTHSKSFHFISILITNQRYLEHFTPCSYVMTTVNSIWIWHGMARYPFRCINIV >RHN79654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30924244:30931361:-1 gene:gene3472 transcript:rna3472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative threonine ammonia-lyase MTQTMEALRLSQPQPHLLLRNHRHRMQYTTLHPNPRFKPFVTTTAAAVSKPVEMVSVPFVESPAAAVASPRLKVSPDSLQYPPGYVGAVPDRSRGSDEDDCGVSAMGYLTSILTSKVYDVAVESPLELAPKLSERLGVNVWLKREDLQPVFSFKIRGAYNMMAKLPKDVLEKGVICSSAGNHAQGVAMSAKRLNCSAVIAMPVTTPDIKWKSVERWGATVVLIGDSYDEAQAYAKKRAIEDGRTFIPPFDHPDVIMGQGTVGMEIVRQMKRPVHAIFVPVGGGGLIAGIAAYVKRINPEVKIIGVEPTDANALALSLHHGQRVVLDQVGGFADGVAVKEVGEETFRLCKELVDGVVLVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKYYGLKGENVIAITSGANMNFDKLRIVTELANVGRKQEALLLTVMPEEPGSFKQFCELVGQMNITEFKYRYSSKEKAVVLYSVGVHTPLELKEMQERMETSKLVTHNLSDVDLVKDHLRHMMGARPDIQNEVLCRFTFPERPGALTKFLDSFSPRWNISLFHYRAQGETGANVLVGIQVPSSEMEEFNDRATGLGFSQLEVLLYETLLDNKYGQLFQSVFTS >RHN70391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51115313:51116113:1 gene:gene18965 transcript:rna18965 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSSTCSGFFNFRSSSNTSSQSSSGCSGKLDGVAMWLINGVTMAFFSSLNRCSCIRIATEEDGEDANELPLMFNDGTLRHDGTTSRRRTGKGKKSVLVHEQ >RHN70198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49579514:49585669:1 gene:gene18754 transcript:rna18754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mechanosensitive ion channel MscS, LSM domain-containing protein MLHDLILQLNSTMAYSGSIRLSHDFRQYISNGSCSFCHKPNSGNRLCFVAFNHQRHGLRVDSSALIFSRLHVQVKPVPSRCNVLLCQSALVPAGGCEAPLLKVATVSLARSCNAISGRPIMLQLIPALGIIGFAIFGLEPLLRRCRALFLQEWNDKSWKKSISRYIMTSYFQPLLLWTGVMLLCRGLDPLVVQSKTSPILKQRLLNFVRSFSTVLTFAYCSSSFVRQAQNFCMETNADNNERKMSIDLIGKAVYTAVWVAAVTLFMELLGLSAQKWLAAGGMGTVLLSLAGREIFTNFLSSVMIHATRPFVVNERIKTKIKGYEVSGRVEHVGWWSPTVVRGADCEAVHIPNHKLSVNVVRNLSKKTHWRIKTHLAISHLDVNKIDTIIADMRKVLAKNPLVEQKKLHRRVFLEDVNPENQALMILVSCFVKTSHSEEYLRVKEAILLDLLRVISHHGARLATPIRTIQKMYSDSDLEIDPFDDAIFTRSRAKGNHPFPFTNSPYKAKPSTQSTTVNDEKDVKIRETLITGFKDEGEKIAATWTPSSSVKSQDKLKSTSKAKTRNVASESSDNPVQKASKSETSPQILLSSNESSPSKKDEEK >RHN50909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11737261:11740505:-1 gene:gene35262 transcript:rna35262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MTVKGEKVAIGIDLGTTYSCVAVWRHDRIEIIPNDQGNRTTPSYVAFTDSERMIGDSAFNMAASNPTNTVFDAKRLIGRKFCDSIVQSDMKSWPFKVIGDLNDKPMIVVNYNDEEKHFAAEEISSMVLAKMAEIAEAYLGSTVKDVVITVPAYFNDSQRQSTRDAGVIAGLNVMRIINEPSAAAIAYGLDMKPFNHGCRHVFIFDLGGGTLDVSILTFENGAINVKAIAGDTHLGGQDFDNTMVNHFVKEFSRKYKIDISGDPRALRRLKSACEKAKRILSSNTTTTIEIGSLNQGIDFYSSISRAKFEELNKNHFDKCMEIVEKCIIDSGMDKSSIHDVVLVGGSTRIVKVQQMVSDFFGGKLLCKSINVDEAVAYGAAVHASILSGEFSEKVQDLLLSEVIPLSLGLEKHGGIMEIIIPRNTKIPAKMEHVFTTHLHNQMNILIDVYEGERKITRDNNLLGRFVLEIPPAAAGVPQIIIRFQIDDDGILHICSREKFLGVNNKVKIISDKGRLSKEEIERMIKEAEKYKDEDKRYMKKLEARDVLEKYAYNMRNAINDKDISLMLSSKEKEKINKAIDLVFMWLDVNVVAEQEQFEYYTSILSSVFDAVIVKKINDERHGVQEGIMVGNAVKNKKNHWLQTLLKYSFIIVYAAATTNITGLASQLIVDFVKKD >RHN75637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43975254:43977140:-1 gene:gene11870 transcript:rna11870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRTPCCDKSGLKKGPWTQEEDLMLINYINTHGPGNWRNLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEESIIQLHSVLGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRSGIDPVTHTPRLDHLFDMSSINILRSALLGGTNPMNPSFLNLLGGAQAFMNPELLKLAATATLLNNNINLGSHNQQQINNAANGTQQQVQNQVYNQDQFQIPTQTHNVNNGLLGNMSCSSSAENTNPCYFGEDLISKQYSELFHCLNNDGNKNSMGYESVISTTPLSTTPTPSPTYVNSCTEEERDTYCSDIFKFEIPESTLDINDFL >RHN76834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1665988:1667443:-1 gene:gene214 transcript:rna214 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEELLSIQDLKTCQITQNKQLCGLDILSYKIYQLNSWGIVTMNISVEKNETRKDENSQLVEISRSVIVSIFFAYLFLREWMFVDVFPFRPWGQLSLSLSSLLFPLSLK >RHN72859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11293260:11293637:-1 gene:gene8621 transcript:rna8621 gene_biotype:protein_coding transcript_biotype:protein_coding MTMYEIKKIISILMMMMVVVVQADSSPPSLAPPHNPIRVITCLGECVIVCSEMSREISSYATCVTSCGLLCLEVASKSVYDCATSCAISKSINVNTGIHTFTFISFVIHYYIFLYIMIVSIHCVN >RHN38451.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000012.1:66443:69884:1 gene:gene50717 transcript:rna50717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MYSRGRDFLFCHLCGTMLTVPSTDYALCPLCKTKCNIKDIKGKEISYTISAEDIRRELGIDLIEEQKVQLSKVNKTCEKCGHGEAAFYTRQMRSADEGQTTFYTCTRCGHQFQEN >RHN43304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44806694:44811664:-1 gene:gene49854 transcript:rna49854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MLKCPVRCLYKTKAQFSLSNSQNTISSLGFSYCELIVLFHKKMSLIKILLFSIFALCLISTQAARFDIVNRCSYTVWPAGIPRGGGRQLTSGQTWALDVPAGTSAARIWGRTGCRFDGSGRGSCQTGDCGGALSCSVSGRPPTTLAEYTLNGGNNLDYFDISVIDGFNIPMEFSSTSNGCNKVLTCRDSNCPDAYHQPNDVKTVTCPGGTNYRIVFCP >RHN68444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35594029:35598497:-1 gene:gene16802 transcript:rna16802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation initiation factor 2, alpha subunit, polyribonucleotide nucleotidyltransferase MTPNLECRMYEARYPEVDTAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVNSLIKVGRTEPVMVLRVDKEKGYIDLSKRRVSEEDIQACEERYNKSKLVHSIMRHVAETLDLDLEELYVHIGWPLYRKYGHAFEAFKIAVANSDTVLSPLMREIKEVGPDGKAVTKVVPSVSEEMKNSLLMNIRRRMTPQPFKIRADIEMKCFQFDGIIHIKEAMQKAEAAGNDDCPVEIKLVAPPLYVLTTQTLDKEQGKLVLRNAIACCTESIEKHKGKLMLVKEIRADVDGDGPFPITPIQNENNDDVSSDEDSEEEEDTGMGEVDVENGNAIME >RHN60571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28361465:28362593:1 gene:gene22900 transcript:rna22900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRKKNMGQILIFVFALINFLSPILVEMTTTTIPCTSIDDCPKMPLVVKCIDNFCNYFEIK >RHN65310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1092901:1093727:1 gene:gene13135 transcript:rna13135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylenetetrahydrofolate dehydrogenase (NADP(+)), Methenyltetrahydrofolate cyclohydrolase MGTNQVKDPSSQVFRVTGDVCFEEAVKVASAITPVPGGIGPVTVSMLLSNTLDSAKRAFGIV >RHN55563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19529184:19529519:1 gene:gene30764 transcript:rna30764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MCLNDDWIQFIPTSFSKEYLNGKNGIATVLFGDEDKTWRLNFKFNDTTNRALITSGWGQIVDEYNFKVGDTCVFEMTDSTNIFFKVHIVRANDQSSSEHFQGIKFFFFLGR >RHN60091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:19930658:19932920:-1 gene:gene22312 transcript:rna22312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKILLILGSNFCLLHAKGENMVQTPFFIYAFIIFLSLFPYVINGVDIPCIVDDDCPILFFPRYSMCIDGLCLLFFLY >RHN38928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2860174:2863172:1 gene:gene44905 transcript:rna44905 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPLWYNSNNVRKLRIVKPINHGTKILSLRRPNNNHDWFWQPLKENGLYDLVYLDYVTIPSRDQHHLIYLLLSYQPSQILFFSDPHKYLVIFISVHI >RHN75205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40141424:40141972:-1 gene:gene11376 transcript:rna11376 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTYININKIHILLINRFLHKINNLLLVLFTNMSATTKAWIVVASSIGAVEALKDQLGICRWNYAFRSMQQHVNTRSPYTQAKNLSNSANASNKGKRNKEESMKKVMDLNCWGPPTIRF >RHN77282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5684785:5685761:1 gene:gene721 transcript:rna721 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPYTLTAPSNIKPHTESGSGPSPNSGSSQSFIFKYIISSSGKSNLIG >RHN75415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42129135:42129383:-1 gene:gene11617 transcript:rna11617 gene_biotype:protein_coding transcript_biotype:protein_coding MLCMPYFALFVQENTSKEQELPKFTRKSLLARISLLAKMAQGVLPLAKLGAKSEEELQETRH >RHN43745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48148485:48151853:-1 gene:gene50367 transcript:rna50367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CALMODULIN-BINDING PROTEIN60 MAVDVEVFASYIYIYILVICYVISTNIPRTFCFSHIIKTQSSHSAFHSSKLASYFTATITSKQAGSKFFTKMAAKRFLDDFNEDKVKPNDKRMRTTTTSSFASVIGEIVMVKNMQNLFSGLEPLLRRVVNEEVERAMRQCYPPVRSITNSPSLRLKAMEEPLSFQFMFKKKLSLPIFTGSRILDMDGNSINVILVDKSNDQIVPTSLPHPIKIEIVVLDGDFPPSEKESSWTSEEFNSNIVKERNGKRPLLTGELNLTMRDGIAPIGDIEFTDNSSWIRSRKFRVAVRIAPGTNQSVRILEGMTEPFVVKDHRGELYKKHHPPSLNDEVWRLEKIGKDGAFHKKLTSKGITTVQEFLKLSVVDPLRLRKILGIGMSEKMWDVTIKHAKTCVMGNKLYVYRGPQFTIHLNAICQMVRANINGQTIPNRDICNMDKSYIQNYVREAYARWNELEEIDEVLNDNVALLTQGGTVEQFQSNNHQGSLITYDQNNYFGDTLETGNYVSNNNNGQIGCIEGPPFPTSPFMNEIIPYSFLDSQSDGGGTMPSSSGPIIDGASRWH >RHN81196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43983192:43983853:1 gene:gene5203 transcript:rna5203 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGGLSFVDFDREFMSNVLVFPTTLFSSSNVVVVGSAGAIEKSAALKVATRICF >RHN40405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15991231:15992377:-1 gene:gene46553 transcript:rna46553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MIVSITAAIINNMKTMFLCLTDKEKHKHLDWRLRLSIVTGIAKGLLYLHEDSRLRVIHRDLKASNVLLDDEMNPKISDFGLARTFEKDQCPRKTNRVIGTYGYMAPEYAMAGLFSVKSDVFSFGVLILEIVYGKRNGEFFLSEHMQSLLLYTWKLWCEGKSIELIDPFHQKTYIESEVLKCIHIGLLCVQEDAADRPTISTVVRMLGSDTVALPQPNQPAFSVGRMSKNDDQTSKTSKDNYSVDEVTLTIVSPR >RHN50162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4587920:4588291:-1 gene:gene34417 transcript:rna34417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MVSVRASVEPPLVGGFLTHCGWNSTIESICSGVPMLRWPFFPEHSTNCRCIWNEWEIGSEIDSNVKREEVEKLVDFCTNSLSFPLVKKLLEFRFRTIRMRIQSKMLQNLRDMTESISRLWRWL >RHN65904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6349649:6353545:-1 gene:gene13798 transcript:rna13798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEAVIGGAFLSAFFDVVFKRLASPEVANLILGNKLDKKLLQRLETTLRVVRAVLNDAEKKQTRDSDVNNWLNDLKDAVYVADDLLDEVSTKTVIQKEVTNLFSRFFNVQDRGMVSKFEDIVERLEYILKLKDSLELKEIVVENLSYKTPSTSLQDESRVYGRDKDKEGIIKFLLDDNSDNGEEVIVIPIVGMGGVGKTTLAQLVYNDEYLKHVFDFKAWVCVSEEFDILRVTKIITQAITRRTCEMNDLNLLQLDLQDMLKEKKFFVVLDDVWIEDYVNWDLLIKPFQRGIKGSKILITTRSEKVASVVQTVQTYRLNQLSNEDCWLVFANHACFTPGSGRNATDLEKIGREIVKKCKGLPLAAQSLGGILRRKHGILDWSNVLKSDIWELSESESKVIPALRISYHYLPPHLKRCFVYCSLYPKDYEFEKNDLILLWMAEDLLLPPIKGMTFEEVGSEYFDYLVSRSFFQQSSTRNMSFVMHDLMHDLATFLSGEFFFRSEELGKETKINIKTRHLSFTKFDGLISENFEVLGRVKFLRTFLPINFEVAAFNNERVPCISLLKLKYLRVLSFSRFRNLDMLPDSIGELIHLRYLNLSLTGIRTLPESLCNLYNLQTLNLFGCYKLTMLPCGMQNLVNLCYLDIAETALKEMPKGMSKLNQLHHLSYFIVGKQEEDSIKELGGLSNLHGSLSIRKLENVRNGSEALEAKMMDKKQINNLFLEWFSSDDCTDSQTEIDILCKLQPYQDLKLLSINGYRGTRFPDWIGNPSYHNMTSLTISSCENCCLLPSLGQLTTLKYLTISDLNGLETIDGSFYKNGDSSSSVTPFPLLEFLEFENMPCWKVWHSSESYAFPQLKRLTIENCPKLRGDLPVHLPSLKTLAIRSCEHLVSSLPKAPSVLSLQIVKSHKVVLHELPFSIEFLKIKGSPVVESVLEAIAVTQPTCVKYLELTDCSSAISYPGDCLCISMKTLHIEDFRKLEFTKQHTHKLLESLSIHNSCYSLTSLPLDIFPKLKRLYISNCENLESLLVSKSQDFTLQNLTSFEIRECPNLVSLSNEGLPAPNMTRFLISKCNKLKSLPHEMNILLPKLEYFRLENCPEIESFPESGMPPKLRSIRIMNCEKLLTGLSWPSMDMLTDVTIQGPCDGIKSFPKEGLLHASLKSLTLLTFSSLEMLDCKGLIHLTSLQQLRIRDCPQLENMVGETLPASLLNLYIIGCPLLKERCHMKDPQVWNKISHIRDIDVDHKRIS >RHN46271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30300656:30300977:-1 gene:gene40740 transcript:rna40740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MKMQRNIKKLAIQYFVTTAQVFHVTKLIDNEAFKLIPCAQVKKLGQNPSCLCAVLLSNVAKMSGVNPQIASTIPKRCNLADRPVGYKCGRK >RHN61156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33526004:33534909:-1 gene:gene23591 transcript:rna23591 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKKARQNNGRAVEASAPLAENDIVQSIKAVTEFPRSSFEFYVWSDEGVNLQVDLTSSPSDWTNKFKNEVRVSDNVNVNKSRSFRQDLLELREKPPFLWNTDYCQIFDRDGQAKSSSSGLKLTKGVTELFQQKNGERPLMSYSVIPCTISDRGKLELQHSKPDNQCSGNCGLPNGSCIVNPGLVCAGASLSSSVEAAKIVNGKMHSRCSRLDDRLKKSSLDSDVHVSKTELRKKKRNRYSDIQGSSTLATRILRSMKKTAVMILPSSSVELQNSEAACCHKYASVSPCDNNETLDLKAPKNTCEMEQYGLLKSSEINKVTDKNDYPSQTEEWEVAKIVDGRMRSRCSQFDDPLTKSSLDSDDQVSKTEHRKKRKNRYSKIQGSSTPATRILRSRSKTVVTVLPSSSPELQNSDVANCQKHALVSPCDNDGSLDFSERKKTSEMEHDGLLNSSEINFVIDGNNYSSQPEEREAAKVVDGRKRPGCSQLDDPLKKSSLDSDDQVSKSELHIKRENRYSEIQGSSTPATRILRSMTKTAAAVLPSSSLELQNSEVVSCHKHALVSPCDNDGSLDLSEPKNTSEMEQHGLLNSSEINIVTDRNNYTSQPEEWEAAKIVEGRTRSRCSQFVDPLNKSCLDSDDQVSKTELRKKRENRYSEIQGSSTPSTRILRSMTKTAAVLPSCSPELQNSEVASCHKYPLVSSCDNDGSLDLSNPKNTSEMEQDRLLNSSEINFVTDGNNYLSETEEWEVVKVVDGKERLGYSQFDDPHKKSSLDSDDQVSKTKLLKKRKNSYSEIQGSCGKPTTRMSRSMTKTAVLGRRSPRLISK >RHN62795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45891758:45895938:1 gene:gene25404 transcript:rna25404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MIPSTMNFTSCIYLLLIILTTNHFILSHSENCNPDDKRTLLQIKKEFGNPSQLSSWDPTIDCCNSTWLGVDCDNFNRTYRITGLDLENINLPKPVPIPPSIFNLPSLNVLYLAYMPNLVGPIPPSISKLSKLNYIYIIQTNISGEIPYTLSQIKTLVTISFNSNKLTGPLPASLSTIPTLAGIAFNDNQLTGTIPESYGSFPPLFTGLLLSRNRLSGKIPASLRKLNLADVDLSHNAFEGDASMFFKSNILTYTITLGSNSFAFDISKVGLSKDLNKLDIRHNKIYGKLPERLSELRYLHKLNVSDNNLCGQIPMNTRFDASCYVLNKCLFDWNIKKCLTIKYTSCHKRYSVTQPLILIATTHTIQAYKISIPVPPTSN >RHN66167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9409628:9410528:-1 gene:gene14096 transcript:rna14096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PsbP family protein MATIFSSSPPPIFSSSTTTTTTTTTTTTTTTISKPLLSFKLKQPLTTTLTAAAAIATILTTSPPSIAAESSPYNIYYGTAASAANYGGYGGNSNKKDSAEYIYDVPEGWKERLISKVEKGTNGTDSEFYNPKKRTEKEYLTFLSGFRQLAPKDAVLNNLALSDVNLQDIISGADNVSSEEVKDENGQVYYVYEIDGVAYHSLISVTCANNKLYAHFVNAPTPEWNKDKDLLTHVHKSFKTIV >RHN78996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20699933:20701607:1 gene:gene2672 transcript:rna2672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MVDGDCGSRKMTENGGMINLREFQCKIGAMMSVWSNGTWNLKPGLLRLSRWSPDFNSFNQKQTHSQVWLRFHYLPLEYWQPITLFEIAGAIGTPILIDESTRNHSFGHYACILVDINLAGFLPESLWVEREKFTFEIEIEYENPPYYCFTCNSIGHSTDHCKKDLANKIACEMVSTKNAPAKQFKHDPKKYVVKECRKGVADEDPIISDIIRSKEVETSALIRNIINFEEELSASISVGTARLQSTQIEDVQTTELIEKQREDQHSSKFPDMRIVGPWSDVVTDLDYIQDPLYWCGLESSNVDVSKEVLNPNVAHDLEILQKHVWNGSDARGIGPRVYTDEEENAAALNYLKNRGIGSTTTEEPFIEVMSKAKKKKVQKGFQIHNTRSRVSYCSCLFVSILLLLFSFWFVFAFLLFLLFMGLTFVPIFALLLSFEKVLVYVPLLCLF >RHN70688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53216955:53220998:1 gene:gene19298 transcript:rna19298 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGCCLFSSPPPHLVCRKRNRTSLFKVSANVPDFLSADWFESRKKKPFGPKLDFTAEDAVHHQLEALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGPFFDLGQFERFRRIFHHSTYRVLLGHKERKIMSSLFVEENKYKQRIWVRGSRPEEEEIFQFTMVQRVGGCWDGYWLTESVLHDLDTFSGGVAY >RHN56288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29535627:29539598:-1 gene:gene31660 transcript:rna31660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription initiation factor IIA, gamma subunit, transcription factor IIA, helical MQIAFFMATFELYRRSTIGQCLTDTLDDLVQNGTLSPDHAIKILIQFDKSVTEALETKVKSKVSIKGHLHTYRFCDNVWTFILQDALFKSEDKQENVGRVKIVACDSKLLGQ >RHN81848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49317929:49318552:-1 gene:gene5940 transcript:rna5940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MFILSFESTIESKMVNSITHSNLSPIFILLLLLLCNHHYSAKAQPPVQPVSPFAPQTTLWDVSSIGVIIGTIIATLILLFLFITLIRGFTNSLRADSSKCESDKGINPQLLKTFPILLYSTIIKHFNESIEDPLQCAVCLGDFNNNDKVRVLPQCNHVFHPPCIDAWLSSHITCPVCRTNLNHQCSCHFSVSIDVQNNGECGSQRIQ >RHN58873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5634556:5637909:1 gene:gene20828 transcript:rna20828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MKAHIIFWLFFIPFCLINSSSHNFVVKGYCLGHQRSLLLQLKNNLIFNPEKSSKLVHWNQSEYDCCKWHGVTCKDGHVTALDLSQESISGGLNDSSAIFSLQYLQSLNLAFNDFHSVIPKDLHKLKNLRYLNFSDAGFEGQVPKEISHLTRLVTLDLSSLITSHQNIKLENPNIETLLKNLTDITELYLDGVAISASGEKWVRALSSLKGLRVLSMSSCNLSGPFDSSLSKLQSLYFLKLNHNNLSSILPDSFANFSNLTILQLSSCGLNGFSPKHIFQLQTLKFLDISDNQYLHGSLPDFPPLAALQYLNLSHTNFSGSLPNSFSNLKHLSTIDLSYCQFNGTLPRSMSKLTQLLYLDLSSNNLTGPLPSFNMSKNLTYISLFLNHLSGNLPSDHFEGLINLVSVNLGFNSFNGNVPSSVLKLPYLRELKLPYNQLNGTLGEFDSTYSSVLEILDLTSNNLQGPIPLSVFNIKTLRFIQLSYNKFNGTIYLDIIRRLRNLTILGLSHNNLYVDVNIKLDHDLLPFPKMRILMLDSCKLREIPSFLRNQSTLLALQISENKIEGLIPNWIWQLDSLITLNLSHNYLIGMERSVSNFSSNLLIGDFSYNQLQGPISFIPGYAIYLDYSSNRLNSFIPPDIGNHIPYIRLLFLSNNNFQGQIHESFCNASTLNLLDLSHNNFVGTIPKCFAALSSSLKMLNFGGNKLRGHIPTTMFQNSCALRLLNLNDNLLDSSVPKSLVNCKELEVLNLGKNSLTGKFPCFLSKISSLRVMVLRSNKFHGSIKCSNSFGDWKMLHIADLASNNFGGTLSPALLNSWKAMMRDEDELGPEFGHLFFDIVDIFHPMRFKDVLQNLNKVLALKVAKLVANMSHSILDQAYLDGGSILANLVRYQDSIIIVNKGQQMNLVKIQSAFTYIDMSNNYLEGPIPYEITQLKALNALNLSHNALSSHIPSSVGNLKNLESLDLSNNSLNGKIPQELSSIYFLEYMNLSFNHLVGRIPLGTQIQSFDTDSFKGNERLCGPPLTNNCNDDGVQGQPPPASELSHSHNDSSIDWNFLSLELGFIFGFGIFILPLICLMKWRLWYSKHADEMLYRFIPQLDFVYEQHEGKRYRTLRWRY >RHN72787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10684274:10688121:-1 gene:gene8543 transcript:rna8543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamoyl-CoA reductase MASENREEVVCVTGANGFIGSWLVHTLLSKQNPHYKIHATIFPNSDPSHLFTLHPEAQSRITIFPVNILDSTAVSNAINGCSGVFHVASPCTLEDPTDPQKELLEPAVQGTLNVLEASKRAGVKRVVLTSSISAMVPNPNWPENKAIDEGSWTDVEYCKLRGKWYPVSKTEAEKVAWEFCEKHSGVDVVAVHPGTCLGPLLQNQMNASSAVLQRLMMGEKDTQECYWLGAVHVKDVARAHVLVYETPTAAGRYLCVNGIYQFSSFAKIVSELYHDYPIHSFPNETQPGLTPFKEAAKRLIDLGLVFTPIQDAIREAAESLMAKGFLQRTTSQK >RHN45039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11053365:11055104:1 gene:gene39235 transcript:rna39235 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVKEDPSNMNEFFDFDKHHNSQVDPRALDHHKLHKLLSLSTV >RHN71256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57632867:57635548:1 gene:gene19913 transcript:rna19913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope pore protein 21 METSLRYGGDSKALKIHAKQKIRIDTNTFFQVRGELDTKNGQPNSLRALVRHFYPDLSATLGVGVQYDKLNNVGEKRYARNDKLRYTVLAKKTFLVTEDGLVNFKIKGACDVDQDFKERKSRGGAEFSWNIFNFQKDQDVRLRIGYEAFEQVPYVQIRENNWTFNADYKGRWNVRYDL >RHN52001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30055405:30057364:-1 gene:gene36603 transcript:rna36603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FHY3/FAR1 family protein MRTSYEVMGQGVGGTKNLPFRFSDLKNYLMTIRQKEMVVGEATVIQEFFRNEALSKPSFYYDIQVDAAEDICNALIVI >RHN73288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15133963:15134440:-1 gene:gene9086 transcript:rna9086 gene_biotype:protein_coding transcript_biotype:protein_coding MFTQTCFGFRFGGSVCGSVVKRSMVLLGSLRLMNEKEGRIFMVLLGSLRDGEKIFWTRNKRGKKEGKALGILVISHLSGCKMGISCVKS >RHN47656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41302741:41305963:1 gene:gene42279 transcript:rna42279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKMMIGAGAYCALTRNPLLLLSRSFNIFPKLTPLQFPTTPSPSFPSFNRFPSLFPQLCIRHSRLSTLPHNDSGGFGGDSGSGGWDPGDNDSDDGGGKWSFLSCIAKKTEPMNTLVLSAFLVFGFSCIVVGGQALVEVPFLLFGLTMVCLSLNEFFDAVFSFWIYLIVFGALFCIVQIIALAYALTQPLRIREGASEDDIKSLPMYRFCQPNVMIMVDKNKTQLEARTGSHNRSHISELSLHPDDSECCICLCPYVDGTELYRLPCTHHFHCECIGRWLRTKATCPLCKFNIRIGDIMV >RHN52813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39103080:39103775:-1 gene:gene37528 transcript:rna37528 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFLKHAMKSKRGKERVQGKEKNLNKMRKIRILYSDPNATDYSSEEEEDMFLRNEYKFDGSKRIVEEFFVPFMPLANENKKNECESSLLALRKREDVKEVILMSVLDVSDTDTKAAKDINDIDGSVKEINVKDLTSDEKRENLVEEVGKEDISVQYLASVAGYNLCFDETEMLLGNEFCNLLNNDEISCGSLWKVENGYGGNCCILPPVDCAFNDFELGWIDETLNWDCQ >RHN77137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4364816:4370117:1 gene:gene562 transcript:rna562 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEIHNNPSENKTKVSKFSDQNQPPKLQTTKTTNPNNNNHSKPRLWGAHIVKGFSADKKTKQLPTKKQQNTTTITTSDVVTNQKNVNPFVPPHSRVKRSLMGDLSCSQVHPHAFPTHRRQSSTDLFTELDHMRSLLQESKEREAKLNAELVECRKNQSEVDELVKKVALLEEEKSGLSEQLVALSRSCGLERQEEDKDGSTQNLELEVVELRRLNKELHMQKRNLTCRLSSMESQLSCSDNSSESDIVAKFKAEASLLRLTNEDLSKQVEGLQTSRLNEVEELAYLRWVNSCLRTELKNTCSTLDSDKLSSPQSVVSSSGDSISSFSDQCGSANSFNLVKKPKKWPITSSDQLSQVECTNNSIIDKNWIESISEGSNRRRHSISGSNSSEEEVSVLSKRRQSNCFDSFECLKEIEKESVPMPLFVQQCALEKRALRIPNPPPRPSCSISSKTKQECSAQVQPPPPPPPPPPPMSFASRGNTAMVKRAPQVVELYHSLMKRDSRRDSSSGGLSDAPDVADVRSSMIGEIENRSSHLLAIKADIETQGEFVNSLIREVNDAVYENIDDVVAFVKWLDDELGFLVDERAVLKHFDWPEKKADTLREAAFGYQDLKKLESEVSSYKDDPRLPCDIALKKMVALSEKMERTVYTLLRTRDSLMRNCKEFQIPVEWMLDNGIIGKIKLGSVKLAKKYMKRVAIEVQTKSAFDKDPAMDYMVLQGVRFAFRIHQFAGGFDAETMHAFEELRNLASLLNKT >RHN64100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56568607:56570970:-1 gene:gene26881 transcript:rna26881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MFSEVFTVCNQRSLRLCSVFFKAFHTMIVEYWNDEEVLKKLGQALGIPLNSGVVAASESIVHDTADVGDVQVKYTQFLLESGAKVDSLDKYKNTTFHHASGYDKKECQVAGSKALLTILARVKEEKPHVRFTKEFVLVLFLYHSLCNLGCTRFQIEEEQASFSGNLNKVYGALSMLLIQFSLCIFEFFNINRWPGERQFWLVQGNLRLNYLERCKQLEAELHEGCQNSQLMGSFTNAFYTGAPTVNPVVFFPSLVYFIFL >RHN71184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57043745:57044817:-1 gene:gene19835 transcript:rna19835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAUS augmin-like complex subunit 3 MKLSIDYEDQNLETKSGYEGTKSGSDSLDPDRFKWPFHYEDTQPILHWICSTFRPSNSISLSEISQFVTLFIFFNFCYLHSRFLDLD >RHN72684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9717736:9720132:-1 gene:gene8430 transcript:rna8430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MAQAYPLCSSPSSSIFHDLTTRISISSPYSFKLPYKFKTFFSFQPLQENSANLNNPNSNSSSLSKSRIWVNPKTPKPKRIWNKSDKTVSSLAKLPKSLNEQQVSEILNGLGDNVTERDAENILHNIADPETAIFVLEFFKQKIEFERHVVLYNVLFKLFREIKDFEQAEKLFDEMLQRGVKPDVVTFSTLIRCAAVCSFPHKAVELFERMPDFGCEPDYNVSSSMIYVYARTGNVDMALKLYDSAKNEKWVIRPVAFSALIKMYGILGNYDGCLSVYNDMKVLGVRPNMVLYNALLYAMGRAKRARDAKGVYQEMKKNGFVPNWGTYAALLEAYSRGRLSKEALSVYKEMKEKGMSMNKVLYSMLLDMCADVGFVDEAVEIFEDMKCSMTCQPDNYIYTSLINMYSWNGKIPEAEAMLKEMISCGLEPNILVLTMFVHCYGKAKRTNDVVNIFNQFMDTGITPDDRLCDCLLYVMTQIPKEEYGKITNCIQKANPRLGYIVTYLMEENEGDDDGNFMKETSELLSSADDDVKKSLCNSLIDLCVKFGLQQKARNLLDLGLMLEIYSDIQSRSETQWCLNLKKLSVGAAMTAFHVWIDDLSKAFESGEELPQVLGISTIPWRHKRSDKDLASVFESYLKELNSPFHKATNMSGWFFTTSQEAKSWLQSRGSTETVASLNKTVLDVLAEAHLH >RHN53950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5682086:5686068:-1 gene:gene28925 transcript:rna28925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MVDRGLDKTTTSASDLSVKKQELLSSAMKRTSDWIFSQEIPSDVNVQIGESSFTLHKYPLVSKCGYIRKLVSESNDSDVSFVELSDVPGGAEAFELAAKFCYGINFEINVENIAMLRCVAEYLEMTEDYSVGNLVARTDAYLNDVALKTIAGSVSILHISESLLPIAEKTKLVSKCIDAIAYIACNESQLCTSVRSESGSDGVMSSSVSSQQRPVVDWWAEDLTVLRIDLFQRVLIAMMARGFKQFAIGPILMLYAQKSLRGLDIFGKGRKKIEPRQEHEKRVILETIVSLLPKEKNAMSVSFLSMLLRAAIYLDTTVACRLDLEKRMAMQLGQAVLDDLLIPSYSFTGDTLFDVDTVQRIMNNYQESQIGNHFVYNGDDEYFSPPQSDIERVGKLMENYLAEIATDRNLSVAKFISLAELIPEQSKPTEDGMYRALDIYLKAHPNLSDMERKKVCSIMDCQRLSREACAHAAQNDRLPVQTVVQVLYYEQQRLRDAMNGSETAESPSIHTKLNVYSGDIQVSNELTSLRRENEDLKLEVVKLKMKLKEIEISTLKSTVKSTVKSTVNSPIANASPSHSHSADKPPLPRKSFISSVSKKLGKLSPFPRLDGIVSAKGKIKPNKNRRHSIS >RHN41528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30787739:30788518:1 gene:gene47861 transcript:rna47861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain, legume lectin MPLYNTKPVFLILIPLLMLHRNSNSSFKFPNAFGPYRNDIITYQGDAVESNGTIQLTNIENDTNMPYSAGRASYILPIRLWDPKIGLANFTTTFSFLVTSNEQSPGVGVSFFIAPYHSKISESSSDGYLGLVSPETVFNTFQNQIVAVEFDTFQNELDHTVAHVGIYVNSSSSVTMVKWGIDNVVNFLTPVVATVSYEALSHQLNVDLSSLNGTKISLSHEIDLREVLPDGVSVGFSGVTGRMVETLEILSWTFSSNLY >RHN78430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15011361:15016111:-1 gene:gene1985 transcript:rna1985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,4-beta-D-xylan synthase transcription factor C2H2 family MDSLTNQPSKKSRNASGEKPSIKFARRTSSGRYVSLSKEDIEMSSDVSGDYMNYTVHIPPTPDNQPMDGTSVAMKAEEQYVSNSLFTGGFNSVTRAHLMDKVIDSEVTHPQMAGAKGSSCSICDGKVMRDERGKDVTPCECRYKICRDCFIDAQKETGTCPGCKEPFKVGEYENDGQDYSNGALQLQGPNGSKRNQNGEFDHNKWLFETKGTYGVGNAYWPPDDSDDEAGLNEGVFDGSEKPWKPLCRRTPIPNGIITPYRALIAIRLVVMCFFLHWRVTNPNEDAIWLWLMSITCEIWFGFSWILDQIPKISPVNRSTDLAVLYEKFDAPSPENPTGRSDLPGCDLFVSTADPEKEPPLVTANTILSILAVDYPVEKLACYVSDDGGALLSFEAMAEAASFADLWVPFCRKHNIEPRNPDSYFALKIDPTKNKSKLDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAFNAREEMKMMKHLKETGADPLEPVKVLKATWMADGTHWPGTWGSSSSEHAKGDHAGILQVMLKPPSPDPLMGSEDDKIIDFSEVDTRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAILSNGPFILNLDCDHYIYNCKAVREGMCFMLDKGGEDICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPFYVGTGCMFRRFALYGFDPPSGDWDTKDPKHECTDEVCETTPALNASEFDQDLDSNLLPKRFGNSSMLADSIPVAEFQGRPLADHPNVRYGRPGGVLRKPREPLDAPTVAESVSVISCWYEDKTEWGERVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSKNNAFLASKRLKLLQRLAYLNVGVYPFTSILLIVYCFLPALSLFSGYFIVQTLSIAFLIYLLTMTVCLVGLAILEVKWSGIELEQWWRNEQFWLISGTSAHLAAVIQGLLKVIAGIEISFTLTSKSGGEDDDDIFADLYIVKWSSLMIPPIVIAMVNVIAIVVAFSRTIYSANPQWSKFIGGAFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLIAIILSLLWVSISPPKATDGEASGGDFQFP >RHN40618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18229607:18230014:-1 gene:gene46788 transcript:rna46788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MSKQMGQSIGSVGSSLRRRTVAQCRCGEETVIRTITDNTNPNCGRRFWGCRNYKNQFDKGCSFFKLLDEEVTDERDLLISKLQKKNVKLKNRLERTRLWLKISLIFGFGCFVVCLVLGTVLACKCSVSLNQMYLK >RHN55982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26328976:26334624:1 gene:gene31287 transcript:rna31287 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKDKGNLSSNLGHSSSVLHFIRSAAKKGNKTYYQTKIKICAETTNYRSKIKKVKNIAYFEPQLRK >RHN72622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9207441:9212542:1 gene:gene8365 transcript:rna8365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fumarylacetoacetase MALLQSFIQVNPDSHFPIQNLPYGIFKPQPSSSPRPGVAIGEFVLDLSEISSAGLFNGPLLNNSDCFLQLNLNKFVSLGRPAWKEARATLQKLLSSSEPTLRDNAVLRQKSLVPLSQVEMLLPVVVGDYTDFFSSLHHTKNCGLIFRGPQTPVLENWYHLPVAYHGRASSVVVSGTDVVRPRGQAHPAGNPSPYFGPTLKLDFELEMASIVGPGNELGKPVDVNNAEDHIFGLVLLNDWSARDIQAWEYVPLGPFLGKNFATTISPWIVTLDALEPFACEAPKQDPPPLPYLAEKVSKNYDLSLEVHIKPVNHKDSSIVTRSNLKHLYWTLTQQLAHHTINGCNLRPGDVLGTGTISGPEPESRGCLLELTWNGQNSLSLNGLDRKFLEDGDEVTLTGYSKGDGYTIGFGTCTGKIVPPAP >RHN49881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1926540:1930824:-1 gene:gene34107 transcript:rna34107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative preprotein translocase subunit SecE MTILSLLPTNYSGFLHQGTPLLQSKFSATVGYHRDGSLTPQTICRTKIKQKYSNHISTKFLTSASRNDHYNISYDDSPEEPFLLALIKESFWGLKSLFAFLIEQPSQLNYIEWPSFSNTLRTATLTLVIVAFLLVALASVDSALSFLLNLVLRKST >RHN67502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27758831:27762822:-1 gene:gene15696 transcript:rna15696 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YA5 MQPKSETANQPRSGPHSFQPGGVYSEPWWRGVGYNPVAQTMSGANSSSLDCPNGDSESNEEGQSLSNSERNEEDDDAAKDSQPAAPNQSGNYGQEQGMQNTASSAVIREECLTQTPQLELVGHSIACATNPYQDPYYGGMMAAYPHQPLGYPPFIGVPHARMPLPLEMAQEPVYVNAKQYQGILRRRQARAKAELERKLIKSRKPYLHESRHQHALRRARGTGGRFAKKTDGEASGKDNGSGPVLSSQSISSSGSELLPSDSAETWNSPNMRQDARGSNENGGSSYHNNNNGMQSSRYQGERVEEGDCSGQLRVSISSNEASQRRLAIQ >RHN78003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11171550:11171870:-1 gene:gene1517 transcript:rna1517 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGKKVILGFQLEPLVAIQFRPSFIRFQFDFAISFPFSILFAISTYVAILPSFSLNPITTELRLCFSSVNGYLCSFSFKVIVGHLFSLYIEGRCFIKSNSLTFLP >RHN62992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47420091:47423405:1 gene:gene25637 transcript:rna25637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquinone biosynthesis protein Coq4 MVGGGLIKLKTWQKTAVALGSAVGALLDPRRADLIAALGETTGKPAFQRVLQRMKSSPEGRAVLLERPRVVSANVGHAWDLPANTFGAAYARFMGSRNFSPDDRPPVRFMDTDELAYVAMRAREVHDFWHTLFDLPTNLIGESALKVIEFEQMHLPMCVMSVLGGTARFSEKQRKLFYQHYFPWAVRAGTQCNDLMCVYYEQHFHQDLEDVRRKLGIIPVPAIP >RHN39055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3814925:3815701:1 gene:gene45047 transcript:rna45047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MASSSPKLFTIFLFLILVVLLFSTSEVQAKLCRGRSKLWSGPCINSKCKRQCINVERAVSGGCHLDNTGVFCFCDFKC >RHN40586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17903560:17905718:1 gene:gene46751 transcript:rna46751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Caspase-like domain-containing protein MARKAVLIGINYPGTKAELRGCVNDVWRMHKCLIERYGFSEDDITILIDTDSSYTEPTGKNIRRVLSELVRSSEDGDVLFVHYSGHGTRLPAETGEDDDTGFDECIVPSDMNLITDDDFKEFVDGVPRGCRLTIVSDSCHSGGLIEEAKEQIGESTKGEEEHSGSGFGLSGFLHRKVEDAIESRGFHVPSGLRSHTRRDEETNEDRDIELPHGVKNRSLPLSTLIDILKQKTGKEDIDVGQLRPTLFDVFGEDASPKVKKFMKVILNKLQHGGGEGGQGGILGMVGSLAQEFLKQKLNDNDEGYAKPAMETEVGSKHEVYAGSSKRGLPSGGILMSGCQTDQTSADACPSGNAANAYGAFSNAIQAIIEETDGAITYSELILKAREKLQKDGFTQKPGLYCSDHHVDDPFLC >RHN69710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45699224:45700178:-1 gene:gene18216 transcript:rna18216 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGSSCIIACTMRKHVKVVKEDGKILEFSSPIHVKDILINFPTNAAIVDSKNATETLSQDHELKGGKLYYLIPHNKEAEEQGGGGTKTKRIKVLITKQQLQQLVTKEMSLQELLSVVKTDGVSLTCDRKPILDSIPEENE >RHN72921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11869602:11875591:1 gene:gene8687 transcript:rna8687 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPEVTTQLCSLGIGSKLEPLIGSSNRTSIQYAVNSSTASFSDAHLMGSQERGALQVTTSPKSAEENFNARSSMLKPSDRSVIGHDGIRNRISDTIECVANLSSEENDRPHKKRKKSHREKAGTSVETEDPKAVVYEDADGFRQTTRPALCTQTTQACREKIFDASNNFDEIYNGNVMKLLVLENAVDEERYSIAMNAPLSPLCFPETETFALDNMEPFQNEVLHTDLLDQRDSSPSTICDVIDVEMNSNVQKFDAITIPCNEHRAKQAVQTDVKLQNTHSLENLRDTFLVETETGSIHHQLPNFGLIVSDREDNSCISRTLLAARNCIARCSLDTQTEWAVGSILSAVDMEEISIQNEKHSVLLTLLLFNFTMTAMKFGGGNLLLCLSSYAEHICRVMTDADTRVLLLEKFSLLGLLRLFEDFLIEGKVILKNVVPTETSSDSNLRNDSFLDGIDTLCANEATNEQLVAASIILASLCAATDYIGFISEASYNILRLCRCDSFVVLTILHIFANLGGRTYFNSCSYGLMVTVLKSLVMFIEGGSVSVTTSCLPAINQLHTDLCSNVKCPFSEGAESIDVVTSLLLENIKKHPFQQEEQFDSSNFRSLSDNYNNGQCSNQDVVPCQLSDILSLLELVANKMSWQWTNTKLVSQLLHVLDSCAMENAAVAIIVLLGQLGRLGVDVGGYEDHGVENLRTKLLSYLCNSSMKAHTSLQIATATALFGLLPLDLESLSQTEFSLPAYPSKSISDDAGSLRKWFSGLGEHQKILLYSILRPTE >RHN58643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3611399:3614262:1 gene:gene20571 transcript:rna20571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MDFWPEFLASSSGREFVAGGFGGTAGVISGYPLDTLRIRQQSGGQNGSAFNILRQMLSKEGFCSLYRGMGAPLASVTFQNAVVFQTYAVLSRAFDPSASAKDPPSYKSVALGGMGTGGLQSLLLSPVELIKIRLQLQNTNQSKESGTTPVKVAKNIWRKEGLRGIYRGLGITIMRDIPSHGFYFWTYEYMREKLHPGCRKSGQESLNTMLVSGGLAGVASWVCSYPFDVVKTRLQAQTPSAIKYKGVYDCITKSVREEGYPVLWRGLGTAVARAFVVNGAVFAAYEFALRFLFNNENVQMQETI >RHN69792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46300821:46301126:1 gene:gene18306 transcript:rna18306 gene_biotype:protein_coding transcript_biotype:protein_coding MYQTLFETLFVLLLLVLASSLYIRNICTRLAIPLYIFGCHNNFIHIKPDKSWCVCLAVFIGIQAVFVLLQHYLGSCWFIHRQVSPDSLDHNQESQTPPSQH >RHN78770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18177462:18178412:-1 gene:gene2419 transcript:rna2419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNKHYSSPSSHHSFDKPKNSHDHDHQQSLENDKKNPGSRIYSCEFCHKTFTSSKSLGGHKTIHRSSKILVQPETNKQIHDHDVNNGGTLKKTIKITCVFSSSLHNHDHDDGKPKNHTCDVCNKVFLSNNALKGHMRWHTPKGLKKGTIIHSPTAQSSLEQSHLEFLLATDLSKYLPPISYKTKKRSPRRRRIADNDEEITIAAKTLLYLSHGGYEGSTKRQKISSNTVDDNEKMKEQGVLLTRCVCHNEKNLVLKLRIPKDTIFQTSQDSKEPAGSNLDIEGMKNVSKDETELGSRVVRNVDLNELPSDDFEDENN >RHN65620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3829599:3830777:1 gene:gene13483 transcript:rna13483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MGSSGGESHDHNQLSVVPPSPNHRRYNHSLPTLPYDVIPEILCRLPVKFILRFRCVCKSWNFLISDPKFVKKQLSVSIAWNLHFLNYSRWSHKYILTSYPIDSIFTDITSNFIQSEYNLSNEDRTYGKLYFIIGSCNGIVCIADNHNGLVILWNPSTKKIKQLPLYEKSNGPTLMTFGFGYDSLNDNYKVVVVLAYLVLDEDYRFFNKNKVKVHTLGTNIWRTIQDYPFGGLPVPVMKGEFVSGTINWLLSEESSECPRFIVSFDLAKESYQKISPPNLGGVDVCDMSALSVLRDCLCVTSGVDVWVMKEYGNKESWTKLFTIPCMRDPSKPEVFAKALYTFEDDQVLLKFMNDIDLNLVLYNPRSGTLKAINFKYTPEVCGESLISPSSLC >RHN41763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32733859:32736739:-1 gene:gene48120 transcript:rna48120 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGFYSLYKNSSEELFLKTLMDNPIGVPIPTMDMPSFKAVSQSFRTDSEELFKKWLTNEEASPFVGYNSSSKGLHSKLSQREPVDEVQQSSNLFLAKAWFLSDQRMTRSRSSELRQRYCQMHNAQVAQGIESVHMLATQNGNNTQQEVSNLNGFNHLNQKGAFTSPSNSSSSTFNTHQLNDNTDKISSYVNMLKDTLEHKRLTSQIAKQGVEDNSNELFNPQEDYFLQTSFDEGNENWNHQNPIYVEGSSTIQVKDHEVMQTLEASINLIDLDGLANQTNPIYLSSASPSESSIAATLVSTGFDGCDGPCISSQTLCESSWNKVGGSASLENRVREEKSRKICICNVRMAEAKERNLIPSIPPDMQAVLKRCEDLEKEVRSLKLNLSFMNRKDSEQTKQIEDLHKQNEDLTDEKEHLLEEIETLVSKNGKL >RHN57239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37487125:37488007:-1 gene:gene32752 transcript:rna32752 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVSLFVRGMVLRTRIDLICGGKFITCGVHGKARHFFILFFHFYLLI >RHN43241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44244136:44250339:1 gene:gene49786 transcript:rna49786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, CRAL/TRIO domain-containing protein MEAVKGCHKELEAKAQVVANDVVTETELTKIRLVRAFVETQDPSSKEEDDLMIRRFLRARDLDVEKASAMFLKYLKWRHSFVPNGSISLSQVHSQIADDKAFAQGRDKIGRPILIAFGGKHYQKKDGLDEFKRFVVYILDKLCASMPAGQEKFVGIAELKGWGYSNSDVRGYIGALSILQDYYPERLGKLLILHAPYIFMKVWKIIYPFIDNKTKKKIVFVENNKLKSTLLEDIDESQLPEIYGGKLQLVPIQNI >RHN56399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30568270:30569029:-1 gene:gene31791 transcript:rna31791 gene_biotype:protein_coding transcript_biotype:protein_coding MWCSVVGGFIMFGNEDSTCGLWEMLSMINQPSHSFSRRTMLLLSAQIPLTFPRTPSLLVVKMIRNELGIVLLQSVCPLRNTKVTLHLMPIIQRNCLPLSWSNTSRRSD >RHN49827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1502066:1509402:-1 gene:gene34050 transcript:rna34050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein farnesyltransferase subunit beta MEPSSVVETPSPTVSQRDQWIVESQVFQIYQLFSTIPPNAQSLMLELQRDKHIEYLSKGLRHLGSAFSVLDANRPWLCYWIIHAIALLGETIDDDLEDNTVDFLNRCQDPNGGFAGGPGQMPHLATTYASVNTLITLGGDKALASINRDKLYGFMRRMKQPNGGFRMHDEGEIDVRACYTAISVASVLNILDDELIKNVGDFILSCQTYEGGIAGEPGSEAHGGYTFCGLAAMILIGEANRLDLPRLLDWVVFRQGKECGFQGRTNKLVDGCYSFWQGGAVALLQRLQSVIEEQMVEASQSFTKSDAPDEKESSAGTSSHATSRIRREGISESCSSDVKNIGLNFINEWRESEPLFHSIALQQYILLCAQEQEGGLRDKPGKRRDHYHSCYCLSGLSLCQYSWSKRPDSPPLPKVVMGPYSNLLEPIHPLFNVVLERYREAHEFFSGL >RHN60022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16833193:16837692:-1 gene:gene22212 transcript:rna22212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytokinin dehydrogenase MSFFGKQNILFLKGFMILFLSCIATRFNFCLSNNPFSLKTLPLEGHLSFDETSLNKASKDFGNRYQYHPMAVMYPKSVSDIATTIKHIWHMGHSSHLTVAARGHGHSLQGQSQTHGGIVIKMESLKVPEMQIHVGNSSPYVDVSGGELWINILHETLKYGLAPRSWTDYLHLTVGGTLSNAGVSGQAFKHGPQISNVQQLEIVTGTGEVVNCSEKQNEELFQSVLGGLGQFGIITRARILLEPAPSMVKWIRVLYSDFTEFTRDQERLISEENAFDYIEGFVIINRTGLLNNWRSSFNPQDPVQASHFKSDGKTLFCLELAKYFNFQQINIVNQDVERHLSRLNYIRSTLFQTEVTYVEFLDRVHVSEVKLRSKGLWDVPHPWLNLFIPKSKIHSFAQFVFGNILTQTSNGPVLIYPVKKSKWDNRTSVVIPDEDIFYLVAFLTSAVPSSNGTDGLEHILSQNKRILEYCQRENLGVKQYLPHHNTQEEWRDHFGTKWEIFSQRKFVYDPFAILAPGQRIFQKTITTS >RHN48776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50127042:50127993:-1 gene:gene43529 transcript:rna43529 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSNHGKAPPKESSTSDHQSSTNTDTSPPVAAPNMMYYPPGTGYPCHGPQPPPYHPYPPPPHGYPPYQQGYNNFPGHAPYYDVPRTYHHTGDGGSSFFRGFIMCSCFIFTGFFVLTLIAALSLHPQFPVFKVVSLSVSNFNTSSILTGDWNISVTAENPNTRLKGYFLDFKVDVVHDNNELAMSLVPDFELEKHEQKQMDVKASSNNVVSFQKWDLDKMSNERQSSSNSIMFGVRVSSLAEFKSTSFATRSTRMLAICEGLKVVFQNNTGTGSLDNGGNPATCQLYM >RHN62621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44751951:44756126:-1 gene:gene25216 transcript:rna25216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MSPKLPSHLFPFLIILTLASLYYFSSFISLNTLSSSSSTSPSLTISTCNLFKGTWVFDPNHTPLYDDTCPFHRNAWNCIRNQRQNLSLINSWKWVPRGCDMNRIDPFRFLGSMRNKNVGIVGDSLNENLLVSFLCTLRVADGGARKWKKKGAWRGAYFPKFNVTVAYHRAVLLSKYKWQPKQSESGMQDGSEGIHRVDVDVPADEWAKIAGFYDVLLFNTGHWWNHDKFPKEKPLVFYKAGQPIVPPLEMLDGLKVVLGNMITYIEKEFPRNTLKFWRLQSPRHFYGGDWNQNGSCLFNKPLEENELDLWFEPRNNGVNKEARQMNFVIEKVLQGTNIHVVDFTHLSEFRADAHPAIWLGRKDAVAIWGQDCMHWCLPGVPDTWVDILSQLIIDGFGRTSKL >RHN52068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31295186:31297970:1 gene:gene36686 transcript:rna36686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MINTKPFHYVNHSKLSSLIDLCKSINQIKQTHANLITTAQITLPVIANKFLKNVALASLTYAHKLFDQIPQPDLFIYNTMIKSHSMSPHSYLDSIAVFRSLIRDSGYFPNRYSFVFAFGACGNGMCVREGEQVFTHAVKVGLDGNVFVVNALIGMFGKWGRVEDARNVFDSAVDRDFYSWNTMIGAYVGSGNMVLAKELFDEMHERDVVSWSTIIAGYVQVGCFMEALDFFHKMLQSEVKPNEYTMVSALAACSNLVALDQGKWIHVYIRRDNIKMNDRLLASLIDMYAKCGEIDSASSVFHEHKVKRKVWPWNAMIGGFAMHGKPEEAINVFEKMKVEKVSPNKVTFIALLNACSHGYMVKEGKSYFELMASDYGINPEIEHYGCMVDLLSRSGHLKDSEEMILSMPMAPDVAIWGALLNACRIYKDMERGYRIGRIIKEIDPNHIGCNVLLGNIYSTSGRWNEARMVREKNEINSDRKKIPGFSSIELNGVFHEFLVGDRSHPQSREIYSFLDEMISKLKIAGYVPELGEVLLDFDDEEDKETALSVHSEKLAIAFGLMNTAPGTPIRIVKNLRVCGDCHQATKFISKVYDRVIIVRDRMRYHHFKDGICSCKDYW >RHN44960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10294999:10295661:-1 gene:gene39149 transcript:rna39149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MCNKGKDKVNEESVANLKMMVNQNNEKKRKAIMLEESDVETQNSKTPLKDVAEEESTDSSKKISPFLLFGFIVDHRKAIKNAYSCKFCSRKFTSPQALGGHQSSHKLERSLKKMIQGISLNTITPFHMGCGYQCYGFNNMIQHGGSSNLYAGNLYGAFQHISEIDEANEADQSMMSQKVIVSKIEVGKFSEGGAVSDEVDREDKETQEEEASKIDLTLKL >RHN41265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28731065:28738788:-1 gene:gene47569 transcript:rna47569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MNVTRSALKLHLQNSPIRYHQFFFFSRFNNALIRNPTFSSSSSSLFNFNATRFFSVTLPRQTHQTQPFVGSAVSSEDFNGRDTFFAEDNVSWSSIGLSHTLSRALSNIALNTPSLVQASSIPSVLSGKDVVIAAETGSGKTYSYLLPLIDKLRGTEEYSLDVVSDKEISPPARKVLLVLCPNVQLCEQVVRMANSLCGDNDETIVSAAAICGKQGWPIREPDIIVTTPAALLNHVDVDRRRRMEFMHGVKYVVFDEADMLLCGSFQNKVIRLINLLRYDEKLLSRSKTSVSELPVTLESSLSSHDASEGEEEFPTEAMSDEEDDDNEDIANINNEAESVKKTRRDWRRVRKHFERSKQYVFVAATLPVNGKKTAGALLKHMFPDAEWVSGNYLHCHNPRLKQRWIEVTVDTQVKELIRAVNHSLKLEDLDIGSGIHRTMVFANTVEAVEAVVKILGYAGLECLRYHKNCTLDERAQTLVDFYDKGGVLVCTDAAARGVDIPNVSHVIQADFATSAVDFLHRIGRTARAGQIGLVTSMYTESNRELVDAVRRAGELGLPVETAFSRKRSFRNKIKKKASANKVRDSATIEESLTA >RHN45041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11065711:11068701:1 gene:gene39237 transcript:rna39237 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylate kinase MSAIARLRAISPSLKTLNRPFGSAAALQYSYDDDEDEDLNYNAPRGMLDTEGSAPERGIQWVMIGEPGAKRHVFAERLSKLLEVPHISMASLLHQELNPRSSLYQQIAGALDHGKIVPEEIIFALLSKRLEDGYDRGETGFILDGIPRTRMQAEILNHVAHVDLVVNFKCPQEELMKNKLGLRKFTSCQEHIFKTSSWTPTKQSQDEYVQKRAEESKMLEDYYRKQKKLLNFEVAGGQGETWQGLLAALHLQHINALSSSQKLTA >RHN64049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56164702:56176500:1 gene:gene26826 transcript:rna26826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MGGICSRKRNQQVIEDDIRRGVSRRYCRSGSTKWLGARSFKANHSPGGGTCPSLMDLCINKIREDFHKYESFSILPRDISQLIFNELVESHCLTETSLNAFRDCALQDVYLGEYLGVNDGWMDVIASQGPSLLAVDVSGSNVTDHGLRLLKDCLNLQALTLNYCDQFSEHGLKHLSGLSNLTSLSIRKSCAVTPDGMRAFSNLVNLEKLDLERCSDIHGGFVHFKGLKKLESLNIGCCKCVTDSDMKAISGFINLKELQISNSSITDLGISYLRGLQKLSTLNVEGCSITAACFEYISALAALACLNLNRCGLSDDGFEKFSGLTGLKRLSLAFNKITDACLVHLKGLTKLEYLNLDSCQIGDEGLVNLTGLTLLKSLVLSDTEVGNSGIRYISGLNKLEDLNLSFTSVTDNGLKRLLGLTNLKSLNLDARQITDAGLANLTSLSGLITLDLFGARITDSGTTYLRSFKNLQSLEICGGLLTDAGVKNIREIVSLTQLNLSQNCKLTDKTLELISGMTALRSLNVSNSRVTNEGLRYLKPLKNLRTLSLESCKVNAADIKKLHSTDLPNLISFRPE >RHN43007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42526895:42528207:-1 gene:gene49525 transcript:rna49525 gene_biotype:protein_coding transcript_biotype:protein_coding MENKLFLLFGILVILQFQHVTPADEGGQNVATDSPEKPRLTKLLIDTVSLLRKSHESSWEKIKTVIHDLQMQFSPPNLDFRGKVEHEGVKEAVERSFDKSKESVEGTAKSAAEFVGETIHNTKEKVKESTDSDKDSKAEL >RHN63221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49473727:49474424:-1 gene:gene25897 transcript:rna25897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAGNLKIVYALMILVSLILVVTSHSFLPCVTKDDCAYDECISPRKPTCYLETCHCL >RHN51631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21258827:21259732:1 gene:gene36109 transcript:rna36109 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSCKNMCRIFRLYFHCYIACYKLNCSEAPNQYMEKKGYISENDFN >RHN69697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45631494:45634793:1 gene:gene18203 transcript:rna18203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MQPTLSRAVVFLIFSAAAYFPLADAAFPGEVQTFREAPAFRNGRECPPRETSSIIHIAMTLDATYLRGSTAGVFSVLQHASCPENIAFHFVTTTHRRRQELRRIIISTFPYLNFHIYHFDSNLVRGKISYSIRRALDQPLNYARMYLADLVPATAQRIIYFDSDLIVVDDVAKLWSIDLGNHVLGAPEYCHANFTTYFTHRFWSNPSYSASFKGREACYFNTGVMVIDLWKWREGKYTEKLENWMRIQKRSRIYELGSLPPFLLVFAGDVERVEHRWNQHGLGGDNVEGLCRDLHPGPVSLLHWSGKGKPWLRIDSKKPCPLDSLWAPYDLFRHSSPSLFNDS >RHN41742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32558470:32564098:-1 gene:gene48095 transcript:rna48095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, CRAL/TRIO domain-containing protein MSGVEEGVVIVEEENSEDERLRRSRIRRLKKKAINASCRFTHSLKKTRGKSKIDYRFPIEDVRDEQEESAVFQLRHSLLHMPPNHDHYHTLLRFLKARDFNIEKTIQMWEDMVLWRKQFGTDTILKDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAYPSRLMRITTMDRYLKYHVQEFERALQEKFPACSIASKRWISSTTTILDVHGLGMKNFSPTAANLLAAITKIDNSYYPETLHQMYIVNAGPGFRKMLWPAAQKFLDAKTIAKIQVLEPKSLFKLHDIIDSSQLPDFLGGSCKCPGEGGCLRSSKGPWNDPNIMKFVHNVEATFVRQILKVSDEQKIDHFHTHLQKGRSSDMSTAESWLEINDSCPSIRQRKLSNPRLASVDHEEARVSNSNHSCKDNASGTEKTLKRDQFQFTQEQPLQNDDTGNITCTENSKGTSIRNWFGFVKEKVKTTNFLYASSVLIYLLERLDIFFHSLGLEFWRTQNNIVVEHNINNLIEDIKTPSEEDHILPSIQERLRKLEKAFVELSHRPAEIPMEKEKMLMSSLDRIKSVEFDLENTKRVVHDTVMKQVEIAELLENLKASKHQQRRLFC >RHN66852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20246049:20259401:1 gene:gene14961 transcript:rna14961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MASTSGTKGPFEISRQPSKRMIRAPTRTVELPNEENIMDSEIVPSSLAVLVPILRAAIEIEGENPRVAYLCRFHAFEKAHTMDPTSSGRGVRQFKTYLLHKLEKEGELTEKHTKRSDAKELQNYYQYFYEKRIRDGEFTKKPEEMVRNVQIATVLYEVLKTLLTPQTIEEKTKRYAADVENKRGQYEHYNILPLYAVGVKPVIMDLPEIKAAIAALSKVDNLPMPIIHSRPDNDDSTMPMERVKNVNDILDWIASIFGFQKGNVANQREHLILLLANIDIRNRPASNEIREETIEKLMATTFKNYESWCHYVRCKSNIRYSDGQDRQQLELIYIALYLLIWGEASNIRFMPECLCYIFHHMCNDVFGILYSNTYQVSGDAYQIVTRDDEHFLREVITPLYENLMKEAKRSNKGKASHSNWRNYDDLNEYFWSDKCFKLGWPMNLNSDFFRHKDETQTANQGRGRTTTVPGKKKPKTNFVEVRTYLHLYRSFDRMWIFFILALQAMIIISWSNLGLVGVLTDEDVFKNVSSIFITYAILNFFQVTIDIILIWNALRNMKFTQLLRYFLKFVVAAIWVVVLPVCFSSSLQNPTGLIQFVTNWAGDWGPQSIYYWAVAIYMIPNIVAALLFFLPPIRRTLERSNMRIVTLLMWWAQPKLYVGRGMHESVFSLVKYTLFWVMLLISKLAFSYYVEISPLIEPTKLIMAMHIDNYQWHEVFPENDMHNLSVVISIWAPIILVYFMDTQIWYAIYATLFGGIIGAFSHLGEIRTLGMLRSRFQSVPKAFSESFWTGRNRKNIQEESDDAYERDNIAYFSQVWNEFINSMREEDLISNRDRDLLLVPYSSIDVSVIQWPPFLLASKIPIAVDMAKDYKKDDDAELFKKIKSDGYMYSAVVECYETLKDIILSLLLSAEDRHFIELICAKVEECIEDEVFVKEFKMSGLPSLSEKLEKFLTLLRSEDSKPESQIVNVLQDIVEIIIQDVMVDGHVILQTPQHNVDKQQRFVNIDTSFTQKRSVMEKVIRLHLLLTVKESAINVPQNIEARRRITFFANSLFMNMPKAPKVRDMLSFSVLTPYYKENVQYSNDELKKENEDGISILFYLTKIYPDEWANFDERIKSENFEEDREEYVRQWASYRGQTLSRTVRGMMYYWQALLLQYLIENAGDSGISEGPRSFDYNERDKRLEQAKALADLKFTYVVSCQLYGSQKKSKNTFDRSCYNNILNLMVTHSALRVAYIDETEDTKGGKKVYYSVLVKGGEKYDQEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYYEEAFKMRNVLEEFHAHKGQRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRIFHITRGGISKASKTINLSEDIFAGYNSTLRQGYITHHEYIQVGKGRDVGLNQISLFEAKVANGNGEQTLCRDVYRLGRRFDFFRMLSFYFTTVGFYFSSMITVLTVYVFLYGRLYMVLSGVEREIISSSNIHQSKALEQALASQSVVQLGLLLVLPMVMEIGLEKGFRTALGDFIIMQLQLASVFFTFQLGTKAHYYGRTLLHGGSKYRPTGRGFVVFHAKFADNYRMYSRSHFVKGLEILILLIIYEVYGESYRSSTLYFFITISMWFLAISWLFAPFLFNPSGFDWQKTVDDWSDWKRWMGNRGGIGIPSDKSWESWWDEENEHLKYSNVRGKILEIVLACRFFIYQYGIVYHLNIARRSKNILVFALSWVVLVIVLIVLKMVSMGRRRFGTDFQLMFRILKALLFLGFLSVMAVLFVVCALTVSDLFASVLAFMPSGWAIILIAQTCRGLLKWAKLWASVRELSRAYEYVMGLIIFMPTAVLSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKKDTYKTE >RHN53422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1568624:1571625:-1 gene:gene28326 transcript:rna28326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein MITTTTLINLKNQVLLVLYLIIVSPLHLLFHMLLRSKCNCFFPSKPNPSDHHHLLPNTFSLNTPLPQWPQGQDFASGIVNLGEIEVCKITKFETVWNSNVMVEPRKAITFYKPVGIPDGFHILGHYCQPSYKPLWGFVLAAKQVADSSYNNICNQNKLPALRNPLDFALVWCTNSGRKKIAMPVDSAAYFWLPQPPEGYKALGYLVTNNREKPNLDEISCVRVDLTDKCEPYRTLLDAGNIIPEFPFWAWSLRPCDRGMLGKGVSVGTFYCSGCCWNNGEELPVVCLKNLNPTPSAMPWIDQIHALIEHYGPTVFFHPEEIYLPSSVDWFFNNGALLYRKGVSEGETIDEGGSNLPGGGTNDGEFWIDLPNDKSRREFIKHGDLESAKLYVHVKPAFGGTFTDIVMWIFCPFNGPSTLKFGMKSMAFSKVGEHIGDWEHFTLRISNFTGELWSIYYSQHSGGKWVDAYELEYIDGNKAIVYASKNGHASYPHPGTYIQGSSKLGIGIRNDARRSNLRVDSSVHYEIVAAAYLGDVVKEPQWLQYMRQWGPKIVYDSKTELDKILNSLPLRLRSSFGNLFRKLPVELYGEEGPTGPKEKNNWIGDERW >RHN62040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40247502:40247966:-1 gene:gene24569 transcript:rna24569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFQFCTPCFHFKIYSEPVQDKQALLAFISLTPHSNRVHWNAFDSVFSLYSNGLTGEIPADFSNLTFLRCIYLQKNKFSDEFNSLDSFDSVGSFLKQLHWFNPFLYKQFNSSQWSVNNSKTIRCISTSITSLFSPPPATIPNKTCRGGFLYQFLF >RHN71794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2659813:2661927:1 gene:gene7429 transcript:rna7429 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVLAPSLRITNPRIQSLDKGFYSFFPFPRIKIESSKIDDSNQLMV >RHN75987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46786954:46793296:1 gene:gene12255 transcript:rna12255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAEKILYMVVVDESVKLKENEKESFRYTRPVLQSTLQLMGCKARHAFKISRRVFELTRSGSSTDAFKSEGIVLSGFDASKGNVKKDCQEASACSRKTDVGNHLLSGKDNGSKSVPFELYKRRTTVFVRRETFLDIVCDVLAEYKYVGPNQRADLMLACRIRERKESVTVLLCGTSGCGKSTLSALLGSRLGITTVVSTDSIRHMMRGFANEKENPLLWASTYHAGECLDPVAVAEAKAKRRAKKMAGVSQSLPKDEVTEGHTSSKFDIHTLEAGCGATERLNSKQMAVEGFKAQSEMVIDSLDRLITSWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHMERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRADKHLVPKINNTNVDKSVAAIHATVFSCLRRREVGEKLYDPSRNIVTVIDEEYRNQCAADSLSSKGMFQLIQRQGSSRHLMALVNTDGSVAKAWPVNSLDCNGKPVWGNGQENEIGSPMYGPLRIGKAEPVNLQFGFYGISAWPCDGGTSRAGSVDGSKADETDTGSRYVSSCCSSPRMSNGPSKELKEDLSVHGSDEEEIDDQLEAGSDDDFSDDGDKHIHEEVGSVDEESTKSDEEYDDLAMQDVPESGYWSDDDEEFRSKVAIGQEDLGAKMHGIKYRQNLDLFLRTRSEPVPESLCSYSSLLMEKAERRLPPSGKAKLRKRSLSISALGKGSSTVQDPIISGAP >RHN53611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2841519:2842739:-1 gene:gene28536 transcript:rna28536 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNDGVDDDIEDSGNHFHSKMDLEYNSQKLFPQQNGFGIPVR >RHN40048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12751394:12751969:-1 gene:gene46140 transcript:rna46140 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMSSSVKPSANVQTTVHTTKKSHTLIEPTANVTTTVHTSSTSVRPTATVNTVVHTSKSSHTVVKPTANVTTVINPKPTVIKKPSVKPGMHRKPPRKPTVRTLDVVRAIVEPIVKVKAPIRKSRRIVWKGPAIKNGPGKDLENPIEVVDEEVEEAGGSEKHVAEATPRKLTDAGGSCLALLRSVENVKYI >RHN73573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17757231:17757506:1 gene:gene9398 transcript:rna9398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MALLFRLQSRVVRAIEGSGSSPFLLDVTSPSLGLETAGGVMIVLIPRDTTIPTKKEQIRSTYIDNQPGVFIQVFEGEMKSIRRRLRPRMHW >RHN78878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19503592:19510494:1 gene:gene2544 transcript:rna2544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MEEPENVSETTVTHDVKVGGETLDGSVVCGGHNEVICGDSVGVGGGTEYLVEESKVVEFEDAGSENAAAVELGSVVLGGEVRDEAVVGSESCTVVETTIDDFNMVDAAKAADHRVANTSNDDALTGSSVREENVQCFGLEKCNAKEQLIVDNDVSGVSSLDAQQSDVHEGMQIDGEDQQGTERNEIVNHTAEVKGSSASIETEENLDANAIVEEDTQVTDQGSHAPLRDGKEKVNEESNGGQEIEKVNEVGKRKLRDRKAGKHALVKPGSSEIVHQARYLLPKEKEEGEFSLSDMVWGKVRSHPWWPGQIFDPSDASERAKKHYKKDCYFVAYFGDKTFAWNEASKLKHFRAHFSTIEEHRNRSESFQSAINCALDEVSRRVEYGLACSCIPKDTYDMIKSQTVENTGVQQEISITHGVDESLNVDSFSPLNLIEYVKTLSEFPTAGFDRLELVTAKAQLLAYNRFKGFSCLPEIQHCGGVDPDNSFFVDNEQDLCEDTENATPVVKKGSTRQKRKYKFKDTLHPTKKEKRMSDLMNGTPDSPDSDGWTPDNLVSPEHSKKRSKSAIDPYADDSEMQDGRKTISVAKVSNTTKSSFKIGDCIRRAASQLTASSSIRKCSSDRSPKADGDFDVFPDNESDVSLPTDEDDQDSTEYSSLDDLLSSLQLVAQEPLGEYTFLNGSIVSFFSDFRNSVVVAADWKEILRTDNFGIKRKKPPIVGTGSPETFEFEDMSDTYWTDRVIDNGTEEKPAQQKPVQQKPVQQPSRKNQKKDEQPVSAKSPKPAVKSPKPATKSPKPAQVNRKPYSKKNNSESNPSEAPEKPPGYIDDNAPAELVMNFAELNSVPLEANLNKMFKRFGPLKESETEVDRVSSRARVVFKKCVDAEVAFNSAKKFNIFGSVLVNYQLNYTPSALFKASSVDATQDQEMVFDLSNFEVNMV >RHN74204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30139311:30145622:1 gene:gene10222 transcript:rna10222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphopyruvate hydratase MALNCSTKTIFHTPSSSSFTPTRISPSLKSLPFLSTQKPRQSLAVRASATAAAPAEVSVSRECTVKSVKARQIVDSRGNPTVEVDLVTDQLYRSGVPSGASTGIYEALELRDGDKSVYGGKGVLNAVRNINEVLAPKLVGVDVRNQADVDAIMLEIDGTPNKSKLGANAILGVSLSVCRAGAGAKGVPLYKHIQEISGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGATSFAEALRMGSEVYHVLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFYTKDRKYDLNFKKQPNDGTHVHSAESLGQLYQDFVKEFPIVSIEDPFDQDDWSSWASLQSSVDIQIVGDDLLVTNPTRISEAIQKKACNGLLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGSVRYAGEAFRSP >RHN48157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45468857:45480937:1 gene:gene42844 transcript:rna42844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MRTITGKSRQFAFIGFRTDREAQEAIRHLNNSYFDTQKITCEVAQKLGDANLPRPWSQHSTKKVNKVITPHVNNHARAKGHEDNSMEIDDPKLQDFLQVMQPRAMSKLWANDTSVVSNDGNNQATLNKETERTSVANHPILSDSQVDELPKNPKSDKKRELKHDGVISDMDYFKSKLTTEWSDSESSDDDNGDDNSDSASSDDDDKDKPSHTTEHEENRGNNPVERTPRSGAQELDLEDQENTVGKDVANDKSQVNATEEEGQLSNPEHKKGVSEPCRLFVLNLPYSTTEEELEEHFSQFGSVSQVHLLVHEVTKRSKGIAFIHFSVPEFAARALEESNNSIFQGRLLRVIPAKSNEENKDQGSKTHKQRREEERKAAEAKGDTRAWNSLFMRQDTITENVTRKHGVSKSDLLGPEADDVAANVALGETDVILETKNAFKKAGVNVESLEELAEGKVYGVKRSNHVLLAKNLPYGATENELAEMFGKFGSLDKIILPSTRTLALVIFLEPAEAKAAFGGLAYKKYRAAPLYLEWAPSDVLSPKSQSKNDEVNNGISEKDVKRVILEKDVEKISDVDIDPDRIEARSLFVKNLNFKTTDEGLREHFSKHMKEGRILSVKVKKHLKKGKNVSMGFGFVEFDSAETATSVCNDLQGAVLDSYAHILQPCHVKNVGKVQKKVEKDKSSTKLLVRNVAFEATKKDLRQLFSPFGQIKKLKLPMKFGNHRGFAFVEYVTQQEAQNALTALSSTHLYGRHLVIERAKEGESLKELRARTAAQFNEHSGFQDTNLSKKRKAISMLDEGNMKFKRFD >RHN49350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54163936:54168669:1 gene:gene44170 transcript:rna44170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] MVKAVAVLGNSNDVSGTISFTQEGNGPTTVTGNLSGLKPGLHGFHIHALGDTTNGCLSTGPHFNPNGKEHGAPEDETRHAGDLGNVTVGDDGTASFTITDNQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGIIGLQG >RHN44384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4102579:4102974:1 gene:gene38501 transcript:rna38501 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIYADRPVIDHAYVSEYMHKLKDRFLNAPHVFPSFINIVSSYLHGEKSFDVVIREVGLLFEGNGDDLIDELNNWFSS >RHN68268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34120487:34122296:1 gene:gene16601 transcript:rna16601 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFCLGDEREEVVLVWICRNIMKMKRIIVIGGTRTWGEVFVFIGVVLIWYGLV >RHN64462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59277927:59284316:1 gene:gene27281 transcript:rna27281 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MATDISLEGEIVSVQPVVDHDSMQDSDKSKDKDETTNTVPLYKLFSFADPSDRLLMLMGTVGAIGNGLSIPLMILIFGTMINAFGDSTNSKVVDEVSEVSLKFVYLAAGTFVASFLQLTCWMITGERQSARIRGLYLKTILRQDVSFFDKETNTGEVVGRMSGDTFLIKDAMGEKVGQFIQFVATFIGAFVISFTKGWLLTVVMLSSIPLVVLSGAMMSLVIAKASSTGQAAYSKSASVVEQTIGSIRTVASFTGEKQAITKYNQSLIKVYNTSVQEALASGVGFAALFFVFISSYGLAVWYGGKLIIEKGYTGGDVMTVIFAVLTGSMCLGQTSPSLSAFAAGQAAAFKMFETIKRMPEIDAYDTSGRKLDDIHGDIELKNVCFSYPTRPDELIFNGFSLSLPSGTTAALVGQSGSGKSTVVSLIERFYDPTDGEVLIDGINLKEFQLKWIRQKIGLVSQEPVLFTCSIKENIAYGKDCATDEEIRVAAELGNAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQEALERIMINRTTIVVAHRLSTIRNVETIAVIHHGKIVERGSHAELTKYPNGAYSQLIRLQEMKGSEQNDANDKNKSNSIVHSGRQSSQRSFSLRSISQGSSGNSGRHSFSASYVAPATDGFLETADGGPQASPSTVSSPPEVPLYRLAYYNKPETAVILMGTIAAVLQGAIMPIFGLLISKMINIFYKPAHELRHDSKVWAIVFVAVAVATLLIIPCRFYFFGVAGGKLIQRIRNMCFEKVVHMEVSWFDEAEHSSGALGARLSTDAASVRALVGDALGLLVQNIATAIAGLVISFQASWQLAFIVLALAPLLGLNGYVQVKVLKGFSADAKKLYEEASQVANDAVGSIRTVASFCAEKKVMELYKQKCEGPIKKGVRRGIISGFGFGLSFFMLYAVYACIFYAGARLVEDGKTTFSDVFLVFFALSMAAMGVSQSGSLLPDSTNAKSATASIFAILDQKSQIDPGDESGMTLEEVKGEIEFNHVSFKYPTRPDVQIFVDLCLNIHSGKTVALVGESGSGKSTVISLLQRFYDPDSGHITLDGIEIQRMQVKWLRQQMGLVSQEPVLFNDTVRANIAYGKGGDATEAEIVAAAELANAHQFIGSLQKGYDTIVGERGIQLSGGQKQRVAIARAIVKNPKILLLDEATSALDAESEKVVQDALDRVMVERTTIIVAHRLSTIKGADLIAVVKNGVIAEKGKHEALLHKGGDYASLVALHKSASTS >RHN61185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33728229:33729448:-1 gene:gene23623 transcript:rna23623 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGYGQISSPETLPMLSFIVTFLLLAKNASLGVKLVIISSKGVCSVSTSSLGC >RHN52751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38391394:38392089:-1 gene:gene37455 transcript:rna37455 gene_biotype:protein_coding transcript_biotype:protein_coding MKYADLLPILLKTNLVQTPSPPHVPNTLPPGYRPDRNRAFHQGARDYDTKQYYPLKEKVQKLIEDPDIKVLLQQQHLASHSVAAVTPITNVQDPGYQPQFQPSQQQYLAPLSVSAVMPIMNAVQDLGYQSQSQQYQQQPRLQAPRIKFDPIPIKYAKLLPYLLERNLVQTRPPPPIPKILPARWRPDLFCVFHQGAQGHDVERCFSLKIAVQKLIEDDLIPFEEFGSECAS >RHN47212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37947804:37951466:1 gene:gene41778 transcript:rna41778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MALSTFIMILFIILFTSWPQAVAQDSEAKSALLKWKNSFDNPSQALLPTWKNTTNPCRWQGIHCDKSNSITTINLESLGLKGTLHSLTFSSFTNLTTLNIYDNNFYGTIPPQIGNLSKINSLNFSRNPIDGSIPQEMFTLKSLQNIDFLYCKLSGAIPNSIGNLTNLLYLDLGGNNFVGTPIPPVIGKLNKLWFLSIQKCNLIGSIPKEIGFLTNLTYIDLSNNLLSGVISETIGNMSKLNLLILCNNTKVSGPIPHSLWNMSSLNTILLYNMSLSGSIPESVENLINVNELALDRNRLSGTIPSTIGNLKNLQYLILGFNHFSGSIPASIGNLINLVILSLQENNLTGTIPATIGNLKLLSVFELTKNKLHGRIPNELNNNTNWYSFLVSENDFVGHLPSQICSGGKLTFLNADNNRFTGPIPTSLKNCSSIRRIRIEANQIEGDIAQVFGVYPNLQYFEASDNKFHGQISPNWGKCLNIENFKISNNNISGAIPLELTRLTKLGRLHLSSNQLTGKLPKELGRMASLMELKISNNHFSENIPTEIGSLKTLNELDLGGNELSGTIPKEVAELPRLRMLNLSRNKIEGSIPSLFGSALESLDLSGNLLNGKIPTALEDLVQLSMLNLSHNMLSGTIPQNFERNLVFVNISDNQLEGPLPKIPAFLLAPFESLKNNKGLCGNITGLVPCPTNNSRKRKNVIRSVFIALGALILVLCGVGISIYIFCRRKPRKEKSQTEEKAQRGMLFSNWSHDGKMTFESIIQATENFDDKYLIGVGSQGNVYKAELSSGSVGAIYAVKKLHLVTDDEMSKSFTSEIETLRGIKHRNIINLQGYCQHSKFSFLVYKFMEGGSLDQIINNEKQAIAFDWEKRVNVVKGVANALSYLHHDCSPPIVHRDISSKNVLINLDYEAHVSDFGIAKFLKPDETNRTHFAGTLGYAAPELAQTMKVNEKCDVYSFGVLALEIIKGEHPGDLISLYLSPSTRTLANDTLLANVLDQRPQEVMKPIDEEVILIAKLAFSCINPEPRSRPTMDQVCKMLGAGKSPLEDQLHTIKLGQLLH >RHN82654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55472690:55473986:-1 gene:gene6831 transcript:rna6831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GRAM domain, PH domain-containing protein MGIGVASERLNSSSQRCNDSLLLVSTKVCFVINIQSSNSSFSKSSNDGEKLLKASQCYLSTTSGLIAGLLFISTHKIAFCSDKSIKISSSNGELIRVHYKVSIPLEKIQQVNQSQNMMKPSEKYIEIVTVDGFDFWFMGFFNYKKALRYLQQAISQAQREKVKMLNEIGNIFASSIML >RHN54300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8441256:8444643:-1 gene:gene29311 transcript:rna29311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative V-ATPase proteolipid subunit MAGFSGDETAPFFGFLGAAAALIFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGVVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >RHN57782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41696517:41700625:-1 gene:gene33368 transcript:rna33368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MCLYKFISINTCLTLHLHHSYPPNLKMAITITSLTMTRYFSGTIEAITKCKEVLSLKALNAIGCSVFEQFMNVLEKVLFATFTCILALGGSIIGTITGAIKGQTTEVGFLDGAGKGAIAGAIAAIEFMSFAYVAVGEPFSKVALLRSLLNGKVFTEWICPTVAEAYLFYINSLETGYEGVLSDMYDNMGVKGMPHSCIMKLPFQQFCSNKMMKLYNESCCSICLQDFENEELVRILPKCSHIFHLECIDKWLIQQGSCPICRTYVVDHINS >RHN75261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40736133:40737326:-1 gene:gene11434 transcript:rna11434 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLTANSTREKENDWRMSLHILTLHLHLCYALNLGTRRFDEKTNRRKWQRINIDVQKNVLRSIRAFLDSVFVDAHAARHTFIRYIYD >RHN62125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40959487:40964522:1 gene:gene24660 transcript:rna24660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2'-phosphotransferase MDNTSYTRATPSSGNGRGMAFDTRNDRERTRGPSGSGNNKIDALGRLLTRILRHMASDLKLNMRSDGYVNVNDLLYLNLKTFANIPLRSHTIDDIREAVRKYNKQRFSLIEENGELLIRANHGHTTTAVETERLLKPILSVEEFPVCVHGTYKRNLDSILESGLKRMKRLHVHFSHGLPTDGEVISGIRRDVNVLIFLDVRKALEEGMKLYISENKVILTEGFDGVVPSKYFQKIESWPGRQPIPF >RHN65305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1063223:1064440:1 gene:gene13130 transcript:rna13130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVLSSEGGDNERNNFASFPSLTKEIISTKKKRVTKTLTSPSLHALPLPLPFLPFELIEEILSRLPVKLLLQLRCACKSWSSLISNPKFAKKHLSMSTRHVLHCISSSGGDILKSYPLDSIYTNATTTAIPQLEYSFHRCSNYFIGSCNGILCLAAEGYHTNLVTFRLWNPFIRKFKELPPLGDQQTSAYIIKMYGFGYDPVSDNYKVVTVLRVFDYSSHILVKNDEVKVYTLGINSWKSISVFPYSVFPVQRLSGKCVSGTINWLASKDSKQSKYFILSLDLMNESYQEVSLPNYGKVDACNFHLSVLRDCLIMFSGDVVWVMKEYGNKESWTKLFTISYNRDPHTIPYSCMKAIYVFEDDQVLLNIGGCRGKYIFYNCRNNTSKYAEFEPNPEVCVESLISLFS >RHN49763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:928664:929287:1 gene:gene33971 transcript:rna33971 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSYYSDPIQREPLQTHFPRHHHELKRTKPSSRITATIAQKEVLQNFFQNVLKHLGFVSSLVTATYIKPESSSSWFFFGLLSLTVHCYFVYLLISDFVNQEHRLNNSIKKRMYLTLSCCSLATSIQFFVISLDFGVLVIIAWVGSWTFLIPHTLLIELLVYVRNVFINIADTSTWLLYTIINAFLLVRGVIIPVRHNHGDSVAIIV >RHN63415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51063090:51068408:-1 gene:gene26112 transcript:rna26112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MDGRRHSVDVPISKTLVALRRVRSLRDPSTNGISKLSPLIDNEHWENGISLRFVNATYVCESDDNGCLRSKDLDFKGRREHDIDSTTNFEMGCHMMNYCDKQQQDDELVYSNPKQQGDFGNKSPKESCCSNHEAVGLDLASIIPSSNHLKDKESCYLSSQLSSRLGRIDYKKSTRKLLQKNQAKPFELMQDNASSVDSPCLSASTQTPQDVDVLVDYNGCGISCCWSKSPRFRDANYYSEMEDLPLMLQHVNETDLHGQKSMRHIIGSEISPTLETPRSLSMKFRPKSFNDLVGQNMVGKSLSGAISRGRIASFYLFHGPRGTGKTSASRIFAAALNCISLEERRPCGLCSECVLFFSGRSKDVKEVDSLRINRPDKAKSLVKNACTTAPFSSRYKVFIIDECQLLNKETWATLLNSLGVFSQHVVFVMITPDLEKLPRSAISRAQRYHFTKIKDADIASRLKRICVEEGLESEQDALDFIAAKSCGSLRDAEMMLDQLSLLGKKITISLVYELTGVISDDELLDLLDLSLSSDTSNTVIRARELLRSRIDPLQLISQLANLIMDILAGKCELTGSEIRRRFSDRHILAESSMQKLSHALRILSETEKQLRISKNQTTWFTAALLQLSSLEYSSVDANDSKLCIRAASNRDGDLCSTSSTAESLKHLATGKCAEKSYKIEVQDDDKATLDCIWYKATEICESKRLKAFLRKQGKLSSVCINQGLAAVELEFHHRVYVARAEKSWKQIASSLQFILGCNIELRINHVPCTTDSKYARLKRSSFNFFNCSRRILRKSLSSDEQGCESDYADCTSQKPMMMDRTLSRSSDCGSRVPPLESYHGMELVTTLRSCEGNLLSSGKIILNRIDQETPRISFSRDDSVKEEECNYEDLASSTADLENTHSNCFPRTLWLHKKLSSSYASKQKEFCFIHPQVQMY >RHN52036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30670387:30673096:-1 gene:gene36650 transcript:rna36650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MDFRKRSRKSVQVEEKEREFKRRASSGDEEMLKDQREKEELEQRLRERDAARTRKLAEPKAIQRKSNAAEENKDIRALREVSRQKYLKKREEQKLQELRDDIEDEHYLFEGVKRSDVEYRDFMHKKDIYNVLAKKELENGGHEYRIPEAYDDQQAGVNQEKRFSVARQRYNDPNAEKEEAWEEHQIRKATLKFGSKDKRQVCDDYQFVFEDQIDLIKASVMDGVKFDYEKEVALEKSRAKRSALDAIREERKKLPVYAVRDKFLQAVHDHQVLVIVGETGSGKTTQIPQYLHEAGYTKHGKMIACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSKKTIVKYMTDGMLLREFLAQPELDSYSVVMVDEAHERTLSTDILFGLLKDVARARPDLKLLISSATLDAEKFINFFDHAQKFEIPGRPYPVEIHFTEKAEANYFDAAIVTTLQIHTTQPPGDILLFLTGQEEIETAEEHLKLRVGALGTKIAELIICPIYANLPTEIQAKIFEPTPKGARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGMESLLVTPISKASAMQRAGRSGRTGPV >RHN38476.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000010.1:84807:86098:1 gene:gene50668 transcript:rna50668 gene_biotype:protein_coding transcript_biotype:protein_coding MWNIVKREILAQRKYSNLHNPITTESYQPTKSQLKQDNSIDQFFERQKIIDKGVYEEFAAILKREMRYASDSTFMFGLPSNSTNDDK >RHN46402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31370504:31371268:1 gene:gene40884 transcript:rna40884 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKSKRVCFSPNVNDIPTFFLKKREIGSGNFKLTKEQTRLSSPLKFLVQLGTKVASSIRSVSMKRRSSRKVSSSSTLVRSRSVSDLTDSHRAETVEDCIEFLHSSSSRERPSFS >RHN57915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42540580:42541247:-1 gene:gene33506 transcript:rna33506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MSSLSSLNPDCKSDLHRNVADFHPNVWGDYFLQYASESMELDQNMVARIETLKTDVKNMLVSKTEMPLAKIHLIDSICRLGISYHFEHEIEEVLLHIFTIIMLKMEK >RHN65143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64490235:64492237:1 gene:gene28047 transcript:rna28047 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 4-epimerase GEPI42 MRPWREGIRNWKEMVSGQYQSSERKTIMVTGGAGFIGSHTVVQLLKQGYTVSIIDNLYNSVMEAVHRVRHLVGPQLSNNLRFHHVDLRNKQELEMIFSKTKFDAVIHFGGLKAVGESVAEPLKYFDHNLVGSINLFQVMAKFQCKKMVLSSSAAVYGQPEEIPCVEDFHLQAMNPYGRTKLFAEEIARDIQKAEPEWRIILLRYFNPVGAHESGEIGEDPRGIPNNLMPYLTQVAVGRLPELNVYGHDYPTKDGTAIRDFIHVMDLADGHIAALRKLFATDKIGCGAYNLGTGRGTSVLQMVAAFEEASGKKIPIKMCPRRPGDATAIYASTRKAENELGWKAKYGIEEMCRDQWNWASNNPWGYQLEPGFGNH >RHN59554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11918863:11920747:-1 gene:gene21598 transcript:rna21598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MDSSSNGSEESQLYHAQIHLYKHIYSFINSMALKSAVELGIADAIHNHGKPMTLTELASSLKLHPSKVSVLYRLLRLLTHNGFFAKTTLMNGKEGEEETTYSLTPPSMLLISGKSTCLSPFVSGVLHPCRLNVWHSSKKWLTEDKELSLFESARGETFWDYLNKDTESDELSMFQEAMAADSQIFNLALKECNHVFEGLESIVDVGGGRGGFTKLIHEAFPDLKCTVFDQPQVVANLSGDENLKFVGGDMFESIPSADAVLLKWILHDWNDELSLKILENCKKAISGKGKKGKVIIIDISIDETSDNHETNELKLDFDFMMMTLLNGKEREKKEWEKLILDAGFSSYKITPICGFKSIIEVYP >RHN43505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46369947:46372863:-1 gene:gene50097 transcript:rna50097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyltransferase A, auxiliary subunit MPGLVSPGGPPPRITLPETPTQRSESSKTPTQSPLPNKKPPSPSPSSRSKKKTSETPTTNSLLSEASLDNPDLGPFLLKVARDTIASGDGPAKALDYAIRASKSFERCAVEGEPSLDLAMSLHVLAAIYCSLNRFEEAVPVLERAIHVPDVERGADHALAAFSGYMQLGDTFSMLGQVDKSILMYDQGLQIQIQTLGETDPRVGETCRYLAEANVQAMQFDKAEELCNKTLEIHRAHSEPASLEEAADRRLMALICEAKGDYESALEHLVLASMAMIANGQDNEVASIDVSIGNIYMSLCRFDEAIFSYQKALTVFKSSKGENHPSVASVFVRLADLYHRTGKLRESKSYCENALRIYSKPVPGTTAEEIAGGLTEVSAIFESVDDPEEALKLLQKAMKLLEDKPGQQSTVAGIEARMGVMYYMIGKYDEARSAFESAVLKLRTSGERKSAFFGVVLNQMGLACVQLFKIDEAAELFEEARGILEQECGPCHQDTLGVYSNLAATYDAMGRVGDAIEILEYVLKLREEKLGIANPDFEDEKRRLAELLKEAGKTRDRKAKSLENLIDPNSKRTKKESNKRWPGLGFRI >RHN50773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10384858:10396402:1 gene:gene35101 transcript:rna35101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative double-stranded RNA-binding domain-containing protein MYKTKLQELCQKNSYPLPEYQTTREGPPHNSRFSTTVTVNSISFSSPEPARTIKLSQDSAAMVAFHHLELMKNPTPGSPFLQDFGSFPQPEPCLSAVSVSSLSQGSPVLSPEVGSTLPTNQVFQPNSEPVCQTIQISSPVAAVTDVVKEQELKSMMHLYKSQLQNYAQKRNLKLPEYAPEWEGPPHNMRFRCKVTIDGQTFESPRFYSTLRDAEYAAAEVAFKSLQPAGVQEEGILMYKNLLQELIQKGGLLQLPVYSTTKSGEAHRPTFTSQVEIEGKVYTGEESKTKKQAEMSAAKVAYTTLKELKAHGGQSPELFPNGSKSNVVIGLQHHSSGESSDRSKANVILGLQHHSAGGSSDHSRANVITGMQHHSAGGFPANPGPVIQNPHNTGLQHHSAGGFPANPGPVIQNPHNSGLQHHSAGGLPANPGPVIQNPHNKVEEKLSSSGNKNDCSKVSFPSNPRAIPTSVSDSNNVDIGTNNVSSTSVGTPPGRMRVRVYSRKTNVEIAPGGTLMPCSDDKYVAYSYSE >RHN55710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21449688:21451403:-1 gene:gene30936 transcript:rna30936 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHCDLSYCLCNIFYGLLCYGKKVETTKIEDEIACYLRF >RHN42490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38791970:38793049:1 gene:gene48937 transcript:rna48937 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGLLTKNDATYVCIWELSKTSLLLKVVDRMKCLEFHKKLTCFGNKGWLILLHLQSHNMYHMFTYNIATREWLKVFVPFQPCLTAMS >RHN69856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46832804:46838567:1 gene:gene18380 transcript:rna18380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosinetriphosphatase MELASKLHHLDLTGAFILDSRRLPRTLSHTHLIAKPNTFNTKTNPNRFFSSKKLNHTSRLSAVAAVDETSVEEDDIESLFTDTSADERRGNNKQSNTGASSVSSGVKLENIRKTYKGVTVLKEVNWEVKKGEKVGLVGVNGAGKTTQMRIIAGLEEPDSGNVIKAKPNMKIAFLSQEFEVSQSRTVREEFMSAFKEEMEVAGKLEKVQKALEGSVNDLELMGRLLDEFDLLQRRAQAVNLDIVDSKISKLMPELGFGVEDSDRLVASFSGGWQMRMCLGKILLQEPDLLLLDEPTNHLDLDTIEWLEDYLNRQDVPMVIISHDRAFLDQLCTKIVETDMGVSRTFEGNYSQYILSKATWIETQYAAWEKQQKEIEQTRELISRLGAGASSGRASTAEKKLERLLGEELVEKPFERKQMKIRFPVRGSSGRSVVTVRNLDFGFEDKKLFNKANLTIERGEKIAILGPNGCGKSTLLKLIMGLEKPISGEVILGEHNILPNYFEQNQAEALDLEKTVLETVEEAAEDWRSDDIKGLLGRCNFKSDMLDRKVSLLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAITEYEGTVITVSHDRYFIKQIVNRVIEVKDGTVQDYAGDYNYYLEKNLDAREKELERQAELDDKAPKLKAKSKMSKAEKEARKKQKMQAFQQAKQKSKGAKNSKRWN >RHN51559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19859743:19861108:1 gene:gene36019 transcript:rna36019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MTDVLLGTVIQILGSFVREELSTFLGVGELTQKLCGNLTAIRAVLQDAEEKQITSRVVKDWLQKLTDVAYVLDDILDDCTIKSKAHGDNKWITRFHPKMILARRDIGKRMKEVAKKIDVIAEERIKFGLQAVVMEDRQRGDDKWRQTFSVVTEPKVYGRDRDREQVVEFLLSHAVDSEELSVYSIVGVGGQGKTTLAQVVFNEERVDTHFNLKIWVCVSEDFNMMKVLQSIIESTDGKNPDLSSLESMQKKVKNILQNKRYLLVLDDVWNEDQEKWNQFKYFLQRGNGTKGASVLVTTRLDIVASIMGTYPAHHLLGLSDDAIWYLFKQKAFETNREERAELVAIGKELVRKCVGSPLAAKVLGSLFAL >RHN39862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11166351:11173181:1 gene:gene45940 transcript:rna45940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferric-chelate reductase (NADH) MAQDKVKRSPTQIKYGLIQSTIRLLMVLLFLGLIFIFIMMPTYTFKHTWNPQFQAKTNSTYFGAQGTRILIYTFPVLLIATLGCVFLHIAKKSNESNMEIGNGKKHGTTIWNRPMLVKGPLGIVSITEIAFLLMFIALLIWSFSIYLHNDFATIISKSEAENGEKVWQEKIESVALRLGLVGNICLALLFFPVTRGSTVLPIFGLTSEGCIKYHIWLGHVLMTLFTTHGVCYIIYWASTHQISQMLKWDKVGVSNVAGEISLLAGLVLWVATIPYIRRKYFELFFYTHYLYIIFIIFFIFHVGISFACIMLPGFYLFLVDRYLRFLQSRREVRLVSSRVLPCETVELNFSKGHGLTYNPTSVMFINVPSISKLQWHPFTITSNSKLESEKLSVVIKSEGTWTKKLYQLLSNPSPIDRLGISVEGPYGPASTNYLRHDTLVMVSGGSGITPFISIIRELIYLSTTFKCKTPNIVLISSFKNTSCLSMLDLILPISGTPSDISNIQLQIEAYITRDREFKSDSSIHPQTLWFKPNPTDAPIHSMLGPNTWLWLGAIISSSFIIFLIIIGIITRYYIFPIDHNTNKIFSYPLRVFLNVLVICVSVVVVASVAVIWNKKQNAKEAKQIQNLEGSSPTVSPSSMIYNVDRELESLPYQSLVQATNVHYGTRPDLKRLLFEMKGSSVGVLVSGPKQMRQEVASICSSGLVENLHFESISFTW >RHN48897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50988839:50990977:1 gene:gene43663 transcript:rna43663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casparian strip membrane protein MGNQSKTSVDGVHSDVKVLEMKTKRSNVLDVLLRVLGFLLTLTATIIVGFGKETKVISYASLQFKVTAKWEYMSAIVFFLVTNAIGCSYAAISMVVSTIARTSGNKTALLMITLLDLVIMALLFSANGAAGAVGVLGQKGNSHVQWMKVCNVFDAYCRHMTVALVLSIIGSTVFLLLVAHSLFKLHYYRSN >RHN71385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58636866:58638240:1 gene:gene20063 transcript:rna20063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MSKEEGHPPHPHGKDYVDPPPAPLLDFAEIKLWSFYRALIAEFIATLLFLYVTVATVIGHKKQTAPCDGVGLLGIAWSFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLIRAVLYMVAQCLGAICGVGLVKAFMKHPYNHLGGGANFVASGYSKGSALGAEMIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIFNNAKVWDDHWIFWVGPFVGALAAAAYHQYILRAAAIKALGSFRSNPTN >RHN79360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28039059:28039419:1 gene:gene3140 transcript:rna3140 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSSISSPYFLLSTITKKSKIIHIIEWVFELCTGCCSYLCSVHASSSLILCFLLSYSSHLEQRFFTSSSQ >RHN39348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6274787:6275741:-1 gene:gene45361 transcript:rna45361 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRSKSCKDQSLQSGGKVAPTSMQDLRSYSTNYASNSSAFDQNKVEKRKSKFGKASKSWSFNDPELQRKKRVAGYKVYDVEGKMKGSFKKSLRWIKNTCSQLW >RHN61375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35240409:35247194:1 gene:gene23835 transcript:rna23835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase 2 MMRWCQGLDGLASRSAAYYQQDAHFAKWRTVLSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHSIDRTFEVAQKVWAEVFFYLAENNVQFEGILLKPSMVTPGAESKDKVSSATVADYILKLLHRRIPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTALKTWGGRIENVQAAQEALLFRAKSNSLAQLGKYTGEGESEEAKKELFVKGYSY >RHN70639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52918154:52923157:1 gene:gene19248 transcript:rna19248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate peroxidase MMCSRVSNRIVRCASGGENSYPAKLQPSCLSTVKFRSNKPNDHASSDVSSSSRRAVIFSSIATLPCLLPLTHIFGSLQANAMPPGTKEYLLIKEELRKVLTKGKAAGVLRLVFHDAGTFEIDDNTGGMNGSIVYELERPENTGLKKSVKVLQKAKTQIDAIHPVSWADVIAVAGTEAVEVCGGPTITVSLGRQDSPGPDPEGKLPEETLDASGLKRCFHKKGFSTQELVALSGAHTLGSKGFGSPTSFDNSYYKVLLEKPWTPSGGMSTMIGLPSDHALVEDDECLRWIKKYAENENMFFEDFKNVYVKLVNSGVKWNSL >RHN71781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2578693:2580688:1 gene:gene7416 transcript:rna7416 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSILSSSPRLKSPSFRRPKDGLGSWATLYQRHRFLLSALVLLTILCTVYLYFAITLGSNGSCSGLSGAQKASCHMELVKDSLSNGKLKFL >RHN75073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39190389:39190700:-1 gene:gene11225 transcript:rna11225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal RNA-processing protein MEIKKKQKGVADLGACQDSDLESMIHENSHFFDKSIELIPAKFYLPTDDNEKPWFQGLSKGAKAKAKRKTIDNIMKSRRDRLDPNKPSATTLSFSKNAWEKKK >RHN79612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30598189:30599735:-1 gene:gene3427 transcript:rna3427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MKMKIIKYCVFGSATRVYATLPHTKYPCNITRRPLSLSLISTTFSALIFSLPLPPSYSSPSPSSKRPIADFSELPNSGGVKALELLVGSGEVPQDGDQVEIHYYGRLAAKQGWRFDSTYDHKDENGDPNPFVFVLGSGKVIAGIDVAVRSMKVGGIRRVVIPPSLGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELVGLRHQ >RHN72484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8026493:8028205:-1 gene:gene8202 transcript:rna8202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MASMTSRLRNTLRHYSTILSPNSTTPLTSKEKSRSALRLLKSETNPETILSICRAASLSPDSHLDRLALSTAVSKLTAGKNFDVLRQFLDELLQSRSDLQNERFVSHAIVLYGQANMMNQALDTFKFMRENLNIVASAKSLNALLFAAILAKNHKEVTRIYLNFPRIYDIKPDVETYNLVIKSFAESGLSNSVFSILDEMDRSNVKPNVVTINNAISGFYNEKKFDEVGKLLNLMEERYKLYPSLSTYNVRIQSLCKLKRSNEAKALFQGMITSGRKPNSVSYYHLMNGFCREGNLEEAKGLFADMKKRGFKVDGQCYFTLVYFLCEGDEFEWALDIAKECIAKGWVPNFTTMKKLVNGLVGVSKVDDAKELIKEIKEKFAEKSDRWDEIEAGLSPPQE >RHN50902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11673614:11676475:-1 gene:gene35254 transcript:rna35254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MPGKGEKVAIGIDLGTTYSCAAVWRNDRVEMIVNDQGNRTTPSYVAFTDSQRMIGDPAFNMAACNPTNTVYDAKRLIGREFSDPIVQSDMKLWPFKVIGGINDKPNIVVNYNGEEKHFAAEEISSMVLTKIREIAEVYLGSTVKDVVITVPAYFNDSQRQATRDAGVIAGLNVMRIINEPTAAAIAYGLDKKPFNHDHKHVFVFDLGGGTLDVSILTFDKNGITTKATAGDTHLGGQDFDNTMVNHFVKEFLRKHKIDISGDRKALRRLKSACEKAKRILSSNTETTIEIECLHQGIDFFSPISRAKFEEVNNNHFNKCMEIAEKCLIDSGLDKSSIHDVVLVGGSTRIVKVQQILSDFFEGKELCKSINADEAIACGAAVHASILNGDFSEKVSVLRSREVIPLSLGLEKHGGIMSTMIPRNTLIPTSMEQVFTTHLHNQTNILIHVYEGERKITMGNNLLGKFVLEIPPAPAGIPQIMVSFQIDNDGILHVSATEKFLGVKKNVTIRNDKGRLSKEEIERMIEEAEKYKDEDKMYRKKVETRNALEKCAYNMRNAINDKEIMMKLSLEDKEVIDNTIDSVLLWLDDNVIVEQHDFEYYTNLLSSVFDPIILKMKTMSLMIKRNIGYH >RHN51070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13609412:13609834:-1 gene:gene35443 transcript:rna35443 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHTTDLAKIEGDDQRINKYPDMLIVGQWSDAVTDLDYTQDSPSWCGLPSFRMTVSDEVLNPNIAHDMEILRQHVQKGIDVGDIVPLYTDEEKRAAAVNYLKHRFASMEFTEVVSKATKKKRQKDFQVHNTRSKGRFPD >RHN82426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53672869:53679531:1 gene:gene6591 transcript:rna6591 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L15 MSAAASLIPVSWLPSLASPFKGNVKTLVAKPYLCPCLKIQRTKHRVSVINKSVSASVQPAGENVRFRLDNLGPQPGSRKRAKRKGRGIAAGQGASCGFGMRGQKSRSGPGIMRGFEGGQMPLYRRLPKLRGIAGGMHAGLPKYVHINLKDIENAGFQEGEEVSLETLTEKRVINPSGRERKLLLKVLGEGELGKKLTIKAGAFSTSAKEKLESAGCSITVIPGRKKWVKPSVAKNLARADEYFAKKREAADSEPTSV >RHN45271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14072258:14079295:-1 gene:gene39515 transcript:rna39515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative U4/U6 small nuclear ribonucleoprotein Prp31 MATLADTFLADLDELSDNEAEIPADNDADAADMDEDVDGDLADLENLNYDDLDSVSKLQQTQRYVDVMQKVEEALKKGSDVSQGLDLEDDPEYQLIVECNALSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTTGKPLPEEVLSKTIEACDRALALDSAKKKVLDFVESRMGYIAPNVSAIVGSAVAAKLMGTAGGLSALAKMPACNVQLLGAKKKNLAGFSTATSQFHVGYIEQTEIFQTTPPSFRMRACRLVAAKSTLAARVDSIRGDPSGKTGRSLKDEIHKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSAGQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQAHAHQLGSGTQSTYFSETGTFSKIQKN >RHN51349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16534377:16537693:1 gene:gene35775 transcript:rna35775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK-LRK10L-1 family MDYFEGGKLKRVLNEGFEVKYNVNEECLRCLGSEGGDCLIDSIDKHVELCYHDDLTDASIASPTVLSSDDKTPWNWKKKLVIGVVSSVLGSFAVVNAIYIFYRHRKNKSFANSNVNSRSFASDFFSKDLERGSQNIGVQHFTYSELEEATNYFDPSKGLGKGGFGTVYFGKLHDGRSVAVKRLYMKNYKRVLEQFMNEVHILARLVHRNLVSLYGCTSRHSRVLILAYEYVSNGTVADHLNGNQAKHGKLSWHIRMNIAVETASALKYLHVSDIIHRDIKTNNILLDTHFHVKVADFGLSRLFPIDHSHVSTAPQGTPGYLDPEYYAHSHLTHKSDVYSFGVVMIELISSLPAVDMTRPRDDINLSTMAMNKIQNQALHELVDPSLGFDTDLKVNEMINAVAELAFRCLQISKDMRPRMDEVFKTLQDIQGAGANESQCEAANISNSHDDIVLCNYDPRPLSPDPNDVKYSTKC >RHN59607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12462975:12463631:-1 gene:gene21660 transcript:rna21660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSDRVESSTKKITLTSSDGEIFEIEKTVAMEFKTIKNLIEDVVDNNRIPIPKVTGKILALIVEYCKKHVNAVNSDEKSSEHDLKTWDAEFVQLDHPVLFDLISAASFLDIKSLYDLTCKTVADMMNGKTPEQIRAMFNIVNDYSPQEEEEIRSEHPWAYE >RHN74088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27359891:27362263:-1 gene:gene10061 transcript:rna10061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase MSLNLYEFVLIMFVSLKDFDFCAQDSLLSIEKRNAASVDTIVRGGMEANQALQARFSSSVSTTLEDAGIANTDINSSIDHSLQLDHKACGILNSMITPCCAELTEWKGGHYNRIVEITENAGKCLLNEYMIEAATSFFAESLRIGEGGYGPVYRCLPDHTPVAVKVLHPDAQQGSSQFQREANKKIEDAKLARDFQTTLKEFQKVQLASERESTYTPAASASSLPTRSTMYISKMN >RHN48817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50489132:50493359:-1 gene:gene43572 transcript:rna43572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MVFYGVSNFITLFLLFLLPLHSALPPTTTVQINSNSILVALLDSHYTELAELVEKALLLQKLEEAVGNHNITIFAPRNQALERDLDPEFKRFLLEPRNLRSLQTLLMSHILPSRIASHHWPPTHLHHHTLSNHRLHLTTNPTGAKTVDSAEILKPDDVIRPDGVIHGIQRLIIPRSVQEDFNRRRNLRDISAILPEGAPEVDPRTNRLKKPAPVPAGAPPVLPIYDALAPGPSLAPAPAPGPGGPRHHFNGERQVKDFIQTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHIIPEYQTEESMYNAVRRFGKISYDTLRLPHKVVAQESDGSVKFGHGDSSAYLFDPDIYTDGRISVQGIDGVLLPPEEENEDESSTPIRRVKTPLVKVASKPRRGKLLQLACSMVGSFGRVCS >RHN53553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2481522:2486623:1 gene:gene28471 transcript:rna28471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gdt1 family protein MQSHFFSHSLHSFPKPFKSQHFLSFTTSLISSSIFPPPTPRCNLKLPPRFQFTNIFRSTTGFVRASEDDSVAENSDSQCQLATQNVSSDDASMTVLKFMLFSAFFALQDAFPAVAASDFATGLNSIPIFGDVGDLSTGFASAFLLIFFSELGDKTFFIAALLAARNSASVVFVGTFGALAAMTVISVALGRTFHYVDELLPFRFGETDLPIDDIAAVCLLVYFGVSTLLDASSSDSQKSDDEQKEAELAVSDFSGDGAGILAAASTIVSTFLLVFVAEWGDKSFFSTIALAAASSPLGVIAGSLAGHGVATLIAVLGGSLLGTFLSEKVIAYIGGVLFLVFAAVTVFEIVQ >RHN57244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37520438:37521553:-1 gene:gene32759 transcript:rna32759 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYGERAGINDIFTPKKYLFSNWEVEKSNTNIGVDCCAYWSGLGAIWNGKKGKNIDGFVDGIEGHCGKGYVDNTWKKGPGWGTSWWWGRGGKGGKGGKGSGGGYKIPLPVEGKGGGEKGGGDGKL >RHN64315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58091803:58100160:1 gene:gene27119 transcript:rna27119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MTSLSRELVFLILQFLEEEKFKETVHKLEQESGFLFNMKYFEEKALAGEWDEIEKYLSGFIKVDDNRYSMKTFFEIRKQKYLEALDRNDKVKAVEILVKDLKVFSAFNEELFKEITHLLTLDNFRENEQLSKYGDTKSARNIMLSELKKLIEANPLFRDKLVFPSLKASRLRTLINQSLNWQHQLCKNPRPNPDIKSLFIDHTCSTSNGARAPTPVNLPVTAVAKPSSFVPLGVHPFQPAPTAANVNALAGWMMNPNPSSSIQPPALVASSMPGPPHQVPVLKHPRTPSNTLGMMDYQNADHEQLLKRLRSSIDEVTYPASSQQAAPSWSPEELPRTVVCTLYQGSTLTSMDFHPSLHSLLAVGCGNGDMSLWEAGLRERLISKPFKIKDIAACSVFFQAAIVKDASISVTRVSWSPEGNILGVAFTKHLIHIYAYQGSNDLRQTLEIDAHVGGVNDLAFSYPNKQLCIVTCGDDKLIKVWDLNGRKLFHFEGHEAPVYSVCPHQKENIQFIFSTSLDGKIKAWLYDNMGSRVDYDAPGQWCTTILYSADGSRLFSCGTSKEGDSFLVEWNESEGALKRTYSGFRKKSNGVVQFDTTKNRILAAGEDNQIKFWDMDNINVLTSTDAEGGLPSLPRLRFNKEGNLLAVTTADGGVKILADTDGMKYLRSIEARSYEASKAQVETKVPGSSMVANMNQHITKVERVDRSSPAPLPIHNGVDSMARSIEKQRSLDDVADKSKTCDLTEIADPGHCRVVTLPDTIDPTNKVVRLLYTNSGTGLLALGAKGIQKLWKWSRNDQNPSGKATTTVVPQHWQPPSGLLMTNDVPDGSEEPVPCIALSKNDSYVMSACGGKISLFNMMTFKVMATFMSPPPSSTFLVFHPQDNNIIAIGMEDATIHFYNVRVDEVKYKLKGHQKRITGLAFSTHLNILVSSSADAQLCFWRIDTWDKKKTLPIELPAGKAPVGDTRVYFHSDQVHLLVCHESQLALYDASKMELIRQWVPQDGSSTSISSATYSCNSQLVYAAFTDGSIGVFDSDSLRLRCRIASSAYLHQSSSISQNIYPFVVAAHPQEPNQFAVGMSDGSIKVIEPIEPNGRWGVSASVDNRTTSPSITNNSNSEQLQR >RHN64648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60726880:60730964:1 gene:gene27482 transcript:rna27482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endonuclease I MMIAISDPVLPAIFSNRHYLPRTIQLHNQNLIHAVSTFRRGAWIIRASHPNRPIQHREQMWLTCFHFSWKCFFSRLVSFYLLLSLNLVAVASEYPTPSTYSCEDVANYYSPLKHFRLKGEKLKRKLNSIIAPHHSLSYQEVWDALKVLDAADIDKPEASLGIVEIYSLRVVPKWLSGKPEGWNREHLWPRSYGLTTVPSLTDLHNIRPADVNVNSSRGNKYYGECITGSTKCLRPANKEAALDTEADKRRWAPPKEVRGDIARALMYMAVCYGFPQPGGSPGLRLSDTPNVENREMGLLSTLLEWNEVDPPSREEKLRNERICKFYQHNRNPFVDHPEYVNLVWKQVVSWRAHNNNDNDKNVSNKGKKLGRGAQLLHL >RHN45568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22992870:22993230:1 gene:gene39938 transcript:rna39938 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLTDINIILVLNKYHRVNHESNKVLIIQKALYRISSHFHVEICVLYYTYHKWY >RHN58496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2258346:2263757:1 gene:gene20415 transcript:rna20415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerol kinase MSKEDVFIGAIDQGTSSSRFIIYDKSAQPIGSHQVEFTQFYPQAGWVEHNPMEILESVKVCITKAVDKATANGFNVDKGLKAIGLTNQRETTLVWSKSTGAPLHNALVWMDVRTTSVCRRLEKELSGGRTHFVESCGLPISTYFSAMKLLWLMENVDAVKEAIKKKDALFGTIDTWLIWNLTGGVKGGLHVTDASNASRTMLMNLKTLNWDESTLKALQIPAEILPKIISNSEIIGNVSSGWPVAGIPIAGCLGDQHAAMLGQACRKGEAKSTYGTGAFILLNTGEGVVKSNHGLLSTIAYKLGPNAPTNYALEGSVAIAGAAVQWLRDGLGLITTAKDIEALALEVESNGGVYFVPAFNGLFAPWWRDDARGVCIGITRYTSKAHIARAVLESICFQVKDVIDSMHKDSGADQSKKDEFLLRVDGGATVNNLLMQTQADLLATSVIRPADIETTALGAAYAAGLAVGIWKEDFVFDSKDLLKNASVFRPLMVEEIRKKKVDSWGKAVSRSFDLADLSL >RHN52378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34455080:34455748:1 gene:gene37043 transcript:rna37043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MLLVFYRYSHNHLFSWQCNFLLLLLPPPPLLFLMISPTTSSLVSGAPTLDSVSPVTSIKLFLTKESTPSLMTKSLKKGMKSHLRFSKSIEDSRIAIIVFSKEYASSSFYLDELVHIIHFSNEKGSTIIPVFYGTEPSHVRKLNGSYGEALAKHEEQFQNSKENMERLLKWKKALNQAANLSGHHFNLGYPSLFISCFTPPPSPICFLFLNHIVKN >RHN40589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17951070:17951934:1 gene:gene46754 transcript:rna46754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase (ATP-hydrolyzing) MTCLEEDVVALMKKRVLDMAGCLGDTVTVELIGEVIPFKSFKGYADFLLNCAQESKPFPLPRTHVKLSDSLEICLSLSDGKFQQVTSERRKYSVSFVNSIATIKGGTHVDYITKQITTYIKKEVLKKKEHVNVNFDTVKNHLWVFVNARIDNPAFHSQTKEMLTTKPARLGLKFFPGSMLNDG >RHN56129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28007301:28007771:-1 gene:gene31476 transcript:rna31476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase, RNA-dependent DNA polymerase MRCLFQKHKARLVARGFLQKTGMDYFEVYAPVASISSRCEVCILEWSIR >RHN51452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17963201:17964418:1 gene:gene35887 transcript:rna35887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MMKQTWVTFIRILKSLFFTFMVTLKSLFVSSDPPSLPSLPMELMEEILCRLPVKLLIQLRCLSKSFNDLISDPKFARKHSMSTMHRHHLVVTYTDYDISLSPGGSRIISYPLHSIFYPRYSIFDSILEHTRLEYPFDKEYIINCGSCNGILCLALKQKRVAKVNNVLLWNPSIKKFKLLPSLKNTPVNNCRHDPVFGFGYDHVFDVYKVVVIFSKTQGMIHTLGTDTWRLINGDFPLPVYDLKFVSGALNWIPYLKNYIHSLASFDLVTESYKRLLQPNYGAEFVYNLNLDVSRDCLRIFASRQRFFDVWLMKEYGNEGSWTKLFHVPYLEEDPFISKYATYPLWLSEEDQVLMNHTFSLQSDSNYLSIYDLKNGTFKFPKIHNIKSFNGVTLEVCVQSLISPCF >RHN43627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47332727:47336684:1 gene:gene50234 transcript:rna50234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminocyclopropanecarboxylate oxidase MCSISHLTYNRSSREDRYSKIKMGKEIPQTQAILNCIDLSNPNIDHNVDLLKQSCTDSGFFYLINHGISQDFMDEVFAQSNTFFTLPLNEKMKLLRNDSHKGYTPVLDEILDPQNQLHGDYKEGYYIGVEDNDSLHHKPFYGPNQWPASDVLPGWRETMEEYQRRALEVGKALARIIALALGLDANFFDKPETLREPIAILRLLHYQDKVSDPSQGLYAAGAHTDYGLITLLATDDVQGLQICKNKDAKPQIWEDVTPLKGAFIVNLGDMLERWSNCTFKSTLHRVIGNGQERYSIAYFLEPGHDCLVECLPTCKSDENPPKFPPIYYRDYFSQRFKETHADLHVYSKQ >RHN47602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40925779:40931273:-1 gene:gene42222 transcript:rna42222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKNCEMLENELPDHIISCIFSKLALKDLVKTSALSKQWIHEWGLRMDLNFDLYTMFDYNTDQDLPQIVPLSQRFHYQSKFATSLDQFMLHYKGVIIRSIRVKFPLGNEHRDVIDRLISKGIAKGAKHIELLFSSKTTDTTISIMPYRFSLILLLENDSVTYLHLQNCLIDKPRDFSGLKNLRTLVLQQVIVKKTLLKTLCSNCNHLVDFTLDGCNITSKLVINNPSLLRLNIVNVGFYPRNLITIIASSLSSFEYSCLKEHVVHQMNIQAPMLSKFSFRGASFSKRIGLSGLTNVTTIKFDALLVDLSTNILPHLFSKCPQLEDVTLKNCLFTSSTQIFSSKLRQLIILDSVWVNNSPSEISIDALNLSSFEYTGYTTRIISFTAPMLSKVFWDTLERENRPHLFDPIASLPHIENLAMIVDTLQVKELAKVLVRFQNLRQLELHIEGACDPSMDYSWLLDIATASQHLQKLTLSIKNLYQEHSHMVGFKRQKSEYVGFSHNVLEYVEFCGCVCSINVIQLATHLLRSANSLKKMTFRSSDRVYLGGGRWTTFFNACGGHCWSGKNVYEMLKDEVNEQCQLIIL >RHN56271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29390868:29398996:-1 gene:gene31643 transcript:rna31643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-CTR1-DRK-2 family MPHRATYFFPRQFPEKRFDESSKSSTLDHEKKKSPVKSTDTTFCTENDVPKSSNTPTKVNLAKNSAVSELGKKFRNEQKQIAAFCDWLVDKRHSHHHRRHRHHQHQHHHQRHRSDHILHEEDEEDRELLLPDSEKVSSPDKDVVDVDRRFEREASLSRLSSGSSYATSLFASDVTVTATFSSDDITKEDTSSFRVSTNEVTRRNKQEEEEEHHEEEKLNDQKNYAKECKESYELQTALAKRLSFLSTFGSEPVLTFDTGLETWDVESVSRRLWVTGCLSYTDKIADGFYNILGMNPYLWVMCNDMEEEGNFLPTLMALKAVEPNESSLEVILIDRREDSRLQVLQDKAQELYSASENALVLVEKLGKLVAIFMGGSFPVEQGDLQKRWKMVSKRLRNFHQCVVLPVGNLSTGLCRHRAILFKRLADYIGLPCRIARGCRYCASDHQSSILVKIKDDRQLSREYVVDLVGEPGNITGPDSSINGAYVSSTPSPFQISHLRKSQSPYVDDAASPQVICFNQSSSNNQPYSGRVQIDQPNKETDFLKTNNDLIYASVDKTSGGVSEALRPASEALLHDIPFVGKDSVVVQEISYNEIIAKGCSVVTGIQSKQEQVENRLYNQGAGDIPKYVNLEPSLAMDWLEISWDELRIKERIGAGSFGTVYRAEWHGSDVAVKVLSVQNFHDDQLKEFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLFRLIHRPASSEMHDPRRRLRMALDVAKGINYLHCLKPPIVHWDLKSPNLLVDKNWNVKVCDFGLSRFKANTFLSSKSVAGTPEWMAPEFLRGEPTNEKSDVYSFGVILWELVTLQQPWNGLSHAQVVGAVAFQNRRPSIPPNVSPVLASLMESCWADNPADRPSFASIVETIKKLLKSPADAIKMGGT >RHN72379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7188681:7189065:1 gene:gene8083 transcript:rna8083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated domain, type 1 MIPVMPYVPHYFETNGVFVFTSVHWIMSRKLDESHPCLIVAFNLTLERFIEVPLPDELGGEKVNSDGNGIELSIAVLGGCLCMIVNYRTTKTDVWVMKQYGSRDSWCL >RHN61432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35485617:35485947:1 gene:gene23901 transcript:rna23901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLHTILKGSVKSKSIEVRKGYVAVYVGEKLTRFVVPVSYLNQPSFQDLLNQAEEEFGYDHPTGGLTIPCSEDVFQHITSCFNGL >RHN64180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57108432:57113814:1 gene:gene26967 transcript:rna26967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Mu domain-containing protein MSSGCSVRAIWILNNIDAVVFSRRFPVVEKRWRTSCNANNDTTDQIFSSLPSDSELADAFLNRRLKEGSARGFGIRKSNSSLGSDSWVDDPITRHIIGLYINKERHDDKNLLWPLILHIKGHYSLLVLAMVEPKHVKAYERLCKTPDCGSSIGLDDSLSSLLLDLPAITGAFMIAHTIGDIITGDTVEPEVIMAAAPSVGGLFDSLTGSIGMSSRAKPVSPQVASSSPLGTIGQGSVTADTPKMGSRPLDKDALRTFISSSMPFGTPLDLNYSNIFTIKTNGFSASDLAPTDQKQPAWKPYLYKGKQRMIFTTHEIVHAALYDRDEIPDIISVSGQINCRADLEGLPDVSFPLSGLNIAKLEVSSYHPCAQVSDQGPDKQGVVFSPPLGNFVLMRYQATCALGPPVKGFYQLSMVSEDKGAFLFKLRLMEGYKSPLTMEFCTVTMPFPRRRIVSLDGTPSMGTVSTSEHSVEWKIVTSGRGLTGKSIEVTFPGTIKFAPWKNQTLSSSRSFVGTIVEDDSDNEAENASNMVNEEHLMEKMNKDLPPVDLEEPFCWQAYNYAKVSFKIVGASISGISIDPKSVSIYPAVKAPVEFSTQVTSGDYILWNTLGKCPHVATV >RHN48511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48049842:48050502:-1 gene:gene43232 transcript:rna43232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MEVTKKLKLKHLISKVLKGLHFLEISKAYTKRADYLRIRDIDDEESETETTVPEGFFAVIAMQGEETKRFVLELDYLRNPHFMKLLEQAKDEYGYQQKGAIALPCKPQELQKIIENRHSDAL >RHN43517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46455979:46460180:1 gene:gene50110 transcript:rna50110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MARSSSCGSVLLLTIISLVCLFATSAAKEEGTTKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVSFTDDERLIGEAAKNLAAVNPERTIFDVKRLIGRKFADKEVQRDMKLVPYKIVNKDGKPYIQVRVKDGETKVFSPEEVSAMILTKMKETAEAFLGKTIRDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKHSKDISKDNRALGKLRRESERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLQKNQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAFGAAVQGSILSGEGGEETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGNFDLSGIPPAPRGTPQIEVTFEVDANGILNVRAEDKGTGKSEKITITNEKGRLSQEEIDRMVREAEEFAEEDKKVKERIDARNALETYVYNMKNQISDKDKLADKLESDEKEKIEAAVKEALEWLDDNQTVEKEEFEEKLKEVEAVCNPIITAVYQRSGGAPGGASGEGEDEDEDSRDEL >RHN45766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25419125:25420405:-1 gene:gene40162 transcript:rna40162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MNSHQVESRAGVLYNDLIEEILSFLDVKSLTRFKCVSKSWKTLISDPTFIKLHLKRSARNTHLTLIYDDVKMVCFPLHRLIQNTSITLADNPYFHEPCFLDSPFFQEPDFPLYRRLEVVGSCNGLLCLYGYVTNSNYEEIFLYLWNPATKTLSNKIVFLHDEFHLRKCGRDKMINTRSLYTLWRFWFGYDDSINDYKIVAYYEKINEVRVFNLGDNVWRHIQSFPVAPFMDISTCPHTHLGINAGVYVSGTVNWLAIRNACPCNFELKSITIDQFVIISLDLRTETYNQFLLPLGFDEVTSVEPTLSFLMDSLCFSHDFHGTHFIIWQMKEFGVDKSWTQFLKISYLSLPIDYDENNGSSLQYPCPLSFFPLCLSENGDTLILAFDAANSAILYNLRDNRGEEIRIRNLVRWFCAKNYVESLVSTS >RHN61070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32697857:32701446:-1 gene:gene23483 transcript:rna23483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MNNNWLSFPLSPSHSSLPSNDLQATQYHHFPLGLVNDNMENPFQNHDWNLMNTHNSNEVPKVADFLGVCKSENHSDLATPNEIQSNDSDYLFTNNNTLMPMQNQMVTTCTNEYQEKASNSNLQSLTLSMGSGKDSTCETSGENSTNTVEVAVPKRTSETFGQRTSIYRGVTKHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARSYDLAALKYWGTSTTTNFPVSNYEKEIDEMKHMTRQEFVASIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMTRYDVKAILESNTLPIGGGAAKRLKEAQALETSRKREEMLALNSSSFQYGTSSSSNTRLQPYPLMQYHHQFEQPQPLLTLQNNHESLNSQQFSQHQGGGYFQTQLELCQQQNQQPSQNSNIGSFYNGYYQNHPGLFQMNNIGSSSSSSVMGNNGGGSSGIYSNSGGLISNNAVEEFVPVKVDYDMQGDGSGFGGWSAAGENMQTADLFTMWNDYETREN >RHN66467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12678572:12679569:1 gene:gene14459 transcript:rna14459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl-phosphate beta-D-mannosyltransferase MLTTMLLPIYFVVSLGCYGLLMVGVGLMNFYSGQPSQLLAESVALGSLGIRIQFGRIYGFGPIQRWGVWPPSHGFREYTYQNDIVEAKEYLKQRGVDVGTS >RHN76807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1427190:1430267:1 gene:gene185 transcript:rna185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MADSSSGCSGSGSVSLKSDSRIENLILASKSLKLSLDKSKSVGLALEKAGPRLDEIRVRLPWLESAVRPIRAEKDALVAVGGHINRAVGPAAAVLKVFDAVHGLEKSLLSDPRIDLPGYLSVLKRLEEALRFLGDNCGLAIQWLDDIVEYLEDNSVADQVYLKNLKKELESLKGSQNGDLDGGLLDAALDKLENEFRLLLTENSVPLPMLSDSLGDQACIAPSPLPVSVVHKLQAILGRLRANDRLDKCVSIYVEVRSSNVRASLQALNLDYLEISVSEFNDVQSIEVYIAQWGKHLEFAVKHLFEAEYKLCNDVFERLGRDVWMGCFSKIAAQAGILAFLQFGKTVTESKKDPIKLLKLLDIFASLNKLRLDFNRLFGGDACVEIQNLTRELIKSVIDGAAEIFWELLVQVELQRPNPPPPDGSVPRLVSFITDYCNKLLGDDYKPILTQVLIIHRSWKRQSFQERLLVNEILNILKAVELNLETWIKAYDDPMLSNFFAMNNHWHLFKHLKGTKLGDLLGDSWLKEHEQYKDYYSTIFLRDSWGKLPGHLSREGLILFSGGRATARDLVKKRLKKFNEVFDEMFSKQSGWIMVERDLREKTCQLIVQAVVPVYRSYMQNYGPLVEQDNSSNKYAKYTVQKLEEMLLCLYRPKPARHGSMKIPQLSGKYGNGMPDLRRTASAVV >RHN50874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11346663:11347548:-1 gene:gene35221 transcript:rna35221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain, Bet v I type allergen MGVFTFEQETTSTVAPAKLYKALVHDSDDIIPKAVDAIKSVETVEGNGGAGTIKKLTFVEGGQTLYVLHQIDAIDEANLGYNYSIVGGVGLPETVEKISFEAKLVEGSNGGSVGKTTVKYQTKGDAKPIEKEVEEGKAKGDALFKAIEGYVLANPNYN >RHN45440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20869858:20870148:-1 gene:gene39781 transcript:rna39781 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFWVDCDDDVVVELMTKFWVDGDDVVVELMMMLLLFNLGCYVVVVVSRRRREQKREECRKAANRCCWISLTKIMNKKEDMTNGEKSEGPKRTFY >RHN51999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29983874:29986191:1 gene:gene36601 transcript:rna36601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MRTDLSELGLDISDMKGEMVVETPAKGSVTTSLVCLRCPISMFGRDFVIDLVCLPLTGMDVIFGMNWLEYNRVHINCFSKTVHFSSAEEEGEVEPLSTKQMKQFERDGILMYSLIAQLSLENQAVVDGLPVVNEFPEVFPDEIPDVPPEREVEFSIDLVPGTKPVSMAPYRMSASELAELKKQLEDLLDKKFVRPSVSPWGAPVLLVKKKDGSMRLCIDYRQLNKVTIKNRYPLPRIDDLMDQLVGAKIFSKIDLRSGYHQIKVKDEDMQKTAFRTRYGHYEYKVMPFGVTNAPGVFMEYMNRIFHAFLDKFVVVFIDDILIYSKNEEEHAEHLRIVLQVLKEKRLYAKLSKLDPSKVDAVSQWETPKSVTEIRSFLGLAGYYRRFIEGFSKLALPLTQLTCKGKSFVWDTQCESSFNELKRRLTTAPVLILPKPEEPFVVYCDASKLGLGGVLMQDGKVVAYASRQLRVHEKNYPTHDLELAAVVFVLKIWRHYLYGSRFEVFSDHKSLKYLFDQKELNMRQRRWLELLKDFDFGLNYHPGKANVVADALSRKTLHMSALMVKEFELLEQFRDMSLVCELSPQSIQLGMLKIDSNFLNSIREAQKEDLKFVDLLTSGNGTEDSDFKVDDRGVLRFRGRVCIPDNEELKLSSAYHPQTDGQSERTIQSLEDLLRVCVLEQGGAWDSHLPLIEFTYNNSYHSSIGMAPFEALYGRRCRTPLC >RHN58790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4929553:4934678:1 gene:gene20736 transcript:rna20736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan 1,4-alpha-glucosidase MKPFTSFSSKPIVHKLGSLSPSISFHVSDRPFSCFTLHSRNEQKSSIFCLLKLVQNKGVCPLHVVPSEHQEVDLEPVESQVQQSEQTNDSKFVRVEFQLLKDCDFGEQFLIVGDDPKLGLWNPLDALPLTWSDGHIWTVELDMPAGKSILYKFILKGKEGDIIWQPGLDRVIQTWETMNRIIVLEDWENAELQKIIEEDTLSQTNEEPPVLPEVSTSTDIVSGIEETQIDTLEKLIDEPVLQQIIDDHDSNSSSIENPMSMFAENIGSSEDLTESKSQTTYKANVVQKSEESADGFQNDDIKHELGYNGNPAALKNKKGTIVEGSLIDFEGGPVLVPGLIPLTEEAGPSEVVEEEKTAVEPSIESFETQDQNIPELSKEQVSNDETAQEISSTSNDETAQEISSTINDELNFHEEQFYLASTMEEGSNSEPIHGNALQNDIQWGFETLSKDQESDDDTPQEIITNTTINDELNSHEEQFHLASTMEEESNSEPTHGNALRNDIEWGRETVKKFLTKFGLL >RHN43723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48009458:48013902:1 gene:gene50343 transcript:rna50343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MNPPSGIKITVPRELGSPKDIFNGIDRVGHVVNTQCEVEAKHRSVLVQWLNSLLPSLDFSTNVTDGELRACLSSGTVLCQILNKLRPGPVTMVSESDHSLPSQSENVKTFLKALDGLGLPRFEISDLEKGSMKPVVDCLLILRAKSLMNSLGDNASLSNSNASSPRGYGSSSFHSSPPFGVDNRKLTSESRFQRVMSFSPSMAEPSASLIYQVGHKFHEVFQIKPGSYSDLPAAKISEMMKSNSLDNAPTQSLLSVVNGILEESVERRNGEIPHRVACLLRKVSQEIERRISTQAEHIRTQSNLFKAREEKYQSRIRVLEALASGTREESEMISSQLQQLKDEKVTEEEKKENEKEIIRLTKMLEDKNLEISELKQKLEATKKTYEAKCSQLEEETRDAKAELRQKSQEYEYRLEELRNAVKEIEDSSDSKYQEWRVKENQLQTVINCQFSSLQKLKSSWESIKQDAMKGKTVYVEECNRLRVNLKPLIHASQNYQAVLAENKKMFNEVQELKGNIRVFCRIRPFLIDKKEKQSIVEDIGESDLVVVNPSKEGKDVHRSFKFNKIFGPAATQGDVYADIQPFVRSVLDGYNVCIFAYGQTGSGKTYTMTGPNGATSEKLGVNYRALNDLFRISTSRGSLIDYEIWVQMVEIYNEQVRDLLSTEESPKRYPFLKISLDNFFALTFPY >RHN70719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53428021:53429351:-1 gene:gene19331 transcript:rna19331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MWQPPQLSIPNEDRISALPDSLLYHVLSFLTTKDSAATSILSKKWKPLWLSQLILRFDDQPFQEALTFSNFVNSVIANRDKTLPIRSFHLKCCFLNRDIHDFLYTAVKSGVENLTIDLCNSGYSIMTLPSFILSTKTLSVLKLNRITLNEIPYFYLPSLKVLHLNIVKFTYYEYLLKLLSGCPILQDLETDCLSVYSPYSKEERQIISLSNLITANICNVFIPTEFDWFHNVERLRVELMVDEKVPNTLDNIVMFHNLTYMELIFRAQNPLLIFKCLMKLLQYCPKLQILIIDKVITPREYFHDKDWEEQEIVPKCLLSYLSTCSLRNYWGITCELHFAKYIMKNSRVLSAMKIQSAKFLDTTTKLQMKKELSLCLKNSTTCKLLFI >RHN69761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46081322:46081875:-1 gene:gene18274 transcript:rna18274 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISAVIIVISIFLMVLVVADDMSSSSLSSSSSSVIRLPSKVTAEGKNVCAGAVASSWCPVKCFRTDPVCGVDGVTYWCGCAEAACAGVKVGKMGFCEVGSGGSAPLSAQAFLLLHIVWLIVLAFSVFFGLF >RHN66937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21927686:21940115:1 gene:gene15059 transcript:rna15059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MATDEHNVAKAEEFKVLANEAFKERKFAHAIDLYTQAIELNGQNAVYLANRAFAHLRLEEYGSAILDATKAIEVDPKYSKGYYRRGAAHLGLGKFKEALKDFQQVKKMCPNDPDATKKLKECEKAVMKLKFEEAISVPGSVKRPIAESIDFRSIDVEPQYSGARIEGDTITLDFVKKMMDDFKKQKCLHKRYAFQIVLQTKEMLQALPSLVDITVPHGKHFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMSPSAIYLARGNHESKSMNKIYGFEGEVRSKLNETFVELFAEVFCCLPLAHVINEKVFVVHGGLFSVDGVKLSEIRSINRFCEPPEEGLMCELLWSDPQPLPGRGPSKRGVGLSFGGDVTKRFLKDNNLDLVVRSHEVKDEGYEVDHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNITTFAAVPHPDVKPMAYANNFLRMFS >RHN42970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42244734:42245450:-1 gene:gene49483 transcript:rna49483 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQWHVKKLSWMDALFLLIQGWSACPVEVSRDQSNAAAYAIPLLQNLIQFGPVLFFEKAEFILVMIVKRGNNMRQCVGNQGKITLEANQGCLNWS >RHN46355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30961321:30961998:1 gene:gene40837 transcript:rna40837 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLRNFNCFAPCNEVSVKILVDEVRNKVLFVQAGKDFVDVLLSFLTLPLGTIARLVSQESNMENVCVGSLSLLYQSVANLDKDNFRSAFEKELLVRPINSMEEYCKYLKLNIDDTEKLRFFNCSSNQKCINVPKKRNCHCCEKKRTNLCAVKKPAKNGFVPVTATFLISDDLNVKPDNSQISRNSVSLLKYLGCENMDSIKIVTVDVTRKEVSISQYSCIIYV >RHN66260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10148900:10150039:1 gene:gene14207 transcript:rna14207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEELDWSALPRELLNLISKGIDNKIDLIRFRSVCSNWRRSSSVSNHHLNLTIKFPLLDFSYILSNPIDTTNTLFFSLSRRSIFLIKPQQHQHQLTLDRPWLLRITQNESGKIKLFLPLMSYHSPSISFYLPHLLDFNNLSVRHLGTDFFVGSEFFFLNKSSFFSNYMYPEKVISVGEKPLAIGILKPCTPQPVLFRCSDECWKQISDISTTFGDICVFRGQIYVVDKRGRTVKIRLDSTVDLVAQHVVGGGDRKLLVESDGELLLVDIYECLRFSIEVFRFHEKEKKWVKLKNLGDRVLFLVNGCSFSASASDLCVSKGNCVIFIDDAFLSLLGTCNVGIVSFIWIKVGFRLCLVILNTSTCSGHLRIGSSKAEYAILH >RHN64243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57588630:57597500:-1 gene:gene27036 transcript:rna27036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CBS domain, immunoglobulin E-set MFSPSMDSARDVGGVVAAGTVLIPVRFVWPYGGRTVYLSGSFTRWSELLQMSPVEGCPTVFQVIHNLAPGYHQYKFFVDGEWRHDEHTPHITGDYGIVNTVLLATDPFVPVLPPDIVSGSNMDVDNETFQRVVRLTDGTLSEVMPRISDVDVQTSRQRISTYLSMRTAYELLPESGKVVTLDVDLPVKQAFHILHEQGIPMAPLWDFCKGQFVGVLSVLDFILILRELGNHGSNLTEEELETHTISAWKEGKWTLFSRRFIHAGPSDNLKDVALKILQNGISTVPIIHSSSADGSFPQLLHLASLSGILRCICRYFRSCSSSLPILQLPICAIPVGTWMPKIGETNRRPLATLRPNASLASALNLLVQAQVSSIPIVDESDSLLDIYCRSDITALAKDRAYTHINLDEMTVHQALQLSQDAFNPNESRSQRCQMCLRTDSLHKVMERLANPGVRRLVIVEAGSKRVEGIISLTDIFKFFLC >RHN51935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28676334:28676652:-1 gene:gene36508 transcript:rna36508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol-polyphosphate 5-phosphatase MFASWTEIPVLCFFTDSLIRLRIIWLGDLNYRINLSNVEAKALISKKQWSKLLEKDKVPQKLLLGNRTLQYHNFVSSVS >RHN78408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14842593:14843625:1 gene:gene1960 transcript:rna1960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MVYENIIEATKDFDNKHLIGVGGHGSVYKAELPTGQVVAVKKLHSLQNGEMSNLKAFASEIQPLAEIRHRNIVKLYGYCSHLLHSFLVYEFLEKGSLDKILKDDEQATVFGWNRRVIAIKDVANALYYMHHDRSPSIVHRDISSKNIVLDLEYVAHVSDFGTAKFLNPDNSNWTSNFVGTFGYTAPELTYTINVNEKCDVYSFGVLSLEILLGKHPGDIVSTMLQSSSVGQTIDAVLLTDILDQRLPFPTNDIKKEVVSIIRIAFHCLTESPHSRPTMEQVCKEIAISKSSSRG >RHN42960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42197714:42199556:1 gene:gene49472 transcript:rna49472 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRSHRGGRETSPDRAKICRMKQKVKPIRKVQVVYYLSRNGLLEHPHFMEVTLSPNQPLRLKDVFDRLMALRGSGMPSQYSWSTKRNYKSGYVWHDLALKDIIHPSEGGAEYVLKGSELVEGCSERFQQLNLSDKQPIQQHPEQNFTSYRNSKIKVTTLSGNCQQKLESNEDQYEEYEEELLGDGEKTSYTSSTTTPHSRCSRGVSTEELDEIVITNPPQPPPPSTTNSTTTLAEKLKQREERRVNNVKDNNYPTTSAPSTTSSRYSVLLQLIACGSSGAEMKAKQQEGRLSNVGTTSKRRESVDEEKVYSGDEDICVTENPRLMGNLQSEEKEYFSGSIVESMKANRVAFQAEPVLKKSNSYNEERRSRLGMDEVKLKETKEEKREAKGGVKEKCIPLMKSSKESRK >RHN55793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23825449:23826429:-1 gene:gene31051 transcript:rna31051 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGQIWWEIGGNLAVLDLGKHRPNMQFNICSCLVLAKLCRCHGRVGLIHIFHVPSERPSHPAWERGGRLAVRDLGRHRPNMQFNVCSCLVLAKHCLGHGKAKFLPLSHAAHVRWGG >RHN80293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36932852:36933247:1 gene:gene4199 transcript:rna4199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSEDGPRREATDGGGHVKYQGVRRRPWGKFAAEIRDSNRKGQNKVWLGTFNTAEEAARAYDRDAFNMRGFLAILNFPNQYNMFAGAASDSGSSSSRIANAGNGSQVYEFEYLDDNLLEDLLDVEGKKNTGP >RHN81268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44461559:44463716:-1 gene:gene5284 transcript:rna5284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MYLRGCLFYFGLFPVEFGIPVRRLVALLVAEERVHHGEHQEPPEQVAKRYLTELIDQNLVQIAERKRNGNVKTCRLPYALRQLWWTKANDSIFLKSQSASTDSNADPKNCIIRWVTDHLNTDHIWYDHIHGETESTSRTNSSSLRAYYKDVLSLLSFDTREGSKPGQEIGYFMKESISSDCFLLLRVLDLERVYKPKLPKSIARLSQLRYLGLRWTYLESLPSFISKLLKLQTLDLKHTYIHALPHSIWEMELRHLFLSETFHSRFPPQQKDHLSHFRFLLPQLRDNFLYDLQTLWGLFVDEETPVKDGLDTLINITKLGLACQSMSLQPAAMITQLVAVADWIAKLEHLQSLRLKSRDEEGKPWILYLQSFKNNVNLTDMYLLGRFSSSSILSQFPKSLVELTLSHSKLEEDPMQLLKELSNLRILSLLADSYIGQTMFCQSQIFPQLHVLKFWNLQHFDEWTIEPGALPCLRQLEIRSCPHLIMLPDGLKHVNTLLELKLTNMPMDINAEAHNIPPNCLVVKTNLQ >RHN52256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33121996:33142062:-1 gene:gene36909 transcript:rna36909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tagatose-bisphosphate aldolase MESGKLIGFVGLDELGLQMVSSLLRHGYSVQAFEISDPIIEEFVKLGGIKSASPLEAGKGVAALVVLISHTDQINDLIFGNEGALKGLTPDTVLILRSTILPSALHKLEKDLEETQKISYVVDAYASNGRSDALNGKVTIVSSGRSDAIARVRPLLSAMCEKLFTFEGEIGAGSKVKMVSELLEGIHFIASVEALSLGAKAGIHPWIIYDIISNAAGNSWVFKNNVPLLLKGEVKRQILNTLVKEMETILAMAKSLTFPLPLLATAHQQLIHGVSHVCHEDNDDTALIKIWEKVYGVKVSDAANADAYKPEKLASEVITASESRKRVAFIGLGAMGFGMATQLVKSKFSVFGYDVYEPTRVRFSDASGFVGNSPAEVSKDAEVLIIMVANEVQAENALYGEHGAVLVLPPGATIILSSTVSPAYVSQLERRLHNEGKNLKLVDAPVSGGVQRASDGTLTIMASGTDDALKSVGNVLSALSEKLYVIKGGCGSGSGIKMVNQLLAGVHIASSAEAMAFAARLGLNTRLLFDFITISGGTSWMLENRVPHMLNNDYTPYSALDIFVKDMGIVTRESSSLKVPLQLSTIVHQLYLSGSAAGWGRKDDAGVVKVYETLTGVRVEGKVQSFKKDAVLQSLPPEWPQDHVLDIQKLKESSSKILVVLDDDPTGTQTVHDIEVLTEWTVDSLIGQFKRSPKCFFILTNSRALSSDKATILIKEICKNLDTAAKSVDKIDFTVVLRGDSTLRGHFPEETDAVVSVLGEMDAWIICPFFLQGGRYTINDTHFVADSEMLVPAGDTEFAKDASFGYKSSNLCDWVEEKTNGRILASSVVSISIQLLRKGGPDAVCKHLCSLKKGSVCIVNAASERDMTVFALGMIKAELSGKRFLCRTAASFVSACIGIISKPPVLPKDLGIGRERNGGLTIVGSYVPKTTKQVEQLKLQCGHFLRSIEASVEKLAMGSIEEREDEISRAAELADVYLKNRKDTLVMTSRNLITGKSASESLDINYKVSSALVEIMKRITTKPRYIIAKGGITSSDLATKALGAKCAKVVGQALAGIPLWQLGPESRHPGVPYIVFPGNVGDSGALAQVVKSWTCPSTISSTKEILNNAEKGGYAVGAFNVYNLEGVDAVVSAAEETQSPAILQIHPGALKQGGIPLVSCCISAAERASVPITVHFDHGTSKEDLVEALEQGVSSLMVDGSHLSFEENVKYTKFISLLAHSKDMLVEAELGRLSGTEDDLTVEEYEAKLTDINKAEKFIDETGIDALAVCIGNVHGKYPASGPKLRLDLLKELRAVSQKKGVLLVLHGASGLGTELVKECINLGVRKFNVNTEVRKAYMDSLNTPKSDLVNVMASARDAMKAVVAEKMHLFGSAGKA >RHN43003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42502218:42502925:1 gene:gene49521 transcript:rna49521 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVNRSGYVMNSIVCDGLNIFNTTSCVIVIIHKMHLCGYNSLIEKEVSILGWFCSATHRRSFLAWSGAIEFGISVVKKLANVGLSAEFVNSYSCIGISDVGTLSLLKPTFRLISRELSITCKLLLVSRNEPSWFFGSSSILPMVKQVVDGAATFSTTLARENRLHY >RHN58762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4590938:4593277:-1 gene:gene20704 transcript:rna20704 gene_biotype:protein_coding transcript_biotype:protein_coding MGADIHGITLVDKMIALKAIFHPTFYPSNNTTTFSTLHPHHNTARRRSSVFLCLCSTNSNDEPDNNSKPGGDVQSQELLAQLAMLETEKVRLTDYLDERSEYLTQFGEEAKAEIDKIGEDALKGLDEASDRITAKIESEMLAFEESNELDRVEFEESENKVMEIEGQMEVDRNEGLFFKNLGQKGANVDKAKAKEEIEKMKDVTTSEKNGRKTRKNVYLFFIGLFTYGIVGSINVSSLSSTDWKRVAVLGAILLALFSQFIFEQNKDNNQKDDEQ >RHN59960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15365984:15369411:1 gene:gene22132 transcript:rna22132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKFSSLFTPQIFNTPLITHYHTLKPNKPNPWFIKIVTTLFLSKTPLNNTISNYLNHHLTPSLAFEVIKNLTHQHSRFNFFIFTKQYLKLSHSFWTYNFLFRSLCRESQHNSAKILYDVMRSDGLLPDGRLLGILVSSFAFVGRFDVCKEIVNDCLRNSVDVNVVVYNNILNILVKCGRLDDAVSLFREIVRLNLNVDSFTFNILIRGFCVAGEIDEAFRFLNDMRNFGCCPDVVSYNTLMTGLCRVNDVDRARDLLKEINSPNDVSYMIVISGYCKLSNMKEASSIFNEMVRSGVQPSVASFNALIDGFVKAGDISSAVDMHKKMILHGCDPDVVTFTSLIDGYCRVGQVDYGLELWNEMKARNFSANLYTYSIIISALCKSNRLQEAHELLRLLNQSEIVPQAFIYNPVIDGYCKSGNVDEANAIVVDMEKKCKPDKLTFTILIIGHCMKGRAYEAIGIFYRMLATGCSPDDVTIRTLSSCLLKSGMPTEAARVKEILFKNQGSSPKNSYHQSTDSDTQHSLY >RHN70044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48293843:48298657:-1 gene:gene18584 transcript:rna18584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative XS domain-containing protein MKTPPAIKPQSHFLTILHFVPNFTFHQLVTLTSFITLAFSGSAWLSTVAVSPTFLQPIFAETPMMILWCTRMSSRRGGRPFTAAGNDDFQSKGKGVSQFDPKTEQLAQGIADVNLGSGQDDGPWETYSKKSKNRGGGSGAAKQRGPPAHGSNPRAWGNADVAQKQGVWNNSGAGRAAGNPWQTPNAGYMRPAGRGNGRPQPATGGYGYNVTSNPIIPPPLQGGWNWKSTHARNEIVPEEFEQKNDGEEDDDDEEEEEDDCDDLEDTDDDLMSDEYDSDASQKSHETRKKSKWFKKFFQNLDNLTIDQINEPERQWHCPACQGGPGAIDWYRGLQPLMNHAKTKGSKRVKVHRELAVLLDEELRRRGTTVVPAGEAFGKWKGLKEEEKDHEIVWPPMVMIQNTQLEQDENDKWTGMGNQELLDYFSSYAAVRARHSYGPQGHRGMSVLMFEASASGFLEAERLDKHFAEQGTNREAWFSNRRRYNLFLPGGNRQLFGYMATKEDLEAFNRHCQGKTRLKYELRSYQEMVVHQIRQMNEDNQQLLYLKNKMVKEKKHSKALEESFGIVTEKLRKTMEENRIVRRRTKMQHEEIKEEMYEQEQFFKERISSIHDKRNAKEEDFERMQQEEREKVKKTTTGPANAEEERRLKVEGYLKFVELQDKEMESFVDEKEKLSQAHEESIAAMKKRHWEEEVEMEKKFDEDLAKLMEKYSPSHQ >RHN73583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17866213:17868632:-1 gene:gene9409 transcript:rna9409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MDTKKQALLLLLVLTIFPFTIKASSSGGIAIYWGQNVTEGTLTSTCDTDNYDIVLLTFLEFFGGGRVPSLNFAGHCDGLNCRKLEPEIKHCQEKGFKVLLSLAALGALNSSEEAKNLSDYLYTNFLSGQFGPLGSVTLDGIDFDIEGAATNLYWDDLARELDNLRQQNSYFYLSAAPQCPMPDYYLDKAIKTGLFDYILVQFYHNTPCQYDQINSDATDLLKSWNAWTSSVLPNNTVFMGLPASPDAASEGYIPPDDLISKVLPIIKQTSNYGGVVLWDRAHDIENDYSNQIKEYVKRSVLRFVTKVSEAIVGSISASLNSMFPN >RHN82584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54961466:54969103:1 gene:gene6758 transcript:rna6758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MEGLTGLSHLFVTMFLAGFGGIIVMPSITDVTMAALCPGQDECSLAIYLSGFQQVMIGIGSVMTTPLIGNLSDRYGRKALITLPLTVSLIPQAILAYSRDTKFFYAYYVVKTLAALAGEGSFHCLALAYVADIVPVGKRASAFGILAGVGSASFVGGTLAARFLSAALTFQVASVSSMIGLVYMRIFLKESAPMRQPLLKEAEEPCIEQCEDDLPQRTFKKLPSMGDLICLLKCSPTFSQAAIVLLFNSLADGGSMAVTMYYLKARFQYNKNQFADLMMISGIGATLTQLFLMPILVPAVGEEKLLTTGLFVSCISMLMYSISWSAWVPYALAGFSVLGVLVRPSLTSIASKQVGPNEQGMVQGCLSGITSAANIISPLIFSPLTALFLSEDAPFNFPGFSLMCLGLTLMTAFFLSLMIRAPPPIMGDKVSSNRCTETLV >RHN46176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29388038:29390314:-1 gene:gene40620 transcript:rna40620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MQEAYCKIYQTMSSKLLALFFMFVTLVVASEDNNISFTYNGFKSSHLYLDGVAELTSNGLLRLTNDSRQQKGHAFYPNPIVFNNGSSSNVSSFSTTFVFAIKSEFPNLSGHGIVFVLSPTKGLPNSLPSQYLGLFNESNNGNNNNHVFGLELDTIMNTEFDDINDNHVGIDINGLKSEKSASAGYYDIDGNEFKNLSLFSGLPMQIWLEYDGVKKKIDVTLAPINVVKPKQPLLSLNKDLSSILNTSMYVGFSSSTGSILTSHYILGWSFKVNGQAQNLVISELPKLPTLDEKHDSKAIMIIGLPLISLCLILMVAIAIFHFIKRKKMFSELHEDWEKDYGTQRFKYKDLYFATKGFKEKELLGTGGFGRVYKGVMPISKLEVAVKRVSHESRQGMKEFVAEIVSIGRLRHRNLVPLLGYCRRKGELLLVYDYMQNGSLDKYLHTKQQRFTLNWSQRFRIIKGVASGLFYLHEEWEQVVIHRDIKASNVLLDGEMNGRLGDFGLSRLYDHGTDPQTTHVVGTLGYLAPEHTRTGKATTSSDVYSFGAFLLEVVCGKRPIEQVRECESESIILVDYVYDCWKRGEIIEAKDVNLGVDYVVEEVELVLKLGLLCSHCEALARPSMRQVLRYLERDLQLPDLSFLSLSSMGLSYGQCENFQDFGMSYPSSSMDRPFSHTSSIAESLLSGGR >RHN56091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27594200:27594607:1 gene:gene31425 transcript:rna31425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDVPEDAFRYVVADIFKCARDMVNGVYKNQRVLSIRVVLSITRASEDDTDDDDEEVDGDEENNGLIPAAKSCIDELEVVKVEKVEECAICLNDVIVGVAMPCLSHTFHMKCIRRWLNRGNSCPLCRIQLPTRTSK >RHN78856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19228681:19231309:1 gene:gene2517 transcript:rna2517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MQCIEDQKVRFGTHQLSEEADDWWVSLLPTLGQEGAVVTWAVFRREFLRRYFPEDVRGKKEIEFLELKQGNMSVTEYAAKFVELAKFYPHYTAENAEFSRCIKFENGLRPDIKRAIGYQQLRVFPDLVNSCRIYEEDTKAHYKIVNERKGKGQQSPPKPYSAHADKGKQRMVDDRRPKKKDATEIVCFNCGGKGHKSNVCPKEIKKCVRCGKKGHIVADCKRTDILCFNCNGEGHISSQCTQPKRAPTTGRVFALTGTQTENEDCLIRGTCYINNTPLVAIIDTGAIHCFIAFDCVSALGLGLSDMNGEMVVETPAKGSIPDVPPEREVEFSIDLVPGTKLVSMAPYRMSASELSELKKQLEDLLEKKFVRPSVSPWGAPVLLVKKKDDSMRLCIDYRQLNKVTVKNRYPLPRIDDLMDQLVGARVFSKIDLRSSYHQIKVKDEDMQKTAFKTRYGHYEYKVMPFGVTNAPEVFMEYMNRIFHAFLDRFVVVFIDDILIYSKTEEEHVEHLKIALQVLKEKKLYAKLSKCEFWLKEVSFLGHVISGDGIVVDPSKVEAVSQWETPKSVTEIRSFLGLAGYYRRFIEGFSKLALPLTQLTCKGKTFVWDVHCENSFGELKKRLTTAPVLILPKSDEPFVVYCDASKLGLGGVLMQEGKVVAYASRQLRVHEKNYPTHDLELAAVFFVLKIWRHYLYGSRFEVFSDHKSLKYLFDQKELNMRQRRWLELLKDYDFGLNYHPGKVNVVADALSRKTLHMSAIMVREFELLEQFRDMSLVCEWSPQSVKLGMLKIHSEFLKSIKEAQKVDVKFVDLLVARDQTEDSILKSMTKVC >RHN47748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42234174:42235904:1 gene:gene42383 transcript:rna42383 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAHRICEVAQIKSGALMVGKNEIVYLEFIPILCLCMLLFCVQVTFQGLCEVNMKNRANTRRISFGKFVLLLINLHIQQVLTTSNRHHGC >RHN47632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41123223:41130512:-1 gene:gene42254 transcript:rna42254 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFDRETASYTLGWLSVVIWVIAEIPQIITNYRAKSTDGLSATFLITWIIGDLFNLFGCILEPATLPTQFYMAVLYTIITTVLGSQAIYYGYIYPRSQYKRLLKNEQVETPTKAGQVEKLSDAEQSHQFDDFSRGTGRSSPIPLPVHLPSIFTGREELFYQSARSLSKSHTPTAGSIIAQRMSPTSPFLDSTEKNLLSPDVATQSDPSLKIKSTLSVVSTLTFLGVINLHKSLEKIINPLVSNPRQQFVVYVGRKLLQVSGDQLMENGASRTSSIGTFFGWAMAVIYLGGRMPQIFLNIRRGHAEGLNPLMFLFALIGNATYVASILVRSLDWSTIGPNLPWLVDAGGCVLLDFFILLQFLYFRCRTSSSL >RHN61196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33778276:33781269:-1 gene:gene23634 transcript:rna23634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, DPH-type MSYDDVEIEDMEWNEELQSYTYPCPCGDLFQITKEDLKLGEEIARCPSCSLYITVIYNMEDFTADSNKALQPSKQQPVTVA >RHN56014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26830204:26831394:1 gene:gene31328 transcript:rna31328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MMKRQRHNHNPNPNPNQDRLSDLSDCLLLHILSFLDTKHAVQTCILSPRWNNLWKHLSSLKLSSIHFPKNLKGFTKFVSHVLSLRNDTTSLLALDFHRSGTMDPRLLKRILKYAFSHNVQQLQVDVKCVNPQFSPSFFSCHTLTTLKLKIDYYSHQNLFPTSLNLPQITNLSLHRFIFCVGDDGRVNPFSTLNKLNTLVITGCIVRDYLNLCIVNATLANLRIQSGFSMSEMKFQLSTPNLCTFVYRGYDPLQKLCESNINLSSIEHVNLNVEMWVTYIESPLFLLNWLVELGNMKSLSVTSRTLKVLSLVPNLLKVEFPTLYNLKSLKVEMGGSSIPKGLVEFLLQNAPSAKVDILPH >RHN53639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3005244:3005468:-1 gene:gene28566 transcript:rna28566 gene_biotype:protein_coding transcript_biotype:protein_coding MFEICLASLRAREKWVFFCCLNVGDLGINGVNMSVETEVDDDDNLHMV >RHN57163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37018001:37020467:1 gene:gene32667 transcript:rna32667 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PUB1 MNDPRSKMMISPGLLPTESLLDSLILISNEVSSMQKFPLVQIKNVSSMIRRIKLLSSLFEEIQESDSPLPPSSILCFIEIFSVITRVKVLIQECTDGSSLWSLIQLDFISNQFFVLVKEMGRALDILPLNLLNVAQDIKEQVDLLHKQSKRVELELFIDPREVQRRENLFEVMSKNCLQNKKTNNNKGFIDFVKVEEIMCSIGLRTLSDYVEEISKLEVEAQNQAGTGGLIVVSNINNLMSLVSYTKSMVFRNDGESEECKPISMFLYNKSKIHDNDSSSSSSFSQSMMTVNIPDEFRCPISLDLMRDPVIVSSGHTYDRISIAEWINSGHHTCPKSGQRLIHTALIPNYALKSLVHQWCYENNVKMNEAITKNNNSSSKRHKNENAIDHISENKASKDAVKMTAEFLVGKLATGSTDIQRQSAYEIRLLAKTGMDNRRIIAEVGAIPFLVTLLVSKDSRIQEHVVTALFNLSIYDNNKILIMAAGAIDNIVEVLEFGKTMEARENAAAAIYSLSMIDDCKVQIGASSRAIPALVGLLKEGTIIGKRDAATALFNLAVYNPNKLSIVKSGAVTLLVELLMDDKAGITDDSLAVLAVLLGCSEGLEEIKNSKSLVPLLIDLLRFGSVKGKENSITLLLGLCKEEGELVAMRLLANPRSIPSLQSLAADGSLRARRKADALLRLLNRCCSQPHHSL >RHN44171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2192050:2193144:-1 gene:gene38260 transcript:rna38260 gene_biotype:protein_coding transcript_biotype:protein_coding MRYILCKILISNSSKDLEKREINTLDIRFLSIHIIITHLSSELLTIWLFRALQL >RHN60499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27374638:27377535:1 gene:gene22820 transcript:rna22820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKMRIHMAQIIMFFYALIIFLSPFLVDRRSFPSSFVSPKSYTSEIPCKATRDCPYELYYETKWHWIRG >RHN58078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43568782:43569691:-1 gene:gene33685 transcript:rna33685 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLGKTTPTTSTKKKTRTRGRKLTRSNIKNQHLQSKQQETSSENCSSNMDSVKVVDDVSITSNSLCSTPKGKKFRIPEISTCPPAPKKQRVLSNFSLRRSFFATPDLEKFLCVALQDSLASFVC >RHN65981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7241940:7250067:1 gene:gene13890 transcript:rna13890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Glycosyltransferase family 92 MPITSHHNHLHTPLRQPASTTSSSFTSKILLLLTLLPVSLATIAFILQWRGGITDPATLLSPHGAHNFPGMDSSPLSPLTHTTHSSDCLNLGRSSSPSFPYYHNWKLDFGDSLTPKICVTSSTSAGLEQILPWMFYHKVIGVTNFFLFVEGKAATPEVSKVLESIPGVKVIYRTSQLEEQQAKSRIWNETWLASFFYKPCNYELFVKQSLNMEMAIVMARDSGMDWILHLDTDELIHPAGAREYSLRQLLLDVPGNVDMVIFPNYESSVERDDIKEPFSEVTMFKKNYDHLPKDTYFGMYKDSVRGNPNYFLTYGNGKSVARVQDHLRPNGAHRWHNYMKTPNEIKLEEAAVLHYTYAKFSDLTSRRDRCGCKPTKEDVKRCFMLEFDRSAFIIASTATEEEMLKWYNEHVVWGDKEVKIKLLRKGILTRIYTPMVIIQSLRESGVFSSVIASAPSLSKEKFLHSIDSSNSTRAIASLSLPSRKVGRTKESQATARKVLDLESSVFQELAVPPQSPPGVVEDSNLISHS >RHN50494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7618724:7622453:-1 gene:gene34784 transcript:rna34784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S7e MFTSRKKISKDKGAEPTEFEESVGQALFDLENTNHELKSELKDLYINSAVQVDVSGNRKAVVIHVPYRLRKGFRKIHVRLVRELEKKFSGKDVILIATRRIVRPPKKGSAAQRPRSRTLTAVHEAMLEDVVLPAEIVGKRTRYRVDGSKIMKVFLDPKERNNTEYKLETFSAVYRKLSGKDVVFEYPVTEA >RHN56485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31237622:31246644:1 gene:gene31893 transcript:rna31893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MENLRNNNSMTEEEDDPGSALSFDSTESRWVFQEDEDPSEIEDYDASDMRHQSMFDSEDEDNAEMKLIRTGPRIDSFDVEALEVPGAHTHHYEDMTTGKKIVLAFQTLGVVFGDVGTSPLYTFSVMFRKAPINDNEDILGALSLVLYTLILIPFLKYVLVVLWANDDGEGGTFALYSLICRNAKVNLLPNQLPSDARISGFRLKVPSAELERSLKLKERLESSFTLKKILLLLVLAGTSMVIANGVVTPAMSVLSSVNGLKVGVDAIQQDEVVMISVACLVVLFSLQKYGTSKVGLAVGPALFIWFCSLAGNGVYNLVKYDSSVFRAFNPIHIYYFFARNSTKAWYSLGGCLLCATGSEAMFADLCYFSVRSVQITFLFLVLPCLLLGYLGQAAYLMEHHADAGEAFFSSVPSGAFWPTFLIANIAALIASRTMTTATFSCIKQSTALGCFPRLKIIHTSRKFMGQIYIPVINWFLLAVSLVFVCTISSIDEIGNAYGIAELGVMMMTTILVTLVMLLIWQMHIIIVMSFLGVFLGLELVFFSSVLWSITDGSWIILVFAAIMFFIMFIWNYGSKLKYETEVKQKLSPDLMRELGCNLGTIRAPGIGLLYNELVKGIPGIFGHFLTTLPAIHSMIIFVSIKYVPVAMVPQSERFLFRRVCQRSYHLFRCIARYGYKDARKENHQAFEQLLMESLEKFIRREAQERSLESDGDEDTELEDEYAGSRVLIAPNGSVYSLGVPLLADFNESFMPSFEPSTSEEAGPPSPKPLVLDAEQLLERELSFIRNAKESGLVYLLGHGDIRARKDSWFIKKLVINYFYAFLRKNCRRGVTNLSVPHSHLMQVGMTYMV >RHN51256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15436849:15437328:1 gene:gene35666 transcript:rna35666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MKRRITKLLSLSFSSLQSQIQNHHQPLSKSLPPTSSFSTFSNSERDSLILQQFKQRKLKGSSNSVLNSSFDDTNDEKMIQNGLKNGTMVVGGFKELGMSDELIEVMEEIGEFVPSEIQCVVIPTILDGKSLLLSSPSQHDRTLAYLLLLFRFMKFSFLT >RHN40128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13507735:13512827:-1 gene:gene46234 transcript:rna46234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative abieta-7,13-dien-18-ol hydroxylase MLFQSIMDFLSNPFLFAALSASLTLLLVQLLLRKLNNKSNNMKKKKYHPVAGTVFNQMMNFNRLHHYMTDLARKYRTYRLLNPFRSEVYTSEPSNVEYILKTNFENYGKGLYNYQNLKDLLGDGIFAVDGEKWREQRKISSHEFSTKMLRDFSTSIFRKNAAKVANIVSEAATSNFKLEIQDLLMKSTLDSIFQVAFGTELNSMCGSSEEGKNFANAFDTASALTLYRYVDVFWKIKKFLNIGSEAALRKNTEVLNEFVIKLINTRIQQMNSKGDSIRKSGDILSRFLQVKEYDTTYLRDIILNFVIAGKDTTAATLSWFMYMLCKYPAVQEKAAEEVREATNTKTVSSCTEFVSCVTDEALEKMNYLHATLTETLRLYPAVPVDAKICFADDTLPDGYSVKKGDMVSYQPYAMGRMKFIWGDDAEEFRPARWLDENGNFQAENPFKFTAFQAGPRICLGKEFAYRQMKIFSAVLLGCFRFKLNDEKRNVTYKTMINLHIDGGLEIKALHRD >RHN67531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28004287:28008716:1 gene:gene15727 transcript:rna15727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial brown fat uncoupling protein MSISDPNHITFAQSFLCSAFAACFAEFCTIPLDTAKVRLQLQKKGGVGDDGMGLPKYKGLLGTVKTIAREEGVSSLWKGIVPGLHRQCLYGGLRIALYDPVKTFLVGAAFVGEVPLYHMILAALLTGALAITIANPTDLVKVRLQSEGQLPSGVPKRYSGAMDAYSTILRQEGLGALWTGLGPNIARNAIINAAELASYDRVKQTILKIPGFMDNAFTHLLAGLGAGLFAVFIGSPVDVVKSRMMGDSSYKNTFDCFLKTLFNEGFLAFYKGFLPNFGRVGVWNVIMFLTLEQAKRVFRG >RHN42684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40243736:40244709:1 gene:gene49162 transcript:rna49162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cathepsin L MRILLVVQYHWSTRRCKLFGHWTGHLVSLSEQQLLDCDQSDFGCQGGWMSNAFQYIIQARGLMEEKEYAFAGREGSCKFEKSEIMASVSNYNMVYADQYQISASIVKHGPVSTWMQTYVCGVSCPDVCAKEDLSHAVLLVGFAKFQISNCNQSRNVESNSKLENSNRFK >RHN46515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32420135:32421004:-1 gene:gene41008 transcript:rna41008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylylsulfatase transcription factor bHLH family MECNTISYSYGSCSKRKEKKGVKKSKGSSVKLSTDPQSVAARERRHRISDRFKILQSMIPGGSKLDTVSMLEEAIHYVKFLKKQIWLHETLINFVDDIGESHMLLPQDYSSNDKNIPSGTFESMQNLPQLPLEQFCFQGDKDIKTIFDATMKY >RHN77933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10601678:10602410:1 gene:gene1441 transcript:rna1441 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLPSLVLFFHLFDHVVAQEVHSLKTYASRIERHLLVPEEFDLGLH >RHN69646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45204780:45206671:-1 gene:gene18141 transcript:rna18141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Nin-like family MDFFTQPEITQSFNWFCNVQPQQNDTDKIMGIAPFDDFFEFDWATQFSYQSNHFYMNDFDDLENFNFDFNLPHLDQNNFEVDKKPLNVVLPELGHYNHKGETVGYVEASVKTESGFVSFVKKENEWENQELSSLVPLALQSSSTSIIRKRSSSLQFDDIKKHFDVPITMAAKKLNVGVTFLKKRCRELNITRWPHRKLRSLMLLIDNLKDKGLTKEVAMLEKQKKMLEKLPGMHLNDEIKKLRQACFKANYKNRKLIALCP >RHN62811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45995201:45996166:-1 gene:gene25425 transcript:rna25425 gene_biotype:protein_coding transcript_biotype:protein_coding MWDELVEEVKVISWKWLLGRFNISACMFYEWCWSSRECLLRRCLFVDCTWAAVLCVCSRLSSFCLVFGEAAVMAAGLCFGCGQQVWGFFV >RHN79074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22135001:22138984:1 gene:gene2770 transcript:rna2770 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVKVNELEVTLKPFYRRASEAEERLSRLEAAINSRKDTESGEQLKVVNDLQSKLEVANAELISEKRKAQVLAAENEKLQYRIIHLLRSLKNADLKLEQVKAQEQLESLKLRDS >RHN62351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42821077:42821669:1 gene:gene24915 transcript:rna24915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MARILKNVYTIIHFLIINFLLLFHVLNVRRQTEPPGPLIPCEFDYDCPLIDCIRTSDSRCINGNCHCRE >RHN78269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13454182:13455987:1 gene:gene1803 transcript:rna1803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLKLGTNIHLEHGIKALIEDVENLYLDDVDGIQNVLPNLNREGFTLLKHLHVQNNTNLNHIVDNKERNQIHMSFPILETLVLLNLRNLEHICHGQPSVASFGSLSVIKVKNCVQLKYLFSFTMVKGLSHLCTIEVCDCNSMKEIVFRDNNSSANNDITDEKIEFLQLRSLTLEHLETLDNFFSYYLTHSRSKQKCHALEPNVSAPFFNSQVAFPILDTLKLSSLLNLNKVWDDNHQSMCNLTSLIVDNCNGLKYLFSSSFVESFMNLKHLEITNCPMMEEIIAEEDRNNAVEEVHLLKLEKIILKDMDNLKTIWHHQFETLKMLEVNNCKKIVVVFPSSTQNTYNELEKLEVRNCALVEEIFELTFNENNSEDVATHLKEVTIGRLSKLKKIWSGDPQGILINWNIVQTWSIYYHSL >RHN46138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29093995:29096102:-1 gene:gene40581 transcript:rna40581 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSTQAEEHVSLKLLLNENGNKVLFAEAGKDFVDILCSFLTMPLGTIARLVEKESSIGQVTVGCLNSLYKSVADLDEGCVSNETIKQMLLQPINSAEDYCNTLKINIDDTQPTKYFTCATYSIGCLYRNITNSTYKDKHKCHCGNSFTHPIILTRLPQGFVNDVATFVITDDLTIKPNCIDYTSFSLFEEFGIKNPSSVKEVVLNFTKEKVLDLLKCSLLSKSTLTDLFLEKKPSLERSRFILCDVEISDNIQINLKLVIRKSDNKVLYAQGQQDFANLLLSFLTFPLGGIVRIFGENCSFGSMNGLYKSIVDLDENQYLTSMEAKNRLVDPCISPQLKLSKSILPILKPGVHKYYGYVESNSIIHVQIFKTDVDKTICAGSITELNLRYGVNPGEDYVKGPAMYFATDDLVNVAPLTPISALGLLNRLKTPLNDLKEKVVTIGTKECLSILKAALTSTSALTNGLAHM >RHN45773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25458169:25462054:1 gene:gene40170 transcript:rna40170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADPH:quinone reductase MENKLMRAVQYNAYGGGSTGLKHVEVPIPSPSKDEVLIKLEAASINPFDWKVQKRMLWPFLPPKFPYIPCTDVAGEVMMVGKGVKKFKTGDKVVGLVSPFSGGGLAEFAVVKESVTASIPQEISASECVGLPVAGLTALQALTKSIGIKLDGSGERKNILITAASGGVGHYAVQLAKLGNTHVTATCGARNMEIVKKLGADEVIDYKTPIGVELKSPSGKKYDAVVHCASDFPWSVFEPNLSTNGKVVDITPSSASMLTFALTKITFSKKQLVPLLLIPKGRDLQFLVDLIKQGKLKTIIDSKFPLAKAEDGWSKSIDGHATGKIIFEF >RHN57050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36117126:36117840:1 gene:gene32540 transcript:rna32540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MSLIPSFFGGRQNNVFDPFSMDIWDPLQGFPSSARETTALANTRVDWKETQEAHVFSVDLPGLKKEEVKVEIEDGNVLQISGERNKEQEEKDDKWHRVERSSGKFMRRFRLPENVKMDQVKAGMENGVLTVTVPKEEEKKSEVKSIEISG >RHN45517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21958209:21966624:1 gene:gene39872 transcript:rna39872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MNLKYEQRLQVAAKIMLDDDESSGDAAPSEEELGIKATLKPHQVDGISWLIRRYKLGVNVVLGDEMGLGKTLQAISFLSYLKVRQLSHGPFLVICPLSVTDGWVSEIVKYAPKLEVFKYVGDKEYRRSLRMKTHEHVTKQPTHNVMLPFDVLLTSYDIALMDKDFLSQIPWQYAIIDEAQRLKNPSSVLFNVLKDRYIMPRRLLMTGTPIQNNLSELWALMHFCMPSVFGTLDQFLSTFKDISDLTSVHDSPKVKERLQILRSVLAAFMLRRTKSKLMECGSLVLPPLTETTVLVPLVSLQKKVCMSILRKELPKLVALSSGTSNHQSLQNTVIQLRKACSHPYLFPGIEPEPYEEGEHLVQASGKLLILDQLLRKLHHNGHRVLLFAQMTHTLDILQDYLELSKYSYERLDGSIRAEERFAAIRSFSNSSANTGLNFEANQNGAFVFMISTRAGGVGLNLVAADTVIFYEQDWNPQVDRQALQRAHRIGQMNHVLCINLVTEHTVEEVIMRRAERKLQLSLNVTGDNIVEQEDKQLSSVGTGDLKSIIVGLRMFDPNEINDGKDKDMDLTEINAMADKVIAIRDEQIFDKDDRKFEVNPRNFLKGFDVKERGSASFSCDLDLDEASYLAWVKKFEEVSKSSCDSVIDLKSRRNLDEEKSQKLETARKKAEEKKLSKWNAAGYQSLNVEDPISPPDGDTILDAGSVHFVYGDCTAPTNVPSTEPVLIFSCVDTSGRWGHGGMFDALSKLSSSISDAYERAYEHGDLHLGDLHLIRLEDDGNDQNLDGNAPKMVALAVVQSYNPRRKVPRSEISLVHLESCLSKAAFSAAQNSASIHMPRIGYQDGSDRSQWYTIERLLRKYASMYNVNIYVYYYRR >RHN63048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47937873:47940772:-1 gene:gene25701 transcript:rna25701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactan 1,3-beta-galactosidase MRMKNLYKKPITNLRCSSWSRYCSISLVILWTLLILGCILLLHLYSNNNTSLNIIHPPPLPSHFHQLQHVEKENFQIPPPNKKRSPQSKSITPLVDEFLDQDSSLRHVFFPHKTIDPMKTIGKGKNDSYNYYYPGKIWLDTDGNPIQAHGGCILYDENSSTYYWYGEYKDGPTYLHNNKGPARVDIIGVGCYSSKDLWTWKKEGIALAAEKTDKTHDLHKSNVLERPKVIYNEKTRKYVMWMHIDNANYAKATVGIAFSDTPTGPFKYLGSQRPHRYQSRDMTLFKDEDNVAYLIYSSEENNVMHIGPLTEDYLNVTSVMKRIFVGQRREAPAMFKHKGTYYMVTSGCTGWAPNEALVHSAETILGTWETIGNPCVAGNKMFRVSTFLAQSTFVLPLTRFPGLFIFMADRWNPSELRDSRYVWLPLIVDGHEDQAFQYGFDNKLWPRVSIYWHKKWKLPLGWNTF >RHN67767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30110598:30111502:-1 gene:gene15994 transcript:rna15994 gene_biotype:protein_coding transcript_biotype:protein_coding MNSATVESIEENGNGSDSDVNSDDATEYYQPISAVDDDGSSDGENANDFHQIPNGYTAHGGAENGISMLDLNDDEEEEEERSGEEVENEIQRALREEERRRIAPLTAENATRVMEAMRGISFAGEAPRWVSEVPEERWIDQIRRRRQSSNT >RHN58297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:561674:567415:-1 gene:gene20195 transcript:rna20195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UBX domain-containing protein 2/7 MEFSDKEETLISIFLNTARPQNDDTAIHFLQASNWDLEEALHCYLSGDYDDQAILLSDRGSRLGHISPEKRNSLPYIVQEPTRVWETELGATTSTAESSRQDNLASLYRPPFHLLFDGSFYKAKSAASMQDKWLLVNIQSTREFSSHMLNRDTWANDAVSQIISTNFIFWQVFDDTYEGHDVCADYRLDSIPAVLVIDPITGKKMCSWDGMVEPQSLLEGLLTFLDAGPTDHHNTLSHKLPRRNSSPSKSTDSDASEVGDEEVQRALEASFKSVKESSEIAGGDNKYENVASNLQETTVMEFEKLVI >RHN72984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12350123:12350593:1 gene:gene8753 transcript:rna8753 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIELKKVLVIMFMIIIMLVVVQLCDTTQSKIVDESCAIERFACRAECLITCAGFDNCLEGCFEHCLM >RHN39710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9831085:9833938:1 gene:gene45763 transcript:rna45763 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRSQRIQSMKKHGSSDSDSNSHDHDDKSCKVISKLLLLEDLLFHVLTLVPLTCLFNSARYVCKPWAATIASSPFAEAYERRPRSKPGLYVQNCTTTSSSYFLEFKDDVNDQFERTDLGTPQKLGYVIGSCDGILLLMSMARQISVVNPILKCWLRFPRFLSQDHIVVRWQYTIARVPRTSKFKLFLIDVLEVSGACWYVFYVLRIGIDNAWKEIAKKEAPIRWHFLWFPLYSGGNDLYWITYDKVIVMDVDKETIVREYPLPSRNMRFGPLAILLWMGNRLSCIATKDTYSTYQIYILDFDSGKWSLHHEMGPFDYVSACGHRLNTTDVKFRLWINDQIIFRVRLPQSPIGNLLPGLQYIHFGYNVKTRQLTKIEDIDVGNFEVCLHTNSLVSLPGTPA >RHN62687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45166932:45167429:-1 gene:gene25287 transcript:rna25287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MTGIGCKVRNNLVWEKPSETWLKCNVDAAFHDRNRLTSSACCVRDSRGKFIRAQTKWKRANMTVLEGEAVALLDAIHFAYVNRWDRVVFESDSATLVQALSSLGHGDSEFYAIVSSIIYQLSLHSNFEVKFVRRQSNMVAHTLARAVCSWASHRIFNSYPSCIAH >RHN75294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41042623:41048869:-1 gene:gene11471 transcript:rna11471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rab-GTPase-TBC domain-containing protein MWRDPGVSADSFYETRPDCSNDVPISRFKIKAGKTLSPRKWHDAFTQEGYLDIGKTLRRIYRGGVHPSIRGEVWEFLLGCYDPKSTFDERDQIRERRRIQYATWKKECRQLFPLVGSGRFITSPVITDDGQPIQDPMIMPEGNQAKGLAVLLQDNNRPSSIDSVNNLENVTDKKLIQWMLTLHQIGLDVVRTDRTLVFYEKQENLSKLWDILAVYAWIDKEVGYGQGMSDLCSPMIILLDDEADAFWCFERLMRRLRGNFRCTGRTLGVEAQLSNLASITQVIDPKLHKHIEHIGGGDYVFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPYLFLMYEEAQSASVKAEGVKGKAKSIRQCGKYERQNMRNGAKNAESPLPISVFLVAGVLKDKSTKLLHEARGLDDVVKILNDTTGNLDAKKACNEAMKLHKKYLRKAKKA >RHN52300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33615305:33620885:1 gene:gene36960 transcript:rna36960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferroxidase MASKLLLQRRLFRFLRQSQQSSYSFSSSSSIQRSSFHSAKQTEISGFSTFSRSFCSRKSSLVDESNAPAPIDYTSLLQEGEFHRLAESTIHSLQEKFEDYGDFIDLDGFDIDYANDVLTVKLGELGTYVLNKQTPNRQLWLSSPVSGPSRFDWDQVTKAWIYRRNKANLYKILEDELEQLCGKPIVLS >RHN79445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29007442:29011792:1 gene:gene3236 transcript:rna3236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MRTGNCSLQQGLTTEAANIIKQAITLAKRRGHAQVTPLHVANTMLSVTNGLLRTACLQSHSHPLQCKALELCFNVALNRLPATTCNSPMLGSHHSQSQSQYPSISNALVAAFKRAQAHQRRGSIENQQQPLLTVKIELEQLIISILDDPSVSRVMREAGFNSTQVKTNVEQAVSLENPSSMSGKSKENNNQTLSSHSQEIVSNKTLVLDPIRVDDINSVLDNLKMNQRKSIVVVGECLATLEGVVKGVMEKFDKGDVDESLKGVKIISLSLSDFGNLSRVEIEEKVEELKGLAKKNFNGKGYVLYLGDLKWLFDYKKKQGMIRGYYCPLDHMIMEIGKLVNGVEKSGKFWLMCIATFQGYMRCKNGNPSLETIWNLYPITIPAGSLRLSLITESGLENESTNEKAENRTSWLLHEGVGEDQMIQKQQQACNSDSSSSSLPAWLQQYKNENKGISYNDQNVQVGELCKKWNSMCGSIQKQPYHCDDKILTLSSVSPSSSTSGFSYEQQQHPNNVSQSDHDRHFWTSQSGTKSNEPSNPNSTISSDLVEMEQLNNFKELNLENMRTLCNALEKKVPWQKDIIPEIASTVLQCRSGLVKRKGKNNDHDAKEETWLFFQGVDLEAKEKIAKELAKLVFGSYNNFISISLSSFSSTRADSSEESRNKRTRDEASCTYIERFGDAMSSNPHRVFLVEDIEQVDYFSQLGFKRAIEKGKVLDSNGEEVCFCDAIIILSCENFSSRSRVCSPKQRSSQEDKDDDINVATLEETSSYVSLDLNISIDEDYNEDDKLVDEIGLLESVDRKILFKIQEL >RHN59778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13032412:13036064:1 gene:gene21926 transcript:rna21926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MSSSNGGVPPGFRFHPTDEELLHYYLKKKVSFQKFDMDVIREVDLNKMEPWDLQEKCKIGSTPQNEWYFFSHKDRKYPTGSRTNRATNAGFWKATGRDKCIRNTYKKIGMRKTLVFYKGRAPHGQKTDWIMHEYRLEDGNDPTNEDGWVVCRVFKKKNLFKIGSEGGSTHNQDQQMNNISSTNQRSFMQRENQYLLHQQQHQNHHRNLSGFELEKPELSLHYQHLQNSQYSLFHSQPQPQPLQANFDYSYASPLQTEQPIIVKQLMTNPRDCESGSDGLRYQVSESGIEVGSCEPPQEMGVGRSGEGMNEWGMLDRLVNEDSTKEATRFEDANPNQINQLSLRGEMDFWGYGKQ >RHN74435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33223531:33226227:-1 gene:gene10508 transcript:rna10508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MILICIAIFLVSLACLWLWGNENKVKGLPPGPKGVPILGSLLKLGANPHLDLHKLSQKYGPIMHLRLGLVPTIVVSSPQAAELFLKTHDLVFASRPPIEAGKVMFYNQKDVSFSVYGSYWRNMRKMCTLELLSHSKINSFRNTREQELDLLIKFIREAANDGTTVDISAKVAALTVDMTCIIVFGKKYSDKDLNEKGFKASMKELMSLAATPNIADFIPYIGALDLNGLTRRMKAIGKIFDEFLEKIIDEHIQSENKDDNKTKDFVDVMLGFVGTEESDYRIERSNIKAIIMDMLIGATDTSATAIEWTISELLKNPRVMKKVQKELEIVVGMKRKVEESDLEKLEYLNMVIKESLRFHPVVPLSVPHQSMEDCTVEEFFIPKNSRIMVNAWAIMRDPNSWTDPEKFWPERFEGNNIDVGGQHFHLIPFGSGRRGCPGLQLGLTMVRLAVAQLVHCFDFKLPNDMLPSDLDMTESFGVTMPRANHLIAIPVYRL >RHN66977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22370114:22371300:1 gene:gene15104 transcript:rna15104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MMTILTTQMSLILLLFLSITTFHKCMCSNHTVVRCNEKDLDILLTFKHGINNSLSMFSRWSTEKDCCVWEEVHCDNIIGRVTEIDLSTYFFEYASVKVLKGEMNLCILDLDLGGVDLHKETNWFQVVNSLSSLLELQLFDYNLNNFLIGTSIRYLNLSSLVTLNLDENNFTSHLPNGFFNLTNDITSLDLALNNIYGEIPSSLLNLQNLRHLDLSNNQLQGSIIDRISQLPNFQYLDISANMFSGLIPSTVGNLSSLKHLFIGSNNFSGEISNLHFSNLSTLFSLDLSNSNFVFQFDLDWVPPFQLYQLSLRNTNQGPNFPFWIYTQKSLEMLDLSSS >RHN56389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30495033:30499408:-1 gene:gene31781 transcript:rna31781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase with a phosphate group as acceptor MWKCATSSFKSLLSLHITQEKDVVSPKHMDSVITFVLGGPGSGKGTQCARIVETFGFKHLSAGDLLRKAMVSDSEYGAMILETIREGRIVPSAVTVRLILREMQYGDNRKFLIDGFPRSEENRIAFEHITGTEPDFVLYFDCPEEEMVKRVLSRNQGRIDDNIDTIKKRLKVFEALNLPVIDHYARRGRLHRINAVGTEDEIFEQVRPVFAACEQTAA >RHN46247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29969800:29970262:-1 gene:gene40711 transcript:rna40711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family MEEMKNENESNERQDPLANFSHSSSTKNKKGGWKSVKYILGNETFEKLASMSLIANLVVYMHTQYNMDTAFSVEVFNIWSGLVNFIPLVAAYIADAYVGKFHMLIFGGIASLLVNTKKLS >RHN70903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54918494:54923145:1 gene:gene19536 transcript:rna19536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MEAEKDVSIKQYTNEEVDDDGRIKRTGNVLTATTHIITVVVGAGVLALAWAISQLGWIAGILVMITFSSISIYTYNLIADCYRYPDSVNGKRNYTYMQAVHAYLGGIMHVFCGLIQYGKLAGITVGYTITCSTSMVAIKKLICFHKNGHEAYCKFSNNPYMLGFGIFQILLSQIPNFHKLTLISTVAAITSFGYALIGSGLSLAVVVSGKGETTSLFGTKVGPGLSEDDKIWKVLTALGNIALACSYATVVYDIMDTLKSNPPESTQMRKANMLGITTMTILFLLCGSLGYAAFGDHTPGNILTGFGFYEPFLLVALGNVCIIVHMVGAYQVLAQPIFRIVEMGANMMWPQSSFIHKEYPNKIGSLTFNINLFRLIWRTIFVIMATVIAMAMPFFNEFLALLGAFGFWPLIVFFPIQMHISQKHINRFSLKWCVLQLLSLVCFFVSVAAAVGSIHGISKNITKYKLFMYKQ >RHN71452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:280845:284418:1 gene:gene7056 transcript:rna7056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stromal 70 kDa heat shock-related protein MASSSAAQIHGLGFSPLRKPSSSTSNSSSYSKTLFFGHRLNSNHATFPRAAFPKLSTNRKPFTFRVVNEKVVGIDLGTTNSAVAAMEGGKPTIITNAEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYRVIRDDNGNVKLDCPAIGKSFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFERKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLAGDFKRDEGIDLLKDKQALQRLTETAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLRTPVENSLRDAKLSIKDIDEVILVGGSTRIPAVQELVKKMTGKDPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVSPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAIDKGTGKKQDITITGASTLPGDEVERMVNEAERFSKEDKEKRDAIDTKNQADSVVYQTEKQLKELGEKVPGPVKEKVEAKLVELKDAISGGSTQTMKDAMAALNQEVMQLGQSLYNQPGAADAAGPTPPGSESGPTDSSSGKGADGDVIDADFTDSK >RHN80720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40420593:40423037:-1 gene:gene4678 transcript:rna4678 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKGTNTQSQTLDHKTLTPQPPPSTNDDPSVQIQNLKNLNNVLLKETTNHRNRIQLLLKANHAAMDVEDKNLALDLQNDVFFVFVKTQITELGFLFEKIVEEKNEIEYEVSVQREKMKDLGLCLESEERNVEKMRLDARKLFDEKAERESKVEELEKDRDLAVKKSIESVKVIDELKEKIDLVVKEKNDAEGVNSTQDVKISNLGLELQQLNEVLKSSRNEEAVMRGKIREMEETIEVALEKEREMMVENSKLVGEKKEMEKSIENLTEGRDTVYRTLDMVKRELENRQREVDEARRARDEVEKVKVGYENEVVKLQGEISVLNGAVEEWEIFARESGEKNNGLLSQVNHYRNAIDEVKSMNELNSKKFDEEKKKVENLQSQVVEMEEKIELLLSQVQCYKNAVEEVELERDNIRKGYDEEKNKVEDLEARVTELKLKQDTIMKRYDEATNKVENLELQVVGLKEKIENAEAELVKVSSEKEKMNETNKELGSRIDVLINEKDATHSSLIAAQRECKDLRAKFESSSINSKQALELLKRTVAHVSKESVEVIPRGEKKHEEEIQYFAEELEAIKKAFKAKDELVDDMKKQVASLQKSASDAHKGKNLWAGLSSAATICAFALTAYVAKGR >RHN49790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1136801:1138558:1 gene:gene34002 transcript:rna34002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MLELCFIYNTVKLNCDGSSVGTHPCGAIGIVIRDSQFGFLGALSCNIGHATPLEAEFCACMIAIEKAMELGLNNICLETDSLKVVNAFHKIVGIPWQMRVRWHNCIRFCHSIACVCVHIPREGNLVADALARHGQGLSLFFLQWWPAPPSFIQSFLAQDRYGMSSSRLNIR >RHN74673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35664007:35671984:-1 gene:gene10780 transcript:rna10780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MALQMTWNPNLLTPKRKTSPPLGLRNLGNSCYLNSVLQCLTFTPPLANFCLRSLHSSLCDNSGSSCPFCILEKQITRLLRLDLTSDAPTKIQSCIRIFAEHFRFGRQEDAHEFLRYVIDACHNSCLRLKKLRRKGGGGGEGGGSVVKEIFGGALQSQVKCLSCGYESNKVDEIMDINLDVFHSNSIRDSMQKFFQPEVLDGNNKYKCDGCKKLVAAKKQMSILQAPNILVIQLKRFEGILGGKIDKAVGFEEVLVLSNFMCKASQDPQPEYKLFGTIVHSGYSPESGHYYAYIKDAMGRWYCCDDSCVTLASLQEVLSEKVYILFFSRTNQRPASVSSSLASNGVKPHHSNGSQASESPKVDVQLKAVQAKSDSEQSSWKDMPSVSKIGKVPSGLRVKFGINGSSISKRSPVPVSLNGKVDVFSNQPLLTNGHATDSVSLENGKKDPSSPLPTKNGFDKTKVDVANNSKRKESTVTNGYAGIRKESTVTNGYAGIRKESTVTNGYTGIQTVDTHSVKLDPPEDIDRSEVISGREPANFKQETNGVLNKSKILGNKRKVQESPCILLAQDGQSRARVEEMKYILEKEAKSVLRSCGWTGKVDEFMRVRKRLHEKEAGCLTSDVKRKLIGDARSAFKSQIPESLRKDLIARLQSFSQEKVQFEGP >RHN74573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34816636:34816964:1 gene:gene10662 transcript:rna10662 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHCDEKREVYEYMIIQPKEKGKSNSGKGEHITSRPLQAAHDEYEEEATLAKQGCPHIAKLGKGRRIKPLKHSNFNERNCRDKFRQDPCRF >RHN44051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1265475:1266875:-1 gene:gene38100 transcript:rna38100 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFEASEELKQYAREYSASKIAERKRMKELYEKERDERLKAAGYVPTPVIAALASELEQETVQYGVTLLSQALKNKQASGATSSEPASKAPEAVHPEAQSSGNSSKPDIYTQIPSLPSSPSSSSTESDDQPLSQHIDKLLKTKPTKLTEFGTLDYERTQIEFSKNRIKLCEKFNLPTTHPLYPDIPEPVSLQQPEPNQEPNQTPQRASEVVSEATTSEIPQQQESSTIHNLEKHLGGEMQPTPTKASKTVPGKTVLENQQTETIPEQTVPEQDASEQVASDHQSTEPEQQPEPPIIDLTSSDQQTASDQPSTYHTTQSEPSTIPDSILESEYIDEQLIRLSDEIQTLILRRTVPVPPIHYLDQWMDLKKSFDDLLDKLSSKCVSCHSAMLQKMLDDMHEAARVKELNYVPLLDITPFYPEEEYITRAARIHAGYKRRMREKDELLKKKDEQIKYLLEQMYKQAQP >RHN56539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31752877:31753667:1 gene:gene31955 transcript:rna31955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MSPGHSCANVLTLAYQSLGVVYGDLSTSPLYVYKTSFSGKLSLKEDDEEIFGVLSFIFWIFTIIALFKYVFIVMSADDDGEGGTFALYSLLCRHARLSILPNQQPTDENLSAYSTEDSADTWQSSLLKLFFEKHPRFQKGLLIFVLLGTCMTIGDGVITPAISGSLIGSSPSQLLLLCLLDTSCTL >RHN61033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32407229:32410077:1 gene:gene23443 transcript:rna23443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MALRCILRKWKTHRLTSSFLTQFTSNPTKTINPIRDISSALSSTHFITSFEHKNVTGFREYHDGRPRGPLWRGKKLIGKEALYVISGLKRFKDDEEKLPKFITTHVLRLLKMDLIAVLTELERQQEVSLALKVFQVMQKQDWYKPDIFLYKDLIIALARAKRMDDVLQLWESMRKENLFPDSQTYTEVIRGFLSSGSPADAMNVYEDMKNSPDPPEELPFRILLKGLLPHPLLRNKVKQDFEEIFPDSSIYDPPQEIFGAR >RHN66139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8960300:8971071:-1 gene:gene14061 transcript:rna14061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAELVAGAFLQSSFQLIIEKLASVDIRDYFSSNNVDALAKELNIALDSINQVLDEAEIKQYQNKYVKKWLDDLKHVVYEADQLLDEISTDAMLNNLKAESEPLTTNLLGLVSALSRNPFESRLNEQLDKLEFLAKKRKELRLGEGPCARNEGLVSWKPSKRLSSTALVDESSIYGRDVDKEKLIKFLLAGNDSGNQVPIISIVGLGGMGKTTLAKLVYNDNKIKEHFELKAWVYVSESFDVVGLTKAILKSFNSSADGEDLNLLQHQLQYMLMGKKYLLVLDDIWNGDAERWELLLLPFNHGSFGSKIVVTTREKEVADNVLKSTELFDLQQLDKSNCWSLFVTHAFQGKSVSEYPNLESVGRKIVEKCGGLPLAIKSLGQLLRKTFSEHEWINILETDMWRLSKVDHNVNSVLRLSYHNLPSNLKRCFSYCSIFPKGHKFKKDELIMLWMAEGLLKCCGSNRSEEEFGNESFADLVSISFFQQSFDEIYDTYEHYVMHDLVNDLTKSVSGEFSIQIEDARVERSVERTRHIWFSLQSNSVDKLLELTCEGLHSLILEGTRAMLISNNVQQDLFSRLNFLRMLSFRGCGLLELVDEISNLKLLRYLDLSYTWIEILPDTICMLHNLQTLLLEGCCELTELPSNFSKLVNLRHLKLPSHNGRPCIKTMPKHTGKLNNLQSLSYFIVEEQNVSDLKELAKLNHLHGAIDIEGLGNVSDLADSATVNLKDTKYLEELHMKFDGGREEMDESMAESNVSVLEALQPNRNLKRLTISKYKGNSFPNWIRGYHLPNLVSLNLQFCGLCSLLPPLGTLPFLKMLSISDCDGIKIIGEEFYDSSSINVLFRSLEVLKFEKMNNWEEWLCLEGFPLLKELYIRECPKLKMSLPQHLPSLQKLFINDCKMLEASIPNGDNIIDLDIKRCDRILVNELPTSLKKLFILENRYTEFSVEQIFVNSTILEVLELDLNGSLKCPTLDLCCYNSLGELSITRWCSSSLSFSLHLFTNLYSLWFVDCPNLDSFPEGGLPCNLLSLTITNCPKLIASRQEWGLKSLKYFFVCDDFENVESFPKESLLPPTLSYLNLNNCSKLRIMNNEGFLHLKSLEFLYIINCPSLERLPEEALPNSLYSLWIKDCPLIKVKYQKEGGEQRDTICHIPCVVFFEGTSLLL >RHN73733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19437846:19438670:1 gene:gene9589 transcript:rna9589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGNKVCMLNCACVPIKTTKKRKTIKQNLSTPTSFPNPSTNFTLDEYFANNEITIPTPLPIKEVPFYDCEICCESKPLNDSFNIQGCTHFFCTKCTIKYIVSKLQENKLNLNCPESSCSGVLNAQYCKPILPNKVLDWWEKSLSESVIPEENKFYCPFYDCSALLISENNQKSEVIAQCICPHCKRIICVQCKTPWHQEMSCEKFQKLKHSDDELMFDLANRRKWKKCPLCKQFIEKSEGCNHMKCRLVIHFLFIILFFLSTQCFSFKIIECVCL >RHN55898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25224404:25225876:1 gene:gene31180 transcript:rna31180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MSAKYTPISAVSGGRKNLKMCVRVAHIWLIREKKVPTSIIFMNMLLVDEKGGRIHATARKDLVAKFRSMVQEGGTYQLENAIVDFNESPYKVTSHKHKLSMMHNSTFTKVHLPAIPMNVFEFKPFNEILSSTVEEVSTDVIGHVIERGDIRETEKDRRKSRVIDLTLEDLENNRLHCSLWGEHGDKIVTFFGNHDNDTPTILILQFCKTRVYLGAMGVVNAFNGTKLILNGDLPDVAAYMTRCTLQYHRLLRGVV >RHN80718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40412012:40412755:1 gene:gene4676 transcript:rna4676 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAVRVKQLAETKMQIGEFVEALKFANKAKKLYADVENIAQILTVCEVHNAALNKLSMSEILPHHLYHLVPQKCLRCKFHQNQPNGVAHTFVHINPSYMRTCPNGVAKQHIDEESKDGYVPVSRPTESQSSNNVGRKRVRQPENYEDDDYADISNPEVIDHAYPDFNNFEKDKADDCFGVKFEKCYERI >RHN80465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38324578:38329701:1 gene:gene4396 transcript:rna4396 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRKYYLDALLVPLGFMVIISYHVWLWHKVRTQPSSTIIGINTHGRRSWVPSMLKDIEKKNILAVQTLRNLIMGSTLMATTSILLSAGLAAVISSTYSVKKPLNDSIYGAHSEFMVALKYVTLLTIFLFSFFCHTLSIRFFNQVSILICTPQDVLSYAVITPEYLSELLDKGIVLSTVGNRLFYSAFPLLLWIFGPVLVFLCSVAMIPVLYNLDFVCGNGKQHIVKINDKGGDNYV >RHN54600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10716994:10717802:1 gene:gene29665 transcript:rna29665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MNKTLKFVYVLILFISLSIVSKSVAQYNIGCKTDDDCQKYYTKMFGMKCFKSWCITGILD >RHN68469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35833804:35837609:-1 gene:gene16830 transcript:rna16830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MQVGIVNATVLCQNPHVIIHKNVWNLGNPLTSPTSLLFLQISIITIVTQLLDACLRPLGQTSLVSHILGGVVFGPSVLGNDQMLTNILFPMKGALILQTMATFCLNFFYFICCVKMDTATVLKTEKQAITIGISVFSFSLGIPLGLAFAMKKYVSMDKTLSDALPMIAISQSMTVFISISVLLSELKILNTDVGRLTLSSALFSDVVSFTMTVFMFAALQDKSGNGSPLTLLWVILSTVALLVFIIYVMRPAILWFIGRLNGKSIDENFVICILLCVMFTAFISEFIGQHFAMGPIILGLVVPEGPPLGTTMISKMETISCAFLYPIYLAVSGLQTNVFKINIQSAWIVTVIVLVGFIVKIGAVMLPGYFYNVPMKDCIVIGILLNGRGIAELSMFNIWKEGKVLSEQEFSLMVMSLLVINAIIAPLIKLLFDPSAPYNSGKRCSIQHTKRDSELRIMVCIYKDENIPTMLNIIEASCASKESNVSVIALLLVELLGRSRPILVAHQEHDTLRLTKCGSTELDNALKQYVQLNEGFAYAQSFTSISDFDTINDDVCRISLDRRANIMIMPFHKRWEIDGTVEVNNGAIQRVNIKVLERAPCSVGILIDRGILSGSPSLLISKATYYIAVLFIGGADDAEALAYASRMARHECVNVTVVRFLTFGEENSKDRKHDSDLVDEYRYYNAGNIRFEITEEVVKDGIEFSSSIRRMIDYFDLVMVGRGHPQSVLLHGHDQWSECPELGVVGDMLASTDFVTKASVLVVQQQRMAGRLAKQNVNTLPNQKDQLVHDVPMDEPHRASCTISVDKYSNVGR >RHN62674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45117669:45118474:1 gene:gene25271 transcript:rna25271 gene_biotype:protein_coding transcript_biotype:protein_coding MYELSIVVKNIQIFLFELTHVRIWFKLYYKNTRTNSYVVTCLHSSPLLQLSITHPFGYYISIKFVGSKNTHFLTQMASVRLFLLAILIIVSLSSIDNVQGGGTRKLLTQTFPDLGKIPGLEFPPFPPVTEWPEYRLPPPIFNIPDFFSPPYATTTATTKP >RHN73207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14378734:14383661:1 gene:gene8998 transcript:rna8998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MLSPEGELKISFGYQCNSDRGIPCKVANGFKIRPEMRRTSSFSCLSGAALSANCTLANTNICNGVIGEEILPNLDSPNSFRRVPSSPSLGMLDMLSSSLHSSLSNLSCSPSSPSNMLEHDPCSLKFMSAPSRSEGFLSATEVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLACTLYDSIVSYLNTIDWDSKPNSINTSDNEGFDDSRTLHKHQSSSSKSFSHVVLDSLKHVLSQVENDFLYMVEQEMEERPDLVSIGSCVLLVLLHGNDLCTLNLGDSRAVLATCSNGTSGNERLKAIQLTDSHTVDNEAERAQLLADHPDDPKTIVSGRVKGKLKVTRAFGVGYLKKKILNDALMGILRVRDLKSPPYVSTEPSLNVHKISTSDQFVIVASDGLFDFFSNEEAVDLVESYILTNPHGDPAKFLIEELVEKAANSAGFSTEELMNIPAGRRRKYHDDVTVMVIILGMNKRTSKASICI >RHN39723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9966754:9981904:-1 gene:gene45782 transcript:rna45782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 43kDa postsynaptic protein MAPRYSRGKAKGEKKKKEEKVLPVVMDITVNLPDETSVVLKGISTDRIIDVRRLLSVNTETCYITNFSLSHEIRGVRLKDTVDVSALKPCLLTLVEEDYDSDGAVAHVRRLLDIVACTTSFGPSSPPKNAAKSTKSQPPPAKQLQKEAAAAADADGDISHSCPKLESFYEFFSLSHLTAPLQYVKKASKRNVEEILEEDHLFSLDVKVCNGKVVHVEACRKGFYSVGKQRILCHNLVDLLRQISRAFDNAYDDLLKAFSERNKFGNLPYGFRSNTWLVPPIAAQSPSFFPPLPVEDENWGGNGGGLGRDGEYDLIPWANKFSFIASMPCKTAEERQGRDRKAFLLHSLFVDVAIFRAIRAVKHVLEDPSFNCSAVENDIYSERVGDLSVRVLKDGSVASCKIDSKIDGVEATGVNQKDLVERNLLKGITADENTAAHDITTLGVVYVRYCGYVVVVKVEGGANDNANSSFHQNNEVFDQPEGGANALNINSLRFRLHSTALPENNKQMNEIQMFESEELGGTDAFVEKLIKKSLAKLEEEELSSDYFVRWELGACWVQHLQDQNSTEKDKKPSLEKANNEMKVEGLGKPLKALKNNKKKSDSTNTNCASEHSKSNLEGENDALSSSESQHETAAVDNELVLKRMLSEAAFTRLKESGTGLHCKSMQDLIDLSQKYYMDVAIPKLVADFGSLELSPVDGRTLTDFMHTRGLRMRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILRAVISSVVDKENMASSIAGALNLLLGVPENKESDKSCDVHPLVWKWLELFLKKRFDWDLSRLNYKDVRKFAILRGLCHKVGIELVPRDFDMDSPFPFQKSDIVSLVAVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHIALRYLHKALKCNQKLLGADHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNHDAKGRDAAAKRRNQVRAISYQNNVSVSSDESSKEIQKEASDEELHIPEPASSADSENESNSAPDPEQPILEKILDEKPQPSNELLSEAHPDGDDGWQSVQRPRSAGSYGRRLKQRRATHGKVYSYQKNVEVGTEHSSVKSANQNSKYYFLKKRTIHHGGADNRAVNISQGAKFGRKAVKAVAYRVKSTPSASKTVANETLEIVGDKEVDSIEVNPVKTSIVSLGKSPSYKEVALAPPGTISKLQVYNPQNEISVSQEHDVGKHEEEDIEAHRNIDPTPKEANNVFKEKSDDSLSDSIEDSQDDTVVSTEKKEETQLNKVVQDSCATAEGLESGDVEAQGAVDNSIVIDAVEDAMESYKQELVASDLPCSFEPSDNTSSSPHGGEDLGVNLLSPSQSQAGGISYKKLSASAAPFNPSPAIARVAPIAMNMSHPSGPGPVPAIGPWPVNMNVHPGPATVLPAGNPMCSSPHHAYPSPPTTPNMLQPLPFMYPPYTQPQSVQTSSGFHANHFTWQCNLNPVISKFGPGAVWTGCHPVEYPRPVPIVEPIPDIILEPQVQFHAVESPSPASVLPDDIDKVGDLNKEVKTSASEMSEDETVRVGSESIKENGNPNFPGTDNAGNDPNQIVGSNISTSSSEMNMDDEKTFSILIRGRRNRKQTLRMPISLLTRPHGSQSFKVIYNRVVRGNDSPRRTLPQKFS >RHN70513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51907324:51909635:-1 gene:gene19097 transcript:rna19097 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMNGCDSSTTDTETKKNVSFCWGNSQSTLAFPFLKSPISNFSPPQLPTSISNAVDNCSKLLHSLASQNPFLNKLLSLSSQFHDTCVQIRCSNYSNSRWVSNHHNFAAVLPGDSVAGLVVANGLNNFLSLYNTLLVARLVLTWFPNAPPAIVAPLSTVCDPYLNVFRGLIPPLGGLDLSPILAFLVLNAFTSTAAALPAELPVTEQSKQGLEARLQQPTDVTSSQNKWMRRLQGIGSKTSTSAN >RHN70297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50355293:50355925:1 gene:gene18863 transcript:rna18863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative elongation factor G, III-V domain-containing protein MKFSVSLVVRVAVLCKVASDLPKLVEGLKRLAKSDPMVELHPKKKKVFRTHCCCCRRASS >RHN64354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58428569:58446913:1 gene:gene27163 transcript:rna27163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PH domain-containing protein MGAPEKSQTNASSMQRVKVYRLNDDGKWDDQGTGHVTIDYLERSEDLGLFVYDEEDNETILLHRISADDIYRKQEDSIISWRDPEYATELALSFQEPSGCSYIWDHICNVQRNMQFNTLNNESFQSTNSELRELPAVELTTLPLILKTVVDSSISDQIRLTELLSSNQEFFRKLMGLFRVCEDLENMDGLHMIFKIVKGIILLNSPTILERIFKEEFIVDIIGALEYDPDITCVQHHRKFLKDHVVFKEAIPIKDPNALAKIHQTYRVGYLKDVVLARVLDDATCATVNSIIHANNAFVIAMLKDDNTFIQELFARLKSPTTSQESKKNLVHFLYEFCSVSKSLQMVQQLRLFRDLTNEGIFDIVSEVLQSQDKKLVLTGTDILLLFMNQDPNLLRSYVVRQEGIALLGLLVKGMVTDFGESMHCQFLEILRILLDSSTLSGPQRDAIIDIFFEKHLGHLIEVITASCPSENTAGASKSIGPGQSVQFQRRTKSEILSNICELLCFCVLHHPYRIKCNFLLNDAIENVLLLTRRAERYLVVCAVRFVRTVLSRNDEHMINYFVRNNILKPIIDAFVANGNRYNLLNSAVLELFEYIRKENNLKLLLKHLVDSFWDQLVKFEHLVSIRSLKVKYEQCVDNGGNNAGAVMDLRRRIDERAVEREEEERYFNEDSDEEDAASPSVSRDHKGHQQPIVSNGVTSNHPQPSRTSLVDYEDDEDDEDYKPPPRKQKEASEEDEGIMESLRLKRKLPSQDREPELVKKQKLTKNSKSKDSVFAALCSTLSQAVLPNKKSAINIHTGARRVEGRVSSSEDNQEDRQNVSRSGSDNSNTGAEDNHVEKDTSASRSISDCLHAKSDNIQLGGEERPLVPPKSSPEMAVNGS >RHN80761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40691193:40692479:1 gene:gene4726 transcript:rna4726 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSTTMSSFKHGLGLYLLLLVFSHILLTSPVTCACGEKEKDLLHDINTYRKVLNLPILEKTDKPSCLANKIANDLEHKHCEEFHNYYPNIPGKNPKIPNFEKSVEKCKININTTKDGVIMPMCVPKLDQDDLFSNYTKNSHFTKYLNNSKYIIAGVGSEDDWMVLIISTNTTSGDFSSANSLLVGAWKGQWLLMTTFLSVFVFMFN >RHN79426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28731024:28733117:1 gene:gene3216 transcript:rna3216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MAELTFCQKVNACCKFYKSYFLMFGIQLGSAGMFVITMTAFNKGMSHYVFVVYRNTIATIALAPLAYFLERNIRPKMTVRVFSEIMALAFVEVTLGQCFSFLGMKLTSASFASAVMNSVPSITFLLALIFQLEGMKIKEIACQGKVIGTMVSLGGALLMVLYKGPVLGSSAATQMHQPENVNDPTGAHWLLGALFLVIGCVGISAFYILQATSLRKYPANMSLATWVCFVGALQSTVVTIVMERKHPETWSLGLDSRLFAPVYAVSNN >RHN67054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23309187:23309642:-1 gene:gene15186 transcript:rna15186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MEDKWEEVIKKYEEHVFFHRRRIKGRGTALHVAVSNANEDIVKRLVDAIVKHDDQSGFEIKTERGDTPLHLAAYRGFKSMCECIIGKNGERKHLIQVNNAKGETPLFCAVLARHKKTFIYLHQFFTNDLNIAINKDRDNILHVAIHREMFG >RHN75920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46154334:46155624:-1 gene:gene12181 transcript:rna12181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MNQIIFSFSLFLLMFSSLYSPNIAATATATAPAQAASPKHAPAPKAASPTSTKPLVPTLPDTSDSTPDDITKILKKAKTFTILTRLLRTTQIVDNLNSQLISAKSGGLTILAPDDSAFSHLKAGFFNSLNENKKIELLQFHILPQFVDSNNFDSLSNPVETVAGKDPLKLPLNIESFGTSVSLSTGVVNASVTGVVYQDNKLAIYRLDKVLLPLDFFGTKAPAAAPVAEAIAPKADKTKSSSEEDEDDTTTQDKKSSGANLLGIQGTAYISIGVAFVAVAMLWS >RHN39683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9552307:9555121:-1 gene:gene45730 transcript:rna45730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein METNKNMKQTTPTTMEAEFEDLLPIMAEKLDVETFVSELCGGFNLLADQETGLITSESLRKNSAMLGMEGMSKEDAEAMVKQGDLDGDGKLNETEFCILMVRLSPGMMEDAETWLEKAIEEQLRN >RHN49155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52851126:52857683:-1 gene:gene43953 transcript:rna43953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diphthine--ammonia ligase MKVVALVSGGKDSCYAMMKCIQYGHQIVALANLMPVDDSVDELDSYMYQTVGHQIIIKYAECMGLPLFRRRIQGSSKHLELGYKRTQGDEVEDMYILLREVKRQIPSVTAVCSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSLLLNEMIANGIVAVTVKVAAMGLDPAKHLGKELASLNAYLHKLKESYGINVCGEGGEYETLTLDCPLFINARIVLDKYQVVMHSSDSIAPVGVLHPLAFHLENKADDHSLKTQDKIHEISMQKLGSVFEVHDGLESFEAICKPLDCTYPIDVVEHKFNISRTNNMNTFSMCCWLQDSCNDLQEDLKIILRKIELQLAAFDFGWENVLYIHLYIDDMNKFSEANETYVKFITHERCPFGVPSRSTVEMPLLEMDFSRAYVEVLVANNKGKKVLHVQSISSWAPSCIGPYSQATLHEGILHMAGQLGLDPPTMNLCSGGPGVELEQALKNSEAVAKSFNCSISTSAIGFVIYCSKNITLLERLDIEKKQETILRQMKIAELQEGKKCKTLETIFLYVLVPDLPKRAYVEVKPILYVEDGEDVEIETITERSCSKTSCYWGFKHESWHDSCFQKCVIPGKLCAIILSITSDLAAKISPESLPTDTVNNNGQCSLPKSRMEQLSKFCIYLLDKVLIDNDFAWEDIMSLRFYIPVSLQMSVELIQPMLCNALFELSQISQREVKNSEPIFNIVPVTGAGRSASSIDDVVTCELLAQKY >RHN42413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38146671:38149721:-1 gene:gene48851 transcript:rna48851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MSAIVSTALAGAVIGAAVGGWINDRFGRKRSIMIADTLFFIGSAIMAAATSPAILIVGRVFVGLGVGMASMASPLYISEASPAKVRGALVSLNSFLITGGQFLSYLINLAFTKAPGTWRWMLGVAALPAILQLLLMFWLPESPRWLFRKGREEEGKAILRKIYPAEEVDAEIQALQESVAMELKEAESSEKISMITLLKTTSVRRGLYAGMGLQIFQQFVGINTVMYFSPTIVQLAGFASNQTAMLLSLITAGLNAFGSLISIYFIDKTGRKKLALISLFGVVLSLVLLTVTFRQTETHSPMISEIETYRFNNTCPAFTPSRGGWDCTTCLKASPKCGFCASDSNKLLPGACLISNDMTKNQCQKGHRSWYTQGCPSKLGWLALIGLALYILFFSPGMGTVPWVINSEIYPLRYRGVCGGMASTSVWISNLIVSQSFLSLTQAIGVAWTFMLFGIVAVIAIFFVLVFVPETKGVPIEEVEKMLEQRTLQFKFWNKRIASQKG >RHN53680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3297024:3301354:1 gene:gene28613 transcript:rna28613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Bile acid:sodium symporter/arsenical resistance protein Acr3 MFVEIKNMNSISSSSSSSYSSSTKVMNKPLSQSSLFSLRYYNCNCNCNNISSSSIPFHSNFPPNNIRLAVVSSSRLPLKCASSSTNFSDPTPNHSSHPTKPVKQSFLSILDILKNSNSLLPPAIVSSTLLALIFPPSLTWFTTRYFAPALGFLMFAVGVNSSEKDFIEAFNRPAEIATGYFGQFVVKPLLGYLFYIIAVTVFGLPTGIGAGMVLVACVSGAQLSNYATFLTDPEMAPLSIVMTSLSTASAVFVTPLLLLLLIGKRLPIDVKGMVFSITQIVVVPIAVGLLLNRFFPPICNAIRPFLPPLSVLTAAICAGAPLALNVECIKSPLGISILLLVVAFHLSAFIAGYMLSGSVFRDSPDVKPLQRTISFETGMQSSLLALALANKFFEDPVVGMPPAISTAIMSLMGFGLVLIWNKSGKHETKQST >RHN82262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52408835:52413565:-1 gene:gene6411 transcript:rna6411 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTVSKGRSSSSSSSSSSSRPNSTLLPYLRRIIKWQQMDVEYTFWQMLHLCTSPKVVYQHTKYHKQTKNQWARDDPAFVVICSLLLAVATLAYCAAYDHSSGHALFVVFSVLLFHFLLTGIFLATFCWFLTNSYLREEAPNSYVVEQRVEWMYAFDVHCNSFFPMFVLLYVIHYFLSPLLVAHGFIPELLSNLLFMVGASYYHYLNFLGYDVLPFLERTTFFLYPIGVVIVLSPILILSGFNPSRYFMNIYFSRQI >RHN66594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14497186:14497661:1 gene:gene14614 transcript:rna14614 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVKLVTLAVFMLTTFLIVQIKNVEAGQCARVGMRCSRALPNPCGGDIITCRCVRLHLIGSTCVDYTGDGL >RHN51163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14632171:14637597:-1 gene:gene35549 transcript:rna35549 gene_biotype:protein_coding transcript_biotype:protein_coding MVDENENSPLLTSEIEEEVEEITTATANDGDTAKHVRTKVPEVEIHLFRQGKGPIVVFKSALGGWEQDQLEVGDILEKHGLKTVFAFNHQTRVRGVPVRFNPRNGRSILTYRDGAVVYLDGEPKDSLIKPITRILIGVAIITLMIVIVSRETPEWMNKFNFSPGNFSPWVLACVVIVFTRMRKRTKDFFTKRGW >RHN77857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9988559:9988882:1 gene:gene1358 transcript:rna1358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MRRALPLYAKISQDAKATTQLCVSDFMGIITTEAVEKCNEESRKIISGEDIIRAMGRLGFEDYVGPLSLLLQNYRNHEAQFSGMSTACGFNMDGSGTGGSSSGNGQY >RHN71044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56037810:56052903:-1 gene:gene19686 transcript:rna19686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytokinin dehydrogenase MATVKNNIKNVVTILLTFTICRIIVSEGLTVDQTLTEILHIGLEGEVSTEAAKLEAASLDFGRLSSKGETIAVVRPASANDVARVVKAAYKWQQYFAVSARGHGHSINGQADTRMKGVVIEMGKGGVGIKGPLVWEKEMYVDVWGGELWIHVLKATLEYGLAPMSWTDYLYLSVGGTLSNGGISGQTFNHGPQISNVFELDVVTGKGEVLTCSEDRNSDLFHSVLGGLGQFGIITRARIALQPAPQRVRWIRALYSNFSTFCKDQEFLISLHGKPASQRFDYVEGFVIVDEGLINNWRSSFFSPSNPVKISSLNADGGVLYCLEVTKNYHQGNADSVDEEIQALLKKLDFIDTSVFTTDVPYVDFLDRVHKAELKLRSKGLWDVPHPWLNLFVPKSRIEDFDKGVFKGILGNKTSGPILIYPMNKNKWDHRSSAVTPDEEVFYLVAFLRSALDAETLEHLTNQNRQILGFCHDSKIGVKQYLPHYTTMQEWMDHFGDKWTQFNAMKMQFDPQRILATGQRIFHFEVITKDDM >RHN48255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46128095:46128886:-1 gene:gene42953 transcript:rna42953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family MGNYLSTFLLSNVARLTSKHGHKGWILDNLNISHLDYYYAFLALISVVNFFFFLVIAKFFVYNDDVT >RHN54834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12745405:12748492:-1 gene:gene29926 transcript:rna29926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MCGSMLMLELPNSSHDKFHPTRFYCLTCPYICRIEKGVKIKRRQMLVRKGIDPIISHHEINKNKPKADVPCPNCRHPQASYHMQQTRSADEPATIFYECLNEKCGHKWKE >RHN38537.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:1076647:1077183:-1 gene:gene50660 transcript:rna50660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MIGDKCAVVFTEVSLEIAVEFNDYCHSHRPPIAFIKTEVRGLFGSVFCDFGPEFTVVDVNGEEPHTGIIASISNDNPALVTCVDDERLEF >RHN77093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4013848:4036856:1 gene:gene512 transcript:rna512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myosin ATPase MNVRKGSTVWVPDKELAWVAAEVIDSDDNSVHLVTDSGKKVVVSPEKLCLRDADEDELGGFEDMTRLTYLNEPGVLYNIRRRYALNDIYTYTGSILIAVNPFTKLPHLYDNHMMEQYKGAPLGELSPHVFAVADASYRAMMNEGKSQSILVSGESGAGKTETTKLIMQYLTFVGGRTGGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDGNGRMSGAAIRTYLLERSRVVQITDPERNYHCFYQLCASERDAKEYKLGHPSQFHYLNQSKIYELNGVSNAEEYIKTRRAMDIVGISHEDQEAIFRVLAAILHLGNVEFSPGKEHDSSVTKDEKSRFHMQMAADLFMCDVDLLLATLCTRTIQTREGNIVKALDCNAAVAGRDALAKTVYARLFDWLVDKINRSVGQDVNSQMQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYGKEEINWSYIEFVDNQDVLDLIEKKPIGVIALLDEACMFPKSTHETFSTKLFQNFRSHPRLASERFSQTDFIISHYAGKVTYHTDAFLDKNRDYVVVEHCNLLSSSNCPFVSGLFPLLPEESSRSSYKFSSVATRFKQQLQALMETLKSTEPHYIRCVKPNSLNRPQMFENASIIHQLRCGGVLEAVRISLAGYPTRRMYSEFVDRYGLIGPEILDGSYDDKAATEKILHKLKLENFQLGRTKVFLRAGQIGVLDSKRSEVLDNAAKCIQCQLRTFITRRHFISVRAAAVSLQACCRGYIAQKMYAAKRETAAAISIQKYIRMRLTRHAYMQLYSTAIIIQSHVRGFITHRRFLHEKEHRAAISVQAYWRMYKVRSAFQQYLASVVAIQCLWRCRQAKREFRKLKQEANESGALRLAKTKLEKQLEELTWRLHLEKKIRVSNDEAKQRENSMLRKMLEALNLELDAAKLATINECNKNAVLQNQLELSAKEKSALKRELVSVAELRKENAMLKVALDAFEKKYSSLELEHINVQKGQDVTIEKLREFEQKCSQLKQNVKSLEEKLLSFEDENRVLRQKALSAPRKSIRPSFAKSFSEKYSTPIASRTERKPIFETPTPTKLTTSFTLGMSDSHRSKSSADRHQDNYEFLSRCIKENLGFKNGKPIAARIIYKCLLHWHAFESERTAIFDYIIEGINDALKVREDDIVLPYWLSNTSALLCLLQRNLRSNGFLATNGQRYTGQSGLTSRTGNGPKSPFKFIGYDDGMSHVEARYPAILFKQQLTACVEKIFGLLRDNLKKELSPLLQLCIQTPKTGRVHGGKSSRSPVGLSPQSSGSQWGNIVKFLDSLMSKLRGNHVPSFFIRKLVTQVFSFINITLFNSLLLRRECCTFSNGEYMKSGLAELEKWITNAKEMYAGMSWHELNYIRQAVGFLVIHQKRKKSLEEIRQDLCPALTVRQIYRISTMYWDDKYGTQSVSNEVVSVMREMVNKDNQNMPSNSFLLDDDLSIPFSAEDVDMAIPPIDLDEIDLPLFVSEYSCAQFLNSHQQ >RHN48360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46942622:46953976:-1 gene:gene43070 transcript:rna43070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ion channel regulatory protein, UNC-93 METTKCVIISSNKESQLELQLPKNHTRDVHILSLAFLLIFLAYGAAQNLQSTLNTEENLGTTSLGILYLSFTFFSVFASLVVRILGSKNALIIGTSGYWFYVAANLKPSWYTLVPASLYLGFCASIIWVGQGTYLTSTARSYAADNNLHEGAIIGDFNGEFWALFALHQVIGNLITFALLRNNQVGSIKGTTLLFVVFLSVMTFGAILMCFLRKRSSNKTREYKNLGTDIDASESSSLKSLCRSLKSSFSDANMLLIIPLIAYSGLQAAFVWAEFTKYVVTPAIGVSGVGIAMAAYGAFDGICSLTAGRLTSGLKSITLIVSVGAFGQAIVLILLLLDFSIAKGLLGTSYIIFMAALLGVGDGILATQLSVLLAILFKHDTEGAFAQLKIWQSATIAMVFFLAPHISFQTFVVIMLVSLCLTLCSFLWLTIKVGKTPSSSTVVFAVCFILSRRRFVKRKWPKKLKRD >RHN55640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20413343:20414919:-1 gene:gene30852 transcript:rna30852 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGLIIDQEMNHNKKSFHRRNDSGELDVFEASRYFSGYNEVIGYNNSSTFTQKIMREERNGYKGRISLDMPMRSLLPQQFHGGIDQKQMKEKKHNKQPSSPGGRLASFLNSLFNQSTSKKKSKSSSQSMKDEDESPGGRRRRRSSISHFRSSSTADSRSIYSLNSGFRTPPYVNTPTKGCREFKTLLSDQKNEVSLKKSSTTLQNELCWDDKKKRDSNLKQFVEKKYYEEEKREVRKFNEVDDGAESDSSSDLFELQNYELSHYSSGLPVYETTNMDNIKRGSTISNVPL >RHN76584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51430027:51430767:1 gene:gene12934 transcript:rna12934 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSWICTNNTKTMLLRIVHPGGRVEVHDRPVTAAEIMCRNPRCCVAHPFVFQQPWAVVEPDTVLMLGQKFYVVPISTIRKLQGLSPRNSPSPAREMTIGSLLDETRNTQSRTVKEDDGMISTCCIFRKKHIAKKANNYKQRSKNESKKSETRSDVRNQSVDVNETNGSLSYDKIFVRLFNGGVTKANVSNATKETRTSSSSTDLRDSNTANRKRKTDLAGKRSSPKRAWSSDYWQPSLDSITEE >RHN56791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33776752:33779087:-1 gene:gene32243 transcript:rna32243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEIIKFVYTMILLLSLFLVTTKVGAYIACQSEIDCPPNYSFLFAIRCIKQKCVTVGRYL >RHN56325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29850721:29853000:-1 gene:gene31709 transcript:rna31709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MCNPKPSSPTSPFLSPTKTHLINPHTKDPYSNSTLDDDHVQDELHRWPTLKEAITEIKEIGKISGPTTITGLLLYSRAMISMIFLGYLGEMELAGGSLSIGFANITGYSVISGLAMGMEPICGQAYGAKQWKILGLTLQRTVLLLLSTSIPISFIWINMKRILLFSGQDLEISSMAQSFILFLVPDLFLLSILHPLRIYLRTQGITLPLTYCSAVSVLLHIPLNFLLVVHFQMGIAGVSIAMVLTNLNLVILLSSFLYFSSVYKKSWISPSLDCIKGWSSLLSLAIPTCVSVCLEWWWYEFMIMMCGLLVNPKATIASMGILIQTTSLVYVFPSSLSLGVSTRIGNELGANRPQKARISMIVSLFLAMVLGLGAMLFTTLMRNQWGKFFTNDREILELTSIVLPIVGLCELGNCPQTTGCGVLRGSARPTIGANINLGSFYLVGMPVAIFLGFVAKLGFPGLWIGLLAAQGSCAMLMLVVLCRTDWNLQVQRAKELTKSSTTSDDVDAKLPIFMEGNVNKNNVHGCLEEIVITHDVFTKKSSLETDPLIITSTTTNCIED >RHN78028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11378479:11385801:1 gene:gene1542 transcript:rna1542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SHQ1 protein MADTIVTSDQSSTSSLNPARIICHVCQKQFSQYTCPRCNSRYCSLPCYKSHSLRCTESFMKENVVQELQQMQPNEQTKQKMLAILKRFHSEEEMDSMDEDSFEDSALSEETMEKIMSGQEISFDDLSLEEKKQFQRAIASGELSKMIKPWDPWWSKLSAREIRLSKEGTQLVQTLAEQELENETESNESSEIPLGPEVPLPPLSRLSSKEPSPLLTVHLVDILYSYCFTLRLYNGDWRSDPIGSVMVVLSVSSVLGQGGQPEIVLEALTHCLEQVCSPAYRHMGGLQFGLGVIDDVINLLSLGSPAIVCALRDMRRLIQEGEKEVKSEKPKKSRRDEISSIKQAERKIYFIMCWVHEQPDEVLSSLAAIVTAQKTSAMEFQGSNKPEKPNNKAESRGKCLIEEIE >RHN43747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48165529:48170555:1 gene:gene50369 transcript:rna50369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MRTLFIILFTIISFSTILPLSNSQKNDTFSTCNESYFTCGTNTNVSFPFWGKNRPNICGKNEFKLTCMHNQNTSVQIGSQKFNVLNINQTASTMKIVRTNLINDICSSNFTNTSLNGSPFTFLPNVQNLTVFYNCPIENSSIIGKNINSFTCENNGSNKHVFYVVKNETQLQNQFSNLQNCGASVQVQVSMDGVSESGVEVVQEGFDVKYDEGAGWSSECEVCRESGGTCGTNQNDSSQFSCYCPGGTHAANCSARKSSKRTKVLKLVLGFVGTGMLLPLIAVIICRNKAKIWKVIKTQFSKITRNNQHIEDFLESQGPLNIKRYSYSDIKKMTESFKVKLGEGGYGSVYKGKLLNGGSVAVKVLSESKGCGEDFINEVATICKTSHVNVVTLLGFCLDGTKKALIYEFMSNGSLEKFIQNHNKESEKAEKTKTSPSLSWENLHQIAIGIARGLEYLHKGCNTRIFHFDIKPHNILLDETYRPKISDFGLAKLSTSNESIISMSNARGTVGYVAPEVFNKSFGGVSHKSDVYSYGMMLLEMVGGRKNVNIVEASRSSELYFPHLVIYKKLEKGNDLELDDGVMSNEENEIAKKLTMVGLWCIQTIPTHRPTISKVIDMLDGSMDSLEMPPKPVMFSPPRSIPEFSTSSKSLESFSS >RHN75144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39746234:39751046:-1 gene:gene11305 transcript:rna11305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain, tetratricopeptide-like helical domain-containing protein MAEYDLTPRIAPNLDRHLVFPLLEFLQERQLYNDNHILKAKIDLLNNTNMVDYAMDIHKTLYQTEDVPQDMIERRADVVARLKSLEDAAAPLVAFLQNASAVQELKADKHYNLQLLNDKYQIGPAQIEALYQYGKFQFECGNYSGAADYLYQYRALCTNSERSLSALWGKLAAEVLMQNWDIALEELNRLKEMIDSKNFSSPMNQVHSRIWLMHWSLFIFFNHDNGRTLIIDLFNQDKYLNAIQTGAPHLLRYLATAFIVNKRKRPQFKDFLKVIQQEQHSFKDPITEFLACVYVNYDFDGAQKKMRECEEVILNDPFLGKRVEESNFSTVPLRDEFLENARLFIFETYCRIHERIDMGVLAEKLNLNYEEAERWIVNLIRGSKLDAKIDSQTGTVIMEHNHPNVYEQLIEHTKALNSRTYKLVTQILEHAQAPAAH >RHN75930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46199765:46202108:1 gene:gene12191 transcript:rna12191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MKSSQTGNKEKPKLNEDIPITVLSGKNKIDLLSLTVGLQNYGAFFDTWGAGITGPVILKGLKNGNKTLDLSSRKWTYQVGLKGEDLGLSSGSSGAWNSKTTFPKKQPLIWYKAWVNGQSIGRYWPTYVASNVDCTDSCNYRGPFTQTKCHMNCGKPSQTLYHVPQSFLKPNGNTLVLFEESGGDPTQISFATKQIGSVCAHVSDSHPPQIDLWNQDTESGGKVGPALLLNCPNHNQVISSIKFASYGTPLGTCGNFYRGRCSSNKTLSIVKKACIGSRSCSIGVSTDTFGDPCKGVPKSLAVEATCA >RHN60541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27932061:27932894:-1 gene:gene22862 transcript:rna22862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MNFYPPYLKNQNQNLLYFTEDSLSNIYWELEDVVNYFNGDINFKKDSQSQTKESLLLPLSLYAESNSSFPLLSNGSLEVSYNNTTIYTQVIKETETSLSLPSKKNKNKKKTSSLASSLPLPAIKESNKRVLRGVRRRPWGKFAAEIRDSTRKGARVWLGTFNTEEEAALAYDQAAFSAKGSLAVLNFPEDVVKDSLKEMAINSEPLEEGTSPVLAIKRKIKREHIARKSSNEVSKKKIKIDHNGDRTKRETNTKSKHVFVFEDLGAEYLEQLLSLTS >RHN47818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42768338:42771604:1 gene:gene42464 transcript:rna42464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BRCT domain-containing protein MKTSKLFDGFNVFISRHLFPPHEFDTVLKAVEENGGQVHIGFDLSRNGENDYHIISCRQHYKFQDLKSKGCKMIGYRCVLQCAKEGRPLPRQAAFTCCLTMEAVKILAYGFDADQMVKIDELMIEMGGALHTTPSSDLNSVIVKNVWAPEYKWALNVLKKPIVSYEWLKQCSDEHQVVPQESYMFLPFSGLKICVTGIQADKRKEMEKLILQNGGKYSAELTKKCTHLISNISF >RHN70733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53574168:53575602:-1 gene:gene19346 transcript:rna19346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetylglutamate kinase MLGGAAKTFTNFLGAPFPFISSTKIDIKIKRTQTNLSFPSNGCRCISASAASPEPASPGQFRVDILSESLPFIQKFRGKTIVVKYGGAAMKSPELQASVINDLVLLWCVGLRPVMVHGGGPEINHWLGRLNIQPVFRDGLRVTDAETMEIVSMVLVGKVNKILVSLINKADATAVGLSGVDGKLLTARPSPNSSNLGFVGEVARVDPAVLRSLVDSGQIPVVASVAADESGQLYNINADTVAGELAAALGAEKLILLTDVAGILEDRNDPESLVKKIDIKGVTKMIEDGIIGGGMIPKVNCCIRSLAQGVKTASIIDGRVPHSLLLEILTDEGAGTMITG >RHN62562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44357261:44360971:-1 gene:gene25154 transcript:rna25154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MKEVPLLLLLLILYYPSCHSLDTITLNQSLEDNDVLVSNPRGTFALGFFTLQKDSKTRYLGIWYNKISEQTIVWVANRDTPLYNTSGVVSISNGNLVLNNNHLNNNKNLKPIWSSNVSVSPSFGNVSAKLLDNGNFVLTHNNGKNIVWQSFDYPTNTLLPFMKLGLDRKTGLNRFLTSWKSPNDPGTGNLTYRIDPTGFPQLFLYSNNKVPVWRTGSWTGQRWSGVPEMTPTFIFNVSFVNNVDEVFIEYGVKDPRVISRMVLEDSGHVRRLTWQPNENRWFQIWFGPKEECDNFKQCGLNSNCDPYNAEKFECECLPGFEPKFEREWYLRDGSGGCVRKSNVSTCRNGEGFVKVARVKVPNTSMTRVNESLGLKECREMCLGDCSCVAFTSENEMLQSGCVTWHGDLEDTRTYTQVGQDLYVRVDKHELAMYAKHPYGSLGKKGMVALLVVGTCLILFMGTILVYWFVKARKKWSRRDRKFSFRLSFGGSDQQEFDSANNSNLPFYDLSSVAAATDSFSIVNKLGEGGFGSVYKGILSNGMEIAVKRLSKHSGQGIEEFKNEVVLISKLQHRNLVRILGCCVQGEEKMLIYEYLPNKSLDFFIFDKSKSSELDWRKRFDIICGVARGILYLHHDSRLRIIHRDLKASNVLLDTALNPKIADFGMARMFGGDQVEAITNRVVGTYGYMSPEYAMEGQFSVKSDVYSFGVLLLEIITGKKNSGQYADDASTNLVGHIWDLWREDKAMGIVDQSLGESFSELEVQRCIQIGLLCVQDFAVDRPSMSAVVSMLGSDSTLPTPKQPAFIFKRSNYETSNPSTSEGTYSVNDASMTMVEAR >RHN70276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50178723:50182834:1 gene:gene18840 transcript:rna18840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MVAKASSYEESRRKRMEENQKRMEALSLTKLSQSLHKSSSPISKPSPSKPRTPIKKELVVVRRSGRVANMPAPVYKEILIDSVKIPRNRISRCGGYDKYRDYSKRVYASDEARMEAMEKADKLLSDLDSDHPTFVKSMLQSHVTGGFWLGLPNHFCRKNLPKRDEVMTLIDEDGDESPTTYLAHKTGLSAGWRGFAIAHNLVDGDALIFELVKRTAFKVYIIRVNEGKQDD >RHN40647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18786901:18794059:-1 gene:gene46830 transcript:rna46830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease P METTKRLNPTTKMTTTKKKKTNQTPENKFQFELNSCSKSKDFRGAVSLFDDAVSNNTRVNQHHLNALLYLCSNAVTDPSLKQLALDYGFRIFNHMSSLNITPNEATVATVARLAAANGDGNRAFELVKNIGNYNVAPRLRTYDPALFCFCELLDADKAYEVEEHMNSVGVSLEEAEIAALLKVSAKKGRADRVYMYLHKLRSGVTCVEESTATVIEEWFQSSEAREVGEGDLDVTRVREAVLRNGGGWHGLGWVGKGDWVVSRMSVDDDGICCGCGEQLVCVDIDDEEMEKFASSVAALAVEREVKANFSEFQGWLEKHGCYEAIVDGANVGLYQQNFADGGFSTNQLDDVVKELYNQSGKKWPLVVLHNKRVKGLMENPSSRKLVEEWMKNGVLYTTPNGSNDDWYWLYATVKLRCLLVTNDEMRDHIFELLGSNFFNQWKERHQVHYTFVKGNLKLQMPPPYSLVIQESEKGSWHVPLAVDTSNESSKPWLCITRASADDATTASNGVDTSGNNHIHIDQPQKIADEVLSLDSQVIENNSTSVTGKRKERSDPS >RHN55501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19082627:19085273:-1 gene:gene30692 transcript:rna30692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MAGAMQPMNFSDFKCEIPELRGENYKVWKERILLHLGWMDIDYAIRKDEPPAVTEASLQEDVDLYEMWERSNRLSVMFIKTNISASIRGCVDQHDNVRALLKAIDEQFKTSDKALASTLIMKFSSMKLITVKGVREHIMQMRDLAAQLKTLEVDMSDSFLVHYILNTLPPEYGPFKISYNTHKDKWSINELLTMCVQEEGRLTMEKSESVFVATERKNMNQAKHKGKGKIPPQGGIKKESKCFFCKKKGHMKKDCPKFQKWLENKGYDKPKETTSK >RHN56441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30896455:30901275:-1 gene:gene31846 transcript:rna31846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan 1,3-beta-glucosidase MDSVYMNPAEPVEARIKNLLSLMTLKEKIGQMTQIERSVTTPSAIKDFAIGSVYCAPPKNATSEKEVSSDWADLVDGFQKLALESRLGIPIIYGTDAVHGNNNVYGTTIFPHNVALGATRDADLVQRIGAAISLELRASRTHYTCAPCVAVCKDPRWGRCYESYSEDTEIVRNMTSYVSGLQGQPPERHPRGYPFVAGRNKVIACAKHFVGDGGTEKGVNEGNTILSYEDLEKIHMASYVDCIAQGVSTIMVSYSSWNGVKLHGHHFLINDILKEKLGFKGFVISDWEGIDELCQPYGSDYRYCISTSINAGIDMVMVPIRYEKFMEEIDDAVERILRVKFIAELFEFPLTDRSLLDIVGCKIHRDLAREAVRKSLVLLKNGKEPSKPFIPLNKNAKRILVAGTHANDIGYQCGGWTFTKYGSSGQITIGTTILDAVKEAVGHDTEVIYEKCPSTEFIECNEFSFAIVAIGEAPYAECGGDNKELVIPFNGAGIVDIVSDKIPTLVILISGRPLVLEQSLLEKTEALVAAWLPGSEGKGITDVIFGDHDFKGKLPMTWFRRIEQLDEPSEGVNSCDDPLFPLGYGLACNK >RHN71496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:534973:542195:-1 gene:gene7103 transcript:rna7103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nonaspanin (TM9SF), major facilitator superfamily domain-containing protein MLFRFSLLLLLLLSPAFASESDHKYQPDDPVTLWVNKVGPYNNPQETYNYYSLPFCRPPGNTAHKWGGLGEVLGGNELIDSQIEIKFLGNVERTTFCRLNLDEAKVKQFKDAIENNYWFEFFMDDLPLWGYVGELHPDKNSDSGKHVLYTHKNIIVKYNTDQIIHVNLTHDDPKPLEAGRSLDMTYSVKWIATNVTFRHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPRSLALLSAVVGTGAQLALLILLVILLAIVGMLYVDRGAIVTTFIVCYALTSVISGYVSGGMYSRNGGKSWIKSMILTASLFPFLCFGIGFILNTVAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIVVTVCVTIVGTYFLLNAENYNWQWTSFLSAASTGVYVYLYSIYYYYVKTKMSGFFQTSFYFGYTAMFCLGLGILCGAVGHLGSNLFVRRIYRNIKCD >RHN77881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10190771:10194923:1 gene:gene1383 transcript:rna1383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNAJ-containing protein MVKETEYYDILGVSPSASDDQIRKAYYHKAKQVHPDRNQNDPNAAHKFQILGEAYQVLSDPVQRTGYDQNGKHSVSRETMLDPMAVFALLFGSELFEDYVGHLAVASMASSELGDESEDLQEKLKEVQKGREEKLARFLKDFLSQYVRGDQKGFYQRAESEARRLSHAAFGVDMLHTIGYIYSRQAAQELGKKALYLGVPFLAEWVRNKGHFWKSQLTAAKGAFQLLQLQEELSKQFKTSGSCPENVDSHIRLNKDTLLNSLWKLNVVDIEVTLAHVCQMVLKENNVRREELKVRATALKILGKIFQEKNAKGETLKKKLAVDSDDEGSSSDSSDDESSHRALTYRTPFFNQGIGRLFKCLCNPAFDVDDEEEIVYKGK >RHN46895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35627995:35630675:-1 gene:gene41430 transcript:rna41430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MIKELLPTDPISIFNLLKSYALSPTNILKANELFRQIPRPTLSHWNIMIRGWSQTNQPIEAIRNYNLMYSQALFGNNLTYPFLLKACARISNVSCTTVHARVLKLGFDSDLFVSNALIHGYAGFCELGFARKVFDEMSERDLVSWNSLICGYGRCRRYSEVLVVFEEMRMADVKGDAVTMVKVVLACTVLGEWGVVDAMIEYIEENKVEVDVYLGNTLIDMYGRRSMVDLARRVFDRMRDRNMVSWNAMIMGYGKAGNLVAARKLFDDMPHRDVISWTSMISSYSQAGQFGKAVRLFQEMMVTKVKPDEITVASVLSACAHIGALDVGEAVHEYIRKYDVNADIYVGNALIDMYCKCGAVEKGLSVFEEMGKRDSVSWTSVIAGLAVNGSADSALNLFSLMLREGVRPTHGTFVGVLLACAHAGVVDKGLEYFESMERVYGLTPEMKHYGCVVDLLSRSGNLGRAYEFIKRMPMDPDVVVWRILLSASQVHGNLHLAEIATKKLLETDPSNSGNYILSSNTYAGSNRWEDVIKMRRLMEESNVHKPSASSSVEINDSDSSQDTRFVEVP >RHN78673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17363465:17365128:1 gene:gene2310 transcript:rna2310 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATNFCGFIFFFPIGLHRLLSSSSLYLHNPSHFRSKLWYLSDPNWKNLDLYLLLIALPIASFSEFFIFLSFSNHPTFRFSFFQQSFTLLAFWVLIILIIGLEYVNSLHVSDSFVYVFGGVVFLMEYDVMDKGVSGVADVVYGLLGGLTLVCASACFYLSVKPNAFFAEFFLCCGMVFKGTWFLQIGFSLYTDLFGLKGCKKISVLSLSPRIENVDVLCDLDEDSLRGIAMMELLFILHAIVVLILGLGFFGLVSWGGNLRGGDVKGPLLADLESTSMRLCDASEIEMG >RHN81112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43399587:43406814:1 gene:gene5110 transcript:rna5110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-acylglycerol O-acyltransferase MDLRYEYQEGYWKNSRGMRLFTCKWLPISSSPKALVFLCHGYGMECSGFMKEIGEKLASAGYAVFGMDYEGHGHSAGVRCYITKFDNVVNDCSNFYKSICELQEYRGKAKFLYGESMGGAVAVLLHKKDPSFWDGAVFVAPMCKISEKVKPHRVAVSMLSGLEHIFPKWKIVPTKDVIDSAFKDHTKREMIRKNKLIYQDKPRLKTALELLRTSLSVETNLHQVTLPFLVLHGEEDKVTDPEVSKALYERASSVDKTIKLYPGMWHGLTAGEPDENIELVFGDIISWLDKRAIGKERIESFLPIPTYKKINLDEFQGGRARASL >RHN70726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53478283:53478755:-1 gene:gene19338 transcript:rna19338 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDSSSGSSFLASSLAAAAGAPPDAAAAGAATATANLLGYSLTLSASGKEYSVATAKARTFL >RHN64169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57071300:57071838:-1 gene:gene26956 transcript:rna26956 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHPNATGLFGKPFPHYERLHTVFGKDKACGDTSEDPADHAYNIVKEFFPSSPRNEFDIDLNLGEENFESQISETATYNTTQVPSQVNQSQRDVTSNRSGKSAAKRAKHTDDVSDSLLSSLNKLGEFYAGSVENIKQLTSCFMHEKLTADRRNQVGWYGYH >RHN57780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41685091:41689660:-1 gene:gene33365 transcript:rna33365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MEGVVVRRVIPSDNSCLFNAVGYVMDRDQTKAAELRQVIAATVASDPEKYSEAFLGKPNSAYCNWILDPEKWGGAIELSILADYYGREIAAYDIQTTRCDLYGQEGNYSERVMLIYDGLHYDALAVSPVEGAPEDFDQTIFVIQKNRSIGPVEGLALNFIKDQHRKRRFTDTANFTLRCGVCQIGVIGQKEAAEHAQATGHVNFQEYK >RHN42259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36791673:36793594:-1 gene:gene48679 transcript:rna48679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MEGVEVQRPLKLHFIPFLASGHMIPLFDIATMFASHGHQVTVITTPSNAQSLTKSLSSAASFFLRLHTVDFPSEQVDLPKGIESMSSTTDSITSWKIHRGAMLLHGPIENFMEKDPPDCIISDSTYPWANDLAHKLQIPNLTFNGLSLFTISLVESLIRNNLLHSDTNSDSDSSSFLVPNFPHRITLSEKPPKVLSKFLKMMLETVLKSKALIINNFAELDGEECIQHYEKTTGRKVWHLGPTSLIRRTIQEKAERGNEGEVNMHECLSWLNSQRVNAVLYICFGSINYLSDKQLYEMACAIEASGHPFIWVVPEKKGKEDESEEEKEKWLPKGFEERNISKMGLIIRGWAPQVKILSHPAVGGFMTHCGGNSIVEAVSAGVPMITWPVHGDQFFNEKLITQFRGIGVEVGATEWCKNGVVEREKLVSRDSIEKAVRRLMGNGEEAKNMRLLAQEFGEKATQAIQEGGLSYNNYLALIDELKRLRDLKPHD >RHN41796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33076075:33085551:1 gene:gene48157 transcript:rna48157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MIIDRPPSMAQNPMTSRSSFSSNNGNENTNVNNHAAANNADGYDSDSSNLATPTPSTLSMTVPAELAGAIPLIDRFQVDGFLKLMHKQIQSAGKRGFFSKRSSDPQAREKFTFEDMLSFQKDPIPTALLKINGDLVSRATKLFQIILKYIGVDSSDCTTPLSLDERVELVGKLYKQSLKRAELRDELFVQISKQTRNNPDRQYLIKSWELMYVCASSMSPSKDIAIYLSEYVHNIANDVATDSEIQALALNTFNALKRSVKAGPRHIIPGREEIEALLTGRKLTTIVFFLDETFEEITYDMSTTVADAVEELAGLIKLSTYSSFSLFECRKVVTSSKSPDPVNEEYIGLDDNKYIGDLLTEFRAAKDRSKGEILHCKLIFKKKLFRESDEAVTDPMFVQLSYVQMQHDYILGNYPVGKDDAAQLSALQILAEIGFVSTPESCTNWNSLLERFLPRQISMTRAKREWEFDILSRYRSLEHQTKDDARQQFLRILRALPYGNSVFFNVRKIDDPIGLLPGRIIIGINKRGIHFFRPVPKEYLHSAELRDIMQFGSSNSAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSSSGGSSLNGDASINSKPPNLESYEKRIQDLSKLVEESQRSADQLHKDLHEKQEKEVKMQEQLEGLKESLKANKQNLQAVTSDCERLRSLCNKKDQALQAIESTSKKDLVETNNQVLQKLKYELKYCKGELDSAEETIKTLRSEKAILEQKLSVLEKRNSEESSSLLRKLEQERKAVKSEVYDLERKIEGYRQELMAAKSIISVKDSELSALQNNFKELEELREMKEDIDRKNEQTASILKMQRAQLAEMEGLYKEEQVLRKRYFNVIEDMKGKIRVYCRLRPISEKEVSEKEREAVTAVDEFTVEFLWKDDNPKQYIYDRVFGGDATQETVFEDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSEDNPGLTPRAIAELFRILRRDSNKYSFSLKAYMVELYQDTLIDLLLPKNAKHSRLDIKKDSTGMVVVENVTVMSISTIEELNYIIQKGSERRHISGTQMNEESSRSHLILSIVVESTNLQSQSVARGKLSFVDLAGSERVKKSGSMGSQLKEAQSINKSLSALGDVISALSSGGQHTPYRNHKLTMLMSDSLGGNAKTLMFVNVSPIESSLDETHNSLMYASRVRSIVNDPSKNVSSKEIARLKKLVTYWKQQAGRAIEHEDLEEIQDERPNKERPDSHGSSSSR >RHN39276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5504115:5505315:1 gene:gene45285 transcript:rna45285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MTNNTTIHPQAKTTTNGGAATAVKPSFPATKAQIYGATRPTYRPQTHRHRNNRNWCCTICCWLLLILIFVLILLGVAGTVVYFLYHPQRPSFTVTSLKLTSSEFDLTLSTTNPNEKITFSYQPISVSLLAGELDVGDGVIPSFEHGTKNTTMLKALVERKSVKRKSLELKMKMETKVEAKMWVFKTPHVGINVLCDGIDVAGEKVTTADEKCAVDVRFKVWKWTLG >RHN70535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52114730:52125895:1 gene:gene19123 transcript:rna19123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA synthase MRFGFIAINSWRKNCCYSHFERWMTTSKRVQDRSKKKRVHELEAATEKWKITSKIVFLIELLEQEPEIVIPVRSLSHYRKQISLPKPHRISDFLRKTPKLFELYKDRNGVLWCGLTQKAEVLMEEHKRVIEENEDKAAEYVTRLLMMSVDKRVQLDKIAHFRRDFGLPMDFRTHWVHKYPQLFRVVKPSLDDVEFLELVSWNSEWAITEIEKKNKMILEGVTETEHTPGLLSLPFPLKFPANFKRVHSYYGEKIKMFQERTYLSPYADAKGLKPGSLEFDKRAVAVMHELLSFTVEKRLVTDHLTHFRWELVMPQKLMRLLLKHCGIFYVSERGKRFSVFLTEAYEGSELIEKSPLFLWREKLLSLVGYRGRKKKFEADSDSDEEGGDGLRLLQSDSDVEDLNVELEQQDTLEYKDPLLEDDSEMDVRGISYLKNMQFNTSFAEVGSLSKEDLWKKIWEDATYDLASVLSSLAVFVFTFTLYFMSRPRPIYLIDFACYQPDDELKVSREQLIELARKSGKFDEGSLEFQKRIVMSSGIGDETYIPRSVISSSENTATMKEGRAEASMVMFGALDELFEKTGIRPKDVGVLVVNCSIFNPTPSLSAMIINHYKMRGNILSYNLGGMGCSAGIIAVDLARDILQSNPGNYAVVVSTEMVGFNWYQGKERSMLIPNCFFRMGCSAVLLSNRRRDFGRAKYRLEHIVRTHKGADDRSFRCVYQEEDDQKFKGIKISKDLIEIGGEALKTNITTLGPLVLPFSEQLIFFATLVWRNWFGGGKSDKNSPSSNKPYIPNYKLAFEHFCVHAASKTILDELQKNLELSDKNMEASRMTLHRFGNTSSSSIWYELAYMEAKEKVKRGDRVWQLAFGSGFKCNSAVWRSMRRVTKPSSRNPWLDCIDAYPASLN >RHN48907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51063336:51067513:-1 gene:gene43673 transcript:rna43673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MGVVTLGELKPSVSGRRTFRPSSSIRHATEWPISDVSSDLTIQVGASTYSLHKFPLVSRSGRFRKLLSESKDSKVPRVSLPTVPGGVEAFELAAKFCYGINVEFTLSNIAMLYCISHFLDMTEEFSEKNLVTRAESYLKETVLPNTSNIIHVLHHCENLVPLSEEINLVNRLINAIANNACKEQLTSGLLKLDHNFPSKTLSMMEPETPLDWWGNSFNVLSLDFFERVISVMKSKGLTQELISKILVNYAHNSLQGTSSVSSLDIELQKKQRVIVETIVRLLPTQSRKSSVPIAFLSCLLKTAIATLVSTSCRSDLERRIGLQLDQAILEDILIPTNSPSSAHNTMYDIDSILRIFSTFLNLDEVDDEDSDFRDENQMMYEYEFDSPGSPKQSSILKVSKLLDNFLAEVALDSNLLPSKFIALAELLPDHARVTSDGLYRAVDIFLKVHPSIKDSERYRLSKTIDCQKLSQEACSHAAQNERLPVQIGVQVLYYEQIRLRNAMNGGHNQILFGGIHGQFPQRSGSGIGSGAISPRDNYASVRRENRELKLEVTRMRMRLNDLEKDHVSMKQELVKTHPARKFFKSFAQKLRKFNALFRINSIRGSGTGRSSPQPRSRFPFQKRRCHSVS >RHN79944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33731519:33732010:-1 gene:gene3803 transcript:rna3803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MMWCFPALTNIYLQCVCCPNIQMKWVMNEALRLYPTAPNVQRQSREDIKLDNLTIPNGTNMWIDVVAMHHDSSLWGENVNEFRPERFMDDVNGGCNQKMGYLPFGFGGRMCVGRNLSFMEYKIVLTLLLSRFSFNISPNYIHSPCIMLSLRPAYGLPLIVQPL >RHN49778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1089275:1098684:-1 gene:gene33989 transcript:rna33989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MELKEAESSEKISMMTLLKTTSVRRGLYAGMGLQIFQQFVGINIVMYFSPTIVQLAGFASNQTAMLLSLITAGLNTFGSLISIYFIDKTGRKKLALISLFGVVLSLVLLTVTFRQTETHSPMISEIETYRFNNTCPAFTPSRGGWDCTTCLKASPKCGFCASDSNKVLFTLVQKKSFKSSACPI >RHN54249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8063036:8067186:1 gene:gene29252 transcript:rna29252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MFSQREMPSPSTIFSAYASMTASIMLLRSMAQELIPQPIRGYLYNTFRYLIKPRSPTLTLIIEESTGITRNQVYDAAESYLSTKVTPENERLKISKVPKEKKLTIRLEKGEKLTDIYNGFPLKWRFICAETEKNSANDMHNNNNSVSVRSEKKYFELSFHKKYKEVVLDSYLPFILDKAKEMKDEERVLKMHTLNTAYCYSGVKWDSINLEHPSTFETLAMEPDMKNVIIEDLNMFVKRREFYKKVGRAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIFDLQLGNIVRDSDLRKLLLATANRSILVIEDIDCSIDIPERRHGEGRKQQNDIQLTLSGLLNFIDGLWSSCGDERIIIFTTNHKERLDPALLRPGRMDMHIHMSYCSYEGFKILASNYLDISHDNPFFGEIEGLIEDIQITPAQVAEELMKNEDAEATLEGFVKLLKRKKMEGDVCENNNNKIEQQSKKRKVVGCKQKRGGGNSKSNVVVTQRRTRGYKRGSSL >RHN38471.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000011.1:94206:94409:-1 gene:gene50706 transcript:rna50706 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIIFQGRILDGESLGAKERDLEQIGHKNMKIGKQNISPTSQKLGTARASLGTAVPPSRCLLLLLL >RHN79131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23706042:23707441:1 gene:gene2848 transcript:rna2848 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFFKKGEAFVDNRYVVPYNRYLLLRYNAHINVEWCNQTRSIKYLFKYVNKGHDRVTVGFYNGKEGSSDVVDEIKLYYDCRYLSACEAAWRIFSFDINYRTPSVERLTFHLENEQHVIYGDNDPLEDVVRRKSIHQTKFWAWMHANKVYPEAKSLTYNEFPTKFVWKADKHRWSPRKVGFAIGRLHFVPPGTGELFYLRTLLNYIRGPTSYADLKTVDGITLDTFKEACAALGFLGDDKEFIEAIIEAGKWGTGIFLRLLFATLLVSNQLSKPDYVWNNTWEYLSDDILDRQRRRLQVPDLVLTSDQIKSYALSEIESLLQVHGKSLDNYKEMPKSD >RHN72747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10403800:10404948:-1 gene:gene8500 transcript:rna8500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MADLPPEILSEIFSRLPVKSLLRFRSTSKSLKSLIDSHKFINLHLQNSLNFNLILRRKTDLYHLHFPNLTTAVPLNHPFIHHSNNIALLGSCNGLLAISNGEIAFTNPYSANEIAFCNPTIRKHRIIPYLPLPIPSRSQSDNIALCVHGFGFDSLSADYKLLRISWFVDLQHHTFDNSHLTLFSSKTNSWKTLPDMPYILYYTLTMGVFVENSLHWIMTPKLDGLQPCLIAAFNLSLEIFNEVPLPDEIISNESFKISIAVLGGCLCLPVNYQTTKIDVWVMKEYGCRDSWCKHFTLVKSCLDFLRPLGYCSDGSKVLLEIDCKKLFWYDLKSEQISYVEGIPNLDDTMICVGSLVSPSLPVDNRRKKQNRTSKGRYFLLFD >RHN68820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38858474:38858869:1 gene:gene17229 transcript:rna17229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSPFYYFAMVLIASVVLYFLIFYFDGQAHVVAGQTHVVHNLDKTIINTILVSIYTAQYAQEESTCECIICLDEFEDNDRLGTLSICYHTFHLDCIEVWLRKNPNCPLCRSNCSLNLSMIIDVTQRSLSTPQ >RHN53659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3188017:3189045:-1 gene:gene28590 transcript:rna28590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyltransferase 61 MQGLIILYFSAEKLETRKVELEQEQPLCVSEARTEYCQPQGDIRVHGKSSSVYIVSHKTNSLAENVSWIIRPYARKSDAYTMSSCTKYHSIPAVIFSTAGYTGNHFHEFSDIVIPLFLTCRLFNGQVQLIITDKKSWWISKHQAFLKKLSNYEIIDIDRDDEVHCFSKVIIGLKRYHKELSIDPQKYSYSIKDFMEFLRSSYSLKRVGAIKIRDIGNKSKKPRLLILSRKTSRSFINTNQITKMAKGLGFRVIVHGAGLTNILFLPQNAIFIQVVPFGGMQVEWLATNDFARPLENMNIKYLEYKIRLEESTLIQQYPLDHMGYS >RHN42878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41601834:41606225:1 gene:gene49377 transcript:rna49377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative magnesium-importing ATPase MEDQEQRKKRKCTLGYIHWLSLKKTWSLSLSFTEAERRLRENGPNVPLEYSFPSLWNLLWNALFHPFNMILIILSSLSFIARDYPNGFIMLILVFISVILRFCLEHRSSKAAMKLSEFLRCPIKVQRCAGRIEQKELIVQVDHMDVVPGDIVIFEPGDLFPGDIRLLSSKHLVVSQASLTGESWTTDKAGDVREDHNTPLLDLKNICFMGTNVVSGTGTGLVISTGSNTYMSTMFSKIGKKKPPDDFEKCLRWIFYMLISVMLVVVTIMFVTDFTTSHNLSKSVLFAISVSSALNPQMLPLIINTCLAKGAVAMAKDRCIVKSLTSIREMGSMDILCIDKTGTLTMNHAILVNHLDCRGLPREKVLRYAFLNSYFKAEQKYPLDDAILAHVYSNGFKFQPSKWKKIDEIPFDFIRRRVSVMLETDDRHSRFFGRFMVTKGALLEVIKVCSFIENFDKDEISTFSSNDYQRILNLTEELSNEGLRVIAVAIKKVEMTCETSNGSKRHDYDFERDMIFLGFITFFDPPKDSAKEALWCLAEKGVKAKVLTGDSLSLTTRICREVGISTTHVITGPELEELDQETFHETVKRATVLARLTPSQKLRVVQSLQTKGNHVVGFLGDGVNDSLALDAAHVSISVDSGVAIAKDMADIILLEKDLNVLVAGVEHGRLTFGNTMKYLKMSVIANLGSIISLLIATLFLKYEPLTSRQLLTQNFIYSLGQIVIPWDKMDEEYLNTPHKWSVRGLPMFILWNGPVCILCDVATLLFLWFYCKAYDDMKFFHSAWFIEGLLMQTLIVHLMRTEKIPFIQDIASWPVIFSTLVISTIGIAIPFTPIGRVMGFTLIPLSYFGFLFVIFMGYFTIGQVVKRLYILVYKRWL >RHN49436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54792439:54793804:-1 gene:gene44265 transcript:rna44265 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFLLCFSSSKRQNHFSSKPAYVTIQPTHHETDLEESIHWISQSKIENEQEKSQCVNNTTGDIETKEKTLKEEKITTPQCCIIDNHVEEEEECSDSLFSVSITCGKPVSIEENEVNSNHAKDESKSEEQPNEAKDLDEKTRPMNQSNLDLYNEKTGEKEERYSESSLFSLSTDYNNNCRKRISFSSSEGNNDDDACSSVLNPIENFSSQGKIAMKVAKATVLHSGKKDKENMNWNQAETSLKVLSSISSWLVKSENDTPKNVCSNGEDRQILGALKVEEMKKYSSPPPNNVSIKSSTSLLSPEETPIIGNHSEQTRSSKSNKHGKYS >RHN54810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12528418:12534543:-1 gene:gene29898 transcript:rna29898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MAVKCLLRRRLHFHQTTFFRFYSPSSSALMLQPETETPIFNTPLPKTTTKLPRLVSKVFKTLNWGVAREIKFKGCVQIHGFENSINSFSIIIHTYALAGMSWEVFILIRDIVTFYKEENRDAGELVSLLLDVEKSNVVFDMLIKVFASNSMLEHANYVFVRAKDDGIELNIMSCNFLLKCLVEDNRVDGVRLLFEVLIKFGPRPNIHTYTIMMNFFCRGVGCSVDIRRASEILGKIYMSGETPNVVTYGTYIKGLCKVGLFGVAWRLIQNLCRKNQPLNNHCFNAVIYGLCQGGILDEASEVFKEMKNSGILPDVYSYSILIDGFCRKGDMDSAIKFWEEMTSNNFSPSAFNYCSLIKGYYKSKQFANALKEFRIMQKLGMWPDTIACNHILSIYCRKPDFNKALALSEKFQENGVHFNPYSYNEFIHRICRGSVPEKALQLLPVMLKRNVLPDVVNYSTLISCFAKRLNSEKAVMLFIKMTKVGITFNVKTYTILINLFISDCKMDVAYRLFKGMKESRVYPDQIAYTSLVAGFCNTGEMTRARALFDEMSREGCSPNVVTYTCFINEYLKLNKNNQAHKLYEKMKERGVYPDQILYTMLIAAFCNTGEMNRAEALFDEMKQEGRCTPNVVMYTCLINSYIKLNKRDQAEKLYEEMRAKGLSRLCSSEGSVSESWCNSWS >RHN71043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56034853:56043257:1 gene:gene19685 transcript:rna19685 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGAFGGNRGLRPVPPEKGVFPLDHMHLCDLDKKEYLNCLKTAGNKSEICRGFSKKYLQCRMEKNLMAKQDLAELGFKEGNAESAVGKTTERIGDQEQ >RHN54823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12644315:12645204:1 gene:gene29914 transcript:rna29914 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEVDPSTDKKIEPLHEVEVQPSPNGKTEPSAEEEVRPSLTVKNEPLLKPNGKIFIGFAKRVGECLCLNQN >RHN61838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38749921:38750715:1 gene:gene24338 transcript:rna24338 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CLE13 MGRYTTDQVQVYVLVIVLCTFFSTLQARSLRDHPLIHKNIDSRSLLQKLRIHISNHKQVRDISGDRLSPAGPDPQHNGRSPPRK >RHN80231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36335372:36342338:-1 gene:gene4131 transcript:rna4131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative processing peptidase MEIIGSSVVNPSFPLRYPTISVSQVSNWKCFSITESRFSFSLTHKRKKVTKFSIGVVPRVSNVCFPQSWTFLRKRRKWNKDSSVKHASLLFVRLVAGVMLVVSVSLASNNPSWALTEENLLFLEAWRTIDRAYIDKSFNGQSWFRYRENALRNEPMNNREETYMAIRKMLATLDDRFTRFLEPEKFRSLRSGTKGALTGVGISIGYPTKADMPSDGLVVISASPGGPAYRAGVLSGDVILAIDDMSTEKLGLYDAAERLQGPDGSSVALTIRSGSDVKHLALTREKVTVNPVKSRLCKLPAAGDNSPTVGYIKLTSFNQNASRAIREAINTFRSNNVNAFVLDLRDNSGGLFPEGIEIAKLWLDKGVIVYICDSRGVRDILDTDGSGALATSEPLAVLVNKGTASASEILAGALKDNKRAIVYGEPTFGKGKIQSVFELSDGSGLVVTVARYETPAHTDIDKVGVIPDHPLPTSFPKDEDAFCNCLQDPASSCNNNNRVQLFSK >RHN40628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18342763:18345075:1 gene:gene46800 transcript:rna46800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate dehydrogenase (NADP(+)) MDYFLKRCFYHSGLYNSEEDFLDLDSKLKEKEVMLEEKKNIFDLLCYLIHKFDINDKKN >RHN41599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31395050:31398916:-1 gene:gene47937 transcript:rna47937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MTWCNDSDDQDRGIELITSTVIPGTSNESPLVTDDETKPTEVRTLTCPSCGHNIEFQDQGGINELPGLPAGVKFDPNDQEILQHLEAKVLFDVPKLHPLIDEFIPTLEGENGICYTHPEKLPGVKKDGQIRHFFHRPSKAYTTGTRKRRKVQTDEEGSETRWHKTGKTRPVIIDGVMKGFKKILVLYTNYGRQKKPEKTNWVMHQYHLGSNEEEKDGELVVSKKILEYLSSSERRLACNSVIRFMYSSVNFFLSLMASS >RHN48674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49408228:49414616:1 gene:gene43416 transcript:rna43416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plasmalogen synthase MMKGLESELKDLNSKPPKPNGTTTTATVRDDRPLLKSDSLSSTTTTTAEELQDLEKKFAAYVRNDVYGTMGRGELGAKEKLFLGFALVTLLPIRVILAVTVLVVYYVVCRVCTLFKIPNREDEQEDYAHLGGWRRSVIVKCGKALSRVMLFIFGFYWIHVSSNSFNQENKPQPEETGRPGVIISNHVSYLDILYHMSSSFPSFVAKRSVAKLPLVGLISKCLGCIYVQRESKASDFKGVSAVVTERIREAHQNESAPLMMLFPEGTTTNGDFLLPFKTGGFLAKAPVLPVILKYPYQRFSPAWDSISGVRHVIFLLCQFVNYIEVIQLPIYYPSQQEMDDPKLYADNVRRLMATEGNLILSDIGLAEKRIYHAALNGLFSQC >RHN48154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45439932:45443174:1 gene:gene42841 transcript:rna42841 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVVPVVEELRRRLPLKVSVAGQGQVEEELCVQEGEGGEENEFPFEFRALEVVLEAICSFLDARTRELETAAYPALDELTSKISSRNLDKVRKLKSAMTRLTNRVHKIREELENLLDDDDDMAELYLSRKLAVSSSPSSSSDGPNWHHSPYQGSKIHRSSRGSAATLQGENDVEELEMLLEAYFIQIDGTLNKLTTVREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSIYSLVAAIFGMNIPYTWKEGHAYVFKWVCIHAPA >RHN38785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1956658:1958091:-1 gene:gene44751 transcript:rna44751 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKIQQFYHPIIIEEMQTPEKIGGEAEMRKCNFKGEVIKGLGDIIYKMFALLVALVTLKFTKIGETLFAKQYTPWVVAAVLIFFLLWIFSILIPDHITIETLFPISLMYGLTCAVSFAALTIILPNIVAILNLTLWLIVFFVILYINYQNQNLFVAAPRDEEAATLAEVVASGSKLEVEVGLQKFDVNGSSRDLGWISDPGFDTDGESRVD >RHN40097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13244463:13249322:-1 gene:gene46198 transcript:rna46198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSVGTTKTIERYQRCSFNPQQDDHQIDCDTQSWYQEVSKLKAKYESLQRTQRQLLGEDLGPLNIKELQNLEKQLEGALAQARQRKTQIMIEQMEELRKRERHLGDLNKQLRIKLEGEGFNLKAMESLWSSNSVAGNCNFTFQQPQTNPMNMSMDIQAEPFLQIGYQQYQYVQAEPSNVSKSMACETNFMQGWML >RHN73384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15873395:15874492:-1 gene:gene9187 transcript:rna9187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle-fusing ATPase MYSNFKEQAIEYVKQAVQEDNAGNYARAFPLYMNALEYFKTHLKYEKNPEIKEAITQKFSEYLRRAEEIRFVLDDGMPGPASNGDAAVTLRPETKPKNDGERDGEGDGEDPEKSKVRAGFNSAFFKEQAIEYVKQAVQEDNAGNYAKAFPLYMNTLEYFKTHLKYEKNPKIREAITQKCTGYLRRAEEIRFVLGDGLPRPASDGDVAVALRQEMKQENGGERSGEDPEQDKLRAGLNSTIVMEKPNNVAEKPRTAMGRCWPCKFKQRTRSRS >RHN53900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5181658:5185357:-1 gene:gene28863 transcript:rna28863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MKFMENIEDTFNLNNQNSNIFGLSFSSQMNIGAPSTAAAEAVPTGFYYNPTPLHNYGYYGHESEQVGLYSALPIMHDGSQAHAMGISHYGCSTKEEMQLSIDSIFDNQTSYHKPNNSNNLNHVQENMSLLGSLVEHQKQQLSCYTVLRNDNVILDGPMKYQLEDNVQLPNIVEDEISDLRRWVSRDFHAASHAQDSKTCVLSASSSSQSSCVTSSQQTSPIDSASIDTMKRKHQMVNLKKNQNQTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQARKGKQVYLGGYDIEEKAARAYDMAALKYWGPSTRINFPLENYQKELEEMKKMTRLEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFTTQEEAGEAYDIAAIKFRGANAVTNFDITKYDVEKIISSPNLLSSKEARRNKKMDDVINNNKPACAFEDIEEAIPIQKRCKIQPFSISNIYDEEVEESNRMHMSNPSSIVTSLSSSREESPENMTSLPMLFGMTSTTSIMSSFSLPQMPLSVFAAWTDA >RHN57160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36997626:36999062:1 gene:gene32664 transcript:rna32664 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKTDSYDYYKVRKLVRNIFMNKIVLNKLKGYPKRIKDFIVVMDRWRKCYMVEGDGRNPRRRWWQKRCTCKHPS >RHN71682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1888495:1889484:1 gene:gene7307 transcript:rna7307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate decarboxylase MQNLIPKRESLHVLVMVAGQEVSTMLRWGQNVIIFLINNGGYTTEAEIHDGPYNVIKNWNYAGLIETIDNGEGKCFTAKVHCEEELIEAINTTMESKKNCLCFIEVVMHKDDTSKELLQLGNRLAALNGRLPQHN >RHN46786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34884333:34885439:-1 gene:gene41314 transcript:rna41314 gene_biotype:protein_coding transcript_biotype:protein_coding MCCFSSQYDTLYAPLSKRHNCRHLRLSHLKRRKRMIEVKAQIKMKNLKLYMENQTIIEQNEKLRKQAMLLHKENQDLMSQLQMKLSEQNINTNNN >RHN54942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13794955:13796917:-1 gene:gene30048 transcript:rna30048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MAFSRFLSSSFKAAVPTFIRRRPIVTATVSYFGISYAARPLIRYFNTTEQNANADDPLRPFRATDVFYPGRMLSRFMFSMIDRHVNVRETEESIVLRYCGLSDVKKEDVKVFVDRNILKIEDTFPGRNRFSGTLDLAKKNCDGLHTTAKFENNVLEIVIPKKNKDEDEVDLTVLHVIVDDFARSGTFTNGAIAGGTEVSRYQEESIDSSKVNFSVYGDAGLDAVLKEKDDSVNRQYQNRPGEEACGEARGMLTKGKRVLRGHRRIVKMLLKMLMFLEANLLMTDGEHDVEGEHDNKAESGGEAEAMADAHDVEEDGKLLPFFICHSS >RHN67977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31901042:31902213:1 gene:gene16247 transcript:rna16247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylylsulfatase MLLSSIVQIPHLFLRHSSTTPLRIRSICSSSSFIKMASQDYTFGPYKIHHNEVFYSTDLSYAMVNLRPLLPGHILLYGFQ >RHN72651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9430472:9435955:1 gene:gene8395 transcript:rna8395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sodium/sulfate symporter MAGEDSLNATLLPLQEPIQETPNNNSITIFSILNLQNFYIILGPLLSIIICLLVKLDAPTTSTKMLGVIAWVFTWWITNAVPLPVTSMCPLFLFPLFGIASADTVAHSYMDDVITLVLGSFILALAVERYNVHRRLALNVTLVFCGDPLNPSMLLLGLCATTFFVSMWLHNVATAVMMMPVATGILHRLPPAEEQPELMNKFSRAVILTVVYATPIGGISTLTGTGVNLIIIGMWKSLAPEAKPISFNSWFFFGFPVAVLLLLCFWCILCLIYVRKGSSRALSDYLDRALLKRDLEALGPMSFAEKMVLCVFGLLIVLWMTRRISDDLPGWGVLFHGLVGDGSISVLAAVLLFIIPNMKQNGEKLMDWNECKKLPWNLILLLGAGFALADGVQSSGLADVMSKALEFLKDVPYLAIVPAVSLLCSIITEFITSNDATATLLVPLLYHIAINMHVHPLLLMIPGGIATEFAFWLPTSTPSNVVGFATGHIEIKDMLKVGVPLKVAGVSVLALLMPTLGSIVYGTNDGTQ >RHN51445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17897019:17898113:1 gene:gene35879 transcript:rna35879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MASRLLRRSLRHYSTFLSRNYSSVLDDPPRLVYHRDRNCPMRSQKNSSALKSPLPLPQTKAETNRKAERFISRAIAFYGQAGMFDQALNAFNFSHEKLNVTPSVKSLNGLLTAAIITYNPHEVTRIYKDFPKMYSIKPNADTYNLVMGYFVDTGSTTSIFSIFVDMSVYRVKPNATTFNKAFLGFLEENKFEEIEKLVYLMENRYGLTPYPMSYSVRIKGLCKLKMFDEARRVIGLKAQTGLKPSVEDFYPLISGVCEAGDVGLARLYFHHMKTDVGIPRCDSFYFLVDSLCKIGEFEHAFDDAMDMIRVGCVPTLTTMKKLVNGLVCVSKVDDAKKLVEKIKDKFSENGDKWGELEESLPQES >RHN45745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25193505:25201224:-1 gene:gene40135 transcript:rna40135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative enoyl-[acyl-carrier-protein] reductase (NADH) MATTTVSNMSIAMSRPRITPSQKFSNLGPAIVGSRVKVGSCAKLGSVCHVALAKPFQLSSTSHTLKFDKIVTKAMAESSSNKQATGLPIDLRGKRAFIAGVADDNGYGWAIAKSLAEAGAEILVGTWVPALNIFESSLRRGKFDESRKLQDGSLMEITKVYPLDAVYDTPEDVPEDVKANKRYAGASNWTVQEVVESVKKDFGTIDILVHSLANGPEVSKLLSETSRKGYLAALSASSYSYISLLKHFLPIMNQGGSSLSLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKKRIRVNTISAGPLGSRAAKAIGFIDMMIDYSFTNAPLQKELHAEEVGNAAAFLASPLASAITGAVIYVDNGLNAMGVGVDSPVFKDLDIPRDQH >RHN68029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32241101:32242116:1 gene:gene16314 transcript:rna16314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIIFLFIFLVAPNVQAQRNRFREDSDCPIEMCGFPLKTNCLRPHFILGKRGICVCV >RHN51093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13941583:13942122:1 gene:gene35468 transcript:rna35468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MKSTTPASLLFIFFLCIIFYAPLPSSCTSLYEALCNEYKPEADIPKCLNLMKTDPNIPLATNYHDLSHYILEMALFKVTSVHIYLEVMAKYFPTNEAIGQCLTEFNNSVGAVNNALIKLDNDPKSAREDAITAGFGGDNCNKALQNPPEKYVLDTIQDRNNEIFFVNVIASLSIIHLFD >RHN62073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40504610:40509784:1 gene:gene24604 transcript:rna24604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative post-transcriptional gene silencing PAZ-Argonaute family protein MDQRGFRNSTGGNSHNGNSNGGGGRGRGREFQQQSSPGGRGGRGFTQQPQNRWQQRPNPPSSFTPSTPAPITKPNPNNRQPPVQTHPQVQTHPLPDIGALKIKEQPVENTTIGPMFRPDKGGTVSIRDCRLRVNHFPVAFNPQSIIMHYDVDVKASVPPRKGLPPKKISKSDLSMIRDKLCADHPQILPLLKTSYDGEKNIFSSVPLPEETFTVEVSKGEDERAVSYTVTITLVNKLELRKLRDYLSGNVYSIPRDILQGMDLVVKENPARRTVSLGRCFFPTNPPLIQRDLEPGIIAIGGFQHSLKTTAQGLALCLDYSVLSFRKKMSVLDFLHDHIRGFNLAEFRKYKKFVEEVLLGLKVNVTHRRTKQKYTIAKLTDKDTRHITFPILDQEGQTPPRSTSLLAYFKDKHNYDIQHKDIPALDFGGNKTNFVPMELCVLVEGQRFPKEYLDKNAAKNLKNMCLASPRDRESTIQMMMKSSDGPCGGGILQNFGMNVNTSMTNVTGRVIGPPMLKLGDPRGKSTPMKLDPEKCHWNLVGKSMVEGKAVECWGILDFTSDAPNWCKLRGNQFVNNLMDKYRKLGIVMNEPVWHEYSAMWKLGDYNLLCELLEKINEKVQKKCRRRLQFLLCVMANKDPGYKSLKWIAETKVGIVTQCCLSGNANEGKDQYLTNLALKINAKIGGSNVELINRLPHFEDESHVMFIGADVNHPGSRDTNSPSIVAVVATTNWPAANRYAARVCAQEHCTEKILNFGEICLDLVRHYEKLNKVRPQKIVIFRDGVSESQFHMVLGEELKDLKTVFQHSNYFPTITLIVAQKRHQTRLFPAGVREGAPSGNVFPGTVVDTKVVHPFEFDFYLCSHYGSLGTSKPTHYHVLWDEHRFTSDNLQKLIYDMCFTFARCTKPVSLVPPVYYADLAAYRGRLYYEAKMSTQSPYSTVSSSSSPLASSSISSTASISNDPGFYKLHPDTENGMFFV >RHN51968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29278799:29280676:1 gene:gene36553 transcript:rna36553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MVIEAATNNFSKDNYLGKGGFGEVYKGILSDGREVAIKRLSRSSKQGVEEFKNEILLIAKLQHRNLVTFIGFCLEGHEKILIYEYVSNKSLDYFLFDSQQQKLLTWVERFNIIGGIAGGILYLHEHSRLKVIHRDLKPSNILLDENMIPRISDFGLARMVEISQDEGSTNRIVGTYGYMSPEYAMFGQFSEKSDVYSFGVMILEIVAGKKNISSYEPQHVANSLLNSVWRQWMDKTPLNILDPNIKGDYSKTEVIKCIQIGLLCVQNDPEARPSILTIASYLSSHSIELPTPQQPAFFLQGRNYNEYANSSALFSSNGMPSTTFFPR >RHN80930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41991302:41992005:1 gene:gene4914 transcript:rna4914 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQKGSMDSGASRKRMKPGVVQEKFGDEAESQIVLVQEEGFETNQVGSEEMELNISLVLEKIENFTQRVSELLESGKTMFKELCNEFEEKLIMIHKEQVEKWQEEIKELRALDASNEEANALLQNARYVLQLTRND >RHN66554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13680229:13682358:-1 gene:gene14560 transcript:rna14560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MAKLVCVLFLALLCIAMITTQVMAKDAAQYGSGNYGPGSLQSYQCPSQCTRRCGQTQYHKPCMFFCQKCCAKCLCVPPGYYGNKSVCPCYNNWKTKRGGPKCP >RHN43397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45455168:45455744:-1 gene:gene49965 transcript:rna49965 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTTVHHFESSEIANLNCRFRCSRSRPLPKRGQIKSKIVANVCHSIVSVIYRASSLGLHSSTKN >RHN64639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60660675:60665068:1 gene:gene27472 transcript:rna27472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein MAPKAIASPIPDSLYPTLSVFTLAIGLILTASFFIYEATSSRKTRNLAQELTTGAIASVFLGFGSLFLLLACGVYV >RHN49958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2682261:2684581:-1 gene:gene34196 transcript:rna34196 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSVFTLSHISLFKIFLTHSTSLLCPPIFQSISIFFYLCFSSFHLFVVNQCYNVVETLLYLCLQLQVTSIVWKFIRDIKNMDTSFRFSFSCRRLFFGALLSLGIMWFMFLTISTMNHQTKRTILVPMNVNVLSRQLKLVSMQRHALHSDSRLVIVSKRRVPNGPDPIHNRRARKYRQPPNQA >RHN71460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:341722:346577:-1 gene:gene7064 transcript:rna7064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA pseudouridine(38-40) synthase MTQLNPKHTVEFEECSDNNNRPSKISKIEEEMNQHHHHRKIQRYLVAVEYIGTRFFGFQKQLTDRTVAGVLEDAFCKFVGQPVSVVCSSRTDAGVHALSNVCHVDIERISKRKPGEVLQPHEPAVVRRAVNHFLQKENGDLAVIDVRCVPSDFHARYKAQERTYFYRLLSGPETLSTFEKDRAWHIPEELNLPAMQEACKVLVGHHDFSSFRASGCQAKSPIRSLDELSVVEVTRSPYFPSVMDRENPINVTDDLHCFHSNSETVIPLGSSARIDEVSASKEDVGFGKRRYHRCLVVTARARAFLYHQVRLLVGVLKDVGTGNITVTDVERILNAKTVTATSPMAPACGLYLGEVKYDLPS >RHN42749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40636926:40646904:-1 gene:gene49233 transcript:rna49233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MAKRKGRGQPKASSLLKKVKHSANVEPVVLNNPSYELEVDLGFVEELETGTMAKRKLRDRSKASSLQQMVKQRANVKTVVLDNRSNEFEVDPELVEQQVTGTMAKRNLRDRPKASSLQKKVKQSANVKTGVLDNTSNELEVDPELVEEQETGTMPKRNLRDQPKVSTLQKKVKQSADVKTAVLDNQSNELEVDPEFVEEQETGTMAKRKGRGRPKASNLLKKVKQSANVKTAVFDNPLNELEVDPKFVEEQETGTISKRNLRGRPKVSSLQKQGKQSTIVKTAVLDNPSNEFEVDLEFIEEQATGTIAKRKLRGRPKASSLQKQVKQSANVKPVVLDNPSNDLEVDPKFVEEEETGSMAKRKGRCWPKVSSFQKKVKQNSNVETVVLNNPSNELEVAPDVVQEQENQSNESEDDPDFVEEQETELLMQRGPGRPRRSMNQKNHRQNADGKVRPKNKVRGQTRGLMLEMKRKLSRDGKMDVDIHPTRLVAVGPGRNDFITDLSIIVRKNARFNVNKWSSVPQSTKDTIVEKVLNNWRLQDTDVVRKAIINEAGRLYRSRRHRLHEHYLKFETKEEALKHIPDDVNESDWKFLVDYFSSPSFEIRSIKNKASKAKQRIHHTSGPKSFQAASFDARDPVTGKEPDLQTLWQITHKKANGEWVNEASKQIHDKVAEQINESMLENSQDEVEVMETAFKSVVGKQSYMQGFGEGLRSARSSVRVQELQAELDAQRVEAENARKECNELRAKLVEVESQLAEERRKREESEARLLYRQKDMQEINSHVQTAIQSALSQYCPRKTDEETSSDDKEKIAELEAQLHEAEDVITDIRAELARYRLY >RHN78740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17980219:17980827:1 gene:gene2388 transcript:rna2388 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMHFIVKGSCGQSSSTSHSYDSGLLSCKSVYASKSAPTRDTERNKIITQINLRILVIFFLLCM >RHN42936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42035862:42040343:-1 gene:gene49444 transcript:rna49444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MMKPETVTLVLVNLAGIMERADESLLPGVYKEVGAALNADPTALGSLTLFRSLVQSFCYPLATYLATRYNRSHVIALGAFLWAAATFLVAISSTFLQVAISRGLNGIGLAIVAPAILSLVADSTVDSNRGMAFGWLQLTGNLGSIIGNLFAVLLASTSIFGIPGWRIAFHIVALVSIIVGILVGIFANDPQFSKKAGRATYQAPNKPFYSEMKDLLKEAKSVIRIPTFQILVAQGVSGSFPWSALSFATLWLELIGFSHMTTALLWSLFIVAVSFGGLFGGWMGDMLSQRLPNSGRIMLSQISSGSAVPLAAILLLGLPDDPSTAFMHGLALFIMGLCISWNGAATNNPIFAEIVPEKSRTAIYALDRSFESILASFAPPVVGVLAQHVYGYKPIPKGSSGSVQIQTDRENAASLSKALYTAIGIPIAICCFIYSFLYCTYPRDRERAQMAALDETEMHQLEDENYTNEEYCEIHVLESNKLNGKESNKIDQINHLRQESIDLDDNDEKVLLSR >RHN51464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18096089:18101455:-1 gene:gene35900 transcript:rna35900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-carotene 3-hydroxylase MAAGLTATITIQPLNRYYKPLLPYNLQKPTPTLILSPLRCFHQKTTLKTQRLKSFTLCVLMEDPKRDTQMEIEEEKSTTLQENNYIIPQVVSLKMAEKLARKKSERFTYLVAAVMSSLGITSMAVLSVYLRFSWQMEGSGEIPWSEMFGTFALSVGAAVGMEFWARWAHKALWHASLWHMHESHHRAREGAFELNDVFAIINAVPAIALLNFGFFHKGLVPGLCFGAGLGITVFGMAYMFVHDGLVHRRFSVGPIANVPYFRRVAAAHKLHHSDKFEGVPYGLFLGPKELEEVGGLEELEKEISRRTKSYNSSS >RHN61822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38602274:38604897:-1 gene:gene24321 transcript:rna24321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSIHVDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSTDDAKAFAERENTFFMETSALESLNVDNSFTEVLTQIYRVVSRKTLEIGDDPAALPKGQTINVGSRDDVSAVKKVGCCSA >RHN67795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30328250:30329745:-1 gene:gene16029 transcript:rna16029 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLFWPKYSPMCEIHDGGALRFLSALEWVHLLNLGHVDFKLDAKRVVDSFKSHNSDATEFGNIINNCKTLFSNFYENSSVEFVRRQANKVTHNLAKATLLLASSQLLATIPHCIEHILINEMQ >RHN49498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55217506:55217826:-1 gene:gene44336 transcript:rna44336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S2 MTKRYWNITFEEMMEAGVHFGHDTRKWNPRMTPFTNLTRTARFSSKACDLVFDAASLGKQFLIVGTKKKTADSVTQTAIRARCHYVNKKMARRYVNELVYYRNETS >RHN47054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36820174:36821327:-1 gene:gene41608 transcript:rna41608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MGKNKSLCTLINILIVIWVMFPSNQNQENDQSLTLSERYKHWKIKYRVIYKDDAEEEKHIQIFKHNVAYIDSFNAAGNKSYKLTINRFADLPTEPSDDGFKKRKLEPTTSSLFKYKNITDIPAAVDWRKRGAVTPVKNQRECGSCWAFSAVGALEGIQQITSGNLVSLSEQELVDRVRSNWTNGCNGGYLIDAFEFVLENGGIATEASYPYRGVKGNNSKKVSRQVQIKSYEQVPRNSEDSLLKVVANQPVSVGIDISGMIRFYSSGIFTGECGTKPNHAVIIVGYGTSNDGTKYWLVKNSWGIRWGEKRYIRMKRDIDAKEGLCGIPMDASYPNINNIV >RHN43846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48908308:48928794:-1 gene:gene50476 transcript:rna50476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative THO complex, subunitTHOC2, THO complex subunit 2 domain-containing protein MSVPPVQCVFITEDCVREWKSSNNASFKLSQPVPLLRFLYELSWTMVRGELPFPKCKLALDSVVFSDKASPHKIASNFADIVSQMAQDLTLSGEFRSRLIKLARWLVESELVPVRLLQERCEEEFLGEAEFIKIKAQELKGKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCRDSEAPTQKGSTSTIGIIKSLIGHFDLDPNRVFDIVLECFELQPDNDVFIELIPIFPKSHASQILGFKFQYYQRMEVSNPVPYGLYRLAALLVKQDFIDLDSIYAHLLPKDVEAFEHYSTFSSKRLDEANKIGKINLAATGKDLMDEEKTGDVTIDLFAALAMETDAVDERKSELQNNQTLGLLIGFLSVDDWYHAHVLFERLSALNPVEHIQICDSLFRLIEKSISSPYDVIRQAHLQNSGLSTGGSTDAMDVDNPSGHNSFIDLPKELFQMLGCTGPFLYRDTMLLQKVCRVLRGYYLSALELVSQGGALNPQFHVGNPNLHLKEARLRVEDALGACLLPSLQLVPANPAVGQEIWELMSLLPYEARYHLYGEWEKDDDRYPMLLATRQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDILEYVVIERLALGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKRGQGIELVLLQELIQQMANVQYTENLTEEQLDAMAGSETLKYQATSFGMTRNNKALIKSTSRLRDALLPKDEPKLATPLLLLLAQHRSLVLVNADAPYIKMVSEQFDRCHGTLLQYVDFLGSAVTPGSNYAILIPSLDDLIHLYHLDPEVAFLIYRPVMRLFKSQKTPDVCWPMEDKYSASDSSTNFEPDPTDYSGSMVLDIGSNKNPISWSDLLDTVKTMLPPKTWNSLSPDLYATFWGLTLYDLHVPKNRYESEIAKLHANLKSLEELSDNSSSAITKRKKEKERIQESLDRLSSELHKHEENVVSVSRRLFHEKDKWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHKLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEVGRLGRFLYETLKIAYHWKSDESIYERECGNMPGFAVYYRNPNGQRVTYGQFIKVHWKWSQRITRLLIQCLESSEYMEIRNALIMLTKISSVFPVTRKSGINLEKRVAKIKSDEREDLKVLATGVAAALAARKPSWVTDEEFGMGYLELKPAPSMTKSAAGNSAAVQSGIGLQFSQTESASGKHLDSGNTVKDQTVKTKTADGKSERTESLTATKSDSGHGKLKGSSMVNGVDAQSSLASPAGQSGALKSVENQKQVEESISRAPDEHITRNVESRPSVKQRSVATGSLLKPSKQDPLKEDGRSGKTVTRTSGSSSSDKDLQTHASDGRHTGTNISSSFSANGNSVSGSAKGLAQAATTAFDGSGNESKAEVGAAKFSMVKDDVNEFADFTRGSSSRVVHSPRHENTATSKSSDKIQKRAGSVDELDRLGKRRKGDIDLRDLEGEVRFSEREKLMDPRLADDKVGPDELGVYRTGDKTLERPKEKGTDRYEREHRERLDRLDKSRGDDFVVEKPRDRSIERYGRERSVERVQERGSERSFNRLPDKAKDDRSKDDRNKLRYNDATIEKSHAEGRFHGQSLPPPPPLPPNMVPQSLGAGRRDEDADRRYGATRHSQRLSPRHEEKELRRSEETVILQDDPKRRKEDDFRDRKREEMKVEEREREKASILKEEDLNAASKRRKLKREHLPTMEPGEYSPVAPPLSGIGMSQAYDGRDRKGPMIQHASYIDEPSLRIHGKEVASKLNRRESDPLYDREWDDEKRQRADQKRRHRK >RHN82127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51421403:51422096:1 gene:gene6253 transcript:rna6253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MLSLVPGEEKIYLSCDSPLTKPSMANRPDDIHTPEFLNTINASGIPNHKIKLKVGVPVMLLRNLDITAGLCNGTRLLITKMGRYVLEGKVITGSNVGEKVYIPRLSLSPSDTRIPFKFNRRQFPIRVCFAMTINKSQGQSLKQVGVYLSQPVFSHGQLYVAISRVTSRSGLKILLIDEDGACIKSTSNVVYKEVFRNLS >RHN60078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:18726513:18731445:-1 gene:gene22285 transcript:rna22285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLKLIRSRWNHCNTTLRLLHSSSTPTDTLYHRISRAGDPIIPMTPILNQWIQEGRDINHSELQFFIKQLRTRRRFKQALQISEWMSNERNLHLLSGDIATRLDLIGKVRGLDQAVKYFNSVPHTSKDFKVYGALLHCYAQYNSVEKAELIMKKLKESSSNNEQDLVVSYHVLLKLYSRTGQHEKLVALMKEMKEKKMCNSSTLTSWLNAYAITSNIDEMEKLLAKMEVDHSITLNWLTYSVVADGYIKVGQFDKALIVLKKSEQLIRGKSKRAVYQSLLTKYAAIGKKDDVYRIWNICKSLNGSHHSTYISMLMSLSNLNDIDGAETILEEWESGNTCFDVRIPNVMVSAYCKNGMLEKAEAYVGRLLKRDGKLDGRIWDRLAHGYYKYNDMDKAVYTMKKAILASPQGWKPYPFTFAACIDHMKEKRDLELALEILGTCRELGHFSQATYDELISYVQGEISETNALKLLKEDYHLRIDEMPADGEKQHEM >RHN71219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57325195:57329012:1 gene:gene19872 transcript:rna19872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLVLPPQLTTTSLPFNSTRVKYFPIHRHRHHVISQPPPSATSSFSSARPIIIDDGPNDILSLQSRRYDFTPLLNFLSTDSTTTAAATTNSLSPTEFQLAESYRAVPAPLWHALLKSLCSSSSSINLAYAVVSWLQKHNLCFSYELLYSILIHALGRNEKLYEAFLLSQRQVLTPLTYNALIGACARNGDLEKALNLMSRMRRDGFQPDFINYSSIIKSLTRSNRIDSPILQKLYAEIESDKIEADGHLLNDIILGFSKAGDATRAMHFLAVAQGKGLSPKSGTLAAVILALGNSGRTIEAEALFEEIKENGLEPRTRAYNALLKGYVKTGSLKDAEFVVSEMEKSGVLPDEHTYSLLVDAYAHAGRWESARIVLKEMEASNLQPNSFIYSRILASYRDKGEWQKSFQVLKEMKMSGVQPDRHFYNVMIDTFGKYNCLDHAMATFERMLSEGIRPDTVTWNTLIDCHCKSGRHSRAEELFEEMQQSGYSPCVMTYNIMINSMGAQERWERVNDLLSKMQSQGLLPNAVTYTTLVDIYGKSGRFNDAIECLEVLKSMGFKPTSTMYNALINAYAQRGLSDQAVNAFRMMAAEGLTPSLLALNSLINAFGEDRRDAEAFAVLQYMKENGVKPDVVTYTTLMKALIRVDKFHKVPAVYEEMVMSGCTPDRKARAMLRSALRYVKQTLRS >RHN57836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42049006:42053838:-1 gene:gene33425 transcript:rna33425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MSSLASEILQELESLKSEKTKIEQKISQLESQLKDINLPKQHASSASAASSNGSSSPYPTNGLEPHMIHRYSRHLVLPSFGVQGQANLLKSSILVVGAGGLGAPALLYFAASGVGKLGIVDHDKVELSNMHRQIIHTEAYIGQPKVKSAAAACRAVNSSVEVVEHEEALRTSNALEIFSKYDIIVDATDNAPTRYLISDCCVVLGKPLVSGAAVGLEGQLTIYNHNGGPCYRCLFPTPPPRSACQSCADNGVLGVVPGIIGCLQALEAIKIAAAVGEPLSGRMLLFDALSSRIRVVKIRGRSMHCEACGDNARFNKQYFREFDYEKFTQTPLRVPPLKLNLLPSESRISSMEYKEITLNKEPHVLVDVRPAHHFKIVSLPNSLNIPFSTLESRLPEISSILKKDEEEEKGAVSESSAQLYVVCRRGNDSQRAVQYLHKMGFTSAKDIVGGLESWAQNVDPNFPTY >RHN65918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6533972:6538902:-1 gene:gene13814 transcript:rna13814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEVVVRRAFLSPVIQLICERLASTDFSDYLHEKLVKKLEITLVSINQVLDDAETKKYENQNVKNWVDDASNEVYELDQLLDIIASDAAKQKGKIQRFLSGSINRFESRIKVLLKRLEFLADQKNILGLHELSRYYYEDGASRFSTASLVAESVIYGREHEKEEIIEFLLSDSHGYNRVSIISIVGLDGIGKTTLAQLVYNDHMTRDQFEVIGWIHVSESFNYRHLIKSVLKSISLSTLYDDDKEILKRQLQQRLAGKKYLLVLDDVWIKHCNMLERLLLIFNQEPSRGRMIVTTHDKEVASVMRYTQILHLRQLEESDSWSLFVRHAFEGRNMFEYPNLESIGMKIVEKCGGSPLALKTLGILLQRRFSENEWVKILETDLWRLPESDSNIYSVLRMSYLNLPSNLKHCFAYCSIFPKGYEFEKDGLIKLWMAEGLIKGIAKDEEELGNKFFNDLVSMSFFQQSAIMPFWAGKYNFIMHDLVHDLATSMSGEFCLRIEGVKVQDIPQRTRHIWCCLDLEDGDRKLKQIHNIKGVRSLMVEAQGYGDKRFKISTNVQYNLYSRVQYLRKLSFNGCNLSELADEIRNLKLLRYLDLSYTEITSLPNSICMLYNLHTLLLEECFKLLELPPNFCKLINLRHLNLKGTHIKKMPKEMRGLINLEMLTDFIVGEQRGFDIKQLAELNHLRGRLRISGLKNVADPADAMAANLKDKKHLEELSLSYDEWREIDDSETEAHVSILEALQPNSNLVRLTINDYRGSSFPNWLGDHHLLGCKLCSKLPQIKQFPSLKKLSISGCHGIGIIGSEFCRYNSSNFTFRSLETLRFENMSEWKDWLCIEGFPLLKELSIRYCPKLKRKLPQHLPCLQKLEIIDCQDLEASIPIAYNIIQLELKRCDGILINKLSSNLKKVILCGTQIIESALEKILFNSTFLEELEVEDFFGQNLEWSSLDMRSCNSLRTLTITSWHSSSLPFALHLFTNLNSLVLYDCPLLESFFGRQLPSNLGSLRIERCPNLMASIEEWGLFQLKSLKQFSLSDDFEIFESFPEESMLPSSINSLDLKNCSCLRKINCKGLLHLTSLESLYIEDCPCLESLPEEGLPISLSTLSIHDCPLLKQLYQKEQGERWHTICHIPNVTIS >RHN71891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3392944:3393836:-1 gene:gene7538 transcript:rna7538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MQHFYRKIIQPTCQVFINHRGIDTKKNVAGLLYKDLTSMGVRSFLDCKNMKLGDKLSPNIDKAIFDCKVGVVVFSPNYCDSYYCLHELALLMETKKRAVPILFDVELSQLMVKDDGTRSQEQLLRYNLALQEAKDTVALPFDSLNGDWSELLRKAADAVIMNLMEADHE >RHN70339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50734820:50735467:-1 gene:gene18909 transcript:rna18909 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLQKYRLFLKRMDSSGHFHNNTIRSFSPAGIVGNLNTPAGLNGQFGHNSR >RHN39370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6532418:6539221:1 gene:gene45388 transcript:rna45388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane alanyl aminopeptidase MEKKHIIDEFKGQTRLPNFAIPKQYELHLIPNFSSCTFSGTVQVRLTINEKTKFIVLNSLELVIQNTWFTNSYGKYTPSDVVVDEEDEILVLVFDEALFDGEGVLVIEFSGILNEHLRGFYRCTYVDGEVKKNMATTQFEAVDARRCFPCWDEPALKASFKVTLTVPSDLTALSNMPVENEKLDGELKTVYFEESPIMSTYLVAVVVGLFDHIEDRTSTGVVVGLYCAVGKSDQGKLALDIAVKALEIYTKYFSVPYPLPKLDLVAVSEFSAGAMENYGLIIYRESDLLYHELHSAPAKKQRITIVTAHEVAHQWFGNLVTMEWWTHLWLNEGFATWISYMVTNILYPEWNIWSQFLLETASGLRMDALEKSHPIEVEIYHARSVIEIFDAVSYEKGSSVIRMLQSYLGDVTFQKSLSTYIRKYQAKNARTEDLWNVLSEVSGEPVDIMMHNWTKSTGYPVIHVQLTANILEFKQSRFLLSGFHVDGQWIVPITLCIGSYERQTKFLLEKSDGRVDISELVQYIGDDVNSNENKHEEDSQENLWIKVNVDQSGFYRVNYEDKLAVRLRKAVQNNYLLPTDKFGILDDGNALCQACEQSLSSLLMLMDVYRKELDYVIVSRLIDVCYCVLKIAIDAIPDSVNELKQYFISLLMYSAEQLGWDSISGEDHSNSLLRGEVIEALATLDHDKTQREAMRRFQILLNDRNTSLLSANTRKAAYIAVMRSTTGERSGLESLFSFYKSTDVLQERDRILRCIASSADPNVVLEVLNLLLSDEIPDQDIVYVLGGISLEGGRTAVKWLKDNWERILAKYGGGLLLTNFISLIVPRVNSNEEADDIEAFFASRMNPSIVMNLNVSIEKIRIKARWIESVKQEHSLPDLIKQLTQRK >RHN53096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41974029:41975103:1 gene:gene37858 transcript:rna37858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKVTKFVYITIHFLSLFFIAMNIVAIPECHADSHRVAKIDYVLSLKPQCRNYTCVC >RHN42302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37244623:37245658:-1 gene:gene48727 transcript:rna48727 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSSPRLIPERGQVLKRVFKMVLSFLLCSSSSASSTSTKTKRVHSFPADRRS >RHN54106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6951882:6955611:1 gene:gene29090 transcript:rna29090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MVEETPTASETSVTMPQPTEPVTVVDGTLNLDKNGTTVNASVESAATSAPASSTEGQKSLDLANELMEKGNKAMKENDFGEAADNYSRALEIRVAHYGELAPECVHTYYKYGCALLYKAQEEADPLGAVPKKQEGSPHGSDKDEPVKGAVNAESSTASFASNVEQDVTSNNQESEVDNVSGKNDQEDDEDSDTEELAEGDEDESDLDLAWKMLDVARAIVEKQSVHTMEQVDILSTLADVALEREDFETSLSDYQKALSILEQLVEPDDRNIADINFRICLCLEVSSKPEEAVAYLEKATSVCKARIDRLTNEVKSFSESTSSETNNSIADKQAEIEILAGLSSELEKKLEDLQQLIANPKSILAEILASAKAGSGKEPSLARVSSSQLATENSSGSFDSPTISTAHTNGSAGVTHLGVVGRGVKRSSNTSTTEASISKKPALETTEEKGDGGNA >RHN66399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11845787:11846392:-1 gene:gene14369 transcript:rna14369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLHYIPSIEGIEIYACDHLLVTSPTPHWLSSIKNIYIESDSPCLLQDIRIGSCDSLLSFPKMIINSSCLRELNLDDIPSLTAFPTNGLPTSLQTLHIRNCDSLTFLPPETWSNYTSLVALYLQKSCDTLTSFPLNCFPILQTLYIDKCRSLESIFISETSSFSSSSLQNLFQSLQGTTITTSTDGHPHRTCSVISLQSSKH >RHN50018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3191143:3191961:1 gene:gene34265 transcript:rna34265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MPLPMPDQRIGNNSMRPLPPAGPHALPQILPHPNPGPNVSSQQPTVGYSNLISSLVAQGVISLANQAPAPAEFDPDILKCTTCGLRLKSKDEHSSHMDWHVTKNRMSKNCKQKPSRMWFVSEMMWLKGAETLGVKSAPGFLPTETTEEKREDEDLAVPADEDQNTCALCGEPLDVFYSDETEDWMCRVAVYLNAPNGITTGMDMSQLGPIIHAKCRS >RHN72174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5527747:5528247:1 gene:gene7857 transcript:rna7857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MFNMSTLTKLRLSDSSLIGIIPSILGRWKLCKLQVLQLSNNFLTGDITEMIEVVSWSNQSLEMLDLSQNQLNGKLSHSLEQFKSLYDLDLSSNSVNSHTVQYQHL >RHN76356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49654067:49654320:-1 gene:gene12667 transcript:rna12667 gene_biotype:protein_coding transcript_biotype:protein_coding MRSETMGNPSIHVFTSSIDKASTFLVEAFLGARFFPAAATAVAAWGSDAEITVRVTVNLRKLRFWGLNL >RHN61016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32328261:32328842:1 gene:gene23426 transcript:rna23426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative choline-phosphate cytidylyltransferase MYMGWVCRLYAAPIVLSFCPFLASCEGGAYKVFQFKKKRKKETKRTEGISTSDIIMRIIKDYNQYVMRNLDCGYSRKELGVSYVKEKRLRMNMGLKKLRERVKKQQETVGKKIGTVRRIAGMNRTEWVENADRLVAGFLEMFEEGYHKMGTAIRDRIQEQLKAQQIKSLLYDEWDDNVDDEFYEDESVEYYSG >RHN74157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29462536:29466346:-1 gene:gene10159 transcript:rna10159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MTQKPFLTTLAQNCNSLTQLKQLHAHILRCHIQHSPYALAPILSVAATSNYTSFFLYARSIFHNLTHRNRNTFIHNTMIRAYLQNHSPTHAVSCYTTMLQNGIAVNNYTFPPLIKSCTALIAASSKCASSVMIGCLVHCHVVLFGLTNDAYVVSGFIEFYSALGELRKARVLFDQTGRKDVVLWTAMIDGYGKIGDVESAREMFDEMPERNVVSWSAMMAAYSRVSEFREVLDLFLEMQSEGVRPNDSVLVTVLTACAHLGALTQGMWVHSYARRFDRVSSNPILATALVDMYSKCGCVESALSVFDGISDKDVGAWNAMISGVALNGDARKSLELFQQMIVCGNKPNETTFVAVLTACTHARMVREGLRLFEEMSGTYGVEPCAEHYACVVDLLSRSGMVEEAERFIEEKMGGFAAGDANVWGAILNACRIYKNINVGNRVWKKLIDMGVADCGTHVLTYNIYREAGWDAEANRVRSMISEAGMKKKPGCSIIEVGNEVEEFLAGDQSHPQAQEMCRLLDSILKTVVNIDNF >RHN46146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29212311:29212804:-1 gene:gene40589 transcript:rna40589 gene_biotype:protein_coding transcript_biotype:protein_coding MKISTNIFLLLHKTLHRSNTTNNNPNHYKQHINHQIKTNIAKIDTIIKSFLLSPSPSQDLAAIESMPLALENVVNVVFYGSNDMAAEDAEVQLELCRKFEGFLVSFPDLADEF >RHN82517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54390110:54403485:1 gene:gene6688 transcript:rna6688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubulin-specific chaperone D, tubulin-folding cofactor D MEAPSEETVTATVMNLEEEDDEHDSKERVLQKCFLQEWNLVKSFLNDTVSNSRVSDASSVHQIRSIMDKYQDQGQLLEPYLESIIPPLMNIIRSKTIKLGVISDEIFEIINPICIVVYSVIKVCGYKSVIKFFPHQVSDLELAVSLLEKCHHKNSVSSSREESTGEFEAKCVMLLWLYILVLVPFDLSSVDTSIASSDNLSEFELVPLVLRIIGFCKDYLSVSGRLSTMAGLVLSRLLTRPDMPKAFMSFVEWTHGVMSSVTEDGHNFQLLGAIEALAAIFKAGSRNLLLDVTPIVWNDTSILYKSSIAARDLLLRKYLMKLTQRIGLTCLPHRLHSWRYTGRTAKLNISLNTSSKENQSNLGVNGNCSNSIEITDEAEDEDMDVPENVEEIIEMLLSGLRDMNTVVRWSAAKGIGRITSHLTSSLSEEVLSSVLELFSPGEGEGSWHGGCLALAELSRRGLLLPASLPKVVPVVVKALHYDVRRGPHSVGSNVRDAAAYVCWAFGRAYYHTDMRSVLEDLAPHLLTVACYDREVNCRRAAAAAFQENVGRQGNYPHGIDIVNTADFFSLSSRANSYLHIAVSIAQYEGYLIPFVSDLLDRKICHWDKCLRELAAEALSFLVKYDPQYFASAVMEKLIPCTLSSDLCMRQGATLATGELVFTLHQCNYVIPSDKQRTLASVVPAIEKARLYRGKGGEIMRAAVSRFIECISISKVALSEKIKKSLLDTLNENLRHPKSLIQNVTVKGLKHFIRAYLLNLDDKGTSDLIAKYLNMLTDPNAAVRRGSALAIGVFPNELLTSQWRNVLLKLCGCCKIEENPEERDAEARVNAVKGLVSVCETLVSGRENTSNSFTENDFSLFIFIKNEVMTSLFKALDDYSVDNRGDVGSWVREAALHGLEKCTYMLCRIGKSGCLSGKSDGNEIESVVRPLTDNMLKNNAEVLLFDENLATNLLGGICKQAVEKMDKLREAAANVLYRILYNQIIYIPYIPFREKLEEIIPKEADGKWAVHSYTYPHIVQLLQFGCYSRYVLSGLVISIGDLVDPLKKLSLSALLEYLKGVEFEDPNTRTLREYMLSVDILWVLQQYRKCDRVIIPTLKTIESLLSKKIFLNMEEAHSQTFCAAVLDSLAIELKGSKDFSKLYAGIAILGYIASIPEPIHTRAFSQLLAFLVHPYPKIRKASAEHVYLVLLQNGNLLDENKIERALEIISMTRWDDNMGVTKHQRLELFELVGLEVGSSVKNGDGTARKTNAKKPKDLDENASYSSLVESSGF >RHN41022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26039900:26047050:-1 gene:gene47294 transcript:rna47294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MELLRNAYSNSSDEEEEPKRLKSSHTSSYPPKPYLPSPSPSLILPGSYVSKRQRASSSSSISTTTTISSSQSSSFTLSGSISEADIPHKIVALLKSKAKGHQNLNSIPDKLSATLSGHTRAVNAIHWSSTHAHLLASAGMDNAVFIWNVWSNDKKKACALNFHNAAVKDVKWSQQGHFLLSCGYDCTSRLIDVEKGMETQVFREDQIVGVIKFHPDNSSLFLSGGSKGHIKLWDIRTDKAVHNYNRNLGSILDVEFTTNGKQFISSSDVSGSNISENSIIVWDVSRQVPLSNQVYVEAYTCPCIRSHPFDPVFVAQSNGNYVAIFSTTPPYRLNKYKRYENHGVSGFPIKCNFSLDGKKLASGSSDGSIYLYDYQSSKVLKKIKAFNQACMDIAFHPVLPNVIASCSWDGNILVFE >RHN70231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49911918:49914786:1 gene:gene18791 transcript:rna18791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal peptidase I MAIRVTFSFSGYVAQNLVSSAGVRVANSRCVQECCILSRLFGSNPKPDLERSGGFRNRNLYSDFTKPRNSPVSVYSTLAGEILSESCNNPIILGLISMMKSTAISGSTSAAMGAMGISPFKTSSIIPFLQGSKWLPCNESVPTATTWEVDKGGTRIQSQPVSVSSDKESRLDLNQKENTNGWISKLLNVCSEDAKAVFTAVTVSLLFKSFLAEPKSIPSASMYPTLEVGDRVLTEKFSFFFRKPDVSDIVIFKAPSWLKAYGFSSSDVFIKRVVAKAGDVVEVRDGKLLVNGVAEDEEFVLEPLAYELAPMVVPKGHVFVMGDNRNKSFDSHNWGPLPIENIVGRSMFRYWPPSKVSDTVMVHKSSPGNKSVAVS >RHN76475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50671329:50673424:1 gene:gene12808 transcript:rna12808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S11 MFRYLRSSICHVHGSLLSASKTTLPSHTTFLPGYVRGFSSASWGLNGRNQGENVNSNASARPNFMRDRENINSPIENKAEIGGNFRKMDFVRGSVEENEKGVMGGYLYNQYHFQHDADFVHIKMRRNNTFVTVTDSKGNVKLSGSAGSLKDMKSGQKLSRYAAEATAEVVGRRSRSLGLKSAVMKVNGFTHFRRKRQAILSWREGFSDSRGDKNPIVYIEDTTRRPHNGCRLPKSRRI >RHN61555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36346481:36348953:-1 gene:gene24030 transcript:rna24030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MTGSTFSRATSLLNKLSSISELKQLQAIITKSGLHSHIPLTTKLIFFSALSPFGNLSHAYSLFQHSSILIHNPFISNTMIRAFSHSCFPLQALLIYNQMLINNVVSDSYTCNFVLKACSRAYKLIQESGSCDDDNLVVVYNKGTEIHCRVIKIGFQNDPSVQNSLLYFYSQCGLVSVARHLFDQIKDTSLVSWNIMISAYDLVNDYESADYLLELMPCKTVVSWNTLIARYIRLGDVQAARRVFGCMPERNAVSWNSMIAGCVSVRDYAGALELFSEMQNAGVKPTEVTLISILGACAETGALEIGHKIYESLKVCEHKIESYLGNALVNMYCKCGNLSLAWEIFNGMKMKTVSCWNAMVIGLAVHGYCEEVFQLFTEMEESLGGSIRPDRVTFIGVLVACSHKGLVDKARWYFDHMVKRYKIVPDSKHYGCMVDLLSRWGLLEEAYQIIMTAPFQNSVVLWRTLLGACRTQSNTELAEISFKQLAKLKQLIDGDYVLLSNIYAEAGRWDEVERLRSEMDYLHVPRQAGYSQINMKESDRLS >RHN63508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51846206:51846744:1 gene:gene26212 transcript:rna26212 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPLTNLQTTLCFLSSELELFLLRQMHRTKTSLGLFLNNSEMTMSPFLNDFHRVY >RHN60422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26599601:26603647:-1 gene:gene22733 transcript:rna22733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (non-electrogenic) MRKLNEERVVIVGGGIAGSIVAKSLQFHAHVILIDPKEYFEITWASLRSMVEPSFAERTLINHRQYFTKGDLVTSSAVNVTETEVLTADGQKIAYDYLVIATGHADPVPKIRSERIHQYKEENEKIKSSRSILIVGGGPTGVELAGEIAVDFPDKKVTLVHKGPRLLEFIGSKAADKTLRWLKSKNVEVKLNQAVNLNSDTDGHNKIYRTSAGDTIEADCHFLCTGKPFGSSWLKESVLKNDLDVRGMIKVDENLRVRGRNNIFAIGDITNIPEIKQGFLAQKQAEVVAKNLRMMIEGGKECWRETYKPHSVLAIVSLGRYDAVAQLPFLTISGRIPGYIKSGDLFVGRTRKQMGLSPHIVET >RHN41077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26778759:26779422:-1 gene:gene47358 transcript:rna47358 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIPHKHSCKYIENLSQQVTILHEKSFPKKYIQIVNKMEGLLTTTTYHEVQVPLNALCVQTRTSTILGVGN >RHN47337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38989716:38990924:1 gene:gene41925 transcript:rna41925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MLLFNVEIDHRRLRFLFQKELKNSDVSSLRRMVLPKKAAEAFLPVLESKEGILLSMDDLDGLHVWSFKYRFWPNNNSRMYVLENTGDFVSTHGLRFGDSIMVYQDNQNHNYVIQAKKACDQDEYMEEANDTINHIFVDDYEVNKSCFDVAYPAMNDTSMSFIYDTTISNDSPLDFLGGSMTNYSRIGSVETFGSVENLSLDDFY >RHN39510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7755765:7756229:-1 gene:gene45537 transcript:rna45537 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNTKRKITSLIMEITVNPTKHIACDNEIESSGSAFSIYGELLQDRRYRSRVNNNIAWASSVFCALLVLDRV >RHN53385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1360399:1362813:-1 gene:gene28287 transcript:rna28287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MENFPNLLHQQLPATKRKITALSQLLTVNDLPDEILTHIISFLTFKDAFRTTILSKRWFPLFHTLPIPHIDDKEVKNGKDWIHFRQMLDVVMLSPPAQLQTLKSFHLTCGSKLWGTYCFGFNDWIKAAKHRGVVDLYLNLLHVPLAPTIFHCKTLVVLKLKNLRVNTMFRSSVHLPMLKILCMCSVRFEDKKDLMKLLSGCPQLENLKTRYTKALTNSMLKKAKTINFKPLSKLIKAKIHLFDIPFRAVYNVEFLTVLEMGKFVSFDLLNSYYKGFPVFENLIQLQLVWFYDAIYDWGEIVKMLENCPKLQTLSISKWTKFAKTKADWIYPYHVPQCVSSHLTTCNIIHYQAVEADFRFATYILKNAKLLQVMNISHTSYSASTESSHFLEDLSSCPRISRVYQLVRLSAVNFIFCRGLFDVVSDRKFVFGRFNLQLAFVLFCVVDFDLDL >RHN62118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40879567:40886344:-1 gene:gene24652 transcript:rna24652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MHRLPSSGHSTPSSPQSPLRSPRLRQGRSKAGKFSSPSGGGGRSLAQRLSWMLLSVLLRRQGIFLFAPLIYISGMLLYMGTASFDVVPIIKHRPAPGSLYRSPQLYSNLKKDMDSDNSSTDAISTIWRSPYRGGEWKPCVNRSSEGLPESNGYIYVEANGGLNQQRTSVCNAVAVAGYLNATLVIPNFHYHSIWKDPSKFRDIYDEEYFVDILKNDVRVVDKIPEYLMERFGSNMTNVHNFRIKAWSSIQYYRDVVLPKLLEEKVIRISPFANRLSFDAPPVVQRLRCLANYEALRFSSPILTIGESLVERMRERSAVNGGKYVSVHLRFEEDMVAFSCCIFDGGKQEKQDMIAARERGWKGKFTKPGRIIRPGAIRINGKCPLTPLEVGLMLRGMGFTKNTSIFLASGIIYNAEKTMAPLLQMFPNLHTKETLASEEELSTFRNYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLLGHRRYLYGGHSKTIKPDKRKLALLFDNPNIGWRSFKRQLLNMRSHSDSKGVELKRPNDSIYSFPCPECMCRANRTDDSKSSSAA >RHN66636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15442869:15443465:1 gene:gene14673 transcript:rna14673 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSTSSSSDPPSISDTSSAAMSEESDPDSVAEEVCHSSIYVDYNSTFKLYPCLVNPSRKVSLTSSLARMESFCIILHITQRQNIIPMYMIDSLKNWVFGCPSQNIILRILNVAPTQLHPRAWSFVRSFEVSCGGISFSPSAYAFFSFFLAKISNNSWVYMSNFFGRPLVRPYHASWKGIHSFKEKFFRVRPGPKFP >RHN75292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41031012:41037987:1 gene:gene11469 transcript:rna11469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DDRGK domain containing protein MDDFFVAILSTLLIAALIPLYLWKRHRDSQSSSSSSSTEEAHQAPVREPAARATATRRMRRRTVASGASSSSAPPATQQESGDESDNEAAGDEQEAKASKKKELKRQEREARRQAEEARQSKQDRYSEMRRLKDEEREAQERKLEEEAKAQKAREEEAAALEFDKWKGEFSVDDEGTLEEEQDKTEDLLTNFVEYIKKQKCVPLEDLAAEFKLRTQECINRITSLESMGRLSGVMDDRGKFIYISQEEMKAVADYIKRQGRVSISHLASKSNQFIDLEPKTHYTEDISNMEEITVN >RHN50198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5050733:5061529:1 gene:gene34461 transcript:rna34461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, heavy metal-associated domain, HMA, winged helix-turn-helix DNA-binding protein MNKLIAKLFKRGAKEKPASFVLKVVMHCDGCAKKIERMVLKFDGVESVESDCSSNTLKVIGKADPDKVRDKLEQKSKRKVVLSMENKLYEVFLSFRGKDTRASFTSHLYASLQNAGINVFRDDDSLQRGDQISSLLQLIEESRISVIVFSRNYADSRWCLDELVKIMECQRTIGQIVVPVFYDVDPSEVRHQKGEFGKAFQNLLNRISKDEDESLSEEEKDELLHCKLSWIEALRGATNIAGFVVLDSKNENKAIKDIVEKITHLLDKTDLFIADKPVGVGSRVQDVIELLDIQQSNDVLLLGMWGMGGIGKTTIAKAIYNEIGRNFEGRSFLANVREVWDENAGKVNLQEQLLFDICKDMTIKIQSIESGKIILKDRLCRKRVLILLDDVNTLDQLNALCGSHKWFGPGSRIIITTRDKHILSGNRVNKVFKMKHMVESESIELFSWHAFKQASPTNDFVEISRNVVEYSGGLPLALEVLGSYLFDREVTEWKSALDKLKRIPNNQLQKKLRISYDALNDDIEKEIFLDVACFFIGMDRNDVTLILNGCGLFAEIGISILVERSLVTVDDKNKLGMHDLLRDMGREIIREKSPEELEERCRLWFHDDVLHVLSEQTGTKAIKGLTLKFPRANEKCFSTKAFKKMTRLRLLQLAGVKLDGDFEYLSRNLRWLSWNGFSLTHIPTNFYKGSLVSIELENSNVKLLWKEAQRMEKLKILNLSHSHCLTHTPDFSYMPNLEKLVLKDCPMLSEVSPSIGNLSEILLIDLEDCVSLRSLPGSIYKLKSLKTLILSGCIMIDELEEDIEQMESLTILLANNTGIKRVPFSVVRSKSIGYISLCGHEGFSRDVFPSIIWSWLSPTNNLSSLVQTSNVMSSLVSVDVPNSSSHELLSISKYLPSLRSLWVECSSELQLSHDAAIILDALYATDYKGFESIAITSQVSNMTTSTSQVSEHSFKSLLIQMGTNCQVTNILKEKIVQNMDLNGSGDCLLPTDGYPDWLTFHSEGSSVTFQVPQVEGRSLKTMMCIVYTSTPANMISSGLKNMLVKNHTKATIQLYKSEALVSFEDEESQRVISSIEPGNKVEVIVVFENSFIVKKTAVYLVYDEQIGEKVELYDVPERKKIEWNESKKDGACKPFYC >RHN74393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32613238:32616827:1 gene:gene10450 transcript:rna10450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative von Willebrand factor, type A, copine MGNILGNNRNREPQNSRNEIISSPNFPTQKPVPLPLPPEIISGQCSNTKQPSKKYALIHDNFTTLEQVIGALRKEGLESSNLILGIDFTKSNEWTGQISFNKKSLHAIGDTLNPYEKAISIVGKTLAPFDEDNLIPCFGFGDATTHDQEVFSFHSDHSPCHGFDEVLACYKRIVPNLKLSGPTSYAPVIEAAIDIVEKSHGQFHVLVIIADGQVTRSVDYGDNELSPQEEKTIKAIADASKYPLAIVLVGVGDGPWEDMEKFDDKIQTRDFDNFQFVNFTKIMSKNTSAAEKEAAFAVNALMEIPFQYKACVEFRKLGHVIGRANRIVPKPSPVPYSRPAHSNSTTDDQNQSACCPVCLTNAKDLAFGCGHMVSLF >RHN61837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38736899:38737585:1 gene:gene24337 transcript:rna24337 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTSTSTISNHSNKENVPPVCKSKISIPQLASQSFKNKNKKNGIDRKLKRVPLADITNLINNSVSNSATFTLSHQPHTGVSSFISSNSLLISRKRTLKPLVSGSKSLRMGFR >RHN73765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19775400:19776008:1 gene:gene9627 transcript:rna9627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MLSDNSSSVMLNETNMNKNCELSEERKNAGTKLNNTRKRKMHEIKKLDEKERRLGIFNKAIELSILCQSKTAIIVKSPNKNKFYACGYPCDSVIQRFLTGRMMVEDGKKKKEDDDIAKTLRLQYEALQEKLEEEEDNLKSLKVTESQKSDFNVHDWWNNSIDDMDLASLEDFKNSLDSFKNNLRAASQAEKSNLHPNLNIAT >RHN45604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23588734:23589341:-1 gene:gene39981 transcript:rna39981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSKDSWTEEEDKILIEAHKIVGNKWTEISRRLPGRTENSIKNHWNATKRRQNPKRKNRGKSSKGILLLKYIMEVTDAKEVEKEPMTYSTSMMDIKNQLNFESSKSDFRTEGLTTPENKICEYVPMMFNGDDGMASGSGTTMNYEFGSYGIEFFPEVPLNQEIDLMEMINKNP >RHN66511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13234016:13235497:-1 gene:gene14514 transcript:rna14514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAKLGDENSLVPKYLQEDELGKECKELIQTLPLEKGWISTHFHQYQGFWIGTRILQGVLSCQKHFQALNTDILLVTTPKSGTTWLKALTFALINRKKYQNIHNFNHPLLTSNPHVLVPFLEVDLYYDKDYVPNLNSLSPPRLFATHIPYELLPKSVKESSCKVVYLCRDPKDTFASLWHFSNKVRSQSSGTLPLEESFHNFTRGVTLFGPFWEHVLGYWKESLERSNKVMFLRFEEMKMKPDFHLKKLAEFLECPFTKDEESKGVVDDILNLCSFEKLSNLEVNKTGKVSFGVENKAFFRRGQVGDGEKLLTTEMIEQLNTVIEEKLVKHGFNF >RHN70950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55340751:55346065:-1 gene:gene19586 transcript:rna19586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxy-lyase MADKETTKEKNGSDFRNGTTIDNVVGRRGLPMIQTHKKVMDSSEICHDDSSPSVKAQTIHELHSLQKKKNNKSTPNTPIRSSTTTQSPFASISEEERQKQKQQLQSISESLASLTRETGPKVVKGDPASKGSSSHVDHHQHSPLISTAFDVSDSALKFTHVLYNLSPAELYEQAIKYEKGSFITATGALATLSGAKTGRSPRDKRVVKDQTTQHDLWWGKGSPNIEMDEHTFLVNRERAVDYLNSLEKVYVNDQFLNWDPEHRIKVRIVSARAYHSLFMHNMCIRPTPEELEEFGTPDFTIYNAGQFPCNRFTHYMTSSTSIDMNLTRKEMVILGTQYAGEMKKGLFSLMHYLMPKRNILSLHSGCNMGKNGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSENGVSNIEGGCYAKCVDLSKDKEPDIWNAIKFGTVLENVVFDEHTRDVDFSDKSVTENTRAAYPIEYIPNARIPCVAPHAKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMMHPTKYATMLAEKMQKHGATGWLVNTGWSGGSYGSGNRIKLAYTRKIIDAIHSGCLLNAKYIKTEVFGLEIPTAIDGVPSEILDPINTWFEKKAYQDTLLKLAGLFKNNFDGFVSYKIGKDQKLTEEIVSAGPIF >RHN56664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32671563:32672575:1 gene:gene32101 transcript:rna32101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MMQLMKIKLKLFILGAVFSYFLIILRSSFSSIDARENKQYSEFQDGNSVVLDMDSLQLASTNTSSAINSMDSQQLVISSTDIGSMIEKLETCVKELKHQNSKFIQIPLGHAKKYEHSQLVLVNPNFMTNMLNKEPIKDLEEKTNLMVNGGFEKDFSYVYNNCCRESSTASYNIIFLHERRLYNHIFFGFSPASDFPWGSNIQLLNFADYVVTRVRLPEQLFKILEMLEIMCDLILEFESLFYYQFNVSLKKEQPAKWKKLGETIKRISMELEYVCNTADPTTS >RHN45061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11269529:11271292:-1 gene:gene39260 transcript:rna39260 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGLLRDLLGTESRKTLLEIMPSSLGISVLGVLWMLHMWSMLFACHFLNFFIFHCIPFLPGSPFRVFDPPGK >RHN75671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44205377:44206237:1 gene:gene11906 transcript:rna11906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MCKAGNLSALSQMLQILNDKNIVVSPNMYNLILVEASQKNDIVLSCQMLKKLLLSGESPSATSCVKFAQAFREVNQFTELIIFLKEIVSETSCSSISSFINKIIFAFAKSGQKDSALAIFEHLRGQNNSCLDLITYNIVLDILGRKGRVDEMLDMFVSLKETGFVPDTISYNTLINGLRKVGRSDMCFEYFKEMKENRNEPDLLTYTALIDISGRAGNIEESLKFFMEMKLKGILPSIQIYRSLIHNLNKTENIELATELLEEMNSSSTCLAGPGDFKTKRRQRKT >RHN57429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39098998:39100978:1 gene:gene32974 transcript:rna32974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MDQKAQSTPDRVYKDLQPYHEWNEDETSATLVLMLPGFTKEQLRVQVTSKGVLRINCERQGIENIWHRFGKEFPIPPYCETNDVSAKFERGVLSIMFPKLITPANKPQEQEPITNPPQESSMPQQLSDEPKAPTQAQVVDDQQESSPKKEEPINDEKENNKIEEKVENDQKKVRTNDELLETKEVIKTAEGKGKRQGKMTQRLKTRILDFNISLRSKDDKDVNQLGFGDTRPKKGKLLVNMIVASLLVLVLGVYVKNALWSSQGESKEF >RHN75683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44273680:44274048:1 gene:gene11920 transcript:rna11920 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIDYGQLLVLMGSAKTASVVRNECKHCWPMLPTGFELMHKEMICKWKSSISNKMLLLKFWS >RHN65205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:220378:223574:-1 gene:gene13023 transcript:rna13023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MKPRPRRRVPSTSPAAAAEKDSKHFMKAILPPPDHTKEIRIPDEFITRFGNELDNVATITVPDALEWDMELKKCGGQIFFCNNWQQFAEYYSIYYGCYLDFKYEGNSKFNVVIYDTTSVEISYPFQTRRTNGEANINCPSSASERSKDAANGINPKNTSFCSKVQNNYAYVPGEFAKEHLKPNVPFMLQNSQKKQWEVSGALDRPGKPAMRITIGIRRFLRENKLLDGVICRFKLIKKKPVVVIQVTASHMAEKEVRESIHFKKAILPSPIYDKEIRIPENFITMFGNELENVATVTVPDGCDWEMDLKKCGEDVYFCNKEWQQFAEYYSLRYGCFLSFRYEGNSNFSVIIFDATSVEICYPLKTPSTSGETNTECPRPMKRSKVETSESPGKKVKSMSNYAYKRAEDAANAFNPKNPHFRSKITKGRHAYVPSIFASEYLKPNAPIKLLNSHREEWKVFGIEHNARSSTRKAKSPAMQITQGFSQFIRENDLSYGDCCVYELIEENPPVLEVTMFCVVDYWD >RHN75046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38937871:38939505:-1 gene:gene11194 transcript:rna11194 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNVALHLCYILLPNCLILRFMPSVNDVPREVKPITLFAHYSNSVNTTTGTPVERMMLSGLHTVTDIFCCCCGQIVGWKYESAHEKSQKYKEGKFVLERGRIVDDVDSSTEFYIDSHVSMSDGEDA >RHN48409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47275495:47276292:-1 gene:gene43122 transcript:rna43122 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAASIMGHITAEASGENNNNDVVTPKRGGVAVTTEATVELKLLIDKETNNVIFAEAGKDFVDILFSFLTLPLGTIARLIESGQFNLVV >RHN76155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48070552:48074221:-1 gene:gene12439 transcript:rna12439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MGSNNWLSFPLSPTHHPSLPPHLQPNQSHHHFSLGTLVNDNMLQNPFQNHDWNLMNSSHNSNEVPKVADFLGVSSKSTENESDLAAYNEMNSSNHHSLDNYLFMPPVQNSSATSSNNYDQYEENPNNNLQSLTLSMGSGTTKDSTCDNNIVEAAATPRRALDTFGQRTSIYRGVTRHRWTGRYEAHLWDNTCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKEVEDMKHMTRLEFVAAIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTEEEAAEAYDIAAIKFRGLNAVTNFDMNRYDVKAILESITLPIGGGAAKRLKEAQALESSRKREQEMISLAGSTFQYGISSNSSRIQLQGYPLMQFEQHAQQNLYDSSTQDTTSFDHQSYIQTQLQLQQQQSGGFYNYDGNFVQNNPSLMNMGMIENNGGGGYIGNMNCANNNVVGGHAAEEVAYVKVDYEMPSGDYGSWSGADASNAAGVLTMWNDHLTN >RHN68844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39031584:39033590:1 gene:gene17255 transcript:rna17255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate oxidase MAQLNHKLLVVEADGNYVHPFAVDDIDIYSGETYSVLIKTNQNPTKNYWIAIGVRGRKPNTPQALTILNYKTISASIGVRGRKPNTPQGLTNKTNSVFSFIPNSPPPITPLWNDTKHSKAFTKQIISKMGTPQPPKFSHRRILLLNTQNRIGSFTKWAINNVSLTLPTTPYLGAIKYKLNNTFDKNPPPETFPKDYDIFKNPVNPNTTTGNGVYMFNKDEIVDVILQNANQLHGNGSEIHPWHLHGHDFWVLGYGEGKFKPLDAKSFNLTRAPLRNTVVVFPYGWTALRFKADNPGVWAFHCHIEPHLHMGMGVIFAEGVHKIRKVPTEALTCGATAKMLLNDTLH >RHN52052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31024967:31025455:-1 gene:gene36668 transcript:rna36668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MIPRNFVERYWKDVSNPISLKFPNKSQCKMNWEQRGDDIWLLNWKRFARSLRCGDLLVFQYKGGSDFHVIIFDVSKLEIDYSSIGCNDNEENNKESDDDYDVEIPSDSENTKISINKKRINLNDIATTAATPQGTNIHKRKTNINATQQNVSGKLIYFLLFI >RHN65570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3297285:3297617:-1 gene:gene13423 transcript:rna13423 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSTIAQTGFIISSLLISQNDVQVFSPLCEFCKCVLKILNFNFQNIAIITLENICLFIFTRGTRRNFTYKNCFLFKNFYFLV >RHN71438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:159571:164816:1 gene:gene7042 transcript:rna7042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloride channel, voltage gated MESLTEERIEIRDDHKQPLLIRSRINNSSQLAIVGANICPIQSLDYELIENDLLKQDWRSRTKVEIYQYVVLKWTLALLIGLITGLVGFFNNLGVENIAGFKLLLTNNLMLKQKYHEAFAVYVGCNMILGVGAAALCAYIAPAAAGSGIPEVKAYLNGIDAHSILAPSTLFVKIFGSILGVAAGFIVGKEGPMVHTGACIANLLGQGGSRKYRLTWKWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRSLMQFCHQGGGRCGLFGEGGLIMFDVNSAKPAYTTPDLLAVIFLGVIGGLMGSFYNYLVDKVLRTYGAINERGPIFKILLVMIISFVTSCIRFGLPLLSKCVPCPGECPSSPTGGFSIHYDNFQCPPNHYNDLSSLFFTTNDDAIRSLFNDGSASANTGFQLSSLIIFFVAIYLLGIVTYGVAIPSGLFIPVILAGASYGRLIGTVMAPFTALDTGLFALLGAASFLGGTMRMTVSLCVILLELTNNLLMLPLVMLVLLISKTVADCFNKGVYDQIVALKGLPYMEAHAEPYMRNLVAGDVVSGPLFSFCGIEKVGNILHALKVTEHHGFPVVDEPPLTDAPELCGLVLRSHLLVLLKHKTLFTRERVMTGSTIVNKVKARDFAKPGLGRGIRVEDLDISQEEMEMYVDLHPITNTSPYTVVETMSLAKAALLFRELGLRHLLVVPKKPGRPPIVGILTRHDFMHDYILGLFPNLNPHK >RHN49065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52171143:52175739:1 gene:gene43852 transcript:rna43852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LIM family MDDCCAVCAEPLEWVAYGPCLHREVCSTCVARLRFICDDRRCCICKTECNLIFVTKALGDYTRVINDFSSLPSEVREGKVGPYWYHEDMNAFFDDVDHYKMIKAMCRLSCSECDKMEEQQNDGSRRQRFRNIGQLKGHLFHRHKLHMCSLCLEGRKVFICEQKLYTRTQLNQHISTGDSDVDGSESERGGFMGHPMCEFCRTPFYGDNELYTHMSTEHYTCHICQRQHPGQFEYYKNYDDLEIHFRQQHFLCEDEACLAKKFIVFQSESEMKRHNATEHGGRMSRSKRNAALQIPTSFRYRHNNEQDQRRGRGRIFRRDHSENQLSMAIEASLETANAEQTYREPTSSNGPIAYDDGDAHIDSIVNPFESLATADSESTSRYRQALGHSSKPLVDSSFPPLPGQERSKHESEGSSSNTMAARLRRHGNRNVSVINSGNAWSVPSRGPVQPSQSKKTTNRALGGSQNSGQMKTVINSGLPASSFANSIQAAHRTAHGKLPAGPSRDTRDNEKIVHSASAPNLVENNPVGVSISDFPPVSAAQVSKLPTSSQPPLNVENVQSANKSLVEKIRSALDFDEDRYTVFKDISAQYRQGTIDTDTYVDCVQQFGLFHLVPELARLCPDARKQRELVESYNAGLQRNAFQENDGVYGGASTHRKDKNVDKKGKGKSLDVRRSNSTDRLADSFLSSVHQLQSSYKPSEEKLEVLSKGAYRTDKGKLKIEPQIQTNSSSQLKTKLGGQTETSNGSLSNQNKEDGGGGNKQRKKASKFLRVRLGDGSASALLDLENSRTTSDPGTTDTLNGNNNDSGVGLPVRGVWRKGGGQKLFP >RHN52630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37233502:37238828:1 gene:gene37324 transcript:rna37324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MEFLGIKPDLVNCNILTNCFCQLGLIPFSFSVLAKILKMGYEPDTITLNTLIKGLCLKGDIHQALHFHDKVIAMGFHLDQVSYGTLINGLCKVGETRAALELLRRVDGKLVQLDAVMYSSIIDSMCKDKHVNDAFDLYSEMAAKRISPNVVTYSALISGFCIVGKLKDAIDLFNKMILENINPNVYTFSILVDGFCKEGRVKEAKNVLAMMMKQGIKPDVVTYSSLMDGYCLVNEVNKAESIFNTMSHRGVTANVQSYSIMINGFCKIKMVDEAMKLFKEMHHKQIFPDVITYSALIDGLCKSGRISYALELVDEMHDRGQQPDIITYNSILDALCKKHHVDKAIALLTKLKGQGIRPDMNTYTILVKGLCRSGKLEDARKVFEDLLVKGYNLDVYAYTVMIQGFCDKGLFDEALALLSKMEENGCIPDAKTYEIIILSLFEKDENDMAEKLLREMIMRGLL >RHN78711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17722357:17725089:-1 gene:gene2351 transcript:rna2351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTEIVKFIYLMIIFLSLFIVAMNVDGFTFSILCQVNSDCLGEICLPPKTHWCNKILLEIYISCHLVTMLEPNNLYLLPFLISWTRNNLYIILGLSLFSRTNSLVLSWR >RHN50489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7552656:7558508:-1 gene:gene34772 transcript:rna34772 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLKTYQHRSPFIFSSISKPNPLHPHFLSLPPSPPLKLPLKLKPCSFPLQRVSNIEVAIFNNEEVKDLSPEGEVYQKTLQLVECSMFAALTGLVYFLSNALAIENYFSCFFSLPIVISSMRWGIDAGRKTMVATTILLFVLSGPVKALTYLLKHGIVGYTMGTLWRLETSWSLSVFLCAIVRSIGAVGFVLISSFLIRENILALITINIHASLTFVLTAAGVNSIPSMNFIYTLFGILVLLNGGCFMFLLHMLYSVFLTRMGMKSSLKLPRWLKKAI >RHN43946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49683413:49687432:-1 gene:gene50586 transcript:rna50586 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSDFAQKLLDDLRVRKERMAVTASHSQNSNQSYHLPIDAYGYTKQTYRGSTNTRANEVVSSRNGETVNWSSRRQRSVKSAGDLSNQIIPYGKAFDNNGGKLGRADLSITNSILGFLHQIQREGMDRGSNLDRQLASTSHTLSLVQINEISKGAQKLNHVLRACCSNGVNMDTYSLTFAKELLQGAIDLEESLGILVDLQKSSEFMITSQAQNKNRITLLEEEEEEEEVEDERRRVEKIQLARPTFSVDNKMQRAITITNSKETKKIKDSQRKRTSTNTGRAADISNQMADKGRIPNVIAKLMGLDNLPEKKVSGNCNTASSKHSAKGSSSTTLKSKQTDNLIKNQKVAVGSFKTMMFGGPDKNLVLQNQKSAYYSESEVVGIKALKGFDKASIINYSARQNYVEVLMGRKQDHPHNSGTVKDRSINGNDPFHNLNNMHERRSQVKPAIQIAKEGQTITDKHIKMSNEKKSRDHIVVQKSIISKDGGREMTPRNSSKQSTINLQKKKKQSFINQPTPFKISRGDLDIVASNEKVKEIIKRKKSSPRYQEFQRANGTQTLKDHKFMDSKKIKPEKIEQMLSRRNEQEASGRASGKLNVLNGADQKRFSIFTEHELLPTSTLYNSGKSEDLQESANDLQYQAVQPIGTNLQDQAVPEAAHEGFKTSEVAYHKRKTIGVQEGRMGVKQQNQNMSIQQPLTESENHLKWILVTSQLFVNTAEALFRLNIPFGILQGNHQDSQDQRSKLILDCGYEVMKRKGIRQELKVHTCSKISSITSTVNLIRSLDDLVKKLNEDMEKIKFYGRKKSSKVDVEDYLPKMLEHDIYEKWPDIDCMWDLGWNDETSAFIEKYDVIRDTEKHILSILLDEIAKEYCTFKER >RHN77568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7747487:7747990:-1 gene:gene1035 transcript:rna1035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding pseudobarrel domain-containing protein MTIKDRSGVEVDYPNNCLPPISKMIIPSDGILVMRFYRTFVHILTASEIDYGYLVVPWFGFGEDALPTTVTQLSIIDHYGNIWKCDMIFIRMENTIFCRIGGDWRLLSAARRLVKGHAIKLVVTENTRNGYFGVRS >RHN60293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24723572:24728048:1 gene:gene22581 transcript:rna22581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eukaryotic translation initiation factor SUI1 MSEFDTNIPTTYDPFAEANAEDSGAGTKEYVHVRVQQRNGRKSLTTVQGLKKEFSYSKILKDLKKEFCCNGTVVEDPELGQVIQLQGDQRKNVSTFLVQAGIVKKEKIKLHGF >RHN45390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19137751:19138523:-1 gene:gene39699 transcript:rna39699 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYDGIHEKGHGFAMDMARRNCVRQISDSEVGMFDMIFKSNQHN >RHN58723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4286069:4291861:-1 gene:gene20657 transcript:rna20657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MRPFWYSCTNHGAAEKAMSNNAPQPKYDVFVNFRGEDIRHGFLGHLAKAFSRKQINAFVDDKLKRGDDISNSLVEAIEGSFISLIIFSENYASSSWCLEELLKIIDCKEKYGQIVIPVFYGVDPTNVRHLKKSYGNAFAELEKRHSSLKVQIWRYALNKSANLSGIKSLDYRNDAELLEEIINLVMKRLSKHPINTKGLIGIGKPMAHLESLLRQESEKVRVIGIWGMGGIGKTTIAEEIFKQNCSEYEGCCFLAKVSEELGRHGITFLKEKLFSRLLAEDVKIDSPNGLSSYIERRIGRMKVLIVLDDVKEEGQIEMLFGTLDWLLSDSRIIVTTRDMQVLICNEVDHVYEVGVLDSSEALELFNLNAFKQRHLETVYFELSKKVIDYAKGIPLVLKVLAHMLRGKNKEVWESQLDKLKRLPVQKVHDVMRLSYDDLDRLEKKYFLDIACFFNGLNLKVDYMKLLLKDCESDNSVAVGLERLRDKALITISEDNIISMHDILQEMGREVVRQESSADPRKRSRLWDHDDICDVLENDKGTDVIRSISVDLSGRRKLMLSSHAFAKMTNLQFLDFRGEYEFGEDFLWNQKYDRDCLVLLPQGLQSFPTDLRYLSWMNYPLKSFPEKFSAKNLVILDLSDSLVEKLWCGVQDLVNLKEVRLSYSKFLKELPDFSKATNLKVLNMAHCHNLKSVHPSIFSLDKLVHLDLSLCFSLTTFASNSHLSSLHYLNLGSCKSLRTFSVTTYNLIELDLTNICINALPSSFGCQSRLEILVLRYSEIESIPSSIKNLTRLRKLDIRFCSKLLVLPELPSSVETLLVECRSLKTVLFPSTVSEQFKENKKRIEFWNCWNLDEHSLINIGLNLQMNLIKFTYQHLSTLEHDHVESYVDYKDNFDSYQAVYVYPGSSIPEWLEYKTTKDDMIVDLSPHYLSPLLGFVFCFVLAKDIHYCDRIELNITTNDAEGDDEKGGVNIYMDRTRLGIASDHVCMIYDQPFSHYLTSIANNKRRFKIKVTARTEINVYRMRPEVELKGLGISPINHSTYRNLIQQMGIV >RHN49046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52063300:52065664:1 gene:gene43833 transcript:rna43833 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPALKLSYFQNHSLFSRWKILITPPHSIQIQIQIQSPSLSFSSSLLFSFIVSKFANQKSISIVTVMKKSMTAAI >RHN51438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17813561:17816362:1 gene:gene35872 transcript:rna35872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MSSPGFNAGGGAGSSEFFSGRSQNTINNLITTTNHHLLPSLYRTQQQPLPPIFLDPSSQIQQNRFIGKRTLAEFQTQQQNHSFNNLNLVNNTNNNVNFNNSHVLSNLLLRSVKPRTTTTTSSTNFQFHANNNNTHNTPLSFSLPELQQYPYHQTQRLGVPLLHQSTINPNFHHYRNSNSKLGQITNSIQTVQTIPVSGKVEENNNNNNDHTLLQELEKQLLEDNDEEGETETASVITTSEWSETYQNLIGPGLGPGPGQAQAQAQKLVSSSPTSSTTSSTSSSAASPASVCSKQTLIEAANAISEGKNDVALEILNRLVQNLNLNSMNSDQRLANFMVRALKSRMNPVENPPVVAELFGREHAESTQLLLENSVCFKVGFMAANLAILEAAFEENGNSFCVVDFEIGQGKQYVNLLHALKARETARDGFKLKVIAVAENGGDDRVIAVGEMLRLQAEKLRIGFEFRVVSVSQRQVYELTRELLGCDVEETVIVNFAYKLNRIPDESVSTENPRDTLLRRVKTLAPRVVVIVEQEMNCNTAPFLARVAESWGYYSALCDSVEENSDRVKIEEGVSRKLCNLVACEGRDRVERCEVFGKWRARMSMAGFKMKPMSQNVAELIKSRLNIGNNESRVNSGLSVKEENGGICFGWMGRTLTVASAWR >RHN52055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31072502:31077618:1 gene:gene36672 transcript:rna36672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MASSVEKEGSAAVRDAGASEERVWLDLPAPSGWTKKYFFPKSGTPTKYEVVFTAPSGEKIHTRRHMEEYLKRNGGPKVSEFDWGNGETPRRSARIIEKAKAAPLVEHESEPPKKRGKKSASDLKASKGKIDDKASKSKSV >RHN48945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51289413:51294402:1 gene:gene43719 transcript:rna43719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiamine diphosphokinase MELMCHCSTFLLPPETSSSSSSSVSLTYALVILNQNLPKFAPLLWDHAHTRVCADGGANRVYDEMPLFFPHQNPSHVRTRYKPDAIKGDMDSIRTEVLDFYANLGTKIIDESQDQDTTDLHKCVAYIRDLIPNTDKSELCILAVGALGGRFDHEIGNINVLCRFSNTRIILLSDDCLIQLLPKNYSHKIFIQSSVEGPHCGLVPIGMPSGSSTTTGLQWDLKDTEMRFGGLVSTSNIVKGDIVTVQSDSDLLWTISIKKL >RHN82154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51578105:51578581:-1 gene:gene6280 transcript:rna6280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MGSSKHLTTEMALAYLKAVRVEFQDEMEKYDEFLNVMKEFKARRIDTGGLVERVKVLLKGHTNLILGFNTFLPKGYEISTNVDDDERENYDEFLKVMRDFKALGIDNEMEQIVQLLSRL >RHN53902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5196031:5197141:1 gene:gene28867 transcript:rna28867 gene_biotype:protein_coding transcript_biotype:protein_coding MFATLLTKVDMSTCGGCACMDGGNKKFCKRGKIESNMRHHVPPLSREFMLY >RHN38890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2662926:2665360:1 gene:gene44865 transcript:rna44865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase C MTTNSSTTIHSYPIKTVVILVQENRSFDHMLGWMKSLNPKINGVIGTESNSISTSDSNSNRVLFSDQSIYVDPDPGHSIQDIYEQIFGEPWSEASAAKKLPPMMDGFVQNAVRQEIPKNATVTMTEAVMNGFKPDLVPIYKELVKEFAVCDRWFASVPASTQPNRLYVHSATSHGLTSNDTNKLIGGLPQKTIFDSLDENGFNFGIYYQQPPSTLFYRSLRKLKYIEKFHEYGLTFKKHCEEGKLPNYVVIEQRFFDLLSIPGNDDHPSHDVGEGQKFVKEVYEALRGSPQWNEMLFVITYDEHGGFYDHVPTPVDGIPSPDDIVGPEPFKFKFDRLGVRVPTIFISPWIEPGKVLHEPSGPFPTSQYEHSSIPATVKKIFNLPEFLTKRDAWAGTFEGLLTLSSPRTDCPEKLPEPIKLREAAAKEEAKLSEFQEELVLMAATLNGDHRKSIYPNKLIENMCVLDAVKYVEVAFNTFLNECEKAKQNGADGCDIVDCANTCSTRRNSENFFHKMLSCITCDR >RHN66464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12654706:12655014:-1 gene:gene14456 transcript:rna14456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperone DnaJ MGNEKSDMIPVDVIFVIAEKPHTLYTRNGDDLLIQQKITYVEALRGTVLKIPTLDGRSLSVTLPRRVTLGYEHKVPGEGMPLTKVPGRKGTLKITITDIKYF >RHN44277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3100507:3103278:-1 gene:gene38377 transcript:rna38377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MSLLGLLLAIFYMVSHVNGRGGHASWINAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACYEIKCVNDPQWCNPGSIIVTATNFCPPGGWCDPPNHHFDLSQPIFQHIAQYRAGIVPVAYRRVRCKRRGGIRFTINGHSYFNLVLVTNVGGAGDVHSVAIKGSRSRWQAMSRNWGQNWQSNSYLNGQSLSFVVTTSNGHSVVSFNAAPAGWSFGQTYTGRQFNY >RHN57124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36676968:36678248:1 gene:gene32624 transcript:rna32624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MAFTQCVVNETLRMANIIGGIFRRAMTDINIKGYTIPKGWKVFASFRAVHLNPDHFKDARTFNPWRWQRKSEATSPANVYTPFGGGPRLCPGYELARVVLSVFLHRIVTRYRYSTTTTFCLLSSFWSPAEEDKLVFFPTTRTQKRFPIIVKRRVESKLCN >RHN77879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10183804:10184426:1 gene:gene1381 transcript:rna1381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MVKEIEYYDILEVSSSASDDQIRKAYYHKAKQVHPDRNHNDPNAAHKFQNLCEAYQVLSDPVQRNVYDQNGKHSVSSIV >RHN57734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41377449:41381112:-1 gene:gene33317 transcript:rna33317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MAKVIQETTKKMTQLCRKIVQVDVKYGMLQRVSFVGQFFRFIWNKLMVCSVGRSPAQYRRLSLNHSSASSSSSLSPSPATVDDGFTREQQHTNNGYESDSDLVNLKISLLGDCHIGKTTFLIKYVGNEVEKRSLQMEGLNLMDKTLYVQGARISFCIWDVAGDKKSLDQIPMACKDAVALLIMFDLTSRSTLNNVVGWYSEARKWNQTAIPILIGTKFDDFVRLPPDLQWTIVTQARAYARAMKATLFFSSATHNINVNKIFKFIMAKLFNLPWTIERNLKVGEPIIDF >RHN62328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42654902:42655420:1 gene:gene24890 transcript:rna24890 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVPNSPAISLNQNQDDTYPHTLTGTGLQLPTPPFSSFPVENHINKRVVLNDMITPEGFNYFSGFPNMSQSLPQENVDLPLLNYDNIMNYGNKNGICSINDLFDQENIQEESIHMMHMHQQDPQLNFGVTTASSNDDHSTDLAPLPPAIDMSAPADIPFLFTGDQFQGNKY >RHN70139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49047570:49051840:-1 gene:gene18693 transcript:rna18693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MSTPRVRVGRKSIINLGEFSVLPKGSMQKRRKVSLAILIRRFLGAIILSITITCFFFVHVHVSTSPTDPNNFNEKIHMFRNLQSWTREFVPPHSSKAPPSAPKLNDSSGDPDYEKLWKPPPNHGFLPCTKPTPNYTTPENSQGYLLVHTNGGLNQMRAGICDMVAIARIINATLVIPELDKKSFWQDSSIFSDIFDEKRFISSLADDIKIINKLPKELANAPKMVKQFKSWSGMDYYQNEIAALWDNFKVIQASKSDSRLANNHLPQDIQKLRCRACYEALRFSPRIEQMGKILVERMRSYGPYITLHLRYEKDMLAFSGCTHDLSTSEAKELRIIRENTTYWKRKHIDPKEERAKGYCPLTPKEVGIFLSALGYPAKTPIYIAAGEIYGGESHMTELRSRYPFLMSKEKLASIEELEPFSNHASQMAALDYIVSVESDVFVHSYPGNMARAVEGHRRFLGRGRTISPDRKALVRLFDKLAIGSITEGEQLSKKVNDLHKGRVGLLRKRKGPIPGTKGVDRFRSEETFYANPLPDCLCRKESPPTV >RHN55947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25898074:25898980:1 gene:gene31241 transcript:rna31241 gene_biotype:protein_coding transcript_biotype:protein_coding MYEAVFQEVGFRLPFSPFQVSVFEWMELRPSQLRPDSFAYMIPFELVCRFMRLLATRELFFTIFTIQRGLDKDGGQNCVPFCQRKALFEIFNCEATKFQKRFFLVRPRTERALKSVLKVTERPHEDVGVVSRRVLRFPFYWSKDHFKHVPEIVRHSYTALSERNKMSFARILEFVHSFSRLKVVTEDGNPVLDLRGNQVTIPRVIDTRSLVLSSDPMALLGKMFHIRFLMNKASQKVVRQSSGNGKRSERRDQTADAGFPARVHDDPIEEEEVPL >RHN44765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8222469:8223208:-1 gene:gene38926 transcript:rna38926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MEWQRNGFNQNANVGMMQVNVMTNEQVETLRKQIAVYSVISEQLIQTHKTLSSQQDLTLTGSTRLRQRWTPTPVQLQSLERIFEAETGTPSKEKIKEITADLTKHGQISETSVYNWFQNRRARSKGKQQNNVNDEPEVETEVDSNDKKTEPEIVASQSAKNLCSQYLADLNSESDDSLRRSRN >RHN58211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44647053:44649741:-1 gene:gene33830 transcript:rna33830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-VIIa-2 family MGICWSSTPNNPIPTGTVTPAGISYSQAASSGSYGTNSTITSSTATTTTFTTSSGSSSNMSSSSSSINRSNFSSVSDYSIGGQILPTSNLRVFTFAELKTATKNFRLDNLLGEGGFGKVYKGWLESSRNSSGTTVAVKKLNTEGYQGFEEWQSEIHFLGRLYHPNLVKLLGYCYEETELLLVYEYMQRGSLENHLFGRGAAVQPLPWDLRLKIAIGAACGLSFLHTSDREIIYRDFKASNILLDGSYNAKISDFGLAKLGPSASQSHLSTTVMGTPGYAAPEYMQTGHLYVKSDVYGFGVVLVEILTGLRAVDLNRPSGRHILTDWIKPELQDRKKLKKVMDPQLGDKYPIKAALPIAKLAISCLAPEPKLRPSMRDVLERLQGIQAATNRTVEVRGAN >RHN38960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3083638:3084834:-1 gene:gene44940 transcript:rna44940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DOMON domain-containing protein MASSSSLILALTISTCIFISLITPSHSALKCASQKLPSNRSYTNCTDLPSLSATLHFSYNTTNHSIAIAFSATPKNKDDWVSWGINPTGGKMVGAQALIAYKTNGNVGVYTYNLTSFGGINEVKSLSVETWGLSAEESNGVITIFAGVKLPEKSDNVTQVWQVGPVVAGKPGKHLFEKENLNAFTALSVVGSTTVGGANSTGGAPGPKGDEKSGGVGLVMGYYFGFVLLFLSLITTM >RHN72429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7521777:7523224:-1 gene:gene8138 transcript:rna8138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MMFSKKASLVTVSSLLKLCNGCCSRHVVNCIKGCNTSRAICTATERSDFQFSGGYDSLHYQQNLGGLYNLWESGSYRDKLASSGSLYNGTLEELDSFCRDGKVKEAVENLQKLEKLHIHVDLQRCLQLMRICGKAKSLEEARVVHRHALQHLHPLTVSTDNRVLEMYFECGSLDDAIDVFKSMPEYDLTTWHTMIMQLVKNGFAEDSIDIFTQFKNMGLKPDGQMFIGVFGACSMLGDISEGMLHFESMSKDYGIVPTMAHYVSLVEMIASIGHLDEALEFIEKMPMEPNVELDPSCLNEKAKAGLLLGENSDLIKKNEQNKLTINPKGQSRVHEFRNGDTSDPKSDKIYTLLRGLREQMKEDGYIAETRYALHDIDEEGKEDVLLAHSERLAVAKGLFSSPARSTIRVIKNFRICPDCHTALKIISKIVGRELIIQAKRCHHFKNGLCSCKDYF >RHN48843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50644345:50647373:-1 gene:gene43602 transcript:rna43602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MGSLPHVVEDCMGFLQLYSDGSIYRSNDFKFQIPTIEDNSVTFKDCLFDKKFNLSIRLYKPNNNKNKKLPVIMFLHGGGFCFGSRTWPHIHNCCMSLATGLQALVIAPDYRLAPEHRLPAAIDDAVEAVRWLQRQGLSHGYGEPWLTGDVDFGRVFIMGDSSGGNIAHQLAVRFGSDRMAIEPVRIRGYVLMAPFFGGEIRTESEEGPSEQTLNLDLLDRFWRLSMPVGETRDHPLANPFGHGSPNLEEMKLDPILVIVGGNELLKDRAANYATRLKGIGKDIKYIEFEGCEHGFFTHDSYSETAKEVLHVLKQFMLQTSTYP >RHN79946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33735246:33735521:-1 gene:gene3805 transcript:rna3805 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLEIFALLAILVLVFLLKLIFSWWISPIQRNHKLQTCGFQGPTPNFPFGNIQEMKKKNSVDSSSGSSKLTHDIHSTVFPYFSRWQNSYG >RHN47550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40524191:40524550:-1 gene:gene42164 transcript:rna42164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MNTSVFHYFAILTVVVATVAQFRVEDEGDYMHQFLKALTRPPTGWSNNTHHCKWNSVTCRSNCVTTSKLPSSSLVGTIPILINTLTNLTHLDLRNNSLTGPMPEFNALIVLHTVDLRSY >RHN46075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28281109:28281429:1 gene:gene40515 transcript:rna40515 gene_biotype:protein_coding transcript_biotype:protein_coding MLVWFYVDLAFEMWVSCVTELIVCVLLDFLLVDSPLSSCCFSFGCLSLFVGCLSLDVFLWFLWCLGSDFPVSLLFFDLFRFAVYVGLV >RHN58807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5054398:5058582:-1 gene:gene20756 transcript:rna20756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MEMASSPRTVEEIFKDFSSRRDGIVRALTQDVDEFYALCDPDKDNLCLYGHTNESWEVTLPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHSDSWLLSVAFYLGARLNRNERMWKRLFSLINELPTVFEVVTDRKPIKDKPTVDSGSKSRGSTKRSSDGQVKSNPKLVDDQGYEEEEDEHSETLCGSCGGNYNADEFWIGCDICERWYHGKCVKITPAKAESIKQYKCPSCSIKRGRP >RHN74508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34099799:34106731:-1 gene:gene10593 transcript:rna10593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclear fragile X mental retardation-interacting protein MSNNNNPPNFSNPQNNHRPQMGHPGNYQNQNFMQQQQPPPFMPHVGAGFVPQNNATFNPMFPVHGQGGPQITHNAQILAQNILNLLQQPNMNMPNMNVPNAQFCAPGPYPMQNMMNQQLPPMQMQRQNPTQQPYGMQPVQQPMFGFPNQVPHAMVPQNPMFNGNPQFGMVPGNQIRPQIDLNEKNRVPAGNGNANGFVPGGPFPPQQLQGNNGPVPHNANNAQGSAFRNSHPQVNPNSNMNTNFANSNWKGSPNKNFKNKQNRGGSQGGFQKSKFNDTNKGKRFSKEHKGKGPNNERAGHFGLNSKQEPKRSFSLTYSEQEIQQWREARRKNHPSREKIEKQQSEQSKDSKVIDRELLQRELKEVLAKQAELGIEVAEIPSYYLMNGTNQGLQSEDKKNSFTDKRKFKNKLMRNPDRRSRNNKKQKFADRDLLENKKKPTLLQKLLSADIKRDKSHLFQVFRFITANSFFKDYPDKPLVYPPVSVKEMGSEVYGGKKHLQGGEAVLEHGTKEIVQKFVKGSDNGHDNEDEESDEGDNEDGINEFDEEEGEIIE >RHN74545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34581085:34582826:1 gene:gene10633 transcript:rna10633 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHTAKSVSSFSSPLHFISTVNPNSPNYPNSSSSSPSSSLSLSLSLSLSLSRIAYCSLTSVSCSHCSRRKFFSLLSNSSPILKPNFNFAFCFREQLDIKVVIITF >RHN72148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5370702:5375327:-1 gene:gene7829 transcript:rna7829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MKEPYILAKKYDWKGFVNFFDHNKTLLNRQIDLHQSTPFHYAAHCGSPDMYNKMLSMVDPSMQHVLRMKDDMGNTPLHEVAFTGEVEMTKSTLMKDMEAQAQSEFPLQQPLLEVRNKLGETPVYRTAALGKTNLIKCFLDELSVDLRVHFHRTVDKMSILDIAVIGQFFGTALFLLKGYGELAVQKEENDLTALQLLAKMPSAFKSQTQMRAFENFIYPLLPDYQDDAYYLQDNDITRKREDVETGKNGKNEPHQTQRKQPLQIHHTKLSGFSWMWYTIWKALSKEWKSIKKLWKKKEMHNLAQELVRLLAKNDISWQHSSIAMDRTVCIGIQKEKQEEQDVPTHKAIIYTPLLMAACNGIIEIVELIIHFHPQSIEHVSKDEQNILYMAVKHRQLEIFRMLKKRKMVRRLAGKIDNKNNTVLHNIADFKGGSQPGYALQLQEELHWFERIEKKLPYHYVIHKNDNNQTARELFEQKHEQLLKDAREWIKGTAQSCSAVAALVATVVFAAAYTVPGGTDDHGFPRLLHHPIFVVFMVMDVVALASSLASVVMFLSILTSPCELWDFRRSLPRKLMAGFAFLFFSMATTILVFTATILLNIKLDKSKWSSTYSAAFFPVSIFAMMQFTLYVAMKGCLMALLRSLKKIVPRLLKLIKRSKKNRLWDI >RHN73740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19512393:19512899:1 gene:gene9600 transcript:rna9600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin ligase RBR family MKNNDFFIGSCSHAYFLNYVALYVSLKLEGNVINVRCHVSGCSGLLEDEDCWRILPTKCGEFEKLDADERWKDDVMLMKHPKDMRWRQCLILGFILRNRRVACA >RHN40285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14866940:14867956:-1 gene:gene46421 transcript:rna46421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MNIYILTYKQINNMGDIIKVVFAMIIYLYMLTIVTNAVTICDSDQDCRRYRCDPPEYPRCLGILCKCVYVSG >RHN71084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56366740:56370838:1 gene:gene19727 transcript:rna19727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L53 MLKFLSKVKIEFNALDPRTASCMEFLAQCNSRKAKESNPACEVEIKRKNVEHPPQITVTFVNGVEEAFDATSTPVHRITKMILEKGQLLETEQMFREAGEQWPVIIPDEELSQHAPGTKPRKAEEKKQ >RHN70010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47981753:47985410:-1 gene:gene18548 transcript:rna18548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arginine and glutamate-rich protein MGRSLSRSPSYRRRHSRSRSPSPSPSHRRSRHHRRRRHRSRSSSSLSPPPRSRTPTLKHNKKDHPPTLNNKSSKRQQDEELKLLEEETARRIEEAIRKNVEEKLNSEEVKVEIERRVAEGVKKLFDDVEVQLEKEKQDALTEARRKEEQARKEREELDKMLEENRRRVEESQRREALELQRKEEERQRELEMIRRQKEEAARRKKLEDEEHANRNNSMAV >RHN62201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41677821:41678795:1 gene:gene24752 transcript:rna24752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MNNSSSSFSSSTLPSDQEQSVIVTALTKVVSGSTSTANSLPEFHLPDSTIGSSSSMERIPPTNMETCRECNIAGCLGCNFFSQENKKKQIRAKKKYRGVRQRPWGKWAAEIRDPRRAVRVWLGTFTTAEEAARAYDNAAIEFRGPRAKLNFPLVDESLKHVEEPEVIVHSKHVTKDENMNQEMQIETMTGFENNKDCDFLDSIGEPDFQQFMKFMEFSGDSSGSRTGNPFN >RHN59291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9142839:9143141:1 gene:gene21296 transcript:rna21296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mRNA (2'-O-methyladenosine-N(6)-)-methyltransferase MSTFLFFHFGSVCDRFQLCSFFFFFFFFFRMDELGKFGVIMADPPWDIHIELPMEQLPMMKCALLMSLLCKLLMTLMLLLLNSGKQSINIYTGNNHNHDA >RHN65457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2398577:2403781:-1 gene:gene13297 transcript:rna13297 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIIGDLREKAKVRVTGTVTGGTDGSSRVQWYKSYLSTLDENNLAALSTSTAVAKAFRIPPGAIGCYIVAKFTPMSPDGRSGEPTFVISDRTIECRSRRIIIVSSVSCCKTRWTSFSEMINTV >RHN44117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1831975:1833634:1 gene:gene38176 transcript:rna38176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MENMARNLKFIYVMVLFLALFFVLTNGDSFRGCNKDTDCPEKFCSSPDVVRCIYIECYCI >RHN69985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47768106:47772942:-1 gene:gene18521 transcript:rna18521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MVEHSSGTNLSYRGDIVGMEGGTSLQDDSKYYDDDGRVKRTGTIWTTCSHIITAVIGSGVLSLAWSIAQMGWVAGPGAMIFFSIITLYTSSFLADCYRCGDTEFGKRNYTFMDAVSNILGGPSVKICGIVQYLNLFGSAIGYNIAAAMSMMEIKKSLCVHTSDGKDACHISGNPYMIAFGVAQLFLSQIPDFHNMWWLSIVAAVMSFFYSTIALALGISKVAENGTVMGSLTGVSVGTVTPAQKVWGFSKVLETSPLLIHILLFSWKFRTQSNLHHQKEKQ >RHN47827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42860865:42862079:-1 gene:gene42473 transcript:rna42473 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFVLDIADSLLGKLASYAYDEASRTYGVYDDLQDIKNTFSIVIGLLLDAEYKKDQKHGLREWLRQIQNICSDAEDVFDGFELQHKRKKFVDASGSTSMKVSYFFSSSNPLVFRSRMDHQIKGIRDRLDKVAADGNKFGLAKIDNGPELVLHRRELTNSHVDASSVIGRENDREEIIKLLMQPRPQGDGDGDKSLCVIVNYLLLGLHYVAFLYVYFILYQLFLVN >RHN78538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16076079:16081132:1 gene:gene2118 transcript:rna2118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEEGRVVSEFTQDGTVDLKGKPILKSKTGCWKACSFFVVYEVFERMAYYGISSNLILYLIKKLHQGTVTSSTNVTNWVGTIWITPILGAYVADACLGRYWTFVTASFIYLLGMSMLTLSVSLPSLKPPECHEMDVTKCKEASTLQLVVFYGALYILAVGTGGTKPNISTIGADQFDEFDTKEKKHKLSFFNWWMFSIFIGTLFANSVLVYIQDNVGWTLGYALPTLGLAISIIIFLAGTPFYRHKLPTGSPFTKMAKVIVAAMKKWNVPIPSDPKELYELDLEEYAKKGSVRIDSTPTLRFLNKACVKTNSSTSTAKLCPVTHVEETKQMIRMIPIMATTLIPSTMIAQINTLFVKQGTTLDRGIGSFKIPPASLGTFVTISMLISVVLYDRFFVKIMQRWTKNPRGITLLQRMGIGIIIHIVIMVVATFTERYRLSVAKEHGVVENGGLVPLSIFILLPQFLLMGTADAFLEVAKIEFFYDQAPESMKSLGTSYSMTSLGIGNFISTFLLSTVSHITEEHGHKGWILNNLNASHLDYYYAFLAILNVLNFIFFCIVTKYYVYRAEISDSIKVLAEELKEKTSVVSYQVIPRD >RHN60662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29237432:29242811:1 gene:gene23012 transcript:rna23012 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase C MGFSKTSLSLLLLFVLFSSSYATSRITHHHSFSSTPKFSPNQRAEKLIKSFNLLPKDPVNIIHGDHSIDHFVPGKLVEKKFSFFSDSNGPSIEDLGHHAGYYSLPRSKSARMFYFFFESRKNNKDAPVVIWLTGGPGCSSELALFYENGPFKIANNLSLVWNDYGWDKASNILFVDQPIGTGFSYTSNEDDIPHDETGVSNDLYDFLQEFFKQHPKFIKNDFYITGESYAGHYVPALASRVHHGNKGKKGITINLKGFAIGNGLTNPAIQYQEYSQFAFDNKMITKQDQADINKLIPDCEVAIKTCESKGGESCSSALYQCEQIINNILSISGNVNYYDIRKKCQGPLCYDFSNLEKLLNKKTVRDALGVGDIDFVSCSRVVYNAMLQDWMRNFEVDIPELLEDGIKVLIYAGEFDFICNWLGNSKWVHAMGWSGQKQFAASKTVQFVVDGTQAGLLNSYGPLSFLKVNGAGHMVPMDQPKAALQMLANWMHGNLNRTNFLADSR >RHN52258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33166977:33168627:1 gene:gene36911 transcript:rna36911 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPANDLVPPPSSPSISSISSSDLDTESTGSFFHDRSTTLGTLMGVSFPAITFRAPSQHRDPHSGAGGSKRIASKKKRRPAAVAAERRRRWWQLCREGDARPASLGDFLEVERRFGDGAFYDTAVEMEGMVVGHQERNGGRELFADGRVLPPVGDVGGGESPAVSLCNRFPASLTGICSGGAG >RHN78920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20007697:20010746:-1 gene:gene2588 transcript:rna2588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MLRRIASILLIGILGLAYEAIKPPPPRTCGSPGGPPITAPRIKLRDGRHLAYKEHGVPRQLASKKIVFLHGFGSSRHDAVIATNLPKGLLEELGVYVVSIDRPGYGESDADPKRSVKSLALDIEELADKLELGSKFYVMGFSMGGQAVWGCLKFIPHRLAGATLMTPVVNYWWHGLPYNMSTQAYYEQPIQDQWALRVAHYVPWLTFWWFTQNWFPTSSVVKGNSAVLSPQDLSIVFNMSVNKEHRSQVKQQDNTIKVHLWQGADDKLVPATLQRYIVQKLPWIQYHELQGAGHLFPNVEEVSAEILKTQLMDTK >RHN53516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2201140:2202818:-1 gene:gene28428 transcript:rna28428 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIFLHIQLFDDGGMKEFLGAIFGCTGACVILGYVWFYGKIERWKESEWIDLVSIVWHHHKKGEDKMF >RHN62157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41278143:41279974:-1 gene:gene24702 transcript:rna24702 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVERIKGYGEAMHGSVRRERLERLRPNGRVPRCGRGKGRAHCNDGERSSQVEEPEYEPQVEMEVQVEGDSDAQEVRDDEQQRVQPEPELEELDDYPGGPHDLTMLTKYHVHVTKMAADGVWRDNLKCVNNGKKIEAIHDDSNKARMVARWFRDGLRATVFHGCRIAATTRLTASCVLHLWIDGTRRHRVSIFRVRR >RHN42380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37885341:37887133:1 gene:gene48815 transcript:rna48815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MSGKGILSVLILLALQSLVGGDYIPPKKYDGFVYKNRHHLSYDTIQIEAFYDPLCPDSADSWPPLKKALHHYSSRVSFVVHLLPLPYHDNAFVASRALHIVNSLNRTATFPLLEAFFKYQEGPGPVQRTVLCQELGINFNI >RHN81336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44978935:44981405:-1 gene:gene5357 transcript:rna5357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MDYGSGAMLFLLTCIVTYFFGSFLGRSKKPNYKLPPGPSFFTIMSNVVELYNKPQQTLAEFAKLYGPIMRIKLCTETTIIISSSHMAKEILFTNDSLFTDRSVPDNTTTHNHNNFSLVFLPFSPLWQHLRKICHNNLFSTKTLDGSQELRRRKLKDLLNDMHKSSITGEAVDVGRAAFKACINFLSYTFVSQDFVENLDDEYKNIVSTLLSAVGTPNIADHFPVLKIFDPQGIRRHTTLYVSKVFYALDIIIDQRMKMRQSEQYVSKNDMLDALLDISKEDNQKMDKRQIKHLLLDLLVAGTETSAYGLERAMSEVVRHPEVMSKAKKELEETIGLGKPIEESDIDRLPYLNAVIKESLRLHPPAPMLLPRKARVDVEIAGYTIPKGAQVLINEWAIGRTDIWDDAHLFSPERFLGSEIDVKGRHFKLTPFGSGRRICPGSPLAVRMLHLMLGSLINSFDWKLENNMEPKDMNLDKQLRAIPVALNKVY >RHN62101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40736045:40739504:1 gene:gene24635 transcript:rna24635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nck-associated protein MAKSRQKSSNQDSSLSPTAARSREWDGPSRWADYLGTETNTASPLSSTSSRNFGHDGQSQGSTPSQPHKGLNMQWVVQLTDVADGLMAKMYRLNQLLDYPDPINHVFSDGFWKAGVFPNHPRICVLLSKKFPEHFSKLQLERIDKIAWDSMQDHAELHLQSLEPWVQLLLDLMVFREQALRLILDLSSTVITLLVSLNIVALL >RHN43052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42840478:42843207:1 gene:gene49574 transcript:rna49574 gene_biotype:protein_coding transcript_biotype:protein_coding MEYINSTMTTLPYFFLFHQLLLLPSYFFSFVQRVLFYLAFPIFYHSFLSRFQISLLLINIYSLINHLLHRFHCVF >RHN45199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12877330:12878572:1 gene:gene39428 transcript:rna39428 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGYVPVVFATSFILYYSADVWKETMVGRLYSIKPEESKSREEYSERSSLLLQILGAKDKADNINEIEMACQPSAALPNDNGVSAKRRRRRKYKANKKAGMEADTWLTCRFNFINIISLIFSTQ >RHN56456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31003859:31005374:1 gene:gene31861 transcript:rna31861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MDGDEEDLYLPIELIIQILLKLPVKSLIRFKCVCKSWFSLISQPHFANSHFQLTANAHTPRILFINPDLESLSIDFETSLHDDSASYSPDISFLFEEYDYDSSSSSDMDFSSPHPSFLDLDIRGSCRGFILCSGYSSLYLWNPSTGVHRQIPFTTVIDSNLEAKYFYGFGYDESTDDYLVLSMCYDPSARGLLSHLGLFSLRANTWKEMEGGDNLRYSQQCMYSRVDSLLNGVIHWLAFHYDRSMNVIVGFHLTERKLIELPLPIGINNGPRVYDLWLFRGCLSLFDMCTDNGTVEIWVMKKYNVQSSWTKTLVLSFGDIPIHYFCPKYCTKSGDIVGTDDNVLAKYNDKGQLLEHHSYSDHEYGSLVVMYTESLLSLPGGDSDQA >RHN53335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1055289:1058523:1 gene:gene28232 transcript:rna28232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 12-oxophytodienoate reductase MLLKSYKYPYTKPTPFILNHIFFIKRKKMEAANITNSIPLLTPYKMGKFNLAHRVVLAPLTRMRSYNNVPQPIAVKYYSQRTSKGGILIAEATGVSDTAQGYPNTPGIWTKEQVEAWKPIVDAVHDKGGIFFCQIWHVGRVSNSVYQPNGQAPISSTDKSLTSNDVQQFTKPRRLSTDEIPHIVNDFRLAARNAIEAGFDGVEIHGAHGYLLEQFMKDKVNDRTDEYGGSLENRCRFPLEVVEAVVNEIGADKVGIRLSPFAEYAESGDSNPNELGLHMVNALNKYNILYCHMVEPRIKSASETIESPYSLVPMRKAFNGSFMAAGGYDRQDGIDAIAENKADLVVYGRLFLANPDLPKRFALNAPLNKYNRETFYISDPVVGYTDYPFLE >RHN50558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8080184:8080381:1 gene:gene34857 transcript:rna34857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-1 MKNNIVLLEIAEVVAQIVPCKTRNRNFKSACIAVSGDNEECDHDCRRVGGWYGGSCKNQKCVCDC >RHN44498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5433096:5434493:-1 gene:gene38625 transcript:rna38625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKIMKFVYNMIPFLSIFIITLQVNGVVVCEIDADCPQICMPPYEVRCVNHRCGWVNTDDSLFLTQEFTRSKQYIIS >RHN68563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36575886:36580118:-1 gene:gene16931 transcript:rna16931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactosylxylosylprotein 3-beta-galactosyltransferase MKTRASTKISAKWIPIFSVFSFLIGMLITTRMWEQPESNGVIISKHQRDQQELQVISEDCDVTKKQEKPKDEMNELYKTHEAIQALDKQVSMLQMELAAARSSRKKNSTGSATNSSEGASKKKKAFIVIGINTAFSSRKRRDSVRETWMPQGEQLLQLEREKGIVIRFMIGHSATSNSILDRAIDSEEAQHKDFLRLQHVEGYHELSAKTKIFFSTAVGLWDADFYVKVDDDVHVNLGVLAATLARHRSKPRVYIGCMKSGPVLSRKDVKYHEPEFWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGSWFIGLEVEHIDDRSMCCGTPPDCEWKAQAGNICVASFDWSCSGICKSVEKIKYVHSKCGEGDGAVWSALF >RHN61865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38988127:38988404:-1 gene:gene24371 transcript:rna24371 gene_biotype:protein_coding transcript_biotype:protein_coding MWLIYIPWETLFDFLSLTSTAISDVDYITMKIVPVDGKGLHVEVEKCGYRCVFKQDLQQFHSTMMHHRNSFARKPHPQ >RHN81061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43008445:43012901:1 gene:gene5055 transcript:rna5055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein disulfide-isomerase A6 MKMEMHQIWSRIALASFAFAILFVSVSADDVVVLTEENFEKEVGQDKGALVEFYAPWCGHCKKLAPEYEKLGNSFKKAKSVLIAKVDCDEHKGVCSKYGVSGYPTIQWFPKGSLEPKKFEGPRTAESLAEFVNTEGGTNVKIATAPSHVVVLTPETFNEVVLDETKDVLVEFYAPWCGHCKSLAPIYEKVAAVFKSEDDVVIANLDADKYRDLAEKYDVSGFPTLKFFPKGNKAGEDYGGGRDLDDFVAFINEKSGTSRDAKGQLTSEAGIVEDLDELVKEFVAANDEEKKAVFARIEEEVEKLKGSASRYGKIYLKVSKKYLEKGSGYAKNEIQRLERLLEKSISPAKADELTLKKNILSTYA >RHN45543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22703242:22706345:1 gene:gene39907 transcript:rna39907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MVSIFQTLQLMKCVHFWLFFSMYFCPLITKNPSSIVGFSLTIASTSSTLQSREASALLKWKASLDNQSQALLSSWSGNNSCNWFGITCDEDSLSVSNVSLTNMGLSGTLESLNFSLLPNILNLDLSVNFLSGTIPPRIKMLSKLSHLDLSQNHFSGTIPYEMTHLTSLQYLDLSFNFLNGNIPDEIGNLSFLSHLYLADNKLSGKIPLTIGNLTNLKDLIGYGNYLLGPIPFSIENLTQLSYLDLGSNQISGHISPTIGNLLNIETLSFHDNNLSGPIPSEIGMMRSMVEISLSNNALSAIIPATIGNLSHLQYLSFGGNHLSGAIPTELNMLANLKLLRFEDNNFIGEFPPNICQGGNLKNIRASNNHFIGQVSKSLKNCSSLIRLWLDNNHFHGNISDDFGVYPHLEFMGLNDNNFYGHLSSNWGKCHNLEQINISKNNISGFIPPELGEATHLYSIDLSSNHLTGKIPKELGNLTKLGRLFLSNNHLSGNVPTQIASLKELEILDVAENNLNGFIRKELVILPRLLDLNLSQNKFRGNIPNEFGKFKVLQSLDLSGNFLDGTIPPMFVKLILLETLNISHNNLSGNIPSSFDQMISLSNVDISYNQFEGPLPNMRAFNDATIEVLRNNSGLCGNVSGLESCIKPSRGSHNHKIKKVILLIVLPLAPGTLMLAFVCFKFSSHLCQMSTTRNNQVGGNNIAPKNVFTIWSFDGKMVYENIIEATEEFDDKHLIGVGAHGSVYKAELNTGQVVAVKKLHSVTNAENSDLKCFANEIQVLTEIRHRNIVKLYGFCSHSHLSFLVYEFMEKGSLEKILKVDEEAIAFDWNKRVNVIKDVASALCYMHHDFSPPIVHRDISSKNVLLDLEYVARVSDFGTAKLLNPNSDNWTSFAGTYGYAAPEFAYTMEVNEKCDVYSFGVLALEILCGKHPGDIISNSLQSTIMDSTLDSMPLMDELDQRLFRPMNHMSKKLVSIAKTAISCLAERPRSRPTMEMVSRELLTA >RHN43691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47739045:47743557:1 gene:gene50306 transcript:rna50306 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLVATTISSETNKVHQFFNELESQKNTLIKCTDLFTKLNNHFSFLQDSISQKFQTLDSQFQSLESRHKETLESLANQENSIPERESSAAARIDEQKEAALAALRNPNPPSNIAAALKSLSRKMDAESLLMFVISKRKESIMLRPEIAAALKEAVDAPRLVLDAVEEYLTCKTEGKSGVTDKRWACGLLIQGLISESSVYSRTIVERAGSLLDLWKEQLDGEPEKGAAEMVMFLQMVVCFGLRSKVDDEYLRKSVMKFASRRDMAKVAASLEFGDKMIDIIDELVKNGKEMEAVYFASESGLTERFKPIELLNSYVRNYENNVATILKSGNNSQVATDEANTLELTSIKDVIKCVEDHKLESKFRLDKLKRRVSQLDKNKFERKNTSSFGSGSGSGSRPPRKRGGRGTSSSRPAKSAKTSVYPSSFSRLSRRNNLAPVHPSPIARYSAPLHYQSQNMLENSTAANPYAGTYGTSITQSPAGIGMAQQHYSYPVDNLGPSSYLSSSSYAGQTNYGQYDYGSAAPPTYPYTTDQTSYRG >RHN44074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1457834:1459304:-1 gene:gene38131 transcript:rna38131 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAREASGMAPKKPVNTGKRKKGETSATRPPPRNQAFERERFRSRYHQDRYIELLDQSMWCERVFNLNPEGPYKEIAKLLLDQGWERLLQPITDINAELVREFYANALPENPHTDPFTFETFVRGRTIRFDREAINTYLGNPFELAYPDDLDDFHEKQNLGHFILPGPHEEIKRFLLLGDYNYDISDAGREYRAQYKFMTNEAKIIQKFILYNVRPNSHLSDCVVEVCPLIYYILKGIKVDIARTIAWELRMVTLQGRGEREARLFFPGLIMGLIKDTGMRLPTSVHEKIRNPINDAFITRYIMGETKKDKSKQASSSGAPPPRPQPSSEPQPHFPPHLDPHTAAFDFSSFAQWQYQCHTHTWNMLDATNRANTYLQQSQYVMQQQAGYPPEVMSQFMTPEAFQAHVSWPEGRPDPYGGGGSSFGTLSDDILMGDSDRDDPDRVPSATGGSDDDDMQG >RHN73392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15918459:15920229:1 gene:gene9196 transcript:rna9196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-Os family MVFTINQLYVPPPPCYCEMGCSITCSVWSGNNCFIDAIIECWKKGATTMSAYTQIQTTEKVDDFPMRFTPEQLDEITNKCNYTSFSFGKMRQHKTMLGSSASGTDFKGELSNGQKVAVKDFNCFIMGMEEQFIEDVSTIARIHHTNIIKVYGFCLHRDKNALVYEYVENGSLAKYLFDSKNCDDLDFEKLRDIAIGIAKGISYLHEGCEYRIIHYDIRPENIHLDKEFVPKVVNIGLSKLRSRESHIAMNNRFKGKAAYAAPEMWKAYPETYKCDVYSFGIVLFEIVGRRTHFIDPTYSESQIWFSKRTWEMFENSELVAMLEFCGIEEKDTEKAERMLKVAQWCVQYSPDDRPLMSTVVKMLEGEKEILQAPFPFHNRVSVKENSTQEGSTAYSNTATSSWHT >RHN47470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40015657:40017068:-1 gene:gene42080 transcript:rna42080 gene_biotype:protein_coding transcript_biotype:protein_coding MINECVTYEYMNDNKLLLSNNNSYFKRNQNSPCLQFHFLTNSNKVKRKTQHPMHKSNYST >RHN59196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8374384:8374701:-1 gene:gene21194 transcript:rna21194 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMVFMLLFLAFNYVTGARNEPVSTLYGNTAFPPTVQPTKPELHLHINLTLEIALPIGGGTIVFAIATIIYKLRNNNHTAQGQLQEATTELTVNPNGPTVTVQI >RHN67829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30569303:30570025:1 gene:gene16068 transcript:rna16068 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSMNIFSTLDPDIIGAHILPRLDGTTLTAFSSVSSELRHMICNDKDLWRNICTSTWPSLLLDPIANNVISTFPGGYHSFFSFYHRNNNYYSWCPSAELIYAIDIYLHGEPFLSRVLVGCLTAENLTIVYDSTLGPRIHIPSKEGWFEYLSKNLTFSWILIDPTRKCAVDLFPSCCKSNFLGGYSRGENMKQFEIGMEGKAGLWPRMELKFDCMGGDPLQLHLRALIVSKLHSMRFRKVRC >RHN69064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40728513:40732592:-1 gene:gene17492 transcript:rna17492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MGYICDFCGDQRSMVYCRSDAACLCLSCDRNVHSANTLARRHSRTLLCERCSSQPALVRCSEEKVSLCQNCDWLGHGNSTSSNHKRQTINCYSGCPSSAELSSIWSFVLDIPSLSETTCEQELGFMSINENRSAWVDPKNQNVSDSDKATDLPDLDKSFAGTSSMPESSKEPRMLDRPDGSTNECVPKLYCPATNCREASDDDDDLYGDFDMDEMDINMENYDELFGMALTHSEELFENGGFNSLFGAKAMSAGDSNCQDANAAEGSSIGHVNAAQPACSTAASADSILSTKTEPNLCITAKQSQSSLSFSGINEDGGAGDYQDCGASSMLLMGEPPWLNTCPENELQLQSANRCSAVMRYKEKKKTRKFDKRVRYASRKERADVRRRVKGRFVKAGEAYDYDPLSQTRSY >RHN47410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39506202:39508239:1 gene:gene42005 transcript:rna42005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MKVSCYAPHVNIPQELRFGSEEARLFLQNCNNFKQLKQIHARIIRFRLTHDQLLIRKLCQISSSYGKIDYASLVFDQLNDPDIFTWNVMIRAYNTSGLPQKSIFLFKDMICCGFLPDKFTYPFVINACIASGVIDFGRLTHGLAIKMGFWSDVYVQNNMMNLYFKIGGDVDDGWKVFDKMRVRNVVSWTTVIAGLVACGKLDTAREVFERIPSKNVVSWTAMINGYVKNDNPIKAFDLFERMLIDNVRPNEFTLVSLIKACTDLGSLKLGRRMHDFALKNGFELGPFLGTALVDMYSKCGSLDAAVKVFGLMEVRNLATWNTMLTSFGVHGFGNEVLDLFKEMEKAGVVPDAITFVGVLSACVQINDLELGQKYFSLMTEHYSLTPILEHYTCMVGLYTRANELNEIRTLGDTMSLSIEANHNVAELLQESKPTGFDDMEKLIHKHYRDSDLSEFVLDHSATPTQPNSNPWIQIVS >RHN63114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48573080:48575470:1 gene:gene25773 transcript:rna25773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MEGSATTYMRFIPYIKKSYDYGVAIFLLTFNLLTVSSYRVDNVVKMARDRFYTIAIGCAICLFMSLLVFPNWSGEDLRHSTAFKLEGLAKSIEACVGEYFNGEIEVSGDIKSCDDSIYKGYKAVLDSKSTDETLALHGSWEPRHFRYCHKFPCQQYVKVGTVLRQFGYTVVALHGCLRTEIQTPRSVRVLFKDPCIRLAAEVSKVLIELANSIKSRRHCSPEILSDNLREALQDLNTAIKSQPRLFLGSNDIQANNMLATIASSHGKSSLSSVKTDSSALLDWKTKSVSAEQTMEAEQLQERKALRSQMSKIVITSLEFSEALPFAAFVSLLVETVAKLDLIIDEVEELGRLACFKEYRHGDEFSVRCEKPRVDVMDNHFPSHGGD >RHN63565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52239043:52244902:-1 gene:gene26279 transcript:rna26279 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEKLTALKKAYADIILNTAKEAAARILVSERKSTHFQQELASTKDEALHMLLRLKQMMDSKVKEAELTSLSQQKKIDELEAQLQEAEEIVRDLRAELRETQAELENVTKHQTNPPVEQNMKDEVAANGNFMQENRLDPSDGTVHSAPVLQFEPVSVPVSRYPTVNGTDNGSKFCGSHDHANSCYIHNPDFASIVIRRKEPELYRNGCTQRIRALERSLFDGNVSVSGHLDNARDESLVGAHEEGKEATVTTNGKADIICEMEKPDELKTMKANADLVKDLVRKKNVRFKSRKTHRSRLHSGWVKETNKESYLSVAKDSFHVSDNNDPSKVNASMTHENETLKDQTSPLAEAPTGATATVEQPNKLKVVKAGADLIKNLVHRRRRRFRMRNTHRSRLHSGRVKERNKKSYLSCAKYSHHLLGNNDRSMVNSSMAHENEAQKDLISPFVKAPAGATTAVKQLGSHTDTEKGELFLKGCSSRNKIEDHKEPLDKSDLTRQEGLSTVSMEVSGCKDVKAADGSPDKMEPKVSDLDEKVSSRFENDKLIKYTFQRKRKKGSVSSGGVGCSPENSNSKKICGEKENGDVEPQTSCTMAESSRESRRLAQVARQLISLSEKKWWQ >RHN48253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46120806:46121577:1 gene:gene42950 transcript:rna42950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MDTTMPKDRYIEIVGKLLPFLKKVGYNPDKTRFVPISGFNGDNLIERSTNIDWYNSPTLLEALLTDSTSLRGLKLLTESTTHQMGA >RHN63153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48941427:48941769:-1 gene:gene25822 transcript:rna25822 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWSYKSWPLFSIMLQWYCGGLMTLIPMPLEMVKTVPEKHSPMKKARKSQTLKNH >RHN42719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40440244:40443128:-1 gene:gene49202 transcript:rna49202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MAFEDVINMFALLVVVLGLWSGVGADPQVPCYFIFGDSLVDNGNNNGLQSLARADYLPYGIDFGGPTGRFSNGKTTVDAIAELLGFDDYIPPYASASDDAILKGVNYASAAAGIREETGRQLGARLSFSAQVQNYQSTVSQVVNILGTEDQAASHLSKCIYSIGLGSNDYLNNYFMPQFYNTHDQYTPDEYADDLIQSYTEQLRTLYNNGARKMVLFGIGQIGCSPNELATRSADGVTCVEEINSANQIFNNKLKGLVDQFNNQLPDSKVIYVNSYGIFQDIISNPSAYGFSVTNAGCCGVGRNNGQFTCLPLQTPCENRREYLFWDAFHPTEAGNVVVAQRAYSAQSPDDAYPIDISHLAQL >RHN69244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42060700:42064691:-1 gene:gene17686 transcript:rna17686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-associated protein, MAP65/Ase1/PRC1 MGSFQTPIGMRSSTLLETSCGFLLQELQARSLFISQIIWDEIGEDKFEREKVLLDMEQECLEVYRKKVDRANTSRASLHQKLAEAEAEFTHLLLTLGERSLPGRPEKRVGTLKEQLDSITPALREMRLRKEERLNQFQAVQGKIQRISAEIAGNSDDVPSTITVNENDLSLKKLEDYQNELQRLYNEKNERLQQVEKYIDKIHSLSTILGKDSSSIILQLHPSLNDLCGITKNISDGILHKLNITVELLHEEKQNRLDKLHHLGKTLSNLWNLMDTPYRDRQSFSHVINLLSASSAEVSDPGSLTLEIVQQTEAEVKRLDQQKASKMKELFQKKQEELELICKKSHVEIPSRTEMNNIFSLVNAGEIGHSNLLMSMDEQISRAKEEASSRKAIMEKVEKWMLARDEERWLEEYSMDENRYSVSRGAHKNLRRAERARIMVSRMPALVDLLIKMTSSWEAERNKVFLYDQVPLMEILEEYNILRQEKEEDKKRQQSWEKKKVQSQVVFERDNAYASRPSTSSRRLPNRSLNGSLDYSPPMNKRLPMGIQQLGSNNINSGNQGISFIKDGRKIQRKKIFDEPTFTFHMQDEASSIMSTHSGPFSP >RHN61117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33102477:33106308:-1 gene:gene23548 transcript:rna23548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 13S-lipoxygenase MLMQQISLKPNCLILNNPCLHGIGSNQTCFHVGSSRPLFTNQKKQRRLFKRNECSKIKAVAVSDEELIEQTSLKVKAIVTVQPTVGGLFSEMALERGLDDITDLLGKSILLEFVSSELDPETKLEKERIKGYVHQTHRSVEEIKYEAEFEVPQSFGDIGAVLVENEHRREMFIKNIVLDGFLTGPVNFSCESWVHSKHDNPDDKRVIFSNKVSSLSQLHSIYYFIEIKLEQYFIKKIMSTSNV >RHN59614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12535035:12535472:1 gene:gene21668 transcript:rna21668 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit K MKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDAITKLRKKISREIYEDLICYQRENRCFTTNHKFHLGRSTHTGNYNQGLFYQPSSISEITSDTFFKYKKVKYPPTNEKVN >RHN68779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38465273:38467519:-1 gene:gene17175 transcript:rna17175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MGLSSLHVCNMESSADHWLQGTIHDESGMDSSSPMSGDMLTCSRPSSMIERRLRPPHDLSLKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVSSKKSNDHLANTNIQNQPHHVPSYHQNPKDLQLSFPDVQFSHLSNLFGANGALGNPNFMENKYSNVGMLENPRPIDFMMENKLEGIIGSSSRNFDNYFGNSDHMNMNMGVGIGGDMMNGQNGLPQNFHHAFGGMSFEGGNNNNGAYLMDSCQRLMLPYDANDEDHNASIDVKPNPKLLSLEWQQDQGCSDAGKGQSFGYGSWSGMMNGYGSSTTNPLING >RHN72998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12476812:12477846:-1 gene:gene8767 transcript:rna8767 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNKHNPETIFNRLSSTIGQFNARYPKKEEWLIPSINPTKIKTFSVHRFNTPNVRMRGMAFYESYEEAMQSSDYKELCTNVGSMLCDVYHGFRSSVEEALYGIGVKTTVVWLPSDEITTEDIVWPFILVNFGCIIIMLLKNFTDERNFKTFMIKLISELKEIIGYDADLDFPFDFKRATAIRANLGSCSRLCQDAIRFIMKKSNDSVERDQELGVVYQYLCNELSWTDMHHFTLINDLLVKPQSPVFFHFSISQELENYTEACNAITSHICPQFFMCVASKVALSKVEPSQFPTLIAVAQELEKKVKNCSVGADCELTSTDGVDPTMIQALVKFHLKFYASEF >RHN50231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5380738:5391316:1 gene:gene34499 transcript:rna34499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MSSARTDTRIHDVFLSFRGEDTRGSFTSHLYASLQNAGITVFRDDVSLKGGDHISTTLSHAIEQSRISVIIFSTNYAESRWCLEELVKIMECQRTIGQIVLPLFYGVDPSQVRHQIGDFGKAFQSLLNKISKVEKDEDDSLKWRDALREAADLAGFVVLNSRNETKDIKDITEKITHLLDKKDMFIADKPVGIDSRMQDVIQRLDIQQSNGVILLGMWGMGGIGKTTIAKAIYNEIGRKFEGRSFLANVREVWEQPTKQVDLQEQLLSDIFRGTTTKIQSIDSGKIILKDRLCHKRILIVLDDVNELEQLDALCGSRNWFGLGSRIIITTRNMHILRGDRVDEIYSNKKMDDSESLELFIWHAFKQVSPKAEFVEISRNAVMYSAGLPLALEVLGRYLYERDVTEWKCVLEKLKTIPNNKVQNKLKISYDGLDDKSQKAIFLDIACFFIGKERNDVIHILNGCRLFAEDGIRVLVERSLVYIDDDNKLGMHDLLRDMGREIICNNPPKDPEEHSRLWLPEDVDGVLARQTGTKAIEGLTLKLPRDSAKCYSTVAFKKMKKLRLLELAGVQLDGNFEHLSRNLRWLSWNGFPLSCIPTNFYQANLVSIELENSNVKDLWKETQRMEKLKILNLSHCHYLTQTPDFSNLPNLEKLVLTDCPSLSEISPSIGHLNEILLINLEDCIGLCNLPRSIYKLKSLKTLILTGCLKIDNLEDDLEHMESLTTLLANNTAIKRVPLSVLRSKSIGYISLCGYEGFSHDLFPFIIQSWMSPTNNLISADQRSFDPMPSFDSMPSFDCLSESFMISDGHALSSYFSLEEPKSCPDELSPRNEYRSFTLLDKLSSDEVCSSHELSYFLEEPKSCPDELSPRNEYRSFTLLDKLSSDEVCSSHELSSISNQLSGLQSLWIDCRSEFQLSEDAKIILDALHATNSKESVPTTTTSQVSNMTTSTLVQSCSEVHVLGSKHSFNSLLIQMRMNCKLTNILEEIILQNMDVNGSDGRFLPGDSYPNWLTFNSEGSSVTFQVPQVEGRNLMSLMCIVYSSTPDNITSDSLINVLVINHTKATIQLYKSEAVVSFEDEEGQRLKSSIEPGNKVEVVFVFKNDFIAKKTAAYLVYDAQNLNAVASRCDENERPAKRFSTGEEPTDDFNRNRKKKNRME >RHN66965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22257451:22258149:1 gene:gene15092 transcript:rna15092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MTECIYNLTHMVTSNFVDEWNNAPIELFTKGQDYVYEIEPDRRTIDFSANNLSGKVPLELFRLVKVQTLNLSHNNFIGTIPKTIGGMKNMESLDFSNNKLCGEIPRSMSLLTFLGYLNLSYNNFDGKIPIATQLQSFNASSYIGNPKLCGAPLNNCTTEEENPGNTENEDDESIRESLYLGMGVGFAVGFWGICGSLFLIRKWRHAYFRLVDRVGDFLYVTLTVKLNSFRRN >RHN45396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19580768:19581648:-1 gene:gene39711 transcript:rna39711 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKKAADPFDVSFCVIPNANAGLTDIDKRSIANQSHCDVKLANMPLSRRD >RHN40157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13726351:13733514:1 gene:gene46271 transcript:rna46271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MDYCGGKIGGSDQRGGGPCGACKFLRRKCVKGCIFAPYFDSDQGTVHFAAVHKVFGASNASKLLMRIPAHKRLDAVVTLCYEALARARDPVYGCVAHIFALQQQVMSLQAELTYVQATLATMQRLPISLAPSLPNPQSSSPSFHSSSDHVGTNADMHSASNMSMHFDPHQPLSSLDLSSFFNQSDQQLDDDELQALAREFVSRYLPGVRFQPSNSH >RHN65210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:244164:246209:-1 gene:gene13028 transcript:rna13028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MLPIFLSLDTYSVTDELLSLQRIPDEFITRFGNELDNVATITVPDGREWNMELKKCGGQIFFCNNWQQFAEYYCIYYGCYLDFKYEGNSKFNVVIYDRTSVEISYPFQTRRTNGEPNINCPSSASNGINPKNTSFCSKVQNNYAYVPGEFAKEHLKPNVPFMLQNYQGKQWEVSCVLDRASKTPMRITSGFCRFARENNLSKEVFYNFELIKRKPVVVLQATTSRTAEMEIRESKHFKKAILPSPIHDKEIRIPEVFITIYGSELKNVATITVPDGLTWKLELKKRGEDVYFCNKWKQFAEYYSLRYGCFMSFKYEGNSKFSVIIFDVTSVEICYPLKTPSTNGETNTECPRPTKRSRVEINYADDSPSEDEGTELNPSFTSTYKYRTLYINADFASKHLKRNVVVKLQNCNGGEQWDVCCTRLGRSGLRLGKGFIKFATYNNLSNGDKCKVELIKKSSPVVLQLDLV >RHN77218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5150636:5151772:-1 gene:gene647 transcript:rna647 gene_biotype:protein_coding transcript_biotype:protein_coding MDISASKYSDASESGWTHYLVQSSFSESYFQSKDEIVENEGKGARMVMDEKEESEEDLSMVSDASSGPPHYDNEYQCENWYTCLSSTTKETQKKKKVKEYDTSQQPSPLDDTASSQFFSCPKQSHKKKDKDSFLRNGAVRSALDFSECISATTINVQL >RHN54317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8604347:8605947:-1 gene:gene29328 transcript:rna29328 gene_biotype:protein_coding transcript_biotype:protein_coding MDREESGTLIMGRAEIDTGAPFKSVKEAVLLFGERVLVGEIYANKLKEMQNEASETGHAQSKAGALAAELEETKQKLEGSKEEANFLAQCIKSLKKELEQTKKELEETKAREWKLLQRRDIPETNEDIKFIANGTNVEIKTQNDDGVDDEETIQKRRYVKFASPHVLAQVIPNKDELLVRPNSVKKGKKKTMMPLIGWLFSKSKKGSYEVDSPKA >RHN41014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25803307:25805228:1 gene:gene47283 transcript:rna47283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H dehydrogenase (quinone) MAVKVYIVYYSMYGHVERLAEEIKKGAESVEGVEAKLWQVPEILPEEVLGKMRAPPKSDVPIITPNELTEGDGFVFGFPTRFGMMAAQFKAFLDATGGLWKTQQLAGKPAGIFYSTSSQGGGQETTALTAITQLVHHGMLFVPIGYTFGAGMFEMGEVKGGSPYGSGTYAGDGSRQPTKLELEQAFHQGMYIATITKKLKEAA >RHN74636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35370264:35372770:-1 gene:gene10740 transcript:rna10740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II PsbR MASSVMASVSLKPTPFRVEKSSVRGLPALSRPFKVVASGVKKIKTDTPYGTGGGMALPDGKDASGRKQKGKGVYQFVDKYGANVDGYSPIYEPKDWSPTGDVYTGGTTGLAIWAVTLAGLLAGGALLVYNTSALSQ >RHN79679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31178445:31180794:-1 gene:gene3502 transcript:rna3502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gag-polypeptide of LTR copia-type MGENSGDGGKKVDDNNSNSEKKVDLVFFLGSNDNPGNVITPIQLRGLNYDEWSRAIRTSLQAKRKYGFVEGKIPKPTTPEKLEDWKAVQSMLIAWLLNTIEPSLRSTLSYYDDAESLWTHLKQRFCVVNGARICQLKASLGECKQGKGEEVSAYFGRLSRIWDELVTYVKKPTCKCEGCICDINKQVTDLSAEDYLHHFLMGLDGAYAIIRSNLLSQDPLPNIDQAYQRVIQDERLRQGEYSIQQNRDNVMAFKVAPDTRGKSKLVDNSDKFCTHCNREGHDERTCFQIHGFPEWWGDRPRGGRGSGRGGATTGRDTTGRSGGRGRGTYNAPARANKATTSSRSIGGNSGQSHAPPHSSEAAGISGITPAQWQQILDALNISKTKDRLHGPDDEDVDWSR >RHN66632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15389610:15390826:1 gene:gene14667 transcript:rna14667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MSPPITSLLNVRPPRMAWNIRVRVVRLWRIMSSLVRGRVIFMEMVLLDQDGNRIQATIPPDCVDRFQNTFHENRVYMFSNFKVLPNDRSTRVTSHNHRLKLWEETVVVNHDGYIIQRFGFSFFSSAQILEHQHGCGHLIDVFGLLTSLHYDFVVDARQNLATIARGTIECVLYGRFIGFFKGLLSRFGSALPVVVIQFAKICKERGRVVVRSVRDISRIMLDPPVAEVARWKNGLV >RHN60333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25364003:25370205:-1 gene:gene22632 transcript:rna22632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate oxidase MYIFYHPCREFSRRNSWQDGVYGTNCPISPGKNTYILQVKDQIGSYFYFPSLAFHKAAGGYGGFTIATRSVIPVPFDPPSGDFTILAGDWYKRNHTDLRAILDSGKNLPFPDGLVINGRGSNAYTFTVDQGKTYRFRISNVGITTSINFRIQGHKMKLVEVEGSHTVQNIYDSLDIHLGQTYSVLVTADQPPHDYYIVVTTRFTSQVLNASSILHYRNSARRVSGPLPAGPTTKIDWSVEQARSLRRNLTASGPRPNPQGSYHYGMINTTRTIRLQNSAPIIKGKQRYAVNSVSFIPADTPLKLADYFKIQGVFSLGSIPDNPTGGGGNLQTSVMAADFRGFLEVVFENPEDTLQSWHIDGHSFFVVGMDGGQWSAASRLNYNLRDTIARSTVQVYPKSWTALYMPLDNVGMWNVRSENWARQYLGHQFYLRVYSPVNSLRDEYPIPSNALWCGKAVYPKSWTALYMPLDNVGMWNVRSENWARQYLGHQFYLRVYSPVNSLRDEYPIPSNALRCGKAVYPKSWTALYMPLDNVGMWNVRSENWARQYLGHQFYLRVYSPVNSLRDEYPIPSNALRCGKAVYPKSWTALYMPLDNVGMWNVRSENWARQYLGHQFYLRVYSPVNSLRDEYPIPSNALRCGKAVYPKSWTALYMPLDNVGMWNVRSENWARQYLGHQFYLRVYSPVNSLRDEYPIPSNALRCGKAVYPKSWTALYMPLDNVGMWNVRSENWARQYLGHQFYLRVYSPVNSLRDEYPIPSNALRCGKAVYPKSWTALYMPLDNVGMWNVRSENWARQYLGHQFYLGVYSPVNSLRDEYPIPSNALRCGKAVYPKSWTALYMPLDNVGMWNVRSENWARQYLGHQFYLRVYSPVNSLRDEYPIPSNALRCGKAVYPKSWTALYMPLDNVGMWNVRSENWARQYLGHQFYLRVYSPVNSLRDEYPIPNNALRCGKAVGHHN >RHN77302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5890539:5891099:-1 gene:gene744 transcript:rna744 gene_biotype:protein_coding transcript_biotype:protein_coding MESTEHLSLINRVDKVQVYNHYLHYSVFCLRYKTKQQPCLQNLYNSRWE >RHN53585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2699370:2701263:1 gene:gene28508 transcript:rna28508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate--cysteine ligase MAGKLPAFQGQLPTLRDWENHLSTIYPEVRLRRYMEMRGADVVPQSMLCAPPAFWVGLLYDEVSLHNVLDMIADWTTEDRQYLRNQVPFTGLKTLFQGRLLQHVAEDVLKWAKAGLERRCLNESIFLDPLIEVVGTGMTPADKLLEMYNKKWGSNIDPVFRECCY >RHN77393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6554109:6557798:-1 gene:gene840 transcript:rna840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MAIPSLLCSLLIIIFTSQTKADVVYDEINLYHYVCDQDNNRGNYTTNSTYDKNLNTLLSTLTSNTEINYGFYNFSHGENSDKVYAIGLCRGDIMPNSCRSCLSSARTNLTRNCPNRKEAIFWSEDEKCMLRYSDRLILGVMEDVPMFSSKNENNSSDVDLSNEVVTTLLNNLTSRAVKGDSMIKYAAGSQPGPQYEVIYGLVQCTPDLSETDCNSCLVENLQQIPSCCNNKIGGRVVRPSCNMRFETSYLFYEPRASPPPPPSSPPPPATTNGTTLSQGKSNNGATIGIAVGVPIALVAMVFIFICIYLRIRKPKKTFEEIQEEEDDEEDKIESPEPLQLNFNTIRNATNDFSHSNQLGEGGFGVVYKGRLSNGQEIAVKRLSMSSGQGDSEFKNEVLLVAKLQHRNLVRLLGFSLEGRERVLVYEFVQNKSLDYFIFDRVKKAQLNWEMRYKIILGIARGILYLHEDSRLRIIHRDLKASNILLDEEMNPKISDFGMARLFGVDQTQENTKRIVGTYGYMAPEYAMHGQFSVKSDVFSFGILVLEIVSGSKNSGIRDEENTEYLSSFAWRNWKEGTATSIIDPTLNNDSRNEMLRCIHIGLLCVQENVASRPSMATVVVMLNSDSVTLPMPLEPAFHMDSRNLQDMKSWGHSSAQESVNGSSNTELYPR >RHN40804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21403094:21403978:-1 gene:gene47018 transcript:rna47018 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFVAILSFPLSYAHLQNYDDINSSRALDSLLQDYAFKAFSFRSKTGFPYDADVPNNLTGIKVSALRLRSGSLRTRSVQSYKEFQIPPGVIEQPYVERLVMVYHNLANWSENFYPLPLGYSYLAPVLGLLTYRGVNLNASELPELDIKVSDDKPILITFHDVKPAPYGSVPMCVYFDLHGSVTFDILLPGNVCSTVQQGHFSIVVASADIGKKKNTNVWMIVAWSLFVGCILLILLSILLFVRLRRMKKNQELELESDNGEALRITHVAGTILPVAGAIRTTTGMPMEDVV >RHN80098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35097792:35098064:1 gene:gene3981 transcript:rna3981 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLYNSLKHMHMHSIEVPDGFLKAYASFAVSKPSNEDVQLIVSSVRFKWLESSRWLQLLRVISQRSNLGYSIGHSNYNLKPSYPTACEY >RHN61007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32297287:32300631:1 gene:gene23416 transcript:rna23416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGEEVRTDEERVTEWEKGLPNLHDLTPLSMALIPPELASAFSISPEPHRTLFDVNRASRNTLSLLRSNSGTITNQINQTMSCEIEDIEEDEEPDRDGSGSDSRKHRKIDSVIEEVEAEADSAVRTTETIKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNDAPSSSDHLFASTPVPQSLHETASANHSNSHSHSHSHSHHSVTIPMPYPPPPSSMMSMPPMIGMGHHPHGHMGMSLPPPSGGSSSYRSHPFNMMHHRDWPPNPHSHSHPHMSSNDSNK >RHN79988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34150410:34152853:1 gene:gene3853 transcript:rna3853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L14P MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACAGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIAKECADLWPRIASAANAIV >RHN82485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54171814:54177548:-1 gene:gene6654 transcript:rna6654 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFKGSNPHLVRTMVSFVPVSRVLLSSQSHQLLPNVIRLQDYSRSNNIRKKVSFECSIAYQLIQDYS >RHN75617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43777249:43778367:-1 gene:gene11850 transcript:rna11850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MAEIGKGIDFEDLLPVIANKLGGEGLMKELCNGFKLLMDKEKGVITLESLRKNSALMGLQDLKEDELVSMMREGDLDGDGALTEMEFCVLMFRLSPQLMEESWFLLEEALDHELK >RHN60394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26139623:26144559:1 gene:gene22702 transcript:rna22702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain-containing protein MAPELTSKRQKEEANTGGATDRLSTLPEPLLCHILSFLPTRTSIATLPLVSRRWNNLWKHLDAFHFDSNTDSDSNPNRELRKIFKRFAFFVNAVLSLRKSREIRKFHLSCSISDVFKFSGDCVDMWLRAAIGPHLQELSLEIDNSRGAQLLLLPNSFFHSTNLVSLSLIGSIHVMIQHSSVHFPSLKMLKIDPGIVELDIDIVEFEADIVDSLLEFLSGCPVLETLDTYFDPGFLTKVPVPPSSKRLKFTDRKFSWTCLEIDSDWFDVMNGGSGSGSITKATLGIIGNLQSMEEAYLDVFSLRESEFVDPMLSLLRKRNHDLHLLLRHSTSKAWPLCTPILNYPEFRNLNHLKFILPCFNSNLLLGVLEKCHMLQVLLIQSCKEEEPSPFGTWEPKSTIVPKCLESNLTYIHIEGYQGFEEDLAFAEYILRNGLVLHTMLIFFDTSMDLTNKYRSLKRLTDIPRGSVTCQLKFDSTVSP >RHN55399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17930880:17936505:-1 gene:gene30572 transcript:rna30572 gene_biotype:protein_coding transcript_biotype:protein_coding MQMFVILLLMIKESFKIQPQTLLLRFHKVRNYSCWNCMPQMLLPKRHHQCFLEDFYKLGGCARRILKKKGICMGMYCFSYFVIS >RHN55528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19224879:19227041:-1 gene:gene30724 transcript:rna30724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEDRVCKYCLKRFPCGKSLGGHIRTHMMSENSLQSNEEKRMNANASVNAMFKFDEGRKRKRLDLGSNGGGSGGDDGNLIYGLRENPKKTTRFVHSNAAATVQMEKFCKECGKGFPSLKALCGHMACHSEKDKGANRIESVSGVREKQKLVMDSQSDTEASVQTDSRRSRRMKFKNLSGGGGDNKSSSSLHWGNCSSSVSEVEQEQEDVARCLMLLSRDSTYKGRFPLVTESSDNNSVVVEEKSPSVDTKFAVMNRGKNIVGAKNNGFELAEKKLNKDGKMKSVEIGYVSDNSDSGYFTYGPKKVESDDSSDGFFRNDAKSSKVGYFSSFQDHDFESSKKIISRGRNRNSAEFKKVVLENSSKKTKNGYNNEVYESLKIDRESYSEDSAYESDENSSESDSYPAPKAQSNKNMNGKTNKKSSYKGKKKMKSKKSKEHECPICNKIFKSGQALGGHKRSHFIGGNDDNTLVIRPAAAAHAHAAPAAHAHAAPAPPAFAAAANPSLFDLNLPAPDDE >RHN82165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51633499:51634203:-1 gene:gene6292 transcript:rna6292 gene_biotype:protein_coding transcript_biotype:protein_coding MESPNDKLQKMSGAIIKKRGQNADLLRKQFLASLDLNQPVEIESQDQYNYEVEPTPVETQKRRVNDSMVNENINLTRKLSSESSSNNLHDTMESKDLPTQILGFELGGTFEQDPPTRCVKGCKLCMMFVIVSKNNPNCPRCKACLIDVDNV >RHN65119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64334619:64335356:1 gene:gene28020 transcript:rna28020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MTRNCFDLNFVIAIMSSSQPYRFIPTEDRVSSIPDSILSFLPTKDSVATSVLSKQWNPLWLLVLDLNFDSQTFKDYITFSHVVYLVIVSREITLPIRSLCLKFGKEYSINDINRFINAAIQRGIENLDIDMLEDVNGLTSSIFSCKTLTVLKLLKNLFVKDDNYQVDLPLLKVLCIDIMRFSYQATITKFFLGCPVIEELRTKIAAFYLRKLNPETPNLMRTSIPSQYISLYFLSRVEILRLNMA >RHN61103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32980428:32981942:1 gene:gene23528 transcript:rna23528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAGILKFFYIAIIYVSMYLVVIEGKDGCKTNFDCLIKYPDHNEDILQCIGGHCLCLTN >RHN45415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20285595:20286371:-1 gene:gene39744 transcript:rna39744 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFKMLNGPKYQTLVRHFWVRAHVYDKIVAKLEVTEKILIDPTLEGKSREEMGSKPFVCTEIRSSIMGIPVFISEDSIARVIKRASEGSYKGGIGNIKTNSWNEVVNQSMFNNNKKGAYADLSMEKKMLLKIQNENLLSKGGGSDQPSLEHRIFPHFFITKERANVPKYIFWNMIQQLRESQEKKRCWVPYGRLISEILHHGRILKALSNINFFTDEQLDTETGKVINGKTLGHMNLIPKDAYKNLSTDSNLLQCPI >RHN68977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40032521:40035247:-1 gene:gene17403 transcript:rna17403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L11/L12 MASTLFCTPSLCSSSSSTMFSTPLKLSLKPSGVSLQNKTPLSLPTTQRRFKITAMAPPKPGGGKAKKVVGIIKLALEAGKATPAPPVGPALGSKGVNIMAFCKDYNARTADKPGYIIPVEITVFDDKSFTFILKTPPASVLLLKAAGVEKGSKDPKAQKVGKVTIDQLRTIASEKLPDLNCETMESAMRIIAGTAANMGIDVDPPILEPKQKQLL >RHN67988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31965993:31973583:-1 gene:gene16260 transcript:rna16260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MASFLTDLAKPYVEKLINGAIIESSYICCFTCIANDFEEERTRLEIESTTVKQRVYDAIRRGDDVQVNVRSWEKESDELIQEDTKAKQKCLFGFCPHIIWRYKRGKELTNKKEKMKILIETGKQLSIELPARLPDVERYSSQHYIPFKSRESKYKELLDALKDDNNYIIGLQGMGGTGKTTLAKEVGKELKQSKQFSYVIDTTVSLSPDIRKIQDDIAGPLGLKFGDCSESDRPKKLWSRLTNEEKILLILDDVWGDIDFNEIGIPYSDNHKGCIVLVTTRSLSVCNKLRCSKTIQLELLSDEEAWTMFQRHAGLTEISTKSLLDKGRKIANECKGLPIAISVIASSLKGIQHPEEWDGALKSLQKPMHGVDDELVKIYKCLQVSYDNMKNEKAKRLLLLCSVFREDEEIPTERLTRLGIGGGLFGEDYVSYDDARIQVVISKNKLLDSCLLLEADQSGVKMHDLVRDAAQWIGNKEIQTVKLYDKKQKEMVEREANIKYLLCEGKLKDVFSFKLDGSKLEILIVTVLKDEDCHNLKIEVLNSFFENIKGLRVFHLIHDDHYSRVALSLLRPVQLMKNIRSLLFTCVNLGDISILGNLRSLETLDLNYCKIDELPYEITKLEKFRLLSLEYCGIARNDPFEVIKGCSSLEELYFIQSFNYFCREITFPELKRFFIHSIYWRSKNQLSSRHVSLLDNTYVFLSETTLRYCMQEAEILRLRRMEGGWRNMIPEIVPMDCGMNDLVELTLRCISQLQCLIDTKHIESQVSKVFSKLAVLELEGMDNLEELFKGPLSFDSLNSLENLSIENCKRLKILFECNINLCNLKSLSLERCPMLISIFQLSTACSLMLLERLEIYDCEGLEYIIDERKWQESRSKIVDDNDNKSHGSMFQKLKVLSIKKCPRIELILPFHSPHDLPALESITIDSCNKLKYIFGINMKLDGLPNMIDIFPECYGVHERSSSISGYASKPQTQSGPIKRNIFSWTNVYCCGKKYGHKLRSNTSTEISLVSQDQQQDNLMESNSYPLNIWERAQCLSRQSHILCNVTEITLSNVSKMKSLFNLSTAPRMLLETLNIWNCNEWRHIITIDIGDHHDNTDGNNWGTVFPKLRKLSVYNCAQLEYIIGHYNDDHQNNTKIHLHLPELEDLYLENLPSLVANYPKQYHTTFPQLKKLVVEECPQFIGDFLTHHSVTRSVDDTIIKESSGNMDNFLALETLLVNNNSKVKDVFCLNEINEQQLSLALKDIDLNVLPMMTFLFVGPKNSFFLQNLTHLKIMRCEKLKIVFSTSIIRYLPQLFTLRIEECNELKHIIEDDLENTTKTCFPKLRILVVVKCNKLKYVFPISISKELPELIVLIIREADELEEIFVSEGDDHKVEIPNLKLVIFENLPSLYHAQGIQFQAVKHRLIMNCQKLSLASAIITTDLENDLSGLDSNIYGYIYVLEFVEYLRDLFQQLQTAKGFDAGFEVKASSEHELTSPKETKQTPETEHELVENVPDLEIPTNSKELMNEQSMEQQRLLGEADSAVKPSQECGDCQIAIPSPPIAITNPLTTQDVDININDDQVSVNDDSVIKVTSIVEEQLSKDVEFKVPESKPSPLIPSPQEFQTPSMRSKGDPSQNVEDLSSSLLVKSELEQLVSKNYLDCENLSLLTVFLVKNPSVHLKDTALSNRYKGCAYNLLAELLKFLETHSMLEVLGSCHSKFVELIQDARSFGFDKDWLDGVERRALFPEIKVSQDALQNLLDSKQQVTKDVEVLRLKIGILSEQMEVLSEQVEDLKQQLTSSEAVLESIIQQEVVLHAPIGY >RHN80895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41655267:41660310:-1 gene:gene4873 transcript:rna4873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MDATKTIAICQSGGKFETAKDGTLSYKGGDAHAMDIDDQMKFIDFKVEIAEMFSFSHVSSMSIKYFLPGNKTTLISISNDKDLQRMVKFHHGSSTVEIYIFVEDPLVLEALSTMPASRSSRTTLSETALPINTILNPEGDYAPPDASHDTIPMDTDMDIPLLSLSSNEEKLAKGAQQWQNTITGVGQRFNSVHEFRELLRKYAIAHQFAFKYKKNDSHRVTVKCKAEGCPWRIHASRLSSTQLICIKKMNPEHTCEGAAGTTGHQATRGWVAGIIKEKLKACPDYKPKDIVNDIKQEYGIQLNYFQAWRGKEIAKEQLQGSYKEAYSQLPFFCEKLMEANPGSLAMYTTKEDSSFDRLFVSFRASLYGFQQGCRPLIFLDSIPLKSKYQGALLAATAADADDGVFPVAFAIVDAESDDSWHWFLLQLKSELSTSVPITFVADRENGLKNSIAEIFEGSFHAYCLRYLTEQLFRDLKEQYSHEVKRLMIEDLYAAAYAPKPEGFQNCMENIKRISVEAHNWVMQSDPQNWANSFFQGTRYNHMTSNFGELFYSWASDADDLPITQMVDVIRGKITELIITRKAESDQWSTRLSPSMEEKLKLEIQKSPSLQVILSADSTYEVCGDSSELVDIDRWECSCKTWQLSGLPCCHAIAVIVAIGRSVYDFCSRYCTSESYRLTYSECINPIINMDVPAAIEPLVTVTPPPTRRPPGRPAAKRYGSQDLVKRDLHCSRCKGLGHNKSTCKDELYLSNT >RHN47756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42288380:42291198:1 gene:gene42393 transcript:rna42393 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFVNQGKEYADARPSYPPQLFQFIASKTPSHNLVWDVATGSGQAAKSVISYIVQKCHSHRCE >RHN41005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25656767:25658026:1 gene:gene47273 transcript:rna47273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MERQNKRVVDTDRLSDLPDHVLLHIIESMNIKQSVQTCVLSKRWKDLWKHLTNLKFHHSYPDNSDMFCKFVSQILSGRNHYIPLHSLDFEHEDCIDFEPKTTLLEVMRYAASSHNMQQLTVYARIRKISDLELPPSIFYSRSLTYLKLGFWQIYGSNSGKTTIPKLLNLPSLKTLHLRCLTFTTSDDGRAEPFSACNMLDTLVIICCYLQENAQVLCISNSNVSSLTVGSNYVYDEAHNYNVVLCTPKLTSLTASGRPTFEAPSTHGLPFLEEVNIDYTYPYRPCEDSIMISWLQLLANVKIMTLHFKTLVEILCILKMGAQPPCFVRLKSLKVELKSNDDISNGKVRKIVKILLRNSPPAKVDIIRKG >RHN43059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42902051:42906719:-1 gene:gene49582 transcript:rna49582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSVEDLPRKEVNVLKGHEGGVLAARFNSDGNYILSCGKDRTIRLWNPHRGIHIKTYKSHGREVRDVHVTTDNSKLCSCGGDRQVYYWDVATGRVIRKFRGHDGEVNGVKFNEYSSVVVSAGYDQSLRAWDCRSHSTEPIQIIDTFADSVMSVCLTKTEIIGGSVDGTVRTFDMRIGREISDSLGQPVNCISMSNDGNCILAGCLDSTVRLLDRTTGELLQEYKGHTNKSYKLDCCLTNTDAHVTGGSEDGFVYFWDLVDASVVSKFRAHTSVVTSVSYHPKENCMITSSVDGTIRVWKT >RHN67542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28116632:28120760:1 gene:gene15740 transcript:rna15740 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVFLLACSVVCHSITNDILQEDLQDTSGFFIDQTTDSLNTTSSCQSTNSRLGDTLDVITKNLSMPLCTSLSESLTSLTTT >RHN64507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59623500:59624991:1 gene:gene27327 transcript:rna27327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MCGARAVLTISLLVLVTSEALTESCPTSEWASLMSFKAALNEPNFGIFHSWRGTNCCYGWYGISCDPTTHRVAEITLRGLTVGDNHRRYKYTKTTKNGYMTGHISPSICNLTRLSSITVSDWKGISGNIPGCITTLNFLQIIDLSGSLISGKIPYDIGRLTQLMVLNLADNHISGRIPTTLVNLFNLMQLDLRNNAIGGPIPGNIGQLKKLNRAFLSHNRINGRIPRSISNIYGLADLDLSLNRLSGPIPFSLGQMPVLDSLNLKYNKLTGRIPRTLLASRMSHLDLSRNALRGPIPDVFSETSYFINLDLSRNNLNGLIPKSMALALYIGHVDFSHNHLFGKIPVASSLSHLPPASFVYNRHLCGKPLQPCTHSHIHKHE >RHN50693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9539361:9539753:1 gene:gene35015 transcript:rna35015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MSPFTQSLLVICVLTFLSMHNVIGETTDRQIVVYNSLEGRLNLTLRCQSKDDDFGFHDLQPDEHFGWNFNVNIIHTTLYFCSVKWNNEFHHFDAFRYNRDRYRFVLYWYIKEAGPCVVSAEGINGCYPWK >RHN41511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30643707:30648675:1 gene:gene47843 transcript:rna47843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LisH family MELSSVKDAFDRVTKKQKLSSSKAQEMIDQISHEIETVIESLQSVNNTVQVLDSKTVLNELKASLLKISPIGQMESTQKELNVALSKYGKHLEKSFPDISKAYRNTNFDIHTLNQIIANHFYRQGLFDVGDHFLSAVGEPESAASMKSPFLEMYQILEAMQNQNLEPALNWAATNSDKLAQSGSDIVLKLHSMQFVKLLQNGGSRDEALHYARTYLSPFATSHIAEVQKLMACLLWPGKIEKSPYHALLSPSNWDRLAEELKRQFCNLLGQSYNSPLSVTVAAGIQVLPALLKFMIVMKQEWQSMSQLPVPIEMDSEFQFHSVFVCPVSKEQATEENPPMLMSCGHVLCKQSILKMSKNSTKVFKCPYCPFDIDAAQCKQLYL >RHN56210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28786243:28786623:-1 gene:gene31575 transcript:rna31575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGMITGKRALDDSQQDNVINLAEWFIKQSFENVIDPAMKMDEEGLESFRTIAELARHCCEKEPNKRPDMRYVVHVLAPLVEIWKPAEPDADDMHGMDLPMSLPEEMSKWKNLEGMSSILEDTIQEN >RHN77173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4637282:4644297:1 gene:gene599 transcript:rna599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease D MASSSPPPPSQSYSSATPSYQFITHLPIAPSSPSEKVEEEEPRVPIHVVTKASQLPVDFLEPSPHSKIVIGFDCEAVDLCRDGALCIIQLAFPDAIYLVDAIEGGSVLIEACKPALESDYVTKVIHDCKRDSEALYFQFGIKLNNVVDTQIAYSLLEEQEGQRRLPDDYISLVGLLADPRYCGLSNVDKEEVRVLLRQDPNFWTYRPLSEQMIHSAADDVRFLLYIYHQMMAKLTERSLWLLAVRGALYCRCFCVNNNNYADWPSLPPIPDELKVDGNGPEEEILSVLDVPPGKMGCIIGKKGASIMSIKESCNAEILIGGPRGPADKVFIIGPVKQVKKAEAMLRGRLLYI >RHN46655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33791194:33792996:1 gene:gene41174 transcript:rna41174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor OFP family MGNYKFKLSDMMPNAWFYKLRDMGRTKNQTPTTQQRKKKQSSSSTTSNTSKSKQPHQSNPRKSYYFTRELNQTNNRIYNTSPPNNTNFPPEPPRKSSSKQQRPKRRTPKTSSSSPFDSPLDSSAESEELPEPEIRTDRVLLPTNDIIIDVDNNSIVETRQDDKLNEYDSLSELMKLPPIVTKPEKFNYLLNYAKKKETKPRSKNSSNDEHNVKGSPKVKILKEEQRNINTKTPSRRFSVSSPGVKLRINSPRISSRKIQTHGRKSTSSTIISAGNRRSLSDSFAIVKSSLNPQGDFRESMVEMIVQNNIRTSKDLEDLLACYLSLNSDEYHELIIKVFKQIWFDLTDNQ >RHN48286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46320049:46322346:-1 gene:gene42988 transcript:rna42988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MESKFQLFFLTLFLLILNIHAQTLGTYIVQLHPHGTTKSLFTSNLEWHLSFIQQTISSDEDPSSRLLYSYRSAMDGFAAQLTEYELEYLQKNPDVISIRPDRLLQIQTTYSYKFLGLNPAKQNGWYQSGFGRGTIIGVLDTGVWPESPSFNDHDMPPVPKKWKGICQTGQAFNSSNCNRKLIGARYFTKGHLAISPSRIPEYLSPRDSSGHGTHTSSTAGGVPVPMASVFGYANGVARGMAPGAHIAVYKVCWFNGCYNSDIMAAMDVAIRDGVDVLSLSLGGFPVPLYDDSIAIGSFRAMEKGISVICAAGNNGPMAMSVANDAPWIATIGASTLDRKFPAIVRMGNGQVLYGESMYPVNRIASNSKELELVYLSGGDSESQFCLKGSLPKDKVQGKMVVCDRGVNGRSEKGQAVKEAGGAAMILANTELNLEEDSVDVHLLPATLVGFDESVTLKTYINSTTRPLARIEFGGTVTGKSRAPAVAVFSARGPSFTNPSILKPDVIAPGVNIIAAWPQNLGPTGLPDDTRRVNFSVMSGTSMSCPHVSGIAALIHSAHKKWSPAAIKSAIMTTADVTDHTGRPILDGDKPATAFATGAGNVNPQRALNPGLIYDIKPDDYVNHLCSIGYTKSEIFSITHKNISCHTIMRMNRGFSLNYPSISVIFKDGIRRKMFSRRVTNVGNPNSIYSVEVVAPQGVKVIVKPKKLIFKKINQSLSYRVYFISRKRVKKGSDTMNFAEGHLTWINSQNGSYRVRSPIAVSWNSK >RHN68976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40022846:40024709:1 gene:gene17402 transcript:rna17402 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSEDTSKEDLQVLKMLFVLNKKRVAISSYFKGGKVSTRIQQESHKANKYNRCSHWVYRN >RHN81888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49583479:49583910:1 gene:gene5984 transcript:rna5984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-methanol-choline oxidoreductase, FAD/NAD(P)-binding domain-containing protein MFNVAPKHTFIKEATFAPPILTYDYIVIGGGTCGCPLAATLSLLVLERGGSPYTNPEQINIHNFVNSLADTSPSSFSQQFISTDGVLNSRARVLGGGSVLNAGFYSRASYSYIRDSGWNETLAKISYEWIEKKVAFEPQMMQW >RHN58728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4330453:4336883:-1 gene:gene20665 transcript:rna20665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MQKMKWNKKKVPIFPFIVLLLLVFIAFSTLHNGSTIHKFHENPLHIHQEQASSTTYVKPNLPNHLKKSQEILDRYSRCNSTVGYSGRKIARRGGSKSSSNRRVSSESCDVFSGKWVFDNASYPLYNESDCPYMSDQLACNKHGRTDLGYQHWRWQPHDCDLKRWNVKEMWEKLRGKRLMFVGDSLNRGQWISMVCLLQSEIPADKRSMSPNAHLTIFRAEEYNATVEFLWAPLLAESNSDDPVNHRLDERIIRPDSVLKHASLWEHADILVFNTYLWWRQGPVKLLWTDEENGACEELDGRGAMELAMGAWADWVSSKVDPLKKRVFFVTMSPTHLWSREWNPESEGNCYGEKKPIDVESYWGSGSDLPTMSSVENILSSLNSKVSVLNVTQLSEYRKDGHPSIFRKFWEPLRPEQLSNPSSYSDCIHWCLPGVPDTWNELLFHFL >RHN48704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49578502:49578975:-1 gene:gene43447 transcript:rna43447 gene_biotype:protein_coding transcript_biotype:protein_coding MFQCIGFYHAAILNNHVIKGGESLWELAKRTYGAFANSKNNDKHFTDMADMNFLMSKAIENPGLTSSLRTSIMSVFEDTVVDDDGMMQQEVGVEDYMGCASVHGLGPSMGIFDTIRDGRLDCVCVYPAPLHAREQMQELINKMKAILIEGGKTYEEY >RHN49526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55400305:55403411:1 gene:gene44367 transcript:rna44367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MYLLECDPEKFEIGSNNHVKLTPIFKLPLRSFRDKRDDINNESKHPFRAARLVSGKNDENSDRGRQSLYIACNRDFDKFDIVNSCNGLLCLSDPSLGNPLVICNPVTGEFIRLPESTAYRTRVRMQGQAGFGFQPKTNEYKVINMWVRHVKRANAWEFERVILEINTLGTPSWRNVEVDPHISFSSLEYPTCVNGALHWIRFEGQQRSILFFCFESERVQSFPSPPHVFGNHNNGILGNRHISMGELKGFLYICDSTFASDVSMWVMNEYGIEES >RHN61499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35954464:35957122:-1 gene:gene23972 transcript:rna23972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MDLLSSTYITLFTLLFFTPKGITGSTFTFVNKCDYTVWPGILANAGSPTLGSTGFELPQETTRTFQAPAGWSGRFWARTGCNFDGSGTGSCLTGDCGSNMVECNGAGAAPPATLAEFTLGTIGQDFYDVSLVDGYNLPMIVEGSGGSGMCESTGCTSDLNQQCPAELRASDGSACKSACEAFGSPEYCCSGAYGSPATCRPSIYSEMFKNACPRSYSYAYDDASSTFTCTAADYTVTFCPSSPSKKSSQYPTTPMTSGAGTVIGTPEVGTGSENGVQYTGTDTPIGYSVPVNSGSGSVSNSGSGGETMLADGSYLAGLAMGDSSTTTSSAFVYSFGFFLVFFLL >RHN40436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16278079:16283834:-1 gene:gene46585 transcript:rna46585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MDVQAFFTYDVFLSFRGSDTRYGVTGYLYKALTDKGIRTFIHDSELQIGDEITPSLFKAIEESRICIIIFSTNYASSSFCLDELTAILYSYEEERNGRVVFPVFYHVESSVIRHGRGSYGDALAKHEERFMKDGVHRVKKWRTSLCKAANLSGYHFTGDGYEHEFIEAIVEYVCKQVTHVVPLHTADADQAVGIEPRVNNVNSLLDLGSDNVLLLGIYGMGGIGKTTIARAVYDSISDQFEGLCFLNNVRDDSDKFGPMHLQETMLYETCGLKIKLGGVNEGIRIIKQRLSKKKVLLVLDDVNKLMQLEVIAGAYDWFGPGSRIIITTREHHLLVMHGVDIIYEVDELSEGESLDLLCRSAFKTNKVDPSYADILKRVFTFTSGLPLALRAVGSYLFGRNINEWESALDLYERIPHNDIQTILRISFDSLEEEEKDIFLDIACFFNGDKLEYVEDILLARYGVSVRYSIRVLIEKSLIYIDKGLVTLHNLVEDMGKEIVRQESPKEPGERSRLWFVNDVVQVLEDNSGSAAIEILFLDFPIHAMNSNGSKGGEVNWDGEALKNMRNLKTLVIRNGSFNQGPTHLPNSLRVLEWWGYPSPSLPNDFHPKKLAMLKLPESCLRISEPIQGVINLTVLDFSYSDWITHIPDVSGLQNLEKIYFKQCENLTRIHESVGLLEKLRILNVVHCKKLSALPPIRLTSLEHLNLSHCSVLESFPEILGKMENLTELHIMGSPIKELPYSIQNLIRLRKLELHICGMVRLPSSIVMLPELSLMCVSKCQRLWFSEQDKGEELESKSSKTEHLTLSYCNISDELLPIGLAWFANVKDLNLSGNNFETLHACIKECPFLRNLKMDYCDNIQEIKGLPWKLESFSAKGCTSLKYMDLAGESHSLRELILDDCVFLREIIGDLPNLNHFSAKNCVSLTSQCTSMLMNQESVEAGNKMFSLPGKKIPDWFALQSREESTAFYFRNKFPAVSVCLVIGHLDEKPIAVNFSPKVFINGNKLSSGNQFVYNFRVATDHILLFDLRLLKFEDNGDALFLDNEWNHVVVSYVDHITDNEVPIRVVSKYSGIHVFNQISGMEDIRFTIPQKTLINATLDSTNSMMVPSQKIMTKRPEEDQTVVLSPTLKSIQSPSPTSEVVKGLVPLPTFPVKRPLPDVGEEISENLSDEEVCVTAIQKDLPVIQRCSEGDDVELESISCEEKYSSNTEGSDSDDPFDLVNRKLYDSGMATISSGERSGDASMRSIREAINGLELLMVNDLSEVSSDPAKLSELHQLLDLLSTSSHPKVTVEVKEAIVEFKKNVFLSFQEFQSAAESVNKLKNFERQLAVIQQKTMEGKGQRTDLKNTIKKVSLAMTAEISRKKELEAENATLRIQLDTNERELEQVVLNLKNQEEILSTYSTSCASLNEQARALLKQTDDLLAASSGIKQDGEAAELKQSRIKLTWSIDLTSQLNKMKKTILGFFE >RHN40258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14629990:14630658:-1 gene:gene46383 transcript:rna46383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S3 MGQKIHPFGFRLGTTPNHDSIWFAQPKNYSENLKEDKIIRDCIKNYIQKTSGVEGIGRIKIKKRINLIQVIIYMGLPTLLMEEFIAGQLKNRISFRKAIKKAIELAEQTCTKGVQVQIAGRIDGKEIACVEWIREGRVPLDKCSKVIYFMYNFPSLCHRNNRLFFHRCIKSQLFLWIFRR >RHN46589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32981337:32981594:-1 gene:gene41091 transcript:rna41091 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWNVEALTCVKSPTSDRTWSDNVFISGGNPHLTSRYCGVVLDPTTISKMVSEPPPRSVGPPAIRFPLSAFGITASLVYQVSVI >RHN72851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11230653:11232257:1 gene:gene8613 transcript:rna8613 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYTIWTLFYSVNFHTSMVIMFSHINHKPFLGAIHDSLSLLFL >RHN50613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8581224:8581496:1 gene:gene34921 transcript:rna34921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MVHYGKLTPPIYNLSNIPKNVPIFISYGGRDALSDVADVKRLLNEHFRNHDTGKLSVQFIDNYAHLDYVMAANANEIVYKNVTSFFQRQW >RHN81410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45669242:45674961:-1 gene:gene5448 transcript:rna5448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MAASGPSWLVDKRRIATKIKRASEATEKVIWESNPTRACPNCQHVIDNSDVTQEWPGLPKGVKFDPSDQEIIWHLLAKVGEGNLEPHPFIDEFISTLQMDDGICYTHPKRLPGVSQDGIASHFFHRAIKAYNTGSRKRRKICGQDFGDVRWHKTGRTKPILLNGLQKGCKKIMVLYMTLESGGKAEKTNWVMHQYHLGRQEDEKDGEYVISKVFYQQQQAKLGEKDDQNVPETTEATVVKVDPVTPNSVTPEPPRNEKHCSDVDQEQESHHSPQILPNLSRLEWLLESQDDCEELAKTDSSMIETQTNVGVDNFDLSHNLLDSQQLESQDDWEELAKTDSSTVETQTNVGVDNFDLSQNLLDSQQLAEGLSLFADFFQSQSPKRDGESGEQMERPSLSVYAHLGAEQLKKDIEECQNLELNTTKKNDIEECLNLDVETEKNLNLDTANVELDSQPSDIRLSQLEFSSQDSYIAWGGCKTIN >RHN40538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17307189:17311129:-1 gene:gene46698 transcript:rna46698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MVLAGKLITELGIKSPGEKFFKLFASELHEVHKVCERVHHAKLHEGEDWHHNDSVKHWTYVIDGEVHTCNEQVEEVDEQNKKITFKLFGGDIENYKVFKLILEVIIKDNGTSALRWTIDYEKNNENVDTPNGWMDYLSKSTRDIDGHLVKGENVVL >RHN55512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19121996:19123453:-1 gene:gene30705 transcript:rna30705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative remorin MKQQSELERGRKKSLEKYVNLVADGAIAKAEESRKKLQSELERGSKKSSEKFQKKMKYVNIVADRARAKAKECRPKKEKLQVKGKASTIPSAELLEELIDKYPVVLEAKI >RHN54155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7377189:7397719:1 gene:gene29146 transcript:rna29146 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLRKFFLLAISTLLFLAATSLGSPVKTQKSGRLSSVFSLFNLKEKSRFWSEDVIHNDIDELKFPNHGKVSAFNYTNSGNIANYLKLQEVDSIYLPVPINFIFIGFEGKGNQEFKLLPEEIERWFTKIDHIFEHTRIRHEEVLTAFDKTSVDKMQRRPLHVASHINYNFSVHAIEMGEKVTSIFEHAIRVFGRKDAPVGSGDNDGGDWQVDVDMIDGLFASLVEYLQLENAYNIFILNPKRAEKKTKYGYRRGLSESEFNFLKENKTLQTKLLQSEEVPENTLAFTKIQRPLYVKHPMMKFAWTRAEDSEIVEWYNIWLETLNNFGKLHQGKEIAQIIEAKALQLLKGKDQDLKLFLERILKSGDYGGFQAECLTDTWIGKNRWAFIDLSAGPFSWGPAVGGDGVRTEASLPNVERTIGSASEISEEEAEDLLQDAIHEKFAVFGDKEHQAIDILLAEIDIYELFAFKHCKGRKGKLALCDELDERMRDLKNELQSFEGEEYDERHKAKAIETLKRMEGWNLFSDTHEEFQNYTVARDSFLAHLGATLWGSMRHIVSPSVSDGAFHYYEKISFQLFFMTQEKVRHIKELPVDMNAIKDGLSSLMVPSQKPMFSPHMLPLSDDPDLAMAFAVARRAAAVPLLLVNGTYRKTIRTYLDSSILQYQLQRLNKHGSLKGRHAHSRSMLEVPIFWFIHSEPLLLDKHFQAIALSDMIIVVQSEPSSWESHLHCNGHSLLLNLRQPIKAAVAATAEHLAGLLPLHLVYGQAHETAMEDWIWSAGCNPFSATSQGWHISQFQSDSIARSYVITTLEESILLVNSAIHRLLMERTTQNTFKIFQSQEHELVNKYNYVVSLWRRASTVTGELRYVDALRLLNTLEDASKRFVEQVNTTLTLLHPINCTRERKMQMVFDMTTIPAFLIVLGCLYMVLRPRRPKPKIN >RHN46862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35393158:35395601:1 gene:gene41397 transcript:rna41397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLGSGTNLVTTVIGFGMSATFIVFVCTRIICGRLRGGVESRMMYEIESRYDIEQPEHHGNDPGTEPVLIEAIPTLKFNQEAFSAIEDTQCVICLADYKEREILRIMPKCGHTFHLSCIDIWLRKQSTCPVCRLPLKNSSETKHVRPVTFTMSQPLDESHASERNTDIERHGETNAANSIQPTSGESEARQ >RHN40891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23854635:23854796:-1 gene:gene47135 transcript:rna47135 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLMISSFNFIWCVLLLVLCAVRIHVEANGWLNAHATFYGANQSPTSLGMTF >RHN48479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47766662:47767091:1 gene:gene43199 transcript:rna43199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MTKYLLIFLLPCSGDLYFDLPFTGTQSMVKKLAEDSGLNLTLPHRSWGKPYQTDGWIEGYGDGMLSFALIKGSGHAANFQPKRSLVLLEAFLEGKLLLKAFLEGKQAPPKLIYF >RHN49804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1213780:1215320:1 gene:gene34025 transcript:rna34025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MELEEDHLSCLPKIILHCILSKLPEKERTRTSVLSKAWLDTWYTFPILYFHSNQIIGMSPPPMEDSVRKRKILEFGDYVKRRMLMFRDQSLAIKKFRLNLNGFVVRYMSKDVDNWLKLACECGVEVIQYSQQILEGQEQYHVLPISVIEAKSLTKLVLQGNIKIDPVFMNYSIKFFSLRELSLTRVLFGDEHAINQLISFCPLIEYITLDLCEVLSSGGGTRYMEYVSISGLQNLKSVDVSAIQDVSIDASSLENFCYNTKNYGAPSKIDFDRCRNLKELYLWSVESTSTNKWFLELFPKFPFLESLKLNNCKMPKKIDISSVRLKRLEFMHSSNLKELNIDSPNLISFGYSGWGASEPTISFLKNSSQLEARIHIAVEYKDLCNLREFVQNIKPNNVLPSLSVAIAESYVDALGLVVSSPPPNIKKLVMQGSGIWGYERHLFLFLVNILLSSCCPETVSFRFDLFKFSKTFIEVCHCLF >RHN58748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4473514:4477380:-1 gene:gene20689 transcript:rna20689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative OTU domain-containing protein MAQKLLNDSENDDNILKQLKDGTAKFEIVSSPVASVVSRFNRNSSLFGVGNCSTHFFARIGSSIGGQSTAMKKLERFSVQKVTGDGRCLFRALVKGMAHNKGMALNQREERENADELRMAVKEAICENVGDRKLYEEAIIAVTVDEPLQRYCRRIGQPDFWGGESELLVLSKLCKQPIIVYIPEHEHRGGGWGSGFIPIAEYGSEFKKGSSRKAVRLLFSGKNHYDLLL >RHN48559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48466373:48472923:1 gene:gene43290 transcript:rna43290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MVVKKEGIKEAICNVMNEEDQESKERRERANELSEIAKKAVEKGGSSYLNITLLIQDIMQQQLSIKVET >RHN50447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7200840:7201007:1 gene:gene34730 transcript:rna34730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Lambda-DB family MQTRMDKKLTQAQLAQIISEKPQVIQEYESGKAIPNQMIIGKLERVLGAKLPGKK >RHN75806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45246775:45248829:1 gene:gene12059 transcript:rna12059 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLKNRMDFKGSDNSEFCGVGEKSIWHPVSYDDADYDDDVPLSRRLSHMSNLVTRFSNRDIPLKKRRTATMPLSVKRPFYNSSSLNDSVEKSKASQYGNQDKEKDDAPLSRDFVSSKMKKQFEERVRDLQLKEKRCAERAVELEAKEKLFEGRVKELKLKENRLKGEVKEFELKLEKFHWQTKELESKKKNFDSRVKELNSKERQFKGWVKQLELKEEQFKGQVKELELEKKQFEEQLKDIRSKEKLVEVQVKEFDGRGKEFESKEDGFNARKQKLKGFVSQMEDLKSEEKHFEGRGKELKSNDKMFKVDAKVLNPKEKQTKSNKFDEETELVTSYIGNQLSPDIDERSLMLLSCEQTDELELFDDDILGNLQGSSDPSKVVLDIIQNPIIKKCKIGDDAVIIDDSHILLLKELRKISLDIKPHPI >RHN71732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2303010:2303887:-1 gene:gene7364 transcript:rna7364 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSCISVKSTCPPSGTFQSKFQTKLATKYSKWSKAKLSPGQILLPAPNGIIFISLLPVMSKVSSFKNLSGLNSNGSSQTRGSNAISASKKFTVPFLGTK >RHN40191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14044056:14046293:1 gene:gene46311 transcript:rna46311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MSGDEESVTPKYTSVKHDYDTADKKTDSGKAPKFNGDPEEFSWWKTNMYSFIMGLDEELWDILEDGVDDLDLDEEGAAIDRKIHTPAQKKLYKKHHKIRGIIVASIPRTEYMKMSDKSTAKAMFASLCANFEGSKKVKEAKALMLVHQYELFRMKDDESIEEMYSRFQTLVSGLQILKKSYVSSDHVSKILRSLPSRWRPKVTAIEEAKDLNTLSVEDLVSSLKVHEMSLNEHETSKKSKSIALPSKGKTSKSSKAYKASESEEESPDGDSDEDQSVKMAMLSNKLEYLARKQKKFLSKKGSYKNFKKEDQKGCFNCKKPGHFIADCPDLQKEKFKGKSKKSSFNSSKFRKQIKKSLMATWEDLDSESGSDKEEADDDAKAAVGLVATVSSEAVSEAESDSEDENEVYSKIPRQELVDSLKELLSLFEHRTNELTDLKEKYVDLMKQQKSTLLELKASEEELKGFNLISATYEDRLKSLCQKLQEKCDKGSGNKHEIALDDFIMAGIDRSKVASMIYSTYKNKGKGIGYSEEKSKEYSLKSYCDCIKDGLKSTFVPEGTNAKTAVQSKPEASGSQAKITSKPENLKIKVMTKSDPKSQKIKILKRSEPVHQNLIKPESKIPKQKDQKNKAATASEKTIPKGVKPKVLNDQKPLSIHPKVQGRKSKTSKANPKGPMKIWVPKSELAKNAGMLKGKRETKVMVPRQRMFKAHDWRESFVPYPYNERWRRSEVWWQPNWKDHWYRYYW >RHN47213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37960506:37970095:1 gene:gene41779 transcript:rna41779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MSGSFFSLHTMVTLLFLFMFLLETVHGTKKCYIVYLGAHSHGPRPTSLELEIATNSHYDLLSSTLGSREKAKEAIIYSYNKHINGFAALLEDEEAADIAKKRNVVSVFLSKPHKLHTTRSWEFLGLRRNAKNTAWQKGKFGENTIIANIDTGVWPESKSFNDKGYGPVPSKWRGGKACEISKFSKYKKNPCNRKLIGARFFSNAYEAYNDKLPSWQRTARDFLGHGTHTLSTAGGNFVPDASVFAIGNGTVKGGSPRARVATYKVCWSLLDLEDCFGADVLAAIDQAISDGVDIISLSLAGHSLVYPEDIFTDEVSIGAFHALSRNILLVASAGNEGPTGGSVVNVAPWVFTIAASTLDRDFSSTITIGNQTIRGASLFVNLPPNQAFPLIVSTDGKLANATNHDAQFCKPGTLDPSKVKGKIVECIREGNIKSVAEGQEALSAGAKGMLLSNQPKQGKTTLAEPHTLSCVEVPHHAPKPPKPKKSAEQERAGSHAPAFDITSMDSKLKAGTTIKFSGAKTLYGRKPAPVMASFSSRGPNKIQPSILKPDVTAPGVNILAAYSLYASASNLKTDNRNNFPFNVLQGTSMSCPHVAGIAGLIKTLHPNWSPAAIKSAIMTTATTLDNTNRPIQDAFENKLAIPFDYGSGHVQPDLAIDPGLVYDLGIKDYLNFLCAYGYNQQLISALNFNGTFICSGSHSITDFNYPSITLPNLKLNAVNVTRTVTNVGPPGTYSAKAQLLGYKIVVLPNSLTFKKTGEKKTFQVIVQATNVTPRGKYQFGNLQWTDGKHIVRSPITVRRK >RHN67017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22872887:22873634:1 gene:gene15147 transcript:rna15147 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHISQYCLLAILCIALVLTLGPTPSLSNCIYPMLCDSFVICDARCRGFGFLRGVCSDFPGTMSCCCVQ >RHN51618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21095946:21097373:-1 gene:gene36094 transcript:rna36094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase large chain MSPQTETKATVGFKAGVKDYRLTYYTPDYETKDTDILAAFRVSPQPGVPAEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRSYHIEPVAGEESQFIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPVAYVKTFQGPPHRIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIYKAQAETGEIKGHYLNATAGTCEDMMKRAVFARELGVPIVMHDYLTGGFTANTTLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRMSGGDHIHAGTVVGKLEGERDITLGFVDLLRDDFVEKDRSRGIFFTQDWVSLPGVLPVASGGIHVWYMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAREGNEIIREATKWSPELAAACEVWKEIKFEFPAMDTI >RHN82471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54034092:54036445:-1 gene:gene6640 transcript:rna6640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S3, eukaryotic/archaeal MATQMSKKRKVVADGVLNAEMHEFLSRVLAEDGYSGVEIRVTPIRTEIIIKATRTQAVLGEKGRRIRELTTLIHKRFNFPENSVQLYAEKVSNRGLCAAAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKHGDMLSSGQPVKDYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPKTPLPDIVTIHTPKEEEVYNRPATILPTTNIEVPIVA >RHN44593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6590242:6593013:-1 gene:gene38731 transcript:rna38731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor/ chromatin remodeling BED-type(Zn) family MGRKRNEDCRKHVTEVENKNKWICNYCSIEYSGGASRIEAHLGLNGKGGGIRRCSHYHEGIQNNKNMASTSSNPPEAVINRLYSTQYQVAEGVPQVIGTHTRSSVNHPNNAEIMNLFQGVNVSGGNRNVSESENNQLNQLVIDLECDESRGKKRKPEVDASLEELLLADENKPLTLPTEFVGSKLDENIHEVLKLLADDKVFVIGIYGMGGVGKTLLATLVENEVKRKATFKHVFWVTVSHNYSISKLQHDIAKRIGVKLDEDDERIRAENLSLALKKKGKSVLILDDVWKYIDLQKLGIHPEVNGIKVILTTRLEHVCYQMDCHPYAIIQMFPLSCYYKEYGSEGSDEQCFHPDEFTS >RHN39284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5575797:5576920:1 gene:gene45294 transcript:rna45294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MDSSLVEWKELGEEGSADNENEWEDRKVGRRKNFLVRRMELVKHFIRTNIEPEWMVLSLLPVLPPELRPIIQIDGGKLMSSDINELYRRVIYRNNTLIDLLTTSRSTPGELVMCQEKLVQEAVTFLIRGLIRKHFASNIGVAKSKIREKEPIVWEILQEVMRGHPVLLNRAPTLHRLGIQAFQPILVEGRAICLHPLVCKGFNADFDGDQMAVHVPLSLEAQVEARLLMFSDTNLLSPAIGDPISVPTQDMLIRLYVLTSGNRRGICANRYNPFNCRNSKNEKISNNNSKYMKKKRTLFLQFL >RHN56877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34416365:34417891:1 gene:gene32341 transcript:rna32341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MIGMSAIVSTLLAGAVIGAAVGGWINDRFGRKRSIMIADTLFFIGSAIMAAATSPAILIVGRVFVGLGVGMASMASPLYISEASPAKVRGALAPGTWRWMLGVAALPAILQLLLMFWLPESPRWLFRKGKEEEGKAILRKIYPAEEVDAEIQAL >RHN65465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2456265:2457470:1 gene:gene13306 transcript:rna13306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MMVELTAINKQFLCVLPTLSSSGHSLYDPPLPTLPFDLVAEILCRLPVKLLLQLRCFCKSWNSLITDHKFAKKHLSLSTTRCLHCVSYTGFPYLYVLKSYPLGPVLNNLTTNITEYEYSPYNIHGDHPRLCVDCFVGSCNGILCFTAGIYKISVILWNPSIRKIKEFPLFQKPNWSFTHMAFGFGYDSFNDNYKVVVVLQGLIQDSSGNIACKTEVKVHTSITNCWKNIQEFTFGSILPEQSGKFVSDTINWLAVIDFDGRSPRFIISFDLEKESYQKVLLPDSGGVNVCNFLALFVLRDCLCVTYGDSDFDSVLKDVWIMKEYGNKDSWTKLFTLSCRVDRGVSHISAKPVYLFEDDQVLLKLTGAFNSFVYDSRSGTLKSSDFQNILGVSVESLISPCS >RHN43599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47130071:47136358:1 gene:gene50205 transcript:rna50205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative quinol--cytochrome-c reductase, Mitochondrial processing peptidase MYRNVSSRLRAYRARSCNRVPATTRFASSSSVSPKQSSSALGGLFGWLTGSSTPSAPPLDFPLPGVTLPAPLPDHVAPGKTIITTLPNGVKVASETSPSPAASIGLYVDCGSIYETPLTFGATHLLERMAFKTTVNRSHFRVVREVEAIGGNVQASASREQMGYTFDALKTYVPEMVELLVDIVRNPAFLDWEVNEQLLKVKAEIGEASKNPQDLLLEAIHSAGFAGALANPLLASESAVNRLNGTLLEEFVAENYTAPRIVLAASGVEHEELLSIAEPLLSDLPSVPRPEDPKSVYTGGDYRCQSETGRTHFALAFELPGGWHNLKDAMVLTVLQMLLGGGGSFSAGGPGKGMYSRLYLRVLNEYPQVHSISAFNNIYNNTGIFGIQVTTGSDFVSKAIDIAANEILTVATSGQVDQVQLDRAKQATKSAILMNLESRMVVSEDIGRQVLTYGERKPVEDFLKAVDEVTLKDIASISQKLISSPLTMASYGDVLYVPSYESVSSKFRSK >RHN81720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48448388:48451572:1 gene:gene5803 transcript:rna5803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MDIFENRKIRFINWGSPETTEDHPASGSCSVSFVRSVFTKSNQNIIFPMEAVCLTCGDVGFPEAIVFCTECQACALHRYCLDGPVIFTDEVIWFCEDCEPEAKRLKTNSGNLARIAIQSRAKLKYCVKGLKKSKQQNKKEMEEKPSKTLSSNSRCSTELEHPQSDTSHNQESESKNECGPVPTDAANSNVDSKPVQISQATATDDLIIALEGHVDAKPIADPIWRGNLIFCDKSKTIGRVNGLLAHLSNIASPKVLDEMKFFPHVLSADLLPRSEVWPNSFKEEGPTDESIALYFFPGNRRLSIKAFDKLVDDIICTEAAVRVVTENAVLLIFPSDLLPIRHQKFQTKYYLWGVFKKKQTSHETNGDVC >RHN40502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16994028:16994726:1 gene:gene46659 transcript:rna46659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MHDDCSPSIVHRDISSKNVVLDLEYVAHVSDFGTAKFLNLDSSNWTAFVGTFGYTAPELAYTMEVNERCDVYSFGVLTLEILFGKHPGDIVSTLLQSSTVGLTSDAMSLTAMLDQHLAFLTNDIAKEVVSIIRIAWHCLTESPHSRPTMAQICKEIAISKSSSS >RHN44434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4715328:4716771:-1 gene:gene38555 transcript:rna38555 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDYNYIRDLNKDSDIWKIGFRLLESWTVVGSNGNQHVKLIIGDAKGDRIHVITRFRDFELWKSLIEESKTLNCYKTILLPSQSWCQNF >RHN56592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32097701:32098541:1 gene:gene32020 transcript:rna32020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTETLKFVVTKKKTLKFVYAMILFLSFFLIASEVGGSHFGCETDADCPRSTDKNFFLRCINKKCEWAAKRH >RHN41775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32831449:32838212:1 gene:gene48133 transcript:rna48133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MHFPMDFAKHITTKPPILFTRLLTWITSTLPRYRHIPPPQPPPPPPQPPSPPPTTLPSILAHKVLDSSKCKTLIPNLTPHEFEHSFFTHHTTVNLKTTLDFFSFASKNFKFRFTVRSYCILIRLLLASNHIPRAKFTLKRLIEGNANTPLKKTDARLSEIASAFLELGERSHGELDLLIYILCSQFQHLGFHWAFDTFMLFTSKGVFPSLKSCNFLMSSLVKSNELHKSFRVFDAMCRGGVLIDVYTYATAINAYCKGGKIDEAVGLFLKMGEGGVLPNVVTYNNLIDGLCKSGRLEEALMFKGRMVENKVNPSLVTYGILVNGLVKFEKFDEANSVLVEMYSKGFSPNEFVFNALIDGYSRKGNMDDALRVRDDMTLKGLKPNAVTHNTLLQGFCRTNQMEQAEQVLEYLLSNVLSVNEDACSYVLHLLCKSSKFDSALKIVKALLLRNIKVNDSLLTLLVCGLCKCGKHLEAIDLWFRLADKKGLAANTTTSNALLYGLCERGNMEEVFPVCKEMVERGLVLDGISYNTLIFGCCKSGKIEEAFKLKEKMMKQGFKPDTYTYNFLMKGLADKGKMDDVGRVLHEAKDHGVVPNIYTYALMLEGYCNADRIDNAVSLFNKLVYNKVELSYVVYNILIAAHSKAGNFTEAFKLRDAMRSSNIHPTIFTYSSIIHGMCCNDLVEEAKGIFEEMRNEGLMPNVFCYTALIGGYCKLGQMDQIESILQEMTSNCIQPNKITYTIMIDGYCKMGNTKEATKLLNEMIANGISPDTVTYTVLQKGYCKENELEETLQGDTAVPLEEITYTTLVDKLHPHSDAALSNRE >RHN49353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54209391:54215107:-1 gene:gene44173 transcript:rna44173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CRK7-CDK9 family MGCVIGRQASSNKGSGAQTNRIKVDEASAATTASNGEEKNVVEIENDQKKKSDDSVQRSRRSKPNPRLSNPPKHLRGEQVAAGWPSWLTAVCGEALTGWIPRKADTFEKIDKIGQGTYSNVYKAIDSMTGKVVALKKVRFDNLEPESIKFMAREIIILRRLDHPNVIKLQGLVTSRMSCSLYLVFDYMEHDLAGLAASPVIRFTESQIKCYMNQLLSGLEHCHNRRVLHRDIKGSNLLIDNEGILKIADFGLASFFDPNYMNPMTSRVVTLWYRPPELLLGATDYGVGIDLWSAGCILGELLVGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRCIRETFKGFPPSALPLIDKLLAIDPVERETASDALRSEFFTTEPYACDPSSLPKYPPSKEMDAKRRDDEVRRQRAASKAQVDGSKKHRTRERSMKAMPAPEANAELQSNIDRRRLITHANAKSKSEKFPPPHQDGQLGFPLGSSHHIDPDTIPADISFTSTTYTYSKEPFQAWSGPIGNAADIGVSKRKKYTAGEAWDLLKPHTGAPKDKFKGKAIIA >RHN60739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30049319:30049561:1 gene:gene23096 transcript:rna23096 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRNELDKKKRSHKRPLANIRKRPARRGMIPESIVLLVDFAIEKNLGLGFIWGWRESFQSSSMGDNMWTEELFNLVVNA >RHN61180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33700635:33706440:1 gene:gene23618 transcript:rna23618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rab-GTPase-TBC domain-containing protein MNKPPRENHERNNNNNNTVTTLDSRFSQTLRNVQGLLKGRSMPGKVLMSRRSEPSDNLNSKVSSTFYKRSFSHNDAGTSDQISGAVEEEVQSTSKSVSAANVSKLKSSTSLGENLSEDIRKYTIGARATDSARVTKFNKVLSGTVVILDNLRELAWSGVPDYMRPKVWRLLLGYEPPNSDRKEGVLGRKRGEYLDCISQYYDIPDSERSDDEVNMLRQIAVDCPRTVPDVTFFQQQQVQKSLERILYAWAIRHPASGYVQGINDLVTPFLVVFISEHLEGGIDDWSMSDLSSDKISNVEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPISQHIEDQGLEFLQFAFRWFNCLLIREIPFDLITRLWDTYLAEGDALPDFLVYIFASFLLTWSDKLQRLEFQELVMFLQHLPTQNWTHQDLEMVLSRAFMWHSMFNNSPSHFAS >RHN45323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16553656:16554940:-1 gene:gene39593 transcript:rna39593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde dehydrogenase (NAD(+)) MTLELGGKSPFIVFEEADIDKVVEIAHFALCFNQVYIPCLIFSFSSSVLVLI >RHN44560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6196783:6201651:-1 gene:gene38695 transcript:rna38695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-II family MMKPRRVISLLCFVFFLFLCFSSSHALLSSKGVNYEVQALMSIKDSLVDPHGVLENWDGDAVDPCSWTMVTCSSENLVTGLGTPSQSLSGTLSPSIGNLTNLQMVLLQNNNITGSIPSELGKLPKLQTLDLSNNFFNGEIPTSLGHLRSLQYLRLNNNSLVGECSESLANMTQLVLLDLSYNNLSGPVPRILAKSFSIVGNPLVCATGNEPNCHGMTLMPISMNLTNTQDSVPPSKPKGHKMAIVFGLSLGCLCLIVIGFGLVLWWRHKHNQQAFFDVKDRHHEEVYLGNLKRFSFRELQVATNNFSSKNLVGKGGFGNVYKGVLSDGTVIAVKRLKDGNAIGGEIQFQTEVEMISLAVHRNLLRLYGFCMTSSERLLVYPYMCNGSVASRLKGKPVLDWGTRKNIALGAARGLLYLHEQCDPKIIHRDVKAANILLDNYYEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRALEFGKAANQKGAMLDWVKKIHQEKKLELLVDKDLKSNYDKIELEEMVQVALLCTQYLPSHRPKMSEVVRMLEGDGLAERWEASQKTDTSKFKHQESSLSDRYSDLTDDSLLLVQAMELSGPR >RHN54500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10101735:10102055:1 gene:gene29555 transcript:rna29555 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVHAPLPKEVFKLLHKSCLVLFCSRLISVIIMISIQEKHVLR >RHN78229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12970889:12971392:1 gene:gene1763 transcript:rna1763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MLHLCVFAFENINIFLKFLLQRIPKKYVEKFWKRISNPIFLQFPNGVQQKIFWVESNGDIWFQKNWENFAKFLKYGYLLTFKYIGGSYFKVKIFGANTLEINYSNIKSVEEVVEAKEGVEVSGDSDKSLGEGEIPLQAQRTIKNGKRKNSVDLYTTQPIFSGEFFLS >RHN57150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36871143:36874658:1 gene:gene32653 transcript:rna32653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 2-beta-dioxygenase MASSTHTHQILPQNLHGGGSTSAPPPTPSTTTTNNNHLSTSTAADALSRLLHRLPPNLSLPTIRRSSPTTTSPPSLSFSSLTPEKLISSISQLGFIQLTDHSVSSKLANLAESESLKLFNLSHDQKESFFPQNWPFGYEGDNDNDEEKLVESFRFQFDSLCSTESNQIKLESLSEFACALEKLGLNIIDVLMNGLGVENPVGDDSNRFSSIMWVSECLPGNKPGSMGGFYPFIVGLQYQIRCQKYSLLSDSGGWVSVLPHVDSILVTVGDVAQVWSNGKLKKVRGRPIMAALGDENDSSCITMSLLITLPLESNVAPLLPIGNKNKVEDDIDNDEEENNIGGEGQKRVFNSIDFEDYAWRVYHERLLFKDPLDRYRITQ >RHN62708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45307782:45312958:-1 gene:gene25309 transcript:rna25309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MNTIEVETINNKMEPSRPHVVCVPLPAQGHINPMLKLAKLLHHSGFFITFVHTQFNFDRLLQSSGQNSLKGLPDFRFETISDGLPPENQRGIMDLPDLCSAMPIGGLISFRNLIAKFVSSENEDVPPVTCIVSDGVMNFTLKVAQEFNIPEFMLYTPSGCGMLGYINFDELQKRGYFPLKDEKNVCDGYLETEVDWIPAMRGVKLKDLPTFFRTTNSNDTMFNYNRESVNNAMNAKGVILNTFQELEQEVLDAIKMKYPHLYPIGPLSMLHKKNSNSSSNNQLDSIDFNLWKEDVNCMNWLDKKDKGSVVYVNFGSLVIMTTKQLREFAWGLANSKYNFLWVIRPNLVDCGDEVISNDEFMKEIENRGLILGWSPQEKVLSHSCIGGFLTHCGWNSTLESICEGVPLACWPFFAEQQTNCFYACNRWGVGIEIESDVNREQVEGLVKELMKGEKGKEMRNKCLELKRKAEAATSIGGSSYNNYNSLVLKLKFEK >RHN72140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5316175:5317398:1 gene:gene7820 transcript:rna7820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MMKLRSLFFASILQLLILTLYYSTTTSAQISPVQSPQASTPAPGFNTVPLVPVTPSGAPTPNILPKSPSIDIIQILKKAKRFSVLIRLLKTTQLINQLNSQLVTSSSSDSSSGGLTLFAPEDNAFSKLKPGFLNSLSDRHKVELLQFHTLSSFISISNFDTLTNPVQTQAGDDAKRLQLNVTTSGGSHVSMTTGTVNATVTGTVYTDNKLAIYQVDKVLVPLDLVLPAKAPAPAPVSKGDSAKPDDRSKSSSAKDDDDENNDLPAEASGAGYSNVDGMWLALVFGMVLLAGEAML >RHN61065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32642223:32643468:-1 gene:gene23478 transcript:rna23478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ctr copper transporter MDHDMDHGMAMAPKSSSSTNDTMMMGQMHDMMHMTFFWGKDALILFDNWPAGNSGKYVLALILVFAMSILIEFLSSTRFIKPGSNPIVAGLVQTLLHVLRVGLAYLVMLALMSFNGGVFLVAVLGHAVGFFFRSRAFKKPHQDENFDLPPLSC >RHN56464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31041396:31043496:-1 gene:gene31870 transcript:rna31870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heptaprenyl diphosphate synthase MFSLTLPMPLLLKTAITTNHVHRGITQIQTHSRYSSKLVTKRVTTVVAFQDRVDGETSVDGDFPEVEPLPKELQADLMPKHVAVIMDGNGRWAKMRGLPASAGHVAGIKSLRRMVMLCYSWGIKVLTVFAFSTDNWIRPKVEVEFLMSLFERTINSEIEALEREGIQISVIGDTSKLPKSLKSMIASAEESTKENPKFQLILAVSYSGKYDLVQACKSVAQKVQDGIIHLEDINENIIEKELETNCTEFPYPDLLIRTSGELRVSNFLLWQLAYTELFFNRKLWPDFGKDEFIEALTSFQNRQRRYGGRY >RHN70990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55665662:55672146:-1 gene:gene19630 transcript:rna19630 gene_biotype:protein_coding transcript_biotype:protein_coding MRYKHPLNLEQYDSVRNMASDIHAYAPDARILTTYYCGPNDAPLAPTPFEAFVKVPSFLRPHNQIYCTSEWVLGNREDLVKDIIAELQPENGEEWWTYVCMGPSDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATVASAEIKFRHGLPPGDGVLYYPGEVFSTNQPVASLRLERLLSGLQDIEYLRLYASRYGRDEATALLDRMGVYFGPERYTHEHMPIDAMRGQIFNLCR >RHN76531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51010911:51013189:-1 gene:gene12873 transcript:rna12873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CBS domain-containing protein MLGSSIFSHNSNNISIKNNLLVLRNNQRKIVNMQNQMKDYNQMQHCESMNLKEKKVKDLMVNKKRLVEVPYTASLGQTMNTLVANKVVAVPVAAPPGQWIGAGGSMIVESDKQTGAVRKHYIGMVTMLDIVAHIAGDDHLSGGDDVEEDLDQRMSVPVSSIIGHSFEGLSLWTLNPNTSLLDCMEVLSKGVHRAMIPTDSQIENVSAGVELIESSSSYQMLTQMDVLRFLKDHGNELQSTLHSHSVQDLGAITERIYAITERTKLIDAIKCLKAAMLNALPIVRASDVGDDDHKQHINGRCRKLVGTFSATDLRGCYINTLKSWLGISALAFTEQIATSPLYTTSDTQNDIGTPKRELVTCYAESTLSEVIDKAVANHVHRVWVVDQEGLLVGVVSLTDVIRVIRQSMLSDADA >RHN44941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10206441:10206976:1 gene:gene39127 transcript:rna39127 gene_biotype:protein_coding transcript_biotype:protein_coding MEANRKIRSRGILKGKIAPLFRTTKPSSTLEYTTTNVKPNHSSPSPASHVVVVQKDYAKPSPKVSIVVTDYWNENNFPTDESVDLKAAIYISMVQQRLKAEQKQVQEN >RHN41640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31688583:31690631:1 gene:gene47982 transcript:rna47982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MGNFSDNHQVWSLYGESCPEESIPIGRTKEQDILRAGSISKFGRKLNQIKMDSNTIEHEHSIGYVTGNYKGAKADINVWVPNVEIEGEFSLAQIWILSDPFGDDRNTIEAAKSRVSFTILNYFSQSCVVFPQLYGDNRPRLFIYWTADGYKNTGCYNLLCPGFVQTNKNVVIGGTITPTSTYNGGQFEITLLIWKDQDNGNWWFGYGTEIVGYWPSSLFTQFNDNAHAIQFGGEIVNSKSKGSHTSTQMGSGHFAEENYGKAAYFKYLQVVKSDNSFHPLSEDPKYIANKPNCYNIKGGSSKDWGKYFFYGGPGRNENCP >RHN48763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50046941:50047724:1 gene:gene43515 transcript:rna43515 gene_biotype:protein_coding transcript_biotype:protein_coding MERCKKLESEFQVYFDLHTMFDYNTIQDLPNTLPLQSQFATGFDQFMLHYKGAIIRSIRVKFPLGNEHRDAIDRLISKGIAC >RHN81754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48680599:48682260:-1 gene:gene5839 transcript:rna5839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MKKVVLKLEINEDRIKQKAMKAVSGLSGVESVSIDMKDKKMTLIGDIDPVRVVAKLRKICYAEILSVGPAKEEKKEEPKKDDKKKEDDKKDTKKENVIIDPFIFYGAPAYYNHRMKPQYNPYYNSAVSVEEDPNGCVII >RHN46864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35400045:35400778:1 gene:gene41399 transcript:rna41399 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEQPHSSSLSELIVSLEQATFMAKQLPSSNPSHLNQIHTSLHQAHHHLTAFLSTLQSPPVPENSVSSANGAAPMQLGDDGEDDDGDDEETSKCTIDKVEQKFRDCFIKNKRPKRPLSPSAAARVEEKRLSNDGFVKDYDPHAVKLRALDLVYQFHG >RHN77897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10295694:10296143:-1 gene:gene1401 transcript:rna1401 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGREPTLHLRNNTADSMKQHQRMAVEGGRETDNDQFDESGRFQFPDAIFISCSTYAIKSS >RHN48953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51334415:51335090:1 gene:gene43728 transcript:rna43728 gene_biotype:protein_coding transcript_biotype:protein_coding MTIITNISSNNRFTIIMITKSSPQTKSRVKIPSMITRTKSTSQINLLPITNIIHSLPNTCFLEIHKNTSKKSILSLLFQMNTTRPITNV >RHN72561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8623330:8630158:-1 gene:gene8287 transcript:rna8287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MAARTVKRRHVSTKLSGKLLILFSVSIFCVVVLVTLALFTLNSNSSSTIRRISNVVFDGPPKIAFLFLVRQNIPLDFLWGAFFQNGDVSNFSIYVHSTPGFLLDESTTRSHFFYGRQLSNSIQVLWGESSMIQAERLLLSAALEDPANQRFVLLSDSCVPLYNFSYVYNYIMVSPKSFVDSFLDAKEGRYNPKMSPKIPREKWRKGSQWVTLVRKHAEVIVDDDIIFSVFKRYCKRRPPIDTRKGKLNLKLQKQHNCIPDEHYVQTLLALHGLEGELERRTVTYTLWNQSTTKTDNKGWHPMTFSYANAGPKRIKEIKDINHVYYETEYRIEWCHTNSTFVPCFLFARKFSRGAAMRLLGDGVIDHFEVSALLANNNP >RHN79323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27606712:27613761:-1 gene:gene3100 transcript:rna3100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MAMSHSLLRLASSRFTKPLHLFSFSFSFSTTSTQKPPPIQVSLTDTVGRAVFATRPIPTGDLIHTAEPAVCHPSPSAPHPVCYSCLARLPNLSSPFCSHRCRTLTQEYYDVEMKANWMDFNNYCRNRGLKFPFLVKRLACMIISGVARSDSLDILQPASLTPEMILEMEEGFNLLRNAFTKALIADEQIAFLTKQWYISVLARIRINAFRIELAGGGSYEDLLSSAFASVEAEAAVGNAVYILASFYNHDCDPNAHIIWIDNAVAKLKALRDIHEGEELRICYIDASMDRDARRELLFQGFGFQCNCSRCLHGD >RHN40276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14759639:14760103:-1 gene:gene46410 transcript:rna46410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NIF system FeS cluster assembly, NifU MATLTPICSIPAELNLSIQQKQHNFNFALFNLKNPKQNSRTSTTIIHLSFTANQNQSSMGLYSAKKFDLTAPNVDLVLEDVRPYLISDGGNVDVVSVENGVVSLKLQGVCESCPSSTTTMKMGMKRVLKEKFEEVKVLKKKNHVTYATLGITLT >RHN50931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11960101:11960511:-1 gene:gene35287 transcript:rna35287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MPAISVPASQVHDWLLHNIASALEYTAERTSSKENGPVSVSNHDVAMTDASTVSVKVSTSARGGNKAVIIPHSMRVLYFLHFMSWCSKYIKAIVNMGGAFLGVRKTIAALFLAEARDIVVARYISFLFKSTIQYLN >RHN43994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:601404:609243:1 gene:gene38038 transcript:rna38038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CK1-CK1-Pl family MGDESGGLSANKGVAQEDEGNTTPFPERVQVGGSPMFKVERKLGKGGFGQVFVGRRVTGGNDRLNGPGATEVALKFEHRNSKGCNYGPPYEWQVYNTLGGSHGIPKVHYKGRQGEYYVMVMDMLGPSLWDVWNTTGQAMSAEMVACIAVESLSILEKMHSRGYVHGDVKPENFLLGQPGTAQEKKLFLVDLGLATKWRDTSTGQHVEYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHKGRLPWQGYQGDNKSYLVCKKKMGTSPEMLCCFCPTPFRHFLEFVVNMKFDEEPNYSKLISLFDSVLGPNPALRPINTEGAQKVGQKRGRLNIEEEDDSQPKKKVRLGVPATQWISIYNARMPMKQRYHYNVADGRLAQHVERGIADGLLISCVASCSNLWALIMDAGTGFTNQVYKLSPFFLHKEWIMEQWEKNYYITSIAGVTNGSSLVVMSKGTQYTQQSYKVSESFPFKWINKKWREGFHVTSMATAGSRWGVVMSRNAGFSDQVVELDFLYPSEGIHRRWDNGYRITATAATWDQSALILSKPRRRPADETQETLRTSQFPSTHVKEKWSKNLYLACLCYGRTVC >RHN69275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42267767:42275526:-1 gene:gene17720 transcript:rna17720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MGAGEEIDHHDVEKNQADAKQKAIDDWLPVTASRNAKWWYSAFHNLTAMVGAGVLSLPYAMSHMGWGPGVTILIMSWVITFYTIWQMVEMHEIVPGKRLDRYHELGQEAFGEKLGLWIVVPQQIVVEVGTCIVYMVTGGKSLKKVHDTLCPDCKEIKTSYWIIIFASVNFVLAQCPSLNSISVVSLSAAVMSLTYSTIAWGASLKKGVAPNVDYGTKAHSTADAVFNFLSALGDVAFAYAGHNVVLEIQATMPSTPENPSKKPMWKGVIFAYIGVAFCYFPVAFIGYYMFGNSVDDNILITLEHPTWLIAAANLFVVIHVIGGYQIFAMPVFDMIETLLVKQMEFAPTFALRLSVRTLYVALTMFIALGINRELPCIIWLKLKKPKKFGLSWTINWICIVIGVMIMTLSPIGAMRNIIVQAKSYKFFS >RHN70846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54430774:54433273:-1 gene:gene19474 transcript:rna19474 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKAARKIKTEKYEASIASSSQLLQDSRNVVRASENHANKDVADAVDIDIHNRPLSPETLKLMCDELDEMFFEQERLILTKFRDVLGELIILGSIKETMHSSSVKKDVSIEKTPKNNGDSGAETKGILLNNCTANCSIPVATYARTNGHDTTDLSLRLPAD >RHN49417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54662773:54667133:-1 gene:gene44244 transcript:rna44244 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVTGIQVMDKKPNGLASNGSFGDRIRVSPKIAKMVQAMDHEIKESAEGNSFVEKHQERKDVLNTKSTKLNAGLSEEKNEKSEEPKMEGDKELTSPTAITIPVDKEHTSPSAPQQSDQATEKHVTHTQTVDTEAVANGQDLSPNANNTHSPNTNNTHSPNSSKNSQSNSPFSSRKLAQHDKKHHDDEDNWSVASSSVASTRKVTVGTAPTFRIYERAEKRKEFYMKLEEKNRALEEERLQYEARRKEEEEAALKQLRKSLVIKAKPVPSFYYEGPPPKTELKKLPLTRPKSPKLSRRKSLGDAVISSPEVCTRVRHSISSNPKSGFGTPVTQKNKDLSMGRNSNGACKTKERTKTVKETKASPPKATEQTNADISVQ >RHN77079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3829805:3834602:-1 gene:gene490 transcript:rna490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spermidine synthase MRGAFSTNKTMGEAPEMFYVNGFAKVVPEVQPNHVNNVDDSSTWYEEIIDDDLKWSFKLNSVLHKAISEYQDIALLDTKRFGKALMLDGKMQSSEADEFIYHECLIHPSLLCHSNPKTVFIMGGGEGSAAREALKHKSMEKVVMCDIDKEVVDFCRKYLVANKEAFADKKLDLVINCAKAELEKRKEKFDIIVGDLADPLEDGPCYQLYTKSFYEKVVKPKLTENGIFVTQAGPAGIFSHKEVFTSIHNTIKQVFKYVVAYGTHVPSFADSWGWVMASDQPILIDAVEMDKRIEERIKGKLLYLDGAWFHSSTIMNKAVSKSLKNETHVYTEDNARFIPGHGIACRP >RHN65392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1715454:1725603:1 gene:gene13225 transcript:rna13225 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVGVEVAIERRRDSTQSVGYDVVLHEDLMKFVGVEVAIERRVKKRKTRVNFVAMFDFEGLEENTRFCFVVGCDL >RHN56508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31440115:31440873:-1 gene:gene31916 transcript:rna31916 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPRFISIAGLVCAMFTICIPHLSFLGIWLGFSTVLRLAYIVIAFIDTHLINVLLCEENNFDTKHGIKGNALAFKNLSFRVLVRRANTTSNKNKLTSIRKLWHLINIWFYGIMSVAATIAALRLIVLDFKTYHVFADLWLINSIFFHQF >RHN43070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42974950:42975460:1 gene:gene49593 transcript:rna49593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGATRQKWSSEEEVALKAGVVKHGVGKWSKILKDPEFNHVLYIRSNIDLKDKWRNMSLKANGSSSGDNSQLAIKRVRHQAPEQRDNSMAVNLVTTIDDEILDVQPLQVKTDMLEIKGPERSIKRLAPYFLFCLVW >RHN61891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39166863:39168197:1 gene:gene24403 transcript:rna24403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSQRLRMDDDYTPINDLPDTYLHQILSFVSIKDVAIITMLSKRWYSVFLSQPILNLDDSHFRDPSTVRKFFESMIAARDNYHPPIRIPLSHSTSNVTTKFVSAALNRGLQISLLCVTILRDPDVDLPLLKVLHLESVTFGRPVYLSKILLACPIIHDLVTKDLALTRLIRTLSGSRPIDTSLSKLVRANISGLHIYFDQLHNVEHLRLHMTWRYNISAIFHNLTYLDLTFDLPPLLRETRKAKWIWLLNLLYKFPKLQTLIIDEVDTYNNDVAGEWEDREKQIVPDCLLYHLTTCSLRSIRSINCELQFAKYIMQNSGVLTTMKIQFAKSAETASKHQMFNELSSLCPARKCQLLFINLNDN >RHN47181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37718948:37719453:1 gene:gene41747 transcript:rna41747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative copine MICFRNILCNIYMTFVQVIRRNDAQHGSLSSQKLHTVDAIVEARKFPLSIILVGVGDGPWDMVKEFQDNIKMQTRTFHNFKVDNVRSTDPSSIRCVAVSDTCQCSHLYDTHMTRVGLPQTGILIYLFLYRHFLGRCLTLV >RHN81522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46699339:46702968:-1 gene:gene5581 transcript:rna5581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-alpha MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKGRYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFAPTGLTTEVKSVEMHHEALTEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKEIEKEPKFLKNGDAGIIKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKAVEKKDPSGGLKQTKSALKKK >RHN45297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14778649:14779574:1 gene:gene39548 transcript:rna39548 gene_biotype:protein_coding transcript_biotype:protein_coding MQERAQTKTIKPRLCFCFKPRQLPEFNKEGEGSNRGTKEKSLTPGTRVTSSVVQSKASLSAEILTTYENTSPNIQHGIQHERNY >RHN57673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40932333:40936241:1 gene:gene33252 transcript:rna33252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MASAESSPLMSFDHVQASVTEFARGFNHSYYQPLHLAILNGDWESTKAFLDNDPSTLTAKITILGRTALHVAAVGAQWKLVEKLVQYMPANMLSELDLMGCTCLHYVAMGESVDSAKTLAAKYPSLTQVTDFKGFTPLIYSITSTRCKDMVWYLVLSTTDERPGCPFSGPSASQLVALLTAAGFHDITMYLLQRYPNLATISDSNGSIILNVLSKLPSHFQSGHKLGFWKRCIYHCVPVELEHLPPNQSSHHQSYFGNTIWDALQTLVPSIKLVRDTKLRHVNAVRLVEFVSSQASNLNDCQFWQSFVSADIIFSATSSGIVEILRICFRFFPDLIWTHMPNEGYVAQIAIKNRQQKVFSLLCKMPIIFKLLVLALDESQNTTSHLAARLASQVESISGSAFQMQRELQWFKEVEKLDHPLHKEVKNQEGKTAWQVFKEEHKALLEEGKNWMKDTSNSCMLVATLIATIAFAAAITVPGGNNQDKGIPIFLSDNTFMVFVVSDALALFSSMASLLMFLAILNARYTEEDFMMALPERLILGMASLFFAVVTTMVAFGAALSMLLKERLTWAPIPIALLACVPIALFAKLQLPLFIEMVISTYESQF >RHN71824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2837176:2837670:-1 gene:gene7461 transcript:rna7461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MNLLGLLGIHVERGVNLAIRDVISSDPYVIIKMGKQKLKTRVVKKNLNPKWNDDLTLTISDPHTPIHLHVYDKDRFSMDDKMGDAEFDISPFVEAVKMRLQGLPNDTIITRVQPSRQNCLAGESHIVLKNGKVVQKLVLRLRNVECGEVEIQLHWIDIPGSKAL >RHN60947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31766492:31768302:1 gene:gene23349 transcript:rna23349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MEGENNNEMNEEGSNGNNSISSSSRWNPTKEQISMLENLYKQGIKTPSAEEIQEITARLRVYGHIEGKNVFYWFQNHKARQRQKQKQESIAYFNRLLHRPQPIYPSPICPNAMRAPYCVPQPQSHEISYYPQNPKVLLPAVGYRRNHAEKVMSNSNGMSNICNSPMVYNENMQQRILNPNYDFGYSNQETLDLFPLHPTGILEGKSTDQVSSIVSVSADSSTDTHSGSSHHEINQDHGYNGNKPFFDFFNNSAGQGS >RHN73330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15451933:15457316:1 gene:gene9131 transcript:rna9131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MASPLEIDEENDNKGSMWDLEQKLDQPMDAEAGRLRNMYREKKFSALLLLRLAYQSLGVVYGDLGTSPLYVFYNTFPRGVDDPEKVIGALSLIIYSLTLVPLLKYVFIVLRANDNGQGGTLALYSLLCRHANIKIIPNQHRTDEELTTYSRTTFHEKSFAAKTQRWLEEQKFIKSTILILVLVGTCMVIGDGILTPAISVLSAAGGIKVNKPEVDSGVVVLVAVVILVGLFSIQRYGTDRVGWLFAPIVLLWFLLIGGIGIYNLWRFGGSVLRAFSPLYVYRYLRDGRKDSWLSLGGILLSITGTEALFADLANFPVSSVQIAFTLVVFPCLLLAYSGQAAYLVNNLHHSQDVFYRSIPEKIYWPVFVIATLAAVVASQATITATFSIIKQALAHGCFPRVKVVHTSKKFLGQIYIPDINWILMVLCIAVTAGFKNQTQIGNAYGTAVVLVMLVTTLLMILVMILVWHCHWILVVAFTGLSLIVECTYFSAVLFKIDQGGWAPLAIAGVFLIVMYVWHYGTVKRYQFELHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKNYHIFRCVARYGYKDLHKKDDDFEKKLFDNLFMFVRLESMMEGCSDSEYSLCEPQTEQSRDFMLNNNGNTTSLSNMDYSISSVDSIVPARSPTNVNVTFQSSNSHYTEVDELEFLNNCREAGVVHILGNTVVRASRNSRFYKKIAVDYIYAFLRKICRENSVIFNIPHESLLNVGQVFYV >RHN76649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:87295:91102:1 gene:gene11 transcript:rna11 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MGGDFLSMFHLETKRLIWLIGITFSIILAFQYVEPNYGNVLLSLFSADRIPTSSESTDTNVTILNHANFTDELSIQKANATIDTVSITGFVLEPILSQNKSQEKVNSYSPSPENAPTNLSPPFGSTTNVGPNITTAVLSNDDNITNSIKNESFSPSSQNGGNVQDKSSSISSTVPKERQEIHVPIKEVTTVSEMNKLLLQSHASYRSMKPRWFSNVDQELLQARSEIENAPIVKNDPNLYGPIYHNVSMFKRSYELMEERLKVYVYREGARPILHSPFLTGIYASEGWFMKLMEANKRFVTKNPKKAHLFYLPFSSRMLEEALYVKNSHSHKNLIQYLHDYVDMIAARHSFWNRTGGADHFLVGCHDWAPSETKLRLANCIRSLCNADVKEGFVFGKDASLPETYVRNAQIPTRDLGGNSFSKKTTLAFFAGSMHGYVRPILLKHWENKDPDMKIFGKLPNSKGNSNYIHYMKSSKYCICAKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLDWESFSVIVLEKDIPNLKNILLSIPEKRYLSMLMRVKKVQKHFLWNKNPVKYDIFHMILHSIWYNRVFSATS >RHN59841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13909566:13912463:-1 gene:gene22002 transcript:rna22002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MEETEKGLLFTSATSEIIVAHDVNVVVGETLDGSVEFGGKVHDEVICGDSVKGAGDVTVARPVTSLLSEMLETIDSILYMPIGRNDNIDDIEEMSNFENDKFDMKSNIVDIMETIDLILNMPIGNDKVDGKANIVDEVVCGTEVEKCNAKEQIIAEKDTSGVSALNAEQSDLLKRMLIDVEDQQGTERSKTMEQTAKVKGLSAAIGSEEIFDVNAIVEKDTQISEQWSHVPLHDGKEKLGEESNMMQKVVEKECVSKQIGSDGGQEIEEEFNEAGKRKPTNGKAVKHALVKPGSSETVHQSRYLLPTVKKEGEFSFSDMVWGKVKTYPWWPGQIFDPSDASERAMKHYKKDCYFVAYFGDRSFAWNEASKLKDFRAHFSTMEKQRSRSKSFQNAIDCALDEVSRRVEYGLACSCIPKDTYDMIKSQTVDNTGIRQEISITRGVDESQNVDSFSPMKLIEYVKTQSKLPTSGLDRLELVIAKAQLLAFNRFKSFSCLPEIQRCGGVDKDNLLFVDDEQDLCEVIEHATLVVNTEDQAGPRNLKNQSSTHQKRKCNFKDTMHPAKKEKRMSDPMNVTPDSRDRDCWTPNQLVSPEHSKRRSAMDHFDDDFEMQIGKKTISGMQR >RHN44357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3816890:3817197:-1 gene:gene38467 transcript:rna38467 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRKIHDNEKIRIKGRKSKSSVEEGCKHIENRFPKTKKGPKANVMSIKKTNLVGSIVICEPKESEIEGINNV >RHN41736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32498873:32503823:1 gene:gene48088 transcript:rna48088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKIQSVFLFLLFLWAQIHLIFSITDPQDVTVLMSLKDAWQDTPPSWDKSDDPCGAPWEGVICNKSRVTSLRLSTMGLKGELSGDIGGLTELRSLDLSFNKGLMGPISPQLGDLSKLNILILAGCSFSGNIPDRLGDLSELSFLALNSNNFTGKIPPSLGKLSKLYWLDLADNQLTGPLPVSTSITPGLDLLLKAKHFHFNKNKLSGTIPPKLFSSHMVLIHILFDGNDLSGSIPSTIGLVQTVEVLRFDRNFLTGEVPSNLSNLSNITELNLAHNSLSGPLPDLTSMDNLNYVDLSNNSFDPSKAPIWFSTLSSLTTL >RHN71869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3213836:3234663:-1 gene:gene7512 transcript:rna7512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative digestive organ expansion factor, predicted MKKRQLVAPTSESNLKRIKKEDKGIKKKKEGRRVEKETNVDIIKSLNLLEDDHDGEGSDIDSPISNVAGSLQQNSDDNDSGLIEGSETEEDNEFSHSDQEDIRTNGGATSEDSVDLSSFDLHLQHNLSKEEIDNQKNLKFSWDVPVIGVSNCTWRGTGQNILKDFNINSCPGLKEKLYEHWMDVSKTSGGKDINSPKQKMFFSLCSSYRDILHCNKRPFYLKGLEDTSIMDAYIMHSLNHVFKTRDCVKKNDSKLARLDECADIERFRDQGFTRPKVLILLPFANVAYRVVKRLIQLTPLAYKVNVEHMDRFSAKFGGEEDKGDKENGEELENAYPQVSSKPYDHNLLLGGNNEDDFMIGIKFTRKTVKLFSDFHTSDFIIASPLCLLNKIEETECNKEKDVDFLSSIEVLIIDHADVMAMQNWSHVHTVIEHLNRLPSTLPRTDVMRIRRWYLDEQARFYRQTIILGFYSNPDINTSFNQQCSNYEGKVKLKCKYKGVMQKVLPKIQQVYVRFNVKSIVDADDERFNYFVTKVFPRINDSDQGGTMIFASSYLEFIRIRNFLKSQNASFCLFGEYMAKKDIFSARRLFTEGKRKIMLCTERAHFYHRFKNIRGVKNLIMYSHPERKEFYPEIANLLDGSENPLCTALFSRLDRSRLERIVGTTWANRMVSSTKPVFVFCY >RHN50759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10190095:10196462:-1 gene:gene35084 transcript:rna35084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteamine dioxygenase MKEDIGGISMKMEGSLVEKNEERVRNVEKVGYMKRVIVKKRKPYHSNKVKKPMSNKALQKLFVSCKETFKGPNTVPSPQNVHKLCHILDNMKPEDVGLSKDLQFFKPESIFRENPRVTYTTIYKCDNFSLCIFFLPSKGVIPLHNHPGMTVFSKLLLGQMHIKSYDWVDPDVSHNLLKQPSQLRLAKLKANKVFTSPCDTSVLYPKTGGNIHEFTAITPCAVLDVIGPPYSKDDGRDCSYYRDHLYTAFPNGEIAELKEENESYGWLEEIEMPENSQMDGIEYLGPPIIETSL >RHN50477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7439751:7443864:-1 gene:gene34760 transcript:rna34760 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEEDRRREAAISSTPCLQPNFNPKGVSQDQLSKFRELHKKRLQLKSKSKFKTKQKDDTKKKARGNDVYSENSAQGFKIDNKEQSLLNNCESFDSKNEDSKGGIFASKKPKLHWGLDTKERWERKSNM >RHN68823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38873046:38877849:1 gene:gene17232 transcript:rna17232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MATTVASRELFIDGEWRAPILNKRIPIINPSNENIIGDIPAATKEDVDLAVDAAKRAISRNKGKDWSTASGSVRAGYLRAIASKITEKKNELGKLESIDCGKPLDEALADLDDVISCFEYYAGLAEGLDAKQKAPVSLPMDTFKSHVLKEPIGVVALITPWNYPLLMATWKIAPALAAGCAAILKPSELASVTCLELGEICKEVGLPPGVFNIVTGLGHEAGASLASHPDVDKISFTGSSATGSKIMTAAAQLIKPVSLELGGKSPIVVFEDVDLDKVAEWTIFGCFFTNGQICSATSRLIVHESIAVEFVSKLVKWAENIKISDPLEKGCRLGPIVSEAQYKKVLNFISTAKSEGATILTGGRRPEHLKKGYFVEPTIVTDVTTSMQIWREEVFGPVLSVKTFSTEEEAIDLANDTHYGLGSAVMSNDLEKCERVSKALQAGIVWINCAQPSFIQAPWGGIKRSGFGRELGEWGLDNYLSVKQVTRYISNEQWGWYQSPSKL >RHN60821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30635626:30637885:-1 gene:gene23206 transcript:rna23206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MESATSDTFTAPTSSSTQAVVMSPCAACKILRRRCAADKCVLAPYFPPTDPAKFTIAHRVFGASNIIKFLQELPEDQRADAVTSMVYEASARVRDPVYGCAGAICQLQKQVNELQAQLAKSQAEVVNMKLQQTNLVALFLEISQSPQESPQQISVDDFIQSPSHSDGHHIGLNFLDDNTGLCSGWDTLWT >RHN54094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6866173:6870446:1 gene:gene29078 transcript:rna29078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc-finger domain of monoamine-oxidase A repressor R1 MPPLQKRNRTEIDNPQPAITMNNHQHKISEYELSREERIRENHERMGKLGILDISLSLKLKPNPPSRRTPSNNPKSPISLNPSGPSRRSSRLQNVAPISYSEAQVKGEKREKSTRIVIREGPQPEIYTKEHEKLLGNTEKTWELFVDGVGKDGKRIYDSVQGKSCHQCRQKTLGYRTRCCECHMGQGQFCGDCLYMRYGEHVIEALADPTWKCPRCRGICNCSLCRKAKGWEPTGNLYRKVLQLGYKSVAHYLIQTRRSDTVVEINDDTCNPVLDTDVEKIDDASILVSDTDVEKIDDASNPVSVKRSLPFSDEVNENKLGPKQSLAKAETDGDEVVAKRSLIFPGDQDKLEKVEGSDSVMLLASSTRPSPDSIAGRLRSRVKKP >RHN41990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34596050:34600924:-1 gene:gene48372 transcript:rna48372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RanBP2-type MGEGREGDWECSGCNNRNYAFRSFCNRCKQPRLLVDTKTPADSKWLPRIGDWICTGCTNNNYASREKCKKCGQPKEVAAMPAIAMTGASFSAYPHYFSRVPGGPEQRMNIGLIGNGGPPQSLNLNYNWPVTGAQKFGLQSVSLWPPGVNYSSGHPYENSSSQNPNGWRNGDWVCNCGFHNYSSRAQCKKCNASPPALGTKRLASEELGYDWDNKRLNVGSTNDQQQAVGTSAEPRPALFPAYTGINSSSAPSLPMPPLFPIPPQVSSTALLGKGAKQWRSGDWMCTNCNNHNYASRLECNRCKTLRVAPMQPVNVV >RHN74894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37618247:37618871:1 gene:gene11028 transcript:rna11028 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTANYKSSTKESMTIIITCRDNGFKPKSPKSTGSGGGGSDPKTNSILQSTARSLQLDSLINYVTNNLSVIDHDDENRSPKRIGMQQILHAENYIFPKRNMNSP >RHN77421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6737456:6745569:-1 gene:gene871 transcript:rna871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leishmanolysin MEPLPFIYLSVFAIPMDFVLRFNLPIVIVVFQIVLILAWLEANDAKAQERRFQSGGLDGRVEKVASHSCIHDQILEQRKRPGRQVYSVTPQVYEPGRSKPLQHKGRALLGVSTSSKPSKDEKKPIRIYLSYDAVGLSPDRDCQNVGDMVKLGDPPITSLPGLPSCNPLANPPTLGDCWYNCTSEDIPGEDKKHRLRKALGQTADWFRRALAVEPVNGNLRLSGYSACGQDGGVQLPREYVEEGVSDADLVLLVTTRPAAIWTTLAWAVACERDQWGRAIAGHVNVAPRHLTAEEEASLSATLKHEVIHVLGFDPHAFTHFRDERKRLRNKVTEQVMDEKIGRAVTRVVLPRVVMHSRHHYAAFSGNFTGLELEDGGESGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYKANYSMADRLDWGRNQGTEFVTSPCNLWKGAYHCNTKEFSGCTYNREAQGYCPIQTYSRDLPRWAQYFPQANKGGPSLFADYCTYLVAYTDGICTDTNSAPDTMLGEVRGSNSRCMASSLVRTGFVQGFTTQGNGCYQHRCINNTLEVAVDGMWSMCPQAGGSIQFPGFHGELICPAYHELCNTGMVVDSERCPRACNFNGDCVDGRCHCFLGFHGHDCSRRSCPSNCTGNGLCLNNGICECKTGYTGIDCSTAVCDEQCGLHGGVCDNGICKFRCSDYGKYTCQNSSTLLSTLSVCKNVLGNDISGQHCAPREHSMLLKLEEVVVMPNYHRLFPGGARKFFNIFGNTLCEEAAKRLSCWISIQKCDGDNRLRVCHSACQSYNLACGASLDCSDQTIFSSKGEGRCTGFGEKQSSWFNRFRSSFSLRNNYSNEILVRSRQL >RHN59496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11323452:11324936:-1 gene:gene21530 transcript:rna21530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQMRKNMAQILFYVYALLILFTPFLVARIMVVNPNNPCVTDADCQRYRHKLATRMICNQGFCLMDFTHDPYAPSLP >RHN40481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16708881:16711892:-1 gene:gene46636 transcript:rna46636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MKSSTLSSSSSSSSLVEFENPIQSKKKRVAKNPKKNINPKSQNFKVKNQTNGGNKRSSIYRGVTRHRWTGRFEAHLWDKGSWNDIQKKKGKQGAYDTEEAAAHTYDLAALKYWGKDATLNFQIETYAKEYEEMEKSSKEEYLASLRRQSSGFSRGISKYRGVARHHHNGRWEARIGRVCGNKYLYLGTYKTQEEAAMAYDMAAIEYRGTNAVTNFDISNYVDRLKKKNEETKQTEPQTTIGIVQYSPPDSKEASEEQTPTTTPSPSQENLVQIVPIQPQVQQHVPPTDQEHTLVNVMDHYFDLDLPWSFVCNGLLEFQDTNMALSKTDQDLIDIFDGSGFVEDIGLMFNIEEPCSGESDCGGLLNGDAGNMLVDEDDFKNEILSLES >RHN57439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39166934:39170931:-1 gene:gene32984 transcript:rna32984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MKVTVVSRSGREIVKGGIELNDSATVADLQEAIHKQTKKHPSRQRLTLPVQPGSKEKPVVLNYKKSLNDYTSGNSKTLTVTFKDLGPQVSYRTLFFFEYLGPLLLYPVFYYFPVVYQYFGYKGEHVIHPVQTYAMYYWCFHYAKRILETFFVHRFSHATSPLSNVFRNCAYYWTFGCYIAYYVNHPLYTPVSDLQMKIGFGFGILCQVSNFYCHIILRNLRGPAGEGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTIAGYIFLAVAAFIMTNWALAKHRRLKKLFDGKEGRPRYPRRWVILPPFL >RHN79009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20876238:20879577:-1 gene:gene2685 transcript:rna2685 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPDFERETFSFLPIVISIFDPSCSLFPQPQTPLELISHCFSFINFSFSFLPFSHSYCQKKKKKKKTKTQN >RHN68264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34100562:34101489:1 gene:gene16596 transcript:rna16596 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSAASTCSCSTETAPPFYLDEKWKLSKKESSRSLSSNSSFVNKNSSTHHKKCTFARKCSRLVKEQRARFYIMRRCVVMLICWNDHYSDS >RHN49550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55587643:55590089:-1 gene:gene44392 transcript:rna44392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MINHSYLRFHCDICLKMETGGVDSSILSLTMMEEAEHHHHQFSSISKLLNNAPTTTSVHDLLECPVCTNSMYPPIHQCHNGHTLCSNCKTRVHNRCPTCRQELGDIRCLALEKIAESLEFPCRYISLGCSEIFPYFSKLKHESICTFRPYNCPYAGSDCSVVGNIPYLVAHLRDDHGVDMHSGCTFNHRYVKSNPTEVENATWMLTVFHCFGQYFCLHFEAFQLETSPVYMAFLRFMGDDRDAKNYSYSLEVGGNGRKLTFEGSPRSIRDSHKKVKDSHDGLIIYRNMALFFSGGDRKELKLRVTGRIWKEQQNSECTPNMCS >RHN52461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35576078:35577224:1 gene:gene37144 transcript:rna37144 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDVDMIKRFMVFHNIKVEGIDSSKSTVNQKDTDVIQVNDREKEDEQTPCSKVVWKRSAEKGVSDVTAYKLDGDESATESIKLKCVKLEPKN >RHN56953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35215326:35217213:1 gene:gene32425 transcript:rna32425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MANKREDIHDHDDELSSVEEGNPNVMQLCCSSNNAITLIQKVIAEIIGTYFVVFAGCGSVAVDKIYGSVTFPGVCITWGLIVMVMSYSVGHISGGHFNPAVTITWTIFRRTSLKEAPLYIFAQLVGSTLASGTLSLMFDVTSKTYFGTVPVGSNGQSLVVEIIISFLLMFVISAVSTDERAVNDFAGVAVGMTIMLNVFIAGPVSGGSMNPARSIGPALIVHVYKGLWIYVVGPIVGAIAGAIAYNFLRSIKSPSELADEQFRNIVVG >RHN41768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32754258:32754821:-1 gene:gene48126 transcript:rna48126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MADDADYQPLFDTKFGVILIAMGSASFVVTIYHLIFICCKHASEQARQHEQPATQTLSTEEGSLVSHQIPSYKYEKKRNDDNDDDDCVTCAVCLGDFEEGEELRAMPSCMHSFHVPCIDMWLLSHLNCPVCRADATPSPVVPHCLPEVRSTEGNVDYGVNMVQIVVTHDELQNGLHVVRS >RHN53443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1681662:1682912:-1 gene:gene28350 transcript:rna28350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MTYRGQKSMVPLQSFYPYLAIIFLLFHVLHLSSIEAQNDGFTIKLFRKTSNNIQNIVQAPINAYIGQHLMEIYIGTPPIKITGLVDTGSDLIWIQCAPCLGCYKQIKPMFDPLKSSTYNNISCDSPLCHKLDTGVCSPEKRCNYTYGYGDNSLTKGVLAQDTATFTSNTGKPVSLSRFLFGCGHNNTGGFNDHEMGLIGLGGGPTSLISQIGPLFGGKKFSQCLVPFLTDIKISSRMSFGKGSQVLGNGVVTTPLVPREKDTSYFVTLLGISVEDTYFPMNSTIGKANMLVDSGTPPILLPQQLYDKVFAEVRNKVALKPITDDPSLGTQLCYRTQTNLKGPTLTFHFVGANVLLTPIQTFIPPTPQTKGIFCLAIYNRTNSDPGVYGNFAQSNYLIGFDLDRQVVSFKPTDCTKQ >RHN64535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59872746:59878208:1 gene:gene27358 transcript:rna27358 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLKRRRGEGETWIWHSDIKRLRASGNTNVSGGIVESNNHIPRPLI >RHN80747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40585776:40586036:1 gene:gene4709 transcript:rna4709 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLFMESHGQSALSYIVWFYMISHLILVAPIEGDPSMPANMEVLIEEENANYTLDALQLIRRVRIICFDRMKMGIAYDDMWGSLQ >RHN72053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4651667:4656788:1 gene:gene7722 transcript:rna7722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MVVSHFHNKFLMASGSEQRWSTVTLQLLLVITVSAPLFTAACSSYSSIFSFGDSIADTGNLYLSSQPPSDHCFFPPYGQTYFHHPSGRCSDGRLIIDFIAESLGIPMVKPYLGIKNGVLEDNSAKEGANFAVIGATALDVSFFEERGVGFSTNYSLTVQLNWFKELLPSLCNSSKNCHEVFANSLFLMGEIGGNDFNYPLFIRRSIVEIKTYVPHVISAITSAINELIDLGARTLMIPGNFPLGCNVIYLTKYETTDKSQYDSAGCLKWLNEFAEFYNQELQYELHRLRRIHPHATIIYADYYNALLPLYQNPTKFGFTGLKNCCGMGGSYNFGSGSCGKPGVFACDDPSQYIGWDGVHLTEAAYRLIADGIINGPCSVPQFSNLCSVNVSYEYGHFNS >RHN56624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32326712:32333423:-1 gene:gene32054 transcript:rna32054 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP83G1 MNKNMSPLILLPFALLLFFLFKKHKTSKKSTTLPPGPKGLPFIGNLHQLDSSVLGLNFYELSKKYGPIISLKLGSKQTVVVSSAKMAKEVMKTHDIEFCNRPALISHMKISYNGLDQIFAPYREYWRHTKKLSFIHFLSVKRVSMFYSVRKDEVTRMIKKISENASSNKVMNMQDLLTCLTSTLVCKTAFGRRYEGEGIERSMFQGLHKEVQDLLISFFYADYLPFVGGIVDKLTGKTSRLEKTFKVSDELYQSIVDEHLDPERKKLPPHEDDVIDALIELKNDPYCSMDLTAEHIKPLIMNMSFAVTETIAAAVVWAMTALMKNPRAMQKVQEEIRKVCAGKGFIEEEDVEKLPYFKAVIKESMRLYPILPILLPRETMTNCNIAGYDIPDKTLVYVNALAIHRDPEVWKDPEEFYPERFIGSDIDLKGQDFELIPFGSGRRICPGLNMAIATIDLVLSNLLYSFDWEMPEGAKREDIDTHGQAGLIQHKKNPLCLVAKKRIECV >RHN60298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24796423:24797163:1 gene:gene22586 transcript:rna22586 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRGLKICLLVSIIFLIIVATVILSLVFTIFKPKNPDVHVYPIGLENLQFLQPNVTSVPLHMVVTIFNPNYGTYKTKNTTGYLNYQDTLVAIAPIGPKKLPGHRTTNVSATAGLMSVELISNDKFLVDVADGSFNFTAKAIFHGKVHMSKVFKVKAMVNISCDIFFNITSLGTDSYCITKIKV >RHN75080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39220178:39221930:-1 gene:gene11232 transcript:rna11232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MNVFTLFLITFTCGFLQNVVSNANPLSYEAFFNFGDSISDTGNAASILLPMPNPIPYGSSYFKHPSGRMSNGRLIIDFIAEAYGLPFLPAYENKSIDQDIKKGVNFAFAGATVLDVEYYVKNGLPLPDTNNSLSIQLGWFKNIKPLLCKSKEDCNIYFKKSLFIVGEIGGNDIMKHMKNKTVTELREIVPFMVEAITNTTNVLVEEGAVELVVPGNFPMGCSAGMLTLVNSNKKEDYDEFGCLIAYNNLVEYFNGQLKNSIETLRQKHPEVKIIYFDYYNDAKRLYQTPQQYGFDKDAIFKACCGGCGSLVAIVCSDPSKRINWDGPHFTEAAYKLIAKGLVEGPFSNPSLKSPLFKIA >RHN60055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17815755:17820836:1 gene:gene22254 transcript:rna22254 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAKSCYASSPPHLQIHQHLSQHQHRNLLLPHKYPTSSSSSTRLHQNQNHISLPTQYRLLRRPNFILNALHSDPPHQGNERRYDYEQWDSLTSKFSGAANLPFLLLQMPQILLNARNLIAGNNTALFAIPWLGMLTSLLGNLSLLSYFAKKREKEAMVVQTLGVISTYVVIVQLALAESMPLPYFLATSVVVVSGLFLNFMNYFGLLNAGIWRFWEDFITVGGLSVLPQIMWSTFVPYLPNSILPGTISFVIAVLAVTMARTGKLSEKGVKFVGGISGWTATLLFMWMPVSQMWTNFLNPENMKGLSAFSMLLAMLGNGLMLPRALFIRDFMWFTGSSWATIFYGYGNLACLFLLNIISKEFFLAATVALVSWIGVAFWRDSVVHGYSSPLASLRDLVFGSQV >RHN52293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33514739:33517892:1 gene:gene36952 transcript:rna36952 gene_biotype:protein_coding transcript_biotype:protein_coding MCFINKWALTQVLLVDPRENKKDGSEGITKGQPHNTRGRGGIHVLGSSNTTCSHFGRILVWHF >RHN58993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6460800:6465785:-1 gene:gene20971 transcript:rna20971 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEEPKTIPLKILVDRENNKVVAVESTKDFVDTLFSFLSLPLATIIRLLTTNNDDQQQQQQQESSESSSFLGSIKNLYESVQTLTPNDIWNPVCKQMLLNPKNPCESLCKKLFLNIDDTEWSSKIFVCDACNKFTTFQNLDCTCGKPTNKQPNSLDSEGQGNSGGIADALNGVFVKDNGSLFLVYDDLKIVPSSLVSSMQMLMELGYSDLSQLEEVTHNIGKQEMLNLLKYTLTSHEPLTNTILKSSSKNKDNPPNQFASAVKVKTCTRDIKMDVKLVRSKSQKKIICAEASGNFVDFMFSFLTIPLGSIVKVLDGNSFVGCVDNLYKSVESLDSSWCTNSRSMLLNPGVAPHFGCPNQPLNIPHVQPPLTTYYYGTGTPKQEYNCHYGYQTVELTIEGGVISKSHGLIYKAKALTEMDPRSPNKSKKDVVGFVKRPALYSVGDDLKVKPFSANSCLSYLKELSFPLVDLEVNVISIGEAEALSLLAASLTSKFTLTSGLKEFFNEQKQDSNLTSKCVQTSRLDKVAKKPKLED >RHN46200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29586975:29587769:-1 gene:gene40650 transcript:rna40650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEIFKFFYTIVILVSLFFVIANSRGIRPGRECVSDYDCYIKYTILWKYNNVCTKGVCYTLLDAVHP >RHN58092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43688723:43693383:-1 gene:gene33700 transcript:rna33700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phytochromobilin:ferredoxin oxidoreductase MGFSVSSFSSSSSSSSSSFSLQHTLPPLLKRTASSNRRVCIQSCFSSVSYHKFVDFALDETSLHTHLLSSPLQEKYSSMKSKDGKGVLNMLSFQADKIRLLRSMTIETETMQVLDFTVFPKAEYDVPIFCANFFSTAKTNIVVLDLNPLHDIINQDDYKEKYFKSLMPLGLKYAEHFPWGGKLTSESITFFSPIVIWTKFTSSPQNYDILYSAFRDYYKVWLELIATAVKETDGYQISHNLEAQHRYLTWRAETDPGQGVLKKLIGDTLAKDLLRSFLFNGVDELGSKTFNDYFPHYHGEEGTLNKKGGIAGKSFENRPWDASGKFLGQ >RHN79108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23179407:23180868:1 gene:gene2821 transcript:rna2821 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSFPTSEERIVASALLLLHTPSPKFHSNEVHEERRSFSHNRFREISVTTDSDESSSAFSSLLINGGDESSDSDVSFFSATNRYHQMKFKIARKSRSKVVWTSSSCSGDRKVKSETTAKVSPTSFSGEATSCLSTTSSSRSLRYANRTSKCRSVIDAEIKRETPPAAKLRVKNPAGTPHLRRRGDAILRFLSHGGWSSEVKIREMLGDSPDTSKALRMLLRRDVVKRTGSGGRHDPFVYSVYINLYLLFINYFFSLNFI >RHN76262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48970628:48975983:-1 gene:gene12557 transcript:rna12557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MYQSELTDLVGIEERIADLESLLCLDSTADVLVIGIWGMGGIGKTTLAAAVYNRLCFEYEGSCFMANITEESEKHGMIYLKNKILSILLKENDLHIGTPIGVPPYVKRRLARKKVLLVLDDINDLEHLENLVGGLDWFGSGSRIIVTTRDKQVLGKRVNCTYEAKALQSDDAIKLFIMNAFEHGCLDMEWIELSRRVIHYANGNPLALKVLGSFLYGKSKIEWESQLQKLKKMPHAKIQNVLRLSYDRLDREEKNIFLYIACLLKGYEVQQIIALLDACGFSTIIGLRVLKDKALIIEAKGSGRSIVSMHDLIQEMGWEIVREECVEDPGKRSRLWDPNDVHQVLTNNTGTKAIKSITLNVSKFDELHLSPQVFGRMQQLKFLKFTQHYGDEKILYLPQGLESLPNDLLLFQWVSYPLKSLPQSFCAENLVELKLTWSRVEKLWDGIQNIQHLKKIDLSYSKYLLDLPDFSKASNLEEIELFGCKSLLNVHPSILRLNKLVRLNLFYCKALTSLRSDTHLRSLRDLFLSGCSRLEDFSVTSDNMKDLALSSTAINELPSSIGSLKNLETLTLDFCKSLNKLPNEVIDLRSLRALYVHGCTQLDASNLHILLSGLASLETLKLEECRNLSEIPDNISLLSSLRELLLKETDIERFPASIKHLSKLEKLDVKGCRRLQNMPELPPSLKELYATDCSSLETVMFNWNASDLLQLQAYKLHTQFQNCVNLDELSLRAIEVNAQVNMKKLAYNHLSTLGSKFLDGPVDVIYPGSKVPEWLMYRTTEASVTVDFSSAPKSKFVGFIFCVVAGQLPSDDKNFIGCDCYLETGNGEKVSLGSMDTWTSIHSSEFFSDHIFMWYDELCCLQNSKPEKENMDELMASYIPKVSFEFFAQSGNTWKKRENNMIRGCGVCPIYDTEYFDFIKQMELELEMTLQSIANERSAQCNDKKEKLGPKQPCKKFFPPFQTGIWKSATQGLKDILFL >RHN67112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24000355:24006304:-1 gene:gene15257 transcript:rna15257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MVQVAKRKKGRPSKADLARRVAESQAAAATESDSRRSLRRKNVRYNIIEYDGDYVDDDEEDERKREKKKLKLMAKLQQEEREEELEENEDHAPMEEDEEEIGEIEGGEENEDVEEKVEEDSAIKGTKVDSKGLHFVSVSGTPANYPNGIPLPDRKILEVILDKLQKKDTYGVFAEPVDPEELPDYHDVIEHPMDFATVRKKLANGAYPTLEQLESDIFLICSNAMKYNAPETVYHRQARTIQELGRKKFEKLRIKFERTQASSYPMQGGSCERPGNIDGTVEGNAFMIDANQDKAEDVMSGKNMVSKMGRKSFVLDDNRRASYNMSNQPIIRTDSTFMTFESGMRQLVTVGIHAEYSYTRSLARFSASLGPVVWNIASNRIQQALPADCKFGRGWVGEYEPIPTPIFMLGNNLQKETSLIMKLNGDKNGKGVEPKTEHPVNGRKLEGKHSSDCPTNGTVYEGNPSIGFNGVKFNASLNIPNQQNSQSRNFGNSENKSLNKVELKSLPSSNQNNSSVVAKFGSNTPTAESNPKESAPRNLNSLPSTTFKQPDTNEVVSGELPDGKVMNTSLNRRLTGPSSDSTTNQTIRTAPFVSRGQEQGLSEPLQSMRMFTEEAQKPQTSNYSPVDTLPDKPSAQSGQRDTPGNASVAAAQVWMSAGAGGFNLGPENTGSSKNQISADSFHNTTREFHQHISRIQGEFPSSGMSLQSNKNNLPFHSPRPQPIHTGAVSQFPNQPMVSPQSTTAGRPTFQMQSPWRGLSPRSQSRQKQGTLPPDLNIDCQSPGSPAKSSSSQQPDLALQL >RHN78138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12209999:12212355:1 gene:gene1660 transcript:rna1660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MAISCSSDWSLAYTKYSTTRTLNLHAFIIPTCHFPSNPKFFHANFSASSHTPILEETSSNTPLIHLDVNFSDTTKYSKPEIDQNLNDFLCGLFEDPKKHELAFDYYQRLKDRPEFRPMKSTLNHVIRYLLRFKKWEFFISVCEDFKVYHVLPDAATCSKLISFCIKNRKFKIAETFLDTFSSDSEIGVLAFGSAFGSYNKLHMFRKTVTVFEKMKSSCVAMDSKCYLHVMEAYLRLDNCERVVELFKEFESRKLSDSDSCLGQIYGVLCESLGKSKRAFEALEYFRVMNKKGISEYSIYSTLICSFARLRKVEVVEELVREAKSKTTIKDPEVYLKIVIMYIEEGLLEKTLEVVEAMKDADVKVSDCILCAIINGCSKRRGFSSTVKIFEKLILKGYEPGQVTYASMINVYCRLGQNIKAEKIFDEMMQKGFDKCVVAYSSMIVMYGRTGRLRNAMKLVAKMKEKGCKPNVWIYNSLIDMHGKEKNLRQIEKLWNEMKRRKVAPDKITYTSIIGAYCKAQEFDKCIKLYNEYRLNKGAIDKAMAGTMVGVYSKVGMVDELVKLLQDMKMEGTRLDQRLYQSAWNAFTEAGMQLQVKWMKESFIVT >RHN49166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52970801:52973815:-1 gene:gene43968 transcript:rna43968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MARLVALQQNQLSFPPLASSLSDFNGARLQTHIQLKRKTWQPKGSLIVSASSTKKILIMGGTRFIGVFLSRQLVKEGHQVTLFTRGKAPITQQLPGESDTDFADFSSKILHLKGDRKDYDFVKSSLSAEGFDVVYDINGREAEEVEPILDALPNLEQFIYCSSAGVYLKSDLLPHAEIDAVDPKSRHKGKLETESLLQSKDVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPGSGIQITQLGHVKDLATAFLKVLGNEKASKQIFNISGDKYVTFDGLARACAKAGGFPEPEIIHYNPKDFDFGKKKSFPFRDQHFFASVEKAKSVLGLEPDYGLVEGLTDSYNLDFGRGTFRKEADFSTDDIILGKSLVSV >RHN66398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11838607:11843888:1 gene:gene14368 transcript:rna14368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative organ specific protein MRTALALLPLMLFLFVAIVESRNDLREYWKLVMKNQDMPEEIQGLLNANIKSNLNTQEKAFKEDLESRPNISAYENDGTNTKENSKDVKDFEPRPNISAYGDNDIENKEKKGTVKDFEPRPNISAYGDNAIDVKEKKKVVKDFEPRPNISAYEDNVVDVKKKKGVTKDFEPRPNISAYGENDSDVKQKKQTINDFEPRPNISAYGENDIDVNEKKAAAKDFEPRPNISAYGDDVIDVKEKKKAVKDFEPRPSISACGDNVIDVKKKKGVTEDFEPRPNISTYGENDIDVKEKKQATNGFKPRPNISAYGKNDIDVHEKKAAGKDFEPRPNISAYGDNAIDAKEKRGATNDFEPRPNISAYGDNDIDVNKKEGAIKDFEPRPNISAYGENDINDNETKKPVEDFEPRPNISAYGDNDIDDRKKNNVISDFEPRPNISAYGNNDIDTKEKEKAAKDFEPRPNISAYGEK >RHN56544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31767545:31769415:-1 gene:gene31960 transcript:rna31960 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNIVNDKYFTRSMPLNKKKKRDSSLSFSSGHCSSLLLSQSMLCSSSSSLPLAPATLRKPPQDCELRMNIFISHQIQISKSSTSRFVGFNP >RHN64944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63182783:63188156:1 gene:gene27825 transcript:rna27825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative biotin synthase MLNLNSRRLARAAISIAKHFSTRNYYTSMCRAGYSRTTPNHYDCLQPSFQQSSLFNLYKGAMFKKHNFLSTTTSNNTAEDGSEEIETISVTFVDKDGEEKLIKVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDVEYYNKLEDPTDEENDMLDLAFGLCETSRLGCQVIATRELDGVRLALPAATRNFAVDGYVPKPH >RHN68739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38186265:38189690:-1 gene:gene17127 transcript:rna17127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactinol--sucrose galactosyltransferase MAPPSITKTATPIDVIGLVEITNPPLSITLNDSCFLANGHPFLTQVPPNITTTTPSPFLHNSKSNYNTTLQHGCFVGFNTTEPKSHHVVPLGKLKGIRFMSIFRFKVWWTTHWTGTNGHELEHETQMLILDQNKSLGRPYVLLLPIIENSFRTSLQPGVHDYVDICTESGSTHVLESHFKSCLYIHVSNDPYRLVKEAMKVIRTHLGTFKLLQEKTPPNIIDKFGWCTWDAFYLKVHPKGVWEGVKGLTEGGCPPGLVLIDDGWQSICHDDDPITDQEGMNRTSAGEQMPCRLIKYEENYKFREYKSPKNECNKGMGGFIRDLKEEFKSVENVYVWHALCGYWGGVRPKVKGMPEAKVVTPKLSPGLKMTMEDLAVDKIVNNGVGLVPPNLAQEMFEGLHSHLESVGIDGVKVDVIHLLEMLSEEYGGRVELAKAYYKALTSSVKKHFNGNGVIASMEHCNDFFLLGTEAISLGRVGDDFWCSDPSGDPNGTYWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAEFHAASRAVSGGPIYVSDCVGNHNFKLLKTLVLPDGSILRCQHYALPTRDCLFEDPLHDGQTMLKIWNLNKYTGVLGLFNCQGGGWCPETRRNKSASEFSHLVTCYASPEDIEWCNGKSPMCIKGVDVFAVYFFKEKKLKLMKCSDKLEVSLEPFSFELMTVSPVRVFSKGLIQFAPIGLVNMLNSGGAVQSVEFDDHASLVKIGVRGCGEMSVFASEKPVCCKIDGVAVKFDYVDKMVRVQIPWPSSSTLSLVEFLF >RHN57352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38320795:38320926:-1 gene:gene32880 transcript:rna32880 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAPATVEEQLLEKAIKEECQWENLPRRLHQTVHSKEEWMAR >RHN45266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14006478:14007095:1 gene:gene39509 transcript:rna39509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKLHFPLLFLLLTLFTTKPLQGAEQPEEVRDTSGNLVRNSINYFILPSSIQCGTRCEMALLNTNKTCPLDVVEEEEAMQFSFVPFNFKKGVIRVSTDLNVIHSFPTNCSTSSVTVWKVDKVDVATSQRFVTTGGVQGNPGRETVDNWFKIERFESGYKLVFCPTVCRECEVVCKDIGIFLDENRNTRFVLSDFPFGVKFQRACCE >RHN62338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42735417:42738114:1 gene:gene24900 transcript:rna24900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:HI4 MAFSTNGSEESELYHAQIHLYKHVYNFVSSMALKSAMELGIADAIHNHGKPMTLSELASSLKLHPSKVNILHRFLRLLTHNGFFAKTIVKGKEGDEEEEIAYSLTPPSKLLISGKPTCLSSIVKGALHPSSLDMWSSSKKWFNEDKEQTLFECATGESFWDFLNKDSESSTLSMFQDAMASDSRMFKLVLQENKRVFEGLESLVDVGGGTGGVTKLIHEIFPHLKCTVFDQPQVVGNLTGNENLNFVGGDMFKSIPSADAVLLKWVLHDWNDEQSLKILKNSKEAISHKGKDGKVIIIDISIDETSDDRGLTELQLDYDLVMLTMFLGKERTKQEWEKLIYDAGFSSYKITPISGFKSLIEVYP >RHN81187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43919833:43921656:-1 gene:gene5194 transcript:rna5194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MAKTMIHSCFLLFFFCFLHNQLIVNAILDPADFLALQSIRKALEDMPGSDFFSSWDFTADPCNFSGVFCDSDKVISLNLGDPRAGSAGLTGRLDPAIGKLSSLAEFTVVPGRIYGPLPQTISSLKNLRFLGVNRNFISGEIPAELGELRSLRTIDLSYNQLTGKIPPTVGSLPGLTNLILCHNRLTGSLPRFDSQSLSRLDLKHNSLTGSIGPNFLPASLQYLSLSWNQFTGSMDRVLTRLNQLNYLDLSLNQFTGPLPGKVFSFPLTNLQLERNQFTGSVEPVDQVAIPTVDLSFNRLSGQISPMLANVQNLYLNNNRFTGRVPASFVERLLDASIQILYLQHNYLTGIEISPTAVIPERSSLCMQYNCMVPPVETPCPFRAGKQKTRPTTQCNQYKG >RHN62356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42888578:42895465:-1 gene:gene24920 transcript:rna24920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone 7-O-methyltransferase MASKNDLYKPSELFQAQTHLYKIMYGFLNPMSVKWALDLKIPDIIHNHGHAMTLSELISSLKVPQSKETCVRRLMRLLAHIDFFAIVKIDETKEGYALTPTSQLLVSDTDHCLSSMVRLLNTPTLVDAYNHLGKWTCGEDLTIVETALGPESYWDFIHENSAYLKSFNDAMESDSHVVRLALRDCNSVFEGIGSLVDVGGGTGNTAKIICEAFPELKYTVLDLPQVVSGLTGSINLSFVGGNMFKSIPQADTVLLKWVLHNWGDDDCIKILKNCKKAISGKEKGGKVIIIDVVINEKQDEHEMTEVKLLLDVVMMTSLNGKERNEKEWKQLFLQAGFKYYKIFPTFGFSTIEEQYNLQFIILMASKNDLYKPSELFQAQTHLYKIMYSYLNPMSVKWALDLKIPDIIHNHRQAITLSELISALKVPQSKETCVGRLMRLLAHIDFFAIVKIDETKEGYTLTPTSQLLVSTTDHCLSSMVRLLNIPPIVGSYNHLGKWTCGEDLTIVETALGPESYWDFIHQNSSYLKTFNDAMESDSHVVRLALRDCKSVFQGIGSLVDVGGGTGNTAKIICEAFPELKYIVLDLPQVVSGLTGTNNLSFVGGNMFKSIPQADAVSLKWVLHNWSDDDCIKILKNCKKAISGKEKGGKVIIIDAVINEKQDEHEMTEVKLLLDVVMMTCFNGKERNEKEWKQLFLQAGFKYYKIFLTFGFRSLIELYP >RHN72029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4505073:4508715:-1 gene:gene7696 transcript:rna7696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative periplasmic binding protein-like I MHYLSTFPQALARIWLFFVLLVPFALIYGIRDETMNSDNKVISIGVIIDGDSRIGKEQEVAMDIAAQSYNNTSKNYKLALYFKNSTKDTLKAIKIAEEMINVQKVQVIIGMQTWQEAAMVAEVGSKAQVPVISFVAPTITPPLMEARWPFLVRLANTGTAYIKCIAEIVQAYSWKKVVVIYEDNGYGGDYGMLALLAEALQDVDSMIEHRLVLPPISSLQDPEELVSEEMLKLKQTQSRVFIVLKSSLEMAIHVFKEASKVGLVDKESAWMIPESIANLLDSVNKSAISYMEGALGIKTYYSERSREYKEFEAQFRRTFWSKNPEEDNRYPGFYALQAYDSINIVTQALNRMTSRKNSSPKTLLREILSCNFLGLSGHIQLESGQLMQKNLVLRIVNVAGKSYKELCFWTQQHGFTTIHHAGQGGNKVAGNTKCFRGVRWPGNWARIPKGWNMPTEKNPLRIAVRSRTSFSRFVKVVYGQNGEPDKYTGFCIEIFEHVLNHLGYDLPYSYYPIDGTYNDLVQLVYNKTYDAVVGDMTIIEERLPYVDFTVPYAESGLSMIVPMKPGESAWMFMKPFTLELWLVTGAILIYTMLVVWYLEREPNPEFQLSTALWFTFSSLFFAHRAEMHSNLTRVVMVSWLFLVLIVTSSYTASLSSMLTVKQLRPNVTDIQWLKNNNKKIGCDGDSFVRTFLEKVEKFKPENIINITDEYKYNDAFSNNSIAAAFLELPYEKVFVSKYCKRYTGFTPRTRFGGFGFMFQKGSPLVKDVSKAILHLSEKAELKRLEEKWLISSQDCSNNVTSSNETNSLNLGSLWVLYVMSGATSTICVLIQTIKWLKSNQPHEDLPPEEGNGTPSDERVWKKAITFAKQIYSKKHNNSSKEQDVIDCSSRSGHASIADTPEHSEELASIPKDVHITPYNIGTLEPHTLQNNCHIRHCTNQL >RHN45748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25230302:25231111:-1 gene:gene40138 transcript:rna40138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MYPVLGTGRYTSSTDTLEFRLLFIVSNFYIFLLNLLNSGIDGLHFIARDITFQNTAGPHKGQAVALRSASDLSVFYRCAISGYQDTLMAHAQRQFYRQCFIYGTVDFIFGNAAVVFQNCNIFARKPLDGQANMITAQGRGDPFQNTGISFHNCQIKAASDLKPVVDKYKTFLGRPWQQYSRVMVMKTFMDTLVSPLGWSPWGDTDFAQDTLYYGEYENYGPGSSTANRVKWPGYHAITNPKEASKFTVAGLITGPTWLATTTVPFTSGL >RHN52892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40061212:40068042:1 gene:gene37624 transcript:rna37624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MPRSSSGIHHQRQNSDNFILDANYHGRWFHPSSFAQEYGTRSSSLRKNDDDRLFTSGLLDLHSFDTELLPEGQYFDDSEPIFPGNKPASRSRGLHESNVLRSLSADKERANNVAKIKVAVRKRPLNKKEIAKKEEDIITIDSNFLTVHERKLKVDLTEYVEKHEFFFDAVLDEDVSNDEVYAETVEPIVPLIFQKTKATCFAYGQTGSGKTYTMQPLPLKASQDILRLMYRTYQSQGFQLYVSFFEIYGGKLFDLLNDRKKLFMREDGKQQVCIVGLQEYRVFEVETIKQFIEKGNATRSTGTTGANEESSRSHAILQLVIKRSADGSESKPARVIGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNSSRRDPLSSSNLRESTVLPVLPNDNTFEDEITYASSERNQFGWSKQPEREPSLPTNVDRVGSGRMEGNLAPSLYSDQQRSQRGIQNDRAEKENEYLAPTYVKDRTRKASKRVDNNQLSAVEDKKKIGSRAKHVNLPHVENTHSDPDDDFNALLKDEEDLITAHRRQVEETVDIVREEMNLLFEADQPGNQLDDYISKLNTILTQKAAGIFQLQAQLAQFQKRLNEYNVFSFSGD >RHN57558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40027982:40028347:-1 gene:gene33124 transcript:rna33124 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVVSRNLLEDLPRDCSGVGCCSGELRENHCSTRHQRVEYTHSESLILILVLKRVMDESTVNVWINDSIELLYCFFLFAFFFFRFFSFIIIIIVLSVIVLFIFYFLSCFHVDYFIIFGLL >RHN69301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42443229:42444061:1 gene:gene17750 transcript:rna17750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA reductase MDLPDFFLAATITLGFISICKSLFHFLNWIRIMFLRPRKKLKDYGSWAIITGSTDGIGKAMAFEFASKGLNILLVGRNPLKLEATSKEIIDRNFGNVEVKFVVIDMQNISGEEIMKRVEEAIYGLDVGILVNSAGVAYPYARFFHEVDLDLMDAIIKVNVEGTTWITKAVLPSMIKKRKGAIINIGSGSSVVLPSFPLVTIYAASKA >RHN46331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30813438:30814180:-1 gene:gene40811 transcript:rna40811 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVIPEQVAFLVDKYLLDHNFSSTRYTFRNEASRLFAHSPIQEAPKNLMTLDEILYEYISLKNHKVTMDQEMFIIEQEKNRNMMLLQGMHNVTAAYIAGGNCPPPAAKSSPEFVLQTRINNKSYPGTSYIDNSFYFMFQYICGSYVCQILIKLKFFLGELRELFDVLINKKTSCEYLNDHHFTQLLTTFSFNYLQHA >RHN81862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49407071:49409751:1 gene:gene5955 transcript:rna5955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S54, rhomboid MDNKKMNPRRDVESGRTKNNCSYVYVAETHRESCSWLVPAFVFVNIVVFIVVMGINNCPNTTFGFHKHHHHCVARFLHRFSFQPFRENPLLGPSSLTLIKMGALRWVNVVHQHQEWRLFTCIWLHAGIIHLLSNMLCLVLIGIRLEQQFGFVKIGLIYLLSGFGGSVFSSIFIRNSISVGASSALFGLLGAMISELLTNWTIYSNKVMALLTLLVMIAINLTIGLLPRVDNLAHIGGLVVGFLLGFILLPRPQYDGVLRKSKYNAYQFVLGIVSLVLLTAGLSFGLVMLFRGENGYDHCHWCHYLTCVPSSRWECNSNT >RHN60598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28665080:28665753:1 gene:gene22931 transcript:rna22931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MIYITNGEPLVPAFFIFGDSIVDVGKNNNNLHTAVKSNFFPIGCMPAIITVFGYHTNKCVERINDVGLDFNKKLNFTTKNLIKMLSNVKLVIIDIYQPLYELIIRPSDYATKSNNAKPIKSYYLLLMNNRVWLFEARKAFVGTGLLEVAILCNLTSIGTCVDASKYVFWNSFHPTEATNKILMDHLIPAATSPLYILTIKFASQNIVYFH >RHN69579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44569005:44576076:1 gene:gene18062 transcript:rna18062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactosylxylosylprotein 3-beta-galactosyltransferase MQSKGSSHRLSTMANRSRIPALFISMFATFASIYVAGRLWQDAQNRVYLIKELDRITGQGQSAISVDDTLKIIACREQHKKLDALEMELAAAKQEGFVSKGLIETNGTYSKRRPLVVIGILTKFGRRKNRDAIRKAWMGSGAALKKIEDGKGIIVRFVIGRSPNRGDSQDKDIDRENRLTNDFLILDDHVEGSQGHPEKAKLFFAHAADEWDAEFYAKVNDDVYVNIDALGATLATHLDKPRLYMGCMKSGEVFSEQNHKWYEPEWWKFGDKKSYFRHASGEMYVISRALAKFISINRSILRTYAHDDVSAGSWFIGLDVKHVDEAKFCCSSWSTGAICAGV >RHN44420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4480863:4481526:1 gene:gene38539 transcript:rna38539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone-7-O-beta-glucoside 6''-O-malonyltransferase MASSSNIKIHEHIKVVPPSSNQTTSISLTFFDIFWLRFHPIEYILFYTLPNSQSDTSFFFQTIVPNLKSSLSLTLQHFLPLAGNIVWDFESQNPKIQYTPNDGVSLLIAESDLDFNHVIENSPKEAYLSRSFIPHLKSTNSFASIISLQITLFPKRGFSIGISTHHAVLDGKSVGIN >RHN46831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35181371:35183116:1 gene:gene41362 transcript:rna41362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructokinase MQATIFHYGSVSLIKEPSRSTHIEAINYAKMCGSILSYAPNLTVPLWPSTEAAREGIMSIWNYADVIKVSVEEIRILTEGNDPYDDKMIMKKLFHHNLKLLLVTEGIKGCRYYTKDFKGWVYGFEVEAIDTTGAGDSFVGGFLSILSAHKHIYKDEKILREALDFANACGAATVTGRGAIPSLPTKSSVLRVMLTY >RHN52795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38937105:38938736:1 gene:gene37507 transcript:rna37507 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRASGFEYPKLSKELTQEKTNLLSKVALLSPVCFVSHF >RHN73457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16618184:16621574:1 gene:gene9272 transcript:rna9272 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRFYSEIKGKKVTELPEHVKPMLSFNYIKNSIKKGLDNYGEKYIETDSLTPLYHVLYGGMAFSYLVALPNERRHLAHKEHAESHH >RHN39221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5071763:5072781:-1 gene:gene45225 transcript:rna45225 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKKSLSFNGGSTIQNLKKEKSTKRRRFDRCFSSVEVVSMEEGKKLKEMDSNKLKSEIKKWAKAVVAYARQVSARFGS >RHN58733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4358899:4361925:1 gene:gene20673 transcript:rna20673 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVFNKVGSYWFNQKASKELNSVGDEINSLSNSIEGGTKWLVNKVKGKMQKPLPELLKEYDLPIGIFPRDATNYEFNEETGKLEVFIPQVCEVGYKDSSVLRFFTTVTGYLEKGKLADIEGMKTKVIIWVKVTTIFSEGSKLYVTAGMKKTRSREAYDVTRDGVPVDKF >RHN41869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33721462:33727759:-1 gene:gene48233 transcript:rna48233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquilin MGGDGAVEDSASESKTEVNINVRCSNGTKYSVQVSLDSTVGSFKDLIARNCDIPAQQQRLIYKGRILKDDQTLQSYGLEADHAVHLVRSFAPTNASGGTNSSGTNTTTNDAAGAGANAAGGLGGLGFGASLFPGLGANGTGRNTLFGEGFPDLEQMQQPFISNPNLMREMMNSPAMQNLVSNPEIVRNLIMNNPQMQELMDRNPELAHVLNDPSTLRQTLEATRNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMAGNTALSGTQGGLSRDQSTNPSTTNSETTAGSPIPNANPLPNPWSSTGTGAPQNNTRSSTTGGDARQQAPTGLGGLGLPGLEGMLGGSGMPDPALLTQLMQNPAISQMMQSMLSNPQTLSQILGAANTEQRGGMPDLNSLRDVMQNPEFLRLFQSPETMQQLMSFQQALLSQQQSTRESGQTGQTGGGTGPFNNMGLEMLSSMFGGLGAGGLGAAPNRSNEPPEQLYATQLTQLQEMGFFDTQENIRALIATSGNVHAAVERLLGNTGQ >RHN60324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25230593:25232314:-1 gene:gene22623 transcript:rna22623 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPGNTGASGCGSGDGNGDTMCSSGLKKQHQEQQKRPRVPKRGPGIAELEKLLREKELTRDRGNNEEFSVSSEFFNRHQPSSSLPWPNSSNLQSHVPSAPKFDQIVPPTPSTIGSMYGNTVLPYIDPIVNTNTHSVGRNGGGGGSGEYELFPMNLKEVGDGSQSDPENSPSRSLASESNHGWSYPANIQKRNNGYPPKPMMNQTLASSGSLSTGLHNHLEPSSIQNSNYNYSYMSQAEHKMVGTKRSHTSSLDNSLIRSRNECHGVISNNPTKYDYRNAEWGSSLELGNKRFNSDKVSPEVQPPSPPMHLFQNDISKGYMFPCQVIEVS >RHN65503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2741453:2742670:-1 gene:gene13347 transcript:rna13347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGRRKPRVFFKNIPIDFPTERQSNMPIDLPIELQSNIFDRLCAKDQSKAMCVSHSWRDFILYSILPKDESQQSSVSLFPIKCLDLKLQQLFHWCSRVMCCRVGPNKLIDSCNGLLLFYHNYGRAQNLTHGVYHYYVMNHVTKQCVAVSKPNGQISRAYSYATLVYDPTKSRFFQIVNFQGHRHVNVFSSKNGIWTTQTLILPKYIIASSWTKKSVYFNGSLYRLSRSGHLVKIKVDIQENVLEQTEAITLPQDCLSFNCQWELSVKGNKLLFVMSKGLNFVVYELVECVSSGVTSCSWCTSHRIQDDGSKWYYLNKLFKLLSFHPYDDVAFFKGYNHLFYYIFIGNNTITDLQQVPSCEILYDYFEFCGIPLVECYSPFACRLNEEVINLSIIYFLIIYLFYLLS >RHN55352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17571134:17571421:-1 gene:gene30522 transcript:rna30522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MAQKQIWSGIPLFPVLVMFFISRLAETNRASFDLPEAEAESVAGYNVEYARDAILNSPLLAEANVPGSRGLILTETRGGSLPTVNDHWVLDLGLG >RHN43876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49159190:49162302:1 gene:gene50510 transcript:rna50510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MMRSERQELVIAELKRQLWLAVPLSSVGILQYILQTISIMFVGHLGTLPLSGASMATSFASVTGFTLLMGITSALDTFCGQSNGAEQYHMLGIHMQRAMIVVSIVSVFLAIIWANTKQILVVMHQDKAISKEAGSYALFLIPSLFAYGPLQCILKFLQTQNIVLPMVITSGIAALLHTLLCWLLVFEFKLGSKGAAISNSICYWVNVLLISLYVKFSSTCKQTWTGFSKRALQDLFVFLRLAIPSALMVCLKVWTFELMVLMSGLLPNPVIETSVLSICLNTFGLAWMIPFGCSCAVSIRVSNELGGGNPNGASLAVRVALSISFIAALFMVLSMILARKVWGHLYSDDKQVIRYVSAMMPILAISSFLDAIQSTLSGVLAGCGWQKIGAYVNLGSFYVVGVPCAVVLAFFVHMHAMGLWLGIISAFIVQTSLYIIFTIRSNWEEEAKKAQSRVERSTTTPNTTTLRDSISPSQKLEQIP >RHN69797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46323319:46327077:-1 gene:gene18312 transcript:rna18312 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPAKLRSRFSDIKAAAFWGVTAGTGALYLVQPWDFLRKTFFEKPEPEEK >RHN71833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2916019:2916937:1 gene:gene7473 transcript:rna7473 gene_biotype:protein_coding transcript_biotype:protein_coding MISLQPYKSCLQTTFVFSPSNFITNHRHTQTSLIGQRKIKVHRRNVKCNAEEKKSERRTFLTLEEAGLVEMSGLSTHERFLCRLTISSLNLLKVISEQEGCPIEELNAGKVCDWFLKDKLKREQNIDSAVLQWDDSDFQF >RHN57014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35752543:35760237:-1 gene:gene32497 transcript:rna32497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MKPSTSADPFIKTAALFTVAVTFFYLGKHWSNRSQQQLIFFTTTTTTDQISISPNFNKFFNISAIINPNQTPETVKIKKSGVLNEDGTMSDEFEVGEFDPDFVDEAQSDVKDNSNDEVKLKVKKFELCKGSMSEYIPCLDNVDEIRKLESVERGERFERHCPVEEKRFNCLVPAPKGYREPIPWPRSRDEVWYSNVPHTRLVEDKGGQNWIRRDKNKFKFPGGGTQFIHGADQYLDHISKVIIFGAYLLSRNVITMSVAPKDIHENQIQFALERGVPAMVAAFATRRLLYPSQAFDLIHCSRCRINWTRDDGILLLEANRMLRAGGYFVWAAQPVYKHEQNLEEQWEEMINLTTRLCWKFLKKDGYVAIWQKPFDNSCYLNREAETKPPLCDITEDPDNIWYVNLKACISQLPENGYGVNLTKWPARLQTSPDRLQSIKLDALLSRKELFKAESKYWNEVIASYVRAYRWKTMRLRNVIDMRAGFGGFAAALIDQNLDSWVMNVVPVSGPNTLPVIYDRGLIGVMHDWCESFDTYPRTYDLLHASYLLSVEKKRCNVSSIMLEMDRILRPGGHVYIRDSLSIMDELLEIAKAIGWQATLRDTAEGPHASYRILVCDKLIPHG >RHN69089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40947730:40948337:1 gene:gene17518 transcript:rna17518 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCQYLFRYISVGVFLILYSIHLILLLYLDSCRFMYGFNIESIVDADDDRFNYFVKKGGIMIFASSYFEFIRIRIFLKSQNASFCLLGE >RHN50762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10243625:10253420:-1 gene:gene35088 transcript:rna35088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MKEFQSDLSSALRLNIGGKKFWTTIDTVTQREPDSMLAAMFSGRHTLSQDPNNGYVFVDRDGKHFRHILNWLRDGVVPTLEEAEYTELLREAEYYQLLGLIDGIQDVLNKRKEEEELRTELTRTDIIKCIQSERVRFRGVNLSGIDLSKLDLSFVDFSYACLKNVFFSRANLQCAKFRDVDAEGAIFHNATLRECEFTGANLRGALLAGTNLQSANLQDACLVGCSFCGADLRSAHLQNADLTNANLEGANLEGANLKGAKLNSAKLKNANLQRAYLRHVNLRDTDLEGAKLDGANLLGAIR >RHN43194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43917335:43922427:1 gene:gene49734 transcript:rna49734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane attack complex component/perforin (MACPF) domain-containing protein MTQSPSVSDFEAAQKAINTLGLGFDLTQDINFDNCKTGSQLILTDEEESRRLEIPGGVSIPDVLEENLLESIQISSPWNRFMLQHFNREMRLSGKIASGHFCASFGICFQGLKELDSIVHLAYDGWFIKRYTVELERYHGELHDHVKEAVPSSWDPEALARFIERFGTHVIVGVSMGGKDVLYIRQEDTSDLHDPASIQKLLTETASTKFMDSADNHCLPSQDLINIKKNLFEMHIRKGGSSQKMSHNKWLNTIDSEPDVISMHFLPLTALLGGIHGAGFLSHAINLYLRYYVKKNGIPTNKE >RHN75454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42419853:42427071:1 gene:gene11665 transcript:rna11665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MASQRIGELDFSETGHSTHHVPYGIHHRINNNNPSSSLMNEESGFDFGELEEAIVLQGVKNGNDETKASFFTARPAATLEMFPSWPMRFHQTSTVGGGNKSGGESSDSALSSKNENPFEPESPLSSKKASSFSSDHNNNMDQQNLQLQQQKMIISNDASAAIRTASSSQNQISAAAKEKKKGAGSTSDKPLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQDLQRARSQGMFMDWSGGVGGNISSGGAMFDMEYGRWLEEDNRLLTELRNGLQAALTDNEMRVMVDGYLCHYDQIFRLKGVTAKSDVFHLINGMWTSQAERCFLWIGGFRPSEIIMMLIQQLEPLAEQQIMGMYGLRHSSQQAEEALSQGLDQLQQSLVDTIAGGPLVDGVQQMVVAIGKLSNLEGFLRQADNLRQQTLHQLCRLLTLRQAVRSFLVIGEYYGRLRALSSLWASRPRENMISDDNSCQTTTDMQMVQPSQNHFTNF >RHN55963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26107774:26113016:-1 gene:gene31263 transcript:rna31263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MGSVFGWYGPLIDLSIASRHIGEFVQLLVFVHRCFPVQFKSSKTGRDFTRTDIQVGDETTPYFGVSIWQTQMASLLSAGDVVLLQNFMITRYGDVVEAKTVQWSSLLCLVHPIHSLISKDVEELIAGCRIGTTTKEKLRRVIKWVRQSRPTICNTNLKSTKQIEYLPRNWTVLEEREPTDCCSLMEVSQLTTSCKTTVLASICEIVPLCNIRTPGDTVKEKIFVSRRFSKSEGNNLEEDLLCTGCQLCGSPLDSKSEQNTFPLVCSKNSTRLHAVCSIYRPFMLYVWDESYFMPVLVKNRAAEILFGNMKAEKVYSSYREQMLSQNPGLKSKCKDKDGNVRLSNDPRLSREGLPSASSLEEGKSLELEGNHLCTKPFNFYHVWLIFLKLLLKQGKNSPLKFKIIVDPNLDVENGKFELVSATLPCFGTK >RHN58504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2327814:2330097:-1 gene:gene20423 transcript:rna20423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MFYYSSKLLSSSFPNLSLSLFLKRMISNTPLHSFVKSPISLLETCNTMYEINQIHSQTIKTGLSSNHLFLTKVIIFCCTKESGDVYYARKVFDEIPQPSVFIWNTMIKGYSRINCSESGVSLYKLMLVHNIKPDGFTFPFLLKGFTKDMALKYGKVLLNHAVIHGFLDSNLFVQKGFIHLFSLCGLVNYARKIFDMGDGWEVVTWNVVLSGYNRFKRYEESKRLFIEMEKKCECVSPNSVTLVLMLSACSKLKDLVGGKCIYNKYIKEGIVEPNLILENALIDMFASCGEMDAARGVFDEMKTRDVISWTSIVTGFANTCRIDLARKYFDQMPERDYVSWTAMIDGYLRMNRFKEVLTLFRDMQMSNVKPDEFTMVSILTACAHLGALELGEWAKTYIDKNKIKNDTFIGNALIDMYFKCGNVEKAKKIFNEMQKKDKFTWTAMIVGLANNGHGEEALTMFSYMLEASVTPDEITYIGVMCACTHVGLVAKGKHFFSNMAVQHGIKPNLTHYGCMVDLLGRAGHLKEALEVIMNMPVKPNSIVWGSLLGACRVHKNVQLAEMAANEILELEPENGAVYVLLCNIYAACKKWKNLHNVRKMMMERGIKKIPGCSLMEMNGIVYEFVAGDKSHPQSKEIYAKLENMKQDLSNAGYSPDTSEVFLDVGEEDKETALYMHSEKLAIAYALISSGKGVTIRIVKNLRMCVDCHHMAMVVSKVYNRELIVRDKTRFHHFRHGLCSCNNFW >RHN63774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53941489:53946711:-1 gene:gene26518 transcript:rna26518 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AIH MMHLENTPTFHGFHMPAEWEPHSQCWIGWPERADNWRDGAVHAQLVFTRVAAAISRFEKVTVCASSAQWENARNQLPDHVRVVEISSNDSWFRDIGPTFVVRRETSKSDDAEHRIAGIDWTFNSWGGLEDGCYCDWSLDSLVKKKILDVERIPRFSHSMVLEGGSIHVDGEGTCITTEECLLNKNRNPHLSKSQIEDELKAYLGVRKVIWLPRGLYGDDDTNGHVDNMCCFVRPGAVLLSWTDDKTDPQYERSEEAYSLFSSVTDANGRKFEVIKLHVPGPLYMTEKEAAGVFQDDGAKPRLPGTRLAASYVNFYIANGAIIAPQFGDKKWDDEAIRVLSKTFPHHEVVGIEGSREIVLSGGNIHCITQQQPAI >RHN50023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3229178:3234883:1 gene:gene34270 transcript:rna34270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteamine dioxygenase MGIERTLADRKGRDFCELPKETITSSRSRRNRRRQKKMPPVQKLFETCKEVFASSGTGIVPPAEDIDKLRSVLDGIKPEDVDLDPNMPYFRANASHRRPKITYLHIYECEKFSMGIFCLPPSGVIPLHNHPGMTVFSKLLFGTMHIKSYDWVVDLPPESPTIFKPSESPDLRLAKVKVDDDFTAPCNPSILYPEDGGNLHCFTAVTACAVLDVLGPPYSDFDGRHCTYYTNYPFSNFQVEGLSIPEEERSVYEWLQEKDQLEDLKVEGRMYSGPTIVES >RHN46234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29854337:29855478:-1 gene:gene40690 transcript:rna40690 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRRPNTFQRFHSTSISITFKIRSCVVESSSNCGANPIIWGVSIHFESKRHLLMIFISAKIKLFSIATCFILDMIRLERSNMMQVHSLKANPDHFKGI >RHN42694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40286806:40288196:-1 gene:gene49173 transcript:rna49173 gene_biotype:protein_coding transcript_biotype:protein_coding MCFWIRRRRRRMDAMGEQMATTGGLGASYILGMDDREQIMIALRKKDQGENIIGLCGPDKIVKHSVKTSRRKAERDQLFQKIVTATVTKKPDISKIQTQIGIAIGLNFDDKIDLSETSCCVCYGNNKRMTTAERALLLCAKIKKLQTVLVVLYDLHGRLDLGEIGIPFGEDHNGCKILLTSTSSEALSKHMKVDKLIQLSET >RHN55802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23983343:23986428:1 gene:gene31067 transcript:rna31067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ascorbate ferrireductase (transmembrane) MATATVFPSLLPLLFLARVCGLSVAFLVLFWALTFKSSFLNTSNSLPQQDLIYAVLHPVLMVIGFILLSGEAILVHRWLPGSRGLKKSVHLCLQGVALASGIFGIWTKFQVNDGIVANFYSLHSWMGLICVSLFGAQWLIGFLNFWHRGEIRTVRIKILPWHVFLGLYTYALAVATAETGLLEKLTFLQTQRNVSKHSTESMVVNSLGLGLALLSGFVILAAVSPKYQILQSKLLYSDSRCLAS >RHN77771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9316142:9317555:-1 gene:gene1259 transcript:rna1259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MKSEDHTRIENFLKDYRALKPTRFSYADIKRITNKFEDKIGEGTHGAVYKGKLSNQILVAVKILNNAEEDGKEFINEVGTMGKIHHLNVVRLLGYCADGFRRALVYDFFPNGSLQKFISSPNNKDDFLGWDKLQKIALGIANGIEYLHQGCDQRILHFDINPNNVLLDDNFIPQITDFGLAKMCSKNQSIVSMTAAKGTVGYMAPEVFSRNFGNVSYKSDVYSYGMLLLEMVGGRKNTKTTGGEENIQIEYPDWIHNLLEGGDIQIPIDEEGDFRIPKKLATVGLWCIQWHPLHRPAMKFVIQILQGEGDMLKVPTNPFGPTTTTYTNANIVPERMNLELEVIEELE >RHN40961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25018125:25019881:-1 gene:gene47212 transcript:rna47212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-Pl family MILTIPENQKNNGFPQSILNRVSMLKNLNLPNIVGLQAVMETEDAVFLVYEHFHTSLRKHLANPEFLNIPNWKKMGNPCYKAPELLMGSTNYSTAIDIWSMECIFAEMLRLELLLLGTPTEETWPGVSSICNYIEAFNPPIVPKDLAAEFPELEPDGLDLLSKMLRLCPNSRISADQALEHPYLRILTTHDD >RHN69452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43525678:43529352:1 gene:gene17928 transcript:rna17928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MADRVDTVARLAQWKIENFGPCSYKKSDPFKLGIWNWYFSIVRNRFFSIHLFPEPSKVSKDHPPVARFILRVSVAGSSRKFIVSPVYERLLRTQDDFVWPIDTAFVGRFIIDVEFLDLKICHMNGGEPSSIWSSDGTSQCNEAQRSTLHCLSRMLDEAIHADLTIITSDGTLRAHKAILSASSPVFQSMFHHNLKEKESSTIHIEDMSLESCTALLSYLYGAIKQVDFRKHCLALLGAANKYDIGGLKDLCEENLLEDINSDNVLEMLNEAWLYQLHKLKKGCLTFLFEFGKIYDVKTDEINNLFQHADRELVMEMFHVMLTISNPE >RHN66928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21741467:21743361:1 gene:gene15050 transcript:rna15050 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGSMARVLDTLIGPGVMLLYPLYSSMRAIESPSTLDDQQWLTYWVLYSFMTLFELSFYRILAWFPIWPYMKLVFCIWLVLPMFNGAAFIYENYVRQYVKQIGSYGGFNKYPDEQKKVLQMISLDARKSVERYIDRYGPDAFERVIKVAEKEARKH >RHN63056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47989410:47990134:-1 gene:gene25711 transcript:rna25711 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLLIQPIWGWPSGWLGILEFAPLEVSGSILSGANFGGLSPYRACSGFKRGPRKWAVGLVPSD >RHN71994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4252205:4255265:1 gene:gene7660 transcript:rna7660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MAWCNDKDNNNSDVTDNIKLNEEIDQNQTIECPSCGHNIEVKNQGGVNELPGLPAGVKFDPSDVEILEHLEAKVMSNVSKLHPLIDEFIPTIQGENGICYTHPAQLPGVSKDGQIRHFFHRPSKAYTTGTRKRRKVHTDEEGIETRWHKTGKTRAIFSNGVVKGFKKILVLYTNYCKDGKPEKTNWVMHQYHLGMNEEERDGEFVASKIFYQTQPRQHGSNSINNVRDSYEKIINKGSDHGNNSAAPNNVAHVECYNACYTNYDNMHVGHSRESTPQLIIPNMAVQSNGTIIPLSMDHANKVRVLERK >RHN67156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24448153:24448578:-1 gene:gene15305 transcript:rna15305 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWHTLSDQPSYGSQIGGNTGSRSSGSKRSHKSDACGSNYVGSSARPMGREAAKNKGKKKSKEAALEVVDNEWVEFKQFKEKELERLDKIALMQLEINQLMKERTHTKKMKMYLKLSSKEHLDDRKKEMLGKLGQELFGN >RHN48633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49024303:49024842:-1 gene:gene43372 transcript:rna43372 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFQSDGRTSKSHIGLLDQPATSTSTSTSTSYNLMNSNTIFNLSEQGTCDQYNSNNCAPYSTTTKRVIRFKRVYSTRTRGHSGPLQSLARKLGRFKVRTVVVEVASNPDSEQNK >RHN58228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:95716:101603:-1 gene:gene20115 transcript:rna20115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-V family MHINHNLSWLWIIVLISTASVQCKTSSQDVSALNVMYTSLNSPSQLSGWKSSGGDPCGENWEGIKCSGSSVTEINLSDLGLSGSLGYQLSQLTSVTDFDLSNNNFKGDIPYQLPPNARNVDLSKNAFTGNIPYSIGQMKELTSLNLAHNKLNNQLGDMFTTLTKLKQLDVSFNSLSGELPQSLKSATSLKKIYLQNNQLSGSINVLAYPPLDDVNVENNKFTGWIPEELKDINSLQTGGNSWKTGPAPPPPPGTPPIKHHSEEKEGKSSNSLVTGLIIAGIAFGALVVIILIAALFKRKSSYASSRFIDEERRSQHRSFTPLASQELTKDLGGNDTEYKGFKAMDSTSIDIMALQKNPSVSVRSSVSDCVQNFNDNEFANRLNSRKSASIRCTAFSLGELQTGTANFASGRLLGEGSIGPVYRAKYADGKVLAVKKLNPSLIDEGSPEEFTQILSNMCKLRHPNIAELVGYCSEQGHMLVYEYFRNGSLHDFLHLSDDFSKPLTWNTRVRIALGTARAVEYLHEACSPPLLHKNIKSANILLDTDLNPRLSDYGLASFHQRTSQNLGAGYNAPECTKPSAYTLKSDVYSFGVVMLELFTGRMPLDSSKPKSEQSLVRWATPQLRDINAVEKMVDPALRGLYPPKSLFRFADIVALCVQSEPEFRPSASEVVQALVRLVQRSSLKMREDLGAIGRTDDYDD >RHN78225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12935054:12938979:1 gene:gene1759 transcript:rna1759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MAVLLLRLQFSKRGRNSRVPKIYVEKYWKGISNPIFLKFPNGVQQEIFWAESNGDIWFQKNWESFAKFLKYGYLLTFKFIGGSYFKVKIFGANTLEINYANIKSVDEGAEDTEEGIESDESSDDEDNEESDEVSDESSDEVEIPKQAQRTRNGKRKFSGSNKVSMVKKAKKYPMAQSEAVNERANNENPFFEVTMSHTYTNGYLLWLPREFSREHLENFNGTATIRVGKERSVEVSLRYYDTKEKSCLGGGWKIFREKYNLQVDDVCKFEMIQRRPFLFTVTITRAGKEPIPKKLLEGPDVMKPYTFKVLVKNTAPSVPKEFMKRGCHENIVELKMGRKSWFVKVNYYESICGCRFSKGWRKFMKECKVEIGDTCLLKLIDERKFVFDVSIAGKNPLAVCSPRY >RHN71819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2804933:2808967:1 gene:gene7456 transcript:rna7456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MGDLEKQQEKVMVMDEGEGREGEENERLLEGMAVLDFDMLCSSVALQTANGTWGKLGGGGDDEQRGEEFGGVLRMWEGEVLDCFEHRRIALESSCCPCYRFGKNMKRAGLGSCYIQAFVYFLLAICALFNFIAFIVTRHHYFLYLTVTFIITGGAYLGFYRTRMRKKFNIKGSDSMVDDCVYHFVCPCCTLCQESRTLEINNVQDGTWHGRGDTICIGGIRNGSKALPEMIPPPIESIKLTDENYTL >RHN67270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25395307:25397514:1 gene:gene15446 transcript:rna15446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEMVVSLVIDQLLPVLREETKLLRGIHKDFANIKAELESIQAFLKDADKRAAGAEGDNSSEGVKIWVKQLREAAFHIEDIIDDYLIQVRQQPRDPGCIALLHKLKTTLPRRRIASVIQDVKSSVIEITERSERYGFQRSFEQGTSNSRGSRNAEWNDPRVAALYIEEAEVVGFEAPRKRLIEWMVKGREERTVLSVVGMGGQGKTTLAKKVFDSKDIMGHFDCRVWITVSQSYNSEGLLRDMLLKVCKQKGETPPEGISQMNRESLTDEVRNHLRKSRYLVVFDDVWNEFFWNDIKYVAIDCKNGSRIFITTRKKNVVVSCKESSFIEVHELQPLSLEQSLELFNKKAFKIDCDGCCPNELIGIADEIVKKCSGLPLAIVAIGGLLSTREKNVFEWQRFREHLNSELKTNAHLIGIEKILSLSYDDLPYYLKPCLLYFGVYPEDYEVKSKRVIRQWIAEGFVREEKEKTLQEVAEGYLIELINRSLVQVSSLKIDGKAKGCRVHDLIRNMILEKSEDFNFCKHVSDDGQTSLSGIVRRLSITTIDDVFKECIDKSHVRSLFCFGIKRMSPSFDRGIPTKYRLLKVFDFEDFVMNNIPMNLGNFIHLKYLSIMMSINAVEVVPKSIGMLQNLETLVLRGRYYFELPKEIRKLRKLRHLIGTELSLIHLKDGIGEMKSLQTLRYVSLNMDGAAEVIKALGKLKLIRDLGLLNVPKENESIFSSSINEMLHLEKLSE >RHN60169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22281013:22284748:-1 gene:gene22410 transcript:rna22410 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVRGAASLTRITAPSSKSPIQLIHRRGLAAAADHHGSAKVACWKDPMSPSKWKEEHFVIVSLTGWGLTFYGGYKLFSGGKKEEKLVEASV >RHN63835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54341232:54349112:-1 gene:gene26589 transcript:rna26589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription initiation factor IIE subunit alpha, TFIIEalpha/SarR/Rpc3 HTH MIEPYNKLVKLAARAFYDDLTSKGDNQPKTGRSDNRGIAVVVLDALTRRQWVREEDLAKDLKLHTKQLRRTLRFFEEEKIITRDHRRETAKGAKIYSAAVAATAEATTGKEGEEKVKLHTHSYCCLDYAQIYDVVRYRLHRMKHKLKDELENKNTIQEYICTNCGKRYNALDALRLISFEDEDFHCERCNGKLEVESDKLAAQDGGDGGDGDENARRRRREKLKDMLQKMEVQLKPLVDQVSRVKDLPVPEFGSLQAWEARASAAGRAANGDNGGDSKSHLGYNGAPMPYSGDTKVVVDFNGTEGKGEGVKSETDSTSLKVLPPWMITSGMNLTKEQRGEVKQETKMDGTSTSTSAQFTDDKKSTVGHDDKNIQDEYVKAYYAALLKKQRELEEAAKNPQDANAADDPSSSTSNRKVGGKSKREEDDDGTEWEEAPVRGNANGAYKVDLNVEADAQPEEDDEDDIDWEEG >RHN61134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33346649:33347444:-1 gene:gene23567 transcript:rna23567 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTMIQREETVLRCAHHTPSCDTFPVQNILSSSFFKFNRNQESMARKGRKRA >RHN76331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49400322:49400669:1 gene:gene12633 transcript:rna12633 gene_biotype:protein_coding transcript_biotype:protein_coding MHTCYCNENSRNPGLQLDPTQQINSKPKQQNRIRHNLPKKLVLPETGVVAANRNYETYVVVLKLKTAAPVKVLRHAPVDVLLFLTSEKPFVFGGREVFCAAEFREEGGCNRWEER >RHN73126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13627742:13631630:-1 gene:gene8910 transcript:rna8910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative MAGE domain-containing protein MANNIEDFSQFGISKEEKDKLVGEVIRYMLFKTHQNSGCPIKRDELTQLITKNYHQRNLPTFVINEAKDKLAAVFGYEMRELSRSVPSSKSQTRASQSSADAKSYILISRLPSDLYEKYVVDANSAHMSGFTFVIISIVHLAGGKIPEENLWSQLNRMSLSDTEAHHPILGNIKQTLELLVQQRYLQKDKVHGPEGNIIYYELAERASDGPINNKVKEYISQIMRDTA >RHN63957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55352822:55356636:-1 gene:gene26722 transcript:rna26722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-YABBY family MSSCSIDVAPAAAEQLCYIPCNFCNIVLAVSVPCSSLFDIVTVRCGHCTNLWSVNMAAAFQSLSWQDVQAPSHCMNPEYRIRTSSTPKCNDRIAMRSAPTTHVTEERVVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLESNNQAKIENVSEKRLMSRSALMNK >RHN52715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38033519:38043224:1 gene:gene37414 transcript:rna37414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MEEENKEMKSFKDLGLPESLVEACEKMGWKNPLKIQIEAIPPALEGKDLIGLAETGSGKTGAFALPILHALLEAPRPNHFFACVMSPTRELAIQISEQFEALGSEIGVKCAVLVGGIDMVQQSVKIAKLPHIIVGTPGRVLDHLKNTKGFSLARLKYLVLDEADRLLNEDFEESLNEILGMIPRERRTFLFSATMTKKVEKLQRVCLRNPVKIETSSKYSTVDTLKQQYRFLPAKHKDCYLVYILTEMAGSTSMVFTRTCDSTRLLALILRNLGLKAIPINGHMSQPKRLGALNKFKSGDCNILLCTDVASRGLDIPAVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYVQIEKLIGKKLPEYPANEEEVLLLEERVGEAKRLAATKMKESGGKKRRGEGDVGEDDDVDKYFGLKDRKSSKKFRR >RHN45887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26536229:26537428:-1 gene:gene40311 transcript:rna40311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNLHPSQPQRRSNVSPSPKFIPNDLIFGVFSLLPVKSVMRMRCLSKFSNSLITSPIFVKFHFQRFARNPHLALVTTKTKTVVPFPVRDLIDNRLITLTNDPHYLTNDGSKDMVFHQIVGSCNGLICLLNYSIGYEDVFLRFWNPCTRTKSEKLGYLSLLNYPIHERRYFKFAFGYDNLTSTYKAVLLNFHTRTGTTKITTVKVFSLADNLWRNIENFPAIPLQLLNVGQRVQNGVYLSGTVNWLAVFDNPFYSVDKYVIISLDLGTETYTQMRLPQGFDDLPRVYPTIGVLKGFLTFSYDFKQSHFVIWKMEEFRVEESWCQFLKISYENLQINYNIRQFYLVPLHLSEDSDAMILASSLEGQAILYNKRNNKVERTRITNSICWFSVKDYVESLASTC >RHN71700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2023806:2026945:-1 gene:gene7328 transcript:rna7328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK family MHMAVASNQLLQLVVFAVFFAKATTQQLSLPNCTTKCGSVTIPFPFGTTKDCSLDNTFLINCTKASSSSSSTSTHIPFLPHTNQTVLNISLDGELRVAWPVASDCYDEKSIQVNQSFWGIRMTHFPVSSTRNKFVAVGCDTIAILAAFDFGGNNYTTGCLALCNRFNDIVANESCSGTGCCQISIPQGHLFEKVSFVTVGAFNNHSAVHDFNPCGYAFFVENGAYTFESTDLLKLEKKEFPVLLDWAVGNQTCQQAQKDLANYACKDNKSTCYNPPEKSGYLCRCLHGYRGNPYLIHGCQDINECMESNDCVEEATCTNLPGSYQCLCPAEYEGNGKMNGTKCSPKSNTKSRKEIIMIIAMSVSMSLVALLVGSFYAYLALKKRKLIKLKEQFFQQNGGLLLQQEVVRHGGSTETTKVFTVEELNEATNNFDEGKILGQGGQGTVYKGVLQDKRIVAIKKSKISDPNQIEPFINEVIVLSQINHRNVVKLLGCCLETEVPLLVYEFIPNGTVYEHLHDQNPTLKLTWKTRLRIAKETAGVLAYLHSAASTPIIHRDVKSSNILLDRNLTAKVSDFGASRIVPLDHSQIKTLVQGTWGYLDPEYFHTSQLTEKSDVYSFGVVLAELLAGKKALSFSRPELDRNLALYFVSSMKDGQLLHILDKNIDEANIEQLKEVALIAERCLRVKGEERPTMKEVAAELEGILVIEEHRWGSGNQSSEETDTLLRTTSPIIVTDGGNNYSSESYSINQITMSFVGGR >RHN59281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9039863:9045163:1 gene:gene21286 transcript:rna21286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nitroreductase MPSSSSLPLRNYNQFPFFHFLPTTTFNNNHFFPLITKKSQSMSSSSSKSNNPTQQKQQQPQNPNIEQEQNQQQNKLTHVLKYHNQTKHNFNNYARGPHGLDWANQPNPFRRFLNSPLIPLPHFTPQQEQQSPFYPSLFNSLPSPKPISKSTISHFLYHSLALSAWKSTSFSTWSLRVNPSSGNLHPTEAYIIAPSIESLSDSPFVAHYAPKEHSLELRAKIPSGFFDKFFPPNSFLVGFSSIFWRESWKYGERGFRYCNHDVGHAIGAISMAAASLGWDVKLLDSLGCEELKFLMGLDVFPEFETPTRAVKGKIPEIEFEHPDCVMLVFPSGVTGFDLDYTELSNAILEFSKLDWIGKPNSLSKEHVCWDIIYRTSEIVKKPLTLGDRLVVDPFQSSGIISESVYKGLTVSEVVRKRRSAVDMDGVTTMERETFYQVLSHCLPSGCEAGKKQRKQLSLPFRALPWDAEVHAALFVHRVEGLPQGLYFLVRNDDHYGELKKAMNPDFVWTKPEGCPDDLPLYELLRSDCRRLAKQLSCHQDIASDGCFSLGMLARMESTLREKDVWMYPRLFWETGVLGQVLYLESHAVGISATGIGCFFDDPVHQLLGLKGSTFQSLYHFTVGAPVLDKRIMSLPAYPGPDVDA >RHN42257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36784111:36786406:1 gene:gene48677 transcript:rna48677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative omega-hydroxypalmitate O-feruloyl transferase MLYCLSHHISYSIHSPNSLNTMTEVLVISTTTIQATKHGDENSTHKIIDLVPWDLKYLKLAPIQKGLLFHKPNTNQIDHLKQTLSSTLNFFPPLAGRLVITQHDEPNNASCSIICNNAGALFVHAKAEHTAIADILQPKYVPPIVSSLFLLNEVQNYEGTSQPLLAVQVTELIDGIFIGFAINHVGVDAKSFWHFINSWAEISQGFNKPTNIPSFKRWFSNNINRPIRFPFTKEAQKQPFTNEAQNQQCEVSSHRIFHFSKEQILQLKSKANAEISSSNSSEKIIISSLQALLSHVWRLIISKQNLKPEERSAFVLPIDCRTRMCPKLEDNYFGSGIGATGYVIMQVGELMESGIGKIAMEMNKVISIQSHHEKVVNNYESWLKTPSIPEAGRSSSNLLIASSSPRFNYYGNDFGWGKPIAVRNGVGLKRNIGRVIVLGGEEEGSVDIQVCLPYDILEAMGNDHLSMDAITV >RHN73864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20803783:20811029:1 gene:gene9748 transcript:rna9748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Znf-LSD family MRSHIMCTGCRNILLYPRGASNVCCAVCSTITPVIPVLPPGMEMSQLYCGGCRTLLMHARGATTVRCSCCNTINLVPDSNQMNHIRCGNCRTTLMYPHGAPSVKCAVCHYITNVDMTNGRPPTPVRTPNETTSSETSRPRFESQTVVVENPMSVDSSGKLVSNVVVGVTTEKK >RHN43433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45849661:45851974:-1 gene:gene50009 transcript:rna50009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphogluconate dehydrogenase (NADP(+)-dependent, decarboxylating) MDASTLSRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVDRAHREGSLPLTGQYSPREFVLSLQRPRSVIILVKAGAPVDQTISALSDHMEPGDCIIDGGNEWYENTERRIQQISEKGILYLGMGVSGGEEGARNGPSLMPGGSYQAYSNIQDILTKVAAQVEDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKHVGGLSNSELADIFAEWNSGELESFLIEITADIFKVKDEGGDGYLVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKEERENAAAVLKEAGLGEEVGGFVRSGVDKKRLIDDVRQALYASKICSYAQGMNLLRAKSDEKGWNLNFGELARIWKGGCIIRAVFLDRIKKAYQRNPNLASLIVDPEFAKEMVQRQGAWRRVVGLAISAGISTPGMCASLAYFDTYRRARLPANLVQAQRDLFGAHTYERLDRPGAFHTEWTKLARQSGTGAAALN >RHN71112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56541694:56542040:-1 gene:gene19756 transcript:rna19756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase MGLLFLRSFRLGLEAGSKPELLLALSCLCKGNREAFLVCNGFKDNLVGRKLALNGVIALEQEEELNMVVEISNKLYIRLELLADGVGEAAQIYLLLMG >RHN79167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24890594:24894787:-1 gene:gene2897 transcript:rna2897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MEFYVNGTEPNEFTFATVLTSCTSSLGFILGRQIHSLIIKLNYEDHVFVGSSLLDMYAKDGKIHEARTVFECLPERDVVSCTAIISGYAQLGLDEEALELFRRLQGEGMKSNYVTYTGVLTALSGLAALDLGKQVHNHVLRSEIPSFVVLQNSLIDMYSKCGNLTYSRRIFDTMYERTVISWNAMLVGYSKHGEGREVLKLFTLMREETKVKPDSVTILAVLSGCSHGGLEDKGLNIFNDMSSGKIEVEPKMEHYGCVVDLLGRSGRVEEAFEFIKKMPFEPTAAIWGSLLGACRVHSNVDIGEFAGQQLLEIEPGNAGNYVILSNLYASAGRWEDVSSLRDLMLKKTVTKEPGRSSIELDQVLHTFHASDRSHPRREEICMKVKELSTSFKEVGYVPDLSCVLHDVDEEQKEKILLGHSEKLALSFGLIASPASVPIRVIKNLRICVDCHNFAKYISKVYGREVSLRDKNRFHRIVGGKCSCEDYW >RHN53878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4929254:4929657:-1 gene:gene28838 transcript:rna28838 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLVGNGLEICNRWYKRFQVLGPISNLTDRLSTLALSIR >RHN70905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54943200:54947018:1 gene:gene19539 transcript:rna19539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MSKLPLSISKFPFSIFFLLTFIIPFKVISQTTTTEQTILLNLKRQLNNPPSLESWKPSLSSPCNWPEINCTGGTVTELLLLNKNITTQKLPSIICNLKNLIKLDLSNNSIAGDFPTWLQNCSNLRYLDLSQNYFAGQIPNDISKLKSLTYFNLGGNSFTGDIPAAIGKLQILQTLHLFQNNFNGTFPKEIGDLSNLEILGLAYNYRLKPMEIPIEFGNLKSLKFMWISQCNLIGNIPESFENLTNLEQLDLSMNNLTGNIPTNLLSLKNLNSLFLFRNRLFGVIPNSVQALNLTHIDLAMNNLTGAIPEEFGKLQNLMFLHLYSNQLSGEIPRSLGLIPNLRNFRVFDNKLNGTLPSELGRYSKLVAFEVSENQLVGGLPEHLCNGGALLGVIAFSNNLSGNLPKSFDKCGSVTTIQLYKNSFLGEVPLSLWNLTKLSTLMLSDNLFSGKLPSKLSWNMSRLEIRNNNFSGQISVGVSSALNLVVFDARNNTFSGEFPRELTGLLQLTTLMLDGNQLSGTLPSEIISWQSLNTLTISRNKISGQIPIAMSSLPNLVYLDLSENNITGEIPAQLVKLKFIFLNLSSNKLTGNIPDDFDNLAYENSFLNNPQLCAHKNNLSSCLTKTTPRTRSNSSSKTKVLVVILAVAVIALLGAASLAFCTLKKHCGKKPVRRKLSTWRLTSFQRLDLTEINIFSSLTENNLIGSGGFGKVYRIASTRPGEYIAVKKIWNVKDVDDKLDKEFMAEVEILGNIRHSNIVKLLCCYSSESSKLLVYEYMENLSLDKWLHKKKMKTSVSGLSSHTENQLVLSWPTRLNIAIGAAQGLCYMHHECSMPIIHRDVKSSNILLDSEFKACIADFGLAKLLVKNGEPYTASVLAGSFGYIPPEYAYSTRIDEKVDVYSFGVVLLELVTGREPNYGGENACSLVDWAWQHCNEGKCVTDAFDEVMRETRYAEEMTKVFKLGLMCTSTLPSTRPSTKEILQVLRQCCSSSSTRKRMSIEVDITPLLGNTTYISSYKDSRTGSENEESCLYSV >RHN63492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51738024:51742381:-1 gene:gene26194 transcript:rna26194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative apyrase MEAPKSPSKHKRFTTKHKLLFKITAVIFILILLFLGFYLESHNWKPNSTSYYTVVIDCGSTGTRVNVYEWMLGGVVGKKNLPILLHSYPDNNATKKNNSLWKNSCQYHCMQTEPGLDKLVNDSLRVRRALEPLIMWAESLVPRKMHGDTPIFVLATAGMRRIQRDDVFRVLEDVEAVAKDHSFMFDKRWIRVLSGREEAYYGWVALNYKMGSFDDDYHRGSSTLGLVDLGGSSLQIVVEIDRDTGDDVNAIRSEFGSIEHRIVAYSLPSFGLNEAFDRTVAMLRNNQRVESTRGVSELRHPCLMSTFVQNYTCNSCSGFHAVGTKNHSQSQKTELRSLSLVGNPDWEQCREIAVAAAMNLSDSQVSHQAVGANCEASLFSGIGTGALNLTAVAHPMKRFHALSGFFFVYNKLNLSPRANLTTILESGKQICSNLWAGLSNISDHPKYAGQFCFRVAYMASLIEHGLCLGDVEMEFGPGDVSWTLGAALVEGKFQWLNIASHKSQTIYSALKNVKVMSSPTTLFAVLLFLLFVVYCTQIKLPMPGRRASAPGSSLPSHTHTRHRAN >RHN69604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44833742:44840955:-1 gene:gene18093 transcript:rna18093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA reductase MTLLWTFSVAFSNYQLFKDSLFSHKIVSYPRSSPSTYPNKPVCVITGATSGLGLSTACKLSKEGYVVVIGLMIGTNYIGPFVMTKLLLPLLESSHVSSKIVNVTSFTHRAVTNMQVDEGTVYGKKFLKSKQYPYAQIYEYSKLCLLLFSYELHRQLCQMGKSHQIFVNVANPRVVQTNIMREVPASLSWVAFFVLKRLRLLESSECGNDSIIDAALVPPGTSGAYFFWGKGRTINSSALSQDAKLAHELWETTSNLLSVTPFGN >RHN56292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29555285:29555936:1 gene:gene31668 transcript:rna31668 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLEYWYLSSTLKKSYSVQGTIALFSIILSQRCNFFFLPIKLPLLKILARWDLQAKQLLGE >RHN52861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39633039:39641663:-1 gene:gene37585 transcript:rna37585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine incorporator/TMS membrane protein MEPRESNNINSNQQGCGLKDSSWCCQFKNASNPLMARYVYGLIFLAANMLAWATRDELSSISALTELKGFKACKVGKDCLGAHGVLRVSMGCFLFFMMMFWSTTRTSKLNEPRDRWHSGWWATKIVLWIILTIFTFLLPSELIDLYGEVAHFGAGVFLLIQLVSIISFITWLNDCFASEKYAERCQIHVMVFATGSYFICLVGIILMYIWYAPIPSCLLNIFFITWTLVLLQIMTSVSLHPKVNGGILSPGLMGLYVVFLCWCAIRSEPEGDQCIRTSGTVTKTDWQNIISFVIGILAIVIATFSTGIDSKCFQLRKGDKPAEEDDVPYGYGFFHFVFATGAMYFAMLLIGWNTHHSMRKWSLDVGWTSAWVRIVNEWLAVCVYLWMLIAPIIWKARQTEST >RHN52652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37390469:37392130:-1 gene:gene37346 transcript:rna37346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFLRYCVVPVSLFLPKNFHPFQFLNNTHFYFIPSFSLSSSSTSTNDVENAVSMFNHLLHKNSTPPDIEFGKILGSLVKSKHYHNVVSLSQKMEFEGIKLDLVNCSILINSFCQLGHIPFAFSVLAKILKNGYEPDTITFTTLIKGLCLKGDIHQALHFHDKVIAMGFHLDQVSYGTLINGLCKVGETRAALELLRRVDGKLVQLDAVMYSSIIDSMCKDKHVNDAFDLYSEMAAKRISPNVVTYSALISGFCIVGKLKDAIDLFNKMILENINPNVYTFSILVDGFCKEGRVKEAKNVLAMMMKQGIKPDVVTYSSLMDGYCLVNEVNKAESIFNTMSHRGVTANVQSYSIMINGFCKIKMVDEAMKLFKEMHHKQIFPDVITYSALIDGLCKSGRISYALELVDEMHDRGQQPDIITYNSILDALCKKHHVDKAIALLTKLKGQGIRPDMNTYTILVKGLCRSGKLEDARKVFEDLLVKGYNLDVYAYTVMIQGFCDKGLFDEALALLSKMEENGCIPDAKTYEIIILSLFEKDENDMAEKLLREMIMRGLL >RHN62387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43071037:43072174:-1 gene:gene24956 transcript:rna24956 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLQKNKDNYDAARDSISADSFSFAGLVSIHDQQQQNHPVAPNPKPDPDFEFATIKANLYSTSTNPFKITPADMLISNGQIKPHAISFQPNRSFFTNPQVSSLRSLLEIDIEQTSSRARKYHEQLVKARNLKNKGKTVTKTWFGQKMFRSFVSPCRKCEAEQPGAVNGQTAPQERY >RHN47199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37818309:37820076:1 gene:gene41765 transcript:rna41765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MDFRPISLLGSLYKLISKVLACRLSKVMASIISNSRSAFLKGRNLVDGVVVINEVVDLAKKPKRECLILKVDFEKAYDSVDWGFLEYMMKRVGMCDTWILWMKVCVFGGNMSILINGSPVKEICIQRGLKQGDPLAPFLFLLVVEDFSGVMSNVFNRNLFKGFEVKRGER >RHN45744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25175333:25178660:-1 gene:gene40134 transcript:rna40134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MAKPGVLDSDPEVMLKKALELKKELKKLVKSIVDDEDISIEAIDKAKETLCVLKDLKLRKKSQSSNSMSFKINKNVTFPDEFKCPLSKEFMRDPVIVASGQTYDRPFIQRWFNAGNRTCPQTHQVLSHTLLTPNHLIREMIEKWSKNQGLELCNAMSYISDEGAKEADQSHFLCLLEKMSSTLCDQKEAAKQLRLLTKKHPCFRVLFCDFEHAIPQLLKPICEGDSINHELQEDVITTLLNISIHDNNKKQVAEIPMVIPILKKALRCGTIETRANAAATLFTLSALDSNKELIGKSNALKPLIDLLEEGNPLAMKDVASAIFTLCMMHDNKAIAVKDGAVRVIMAKMKNRVHVDESLAILALLSTHQKAVLEMAELGAVPCLLSIMRESSCERNKENCVAILQTICLYDRSKLREIKEEENSHRTISELAKSGTSRAKRKASGILERLHRVVNITHTA >RHN45554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22879658:22880145:1 gene:gene39920 transcript:rna39920 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGMMAVAWTRFWLLFVRLMCDCVVNWLLVFDWNEKLIVWFEKCLLGFGDFCRLWVNCSCKIVVGY >RHN76061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47363040:47364069:1 gene:gene12335 transcript:rna12335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MFANTFSTFITLSISHKFLHHSEFVAKNLEFQIPISEMDASTKTKKGAGGRKGGPRKKSVTRSTRAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPILLPKKNEKAATTTKSPSKATKSPKKA >RHN65170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64663090:64664592:1 gene:gene28078 transcript:rna28078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prolycopene isomerase MFAEWYKPGGCLEYPLMELQFIRAKKAVVSNASMWDTLKLLPQEVVPKSYSNRINTTSQCESFMHLHLGFDAEGIRSDLGIHHIVVNDWERGVDADQNVVLISVPSVLTPNLAPIGKHVLHAYLPGTEPFELWEGLDRKSAEYRNLKAQRSEIMWRAVERAVGPGFSREKCEVKLVGSPLTHQRFLRRNRGTYGPAIQAGTDTFPGHSTPIPHLYCCGDSTFPGIGVLQLLPVVQL >RHN45563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22917636:22918394:1 gene:gene39929 transcript:rna39929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MAIFDFNSKSKYHEENHAEQFHYYIPKEVLQNLETYPTFWDTMENSLQEDSNYFLFCDTYEKEKVKFNQLGEGNTQSFDVTNVQINQEENIDTYLSNDFVWPNIYEREIINLEQQNLKVPFLDVSNIHAINQENLPKEKLDTIIHKENMKEEQYGKGNTQKPLQRTKVKSNSIKKNRDKIIDIYKERSCSHCETKYTTQWRLGPLGKNTLCNACGLRYKSNRLVKGYRPVASPSFDFNKHSNFHKILLRKEG >RHN69384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43019503:43020864:1 gene:gene17844 transcript:rna17844 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYFNKRSHDTVIEQLESLHLPKSKMSFYYDKKVMTCGIALLKQAFSSTETCKISFYFDKGVLKSGIIS >RHN42064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35166142:35169656:1 gene:gene48455 transcript:rna48455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SPX domain-containing protein MKFGKEFKTHLEETIPEWRDKFLCYKPLKKLLKHHLPITTTTTTPINLHLHFLQQPFSPNILQAWFLRILNQELEKFNDFYVDKEEEFVIRFQELKERIERLKEKSSQSEKYTSDCEFSEEMMDIRKDLVTIHGEMVLLKNYSSLNFAGLIKILKKYDKRTGGLLQLPFTQIVLRQPFFTTEPLTRLVHECEENLELLFPLQEEVIQSTPHPEHESRPSVDNTTNTVPETSSTLGEETVHLYRSTLAAMRAIKGLQKASSTCNPFSFSSLFSNQDEDGTGAVTAENSAANSPDTLQNEEDTGKEDND >RHN72487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8040597:8042066:-1 gene:gene8206 transcript:rna8206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MEFPSPPHSFPWQYLVPSNLLFPYNFTPQNYVHWTETPQSHLFSAAIPGVRKEDLRVEVEDSKYLMIRTEVAVNEEDSTEPVRKFERKFRLPGRVDIDGISAEYENGVLTVTVPRLIRRGFHIDPAVVPERLEVLARAA >RHN66842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19901247:19902829:-1 gene:gene14944 transcript:rna14944 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGSEQRMVVIMNIWISLTVEQGENQCFEPSVPAFGEVARIISVSWA >RHN53461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1781232:1787785:-1 gene:gene28370 transcript:rna28370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MAEEEVIVAAPATSPVSSDCKRKFEDLHSQPTESNTDGAETDAAAVAQDDVNKRPRLEDDNQNDLANTNGHQEKKVAEAETDTDTEENAPSEEVQDVSKDNSEETAEPTDTNEILVEDVKEIPVEDSGKENDKEPSKATEQPSKESTEQDASSGDKQPDSSSIDPTLQHDTSSGQKQPISGSDTTTRKIEVPSNKVGVLIGKSGDTIRYLQYNSGAKIQITRDADADPHSSTRPVELIGTLESIDKAEKLMNAVIAEADAGGSPALVARGLSPAQAIVGSDQIQIQVPNEKVGLIIGKGGETIKSLQTKTGARIQLIPQHLPEGDDSKERTVQVTGDKRQIEIAQEMIKEVLSQIWPLPFPWGQHCFLDVA >RHN81843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49298366:49298776:1 gene:gene5935 transcript:rna5935 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKSSAVENGVIMWNFLLKELDNGVLVQIFKHLDIFESTSAIQRFTEALTKASTVQPVWETLDFSMLKSEFVKTPSEPFVWVNSGSDNTLYNLLFGALKLSQGKIKTLIFHYNLYLTNDQFIHMAVRYVIIYNF >RHN82800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56490837:56497341:-1 gene:gene6988 transcript:rna6988 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLLTTSSICFPRLLSLYPNSLIRRGGGIRKFHLYPVRCCRMMMDSTEKGLSAPLLDSVTQEFKNQSLIQKKKRELEDLNWDNSFVRDLPSDPRTDPFPREVLHACYTKVSPSVSVDDPQLVVWSESVAELLDLDNNEFQRPDFPLFFSGASPFVGAFPYAQCYGGHQFGMWAGQLGDGRAITLGEILNSNSQRWELQLKGAGKTPYSRFADGLAVLRSSVREFLCSEAMHHLGIPTTRALSLVTTGKLVTRDMFYDGNPKEEQGAIVCRVAQSFLRFGSYQLHASRGSNEDLEIVRVLADYAIKHHFPHIENMSKSESLSFSTGDEDHSVVDLTSNKYAAWAVEIAERTASMIARWQGVGFTHGVMNTDNMSILGLTIDYGPFGFLDAFDPKFTPNTTDLPGRRYCFANQPDIGLWNLAQFTTTLSAAHLINDKEANYALERYGTKFMDDYQDIMTKKLGLPKYNKQLIGKLLTNMAVDKVDYTNFFRTLSNIKADTSIPDDELLVPLKSVLLDIGQERKEAWTSWLKTYIHELSTSGISDDQRKTSMNMVNPKYILRNYLCQTAIDAAEIGDFGEVRRLLKLVEHPFDEQPGMEKYARLPPAWAYRPGVCMLSCSS >RHN70561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52303195:52307023:1 gene:gene19154 transcript:rna19154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exosome-associated factor Rrp47/DNA strand repair C1D MVKGSESESCGGVPEAVMDSVNTTLSNLREFRSHFQQFLPLMNPQILSQLPPLERAHSLFLLSKITSTLLTLKLRCSGVQPDDNPVKSELDRVELYQDKLERLLDLNKAPLRPSTMLNSQAATRFIEHSLPDLTPEQLQKMRNLSRGEGKKRKHQEQAGQKRKYQSSEKPSISVQAAAQEFLEKAQRELFGGNNGGIKGPLQIDNMSESDDDQIDNFSEDDGDKLPMT >RHN78492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15574806:15577415:-1 gene:gene2064 transcript:rna2064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin protein, neddylation MSNKKKKNNMKKKKDVPIEAFKDMSAEYGDKAWNILEHAIRRIYNHNARNILSFEELYRNACNMIFHGFGEKLYSGLVATMTSHLKEMATSVAATQRSSFLKELNRKWNDHSKALRKIRDILMYMDTTYIPKTNKTPVYELGLSLWRENVIYSNQIRIRLSNMLLVLVCKDYAGEVIDRKLIRYITNMLMDLGPSVYMQEFENPLLQVSDEFYRAESQKLIECCNCGEYLKKAEMRLNEVIDKVSHFLDPSTQKKITIVVEKEMIENHMLRLIHMENSGLVNMICDDKYEDLSRMYNLFRRVNGGISQIREVMNSYIRDYGKQLVTDPERLKNPVKFVQRLLDEKDKFNRIINLAFSNDKLFQKDLNSSFEFFINLNPRSPEYISLFVDDKLQNGLKGISEDVVEITLNKVMVLFRYLQEKDVFEKYYKQHLAKRLLCGKTVSDDAERSLIAKMKTECGYQFTSKLEGMFTDMKTSLDPIKSFYACHPELGDADGATLTVQVLTTGSWPTQSSVTCNIPTEMVELCEKFLLYYLSNHTDRKLSWQTNMGTADLRATFENGQKHELNVSTYQMCVLMLFNNADRLSYKEIEQATEIPASDLKMCLQSLALVKGKDVLRKEPMNNYVSEIDAFFVNDKFSSKLYKVKIGSVVAETEPEPEKLKTQERVEEERRPQIQASIVRIMKSRKKLEHNNLVAEVTKQLQSRFLANPTEVKKRIESLIEREFLERDNSDRKLYRYLA >RHN44234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2636129:2636419:-1 gene:gene38326 transcript:rna38326 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPLRNPLGHLLSGFRYWTTYRLCPRTKRNSAGRERCVKSPTSVRRWTDNVFISGGNPNPTSRFLRVVLGPTTISKRFILQRDHLKVLLLDHAS >RHN43225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44159470:44161689:1 gene:gene49765 transcript:rna49765 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTENPKKTDAPPIVKLNKALKLAEVWVKNMSGSASDETTNVDTEGRPQRLGLGAKVPRQSNVVLSDDPVERRLHSRLAAEKRKAANITKDEGTNSCGVLDDEDNEDESRTNAFAKRKAPAPVTLSIPGNKKQK >RHN42039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34990661:34991156:-1 gene:gene48428 transcript:rna48428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MNPFTVVKTRLQLQTPLHQARPYSGIYDAFRTIKREEGFSALYRGIVPGLVCCWLLLFFLSILTLKIITEDYI >RHN73084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13309276:13313982:-1 gene:gene8863 transcript:rna8863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MGDYHFIYKDLEGSSTQWDDIQAKLGNLPPKQPAFKPAPFSPATDPDSIPKDKSWIDSKTHDELEDLEDDLDDDRFLQEYRKKRLAEIQEAAKVLRYGSVTPISGSDFVREVSQAPSDVWVVVILYKDGIPECGVLMQCIEELATMYPATKFVKIISTDCIPNYPDCNLPTLLVYNNGAVKGNYVGMKRFGRRCTPEGVALILCQSDPVLNDGQSRDEESRQAVIDGVRKRFIEKVVADHEEKDDDSSSD >RHN48600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48731009:48733567:1 gene:gene43334 transcript:rna43334 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L24 MVLKTELCRFSGAKIYPGRGIRFIRSDSQVFLFVNSKCKRYFHNKLKPSKLTWTAMYRKQHKKDIAQEAVKKRRRATKKPYSRSIVGATLEVIQKKRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVASKAQKSGKGNVQKGAMPKGPKMGGGGGKR >RHN49277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53741429:53747610:-1 gene:gene44091 transcript:rna44091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 9S-lipoxygenase MFHTIMNVLSIHDDNNKNKNDRIMRGEVILMKKNVLDFKDLSASFFDGIHELVGKRVSLQLVSADKGDPGNGMKGKVGKPAYLEDWNSTITPLIAGESTYKVTFDLDDDDDIGTPGAFLIKNNHHSEFYLKSLKLQNVPGQGVIHFTCNSWVYPSQKYQKDRIFFSNKTYFLSETPAPLLKYREEELETLRGSGDSIQLKEWDRVYDYAYYNDLADPDKGPKYVRPVLGGSSEYPYPRRGRTNRPPAKSDANSESRLNLAMSLDIYVPRDERFGHVKMSDFLAYAIKAIVQVIKPELESLFDSTPNEFDSLEDVLKLYEGGIEVPEGAVKRIRDKIPAEMLKEILRTDGQKLLKYPVPHVIKEDKSAWRTDEEFAREMLAGVNPVIIRGLQEFPPTSKLDPNVYGDQSSTIRKSDIESNLDGLTVDEAIAQKKLFILDHHDALMTYLRRINSTSTKTYASRTILFLQQNGTLKPLAIELSLPHPNGDQHGAISNVYMPAENGVENSIWQLAKAYVAVNDSGYHQLISHWLHTHASIEPFIISANRQLSVLHPIHKLLHPHFRDTMNINALARQILINAGGLLEATVYPSKYAMELSSALYKDWTFPEQALPVDLVKRGMAIKDSASPHGLRLIVNDYPYAVDGLEIWFAINTWVQDYCSFYYKNDDTVKKDAELQSWWKELIQEGHGDKKNEPWWPKMQTVEELTETCTIIIWIASALHAAVNFGQYPFAGYSPNRPTISRRFMPEKGTIEYDELVTNPDKAFLKTITAQLQTLVGISLIEILSTHSSDEVYLGQRDSMHWTCDAEPLEAFDRFGKKLKEIEERIVAMNDDVNLKNRVGPVKMPYTLLYPRSEAGLTGAGIPNSVSI >RHN73612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18165120:18166765:1 gene:gene9443 transcript:rna9443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKIITIIQIFTIIMLFIFVIVTDASYPCKIHRDCTTITCSYPLVPRCLIQKCYCGFN >RHN58037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43273191:43276580:1 gene:gene33642 transcript:rna33642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MSVFVVCVDADDDTEVDDSPIEQVRLTVSTTDDPTQPALTFRTWIIGLACCIVLAFVNQFFGYRTNPLTITAVSAQIVSLPIGKLMAATLPTTIYKVPFTKWSFTLNPGPFNLKEHALITIFASAGAGGVYAIYIVDVVKAFYHRSINPIAAFLLAITTQMLGYGWAGIFRRFLVDSPYMWWPSNLVQVSLFRAFHEPEKRPKGGNTRLQFFFMIFVASFAYYIIPGYFFQAASCISVVCLIWKNSITAQQIGSGMNGLGIGAFALDWNTVVSFLYSPLAYPGFAIINVLVGFVLFIYVVIPISYWNNFYEAKKFPFITSNTFDSTGTKYNISRILNEATFEIDMDAYNNYSKLYLSIIFAFDYGLSFASLTATVSHVFLFHGKYVFLDSSLWLCIIDANFMINCLTIYFGDCAFERTIIQSWRKTTTALKKQAGDVHTRLMKRNYEQVPEWWFMTILVLMVILALACCEGFDKQLQLPWWGVLLSLSIALVFTLPVGVIQATTNQQAGLNVITELIIGYLYPGKPLANVAFKTYGYMSMSQALSFLQDFKLGHYMKIPPKSMFIVQLVGTLVSSSVCFSTAWWLLTTIPHICDKSMLPDGSPWTCPGDEVFYNASIIWGIVGPKRMFTKDGIYPGMNWFFLIGLLAPVPVWFLARKYPNHKWIELINMPLIIGGASGIPPARSINYISWGVVGIFFNFYVYKNFKAWWARHTYILSAALDAGVAFMAVLLYFALQSYDIIGPAWWGLKSDDQCPLVNCPTAPGIKAKGCPVF >RHN70843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54409313:54413767:1 gene:gene19470 transcript:rna19470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MQASRARLFKEYKEVQREKTADPDIQLVCDDSNIFKWTALIKGPSETPFDGGVFQLAFSVPEHYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKTAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDVRGYQSMARMYTRLAAMPKKG >RHN69212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41851109:41853558:1 gene:gene17652 transcript:rna17652 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRTREDEQSRAFYDLSAILLNLLRSPPTPLNFSNHPPEQPLRRLPPPQISPAGFASLLLGISMALMLCGSVTFFIGFVLMPWVIGLIMVLYVAGIVTTLSDIGRSVLCYIMAAPEPPPRKDIPAWKLM >RHN77487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7186514:7188207:1 gene:gene950 transcript:rna950 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWGSSSIDPKSATLKRNQSLTKDEIDAYWKSKKMIEEEHLIAISNLSKTIQPDPEKKLQKSMTMPVANIRDRDPFNMNLLDSNLEQLINKNGWWTKSNWAFLNEPPVMEAASSKYAAQFHVASFGSSKLNPVDEVSD >RHN55148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15548805:15549701:-1 gene:gene30283 transcript:rna30283 gene_biotype:protein_coding transcript_biotype:protein_coding MSCMLDEFKKVDMNRSFVFTIVSFMWNVVMDWSLWTLKSLERNIKGLECSLKTLLHHHLSFSFVLKLKSSPEFTDFLPSRFFAKCICFYLFVYTSLGCAAVSVVMQVVEILHAELDASWIWDEALDITSVYTYQEMSSFPWPMTTPRMELIKRCAYLRRIAGFFRAT >RHN69259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42159276:42161455:1 gene:gene17702 transcript:rna17702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative OTU domain-containing protein MLQSERSLRSNLPIIRIPGDGRCMFRSVVYGACLRKGDPPPSLTRQKELADELRAKVVDEFIKRRVDTEWFLEGDFNTYTVQMRKAQVWGGEPELLMSSHVLQMPITVVMQDTNSNNLKIIAEYGQEYGKENPIRVIYDGFGHYDVLKCST >RHN74130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28843632:28848619:-1 gene:gene10124 transcript:rna10124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SOUL heme-binding protein MATSILSHAFPTTCTTFRRPVSAVNIHHNPPLLLQPLQRHRKKNHIKWAIKLSLVEQSTQKSSFDLQQLVAFLYDDLPHLFDDKGIDKSAYDERVFFRDPITKHDDLSGYLFNIALLKTIFRPQFQLHWVKPTGPYEITTRWTMVMKFMLLPWKPELVFTGTSVMGVNPENGKFCSHVDFWDSLKKNDYFSFEGLLEVLKQLRIYKTPDLESPKYQILKRTANYEVRQYDPFVVVETNGDKLSGNTGFNDVAGYIFGKNSTTEKIPMTTPVFTQAIDVDLSKVSIQIVLPSDKETKSLPNPNQETVSLRKVEGGIAAVIKFSGKPMEDIVREKEKILRSNIIKDGLKPQPGCLLARYNDPGRTWSFIMRNEVLIWLDDFSLD >RHN67421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27084062:27090152:-1 gene:gene15610 transcript:rna15610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MDRGRGVYSPLFETKKGRGRLIYRLFSISLFTAISFIWLYRFNHIITTNYTQQEEDGGKLVWFGMLAAELWFGFYWFLTQAFRWNLVFRQPFKDRLSQRYEHMLPEVDIFVCTADPEIEPPMMVINTVLSVMAFDYPSEKLSVYLSDDGGSEITFYALLEAATFAKHWLPFCKRFKVEPRSPAAYFNGIKDTNIANELVAIKKLYNEMEKRIEDATKLKRVPQEARLKHKGFSQWDSYSSKRDHDTILQILLHKKDHDNSKDVHGFMLPTLVYLAREKRPQYHHNYKAGAMNSLLRVSSIISNGKVILNVDCDMYSNNSESIRDSLCYFMDEEKGHEIAFVQSPQAFENVTKNDLYASALLAIAEVEFHGADGCGGPLYIGTGCFHKRESLCGMKFSDEYRHNWKSEDNLSTEETLHELEEKSKGLASCSYEENTQWGKEMGLKYGCPVEDVITGLSIQSNGWKSVYYNPARKAFLGVAPTSLLQVLIQHKRWSEGDFQILFSKYSPAWYAFGKINLSLQMGYCAYCLWAPNCLATLFYSIIPSLYLLKGIPLFPKISSPWFIPFAYVIIGETIYSLLEFMLSGGTFEGWWNDLRIWLYKRTSSYLYAFSDTILKLFGFSDSLFTITAKVSEEEVVERHEKEIMEFGTSSPMFTILATLALFNLFCFLNVLKNAILRDGGFGAYEKMGLQVILCGFLVLINLPLYQGLFLRKDSGKLPSSLAMKSTTLALALVLSFTFNLYDKKKDL >RHN41881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33833368:33833981:-1 gene:gene48245 transcript:rna48245 gene_biotype:protein_coding transcript_biotype:protein_coding MTFIEVVINTFLEFLEDSIKEFLSWLVKCLISIHISHPYSLPEAYSFILALLIVGKDGEKEWKVMSDDEKFESVSLNRIDRNTKSCFGNRLYAIRLIMFCCKQDIPSFNSAAICSLLLVLCFITKNPILLSC >RHN80782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40826956:40827743:-1 gene:gene4748 transcript:rna4748 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YB11 MNDEGDKTLPIANVGRIMKQNLPPNAKISKESKQLMQECATEFISFVTGEASDKCHKENRKTVNGDDICWALCSLGFDNYAEAIGRYLYKFRQAELIRINQNKLHETAKDKFEEDATNPSTKHSSHR >RHN68740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38197126:38198554:1 gene:gene17128 transcript:rna17128 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEPQNSENSDCTFVWDENSQLYFHASSGFYHDPNAGWYYSTKDGVYYKFEDGNYVPLDCNKDDDVEEIYVCKETKPERTQQIQDINNEEDCASFLGNEIETNQQIGTLTEEAGDVKQQY >RHN47155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37558814:37559390:-1 gene:gene41717 transcript:rna41717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQMLMFVYTLIIFLSLFLVITNSVRIPCVTVADCPPTILPVFYECIDKFCMLHIE >RHN81614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47561067:47561333:1 gene:gene5685 transcript:rna5685 gene_biotype:protein_coding transcript_biotype:protein_coding MKNISNPMILYCYPLENIAVYIQTTSRATSVKQRPTEPPIHVKLPTSNTVTQITTQYAKTYEEN >RHN53507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2154789:2156153:-1 gene:gene28419 transcript:rna28419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MILMVVHQDGSLNIDLNNDSPFQWDRFDHSTHYYENVTFLNCTTNFANGPMFDDLPNIPCLSNDKYSIMYTLQSPLSNLWSPSCHEIRSAKVPVINKSGLPTVIHDGLYSDILLRWNTPLCSCKEDQFCGFAKGTGYDVTCYSYFDNPGGNPTTPKNKTICFFLIWGLTGILLFMWVTLSLCKDRQQNHTQQTQTITNIEPSNQEPHWFVFGLDHSRIEQYPKIQLAESGQLPKSIDNVCSICLSEYKPMETLRSIPQCNHHFHADCIDVWLKMNATCPLCKNLPE >RHN73976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22968744:22969999:1 gene:gene9891 transcript:rna9891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterile alpha motif/pointed domain-containing protein MDWFSWLSKTNLDPFQLYEYSLTFTRNELQLQDSIHFNHEFLQSMGISIAKHRLEILNLVKNQNEKVPKNSNRLSGVIKKYLKKCLSKIIFNEGKKEAKDMNMMSLQREQSWNQGKWRRALVSEELKGEKGMHRNRKIAFSGPLDGRMHSEKMVISNKKMLKFSGPLDGKLNSKMVYTNRSPVRKRPIDGRFMDTTRSPRFSGPINQIDFQSPYNMTRDDYDFEDSVLWRALFDDLKPT >RHN42641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39909926:39915718:-1 gene:gene49106 transcript:rna49106 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VI-2 family MECIWDRFGLWLRIYIGLICIWGIRLCWSLNDEGIALWEFRVRIISDPYGALLNWNPNDSNPCKWMGVHCVDGKVQILDLNGQSLEGTLTPHLGKLNHLKSLVLCKNNFSGTIPKELGDLDNLKLLDLRENNLTGNIPAEIGRMLLLKQLLVHDNKIEGGGSQELGNMELPSKSLFSDNYSSPLTSLFQCKNRKFAHCIWYRDLKQWNEEKSLAVPIKGALKRYLKAMVLQLFKLGKAASHGYEENYWGNLPSSDESEFGPDVSNLISSARRKLLDQSNNLAAAPYSGGPTIQISVIPISISSGSFPAVPDANKKQNQSSAPLHSATGFPHDNQTSQPNSANGAPSKLWKYIIIFFGVVFLAIFTVIMFCILRKRAAKVIKPWKTGISGQLQKAFVTGVPKLNRAELETACEDFSNIINSFEKCTIYKGTLSSGVEIAVDSTVVTSSEDWSKNMEMAYRRKIASLSRVNHKNFTNLIGYCDEEEPFTRMMVLEYAPNGSLFEHLHVKEIEHLDWMARMRVIMGTAYCLQYMHHDLNPPVSHSNLNSVAILLTDDFAAKVSEISFGSNGLSPASTVGDESKKSELPPHQGPETDVYNFGILLLEIISGKLPYSEEQGLLVNWAAEHLNDKRNIGCLIDPTLESFKDNELDVICEVIKECVQSDQRLRPTMKEVTHKLREVLSISPDQAVPRLSPLWWAELEILSVEAT >RHN82240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52222411:52226949:-1 gene:gene6385 transcript:rna6385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-methyl-5-thioribose kinase MSNFSEFKPLNEKTLIEYIKSIPALSSKLANNFSDLSVKEVGDGNLNFVFIVSNTNGSFVIKQALPYVRCIGESWPMTKERAYFESLALKEEGKLSPDHVPEVYHFDRTMSLIGMRYLEPPHIILRKGLIAGVEYPLLADHMSDFMANTLFFTSLLYRSTTEHKRDVAEFCGNVELCRLTEQVVFSDPYKVSEYNRWTSPNLDRDAEAVREDNLLKLEVAELKSKFCERAQALIHGDLHTGSVMVTRESTQVIDPEFAFYGPMGFDIGAFLGNLILAFFSQDGHADQANDRKAYKEWILKTIADTWNLFHHKFTALWDEHKNGAGEAYLPGIYNNPDVQLLVQKKYMTDLFHDSLGFGAAKMIRRIVGVAHVEDLESIADTGKRATCERRALDLAKMLFKERRKFESISEIVSAIQQF >RHN55133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15439221:15439549:1 gene:gene30267 transcript:rna30267 gene_biotype:protein_coding transcript_biotype:protein_coding MMIHFIASHPDWILKLTNIYECTFLMLHDTTCNKLAFAVIQSTSTSYQRHVRGYKILIMYVIRYMFNSISLTFYLL >RHN51025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13056221:13059469:1 gene:gene35386 transcript:rna35386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MLSHEAEAYNLKVIFLPFLSTSHMIPMVDMARLFAMHGVDITIITTTSNAEIFQKSIDRDFNQGLSIKTHVVEFPAKEVGLPVGIEAMNANTSIDMADKIFKGFIMLQPQIENYLFGEIEVDCIISDMFYVWTVDVAAKLGIPRIVFCPASIFSRCTELSIEQHSSHTKVESDCDKFTIVGLPDKLEMNRLQLPNWVKKPDVPFGEMIKVVNNTTRKSYGAVFNSFYGLEGAYEEHYKNAFGTKCWSLGPVSLWANQDVSDKAERGDTNVEGDSSLFKWLNSKKENSVLYVSFGSMNKFPSSQLIEIAHALEVSSVDFIWVVRKSNDKEGEGFMEEFEKRVKESNKGYLIWGWAPQLLILENKAIGGIVTHCGWNTVVESLNVGLPMVTWPLFAEQFFHEKLVVEVLKIGVSLGIREWKNWNEFDTKDIVKRDDIAKAIGLVMTNRKEVEEMRLRAKNLSDDGKKAILVGGSSHANLIQIIEELKSLKHQRHYGN >RHN44622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6920529:6924788:1 gene:gene38761 transcript:rna38761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proline dehydrogenase MATRVIPPRILRKLRYNTATKPFQPALTSPAVAPASNILDQKLPSSVTTILPPDANLDFHDVEKLFSHVPTKKLLKSTAVLHATAVEPMVDLGTWLLRSDLMQTNNPLSNLAMATTRATFFDHFCAGEDAITAGKSIAGLNEAGLRGMLVYGVEDAHDNAGCDRNLKGFLHTVDVSRSLPPSSVSFVIVKITAICPMSLLERMSDLLRWQKKDPSFVLPWKQDSLPIFSESSPLYHTRKRPEPLTAEEESDLDLANKRFLELCQKCVQANIPLLVDAEHTSVQPAIDYFTYSSAILHNKGENPTVFGTIQTYLKDAKERMLLASKAAEKMGIPMGFKLVRGAYMSSERKLAADLGYASPIHNTIKDTHKCFNDCSDYMLEKIANGPGGVVLATHNIESGKLAAAKAHELGIGKVNHKMEFAQLYGMSEALSFALSNAGFQVSKYMPFGPVETVMPYLLRRAEENRGVLAASGFDRQLMRKELVRRVKATVL >RHN38810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2180463:2182615:-1 gene:gene44778 transcript:rna44778 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLCISIKAYHVLPHLISIASLSKFFSSCSQLCGRLPPGSISHNQQPPSKKHHQ >RHN76328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49393117:49396515:-1 gene:gene12630 transcript:rna12630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MVIVIAEGAGQELIPSNDTSIKNKPDASSNDLFQDVDLWLSQKSKQMPTTRDKRQPLSQMDAAQPSSSTATENEGGPAPFVQKTYDMVDDSATDDIVSWSSTNNSFVVWNPPEFAYVLLPTYFKHNNFSSFIHQLDTYGFRKIDSERCEFANEEFIKDQKHLLKNIDCRKPIHSHSHPPGSAVDPERAALEEEIEKLSQEKNSLESRLLNATVDVESTKFQLDVLEQLLDSMEKRQTSLSNFFEKALQNPNLLDHVRRNIESMDVVAYNSLIANQSNFILEFENVFHQEFSNKLRLELSPSVSDMNFVSGSTHVSNEDEESLQKHLSEGELTEMQTRTERPGCSENEEAILPSSKSK >RHN68282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34237983:34245479:-1 gene:gene16616 transcript:rna16616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Sec7 domain, guanine nucleotide exchange factor MGRLKLQTGINSIEEEEPEECDAACPSRTTLSCMINSEIGAVLAVMRRNVRWGSRYMSGDDHLEHTLIQSFKALKRQIFSWNHHQWQAINPTLYLQPFLDVIRSDETGAAITGVALSSVYKILTLDVIDHNTVNVEDAIHLVVDAVTSCRFEVTDTSSEEVVLIKILQVLLACMKSKASVMLSNQHVCTIVNTCFRIVHQAGNKGESLQQISRYTMHELVRCIFSHLQDVDNTDHALVNGSSNLKQETGGGLNNEYAFGSRKLENGSMSSEYDNQPLPSNFASNAASVVTVTMMDENTAIALTGKESASYDVHLMTEPYGVPCMVEIFHFLCSLLNVIENMELGPRSNTIAFDEDVPLFALTLINSAIELGGPSIHRHPRLLSLIQDELFHNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFTCVILRLAQSRYGASYQQQEVAMEALVDFCRQKTFMVDMYANFDSDITCSNVFEDLANLLSRSAFPVNCPLSAMHILALDGLIAVIQGMAERIANGSANSEYSPVNLEEYIPFWMVKCENYGDPNHWVTFTRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAGTFDFQDMNLDTALRLFLETFRLPGESQKIHRVLEAFSERYYEQSPHILANKDAALVLSYSMIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGSDLPREFLTEIYHSICKNEIRTTPEQGVGFPEMTPSRWIDLMHKSKKTAPFIVSGSKAYLDHDMFAIMSGPTIAAISVVFDHAEHEDVYQTCMDGFLAIAKISACHHLEDVLDDLVVSLCKFTTLLNPSLVEEPVLAFGDDMKARMATVTVFTIANRYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADESELSAETVHGKPIVNSLSSAHMQSIGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLQAKSLEQLAKALIWAAGRPQKVNSTPEDEDTAVFCLELLIAITLNNRDRIGILWPGVYDHISNIVQSTVMPCALVEKAVFGLLRICQRLLPYKENIADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKANASHIRSQLGWRAITSLLSITARHIEASEAGFDALLFIMSDGAHLLPANYVLCVDTARQFAESRVGQAERSVRALDLMTGSVNCLAQWTSEGKEAMEDEQMTKLSKDIGDMWLILGQGLRKVCLDQREEVRNHALSSLQKCLIGADDIYLPYGKWLDCFDLVIFTVLDDLLEISQGHSQKDYRNMEGTLILAVKLLSKVFLQSLPVLSQLTTFCKLWLGVLTRMEKYMKVKVRGKRSEKLQETVPDLLKNSLLAMKMRGILAQRSALGGDSLWELTWLHVNNISPSLQLEVFPEQDSEHSQYKQGESVGGLLPDEKVSVPSSEIPNLEDAGIVG >RHN43667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47612690:47613383:-1 gene:gene50278 transcript:rna50278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >RHN42362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37709181:37720058:1 gene:gene48795 transcript:rna48795 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTTLQFGGVGGDDRFYIPVKTRKNQNQRKQAQRDKNVEEESITVASVEESVSNIDRFLDSTKLLVPAQYFSKTTMRGWKTCDVEYQSYFALNDLWESFKEWSAYGAGVPLLLDQRESVVQYYVPYLSAIQLYGQPTEKSSAKPRYISEDSDGDYYRDSCSEGSSDCESGKRTECFTAQRSSKHLTSGVSSQMSTLSIHDKHNTVQEGFSSSDDSETGNPQELLFQYLEQGHPYGREPLADKILDLARDYPALMSLRSCDLLPNSWMSVAWYPIYRIPTGPTLKDLDACFLTYHTLHTPLTGSGPTPGPVLVYPSEMDGVPNISIPTFAMAAYKLKGSIWMKKEVSENQVMNSLVQAADKWLRLVQVNHPDHQFFKSHGTYYK >RHN52533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36438736:36442155:1 gene:gene37222 transcript:rna37222 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWIDVHAQFNGGEPQRLKVRCVTLRGLKDELTEFNQGVNPRDTRRVEHLRYKRPTLDEGRVSFTWVELTNDENVKSMFWEHSMFQWIDMRVMLLRSTEDMINNLIPPADRH >RHN65887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6227938:6232605:-1 gene:gene13780 transcript:rna13780 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLEADVKSRNKLFSRKALMKLTRVYLRLKWYREFRKMPRYSSYQNGS >RHN58680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3895073:3897325:1 gene:gene20612 transcript:rna20612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MEPTVGKPGFLRNILIRLLLFGVFIVVIRFAYVITIAGETCKIGDFCFFSSPEKINVAVAVTGSDSGTGALSVRSSSELSSPEHHTSKDWINGVQFYSSVFQNLIAAGYLSPETKALCVDTPTGRDVFALKEIGVVDSVGISKKAMKPLVKSGVGHKIPFGNNSFDFVFSGDGGLERSVKGFEFAKEIARTLKPEGFAVFHVKAKDDYSYNSFVDLFKFCCKVIKFNDIQGFDESMPEIREIVVKKIGYGNIDSDMNLNKKCSVAGYKQELVKNAEELIEEEPLKPWITLKKNVKNIKYASSMVDISFKSRYVYVDVGARSYGSSIGSWFKKQYPKQNKTFDVYAIEADKHFHQEYVMKKGVTLLPYAAWVRNESLVFEINNDPGDKKTEKVGRGMGRIQPLDSQGGGGGGVDTIQGFDFAEWLKHTVTMNDFVVMKMDVEGTEFDLIPRLFETGAICLVDEIFLECHYNRWQRCCPGQRSPKYEKTYDQCLQLFNSLRQSGVLVHQWF >RHN42057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35120717:35125253:1 gene:gene48448 transcript:rna48448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (+)-abscisic acid 8'-hydroxylase MWNLSREELVFVVQNYYDIILVLFLSIGLTYLASRAWKRATNNREDIPGRLGLPFIGETFSLLSATNSTRGCYDFVRLRRLWHGRWFKTRLFGKVHIYIPTPEGARTIFANDFDLFNKGYVKSMADAVGKKSLLCVPVESHKRIRRLLSEPFSMTSLYAFITKFDKLLCGRLQKLEESGKSFKTLDFCMEMTFDAMCGMLMSITEDSLLRQIEKDCTAVSNAMLSFPVMIPGTRYYKGITARNRLMETFREIIARRRRGEESPGDFLQSMLQRDSFPASEKLDDSEIMDNLLTLIIAGQTTTAAAMMWSVKFLNDNRDAQDILREEQLSLTKMKPEGASLNHEDINNMRYGLKVVKETLRMSNVLLWFPRVALKDCTIEGYEIKKGWHVNIDATCIHYDSDLFMDPLKFNPQRFDEMQKPYSFLPFGSGPRTCLGMNMAKVTMLVFLHRLTSGYTWTLDDLDACLEKKAHIPRLRSGCPITLKSISKSMPET >RHN64926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63055328:63056464:1 gene:gene27806 transcript:rna27806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L10P MEATATLLSFSLPSTKTQSFQILTRPINPFNPPTSYKHRPISKTHRLSINAAISRTKKEETVETVKEQLENCYLLAGINYKGFTVKQFQELRKTLPETTKLVVAKNTLVYKALEGTQWETLKPCMTGKFYGPDEFKKLETLPTRGEIYANLLGSLKSPSSALVTTIQAPARELIMVLKAHIKKLEEQEQGSAVL >RHN56699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32995952:32998625:1 gene:gene32137 transcript:rna32137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative catechol O-methyltransferase MESQKNEENVTSNLLKAQSHIWNHIFNFINSMSLKCVVDLGIPDIIHNYGKPISLSKLISLLPIHPSKTCFIHRLMRIMTHSSFFSQYNVTENELEIEYMLTDASILLLKDNPMSVTPFVHAMLDPVLTNPWHQFSTWLKNDDPTTFETTHRMLLWEYVACDSKFSNLFNESMASDTRLVTNLLIEKCRGVFNGLESLVDVGGGTGTMAKALAKSFPQMEFSVFDLPHVVDGLQGSENLNYVGGDMFKEIPPTDAILLKWILHDWNDEECVKILKNCKDAISKKGKQGKVIVIDMVLESEKESINESVETQLFFDMLMMVVLAGKERNKKEWIKLISSAGFSDYKITPILGLRSLIEIYP >RHN62298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42437299:42440968:1 gene:gene24856 transcript:rna24856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MDYSFYDKIYSSEYDDLGLFNPTLNNFGASNGDSTGRLSSPRSLVLESEKEKVVEGPVTENVGKDEVSEDKGLASLKNHREAERRRRERINGHLGTLRGLVASTHQKMDKATILAEVISQVKELKKNAMEASKGFLIPTEVDEVKVEPYDIKLGYGCMSYIATICCDYQPEILCDLKKAIDALQLQLVKAEMSTLESRMKNMFVFTCCKGNSFNVEACQSIANVVHKALDSVLEKASNSMEFSLKTSYPNKRRRMCFVETSTSSCSHASCSC >RHN71461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:347377:353914:-1 gene:gene7065 transcript:rna7065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative YTH domain-containing protein MWFDLMLGFNQSIQTATTTTTNHIQQKNEADMVPLKDCTPSDLTSLHTFSRDVNAGFAGTNVGVDRHCIIRELPGQQPGLSYTSYFQQPGVHESEAIPCHSSVSTSVCMQNKSVSSVFAKSDPKSSTLANQNSSSSPHTNGSITSRLSKEIEILKKAPHLHSNFTVATPPRTYNQTGNVPSFANPRSHVFPNTNYRPSTSTNYRPITSTNYRPNGAVSNDRVMLSEKFRSGESEMSKEITRGPRFHQRNSNPESSVVKDEFAFTVCRDQYNLPDFQTKYETAKFYMIKSFNEDDIHKGIKYDVWTSTPHGNKKLNAAFQNAEAKLSQTGTQCPIFLFFSVNASGQFVGVAEMLGPVDFKKDMKFWKLDKYNGFFPIKWHIIKDVPNRQFAHITLQINENKCVTFSRDTQEITLKEGLEMLKIFKSYPAKTSLLDDFDFYENREKLCRSQRTEHTGWKQEAYNNDSYHNTMKAREKRIEMQSSGTNQETLVNLTKNLSLNPSERQGFRRQGFR >RHN58703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4168255:4171747:1 gene:gene20636 transcript:rna20636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MENNTWHNVKDLIFTFFNTNPLQKCRKNQKGHFILLVFVLFIGFTIEPVLGNLWDGVVVTQSDFQSLRAIKNELIDSKGVLKSWNDSGIGACSGNWIGIKCLKGEVVAIQLPWKSLGGKISEKIGQLQSLRKLSLHDNALVGSIPISLGFLPNLRGVYLFNNKLSGSIPPSIANCPMLQSFDVSHNLLIGKIPFGLANSTRIFRINLSYNSLSGSIPSSFMMSHSLTILSLQFNNLTGPIPSELGAVSRLRVLDLSNNAINGSFPLSFSNMSSLVSLNLENNQIENHVPDTFDMLHNLSAINLKNNKFDGKIPSTIGNISSISQIDLSHNKFSGEIPDSFTKLVNLSSFNVSRNNLSGPVPSLLSKRFNASSFEGNFGLCGYISSKPCPSAPPPHNLPAQSPDESPPKKHHRKLSTKDIILIVAGVLLLILLLLCCFLLCCLVRKRASSSRKSSKAAKAAASARSVEKGGSAGGGEAVSGGEAGGKLVHFDGPFVFTADDLLCATAEIMGKTAYGTAYKATLEDGNQVAVKRLREKTTKGHKEFEAEVASLGKIRHPNLLALRAYYLGPKGEKLLVFDYMSRGSLASFLHARGPEIVVEWPTRMKIAIGITNGLFCLHNQENIVHGNLTSSNILLDEQTNPHITDFGLSRLMTTSANTNIIATAGSLGYNAPELSKTKKPTTKTDVYSLGVILLELLTGKPPGEPTNGMDLPQYVASIVKEEWTNEVFDLELMRDGPTIGDELLNTLKLALHCVDPSPSARPEVKQVLQQLEEIKPELVEVEVDDDGAKVQTNE >RHN67627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28787567:28787806:-1 gene:gene15834 transcript:rna15834 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLLSFGDFHLRCLTIILVGPDAVVVVVLCGGEAVVVVVVMCGVVGDSVDMVLAVWIIPSRGRLFYSGPFSLSMVVV >RHN66894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21035967:21041211:-1 gene:gene15008 transcript:rna15008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MECFLTNLGTTLTEKVLNKAIEKSRNIFCFTCIVKEFNEEKDKLEAGKETMREKFQVATNKGKGIKYDARFWEEQANKLIQENTEPNKRCFLGFCPDFIWRYKRGEDLLTKTKEIRKLMEEKFENVELDRHLPGVERYSSQYYISFESRKLKYEELLDALRDDNNYITGLQGMGGTGKTTLAKEVGKQLKTPEQFKHVIDTKVSFTPDIKKIQDDIAGPLGLEFKGISESDRSKELWSRLTNGEKILLILDDVWGNLNFDDIGIPKSDNHKGCKVLVTTRNLRVCNQMVCENKIQLDLLNEEEAWSMFKLHANLTDNSSQSILEKGRKIATECKRLPVAIATVASSLKGQKRREEWDISLKTLQKLVSVGDVGDDLVDIYKCLKFSYDFLKDKKAEGLFLLCSTFPENAEISTEVLTRLGIGVGLFGDDYGSYEDARTQATASKNKLLDSCLLLEAEEGYVKMHDLVREVAQLIGKNEIKIVNFSNKSQKSLVESDKKIKYLICEGNLRDLFANKFDVSELEILIGDMHMKGFLQIPISFFKNIPRLRVLNLSGHSSYPHPLSLPQSMELLSNIRSLSFAQVDLSDISVFGGLQSLETLELTHCAIDELPHEIEKLKNFRLLTLEKCYIRNNNPFEVIQRCTSLEELYFLNSFNDSCKEITLPTLQRYCLSDNTDYAYKMKDTISRGVSLTWDYFSEATFKYLMETTELLHLEGIDKGWRNLMPGIVSIDNGMNDLIKLYLRNCHQVQCLVDTKHINSQVPSVFSNLKTVTLDSCSMLVSIFDMSTSRGLLLLETLKIFHCKKLENIITTDCDNDNNNSCNSMFPNLKFLCIYDSPKLQFVLPCYSAGDFLLLEYIGIQKCAELKYIFGQHQDAQLASLKQLELDDVPNFIDIFPEPSSIKGSSNSISKPQTKLEPVKSNTFSWSQICCYGNKSRGSTSTKMSLVSKDQPKDCSITLESSSYFHNLWERAQCLSKSHIMCNIKKMTLYRLPKIKSVFVMSVASKLSLESLDIVNCDELEHIIVDIEDGSGGNNWGNNVFPKLKELLVFSCEKLEYIFGHIDATDHHQNHNNEVTHLHLPALKYLKLHRLQSLIGMCTKHYCTTLPPLTLVELVACSKVDITSIGDFIGPNYSNKVPT >RHN73179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14149889:14150512:1 gene:gene8967 transcript:rna8967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MFNFNSWRHRRTLIYHLKGFITPQTSQSLNPSPLFHHFCTNPSDSTSFAASYLIHNFGFDPQFASKLCSTHRLRFNSTQKPESVVTFFRNYGFSDSQLRDLIAKMPGLLSCKPFKTVLPKFQFFLSKGASNSDIVNLVCKNPVFLTASLDKKIVQTYELVCRFLQSDKETLALLNYNANLLCDPYVRNNITMLIEKWSVPLKHEKIG >RHN49426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54736213:54737609:1 gene:gene44254 transcript:rna44254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Dilute domain-containing protein MSHLLSLFYFHFPLAACYVYIYFFLVELNKYLRSSHSVSFWQVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYKATDEYAGPAWDELKHIRQAIGFLVLSIQQLYRISTMYWDDKYGTHSVAPDVISNMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDISKSNEQIDISDIEPPPLIRENSGFSFLLPRPD >RHN76026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47082366:47088741:-1 gene:gene12297 transcript:rna12297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MEVQQKRGGLVPLSPSQTPRSTDKPARDLRSADSNSNSHNKYDKEKGVNVQVLVRCRPMNEDEMRLHTPVVISCNEGRREVAAVQSIANKQIDRTFVFDKVFGPNSQQKELYDQAVSPIVYEVLEGYNCTIFAYGQTGTGKTYTMEGGAIKKNGEFPTDAGVIPRAVKQIFDILEAQSAEYSMKVTFLELYNEEITDLLAPEETTKFVDEKSKKPIALMEDGKGGVLVRGLEEEIVCTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRTINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATVSPSIHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSAMIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLNEEAEKKAMAEKIERMELDADSKDKNLVELQELYNSQQLLTAELSAKLEKTEKSLEETEQTLFDLEERHRQANATIKEKEFLISNLLKSEKELVERAIELRAELENAASDVSNLFSKIERKDKIEEENRVLIQKFQSQLAQQLEALHRTVSASVMHQEQQLKDMEKDMQSFVSTKSEATEDLRVRVVELKNMYGSGIKALDNLAEELKSNNQLTYEDLKSEVAKHSSALEDLFKGIALEADSLLNDLQNSLHKQEANVTAFAHQQREAHSRAVETTRSVSKITMKFFETIDRHASSLTQIVEETQFVNDQKLCELEKKFEECTAYEEKQLLEKVAEMLASSNARKKKLVQMAVNDLRESANCRTSKLQREALTMQDSTSFVKAEWMVHMEKTESNYHEDTSSVESGKKDLAEVLQICLNKAEVGSQQWRNAQDSLLSLEKRNAGSVDTIVRGGMEANQALRARFSSSVSTTLEDAGIANTDINSSIDYSLQLDHEACGNLNSMITPCCGDLTELKGGHYNRIVEITENAGKCLLNEYMVDEPSCSTPTRRLFNLPSVSSIEELRTPSFEELLKAFWDAKSQKLANGDVKHIGSYEETQSVRDSRVPLTTIN >RHN80491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38573928:38576244:1 gene:gene4426 transcript:rna4426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MAHDDKGVVKEVEWSIKVEDGPWKRARISCSMCVFISSLYSKLCKFMKKAWEIGVNDPRKFIHCLKVGIALTAVSLFYYLNPLYDGVGRNAMWAVMTVVVVFEYTAGSTIYKSVNRICGTTLAGLLAFGVQWVASKAGKQLEPVIVGVLLFLLGSAATFSRFIPTIKARFDYGVLIFILTFSLVSISGYRIDELFDMAQQRISTIIIGTSLCIIVSMIIRPVWAGLELYVLVTGNLDKLANSLEGCVAQYFEAQSTSEESNKKLMDYKCVLNSKATEVSMANLARWEPAHGRFNFRHPWKQYLEIGATMRRCASCIDALVGCINSENKSSDEIKKIMSTTSMKVGANSASVLRELSITINNMTKSKKLDSLVKEMNIATQELQNLLKSYSNTHNVNAKETSSLGDAKMEIPIMEVIQVVTVISLLIETVARVEDIVKSVEELSKLAKFKPEMSKCDKSKQRSTQNKILPDQHDEEATIKTLQIV >RHN42655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40024649:40024933:-1 gene:gene49124 transcript:rna49124 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFHQSSKSQQKLVVFVSADQVPLYGGISILGNDTIENMNNVAMAMNITFELKSKADILGVLSTFYQTIGCPITFHVNQLGKPLSLIDSCVYK >RHN73061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13147355:13148420:1 gene:gene8838 transcript:rna8838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MKFINNKNNINLKIFYIILICFALEKCTAHIFHDTTLHIEKQHTSGHHHMEHPPTHSSHHTAHPPTHSSEASFDSLLIPQAQAQAPSKPEPGPEQQAFGHSASFKNAFSDLLKGEISIDPMTMPQHPFESIKQSTLGIDQICMHTDYPDICLATIQPLISINLNFELIDVLGAAIKVCTLQVKLTISKVAAHAAKNPEVASAVADCKEQYNSALDNLQKAADAIASRDLGTITVMLSAVMADVSTCESAFEDLKASPTSTMSSNDGLVSITVSNCLSIANLIPY >RHN51277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15631897:15638252:-1 gene:gene35690 transcript:rna35690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol-polyphosphate 5-phosphatase MKERSQNNQQLFWARVVMRKWLNIGSNESDYSADPEDDDEFDEDDDEDDDEHEVWGRKSRFMDNRGFEAPSESNDFVPKLRKQKSSTYRSQYINTKELRVCVGTWNVGGRLPPDDLDIDEWLGVNEPADIYVLGLQEIVPLNAGNIFGSEDTRPVPKWENIIREALNRVRPSVTKTKCFSDPPSPSKFKPSEDDPDIEEEILFESDSDIGEEVHPLDEEQIICDESTTGDNMNTSLLASDVADSASVNTSEPVKIDYRRQFSFPKRFDWPQSPPENMDASISQKAKTLTRMLSGSERIGLSWPEPPLHLLSQRVLDRPTSFKSFKSFKSLKSFKTYNSFKSIMDGMPGMGLLPEIDLEALMKRKRRSPYVRIVSKQMVGIFITVWVRRSLRKHIHNLKVSTVGVGIMGYIGNKGSVSVSMSIYQTLFCFICTHLTSGEKEGDELKRNSDVHEILRRTHFHSPSIIGLPKGILDHERIIWLGDLNYRINLSNVEAKALISKKQWSKLLEKDQLMRELKHGAFGGWSEGALNFPPTYKYEVNSDKYYGDDPKASKRTPAWCDRVLSYGKGMRLLNYRRTELKISDHRPVTATYIVEVEAFSPRKLQRALTFTNAEIENEEAISSLISWK >RHN48438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47478729:47479250:-1 gene:gene43154 transcript:rna43154 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTLGEEGSCVVSMVFLLRRTNMGLDLDLLLLLLPALVLKNLINGGGNLSVRAGLEMLFVVWCVRERYGVSGFESLLAFCKMFFLNFVFLLWTFFILFSFLHCTDGWGGVNALHSQLLYIFLYYNNTYNIYVFYYLKVAIIILYYKL >RHN78887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19584755:19585033:1 gene:gene2553 transcript:rna2553 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLQVILSSWWWLNVVSRALIRGMRIIIYIIVVFPFHVSNFGIVMWSLLLSFEQ >RHN49516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55317651:55321176:1 gene:gene44356 transcript:rna44356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG >RHN50101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4060556:4062208:1 gene:gene34354 transcript:rna34354 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYDVGSNHIAPTSIAVADNGASTTPMAMMSYQQPPIQECSYIPNIDYLLSLPQFSFIQTMDCPGSSQSGYSQIPSPEETQYQILESISPENDGLLESMFKTAVSGECSYAATPMRPPEINLVDERGKKPISLENDVEDGDLINTDFWFNYGSYDNDNNNLHDKLPHNMKDTLDAVIHGKIFHK >RHN66864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20451751:20452863:1 gene:gene14975 transcript:rna14975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVRLVSNSFIQVLVSILDKLKIKKKAKKPDWSQLAKELLQLISERLDSELYRLRFRSVCSSWRSSSSPNYHQNHLPLKLPEFSNIENNYLIKHNMFLIKSPTNSIPWLIRVGPKLNGKTHLWDPRDIYYTLPFYLQIHLNLALDLNKLSIIDLGHVFYIHDSGPGSYVYPRKVIGVGEQPLAIVTCEYSGELIIFRCGDDHWTNMPDVPNVEKSHGDICNFKGRPCVIDRTGRTMMIESDLTVHLAAEPCFGGDTKFLVESKCRLLHVDRYESDGSSGNVRIDVFGLDEKEKNWVKLPNLGEGCSFSASASDLGVANGNCVIFCAGDMSVFHLDQGRISPLSDYPDYVNLFLWPPPKWISDAIKKYVILQ >RHN43385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45339128:45343870:1 gene:gene49949 transcript:rna49949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA 3'-terminal-phosphate cyclase (ATP) MGKTVYKKLKGSQSFRQRLLLSTLSSTPITIEDIRPNQTYSGLAKHEISLLRLFDTVSDGCIVEIYETGTKLKYKPGTIMGGRVNHPFQCDVSRSIGYYLEPLIVLCLFAKEPLNIRLKGITNDSKDPSVDTFKSAAFHILKRFGVDFEAMSLKIESRGLPPNGGGEVVLSLPIVQSLTAVNWIDEGFVKKIRGVTFSTKVSSQFETSMIRAARGVVNPLVSDVHIFTDHRTGPPAGNSPGYGISLVAETTSGCYISVDTAAAHVRDEDTSGLADDVKKDLMPPEEIGEGIANTLLGEIAQSGVIDSSYQGLLFLLCALCPQDVSKVRVGKLSQHGIETLRNIKDFLDLTFIIKPDPDTQSVILKCIGCGMKNLSRKVS >RHN66617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15154392:15156490:1 gene:gene14650 transcript:rna14650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor and/or regulators TTF-type(Zn) family MRKFLVDRTRIENVNVVQPETEVEEPPPNVVNEFNPNEIVRDPGLRKQIWEYAPDIQDQVRRAYILKGPTQPNSASFPRTQFGRDARSFSRSWYNKYTWIEYSESKDAAYCFYCFLFKQPGRAEHFGYEVFNKDGFKDWKHASQGLKDHIGGHNSMHNKCIKHYDDYKNQRQSVTSKIARATRESEELYKIRLTCSLDCTKFLVSQGISFRGHDETSNSLNKGNFRELIDWEKSKNEQVRDAFDRGGKNCKMTCGDIQKDLAMSCAHEVMKVITEELGDKQFSVLIDESRDISVKEQMAVMLRFLNDKGEVVERYFALYHVKDTTSEALKNALYDILDRYTLSISRIRGQGYDGASNMRGEFNGLQRKILDENPYAFYVHCYAHRLQLVIVSVASSCSSINDFFEYISFIVTTSSASCKRMDALTEVQHEDILSKLSSGEISTGRGLNQSCSLARPGDTRWGSHHITLLRLDQMWSSVLVVLSMVDKDGRGPSQAAGLIEKMESFKFVFILKLMLKLFGITNELSKILQRKDLNIVLAMDLINVVKSRFATLRDNGWDNLFLDVQEFCVAKGIPVPNMDDEIPVRGRSRLEGRTVTNLHHYRAEIFYVAIDKICVEMDHRFSEGSNIVLDCFSCLDPQELFLQI >RHN48153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45439219:45439930:1 gene:gene42840 transcript:rna42840 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGSEVELKVQAMNKKKTTISRSWILLDRDGRDIVLDVDKYAIMRLVEIHARDLRIMDPLLSYPSTILGREKVIVLNLEANTQKYFVSIQS >RHN77619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8185206:8187655:1 gene:gene1089 transcript:rna1089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MDHLSIFSFLLALHLSISGVISTTFTFVNKCDYTVWPGILSNAGVPTLPTTGFVLQTGETTTVAAPASWGGRFWGRTLCSQDTDGKFSCVTGDCGSGKVECSGNGATPPATLAEFTLDGSGGLDFFDVSLVDGYNVPMLVAPQGGSGDNCTTTGCISDLNGACPSELRVMSVDGKDGVACKSACEAFNSPEYCCSGAYGTPDTCKPSTYSQLFKNACPRAYSYAYDDKTSTFTCANAVDYTITFCSSPTTSQKSTQGQDTKQESSSNSASPQLNNDNTMVYEGGIDQSEISKAMCTHVLLLQSQTIACIISITMVLWRLCQLF >RHN63169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49022756:49027501:-1 gene:gene25840 transcript:rna25840 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKQKKNEVSEEAKEKISERLSNVENLWFPRAQQSTATLPSQRKSIFHSLLTRDPSLFLERYGSNLTSTELTEFETLKEDYEINWHLTRLRSVLTPTTEELRRKAVVAKNRRRAYLDRLIVGGVYFSEEAMREREAYLHYEYVGKFQDRFGRRMARPGERWSDTLLRRCEEAVIVAKIRGEQERIGVPRSEWIGNEGLQEEEEEEEEEEEEEEEDDDDVEKDETVEIHVPQPDVSDNGTSDPARMRHDVTNNGTSDPARARQDPTLSSEELEDRMNQFTYIMQQKFLLGEDHEHVDYTKIDNDETLDDHWQREANIDAEERYFADD >RHN54797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12452173:12456639:1 gene:gene29884 transcript:rna29884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone, P-loop containing nucleoside triphosphate hydrolase MAATTTTPAALRFLPPNPTTRKTFNFFSILKPNFNSLRSFSHSSLFSLSHKYPLSPCKCSFTAPVSTTTYEFSDNASEMELRLNIGSMDVRSTKDISVDANDTSLTIRVLQSGSPITLIDTNPLFDRIKPSETIWYIDDDDLVVNFKKNDPELKWPDIMESWESLAAGSSQLLKGTSIYLVGDSTEINQKVAEELATGLGYTPLSTKELLETYSNQTVDSWLLAEGSDSVAEGEGTVLESISSHVRAAVATLGGKHGAAGRSDKWRHLYAGFTVWLSQTEASDEDSAREETHRNVKDRITAYTNADVVVKLQGWDPAYAKSVAQGCLSALKQLILSDKKLPGKKSLYIRLGCRGDWPNIKPPGWDPSSEGGETLGTQ >RHN50140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4445673:4446972:1 gene:gene34395 transcript:rna34395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MPFPVYAAEEHTLPIVLVSPCSASYFYSTFHIAKLFHNSMLPLKDESYLTDGNLDSKVDWINEVADKCQRASAIVFNTSNELESDAMNALSSIEKGIFPSLYTIGPLASFVNQSPQNDLTSLDSNLWKEDTKCLDWLELKEPGSVVYFAWGLANSKKPFLWIIRPDLVIGGSEVLSSDFVNETSNRGLVASWCPQDKVLNHPSIGGFLTHCGWNSTTESVCAGVPMLCWPFFSDQPLNSNIICEEWEIGMKIDANVQREEVEKLINELMVGEKGKKMRQKAMELKKKAEEDTRLGGCSYMNLDKVIKDVLLKKY >RHN39267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5415093:5418498:-1 gene:gene45275 transcript:rna45275 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGADHGSSPKLYNHKPKISQLKQFQGQKSNEFSSQSSMGAQTAPPPPPLPKEPFIKHYKFFFPVLLAGVGVYWALRTNTKKKETVDDEKDATPVSIKDTTAPVVKTSVSPPLTANTVIKREPIPEGQQRELFKWILEEKRKIKPKDSEEKQRIDEEKALLKNLIRSKSIPSI >RHN60262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24361755:24362090:1 gene:gene22539 transcript:rna22539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MMEVAQGSHFNHVPPFLSKTYGKVDYPLTNVIVSWSATNRSFIVWNPVDFGEDLLPKYFKQNNFSNFIRQLNNTYVSNFKYSFLWICLIILMVFLFLELCYGFLFDYGIKK >RHN40867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23504798:23511583:-1 gene:gene47106 transcript:rna47106 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative six-bladed beta-propeller, TolB MRNFVLFYALALLSLHFSAHATPLDSRERLVAGSFLGRTGHVDGKLSDARFHYPKGIALDDKGNVYVADTQNMAIRKIGDAGVTTIAGGKSNVAGYRDGPGEDAKLSNDFDVVYIRPTCSLLVIDRGNAALRQIFLNQEDCNYQSSSISLTDILTIVGAVIVGYAASMLQQGLGSSFF >RHN77504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7276879:7282770:-1 gene:gene968 transcript:rna968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, CRAL/TRIO domain-containing protein MSGPLDRFARPCFEGFSGNDERREQRKSDFENSEDDNRRTRNGSLKKKVINASSKFRHSLRKKSSRRKNPSRSNSLAIEDVRDVKELQAVDAFRHSLISDCLLPSRHDDYHMLLRFLKARKFDIEKAKLMWANMIQWRKEYGTDTIMEDFEFSELDEVLQYYPHGYHGVDKEGRPVYIERLGKVDPNKLMQVTTMERYLKYHVQGFEKTFAVKFPACSIAAKRHIDSSTTILDVHGVGFKNFSKIARELIQRLQKIDGDYYPETLCRMFIINAGPGFKLLWNTVKTFLDPKTSSKINVLGNKFQSKLFEIIDVSELPEFLGGSCTCIDQGGCMRSDKGPWQDPNILKMVLNGEIQCSRQIVTISNDEGTVIECDKASFPMVQIRSSDTSTAESGSEVEDITSPKASGNYTNPRLTPVHEEARLAGRAGLSAGLPEYDEYVPMVDKTVDVTWKEKQVSTKNSHGSTEKYLSRPGGSDGNRVYIWAIIIGFFVAIFTFARSIAFRMTKRIKDNESDSVQPIIKEESLPLSPAPILTKEELAPSALERLCELEEKVVMLQSKPNVMPCEKEELLNAAVYRVDALEAELITTKKALYEALIRQEELMAYIDGQERRKFEKRKFCRF >RHN42579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39484574:39484858:1 gene:gene49035 transcript:rna49035 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGRRRRQTSKSSSKYMIWCMIYLALYVAKEEFVAVKSHFLRLPEQVKHLSIVENVSISHSLFPKSRSVRTILFSVKGVSLDSETFLNTCKF >RHN79984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34107520:34109059:1 gene:gene3848 transcript:rna3848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial import receptor subunit Tom22 MATVARRGGISLPAKPSDSWLTKISRTPAVTWTKETASSTSVVVGKLLKSTGKAAWIVGTTFVVLVVPLIIEMDREQQLNDLELQQASILGTPPN >RHN68901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39464317:39469466:-1 gene:gene17317 transcript:rna17317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAMQLSMDSSSSFARVVVTPKEFDVFISFCGEDTGRKFTSHLYEALSKKIITFIDDNELEKGDEISSALIKAIEDSSASIVIFSKDYASSKWCLNELVKILECKKDQGQIVIPIFYEIDPSHVRNQNGSYGQAFAKHARDLKQNKEMLKKWKDALTEAANLAGWHSQNYRIESNFIKDIVEDVLKKLNRRYPFEVNMQLVGIEKKYEETESLLKILSNDVRSLGLWGMGGIGKTTLAKDLYAKLCSQFERHCFLENVREESTGHGLNGSRNKLFSTLLGIPRDAPYVETPIFRRRLACEKSLTVLDDVTTLEQVEILNIDNICLGPGSRIIVTTRDKQICNQFNECAIYEVEGLNEDESLEVFCLEAFREKYPKIGYRGLSKRAIGYCGGNPLALKVLGANFRTKSKEAWESELEKLKKIPNGRIHDVLKLSFDDLDRTQQEIFLDIACFFNLELHACFGRDEITTLLNACNFFAVSGIEVLLYKALLTIEHYDQVTMHDLLVEMGREIVRKESLKDPGSRSRLWDPKEVYDLLKYNKGTEVVEVIFFDICDFGDLYLSSASFKSMTNLRYLHILNSLHNIFLTNGRNEGSIVHLHEGLEWLSDKLRYLKWESFPLNSLPASFCAENLVQLSMTNSKLKKLWDGIQKLDNLMKIELDYSKDLVEIPDLSRAPNLELVSLSYCENLCKLHESILTAPKLSYLRLDGCKKIKSLKTNIHSKSLESLSLNNCSSLVEFSVTSENMTGLYLSCTAIQELPSSMWRNRKLTHLNLSKCKKLNIAEKNLPNDPGLESLIFCDLSGCTQINTWNLWFIFHFIRSVKHLRMVNCCNLESLPDNIQNISMLEWLCLDECRKLKFIPKLPVSLRNLSAANCIYVDTGSVQRSMLENMIQRHLTNFRDRSNCFQEFFFLPGDQIPCEFYFQSTEASIVIPPIPKSDLCCLIFCIIFSEGLTFFYNNLCCTIYQHKKEVHQWDTNWGNERTLFSDHVLIICWCHYNKLVELGSERGSDDYNLTFEFKLKEYVDDEEQWSTIEGIKGCGVFPVYDLGLDGSSSSRFETVEIESGVQISDESDQHSNFDIDELQHHATEAEVGKDCGFFLEYDLELRFGLRDDQQ >RHN72417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7448317:7449131:-1 gene:gene8125 transcript:rna8125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MMAEAMAEPPITILPKEVMIEILSRLDASNLLQLRCVCKLWNSLVVDRQVLKNHINKLFDEITVLLYKAEEHVNLHPVVPQEEDVEDVAEEEDEEEVEENEEEEKEEEEDAEEEGAEEEENEEEEEDTDQFMVAVAQLNNVVMLVPSLKDNLLVRLKGIKAFLRITLKSLKSSSSSSS >RHN76828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1624713:1628993:1 gene:gene207 transcript:rna207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative replication factor A protein MFSNSQFDSSNAFSGGGFMSSQPNDSSPAPTKSRDSQGLIPVTVKQISEASHSGDEKSNFSINGVDLTNVTLVGMVYEKTERNTDVNFVLDDGTGRIKCRRWVNDTFDSKEMEEVLNGMYVRVYGSLKSFQGVRQLVAFSVRPVTNFDEIPFHFIDCIHNHLRSKLKIEGITSALPSSNSSMNTPVRNVSNGSQAPSSNPGYVQYSTDGLKDIDKLVLNYLEKHSHIETGVHVDELSRELKLPLDKIMLLLKTPLEEGVIYSTIDDSHYKLA >RHN47043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36711142:36714441:1 gene:gene41593 transcript:rna41593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MKKFVLKLDLEDDKAKQKALKTVSTLSGIDAITMDMKEKKLTVVGTVDPVKIVSKLRKYWQADIISVGPAKEPEKKEEPKKEEPKKEEEKKEEAKKEEEKKEGEKKEEPKKEEEKKEEKKEEGEKKEEEKKKEPAPDPVLEMVKAYRAYNPHMTTYYYVQSMEENPNACVIC >RHN70334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50707850:50710194:-1 gene:gene18904 transcript:rna18904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin METPASKKRANAEPIVLNNKKQRVVLGDLPNLPNLNVSPKPQTQKKLRPKKSDAVRLVYGFNFDKPDFSKINAKSDYEEIFESYASDISNYLRTMEVQKKRRPMIGYIEKVQRGVTANMRGILVDWLVEVAEEYKLLPQTLHLAVSYIDRFLSNESVNRSKLQLLGVSSMLIASKYEEISPPKAVDFCQITDNTYELKQVIKMEADILKSLNFEMGNPHVNTFLKEYIGPATEDLKTSKLQMEFLCNYLAELSLIDYECIRFLPSMVAASVIFLARFIICPGVHPLTSSLSECLFYKSAELEECVLILHDLYLVRRAASLKAVREKYKQHKFKNVANLPSSPEIPNHYFVEE >RHN69889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47132801:47134323:1 gene:gene18420 transcript:rna18420 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCCFLQHMHKCTNSGTLRLSDWKEPTSFKKLSHITTIERFRSDACTRKQIKLLN >RHN48958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51364351:51369674:-1 gene:gene43734 transcript:rna43734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-acylglycerol O-acyltransferase MDLEFQYKEEFWRNSRGVQLFTCKWLPLCSPKALVFLCHGYGMECSRFMRECGVRLACAKYAVYGVDYEGHGQSEGVRCYIKKFNNIVNDCYDFFKSVSVLQEYMGKARFLYGESMGGAVSLLLHQKDPSFWDGAVLVAPMCKISEKMKPHQVVINILTKVEDIIPKWKIVPTKDVINFAFKDPAKRERIRKNKLIYQDKPRLKTALEMLRTSMNLEDTLYKVTFPFFVLHGETDTVTDPEVSRALYERASSKDKTIKLYPGMWHGLTSGEPDDNIEKVFEDIITWLDKHANNDDPIENCNHDIETLTPVVSSAKTMKQSHRRKSYLCGLKGSRSLHHSAN >RHN68278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34202719:34205954:-1 gene:gene16612 transcript:rna16612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MANAESSSRSSRWSLKGFTALVTGGTRGIGHAIVEELAEFGATVYTCSRNQEELNKRLNEWKEKGFSVYGSVCDASSSSQREELIQNVASSFNGKLNIFVNNAGTNVRKPTIEYTAEDYSKVMTTNLDSAYHLCQLTYPLLKESGNGSIVFISSVGSLTSVGTGSIYAASKAAINQLTKSLACEWAKDNIRSNCVAPWYTKTPLVEHLIANEEFVNQVLSRTPIKRIAETHEVSSLVTFLCLPAASYITGQIVSVDGGFTVNGFQPSMRIT >RHN76111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47765137:47766538:1 gene:gene12389 transcript:rna12389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M20 MFFGTVVLVFQPAEEGGGGAKKILDSGALENVSAIFGLHIGPNIPLGEVASRSGPMLAGGGFFKAVIRGKGGHAANPQHAIDPILAASNVIVSLQHIVSREADPLDTQVKTCNSSLFEEKMLETIVQHCLFTTIFEYDENLRSECLPNIISSQVVTVGNIQGGGAFNVIPNFVTIGGTFRAFLRESFTQLRQRIEQVIIGQAAVHRCNATVSFLEDKISSYPPTINNDSLHDYFQSVAGSLLGVDKVKGHHLLMGSEDFAFYQEAMPGYVFIVGMEDVSVERLRSWHSPYFKVNEDVLPYGVALHVSLATRYLTKLNQEVPTVDGKYHDEL >RHN53807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4306197:4307513:1 gene:gene28755 transcript:rna28755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TIFY family MLFYYSVMKANIIKEEPKCTQMTIFYDGKIIVFDDIPAEKVEDIMVFSSEGTTTSRNHKNNNYAFRFAQSHPSFLARNSANNSVQVPSSPVIYDLPMTRKASLHRFLEKRKDRIAAKAPYQTSNPTNLNKPINEFMSWLSLAPQIRM >RHN47921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43588305:43589778:-1 gene:gene42575 transcript:rna42575 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAQNIMATSIGCIYYYLLFLLWLLSTITLFVESHVVLVRGKLFLLRNIQSFGTINRQMSMLSLAQCWDQLVSPIIPLGLYTNLPTTQMLAFAHS >RHN54102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6933969:6935007:1 gene:gene29086 transcript:rna29086 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSTNICEICVAKQQQPHQDCRCLSHCKAAKTSLPKTNNIET >RHN61615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36847690:36850981:1 gene:gene24098 transcript:rna24098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ER lumen protein retaining receptor MRPQRTSIHAISTWVRRQPPKVKAFLAVVSGMAALVLLRFIVHDHDNLFVAAEAVHSLGISVLIYKLMKEKTCAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTILDLATFVTTVWVIYMIRFKLKASYMEEKDNFAIYYVVIPCAVLALLIHPSTSHHILNRIFWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGHLLVALGYGLWPSMVLISEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >RHN47050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36792844:36796846:1 gene:gene41601 transcript:rna41601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MDLLDKAKNFIAEKVADMPKPEANITDVDFKDVTRQSVEYLAKVNVSNPYSTSIPICEIKYSFKSANREIASGTVPDPGSLKASDTTLLNVLVKVPHNIIVSLVKDIGADWDIDYQLDIGLVIDLPIIGNFTIPLCQKGEIKLPSISDFFA >RHN56849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34194961:34195524:1 gene:gene32308 transcript:rna32308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate decarboxylase MVLSETATHMDASVHSTFASRYVRTSLPRFKMGENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMASINKNYVDMDEYPVTTELQVIHSCTLNN >RHN67554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28222380:28223305:1 gene:gene15752 transcript:rna15752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKAKSLSEDRDDEKKENKQATTRTNKQIQIKPKENKNNTNTDIEQGQSSKIFCGICLTNSNMFSTGLCNHPFCTISISKYAKIQIKEKVVKVKCPDPECSVELKQEHLQSILPNEIIVDWESANCEASIALKEKIYCPYKNCSLLLVNDEAGAVTSCECPSCHRLFCAQCKVPWHANMNCQEFQNSEIGQGLKQSDRKFLELAKREKWKRCPKCSMHVQRTTGCEHMRCRCGCHFCYMCGRDWNWYHTCNQNPRYYEYIGCN >RHN46158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29270721:29272679:-1 gene:gene40601 transcript:rna40601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MFLKLVFMLFFHVTLVASEDNSFIYNGFQSSHLYLDGFAELTSDGILRLTNDTEQVIGHAFYPNPIVFKNTSSESVSSFSTTFVFAIIPQYPPFTGHGIVFVLSPTKGLPNSLPNQYLGLFNDSNNGNSGNHVFGVELDTSKDFEFGDVDNNHDGININDLKSVVSTTAAYYDDHGQIRTVDLSSGNPIQVWIDYDGVKKKIDVTLAPVYVVKPTGPSLSMTKDLSPILNNSMYVGFSSSTGLLAASHYILGWSFKVNGQAQNLVISQFPYKKKSKISTVGLPLIFLSLVFMITLGVIYYIKRKKFSEILEDWEQEYGPHRFKFKDLYFATKGFREKGLLGVGGFGRVYKGVMPSSKLEVAVKRVSHESRQGMREFVSEIVSIGRLRHRNLVQLYGYCRRKSELLLVYDYMPNGSLDNYLYNQPKVRLSWSQRFRIIKGVASAVVYLHEEWEKVVIHRDIKASNVLLDSEFNARLGDFGLSRLYDHGADPHTTHLVGTVGYLAPEHIRTGKATKFSDVFSFGAFLLEVVCGRRPIGRVGENESLVLVDHVFECLKRGEILEAKDVYLGTNYVSEEVELVLKLGLMCSHSEPLARPSMRQVVQYLERDLPLPDLSLLSLSSYGLTFGHQELVEDKTVPYTSVSIAESVLSGGR >RHN80319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37151937:37152857:1 gene:gene4229 transcript:rna4229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endonuclease/exonuclease/phosphatase MKILTWNCRGLGNPDAVRAYKKLLRSNCPDIVFLMETKLKVSDPKVSSKLCLGHLQNHFIINCNSEGGGRSGGLALIWHNDVKLNITDHNKMLIDFYVLDVLNNEKWCGTGLYGYPYQSKKHLTCEAITNLYNSKYNVKWLVFGDFNLYINSSEKLGGKNVNYNLCNLCNLFQNTLQNCNLFDLGYHGNKYTWANNQESTYHIKERIDRYCANDLWINYFPRYTNYHLLRFTSDHSPILLEFWDKHSDAQTRLRSAVLPSPTRFRHGRAYDTRTIRVRRGSSRIQQKKKTYSGRDTAQLSTTRPIT >RHN46423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31614989:31616700:1 gene:gene40906 transcript:rna40906 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRSQLHPRIHQCNGKKYLPRACYQMTSKEKESFLEVLKNLKAPDEYLSSIPRCVQVKQRKISGLKSYDNHLLMQEFLPIAMKGCLPDKVTKVISELCNFFKELCGKVLNEHNLEGLEHRVAKTLCQLEKIFPPSFFTVMVHFLTGKLPRHASSNISSQRTNSEDRLQKVESVLGNLVAVLQMRFSDDPQINAVLQAVAQEVPDVASAPNGSIGNNQQTTSGTGSLHF >RHN79444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28987797:28999656:1 gene:gene3235 transcript:rna3235 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLHTYKFQTFFFLKGQVSNIERWLNGCYHFTTKHSNSKPFSFSILKCKTPLLPFYRFLLLTVTMFSSFWRSIDRFSLQHFKYVIRELKKIKAVNEHNREFVVDLLQCIVEIVTYGDKQDPLIFECFMEHQVLAEFVRILKTSEISNIEAPLLQYLSIMIQNMDSEQAIYYCFSNGYINSIILHPYKFDGGDIASYYVSFLRAISGKINRDTLCLLVKVHGDAVVSFPLYTEALRFAHHEEKMIQTAIRSLVLNVYNVSDDMVYQFIMTPPVSDYFSDLVHRLRDLCFCLDSILYDKGEVETQTRRNGLILQSDKIADELYYFKDILSVGKPRLTRLVTENLLNGLVFPILFSLLASKNNDESGLSSVTSLYIVSHILQVVGGRSMINNVACVILYHFLNLNMRVESEENTTDGHDDVKPFANCLSEIERTISCAPELNGAESIGGNYLGAHWEDFTSGFSTDEICSKRRGVLEFVFSEDRSLVLASIFLLLILAESKDLDCFLSPMIGLYQTGVVMLQNNGASTSKSADASIFSRFMPEILNAVLKVLAFQQSLPAMMLWHVGWCLRKLLGFNREGLDSDNLLLFTTSYDQSRAHFLKELDGIWFDHIPDALRTEWESCIRALEQSSQYKDPLFMFELVLDQQSTNGETSSYFAWQRMDDAMKAFILHFQLKTFIFKSALVEKPFLNTIPSSTNDSGANRSLNVSSASFGSNVSLECGMPCRIAFSNSEIRDVYVIPVAYEMIGKLILAEKHPFRSRHGVVIAIAPLAALCPKIDEDHPSWLLLRIRNFDPRFYTIKARNNNLSMSDHLADGRWTLGFPNAKACEEAQLAILNEVTKQRSAVEYMLAPLLQNDLGLAVDKGSYSCVTP >RHN77839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9789542:9790678:-1 gene:gene1332 transcript:rna1332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MLYLSPSRDEYYMVATGNFLALNRGIQEEQNVPARYRQEFLTIAWEQVHLRSIFPFQYFSIRASLIPFIEHNDANRALISSNMQRQAVPLSRSEKFIGHILADGAATVGGELALGKNVLVAYMPWEGYNCEDVVIISECLVYEDMYTSFHIRKYEIQTHVTNHGPERITNKIPHLEAHLLQNLAKNGIVILGSWVETGDILVGKLTPQMAKESSYAPEDRLLRAILGIQVSTSKETCLKLPMGGDKVAGRHGNKGIVSKILSRQDMPYLQDGRPIDMVFNALGVPSRMNVGQILECSLGLAGSMLNTHYRIAPFDERYEQEAVF >RHN58776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4763807:4780371:1 gene:gene20720 transcript:rna20720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative digestive organ expansion factor, predicted MKKRQRVAPISESISKRKKKEDKGTKKKKEGRRVEKETNVEIIKSVNLLEDDHDGEGSGIDSPISNVVGSLQQNSDDNDSGLIEVFETEEDNEFSHSDKEDIRTIGGATSEDSVDLRFEIDSQKNLKFSWDVPVIGASNCKWRGTGENILKDFNINSCPGLKEKLYEHWMDVSKTSGGKDINSPKHKMFFSLCCSYRDILHCNKRPFYLKGLEDTSIMDAYIMHSLNHVFRTRDCVKKKE >RHN53703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3468987:3470144:-1 gene:gene28638 transcript:rna28638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MFCPLTPINITHYTHFFHSTLQQPTQSSNNFNFTFTTKHKHNTKKHSNNNMKLVWSPERATNSYIDTVQAITTINHLSSESGAAELVSSMAAGWNAQLIVETWSHGGVIPTSVGLSIASGHTGGRHVCIVPDEQSRSEYAKNMGEAGMSPEIIVGEPEEVMDGLVGIDFLVVDSRRKDFTRVLRLAKLSGKGAVLICKNANFISKMDSGYIWRSVVARGSRRLVRSVFLPVGKGIHMAHFSAAGGDNSVAAMKHKGRAVHNRWIKHVDQRSGDVHFIRK >RHN46513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32411802:32414488:1 gene:gene41006 transcript:rna41006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MDSDSSEGYSYKYDVFISFRGPDTRNTFVDHLYAHLTRKGISTFKDDKSLQKGESISLQLLQAIKDSRVSIIVFSKDYASSTWCLDEMAAIDESSRRLKLVVFPVFYDIDPSHVRKRSGAYEDAFVLHNELFKHDPDRVAQWRRAMTSLAGSAGWDVRNKPEFDEIEKIVEAVIKKLGHKFSRSADDLIGIQPPIEALESRLKLSSRNGGFQVLGIWGMGGIGKTTLATVLYDRISYQFDTRCYIENVHKIYEEGGANAVQKEILRRTIEEKILDTYSPPEIARIVRDRLQNKKLLVVLDNVDQIEQLDELDIKRVFLRPESRLIIITRDQHILRACGADIVYEVELMNGNDAHKLV >RHN49431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54757735:54758904:-1 gene:gene44260 transcript:rna44260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MSYLSSSSPYQLLEINIISAQDLSGVAKSVKAYAVAWLHPERKLATQIDQVGHTNPTWNEKFVFRVDNEFLNSESSVIMIEIYNSAWLRDILIGTVVVLVNNLLPTVTLSGNRKPKLRFVGLQIRRPSGRPQGILNIGVTLLDSTMRSMPLYSELSTSAVGYWDMMDSNSNKRKVNNNYNDNNSIVTDDSKMMLTLQRCQSEKNDSTINDYTYHGAGKNNYNGYEESEASMPLPRKRGNEESLCSDVGPSPSVVAAAIAKGLYLMPPPSRKVKSSAVGGWSESNYTEGMDTKIERWRNELTPAYDEEFEELDEEIKKRMVVAKKTPKRSGKTPGRPMSKKGKLCSCFGTMFGCEFSITCGGGGNNKKKRGNDKAHLTSASELTYDESRI >RHN46293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30417500:30420186:-1 gene:gene40768 transcript:rna40768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative universal stress protein A MVEDRKIGVAIDFSKNSKNALKWAIVNMADKGDTFYLIHINSNSSDESRNKQFAKTGSPLISLEELKEVEVMSKYGVQTDVEVLDMLDTLATQKEVSVVAKLYWGDARQKLMDSIEDLKLDALVLGSRGLSTIKRILLGSVSNFVMVHSPCPVTIVKYYSSSSSSSSSY >RHN66067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8240948:8243717:-1 gene:gene13979 transcript:rna13979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAGVVGGAFLSSVFQVIRERLASQDFRDYFHERLWKKLEITLDSINEVLDDADIKEYQHRNVKNWLDDLKHDVYELEQLFDVIATDARSKGKMRRYLSLFIKRGFEDRIEALIQNLEFLADQKDRLGLNKFTSGDCEIGVLKLLREFRAVSKSCNDIFVGKDGRVIPRILPTAPLMDKSAVYGREHEIEEMTEFLLSDSYSETFVPIISIVGVIGMGKTTIARLVYNDHKIHEQFELKAWVYVSESFDLVHLTQAILREFHSSETYSEDMEILQRQLQQRLAGKKYLLVLDNIWNENVECRKKLLLPFSNGSSGSKLIVRTPHNEVASIMASTRLLRLNQLNESDSWSLFVHHAFLGKNIFEYPNLESIGKKIVEKCGGLPLALETLGQLLQNKFCETEWIKILETDMWRLSDGDNINPILRLNYLNLPSNLKRCFAYCSIFPKGYEFEKRGLIKLWMAEGLLKCWGRDKTEEQLGNEFFNYLVSISFFQQSVTMPLWAGKYYFIMNDLVNDLAKSVSGEFCLRIEDGNVQEIPKRTRHIWCCLDLEDGDRKLDHIHKIKGLHSLMVEAQGCGDQRFKISPSVQKILFSRLKYLQVLSLSGCNLVELADEIRNLKLLRYLDLSHTEIASLPNSICMLYNLQTLLLEQCFRLAELPSDFCKLINLRHLNLNGTHIKKMPPNISRLKNIEMLTDFVVGEQRGFDIKQLAELNHLQRRLQISGLNNVIDPADAVAANLEDKEHLEELSVSYDEWREMDGSVTEAHVSVLEALQPNRNLMRLTIKDYRGSSFPNWLGDYHLPNLVTLELLGCKLCSQLPSLGQFHSLKKLSISGCDGIEIIGAEICGYNSSNVSFRSLETLRFEHMSEWKEWLQFPRLIISVT >RHN43663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47591247:47591519:1 gene:gene50273 transcript:rna50273 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRVCVLGCNVVSQCNLCGKAVGTSQHLFWNCASARKIFWTWFFLLLVLLLTLLLLMLFWIFVKYICLLNVKLSLLLSLIHYQLN >RHN44598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6630622:6633328:-1 gene:gene38736 transcript:rna38736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MGGVGKTLLATLVENEVNRKSTFKDVFWVTVSHNYSISKLQYDIAKRIGVKLDEDDEKIRAEILSLAWEKKRTSILILDDVWKYIDLHKVGIHPKVNGIKMILTTRLKHVCHQMDCHPKAIIQMLPLRCNKGYGSEDWKLFMLKLGHDGTPKILPNEIEKIARCIIERFKGLPLAINVMARTMKGVDDFHQWKHALNKLRKLEMGQEVEEEIFKVLKRSFDNLMEKNLQNCFLYCALLSTDTLIEKDELIMKLVDNGQINGSMCLEEIFVEGNTILNKLESHSLISLSNNIVATHQMVRNMACYILKQSKRDAIVKFKECVTEIPLSHEWAANLELVHMWGCDIGPIPEGMSPNCPNLSTLIINRVSISHVPESFFKYMNSLSILDISYNKRLESLPNSVSELRSLITLVLKSCYSLKHVPPLGELQALSRLVISKTSIEEAPQGLEKLINLKWLDLSSNKCLNMDTRSFLSNLTKIQYLNLQNTNALIKVEDIQRMNMLECLGGGFDCKDHNQYMQKNLDMSFGPKSYILTFASVWGEDGVSLIRFDSDDPETKTMKFGNCDHSSHILPKDLTYLCIEKNSHWVCLCDALLCNTDFSSLRKIKTYYCQRLKSLFCLSGSCSFCTKIHNLEVLELSYLKSLTVVCKDVVNVRLSLTLAGGIFSNLKDFNIFCCHLIEKLFTPQLVQQFQNLEIISVTLCASMKEIFAVSNSDDNDQSIITLPKLTKLSLSSLRQLKSVCKGSISCGSSPKVDIFNCPNLERYPTIIQRC >RHN55030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14540041:14542174:-1 gene:gene30151 transcript:rna30151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, kelch-type beta propeller MAAIKREPKQEAPIHGDVLETIFSFVPLIDLVPSCHVSKSWNKTVFSSLTHVRQIKPWLIVLPQTSRASRVTIAHAYDPRSHAWLQITKHQPLINKTQEIPAIRSSHSTLLYTLSPSEFTFSLDALQLEWHKAPSPRVWRTDPIVARVGNCVVVAGGACEFEDDPLAVEMYNMESRDWVNCQSMPMTLKTSSASSWLSVAVVGETMLVTEKNSGVTYTFNTTTMDWEGPYYLRPDDKSVLYTVTGTLSEKLTVAGLVGEPGNVREVKLWAVKEEIDSGMEEIGSMPKEMVEKLRGDSEFGSVEAIWVGNLVYLRNTLVLDELVVCEVVNGNLCEWRSVKNAAVDGGTRMVFCGGDVKMEDLQRAVLSEKQTFSMKQM >RHN74456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33576241:33583949:1 gene:gene10532 transcript:rna10532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoglycerate kinase MTSPHAVFSLFPSILHDSSTIWSIDSIPLRPPCHPRKSVSSQTRKNPKTPLTRTLHTSLISLYKSSRTFLFSLSVFELRSLPSIYPSFVKMATKRSVGTLKEAELKGKRVFVRVDLNVPLDDNLNITDDTRIRAAVPTIKYLTGYGAKVILSSHLGRPKGVTPKYSLKPLVPRLSELLGTQVKIADDSIGEEVEKLVAQIPEGGVLLLENVRFHKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVAKYLKPSVAGFLMQKELDYLVGAVSNPKKPFAAIVGGSKVSSKIGVIESLLEKVDILLLGGGMIFTFYKAQGYAVGSSLVEEDKLDLATTLIEKAKAKGVSLLLPTDVVIADKFAADANDKIVPASSIPDGWMGLDIGPDSIKTFNEALDKSQTIIWNGPMGVFEFDKFAAGTEAIAKKLAEVSGKGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKPLPGVLALDDA >RHN51128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14204491:14209607:1 gene:gene35510 transcript:rna35510 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRFFHTLQQHVRRYYPKNKTTHFHYRTSQTNNRSFSSSSSCSNNSKKLGFVGWYLRKLETYPVLTKCITSSLIFTAADLTSQMITLPSSASYDLKRTSRMTIYGLLILGPSQHKYFNFLSKILPNRDVATTLKKILMGQALFGPVINTVFFSYIGALQGESGPEIVARLKRDLLPTLIGGAMFWPVCDFVTFKFVPVHLQPLMNSSCAYVWTIYLTYMANRTNLTEA >RHN47734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42059905:42070940:-1 gene:gene42367 transcript:rna42367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase (ATP-hydrolyzing) MAPVLLRRLPLLRFMASRTFFTPSSFRLHSSSSLPLSILSKPRKRFSLRKFSVPSLVSNGVTPRAYMSSLSAAEDIHKNDSSKAYGSDQIQVLEGLDPVRKRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGFASKIDVVLHADDSVSIADNGRGIPTDMHPTTKKSALETVLTILHAGGKFGGINSGYSVSGGLHGVGLSVVNALSEALEVTVWREGLEYTQTYSRGKPVTTLNCVMLPFENKDRQGTRIKFWPDKEVFTTAIEFEYNTIAGRIRELAFLNPKLTIALRKEDNDPEKVQSNEYFYAGGLAEYVQFLNTDKKAVHDVLSFRKEIDGITVDIALQWCEDAYSDTILGYANSIRTVDGGTHIDGMKASLTRTLNSLGKKSKVIKEKDITLSGEHVREGLTCVVSVKVPNPEFEGQTKTRLGNPEVRKVVDQSIQEYLTEYLELHPDVLDSVLSKALNAFKAALAAKRARELVRQKSVLRSSSLPGKLADCSSSNPEDCEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERRDEAAMYKNEEIQNLILGLGLGVKGEDFKKDALRYHKIIILTDADVDGAHIRTLLLTFFYRYQRALFDEGYIYVGVPPLYKVTRGKQVHYCYDEADLKKLKSSFAPNASYNMQRFKGLGEMMPLQLWETTMDPEQRLLKKLTVEDAAEANIVFSSLMGARVDVRKELIQNAANMIDLDQLDI >RHN73835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20518580:20519842:1 gene:gene9715 transcript:rna9715 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFGSKSSFQIARKHVSTLIKYQIIYHLNSFDFADFWAWFVSFGKKVECKLTHVGANVS >RHN42015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34793559:34794777:1 gene:gene48400 transcript:rna48400 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMRVVFAMVLVVVVIVVSPCLVNGRNYFHEKTMDVDGINSTDTDEVLRGDNSSVEDSSGSKIGYSSENVLVERKLSKNNGGKGGGAGGGGNGGGNGRSEHRGSRKGNGGGGGGGGGGGGSGGGGGGGGSGQGHGWGGGSGGGGGGGGGGGGGGNGNHNGHGQGGGGGSGGGGGRSGGGGGGGGGGGGGNGHGQGGGGGSGGVGGRSGGGGGGGGGGGGGGSDGGEGWGWGGGGGGNGKGNFKCWVWGCGGERKSEERNGIRSSNSKG >RHN63779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53990031:53990450:-1 gene:gene26525 transcript:rna26525 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKITQIDLLLLENQIPMFVLEELHKRVLLGEKNGCNSNKENCLSFIDLAFNYFEDYYPQKLSKKLELTQNCKSCKHFADLIRYTYLPREIQVNGMNPSQSFTPFRSEYALRTATKLSEADIRFEKVQGCNTPLPKSN >RHN57876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42333782:42335327:1 gene:gene33467 transcript:rna33467 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MtN5 MAHSQGKALAQWMIGALLFAMLAGSLAVQICNIDPNDLKQSCSKFVTGRNPPRADEACCGVLRRANLPCLCGYKSALTYYGINAKKALALPGQCGLQTPSNC >RHN50212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5200274:5206177:1 gene:gene34477 transcript:rna34477 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIVSSGYENECFVRSVSSNAQYKNQPIVCSDEENECLVSPKVAPLDDLTDNRRTDILRRLLKDWVVG >RHN73268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14983564:14988938:1 gene:gene9065 transcript:rna9065 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCILLISNQFINLHFLNDFCMASDATFSSNITFIFFSLLLAFHFAMAVQEHTLTKDDAKKRSNEVATSTNEESVQNLNTTKGNRISDLQKHPKGRNSRASKPLFQWKNKIFNASEHEVPSGPNPISNRVETLVASKSPQIYNLTFQTCYTTLPICFIERSLVANVTYCYC >RHN80905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41778416:41778810:1 gene:gene4887 transcript:rna4887 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNNQRKSSKSSFRSVFNIFKSSSNKQRGGGEYYDHGAYANKVWPSDEDRGSWGVADPVIDMRATAFIAQYKKRISESQIHCQAQPDQ >RHN70031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48181260:48193512:-1 gene:gene18571 transcript:rna18571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyltransferase A, auxiliary subunit MSTLEESDVTGIRRYELRLLRCTLTPSPSPSSNSESPDDLINKLLSSIECGNYAEALTSQSSKLIFQLNLESPPPNSSGERFYSEFVDRAESFITDATVSTVEQTRRATLVLCIAVAAFLGFTQCNFTGPLKGKELPRFPLSLVELECAEWDIWARNYLMADGSDLLGKFSNLQYIVFSKMLLMRMKDLSVDIRIRSLTWWLGRVLLLEQRILDERSSTLFDLLHAYMGEALRQFGTSEKVQSYWDVGLRDGESLAIVSLLHLEVGIMEYAYGRVDPCRTHFESAEMAAGLQLSVTGVLGFRTVHQAEPKAQMVLVTNTSSSNTGDNGPLMGTGIQTCDDSNGRNNLHQHQTSETSDILVIPKLLENADDSKTRSQDVENGAVTSNMTATQQAVVLAYCLLIEKSSRHDELQRWDMAPYIEAIDSQHYSYFIIRSFCDILRIRWESLRSRTKERALLMMDNLVKRIYESSPATAERIPFSCGVYMASIPALRKEYGELLVRCGLIGEAIKEFEDLELWDNLIHCYSLLEKKATAVELIRKRLSERPNDPRLWCSLGDITNNDACYEKALEVSNNRSARAKRSLARSAYNRGEYETSKVLWESAMSMNSMFPDGWFAFGAAALKARDVEKALDAFTRAVQLDPDNGEAWNNIACLHLIKKKSKEAFIAFKEALKFKRNSWQLWENYSHVAVDVGNISQALEAAQMVLDITKNKRVDTVLLERITNEVEKRHSTSNYVPPVTTDNKPNTDQFCIVDSGSEHQEQVSGRSRETEQLMLSLGNVLQQIVKNGSGYGPEIWGLYAKWHRIKGDLIMCSEALLKQVRSFQGSDTWNDRDRFQKFAKASLELCKVYMEISTSTGSIKELFTAEMHLKNICRQAQSFSDTEEFKDIQACLDEVKIKLQSNSMPS >RHN56349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30174357:30176002:-1 gene:gene31734 transcript:rna31734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MISVILINLGKVDLELFIRLSNGQVIAVKRLSINSGQGDLEFKNEVRLVAKLQHRNLVRLLGFTVEGRERLLVYEFVPNKSLDYFIFDPTKKAQLDWEKRYKIIQGITRGVLYLHEDSRLRIIHRDLKASNILLDGDMNAKIADFGMARLILVDQTQANTSRIVGTYGYMAPEYVMHGEFSVKSDVFGFGVLVLEIISGQKNSSTRHGENSEDLLSFVHISFDIIVS >RHN64457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59234502:59236654:-1 gene:gene27276 transcript:rna27276 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAPRLVLVTVLLLFVFTINCKSSSVGHIPSNEDEGRDFQDVKAKTMETANKASETGKEGKEAAETWTEWAKEKITEGLGFKDKDKDSTTTDKASEYASDAAHKTKHYADETAHKSKETAQKASDHAHDAAEKTKGYAWDASKKIRDYATDASEKTKHYAADESYADDDDDAEDNRDFAGEAIHKAGEYTGSAAQKAKEYATDAAQKAAQKAKEYATGAAHKSKEYAGDAAQKTKEYAGDATEKTKEYAGDAAQKTKDYAGGATQKSKEYATDAAYKSKEYAGDAAQMAKDAAYKTKDYAGDAAEKTKDYGSDAAQKAKDAAYKTKDYAAAQKAKDYAGDAAHNTREYANDAAYKSKDYAGDAAQKTKEASEYANDTTQKTAKYGADTAQKTKNKIQDVASGTGEHSAEKAKEVKDTAAEKASNIANAAKETIASNYEAAKQKLGGQRRDPEL >RHN74033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23907768:23913509:-1 gene:gene9962 transcript:rna9962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin-containing monooxygenase MEKNVAIVGAGISGLLACKYVLQIGFHPIVYEADDDIGGIWRHTIQSTKLQTKKQDFQFTDFPWDSSVKEDFPSNQQVQDYLNSYAQHFSIIPYIRFNSKVIDIDYVGESHEEMKTWELWSGNGSPFGSKGTWHLTVEDTKNFTTEVHKAEFIILCIGKYSGFPNIPKFPLGKGPEVFKGKVMHSLDYSALDNKAAAEMIKNKRVTIIGSGKSALDIAAECANANGVTYPCTIIQRTTHWYLPDFNVAGINLGYLYFNRFAELLVHKPGESFLLSLVATLLSPLRTGISKLVETYLKWKLPLKKYGLVPDYSFLQDTSTCRAGVLPDHFFDKIIKGSINIKKSQSFSFCKEGLTINGEDKPQEADLVILATGYKGDQKLRSIFRSTIFQNYINESADSMVPIYRQTIHPRIPQLAIIGYDESLSNIFSNEMRCQWLAHLLDENIELPSITEMENDVKMWEENRKQHTNNLNSRSCIVTCGIWYNDQLCKDMKCNPRRKKSFFAELFEPYGPIDYNGLVRK >RHN43405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45533459:45536174:1 gene:gene49975 transcript:rna49975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MKKIVVKLDLHDDKAKQKAMKSVSSLSGIDSIAMDMKEKKLTVVGDIDPVDVVSKLRKTWHTEILTVGPAKEPEKKKDDGKKDDNKKKDPNEQIAELIKQYKGYNPYMTQYYHVQSVEENPNACVIC >RHN62836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46139286:46141958:-1 gene:gene25455 transcript:rna25455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MFLLLLCIQCSGSSMKTEAKIKSAVFLSPKFELGPGSVVNGYYYDIGFPRGHVALKSFNAEVVDESGNPVPLHETYLHHWLVVRYHQSKHATQNSDNVLIRNSGICQGNTLGQYFGLGSETRGTATDIPDPFGIEIGNPEEIPEGFHEKWLLNVHAIDTRGTKDKLGCTECKCELYNVTVDEYGRSIRPDYKGGLLCCYDSKQCKLKEGFEGPKRSLYLRYTVKWVDWDDFIVPVKIYILDVTDTLKLSDNSKGMNSDHDCKNEYQVESCSTDHKEGNSCVHVKRTSLPFQTGGYMIYGAAHQHSGGIGSTLYGQDGRVICSSIPSYGNGSEAGNEAGYIVGMSTCYPKPGSVKIIDGETLTLESNYNSTKEHTGVMGLFYLLVAEQLPYQHFRHSTRSSFFMDINNILLDN >RHN71871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3236626:3243078:-1 gene:gene7514 transcript:rna7514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific protein-tyrosine kinase RLK-Pelle-LRR-V family MGFLNLGLHVQIFVTSMILILMAAFCVADTDPVDVAAINSLYVAMNSPPLQGWKPVGGDPCLELWQGVDCVFTNITAIRLGGLNLGGELGSNLDFPSIIDIDLSNNHIGGAISFTLPPTLRTLSLSGNKLNGSIPDALSLLTQLSNLDLANNNLTGQLPSSMGSLSSLTTLLLQNNQLVGTLFVLQGLPLQDLNIENNLFSGPIPPNLLSIPNFSKNGNPFNTTIIPSPPVAAAPSPVAIGRSPEESPWHVAYSPADFTASMPGKVKKSFLAEHVIWIAGAGLLLFIALGICLLMVWCCKRKPKNKNPQKLDVEAFPKTLHKPTCDATVFETTNQDGKAEKTYRLNEVPNRRTNSIPKVPDQKEVYVNKVSATSEYNNVSKPSLLQPPPHSLLSIPGEKVIVNPAATTKATERQVMTSSVKIYTVASLQQYTNSFSQENRIGEGTLGSVYRAELPDGKMLAVKKLDATTFKDQNDEPFLQLVSSISKIKHANIAKLVGYCAEYNQRLLIYEYCNNGTLHDALQGDDEHCIKFPWNARIKVALGAARALEYLHENFRPPIVHRNFRSANVLLNEKFEVRVSDCGLDHLLSSGTAGQLSGRLLTAYGYSAPEFESGSYTQQSDVFSFGVVMLELLTGRKSYDRSRPRAEQFLVRWAIPQLHDIDALSKMVDPRLNGSYSMKSLSRFADIVSSCIQREPEFRPAMSEIVQDLLLTM >RHN59709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12692904:12693305:1 gene:gene21809 transcript:rna21809 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S2 MLTNWYTTETRLRKFRDLRTEQKTGKLNSLPKRDAAMFKRQLSHLETYLGGIKYMTGLPDIVIILDQQEEYTALQECITLGIPTICLIDTNCDPDLADISIPANDDAIASIRLILNKLVFAICEGRSSYIRNF >RHN40870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23531294:23541296:-1 gene:gene47110 transcript:rna47110 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CDC16 MREQEIEKLREVVRDCMSKHLYSSAIFFADKVATFTNDPADIYMHAQSLFLGHHYRRAFHLLNASKIVLRDLRFRYLAAQCLQELNEWNQCLSMLGEEVKVDEHGNLSNAANIYLDKDRGEINITAAICFLRGKAYEALENRSQARSWYKAAIKADPLCYEALECLIENHMLTCDEEANLISSLQFGSEDGWLSSFYSCLIKKYDKEIVVEAKFRDLENESCKTDQSNPSFFRTLKTNNDLLACKAEYYHQCGEYQKCFELTSVLLEKDPFHIKSTLVHLAAAMELGQSNELYLMACNLVKDYPQKASSWFAVGCYYYCIKKYDQSRRYFNKATSLDRTFPPAWIGYGNAFAAKEEGDQAMSAYRTAARLFPGCHLPALYIGMECMRTHSYKLAEQFFMQAKSICSSDPLVYNELGVVAYYMKEYKKAVWWFEKTLALIPTALSETWEPTLVNIAHACRKLEMYREAISYYEKALALSTSLSTYAGLAYTYHLQDDFSTAITYYHKALWLKPDDQFCTEMLSWALIDESRSSGNPHLEL >RHN54561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10500284:10500899:-1 gene:gene29625 transcript:rna29625 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPHDLRNGDFEETMNLLTCIMDQEGTMAIEFSFVVLLNACAGIAALRHGDLLQARVEKLGFKNRVIVGNGLINILGKQIAETMDPCDMGTYALLLNIYAKACIWDGVTTIRKMMREIYVKKQARVS >RHN80861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41373805:41380039:-1 gene:gene4836 transcript:rna4836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-polymerase II-associated protein MDFQGFLNDLQDWELSTKDKASKTKSHKENKFIKGSSSRSDRSMGVENRSKGDTIAVDHVRSSSAQYDFSRNNDVLSRVSSSLASEDVPDAASEKDLGNEFFKQKKFKEAIDCYSRSIAFSPTAVAYANRAMAHIKLRRFQEAEDDCTEALNLDDRYIKAYSRRATARKELGKNKESMEDAEFAMRLEPNNQEVKKQYADAKSLYEKEILHKASKALRNTVEKVAKSETKVNGSSSIHSVSHGTKKSGPTEAHCQIKGNERQIPAKEPLLMEEITSKDTKSASRTQGLVGNGSKEGYNASHSLEQRNHKTKPEMKASVEQLASQAACRAMAEAAKNITPPTTAYQFEVSWRGFSGDLALQACLLKAMSPHELPKIFKNALSSTLLVEIIKCVPSFFAEDMDLVVSYMDNLTKVPRFDVIVMCLPSAAKDDLRKIWNEVFCAEATPIEYAEILDNLRSKFYLG >RHN76010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46975721:46978196:1 gene:gene12280 transcript:rna12280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase, Xyloglucan-specific endo-beta-1,4-glucanase MSRSPTLPLIFLPSSLCMAPLFSLFFSFLMCSRNAGGAPSPGYYPSSKVSTISFDQEFRNLWGAQHQKLDHGSLSIWLDSTTGSGFKSYHSYKSGYFGTAVKLQPGYTAGVNTNLYLSNIEDHKGTHDEIDIEFLGTTQDKPYVLQTNVYIRGSGDGKNIGREMRFHLWFDPTQDFHNYAILWKPSEIIFLVDDVPIRRYPRKSDATFPSRPMYVYGSIWDASAWATENGKYKANYTYQPFIGRYQNFKLQGCTTDSTSSCRPPSVSPPGYGGKLSRQQNVAMQWVQKNYLVYDYCHDPKRDHTLTPEC >RHN45945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27094444:27094974:-1 gene:gene40375 transcript:rna40375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MRISKKSGAYPLLILTSYIGMGDITTKEIFSWVSNEPRIVNAAATLCRLMDEIVSSEANVQFEQKRGHVCSLLDCYMKQFDMSREAAIQECKNCMTIVWKDINEECLRPTKVPMPFMICVLNLSRFMDVIYKNKDNYTDSNGLMKTFIKEVLVDPVPI >RHN56830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34057523:34057726:1 gene:gene32287 transcript:rna32287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin MFPLMVKSSDTILDVKKKIHDKEGIPVHQQRLFFDGKPIKDRQTLTNYNIPRTQPLTLVRSLREVDH >RHN38542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45016:49186:1 gene:gene44494 transcript:rna44494 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPLFSSPSQSKSDRTTSTTTPPPANRDHSPPSPSITAASSSIFCIDSLV >RHN55153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15617948:15618292:1 gene:gene30289 transcript:rna30289 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSFIGAFVSAKNSASTAMKTLWFLFLSGPRLNPTYVLSGTLSKAHKDYGMDLRQDRTRMMTPGRDLDPSVRIRGQPLLVCSQLSLEDYSCTSKDSCPCQGSRAKTLNLHYKY >RHN76799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1349982:1354717:-1 gene:gene176 transcript:rna176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MSRVYVGNLDSRVSERDLEDEFRVFGVIRSVWVARRPPGYAFIDFDDRRDAQDAIRDLDGKNGWRVELSHNSRSGGGGGGGGGGRGRGGGGGGGSDLKCYECGEPGHFARECRNRGGGGAGRRRSRSPPRFRRSPSYGRRSYSPRGRSPRRRSVSPRGRSYSRSPPPYRGREEVPYANGNGVRDRRRSRS >RHN81237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44279087:44280260:1 gene:gene5250 transcript:rna5250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucosyltransferase MEIQWFIRSLSSQLGQNWKRRGCHEVLLSLLHFSSVPNFARSSLSSLSCFSGRNFSRFTRTKHTLRDNSHHKISSTEGVNNNDKQLHVIMFPFLVFGHISPFVQLSNKLFSHGVRVTFLSASSNIPRIKTAFNLNSSINIIPLQSYFVHLGVRHF >RHN60062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17894214:17896694:1 gene:gene22261 transcript:rna22261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casparian strip membrane protein MAKTGRICHLLLRFLAFSATLSAVVVMVSSHEKASFFTVSFEAKYTNSPAFKYFVIANSIVTVYGFFVLFLPAESLLWRLVVAMDMVLTMLLISSISAALAIAQVAKNGNNYAAWLPICGSVPKFCNHMTGALVASFIGVIIYLILLLHSIHTVLDPLLLRKT >RHN45223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13187566:13187987:1 gene:gene39457 transcript:rna39457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MDTQTGGSIGNPPPPPLRVRRKGGVPTSSSQTATFKPKRKTHKCGVCGAEGHNKKSCQFREEACLNSQLEEMEMIDHVDEDYANDIEDCNIDVDVF >RHN50540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8004985:8005351:-1 gene:gene34837 transcript:rna34837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MRFQSLFLVLIVLFASVATNQALNINRRVNVSDPQMIDIAKFTVTEHNKQVTEAKLKFEKLLGGLTDETVYILAISANNGSASNIYDSLVYESPPNHFNLKYFHIRNS >RHN41684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32040496:32041492:1 gene:gene48034 transcript:rna48034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MSMLQTDDQIKQLNDIFKRFDMDSDGSLTHLELAALLRSLGIKPTGDELYALLNNMDNNHNGYIEFDELVNAIMPDMNEDVLINQEQLLEVFRSFDRDGNGYITAAELAGSMAKMGHPLTYHELANMMAEADSNGDGVISFNEFATILAKSAADFLGVKVH >RHN62961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47064146:47068507:-1 gene:gene25602 transcript:rna25602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MAGRNDAALAAALQAVAQAVGQQPNVNAGANAEARMLETFMKKNPPTFKGRYDPDGAQTWLKEIERIFRVMQCTEDQKVRFGTHQLADEADDWWVAILPTLEQEGAVVTWAVFRREFLRRYFPEDVRGKKEIEFLELKQGNMSVTEYAAKFVELSKFYPHYTAENAEFSRCIKFENGLRPDIKRAIGYQQLRVFQDLVNSCRIYEEDTKAHYKVVNERKGKGQQSRPKPYSAPADKGKQKMVDVRRPKKKDAAEIVCFNCGEKGHKSNVCPEEIKKCVRCGKKGHVVADCNRTDIVCFNCNGEGHISSQCTQPKRAPTTGRVFALTGTQTENEDRLIRGTCYINNTPLVAIIDTGATHCFIAFDCVSALGLVLSDMNGEMVVETPAKGSVTTSLVCLKCPLSMFGRDFEIDLVCLPLSGMDVILGMNWLEYNHVLINCFSKSVHFSSVEEEIGAEFLSTKQLKQLERDGILMFSLMATLSIENQAVIDRLPVVCEFPEVFPDEIPDVPPEREVEFSIDLVPGTKPVSMAPYRMSASELSELKKQLEDLLEKKFVRPSVSPWGAPVLLVKKKDGSMRLCIDYRQLNKVTIKNRYPLPRIDDLMDQLVGARVFSKIDLRSGYHQIKVKDEDMQKTAFRMRYGHYEYKVMPFGVTNAPGVFMEYMNRIFHAFLDRFVVVFIDDILIYSKTEEEHAEHLKIVLQVLKEKKLYAKLSKCEFWLKEVSFLGHVISGDGIAVDPSKVEAVSQWETPKSVTEIRSFLGLAGYYRRFIEGFSKLALPLTQLTCKGKTFVWDVHCEKSFGELKKRLTTAPVLILPKSDEPFVVYCDASKLGLGGVLMQEGKVVAYASRQLRVHEKNYPTHDLELAAVVFVLKIWRHYLYGSRFEVFSDHKSLKYLFDQKELNMRQRRWLELLKDYDFGLNYHPGKANVVADALSRKTLHMSAMMVREFELLEQFRDMSLVCEWSPQSVKLGMLKIDSEFLKSIKEAQKVDVKFVDLLIARDQTEDSDFKIDDQGVLRFRGRICIPDNEEIKKMILEESHRSSLSIHPGATKMYHDLKKIFWWSGLKRDVAQFVYSCLVCQKSKVEHQKPAGMMVPLDVPEWKWDSISMDFVTSLPNTPRGNDAIWVIVDRLTKSAHFLPINISFPVAQLAEIYIKEIVKLHGVPSSIVSDRDPRFTSRFWKSLQEALGSKLRLSSAYHPQTDGQSERTIQSLEDLLRICVLEQGGTWDSHLPLIEFTYNNSYHSSIGMAPFEALYGRRCRTPLCWFESGERVVLGPEIVQQTTEKVQMIQEKMKASQSRQKSYHDKRRKDLEFQEGDHVFLRVTPMTGVGRALKSKKLTPKFIGPYQILERVGTVAYRVGLPPHLANLHNVFHVSQLRKYVPDPSHVIQSDDVQVRDNLTVETLPVRIDDRKVKTLRGKEIPLVRVVWSGATGESLTWELESKMLESYPELFA >RHN72102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4993431:4995206:1 gene:gene7775 transcript:rna7775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MENKGTILMQKYEVGRMLGQGSFAKVYHARNLKTGQNVAIKVFDKAMIMKVGLKEQIKREISVMRLVRHPNIVEFYEVMASKTKIYFAMEQVKGGELFHKVSRGKLREDMARKYFQQLIAAVDHCHRRGIYHRDLKPENLLLDENGNLKVADFGLSALLESKKKDDLLHTTCGTPAYVAPEIIRKKGYDGAKADIWSCGVILFVLLAGFLPFNDRNLMEMYKKIATADFKFPQWFHSDVKRLLYRILDPDPKTRITINKIMQNSWFRKGYKQIEGPHLSPTHGDISDVHNAFDDLSTLSSPMSVKDEQCPMKLYCFNAFDIISLSSGLDLSGLFEKDTSEGQHARFATNRPPSTIVSKLEETAQLVGRFKVMKQNGIVRVEGFETGVSEQLTIDTEIFEVASSFYIVEVNKISGDTVEYTKFWNQYLKPSLSEIVWDSQGYEQYI >RHN57218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37354868:37357572:-1 gene:gene32728 transcript:rna32728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MASELIRICSKRKRERFRKRKHKKMVSREQKRAALHEKLQLLRSVTNSHALNDTSIVIDASKYIENLKEKVERLNEEIASAESSSVHNPNLPMVTVETLEKGFLINVFSAKSSQGMLVSILEAIEEMRLTVLEARVSCTDNFCFQAVGEENEEQGDTIDAQAVKQAVGQAIKNWSESSD >RHN71552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:983701:984666:-1 gene:gene7164 transcript:rna7164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSKQKISTSVIDRISSFPDDILIRILSSLPIEQACVTSILSKRWTHLWCFVPDLDFTKTKWKDQESYSRFEEFVFSVLYSREAAGNHSINTFILDIEYDSSELLLDGIKNIHKWVDIVVKSKVQNIHLYPHVPLNGEETILPKFPMSSILSCTTLVVLKLRWFNLTVVSDLSIRLPSLKTLHLKEIYFDQQRDFMMLLDGCPVLEDLQLCYIYMTRQSHHSLDDFESSSMLKKLNRADITDCECYFPVKSLSNLEFLRIKLSEVCFIIEWNESEQCDSFVFLIFNFIELNFTLIFYEAQTPPGLSVSRCRHMSCPTRHRHL >RHN74602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35054841:35061132:-1 gene:gene10696 transcript:rna10696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MIHSTVKSATASKEKDNYRSLSSHIITLHRRLLHSLNLGTRYFDEKTNRWKWQSVNIEVQKNVLRSIAAFLDSISADARATRHTIIVKESAADILGALLWILQCKNEPLLSMASNVAVKLVSILPSPLLQPRLLDLVYCLSSLLSSHQVEVAIPCATALNLVISNLSATSEKAVIEALKETEISICIVRNIKDCGAQKIEYFLEMASLLSTILLRWPSSRFPVCNDVELMKVLANMRTRTDCAIKLVLLKLYTSLALCDSVAQKLIEDGKVFLQMIVQAMGKSNPHDVRIEGFRLAQCLLRSQENCLKVMNLCGEALVDAIICGMRETGPCSKKIENNYGSVLVEACKLAQITRWAGNHHIRFWKQGIDEVLLNLLIENIHDQSSELVLPLEKQISVVKEGLKLNYHVALRSYVWDILGWLTIHCGENSNPCSYTHESELHINLLILCACFTFVDAIQKWCRICQNDADDNFQSEPVSRAVLMMIYSPCNYISSHARFVLSDILKVIGNPCLKNSLHTLDYISSLKSYSSFDKLQLVINLIGLTCLSSLPQYQRCIIESRGIKTVVLLVQRCLSNDIHVERPEVAPHLHTVFHKRSCCWIGKGDWEGSNVLLFYGMWGLAEFLHQCGLLLDNPLEFTREVTNIKTQLVNELHEVCSSTSFSPGVKWYVSYILSYFGFYGFPNEFSKRIGNSLNKEEYADLRLIVANGDSVSVHGVILAVRCPSLLPSEVLSSSKSSKEITDYFVGETVREVRYSSHVDYEALMLLLEYVYLGGLHAAEEEAVKKLKVLAKRCNVKPLLQMLCRQSPKWGTPFPSFNLTSSLDSAGSYFSDVIMEAKLNGLVGCACDICSHQVPHLHVHKIILQSGCDYLQGLFRSGMQESHSQVIKVDISWEALIKLVHWFYSDELPNPPSGCLWVNMDDQEKLFNLQPYVELCWLGEFWILESIQEACWNVIMSCLDSSKQLAIKIIKMAYKLSLWKLVDVAASLIAPSYRQLRDSGELEEFDDALVHLIYSASIELNNEGEKRFR >RHN52438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35293296:35295872:-1 gene:gene37118 transcript:rna37118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase, Very-long-chain 3-oxoacyl-CoA synthase MMSMFSMSFLSLAQSKFYTLPIIGDFPLNIQILFNSSNIFSLLLWCTLIASILTFYLKKCSKNIYLVDFSCYKPFPRSICSKELFIETSRCGGHFRDESIDFQKKIMEKSGFGDKTYVPENLLNIPPNICTNVARKETEAVIFGAIDDLLLKTKMKVEDIEILITNCCIFNPSPSLSAMVINHYRLKHQILCYNLSGMGCSAGLIAIDLAKQLLQVHPNSYALVVSTENINSGWYLGNSRSMLVSNCLFRVGGAAILLSNISSDSNRSKYLLKHTVRTHKGSQDNCYNSILQQEDETNKITGISLSKQLMSSAGFALKANITTLGKSVLPLLEQLKYAATFVAKKYFNSKIRVYTPDFKLCFEHFCIHTGGKGVQDEIQKVLGLSDWQIEPSRMTLYRYGNTSSSSVWYVLAYCEAKGRIRKGDRIWQIAFGSGFKCNTAVWCALRNVDPVKEINPWSDELHEFPVDVSK >RHN41116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27148515:27150067:-1 gene:gene47405 transcript:rna47405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MAFIFPPPSFTPKIPQQIHDVFLSFRGEDTRYTFTSHLYAALTRLQIKTYIDNELERGDEISPSLLKAIDDAKLSVIIFSENYASSRWCLEELVKILECKKNNGQILVPIFYHVNPTNVRNQTGSYGLSLAEQEKRRDMHKVQTWRLALTEAANFSGWDCLGTRNESELVEQIAMDILQKLDSITSGGLERRINKYKQIAQQKLEKSLRTGNLADMEELITTLYQLAELKLEKATKSNDSSDWGDVLATYERIMQLKQDKWMRTFNAQDLEELKAARNHVLHIQREQFNSKMGFRGI >RHN68774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38434739:38435068:1 gene:gene17169 transcript:rna17169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NIF system FeS cluster assembly, NifU MNLQIKVDENGKIVEACFKTFGCGSAIASSSVGTEWVKGKQMEEVLTIKNA >RHN65549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3144714:3145952:1 gene:gene13396 transcript:rna13396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MHYDVQLELCFLDALQYLVKGAQLDWKQRLNIINGIAKGLLYLHEDSRLRVIHRDLKASNILLDHEMNPKISDFGLARTFGGDQGESNTMRVVGTYGYMAPEYAIEGLFSVKSDVFSFGVLLLEIISGKRNNRFYLSEHGQSLLTYAWNFWSEGKGLELMDPLISNSCVPSEVLKCIQIGLLCVQDNAADRPTMSGVVHMLGSDNVTLPSPICPSFSVGRSTNTIEGGSSSNASTSVTVNEITLSEVLPR >RHN47667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41386803:41391936:1 gene:gene42291 transcript:rna42291 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAEEEQHLPRGVTSSDPPQNTETQCRCSSSQIRKLLGFRCILVFIFSLALFLSALFWLPPFVDHNNLYDNSKYKGHDIVASFIVNKSVPVLEDNKLQLEADIFDEIEAPAATQVKILSLDPLPGPNKTKVVFAVDPDGGHPEMSLSAISLIRSLFTSLVIRQSVLQLTSSLFGDPHFFEVLKFKGGITIIPQQNAFPLQTVQTRFNFSLNFPIYQIQSNFDELTSQLKSGLRLSSYENLHVILSNSEGSTVDAPTTIQSSVLLAVGIPSSKHRIKQLAQTIMGPHNLGLNNTEFGRVKHVRLSSILQHSLNGSDNGPARSPSPAPVPHSPHHHHHHHHHHHHHHHHHHNSHLTPATSPIPAPAPKPGEGATPPEVVPPAATKRAPTPHKSSQAHPPDCPFEHRQRSRRSGGRHTYLTPAVAPSIEHHSHVPISSPKPQVEPPTHASHSVPALSPLPNVAFAHAEPPPKREPAAEQPSTQFHGLSLSPSSAGCIGTVKWTSLIFLVLVLHV >RHN49032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51924780:51930073:1 gene:gene43815 transcript:rna43815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MSKRKFGFEGFGINRQSTYSFERSQPPQRLYVPPSSRKSHDNFEDNDLDNIEYDDDSREEQSNNDDNAGGGGGGEEDEIDPLDAFMEGIHEEMKSAPPPKPKEKVEDRYKDDLEDDPMESFLRAKKDLGLTLASDALHAGYDSDEEVYAAAKAVDAGLIDYDSDDNPIVLDKKKIEPIAPLDHNEIDYEPFNKDFYEESPSISGMSEQDVIDYRKSLAIRVSGFEVPKPVKTFEDCGFAPQIMGAIKKQGYEKPTSIQCQALPVVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIYLEAKKFAKAYGIRVSAVYGGMSKLEQFKELKAGCEIVVATPGRLIDMLKMKALAMLRATYLVLDEADRMFDLGFEPQVRSIVGQIRPDRQTLLFSATMPRKVEKLAREILSDPIRVTVGEVGMANEDITQVVQVIPSDSEKLPWLLEKLHEMIDQGDTLVFASKKATVDEIEVQLGQRGFKVAALHGDKDQSSRMDILQKFKSGAYHVLIATDVAARGLDIKSIKTVVNFDIAKDMDMHVHRIGRTGRAGDKDGVAYTLITQKEARFAGELVNSLVAAGQNVSTELMDLAMKDGRFRSKRDARKGGGRKGKGRGGGAGGKGVRGVDFGLGIGYSTDSNNAPSNAVPGRSAAVNSLRTGMMSQFRSSFVAASSTSQNEGFSNNTSMAVNKRPTLAGFVSGGSIGGDINTHQQTASYNPAPSAVNSTSQSSGVNPGQNNTNSSKPRERRRPSGWDR >RHN72181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5553550:5562244:1 gene:gene7865 transcript:rna7865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-Pl-5 family MESKNPARFKLGKQSSLAPERHSEEDEVHHDGAATIDPGVRLMYSANEGDVDGIREVIESGVSVNFRDVDGRTALHIAACQGLSHVVQLLLEKGADVDPKDRWGSTPLADAIFYKNKDVIKLLENHGAKPLMSSMHVNHAREVPEYEINPKELDFTNSVEITKGTFCLALWRGTEVAVKKLGEDVSSDEEKVKAFRDELALFQKIRHPNVVQFLGAVTQSTPMMIVTEYLPKGDLRDFMKRKGALKPSTAVRFALDIARGVGYLHENKPSPIIHRDLEPSNILRDDSGHLKVADFGVSKLLANKEDKPLTCQETSCRYVAPEVFKQEEYDTKVDVFSFALILQEMIEGCPPFSAKRDDEVPKVYASKERPPFRAPIKRYSHGIRELIEECWNENPAKRPTFRQIITRLESIYNTIGQKRRWKVRPLRCFQNLEALLKRDRSNLSSRGSSSRSASSRT >RHN73345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15525343:15526996:-1 gene:gene9147 transcript:rna9147 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKKNVLKSDMGHSGHPPAHATNSRAAQENVLEMDMSHSVRPLENTKPKDPKKNPLSNQKGYSPPLPKKK >RHN75030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38851803:38856895:1 gene:gene11178 transcript:rna11178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-alkenal reductase (NAD(P)(+)) MRIPINNRLRTLITHSPSKFRHVSTCRAVLLPSFGGPQNLEIHPNVEVPPLKPNEVLVHSRAVSINPLDTRMRVGYGRSVFERLLPIILGRDVSGEVAAVGASVKSVTVGQQVFGALHPTAVRGTYTDYAILSEEEVTSKPDSLSHVEASAIPFAALTAWRALNGTARITEGQRILVVGGGGAVGFSAIQLAVAAGCSVTTTCGSQSVERMLAAGAEQAVDYLSENYELTIKGKFDAVLDTIGVPDTERMGISFLRRGGHYMTLQGEAASLADRLGLTLGLPAATAFLLRKQIQYRLSNGIEYSWVFMRSDIEGLIEIRKLCEAGKMKIPVDKTFPITQVKEAHEAKDKRIIQGKVVLEFD >RHN44712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7605850:7615269:1 gene:gene38862 transcript:rna38862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sphinganine-1-phosphate aldolase MDSSALKSQFNHFRTTANSFLSQYEPLTLLLVPLFSLLIANAVRSFFGVIHDKGIKATLLGFFMNFIKLIPGVKSYIDAEKQKVVDKLQSDGKSKREGWKTELPSMGLGTSVIEKMREEKRNDAVWQGKCSGTVYIGGDESGGHFSVINEACSMFAHTNPLHLDVFQSVVRFEAEVVAMTAALLGSKEKTSGGQICGNMTSGGTESILLAVKSSRDYMKSKKGITKPEMIIPESGHSAYDKAAQYFNIKLWRVPVDKNFQADVKATRRYINKNTIMLVGSSPGFPHGIIDPIKELGQLASNFDICFHVDLCLGGFVLPFARELGYPIQPYDFTVKGVTSISVDVHKYGLAPKGTSIVLYRNHDIRKHQFVAVTEWSGGLYVSPTIAGSRPGSLIAGAWAAMISLGKEGYLENTKAIMEGSKKLQKGIEEIPELFVIGRPDMTIVAFGSNVLDIFEVNDMMSSKGWHLNALQRPNSIHICVTLQHVPVIEDFLRDLNESVKTVKENPGPITGGLAPIYGAAGKMPDRGMVQELLVDFMDGTC >RHN57125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36679575:36684903:-1 gene:gene32625 transcript:rna32625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5'-nucleotidase MNEISVESPGCKSAKTKTDTKKQIFCNRPLNMRNVNAVGFDMDYTLAQYKPETFESLTYKHTVRKLVHNLKYPVELLNWSFNPSYMVRGLVLDKKRGNILKMDCHKYVKIAYHGFEELSKDRKVEAYGKFLVSNSFDEPEYALIDTLFSLAEAYLFAQLVDFCDRNPGSLPSDDYACLYKDVRNAVDMCHRDGTLKQKLAEDPKRYIHEDESIVPMLKMLKESGRATFLVTNSLWDYTSVVMNFICGSGGVNDSTNFDWLEYFDVVITGRSSFLSENLQLLLAKPNFFQEDNHANLFEVEPESGVLRNTNNGSPLPQVGNFAAKISTKDNNAHKVFQGGNVSHLYPLLKIETSSQILYAGDHIYGDILSSKKTLGWRTMLVIPELDKEVQLLWELRDDCKKLRSLRNDRDDIEKEMHFNQSLKSASPDDDTKQKFISKIQKLEHEREKMRSDHQEALRKLHQEFHETWGQLMKTGYQNSRFAHQVKRFACLYTSQVSNLGSFSPEKYYTPSEDLMQHEYNSIFES >RHN81068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43067509:43068220:-1 gene:gene5063 transcript:rna5063 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIMLKDHQTLNILKTFTLEKHWHSEQCTVLVVFNAVEAEKLEAMIPRHYHQAGLVRSHTKWLLMTQMLSLLGNMLLYIPL >RHN61027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32379592:32380803:1 gene:gene23437 transcript:rna23437 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRFIDIFILCHMIHYKLNNINIYMHIFSKKNKKYIYIYFK >RHN80063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34726223:34727278:1 gene:gene3939 transcript:rna3939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAMNKNQFYKLGYQALPPIITQDNTFYPHPPQPVSDYAFPIFAIVVLSIMATILLLLSYFTFLTKCCSNWNQVNPTRWISVLRVRQNEEDHFIALSPTLWNRGLDESVIREIPSFQFIKGECEDQSVYGCVVCLTEFQEQDVIKILPNCNHAFHLDCIDIWLQTNSNCPLCRSSISGNTTNTQFDIIIAPSSSPQDSQLLSNLGSDEDYVVIELGGGENEVEQERNESRGSIEHSRNYHSTRKMKPKKCHHVSIMGDECINIIRKKDETFSIQPIRRSFSMDSANDRQVFMDVQAIMQQNRHHQNEASASEDCNSRSRRQFFPFCYYGKGSKNAVLPFGE >RHN61592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36674609:36678056:1 gene:gene24071 transcript:rna24071 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHGHGHGGTTYKGVTIHQPKRWHTVTGKGLCAVMWFWVMYRAKQDAPVVLGWRHPWEGHGDHGDEH >RHN45610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23669797:23671367:-1 gene:gene39987 transcript:rna39987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MKKVLLKVDFYNDRIKQKVMKTASSLPGVESVAIDSKEKKLTLSGDIDPVKAVCKLRKLCQTEIVSIGPLKDKKKDSTNTNEVIPLQYFDTYPFYYQMTQPRYFQNYYYV >RHN49631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:56088912:56095966:1 gene:gene44480 transcript:rna44480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-V family MMVLLTTCIILVLVWNWNLNGATAATDPTDVASVKAIFQSANSAPQLGWPANGDTDDDPCGQSWKGITCSDNRVTQINLSNLALTGTLPYGLQGFTSLTILDMSNNNLVGTIPYQLPPNLHRLNFANNNLTGPLPYSISNLTSLTDLNLNHNQLQQALNVNFQNLSTLSKLDLSFNSLTGDLPQTMSSLTGITTMYLQNNQFTGAIDILADLPLNSLNVENNNFTGWIPEQLKNIDLQTGGNAWSSGPAPPPPPGTPLVPKSNQHHKTGGGRSTTGQDANSDTSNEGKKSGIGGGGIVGIVISVIVVGAIVAFFLVKRKSKKSSSDLEKLGNQSTVPLPSNEVPEVTSVQTSPVFDLKKFDSSASINLIPPPIDRHKSFDDNEFSKKTTIVKKTITAPPDLQSYSIADLQITTGSFSVDHLVGEGSFGRVYHAQFDDGKVLAVKKIDSSVLPNDFSEDFIEIVSNISCLHHPNVTELVGYCSEHGQHLLVYEFHKNGSLHDYLHVSDEYSKPLIWNSRVKIALGTARALEYLHEVCSPSVVHKNIKSANILLDAELNPHLSDCGLASYIPNAEQILNHNVGSGYDAPEVALSGQYTFKSDIYSFGVVMLELLSGRRPFDSSRARQEQSLVRWATPQLHDIDALAKMVDPTLKGLYPVKSLSRFADVIALCVQPEPEFRPQMSEVVQALVRLVQRANMSRRTFTFGSDHGGSLRGSDEPAIRDI >RHN60522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27602083:27602505:-1 gene:gene22843 transcript:rna22843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MASLHPSVPSAGCETKTNLESLQRTVADIEATTLETMKKREECEVGICLTWKDIWVNKILNGKNGSRSILHGLTGYVKPGQLLAIMGPSGCGKSTLLDTLAGTIKLFFETRYLLLNFSFFYFFYFTEMLLNLVCYLLLFF >RHN75804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45233150:45235843:1 gene:gene12057 transcript:rna12057 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKGSDKSKYCAVGGGDDGHFNFKRHTSWSEKITQPSEVRNPVSYDDDDVSLSQRLSRMSHSGTWTTNRDVPIKKRHNTAPVSLPVKRPLFDNRSSLNTSVKKSKVLPYDNQRKDKDDTPLSRSLAMPVGKSADKSLSSPRKEFALVEKSFNDCKKKKQEEKRLQSIKRDIEECSKELENMKKEISDAGRIKEAHKKIQGKIEECVKDFAAKQAQLCLMDNLIAERNEEVKTKETKLRPLMDNIDNIYERKKQELKDLSQNIAQCTVELKSKEKERDAMKKLIDRQAEILESERKKLLKVTQLSKNDPHAQVKGLESMKKQFEEQVKELELKEKRCGEQVVELESKEKLFEGRVNELKLKEKQHEDQVMEFKSKVQKYHGQMKQLESEKKHFSSRVKGQELKERQYEGRAKMLELKEEQLNDRVKEFHSKEEQFKGLVKGLQSKENQLGVRVKELESEKKKFEGRLKEFQSKQKLLEDQVKEIQSIEKEFEDRVKEHESKEEEFKTRMQELKRFVSQMDGFNSEEKQFAGRGKEPESEDKKFKVHLKDLKPKEKQFEGCMKSLESKPSKFHGKPKRPDLREKQYDSLIEPFHQETELDFVENLFKRQQYDEAVRFSRVYNFSDNNQLFGLFEEHVQNLKLISESTCKETNSIEIKDKARDQEVACLIAVLQCIIDNNLEFKDLLNKIDNRILELQRGK >RHN60037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17368897:17382608:-1 gene:gene22232 transcript:rna22232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ6 MNRVIKKTVSNVFALKIPRKCFCSQAVKVDASTNLLPSNEHEKKPIISQIIPEYDVAIVGGGMVGMALACFLAPSSAACMPMTKQLSVAIIDSNPALSSGLCIKKEDPPDPRVSTVTPASISFLQEAGAWKYVEQNRHAYFKKMQVWDYTGFGYARYDAGDVNKDFLGCVAENKVLHSSLLSCIKEHEKKPIITTLYNNLQTPRNPHSPHRFLKILETHHQRFLHSHHDSPSQASFIQNLLKFRRDKPTEQIERALNLTGIHPDNNLVLQVLQRHRSDWKPAFIFFKWVSKTNNYTPSCEVYNEIINILGKMKCFEELHQVLDEMSQRKEFINEETFCILIRRFVAAHKLEEAINIFYRREEFGLDNELDSEAFRTLLMWMCRYKHIEEAETLFHRNLNKFGFCRDIKTWNVILNGWCVLGNTHEAKRLWKDIIAYKCKPDIFTYATFIKAMTKKGKLGTALKLFNGMWKEESCKPDVVICNCLIDGLCFKKRIPQALQVFHDMKERDCLPNVATYNSLIKHLCKIRRMEKVYELVEDMERRSGDCLPNGVTYSYLLQSLKAPEEVPAVLERMERNGCAMSDDICNLVLRLYMKWDDLDGLRKTWDEMERNGLGPDRRSYTIMIHGHYENGRTKDAMRYFREMTSKGIVLEPRTEKLVISMNGQLKERTEKQEGVEIEASNDSDFKTTFYPSRLTSMTLNTNSMSVVEENTPSKEPSPAQGHASKLELSDGSSIYAKLVVGADGGKSRVRELEGFKTTGWNYSQNAIICTVEHTSANHCAWQRFLPNGPIALLPMGENFSNIVWTMSPTESNDRKSITEEMFLKDVNSALDYGYGPHPTSSSLGARDIFSWLKMDGTLSANEPFEIPPKAIRLASERMVFPLSLRHANSYATKRVVLIGDAAHTIHPLAGQGVNLGFGDAYSLSRVIAEGIALGSDIGEVNLLKKYEQERKPANVTMMAILDGFQKAYSIDFGPFNFLRGAAFRGANFVSPLKRSIISYASGERKLPIFF >RHN68277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34194475:34195005:-1 gene:gene16611 transcript:rna16611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MITNLDSAYHLCQLTYPLLKESGNARSIVFNSSVASLTSIGSGTIYAACKQFIFRLRLIANLESVNEVLSRTPIQRIAETDDVS >RHN47326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38894231:38899230:-1 gene:gene41913 transcript:rna41913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MSFPCDYCDTRSAVLYCKPDSAKLCLVCDQHVHSANALALKHVRFQICQNCKNDAASVRCFTENLVQCHRCDWNSHGGDDDDSTSSSFHHHNRRRIEGLTGCPSVHEIVSTLGLDLKPNDAVFVAEFEGPVVPVVKNRDEVYEQVVEVAKRKRNLEEDQNELRFNDCCNDVDDLLLLQQTPFTSLLNFSSEFDVGVKRNSNDYGNESGLLLWDRNPSYQPPQVWDFQLQKSRDMTYDGVENASLSIPKSLQDVHNMNCSTLGDDILSRNNQSDQSSSSHVKKKVESNKKTRDGLSSESKLIESITYSGADSVPVMEHLLSGSENVSNINAKISLEEHTRNRGDAMLRYKEKKKTRRFDKHIRYESRKARADTRKRVRGRFVKATDDIQEG >RHN58994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6467126:6468646:-1 gene:gene20972 transcript:rna20972 gene_biotype:protein_coding transcript_biotype:protein_coding MNSICKSMLLYPRNPFESLCQKLKLNVNDSQEIKVQGNLGDMDCVFVKKENLYLIFDDLKVIQSSPSNTLQQLQQLGYRNINKLTHMSATVGLKEILDLLKKALTSKSPLSNVLLANGEFNQMYPSYVYVRPPLHNVTSIINLKVTVSKSKKKIVYAEAQAEFAEFLFSFLTTHIGFILAQLNDIFSFGCMNNLHKSVKELDPSCFIRPIKGKNLFKGKSPYVKIESDVGFVKRLSKFVVWDNLQVTPLENTASSIAFLQKLNIPLDDLEEHVVGIGRTVTLNLLGASLTSSEAVLTEALFHLVKKPKEEP >RHN47535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40380895:40387132:1 gene:gene42148 transcript:rna42148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative brf1, TBP-binding domain-containing protein MVKEHEKNPSVLQNNEFIASTSQGLLCEHKDSKVNYFDLGLCESCYKDEAGIFSDIDDEEVDGYLCNEKEMQCKNIIWENRRQEDEEQAADEAAAAADEAVAAAKKEFYEAILNKCTMEDMLEAKEHYESAVATLAKFRTRLGNKVNFDRLEKLSEDEDRLEKLFEDEPLADEEHY >RHN64934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63108280:63108747:1 gene:gene27815 transcript:rna27815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MDVTNGGVKLCFILTIFVLAFVPFTQASNSLWYELIHPRLTVKITSKIPGENVRVKCISSENDIKTGYLNSSSMKPFTFEVELKFLTTIRYNCLLTQHDKEIGQFLGFRSGSYCAKGCTWELYPNYALRMSLRNGYVNQTYKAIGSPDDHDYDFS >RHN47484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40091422:40091787:1 gene:gene42094 transcript:rna42094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L20 MNFNFNFSFFFFLKDFKIVIHQSLTYSMTRVKRGSIARKRRTKMSLFTSSFRGAHSKLIRTISQQKIKALVSAHRDRDRKKRDFRSLWISRINAIIAQNKNKVYCIYSKLIYNLYKRSIAS >RHN61619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36865372:36866175:1 gene:gene24102 transcript:rna24102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MFTLTSSIIACLDSHEVDPKLIVPFVVFIIAFLFLIVTVPLSGGHMSPVFTCIAVLKGVVTLSRAVLYVLAQCIGSTIGFYILNCVMDPKLINTYSLGGCAIGGKELNSSINQHDALLLEFSCTFLVLFLGVTLGFDKKMSKNLGLTMVCLVIAGAMALAVFVSITITGRAGYAGVGLNPARCLGAALIHGGSLWNGHWVFWVGPILACLIYYSISINLPKEGLVWVDGEYDILKLALGSCATIHNGDVSDDITIEGSGAGFQEGHV >RHN38916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2787704:2791942:-1 gene:gene44893 transcript:rna44893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MVEQIPYGLTESIIKSLASEACREFRRIYGVKYEVDRLRETVESIKAVLLDAEEKQEQNHAVQNWIRRLNDVLHPADDLLDEFVIEGMRHRMKARKKNKVSKVLHSLSPKKIAFRRKMAREIEKIRKIFNDVVDEMTKLNLSQNVVVVKQSDDVRRETCSFVLESDIIGREDNKKEIVNLLRQPHRNHNVSLIAIVGIGGLGKTALAQLVYNDGEVQKKFEKKIWVCVSEDFDVKTILKNILESLLNGKVDENLSLENLQNNLRQNLSGRKYFLVLDDIWNESHQKWIELRTYLMCGAKGSKILVTTRSKTVARTMGVCDPYALNGLTPEESWGLLKNIVTYGNEAEGVNKTLESIGMEIAEKCRGVPLAIRTLGGLLQSKSKESEWNNVLQGDLWRLCEDENSIMPVLKLSYRNLSPQHRQCFAYCSVYPKDWEIEKDEWIQLCMAQGYLEGLPDIEPMEDAGNQFVKNFLTKSFFQDARIDGDGNIHSFKMHDLMHDLAMQVAGNFCCFLDGDAKEPVGRPMHISFQRNAISLLDSLDAGRLRTFLLSSSPFWTGLDGEESSVISNFKYLRVLKLSDSSLTRLSGSIGKLKHLRCLNIYDCKASIDLFKSISSLVGLKTLKLRVHEISPWEFQMLRYNGIINHSKWLSSLTNIVEISLTFCGSLQFLPPLEHLPFLKSLHIGYLGMLECIHYEKPLFPEKFFPSLESLKLEYCLELRGWYRIGDDINSTQSRHLSLPPFPLLSQLSIEGCRKLTCMPAFTKLDKRLMLNGSHVEALNATLNNQSVSFPPLSMLKSLSIGGHKLPVYNIPENWLHNLFSLQHLQIEYFSSKQVHEIAVWFSEDFNCLPSLQKITLQHCDDLETLPDWMCSLSSLQQVTIRCFPHLVSVPEGMPRLTKLQTLEIIECPLLVKECEAESSENWPKIAHIPNIIRDLI >RHN68757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38302248:38306852:1 gene:gene17147 transcript:rna17147 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTNSSCSSSSFPCLPHSPFQRSSPHQLSFSRRLFVNQINGSRLRISTKVSWLDKSCQFKFINRRPQLKGRFQKQQNALFVVSEDQSQNNELEIPAPVPENNNNIAQDISPASNPSFHLSGSDGKPGFISFYNRPYRRDSEILLLNSERSQNNILWFVGPAVLVASFIFPSLYLRKLLSIIFEDSLLTDFLILFFTEAIFYGGVAVFLFLLDHLRRPIQLDTATKNTDTLPPQLGQKISSVATLVLSLVIPMVTMGLVWPWTGPAASATLAPYLVGIVVQFAFEQYARYRKSPSWSAIPLIFQVYRLHQLNRAAQLVTALSFTVRGAELTSHNMAINSSLGTLLNVLQFLGVICIWSLSSFLMRFIPSASNLMQ >RHN54553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10455621:10457166:1 gene:gene29617 transcript:rna29617 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVDDVRNEYVGLNKTKKIANENGRMYIALEPFGVRMSQITLIFIFLTFNSNQLNNQHLFKFN >RHN55250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16427499:16428550:1 gene:gene30398 transcript:rna30398 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLQKLVYATILLHSLFLIVIDTTNGLKCGPLEILRCTLKGCYCEGLGEVLDCIPPDIMKCTSNGCNCYSEGWSRL >RHN65669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4252778:4253293:1 gene:gene13537 transcript:rna13537 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFSYLLVFFFAFLILIPQGFGNAPNHNKHLHHPSSTYVHPTTLPKPTYPASTNIPKKHPHHPPKEDNTHF >RHN69538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44202091:44203290:-1 gene:gene18019 transcript:rna18019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Blue (type 1) copper binding protein MTEGRGSASMNMVTLISLLCLLVLAESANAASYTVGGTGGWTYNTDTWPNGKKFKAGDVLSFNYDSTTHNVVAVDKSGYNNCKTPGGAKVFSSGSDQIRLSRGQNYFICSYPGHCQSGMKVSIYAV >RHN71000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55724156:55725523:1 gene:gene19640 transcript:rna19640 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRQKTKELSSVALAEDAAASTEGGEPEEAPRRKRGRPRKVVVVTETKELKEEEDTVNSTKKDEQQEDSTTCTKEKEREEDVQLPKVGEPASRSSRARRKSKPRKST >RHN61597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36703132:36703623:1 gene:gene24076 transcript:rna24076 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQSMSTTKNSKLELLGGKQFQGTFKAKPFSLKGKCIKKRKLISISVVATKIKSSSEEEKVHDHEQTHYKKLVGNFIDDQEVKMTLSHWKELRIPLKIKYERQIARLQLEQIQNTAGFNDDMNALHDFQKLVGCSMTYPTYTRIQCFGIEANQNEERFLYGS >RHN42599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39607436:39613817:-1 gene:gene49056 transcript:rna49056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MKLKKWLASVLLLTAAMLTTTLGAFVGVNIGTDVSDMPSASNVVAILKANQITHVRLYDANAHLLQALSKTNIDVMVGVTNEEVLRIGESPSAAAAWINKNVVAYVPSTNITAIAVGSEVLSTIPNVAPVLVPAMNSLHKALVAANLNFRVKVSTPQSMDIIPKPFPPSTATFNSSWNSTIYQVLQFLRNTNSSFMLNAYPYYGYTKGDGIFPLEYALFRPLPSVKQIVDPNTLYHYNSMFDAMVDATYYSIDALNFKDIPVVVTETGWPSFGGANEPDATAENAETYNNNMIQRVLNDSGPPSQPNIPINTYIYELFNEDKRNGPVSEKNWGIVYTNGSAVYPLSYGGASGQITGSGNSTGIFCVAKDGADTDKLQNGLSWACGQGGANCAPIQQGQRCYLPNNVKSHASYAYNDYYQKNQGVGGTCDFDGTAEITSKDPSYGSCRFPGSSGAGGVSLPPTALGPSSSPFGASMNLQVSTLQYMISAIGVFFALMIL >RHN58109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43799682:43800146:-1 gene:gene33718 transcript:rna33718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MRLLITMTTMVQCSSFHFPIKTQHQNPHQLHAHYLKSNLLHNPHYSSHLLPLYITHTKNLSHIHTFFNSIPHPTLLHSNLIITSYIDHNRSNDALLLFRQMLTNNILPLLRVVRVYTPKAKENRFMGLFLISSQ >RHN67159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24457029:24457798:1 gene:gene15308 transcript:rna15308 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFSFLKGFIDVPLFKNKTSMATLLFSELFLLAHFSRHFRNFARKFCDDSNKIKSRILKPN >RHN50930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11958772:11959731:1 gene:gene35286 transcript:rna35286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MFTLFLFLDLALSIFFSIIVFCICIHYKAAKENLNHNEEKSFWYDYEDDFYKFHHLEECQISTNLYGVRINCDERKLLIDSIIDAHYALNLAPETLYLCVNIIDRFLSKLNPPSTPMEKIKFVPHISLLLASKYEQRHKLHVRDLVHIPPEVVCETEKLILQKLDWNLTVTTPYVFLVRNIKDEDKIMENMVFFFSEVSLTHYSIVCDYKPSMIAASAVYCARIVIGRYPLWNNDLKICTGYSEKELRSCAMVMIELCNEICRDGTMHVFRKFSSRDYCEVACVAKREISKKLFYHRICLNMGQYFSFQIIKRNLKALY >RHN75979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46722460:46723533:-1 gene:gene12245 transcript:rna12245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MAQISNLVFLASIFFFNIINGPFLTDAISSCNGPCRTLNDCAGQLICINGKCNDDPDVGTRICSQPSPSPPPPSGGGGTCRTSGNLQCDGQSYPQYRCSPPYVSSGTQALLTLNDFSAGGDGGGASQCDEIFHSNSERIVALSTGWYSGGSRCGKFIRIRASNGRTVTAKVVDQCDSVNGCDDEHAGQPPCRNNIVDGSAAVWDELRLNKNDGVVPVTWTMA >RHN58307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:646491:646733:1 gene:gene20206 transcript:rna20206 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNTRWSSSLSTYSTIWLWFARPFPNRGFVLCWAVSFCSNHEFLASILNEWVQRKTYICPPTSCNFGMKVVALLLSPSS >RHN45388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19080705:19081374:1 gene:gene39697 transcript:rna39697 gene_biotype:protein_coding transcript_biotype:protein_coding MERAMWAKWSGRSMVEYLANVAKDRMHRSGYRVPWHEDAMYSIVLVRFSVCLDTCRAKDGSTRARHEKIESQIAMIVRFRDAIFS >RHN69449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43515463:43521681:1 gene:gene17925 transcript:rna17925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MASGSDFALTVPSELESVLRLKTVNYFVTRRPWLDLYGVNVRPVAPFGSASRKPHVDSSLLHRCLPDELLFEVFARMTPYDMGKASCVCRKWRYTIRNPAFWRNACLKGWQLSGAVENYKILQSKYDGSWRKMWLLRPRLRFDGLYASRNTYIRVGVAEWKITNPVHVVCYYRYLRFFPSGKFLYKNSSQKIKDVVKSMNFRSSKTDCVFGGHYTLTDDKVEAAVLYPGMRPTVLRIRMRLRGTTTGANNRMDLISLVTSGVDTNEASTSEEDILGVVEGWQDDETHNPDVPAVSHKRGMTPFVFVPFEEVETSLLNLPVEKMDYYVPG >RHN74542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34540491:34542854:1 gene:gene10630 transcript:rna10630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MNYYCIICSLKSLDQGVSVSVGESLTAGNGTTRWLSPSRDFAFGFYQLPNALFLLAIWYDKIQNDAIIWYANGDNPAPKGSRLVLNDFQGLVLTNPQGLELWRSDFTSGKISNGLMDDDGNFHLRDKNNATIWDSFSHPTDTLVPNQVMELNGNLFSRQGALNFSHGRFKFHLQEDGNLVLNVINLPSNYSYDPYYTSGTSDDENQTNAGQRLIFDKSGFLYIEKIGGNNFSIFNQNVRFSTDEFYYKATINYDGVFTISVYPKDPKRGQSWVIAKTIPENICLYYTSIYGEGVCGFNSMCTITNDQRPNCTCPDEYSPIDSNNMYAGCIPNFQVICQAGGNLSSQDNLYTMKELPNTDWPGSDYEKISPSNLQECKESCLQDCLCVLVHFDQVRSSCWKKKLPLSYGRNDPAVNGISIMKLMKSDHLSSFSKVKKDHDTLVIVISVLLGSSIFVILTLLGVIFFGFPYNRKKIKSGRNNESFVDNNLRRFSFKEIVEATRNFKEELGRGSCSIVYKGTIEIMINVAVKKLDKLIQDSDKEFKTEMSVIAQTLHRNLVRLLGYCNEGQHRILVYEFMSNGTLASFLFTPLKPDWNQRVHIILGIARGLVYLHEGCCTQIIHCDIKPQNILLDDQYNARISDFGLSKLLLINQSHTETGIRGTKGYVAPDWFRSAPITAKVDTYSFGVLLLEIICCRKNVEKEIFTEEQGILTDWAYDCYKTKRLDGLLENDNEAGNDMMCLEKFVMIAIWCIQEDPSLRPTMKNVLLMLEGIVEVAVPPSPYLYGSN >RHN42690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40267441:40267932:1 gene:gene49168 transcript:rna49168 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIHYNQDCLQLFHLSTKKISSFCSYHSFTIKIETYCIANFCLNLCNVWLLCYSCCDNLLEQLIFLSCSYSC >RHN78239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13057462:13058301:-1 gene:gene1773 transcript:rna1773 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSEVAEPVDSSLHQIPCSLDGDTTTCRAQDGLVDQPLALHNSFDLLFLEKDVVSGEALPEYLHTLEVPGAVTDLSKDIPAEVALSEAPPKPVAYASEHDRSPVSRGHSSDKQMRQNVGRVSFPNPSSLSFEQGSIDARVVDSDRLKAWVDTPVLTPMPQPITTSYANLTVDKLPSSQILVLASKSSFHSAAALKSVQILSKFWEDEVEKVEDVMEDTLSHDKRLEMEDFPSLSESTKTEKKKKKQVNKVMPFSFNSAEMRTRAEKSTSKAALTNTE >RHN59995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16237130:16237827:1 gene:gene22175 transcript:rna22175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase transcription factor WD40-like family MFADGLDVDPDTGIVYFTVASTNFQLKDYQTLLTSGDSSGSLLRYDPSTNQTTVLLSNLAMPSGVAVSRDGSFVLISEFLSNRIRRVWLKGPRANSSELFMLLIGRPNNIKRNSGGQFWISVHSVLGLGLPISPRRTTLPRGVRVSENRLILQIASLTAEYGTEPASEVQEYNGKLYAGSLTLFKRDEVPKNTFSCLVYFQMRDM >RHN56267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29369072:29374354:1 gene:gene31639 transcript:rna31639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oberon, PHD finger domain-containing protein MNEQQNKMCFSTTTNTGFLLDPAKCGVLNFQDKQRLVHEVARQSKDAPNILQAFTRRELLELICAELGKERKYTGYTKDQMIEYLLKLISKKSNLHVDQNAFAYSPSKSCIGSKRKKEPPSPDLRNVQLENTNEETMKTLVCQNVACKATLNPERSFCKRCSCCICRCYDDNKDPSLWLTCTSDNPNEASCGMSCHLQCALSNQMACILKGGCSTTLDGSFYCVSCGKINDLMRTWRKQLLVAKEARRVDILSLRISLAHRMLIGTKVYKEVQKIVETALKLLENEVGPLDHVYARMTRGIVSRLSCGAEVQKLCSTAVQCFDLKFSEIFSSCAEKKEAPTCSLHFEECLPTSVVIVLEYNDKLLKNFLGCRLWHGISTMDYPEQPTFIVLRPEKRFKLENLTPSTEYSCKASIFSSTGILGAAEAKWVTPCKQPPKVIRHIANHSTVNTCAPDQIKIPAEKHMLLNIPNWIEEFLSKPPTAEPFSHKSFAAIPPATPSKSNEMRQMTGLNSRKRVKENDYEYSVRVVKWLEHQGHIDEIFRVKFLTWFSLKANQQERRVVSAFVDALIDDPASLADQLIHTFTDEICCDQKS >RHN57300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37951364:37952665:1 gene:gene32823 transcript:rna32823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MASSTPNITVEPPSSAIETPQPITSSDANLILVPSHSRWFSWDSIHECEIRNIPESSKNPRVYKYYRNSIVKFFRFNPNRKITFTDVRKIIVGDVGSIRRVFDFLEAWGLINYHPSSSLSKPLKWEDKDAKSDAASNSTESPSLVPANEAKRICSVCMDCFACDKNNRKLCARCFIRGNYRIGMSNTKFKRVEISEETKNEWTEEETLNLLEAITNFGDDWKRVSHQVVGRTDKECVARFLELPFGDQLKPPVDAEWESEIVGSGKSSKRMCLTPLNDAINPIMAQAAFLSALAGTEVAQAAAQAALTSLSIVYKSTRINYRSFPRNTLQQDASVASDGGNASDSIQGSLLRANLQLEKEESDVEKDIYEVIDVQMKNIQDKLINFEDLDVLMEKERQQLEQTKSLFFLDQLNLLFRKTSAPTTGEGNHVKSN >RHN72868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11381155:11383719:-1 gene:gene8630 transcript:rna8630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small monomeric GTPase MIVCDVKFSVGRDSASLVEFDNNDFRQGSVIEHDHHERDGFVSDEEEDEEMMFGNSEAVKQLFEKLAQEQLQSEIGTDVDDDDVNDMDGDKNNNGGKQVFDSSALATLLKGVRSTIDVEQNNVVVVDNQLNEEEKMKLEKLQQIRIKFLRLVLRLGLTTQESIAAQVLQRLTLIASGRDPRQTSQIFNLNAAEESAFNLEARGEILNHSLNVLVLGKSGVGKSATINSIFGKVKTKISAYGSATNSIKEIVGMVDGVSIRIFDTPGLKSSALEQCYNKKVLSMIQKLTKKKPLDIVLYVDRLDIQTKNLNDLPLLRTICNVFGPLIWRNTVITLTHAATAPPDGPLGSPLSYDVFVTQRNRAVQQAIGQVIGDEQINNLSLMNPVALVENHPSCRKNKNGHKVLPNGQTWRPLLLLLCYSMKILSEATSLSKTQEMFNYNKLFGFRVRTPSLPYLLSWLMQPRNHAKLASNQGGNDIGGFEMEFAELSDSDQEDDEDEYDRLLPFRPLKKSQVAKLSREQRKAYFEEYDCRVKLLQKKQWKEELRRMREIKKNKGKSEYGYTEEGAQNMESPNAVPTPLHDMIMPLSFDGDNPVFRYHFLESTSQFLTRPVLDANGWDHDCGYDGVTIENSLAIANKFPASFGVQVAKDKKDFNMQLESSVAAKHGENGSSMAGFDIQNIGKQLAYVVRGETEFKNFKRNKTCAGVSATFLGENVSTGLKVEDQIALGKCLLLMGSAGLMRSQGDSAYGTNVEVRFKEADFPIGQDQSSLSLSLVKWRGELALGANLQSQFSIGRSYKMAVRAGLNNKRSGQISVRTSSSEQLQIALVAVLPIVRAIYANFWSKACDRDPNSIF >RHN82766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56200876:56206036:-1 gene:gene6951 transcript:rna6951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative formate--tetrahydrofolate ligase MNAEMLLQSNPLNVWSIYHYTPPRVGHSSSNTHSFAQSQSQSQIHIHSSFSSSKLYPKSMMTSSSSSSVMRKLDVVSPVPSDIDIANSVQPIHISEIANHLNLTPNHYDLYGKYKAKVLLSALDELQESKDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCIRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHESTQSDKALFNRLCPPNKEGKRSFSDVMFRRLKKFGISKTNPDDLTPEEVNKFARLDIDPDSITWRRVMDINDRFLRKITVGQGPDEKGMVRETAFDISVASEIMAVLALTTSLTDMRERLGKMVIGNSKSGDPVTADDLGIGGALTVLMKDAIHPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGPGGFVVTEAGFGADIGTEKFMNIKCRYSGLTPQCAIIVATIRALKMHGGGPAVVAGKPLDHAYLTENVALVEAGCANLARHILNSKAYGANVIVAINKFSTDTDAELNAVKKAALDAGAYDAVICSHHAHGGRGAVDLGIAVQKACENATQPLKFLYPLDIGIKEKIEAIAKSYGASGVEYSEQAEKKIELYTKQGFSGLPICMAKTQYSFSDNAAAKGAPTGFILPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYDIDLDTTTGQVIGLS >RHN72340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6903556:6908039:-1 gene:gene8040 transcript:rna8040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MAEVEFESLFNHAMRGQWREVLESYEQNPEVLEAKITKAEDTVLHIAIYVSQTIFVTTLLDNISQDMCRNILRMQNSKGNTPLHVAAELGNVEICNNIARRDPILISYRNFEGETPLFLAAVHGKRDAFFCLHGHEQNKDDDSLSIKNNGDTILHSTISSEYFGLALQIIGMYPKLVNVVNHEGLSPLHILARKPNCFRSCTRMELIDRIIYTCSIVDEDKEERYDIQAHTQTSHHYPLNYGTCMTFISLLNRFFKVTTTGKDTKAAATSDEENHCSRKSEQEQAKKEKKNHWFPPNWESMIRILILAMKVFLIIFGVGATWVEKIQRKKEKHIRAKQVMNELIQHASLYKYDFTGPSPRVEELGGGDIDKIKSNTENEVIEKRRMVSPILIAAKMGVTEMIENILDMYPVAIHDVDSQNKNVVLLAIENRQPHVYSLLNKRSVIKETAFRQVDINGNSALHLAATYRRFKPWRVPGAAMQMQWEYKWYKLVKNSMPPNFYERYNKDGKTAKQVFIDTHAPLTKEGSKWLTKTAESCSVVAALVATVAFTTSTAIPGGPDQESGMPLLLEKPAFKLYAVASLVALCSSVTALVLFLSILTSRFEEKDFVIDLPRKLLVGLTTLFTSIASVLVSFCAGHFFIVEAQMRFAVYPIYAATCLPVSFFALVQLPLYFDLSLAMCRKVPQRSYKVFFH >RHN40590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17951980:17953648:1 gene:gene46755 transcript:rna46755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase (ATP-hydrolyzing) MKWCFTQTFYIFAVQKSEIAKTLLPRIQIYLKQTHVDAKLAGGPERGKCTLILTLGESAFAMAGVTLVDPDLYGVFPLSSKLLNVRDSRKLLKKKEIQILMTILGLVRNKKYSDAESLRYGRLMIMAYQDEDGAQLKGLLINFIYSFWPSLLKVSKFMSVFTFPIMKASDLKKGKELSFDSMQQYEDWIKELGNTANDWDINYCKGFSAAREVREYFQDLGERRAYFVWDDDDEQDGTSTSIELAFSKKAEEWMAWVCNSQV >RHN49562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55672582:55672797:-1 gene:gene44406 transcript:rna44406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Lambda-DB family MDKKLTQAQLAQIINEKPQVIKEYESGKAIPNQMIIGKLESWREHLVPKSLGRNEKVDLMVVYVVGSILHC >RHN44359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3833959:3836923:-1 gene:gene38469 transcript:rna38469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGNKEDDDELDYEYESCLGSRRPNAHGGRGSHSSTLQPLSNRNQRFSNRIRASPLEEWEGRTNIGMSNTVTTEIRGSLMHMSIGKTKTTGKVDRATVENVRNQSFSSYNSFSIICIQLTQKFPQAIDLRTRMAIFKMINNNLFQHINGCISTGKEANVYHATDSDGQEYAIKIHKTSVLGFKDRNKYVKGDRRFERAYCSRNPREMGKTWAEKELKNLYRIAAKGIRCPKPRHQKLHMVVMDFIGKDGWAAPRLKDADLSLGKLLEGYVEIIVAMRDLYQKCRLVHGDLSEYNILYYEVNTPLGVIFLVTKCLCKLFAYIFFTYQGHLYIIDVSQAVDIDHPCAHCLLFEDCKHVSDFFKKHGVGVMTKTELFKFIVNAFIADDDVVDSYLEKVQQKTLTGGASEEDEISNWVSALVTCLKLLFA >RHN43275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44554793:44559310:1 gene:gene49822 transcript:rna49822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane-anchored protein MPEEDLVDIKFRLYDGSDIGPFRYSSAATVDMLKQRIVSDWPKGKTFLPKSANEVKLISSGKILENNKTVGQCKAPFGDIAGGVIIMHVVVQPSLAKSKAEKKVDDSSKKVVCSCSIM >RHN54366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9026242:9029193:1 gene:gene29385 transcript:rna29385 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSKELMQKAATLVSDFLVCPLSKQPLRYCQESNSLISDAIAVSFPIKNGIPCLVPRDGKILNEEDASKPDNDTNL >RHN41627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31625940:31626332:1 gene:gene47969 transcript:rna47969 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCCVYTLSENAVRVADSSSSLSSNKTAHSLVICVYQCSIRGRSCLITITWWKSLMGQGLNVKIDDSSNKCLCKVDIKPWVFSKRNVCKSLEAYFCKIYRCVLCDLSSARFGVGLEPLEFFFFFCMSDY >RHN40737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20094874:20095419:1 gene:gene46932 transcript:rna46932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MISYEIKKQVVLIYLWLWWITSTNICVNATNDSLKPGDTLNSNSKLRSKQDKYCLLINKGGYLTIATVNRTGVWFYNRNQPVDVNSAVLSLNYTGVLKIESQNRKPIIIYSSPQPINNTMATMLDTGNFVLQKFHPNGTKSLLWQSFDYPDDTLIPTMKLGVNRKTGHNWSLVGFFATNSR >RHN72331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6848150:6849036:-1 gene:gene8029 transcript:rna8029 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFTVSAVLMIIMVFMPSPILSSYSQSDPIPELPTLPTTSSATKTDPSPSSISPFQNLSPEIAPLLPSPGGALPTPTGSDIPTIPSNPSPPNPDDVIAPGPFYAFAPYGSIQATSNGHRSVAFDIATAAFAGLAALFSLQYMRV >RHN39588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8472840:8474173:-1 gene:gene45627 transcript:rna45627 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LEC1 MSFSSSNFYVILSISLTVFILLFNINKVNSTELTSFTITKFSQDQKNLIFQGNAITTSTGKLQLTKAVKNSIGRALYSAPIHIWDSKTGDVANFETLFTFAITAPYSSNVADGLAFFIAPVDTQPQNIGRAGFLGVFNSETYNKSIQTVAVEIDTFHNTWDPKINRHIGINVNCIKSISTTSWVLENGREANVLVRFDAHTNVLSVVLSYPGLPDSYILSDVVPLKDIVPEWVRIGFSAATGAEFAEHDIRYWSFHSELSLVFNNNNANVSSSVQSA >RHN57991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42952448:42953263:1 gene:gene33589 transcript:rna33589 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMCQLNFQNISTLTQGMKTHSHLMKFTQIFISLSVFCFIFSPSSLFVFLHYFKLYFSTLPFQLYTHNIDKNSMFLLCNGLLVFVGLTKSFSASSSDDDKPSNNSKDFSSSQYIQEEEEEEEQVEEDGSQYHVLDVEANEPILEREAEKITSKPNEQNAEAEEEIEIKACSTEVEENIENIIFIDEEQGEEVELFDAHDDEGDKLSEIDYILIEENNIEEEEHEEEDEEYYVEEESCVLSTEELNKKFEDFIRKMKEDLRIDARRHLIMV >RHN66997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22603902:22609277:-1 gene:gene15126 transcript:rna15126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MKSIIIFVSLIHVLLMIHVHGKTIGFAKSGCDLFQGKWVYDESYPLYQTSQCPFIEKEFDCQNNGRPDKFYLKYRWQPTKCDLPRFNGEDFLRRYRGKSILFVGDSLSLNQWQSLTCMLHIAVPQAHYTLVRIGDLSIFTFTTYGVKVMFSRNAFLVDIFSENIGRVLKLDSIQSARNWKGIDVLIFDSWHWWLHTGRKQPWDLIQEGNNTFRDMDRLVAYEKGLKTWAKWIDDNVDITKTKVFFQGISPDHLNSRQWGDPKANFCEGQEKPLSGSMYPGGPVPAQLALERVIRAMKKPVYLLDITTLSQLRKDGHPSVYGHGGHRDMDCSHWCLAGVPDTWNQLLYASLI >RHN51870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27407660:27408256:1 gene:gene36425 transcript:rna36425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MYTSNNGEGRLAASCPKKPAGRKKFKETRHPVYRGVRKRNLDKWVCEMREPNKKTRIWLGTFATAEMAARAHDVAAIALRGRYACLNFADSAWKLPIPASAEAKDIQRAAGEAAEAFRPDKTLMTTGIDTVVAVVVAVEEEEEVLNMFCVEVEKEEEVLNMQELWKNMALMSPTHSFEHEYEDFDVQFQDEEVSLWNF >RHN71432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:115639:118528:1 gene:gene7035 transcript:rna7035 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRFAAEAFGVVTVCLVAILILLGLICIAYSFYFRSRIHNQGFRLETGIMSRKWNLRTSAHIILYCLPMFLLQLFVILVGPQLDKNKGSEKKLPHYFTSTAGSSSVARGNDDIALCTYPLLSTVLLGLFAIILTSYLFWLGSRILKLVINKGLQKRVYTLLFSVLCFLPLRVLFLGLSVLSGPEHFMFEAFVFLAFLALVCCSGLCMCTLVYRPVADCLALGNLQDLEARTRRFNDDQNDTVSLITSQSHLEDNVEENARLSPGRYSDESTKRGSISFRTMEKGVASTGTFVELSLFSPSRSATPPGSPSLPGWPMQSPTQVIGP >RHN76006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46944395:46947227:-1 gene:gene12276 transcript:rna12276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase C MASSLRFLKMCLSFTMFLHFLFFISPSFSFLQLHPKNNDILLSSTLIRGLNLFPKSSINIPENDPLVLYRNIVEKKFTFPGFDDPGYSVEELGHHAGMFYFFFESRNSKDDPVVIWLTGGPGCGSEIALFYENGPFQFSKDKNLSLVWNEYGWDKASNIIFVDQPIGSGFSYTTDDSDYRHDEDGTFFKEHPQFAENDFYITGESYAGHYVPAFASRVHQGNKAKEGIHINLKGFAIGNGLTNPEIQYMSYTDYALDNGLINKDEYERINKLIPPCQEATETCGRTLISLPFLGQNSLSTKREFYQLIVQVACYFFSSTLLIYLSGTEGGDACVTSLSICMNIFIQIINNTDNVNCYDIRKKCEGDHCFYFSGIETFLNMKIVKEALGVGDLEFVSCSSTVYNTMLQDWMKNLEVGIPALLEDGIKLLVYAGEKDLICNWLGNSRWIDAMKWSGQTAYKESPTTPFLVDSEEAGILKSHGPLAFRKLKEAGHMVPMDQPKVALQMLQEWMQGKLI >RHN71034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55971950:55973092:1 gene:gene19676 transcript:rna19676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MSSVLCEILLSWFIINSSFRRRTHLVQSFSVVFLYWFYVFSLILTFNSYIMISGPEKELMDQRKNKRKQSNRESAKRCRMRKHKHVDDMMSQMSQLTKDNSEILNSINITTQHYLNVEAENSILRAQIGELSQRFQSLTHQSKCHYQWVLFERLL >RHN76497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50779770:50783372:1 gene:gene12836 transcript:rna12836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MRSCSFISIYIIVIIIIVTPLLIYHAQAQSQSQGIDSIIQLPRSGSSRTRTRTRSRRFLSIADFGARGDGFHNDTQAFLKVWEIACSLSGFINIVFPYQKTFLVTPIDIGGPCRSKITLRILGAIVAPRNPDVWHGLNKRKWIYFHGVNHLSVEGGGRIDGMGQEWWSRSCKINTTNPCLPAPTALTFHKCKSLKVRNLTVLNSQKMHIAFTSCMRVVASRLKVLAPASSPNTDGIHISATKGVEIRDSLIRTGDDCISIVRNSSRVWIRNISCGPGHGISIGSLGKSNVWEKIQNVIVDGAYLYNTDNGVRIKTWQGGSGFASKITFQNILMENVSNPIIIDQYYCDSRHPCKNQTSAVQVGNISFINIQGTSATEETIKFACSDASPCEGLYLENIFLRSYFGGNTRSYCWQAHGSAQGYVYPPVCFSTSSDLIRQNVLLEPNPAINSV >RHN39084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4014449:4016089:-1 gene:gene45077 transcript:rna45077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MTSREHKQMKLDELPLYDFEKLETATNSFDYGNMLGKGGFGPVYKGILEDGQEIAVKRLSKASGQGIEEFMNEVVVISKLQHRNLVRLLGCCVERGEQMLVYEFMPNKSLDVFIFDPLQKKNLDWRKRSNIVEGIARGIMYLHRDSRLKIIHRDLKASNVLLDGDMIPKISDFGLARIVKGGEDDEANTKRVVGTYGYMPPEYAMEGLFSEKSDVYSFGVLLLEIVSGRRNTSFYHSEDSLSLVGFAWKLWLEENIISLIDPEVWDACFESSMLRCIHIGLLCVQELPKERPSISTVVLMLINEIRHLPPPGKVAFVHKQNSKSTTESSQKRHQSNSNNNVTLSDVTGR >RHN59561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11974643:11976293:1 gene:gene21606 transcript:rna21606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MDFSSNGSEENQLYHAQIHLYKHIYGFINSMALKSAVELGIADAIQNHGKPMTLTELASSLKLHPSKVSVLYRLLRLLTHNGFFAKTTLMSGKEGEEETIYSLTPPSMLLISGKSTCLSPFVTGTVHPCRLNIWYSSTKWLTEEKELSLFESARGETFWDYLNKDTESDELSMFQEAMAADSQIFNLALKECNHVFEGLRSIVDVGGGRGGFTKLIHEAFPDLKCTVFDQPQVVANLSGDENLKFVGGDMFESIPSADAVLLKWILHDWNDDLSLKILKNCKTAISGKGKTGKVIIIDISIDETSDNHETNELKLDFDFMMMTLLNGKEREKKEWEKLIFDAGFSSYKITPICGFKSLIEVYP >RHN81098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43314128:43315990:1 gene:gene5095 transcript:rna5095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MAFKHRSIAYLLSILLFVSLHVANGIPPETICGSTVNPTYCKNILANQNGNIYDYGRISIRKSLSQSRKFMNSIDSHLQGGSSLSQSTIRALEDCRFLAELSFEYLSNTYTTTNQSSNVLPTSQAEDFETFLSAVLTNQQTCLEGLNTIASDQRVKNDLLSSLSDDMKLHSVTLALFKKGWVPKNKIRTSWPQNGKHLNFKNGRLPLKMSNKARAIYDSARRNGRKLLQTNTNEDSVVVSDVVVVSQDGSGNFTAINDAVAAAPNNTVASDGYFFIFITKGVYQEYVSIPKNKKYLMMVGEGINQTVITGDHNVVDGFTTFNSATFAVVGQGFVAVNITFRNTAGPSKHQAVALRSGADMSTFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNGAVVLQNCNIYPRLPLSGQFNSITAQGRTDPNQNTGTSIQNATIKAADDLAPKVGTVQTYLGRPWKEYSRTVFMQSFTDSFINPAGWHEWNGDFALNTLYYAEYGNRGSSTANRVTWTGYHVIGATDAANFTVSNFLSGDDWIPQTGVPYSSRLI >RHN66416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12147225:12147746:-1 gene:gene14389 transcript:rna14389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase large chain MFTSIVGNVFGFKALRALRLEDLRIPVAYVKTFQGPPHGIQVERDKLNKYGCPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKVDENVNSQPFMRWRDRFLFCAEAIYKAQAETGEIKGHYLNATAGTCEDMMKRAVFARELGVPIVMHDYLFVFCIKYKKNTNRILNSI >RHN46478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32106479:32107221:-1 gene:gene40969 transcript:rna40969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TGA like domain-containing protein MTDVNVAAFDEFLQGWMIRQRNYLNDLLSAQQQPQELADSDRLNLLNRVLCHYGQYYEEKSKIAHQNILLLFSPPWFSSLEKSFLWVAGFKPGLTFHLVNKTLEDLSEDQRQRLSELKQEIKMKERELNDELAKVHESMAAPPVLDNVRSHGRVCLSRSFMAEEGTVSSSFKETLENLVTNADALRTETALRVVQILKPAQVLNFFVAVAELQLKVRSLGFDKDAQRENQG >RHN72676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9648572:9648832:1 gene:gene8422 transcript:rna8422 gene_biotype:protein_coding transcript_biotype:protein_coding MMFMLWKNKNPGFVKWGSRQWMREKERILKGEKEGLKKMVENPFESEKGVCDESENDDEKPQKEQRCFCSCSSCSCGESKEEPKGY >RHN74285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31488000:31488367:1 gene:gene10323 transcript:rna10323 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKHIFLVYLSFLLSLLFCIFEGYGLVPPLVYISFYIHIVSDWQRM >RHN64448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59171922:59174165:1 gene:gene27267 transcript:rna27267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC-2 type transporter MKSGGKIIYNGALGHHSSRLIEYFQSISGVPKIKDNYNPATWMLEATSAAVEDELKIDFANIYKESHLHRDTLELVRQLSEPEPSSKDLHFSTRFPQSNLGQFMACLWKQHLSYWRSPEYNLIRFVFMIVAAIIFGAVFWQKGKEINTQQDLFNVFGSMYIAVIFLGINYCSTILPYVATERSVLYREKFAGMYSSMAYSFAQVAIEIPYILVQAIIYVAITYPMIGFHWSVQKLFWYFYTTFCTFLYFVYLGMLIMSLSLNLDLASVLSTAVYTIFNLFSGFLMPGPKIPKWWVWCYWICPTAWSLNGLLTSQYGDMDKEILIFGDKKPVGTFLKDYYGFRHDRLSVVAVVLIAYPIIYASLFAYCIGKINYQKR >RHN41255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28657703:28659864:1 gene:gene47557 transcript:rna47557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MTIASNKQICREWRDMDSDTRKHITIPLIYASTPEKLKKRFPKLQSLKLKGKPRAAKCGIIPENWGGYVSPWIKVIQNYDNCLNSLHFKRMIVSDHDLLILAERGGSLFSLVLDDCSGFTTKGLEDICRSCTNLRVLFMEESSVSEKENEDGKWLHELALNNKALVTLNFFKTDLFLNESKINIEDLELLAKNCPNLASVKITDCEILDLKNFFQYASSLEEFCGGFYNKDPENYAAVLPARLSRLGLVEIRKDDLPIMFPSLVAQLKMLDLRYSTLDMEDHCTLIRLCPNLETLKSMDVIGNKGLIELGQYCTKLKRLRIETENERREDEEARVTQRGLIEISNGCPELEYISVNELLQGCVKLRRFALYLRSGGLTDKDLEYIGRFGVNLKWILLGYCGQTDKGLLDFSQGCRSLQKLEIRGCKFFSEVVLGVAAYNLKSLRYLWVQSYSPSFPPGSGFRFSARPYWFTEMISTSQDEDNNQFLGYYSILGGPRADIPHTFCTIVWLGN >RHN76254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48888904:48892959:1 gene:gene12547 transcript:rna12547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5'-nucleotidase MMPPGLVSNLQEALLNRKITPSQQDESNDTVSSTNDDNPNPKPIEFDESKPIILLTNSDGVESSGLTHLVEALVLQNLYNVHVCVPQSDKSVSGHSVTIRETVEACSAQVNGATAFEISGTPVDCVSLALSGALFSWSKPVLVISGINRGSSCGHHMFYSGVVAGAREALLCGVPSLSISLNWKKDESQETDFKDAVEVCLPLINAAIRDAEKETFPKNCFLNIEIPRSPLSNKGFKLTKQSMWRSTPNWLAVSNSRYPTGHFLANPQGGLGLQFAQLGRDASAAGAARRLATQKKNLEIIESTGAAGKPDTNKVKKYFRLEFLDKQQEEIDDDDLDYRALESGYVAVTPVSLSPHTASDIQMATSDWVSAVIPGGQ >RHN46225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29804463:29806240:-1 gene:gene40679 transcript:rna40679 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTQTKEQVSLKLLVNKETNKVLFAEAGKDFVDVLFSFLTLPLGTIARLVEKESSVGPVTLGCLNSLYHCVEDLDEGCLGTKINKEMLLQPANSSEDYCSSLKLNIDDTEPTKYFLCTKFPSCVDRCLSISLDKFKCRCKNPLNRSVMMKHFRNGFVNSGATFIIKDDLTVMPNSMDVTNFSLLQNFGIKSASSVKEMNVSVLDLLKCSLFSKTPLTDLFLRGNKDQKPSLERPRFVFKLYFLQVLNLLKCSLFSKTLLTDLFLRGNKDRKPSLERPRFFQITLKLVTRKSDNKFLYAQGEHDFADMLLNFLVCPLGGIVRLLGGSSLGSIDALHHSIAYLDICNFVSEKEKDMIVVPRLAYQYFLLSKEILPSRLNNYCCYYQPRKFDIEGIAHNQIFMTNERIYDWGDFCELYPESPKVSYQGYVKGPRIYVATDDLVISPLSPITLLDLLNRFKTSVGDLKEKVVTIGIKECLSILKAALTSTSALSNGLAHLLAEVKEKIRE >RHN40019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12311754:12313059:1 gene:gene46109 transcript:rna46109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MSQTIEITILSAENLQENKKAIKGNTFVTVQCDGSNNEVSTTKLDSEGGSYPTWNEKVVIDLPLHARFVTIEVKYKTRGSSSNSVGIARVPVSDFLGGYVHENQLQFLSYRLWDNRVMRNGVVNISVKVKMSQQNSCSSSMSSTMNGVPVTGVPVAGNGSCSRVATGIPAVWLNYQRHI >RHN57842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42106534:42112690:1 gene:gene33431 transcript:rna33431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EH domain-containing protein MEFDPIPIGSCSKDHQNIYQQWFNHADSDGDGRITGNDATKFFAMSNLSRQHLKQVWAIADSKRQGYLGFQEFIIAMQLVSLAQSGHPITHDLLNSDVDLKNLKPPILEGLDALLAKKKHKQSELDVNGSSQLQPSPSSSWFSSKSTKKVPLSSVTSIIDGLKRLYIQKLKPLEVTYRFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKCSYPGAHIGPEPTTDRFVVVMSGPDERSIPGNTVAVQADMPFSGLTTFGTAFLSKFECSQMPHPLLEHITFVDSPGVLSGEKQRTQRAYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFNRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVTRVYIGSFNDKPVNDAVSGPIGKELFEKEQEDLLSDLKDIPKAACDRRINEFVKRARAAKIHAYIISHLKKEMPAMMGKAKAQQKLIDNLAGEFGKVQREFHLPPGDFPNVEHFRESLSGYNIDKFEKLKPKMLQVVDDMLAYDIPNLLKNFKNPYD >RHN66233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9938382:9938942:-1 gene:gene14177 transcript:rna14177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MASTDWCELPTELLNLISQRIDDELDLIHFRSVCSKWRRSSISNHNPNSTLKVLLLELSYTLSNTINNTNTSPFCCLFKHTLFLIKPLQHRKPLRHCLIRVTQNSHCKTKLFHLLLNSVYSSNGFPRVLDFNKLSVLNYEPISSWTTETLIVVTISSTTRSVKSSLLSCAMENTRWFSAFRNISPI >RHN42232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36556215:36557159:-1 gene:gene48648 transcript:rna48648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WEB family protein MQDTVEGVMNNEDVEENNRSILEAAKAELDEAKKELNRAKENAMQSWLDSKPLIDELEKQKTNLANAQQNSNTPEIAIVELESQLEITEKSIKSKREDHVKTENMIYEINHALDQMCNDMERLKHNIKKEKQTRAKLKQTLHLRRRTVQTLQLTLQAVLLELDAVEVSTAKAFQLINHSENNTSDVQLSHRDYYALKRRAEERKSQANWRVSVSMEQKLAAEATREFALSRLNHFYSSKSWSRNRRNITGQWHKEKEAKTKDVIVQDEVTTNINSASPKSHAKSLVKSEGGSDNTPKKQQVILKQQRSHPFCTE >RHN51369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16828529:16833926:1 gene:gene35796 transcript:rna35796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gliding motility-associated protein GldE MTYGTIFKALTISSDYLALANEGIPFGSATWIAYAGICCFLVLFAGVMSGLTLGLMSLSLVDLEILERSGSPSQKKQAAIILPVVKKQHQLLVTLLLCNAVSMEALPLYLDKLFNQVLAIILSVTFVLFFGEVIPQAICSRYGLAVGANFSWLVRILMIICYPLSYPVGKVLDYLLGNDEALFMRAQLKALVYIHGMEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEALGKILARGHSRVPVYSGNPRNIIGLLIVKNLLTVRPETETPISAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVKTRGKGKETPQLIDEEKFDAKKSVGGDSQLTTPLLQELDSKSENVVVDIDKPSKLTSAPSENIDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHNRIRVAAVAAASSMARAPSIRKLTGQKGVGGQSKPVQISKLS >RHN41341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29291340:29296439:1 gene:gene47651 transcript:rna47651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MARVYIGNLDPRVTERELEDEFRVYGVLRSVWVARRPPGYAFIEFDDRRDALDAIHELDGKNGWRVELSHNSKSGGGGGRGGGRGGRGGDDLKCYECGEPGHFARECRSRGGSRGLGNGRRRSPSPYYRRRGSPNYGYDRRSYSPRGRRSPRRRSITPPRGRSYSRSPPPYRYSRRDSPYANGD >RHN52090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31396293:31396610:1 gene:gene36710 transcript:rna36710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rapid ALkalinization Factor MINFKPWLMFFFLAMVIAMVSAKASKVHDFSFPSPVLVGDLIREENEMLMDSESNRRRYISYDALLADSIPCGLKGQSYYDCNHRDQVNPYRRGCTAITHCARVL >RHN71245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57567739:57569577:-1 gene:gene19901 transcript:rna19901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MEDEHVRMDDLTQHIGSASNVPFPGAFYGVFDGHGGTDAALFAITSAFMKADYAFADSSSLDISSGTTALTALVFGRNMIIANAGDCRAVLGRRGRAIEMSKDQKPNCISERLRIEKLGGVVYDGYLNGQLSVSRALGDWHMKGPKGSACPLSAEPELQEIFLTEDDEFLIMGCDGLWDVMSNQGAVTMARKELMIHNDPQRCSRELVREALKRNSCDNLTVIVVCFSPDPPSRIEIPPSRVRRSISAESLTLLKGVLEC >RHN51722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23484881:23485129:1 gene:gene36229 transcript:rna36229 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPNRFGSLKPTQDLNRTGPSLSSKPNSEFVLRPNSLLLYFLLAICVPLFILLHPTL >RHN73206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14376384:14377290:-1 gene:gene8996 transcript:rna8996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MSCVKSVAPYETNICLVPKCDNPNNMKDLRPISLCNVLYKMVSELLANRLKRCLDHCVSSEQSPFVEGRPILDNVLIAMEIIHVMKHNTKGYRGDLALKIDISKAYDQVDWGFLRVVLVKTGFDAKWVEWMMM >RHN43330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44971710:44973350:-1 gene:gene49886 transcript:rna49886 gene_biotype:protein_coding transcript_biotype:protein_coding MFTKVIFCREQTLEITPLPHIHTNPLCSNSKPLCSKNIYHLGTVSKTTHLQHTQFRASLKKHEVFVRAFGDSLKTLS >RHN38846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2416963:2417939:1 gene:gene44815 transcript:rna44815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MLQVFLFHSCKGLNVSNLSITNSPRSHVAVNMCNGATFSNISINSPGTSPNTDGFDIALSTHIVIHDSNIKSGDDCIAINGGSSFVNATRITCGPGHGISVGSLGKKGSEDKVSNIHVRNCTFNETQNGARIKTIPVSISNKIKYVYTL >RHN53219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:176802:187871:-1 gene:gene28107 transcript:rna28107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-tubulin complex component protein MEWEMSASLLQNLKLHDPWLPPNTWEQRTPTPLLPLQLSSNSNSNSNSNQTTLSESSLVRLVMNAMLGSKSSIIAIHNLSPIFSSHHPNTTFLHLWYRASTTHSFSNILQSIASTASLVFLLRHFVDHFTISLPPCTLVNQAFAVAVGKVLEGYISSLDTIHSSLIFRRASEIPVDFSASSCFNSVSHSEITLLELYLHTKQLRIHIQALASICNLLKWAHCVSDTDFENVIAKATSEFADFYRGGSLLTFLYHQLQVADSAHCTLLKFLFLQSCEPYCGFIRSWIFKAEIHDPYKEFIVENIGCLSPKSHVKAGNSADFPSASIRLRDGVPIPGFLKDSLVPLVRAGQQLQVLLKLLELCIDVAAGQHSSDDFLPCWSGFSSNSLSYFSPLTFNKDTIDNMVLARESYYKRMNEKIESLLSSLEVRYQQVPMHAPVSSFDNDVGTLDKLGQLMSEDEPIVCSTADKSSSNMGSNNLDSDVSSMEDEMSLLEDMYGQSESSSLNSSDEQLESDQLSGWPCPAAGQQNHLSALSFLKFTTLNSSIQNSRHHEKPGSDSHEICDKMDAVDHLMKSSNKGMISSHMFDPQNPENSWYSSKFSIEQRGSCIDSYSAMDDLLKKSFDADGTVEQKMTEKHLQSMKYSQLCRVAVSDSLSVETLSEDQPVNNTPASFLCDFQPLKVDHQCNLPSINPFSMNPMLTRNVLPQQTADCAQPFPYFNFSTVEDPCKVYMDKLLTDSICTNTYSFPPDSCASTYGNQNNDHGEIDRGNEEGLVDEPKYGFDASLDVVDHKQYVLTDTSGGSSWGRLLGSFRKTVDCDATQRQTLLSTFEMPLDIIIDKCLIQEIMVQYNYVSKLIINVLEEAFKLQEHLLALRRYHFMELADWADLFILSLWRHKWSVTEATERLPEIQGLLELSIQKSSCEQDTNKNRLFVYMKGRGKLPLSASAIGLRSFDFLGLGYHVDWPLCIILTPAALKIYADIFSFLIQVKLALFSLTDVWCSLKDMAHTTNKGLNAEPYQPGAGHLNILMKMRHQISHFVSTLQQYVESQLSHVSWCRFLHSLQHKVKDMMDLESVHTEYLADSLSICFLSDETKAVGSIIESILQCALDFRSCLTIGACRGDLGELSTINISQVLSIKQKFERSLNELHVCYVKEPRHVNFGLSRFWEYLNYNEYYSNVNNGMMRYCAV >RHN60579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28427728:28429807:-1 gene:gene22910 transcript:rna22910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MKLIFVTGECAGETAGGSMSPHVLSVNPGEDVVGKIFAFYQNGPSSAVCILSASGTVSTVTLRQPGVSDGFLTYEGHFEILSLNGSCTFTSGAVGGAQRKICMLSVSLAKPNGEVFGGGVENTLIAASPTQLILVTFKQTISNQIKRKHSSLPSTAPNMLTNQDSAKENTSKVPKLTTEGEPSCLTATTTNTNGAIVEDNVTIAADENVQSDSIRCKCIDLESQTPEPVKRSPDINANHVPEI >RHN39501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7635976:7639054:1 gene:gene45528 transcript:rna45528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TIFY family MANLTNTVSDGRRLTCKAPEKFKFSQTCSLLSQFLKEKRISGDATPSFFGKMEPKASTKDLLANMQNSDGGLRLNASAIESLPQLVENPCIKKSNTRSTDPKTPQLTIFYSGKMLVFDAFSPSNATEIMELATKLASENPSTEENPPSAPVTTEKLKESEIPQTNTALETTEQGNQAKCSDMRYPRRASLLKFLEKRKERVIARGPYQINGHKNEGSSSGSEPKDHSSDQFDLNL >RHN62127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40966549:40966996:1 gene:gene24662 transcript:rna24662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MSERNTVTWNVFIGGLIKWGQLEFVRSVFDRMLVRSVVSWTHIIDGYTRINKPLKALALFRKMIEVDGIEPNEVTLLTVFPAIANLGNVKMCESVHGYVEKRGFNAVDIRIVNALIDLYAKCGCIESASRLFSEMPD >RHN78782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18294708:18300026:-1 gene:gene2431 transcript:rna2431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyl-CoA C-acyltransferase MDKAINRQKVLLQHLNPSSFSSNDSSSTSLNASACLAGDSAAYHRTAAFGDDVVIVAAYRTALCKAKRGGFKDTHADDILAPVLKAVIEKTNLNPSEVGDIVVGSVLGAGSQRASECRMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIRAGFYDIGIGAGLESMTTNPMAWDGSVNPRVKMFEQAQNCLLPMGITSENVASRFGVSRKEQDEAAVESHRRAAAATAAGRFKDEIIPVSTKIVDPKTGEEKSVTISVDDGIRPNASVSDLGKLKAVFKKDGTTTAGNSSQVSDGAGAVLLMKRSIAMQKGLPILGVFRSFVAVGVDPAIMGVGPAAAIPVAVKAAGLELDDIDLFEINEAFASQFVYCRNKLGLDSQKINVNGGAMAIGHPLGATGARCVATLLHEMKKRGKDCRYGVISMCIGTGMGAAAVFERGDSVDELCNARKGDNLFLSKDAR >RHN48778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50137129:50137968:-1 gene:gene43531 transcript:rna43531 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSPQVESNTEFSPIPQQDQDQSHINNNDHGHIHVNNIYNRHIVIEPIEERFDWDSLVIMLRLALVGTLLVGLSLRETKYDKPSLIPTPPRFFLDTLHVPQIKIADGELSSIWEMTLSISNIMNASNINIISLDAAVCYKENQTLALETPIMPQFALQSQVFPLEGEDTKRVHLKMNTTGWEKDQPIVDDSVIQSIAQDMQQGVTKFSLHLKVVGEVESSDGWVAPFIMYPKCIDLRVKFLGDNHKGEEATMIDPKPRECLGLVEWGEDKSEIPYWN >RHN38839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2387448:2390238:-1 gene:gene44808 transcript:rna44808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MSDPKASINPRAYVPQSPITGYGVSKVLESGHKDYKEGDIVWGITNWEEYSLIPAAQIHFKIEHTDVPLSYYTGILGMPGMTAYSGFFEVGSPKKGENVFVSAASGAVGQLVGQFAKLHGCYVVGSAGSKEKVDLLKNKFGYDEAINYKEEQDLDAALKRHFPEGIDVYFENVGGKTLDAVLLNMKLHGRIPVCGMISQYNLTQPEGVTNLAHIIYKRIRLQGFVVVDYYHLYAKFLDFVLPHIREGKVVYVEDIAEGLEKGPAALVGIFSGKNVGKQVLVVARE >RHN74258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31202838:31206371:-1 gene:gene10294 transcript:rna10294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-arabinopyranose mutase MSSSSTTPILKDELDIVIPTIRNLDFLEQWRQFFQPYHLIIVQDGDPSKVIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGFIVSKKKYIFTIDDDCFVAKDPSGKEIDALQQHIKNLLTPSTPFFFNTLYDPYREGADFVRGYPFSLREGVPTAISHGLWLNIPDYDAPTQLVKPLERNTRYVDAVMTIPKGTLFPMCGMNLAFNRELIGPALYFGLMGEGQPIGRYDDMWAGWCMKVISDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEELIPFFQSVSLPKECTTPQKCYIELSKQVKAKLGLVDDYFNKLADAMVTWIEVWDELNPFDEKTLALPNGVSK >RHN80776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40777220:40783680:1 gene:gene4742 transcript:rna4742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 9S-lipoxygenase MLHNILNAFTGDKSNRHQVEGTVVLMKKSVLDFNDFTASMLDGLHEFVGKGVSLQLVSTVNTDSENGLKGKLGKPANLDWSTASKPLSTGESTFKVSFDWDEEIGTPGAFLIWNNHDNEFYLKSLTLKGVPGQDVIHFVCNSWVYPAKNYVKDRIFFSNKTYLPSETPTTLLKYREEELETLRGDGKGQLEKWDRVYDYACYNDLGNPDKGLEHSRPILGGSAEYPYPRRGRTGREPAKSDPNYETRLDLEMSLKIYVPRDEKFGHLKMSDFLAYALKSIVQVLKPELESLFDSTPNEFDSFEDVLKLYESGIDVPEGLLKDVRENIHGEILKEILRTDGEKLLKFPLPQVIAVDKSAWRTDEEFAREMLAGVNPVMICSLQEFPPKSKLDHKVYGDQSSKITKEHIEINLDGLTVDEAIRAKKLFILDHHDTLMPYLRRINYTSTKTYASRTILFLQKNGTLKLLAIELSLPHSVGDQYGAVSKVYLPAEKGVEKSIWQLAKAYVAVVDSGYHQLISHWLHTHAVVEPFIIASNRRLSVLHPIHKLLHPHFRDTMNINGLARQILINAGGALESTVFPSKYSMEFSSFLYKDWSFPEQALPEDLLKRGMAVKDSTSPYGLRLLIEDYPYAVDGLEIWFAIKTWVKDYCSIYYKDEDSIKKDSELQSWWKEIREEGHGDKKDEPWWPKMHTLEELIETCTIIIWIASALHAAVNFGQYPYGGYPPSRPSMSRRLMPEKGTQEYNELLENPDKAFLKTITSQFQAVLGLSLVEILSRHASDEVYLGQRDTPDWTSDIKALEAFEKFGNKLVEIEKRIGIMNNDEKLKNRFGPVKMSYTLLKPTSEGGLTGMGIPNSISI >RHN53445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1693195:1694511:-1 gene:gene28352 transcript:rna28352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MITALSKFLRISKPLSVLSHTEKEKMVPLQSFNPYLAIILLLFHLLHFSSIEAQNDGFTVKLIRKSSHLSSNNIQDIVQAPINAYIGQYLMELYIGTPPIKISGTVDTGSDLIWVQCVPCLGCYNQINPMFDPLKSSTYTNISCDSPLCYKPYIGECSPEKRCDYTYGYADSSLTKGVLAQETVTLTSNTGKPISLQGILFGCGHNNTGNFNDHEMGLIGLGGGPTSLVSQIGPLFGGKKFSQCLVPFLTDITISSQMSFGKGSEVLGEGVVTTPLVQREQDMTSYYVTLLGISVEDTYLPMNSTIEKGNMLVDSGTPPNILPQQLYDRVYVEVKNKVPLEPITDDPSLGPQLCYRTQTNLKGPTLTYHFEGANLLLTPIQTFIPPTPETKGVFCLAITNCANSDPGIYGNFAQTNYLIGFDLDRQIVSFKPTDCTKQ >RHN67247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25158468:25158912:1 gene:gene15418 transcript:rna15418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLDLQNLTVLRLVWSYSIKDPLQSLKSLKHLLSLSLKLIKYEGLQLHFQDGGFQKLKELEVSDCIELREIIIDKGSMPSLKTLSLIGLFNLKNIPTGIQHLEKLGSLYISDVDDEIEKRSSAEDWNWIMEHVPL >RHN72150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5406811:5408418:1 gene:gene7831 transcript:rna7831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal 5'-phosphate synthase subunit PDX1 MEGSGVVTVYGNGALTETTSTTTKSSPFSVKVGLAQMLRGGVIMDVVNAEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKAAVTIPVMAKARIGHFVEAQILESLGIDYVDESEVLTLADEDNHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVLGDIRVLRNMDEDEVFTFAKKIGAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPAKRARAIVQAVTHYSDPEILAEVSCGLGEAMVGLNLTDHNVERFANRSE >RHN53532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2340098:2341222:-1 gene:gene28448 transcript:rna28448 gene_biotype:protein_coding transcript_biotype:protein_coding MISKLLLLEDLRFHIFTFVPLNCLLNSARYVNKLWAATIGSSHFAEAYERHAHSKLGLYVENCMEPDNSYFLEFKDYVNDQFERTDLGIPSIMGDIIDTCNGILLFCNIYEQIFVMNPILKCWLRIPDFPISRQPMIFSMQCTIARVPGTSEFKLFLVDFVEGSDAFWYVFYVLRIGKDYTWNEIVRKEAPFRQLFLCRPLYSGDNDLYWITEKEVIVMNVDKEIIMREYPLPNELNILAKNCLMLGNRLSCIMSNDFNRTFQIYILNFDSGKLSLYHEMGPFDYVATCGHEVYIHLVRFCLWINNQIIFRVPQCQNQIGNTSPDIKNIHFGYNVNTRQLTKIGDIDVGHFEVCLHTNSLISLPRTPTLLRFFV >RHN66912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21422222:21422749:-1 gene:gene15033 transcript:rna15033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MDRESLTDEVRNYLRDKRYVVVFDDVWSLHFWDDIEFVVIDNKKGSNILITTRNLDVVVSCKRSYFTEVLELQPLTEEQSIELFNKKTFKFEHSGCCPNDLIVITNEIVKKCLGLPLAIVSMGGLLSSREKNRFVWQKFIENLSLELKKDTYLIGINKILGLSYDDLPYYLKSCL >RHN48513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48075584:48077169:-1 gene:gene43236 transcript:rna43236 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVLIIGSPFAIILTIIIFIFLLRFILLLLFLLLLFFLCANLVYLLFDIVSKS >RHN58875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5645136:5646382:1 gene:gene20830 transcript:rna20830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MIDGLIPNSIWKLDNLINLNLSQNSLTDFEGNFFNFSSNLFELDLSSNKLQGPIPFIPPDIGNHLPYILILFLSNNSFQGKIHESFCNASSLSLLDLSHNNFFGTIPKCFAALSNRLRMLNFRGNKLQGHIPKTMFLNSCALRIFDLNDNHLDGTIPKSIVNCRELQVDNIGNNALTGRIPCFLSKIPTLRIMVLRSNKFHGFIGCPNSTGDWKMLHIVDLGSNNFSGMISASLLNSWKAMMRDEDVFGPEFDSFKGNEGLCGPPLTNDCNDDGVQGLPTPASELSHSHNDSSIDWSFLSVELGFIFGFGIFILPLICLMRWRLWYSKHADEMHYRLIPQLDFVYEQHEGKRYRTLRWRC >RHN68760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38323580:38336978:-1 gene:gene17151 transcript:rna17151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase-like ATPase domain-containing protein MGSVEVIDLSSDDESEKVRGIVPVKLEPGAVKQEHRRQAKCGKSQSRATGKDVEENFSGSVPSTGHSNSSVLEQGPSPIDDTGISYASPLCAAPLSRQFWKAGSYDEGHASQIGVKDGKNYLHVHPMFLHSNATSHKWAFGAIAELLDNAVDEIQNGATFVSVDKTSNPRDGSPALLIQDDGGGMDPEAMRRCMSFGFSDKNSKLSIGQYGNGFKTSSMRLGADAIVFSRHLNNGILTQSIGLLSYTFLTQTQLDRIVVPMVNYEFNTSTGSLDMLNGKEHFKANLSLLLRWSPYSSEADLLKQFDNMGSHGTKVIVYNLWLNDEGITELNFDTDPKDIRIAWDIKKIGTKPAWKRIQEEHIANRFRYSLRVYLSILYLRLPQTFQIILRGQAVKPHSIADDLKLVEFVKYTPQCGGGAVEELFVTIGFLKEAPHVNIHGFNVYHKHRLILPFWHVVRYQDSRGRGVVGIMQADFVEPTHDKQDFEKTSLFQKLEARLKSMTSEYWDTHCRLIGYRPAAKPQTPVAQSHPPLQKSLEYHNKRKTDELIDLQNRKKHASEECVTGTGFSQKKQITTTPADQVVNPETITLMQENNKLHANCLEFEKREEELNLKVTQLRSKIEEARHEYDRLLAELHSLDVKEE >RHN50067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3793784:3794053:-1 gene:gene34317 transcript:rna34317 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSNTNVKWQRPKLGFVKISTNVNLSEVGIWGLGVVARDDNGEELGSSTWCVEGFEDRATAEAFLMFKALCWAIVVVSLRSFLRVIVM >RHN56739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33327474:33327857:1 gene:gene32180 transcript:rna32180 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALEGGNFKEQQWHTIWFIAAAFLLISCVGTSCIKESEKPSVETNTKLSDVKGVDEAKAELEEIVDYLKDPKVEFSSINLCLSSMK >RHN52981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40830046:40832682:-1 gene:gene37723 transcript:rna37723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor EFTs/EF1B MMDCKNALSGSEGDIIKAQELLREKGLIRVCRQESIQSSCRRKDGSYKFQRKDRKSTVVVSASLVKQLQEETGAEMMDCKKVLAEKRVSHSLFTHRCSN >RHN63778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53985915:53987341:1 gene:gene26524 transcript:rna26524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase transcription factor WD40-like family MLNMAMVVTATTLVILLLCSQSSVAILLNKLQLPSPVTGPESLAFDKNGEGPYVGSSDGRIFKYNGPDVGFKEYAYTSPNRNKTVCDGLSDFSAVQATCGRPLGLGFNHQTGDLYVADAYLGLVKVSPDGGNVTQLVGPAQANSTMFADGLDVDPDTGIVYFTVASTNFQLKDYQTLVTSGDSSGSLLRYDPSTNQTTVLLSNLSMPSGVAVSKDGSFVLVGEYLSNRIQRVWLKGPRANSSELFMLLTGRPNNIKRNSAGQFWISVHSVLGLGLPISPRRTALPRGVRVSENRIILQIASLVAEYGIEPASEVQEYNGKLYAGSLLASYASIFIG >RHN75880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45819759:45832785:-1 gene:gene12138 transcript:rna12138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative V-type ATPase, V0 complex, 116kDa subunit family MEKFIDNLPPMDLMRSEKMTFVQLIIPAESAHRAVSYLGELGLLQFRDLNADKSPFQRTFVNQVKRCAEMSRKLRFFKDQVNKAGLMSSSRTLLQPDIDLEDLEVHLAEHEHELIEMNSNSDKLRQSYNELLEFKIVLQKACGFLISSHGRAVSGEIELQDNVYSNDDYIETASLLEQEMRPQPSTSGLRFISGIICKSKALRFERMLFRATRGNMFFNQAPAGEQIMDPITTEMIEKTVFVVFFSGEQARTKILKICEAFGANCYPVPEDISKHGQITREVTSRLTDLEATLDAGIRHRNKALSSIADHLAKWMDLVRREKAVYDTLNMLNFDVTKKCLVGEGWCPMIAKTQMQEALQRATFDSNSQVGIIFHQMDAVESPPTYFKTNTFTNPYQEIVDAYGVARYQEANPAVYTTVVFPFLFAMMFGDWGHGICLLLGALILIAHENKLSTQKLGSFMEMLFGGRYVILLMSLFSIYCGLIYNEFFSVPFHIFGPSAFRCRDTSCSDAHTIGLVKYRDPYPFGVDPSWRGSRSELAFLNSMKMKMSILFGVAHMNLGIILSYFNARFFGSSLDIRYQFVPQMIFLNSLFGYLSLLIIIKWCTGSQADLYHVMIYMFLSPTDELGENQLFWGQRPLQIVLLLLAIIAVPWMLFPKPFILKKLHTERFQGRSYGILNTSEMDLEVEPDSAREHHEDFNFSEIFVHQMIHSIEFVLGSVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNLIIRLVGLTVFAFATAFILLMMESLSAFLHALRLHWVEFQNKFYHGDGYKFKPFSFAALTEDDD >RHN68092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32786917:32788230:-1 gene:gene16389 transcript:rna16389 gene_biotype:protein_coding transcript_biotype:protein_coding MESEFPLELQSETFKILSSNDDKAMETLFSHLYPNPTQHQNHEQQQNRSKSLTFLQCCKHHHPDLLMIKLFFLLTSSPEIPTRTNAARALVFVKPSHLWPKLRPQAQARLQAHFLKFITEEKSVHVLRLASLVLAETISVIYQNHQHWQEILEFLFSSVNSTEEKLREFSFLVFASLSNDCCLILSKSLHDRVKVLHSSFIGSLADSRNPNVQVASFGAVVSLIRLFSDPSLFHELLRAMMVGVFSLLQGYERSYFKSAFAELVKLVSAEPLLVKPYMSDMVLDALQIAENSGVSDETHRLAFELVLAMTELKECEQMLMSLPHETLVRLFIIPMKSLVLSVKEDGNGAGFGDCGSEEEKRKGVDGENEKVDDVYEFGIKCLKKLCVAFGGDKVLAVAHELLTKYYLDSADWKMRHAGITLLTVISKEFSDEMVTSS >RHN40180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13906083:13909689:-1 gene:gene46295 transcript:rna46295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA endonuclease RBBP8 MDETNAITERVPHTRSPKSPPPSSTAKNSSPVTDEKVVSGLSTLLVASIQEAKDKISQIEYVFCSQLYPNFQSTSKNSDSKRRRITELEHELDEGMTLQKNLLDLIRSKDSLLKSCEEKRKTAVAKLESCESENAQLLGKIVDLEERLRLKVKDVEVLELKCDENEGLCGKLVEEVELLKCEVKDEKLKRNRLNEAYKRLKSQHVYLRKKVGLNEENMIRESESDLGKNQSPIVEQGVAFEDRDTEVDVCVNAKVKIEIPDEDFEGLEKKIPEVFVAVRDINEVKEKALEDDKGAPSSSSGFHGVQKCPPSTKLASVSGTKRPAPSWRQTRSHQSRAGNDLHDDFLDTPLENIRDNLNKDLNKEDPAQPIQKDISMDSSDDETQDLNAKSSPPKKQSSITVASKKSFKYIEPVRKKADRENLKGVDCKQCRKFYEAVLPNADGKDPDSNKQNSRCEHHDGVSRHRYKYVPPLTPEGFWNIGFESEL >RHN60494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27358562:27360368:-1 gene:gene22810 transcript:rna22810 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQFYFSNLVNRLLVACCIILFAAVIIIIIDFQFSKFVLCRIPYM >RHN74422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33077572:33081985:-1 gene:gene10484 transcript:rna10484 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ZIP1 MMSSLTTTLKLLFFYVIFILPILVSCDSCKCETEQTKENSEKNEALHYKLGSIASVLVCGALGVSLPLLSKRIPILSPKNDIFFMIKAFAAGVILATGFIHILPDAFESLNSPCLKEKPWGDFPLAGLVAMLSSIATLMVDSFASSYYQKRHFNPSKQVPADEEKGDEHVGHVHVHTHATHGHAHGSATSSQDSISPELIRQRIISQVLELGIVVHSVIIGISLGTAQSIDTIKPLLVALSFHQFFEGMGLGGCISQAKFESRSTAIMATFFSLTTPIGIAIGMGVSSVYKDNSPTSLIVEGVFNSASAGILIYMALVDLLAADFMSPRMQNNFKIQIGANISLLLGSGCMSLLAKWA >RHN62945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46938454:46938893:-1 gene:gene25585 transcript:rna25585 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVLYCKISLCTKGPQAWPVKTLPSTNKIPNQGSANFLSQFTF >RHN38972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3142931:3144993:-1 gene:gene44952 transcript:rna44952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MKCRKNYGQVVMPIFYHVDPSALRHQKDGYGKALQATAKRRPSGGERRKYALSNWKIALTEAANISGWDINKSSNEGELMPLIIEDVRRKLNSRLMSITEFPVGLHTRVQQVIQFIEKQSSKVCMIGIWGMGGSGKTTTARDIYNKIHRKFVDHSFIENIREVYEKENRGITHLQEQLLSNVLKTIEKRFMRKKTLIVLDDVSTLEQVEALCINCKCFGAGSVLIVTSRDVRILKLLKVDRIYNIKEMDENKSLELFCWHAFREPSPKGDFSELSRRIVVYCRGLPLALEVIGSYLRDRTIQEWISVLSKLERIPDDKVHEKLRISYDGLKNDTEKDIFLDICCFFIGKDRAYVSEIIDGCDFYAGIGITVLIERSLLKIEKSNKLGMHSLLRDMGREIVRKRSIKEPGKRSRLWFHKDAHKVLTEKTPRSAMVDISCKLLDA >RHN42471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38602379:38605124:-1 gene:gene48915 transcript:rna48915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller MPGFVAVKKRFSEKNMCFSNMVNQEKPSLLKGGHSVRSEAVHDVVDDDSLILSGLPDDISKHCLALVPRSNFLAMGGVCKRWKCFIQSKEFITVRKLAGLLEEWLYILTADSEGKGSHWEVMDSFGHNRRSLPPMPGPRKAGFGVVVLNGKLLVMAGYSSTDGTVSVSAEVYQYNSNLDSWTRLSNMNVARYDFACAEVNGLVYAVGGYGAEGDSLSSAEVYDPDTDKWTVIESLRRPRWGCFACGLEGKLYVMGGRSSFTIGNSKFVDIYDPENHSWCEMKNGCVMVTAHAVLEKKLFCMEWKNQRKLSIFNPDDNSWKTVPVPLTGSSSVGFRFGILNGKLLLFSLKEDPTYRTLLYDPNAEPGCEWGTTDIKPSGLCLCSVTIKA >RHN67807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30400311:30401021:-1 gene:gene16043 transcript:rna16043 gene_biotype:protein_coding transcript_biotype:protein_coding MTILGAFGIFVCFNRFALSFRSVKMSNKEFWKVNHDSHFKVCKFGRRDVNVVILYLGAIRIQKPSVAAILRLGAKQMRMGAVS >RHN66798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18972788:18972913:-1 gene:gene14886 transcript:rna14886 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit I MTEEYELSTYDHYELNYNQIALGRLPMSVIDDYTIRTIQIK >RHN65938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6710968:6712240:1 gene:gene13836 transcript:rna13836 gene_biotype:protein_coding transcript_biotype:protein_coding MASREEWGLFQLNSLKQFSVSDDSQIMALELKNCSNLGIINYKGLLYLTLLESLYIEDCPCLESLPEEGLPRSLST >RHN70839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54394109:54394543:1 gene:gene19466 transcript:rna19466 gene_biotype:protein_coding transcript_biotype:protein_coding MNCCIIVAISLDMSQNQGNVELNKKKEGDDKKKEKSPMTWHGITCDGSVKKKDSKVLVYNHSRLRRSGRTCYFGPQPKAGAPGTVKDNPIDLCDEEYD >RHN63701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53339419:53341112:-1 gene:gene26437 transcript:rna26437 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAMTKLGSFWISKKAKEEISHITNDLSSLSNSVEDKAKLFINKLKGKTQKSLPDLLREHNLPPGLFPRNITCYEFDESKGKLMVHLSSPSEVCFKDSSIVRYSNRVKGTLSKGKLIVIDGMKTKVLVWVKVTSVYVESYKSDKVWFTTTGVKKSRPKDAYEMPREAVKVEDY >RHN43703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47845418:47845705:-1 gene:gene50319 transcript:rna50319 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSKRQQQPSFKVKVDSDEDVSAAAKLSAETVKIEKNEMVGEKWLTMKVLIYTDFLDGVLVVYVLLFTSFNFFPGLFLHIPFWVVFLAPTAAGS >RHN65720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4711122:4712887:-1 gene:gene13596 transcript:rna13596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MAHCESKPEEKRMILESITNQTKVSLRIAKYLFSKESEKNIVFSPLSLQVALSMIAAGSDGPTREQLLDFLLSKSTDHLNSFASHLVSAIISNAAPSGGPCLSFLNGVWVDQSRSLQPSFQQIVSNDYKATLSSVDFKNKATEVLQEVNLWAEKETNGLIKNLLPPGSVDDLVVLIGANALYFKGTWEEQFDIEDTEDYVFHVQNGNSQGGGKRRFSFYLFLPDAEDGLLDLIEKLASEFEYLQHKLPSRKVKVGAFRIPRFNISFELETSSVLKELGVVLPFSDIGGVAKTVAGESLVVSKIFHKSFIEVNEAGTEAAAATAFIEAEYGMSEVEDDTSKIEFVADHPFLFLIREDLSGTVLFIGQVLNPLDM >RHN45830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26078215:26079415:-1 gene:gene40250 transcript:rna40250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MSAHHQPKLRRTFSGTRISRVFLPDELIMEVLSFLSVKYLMRFKCVCKSWKTIISDPTFVKLHLKISARNKHLALFSILFRHSSYFSIAGFSLCRLLKNKSINLANKPNFRLKDVYGFLYPVGSCNGLLCLFGYFSINNYEETLLYLWNPATRTLSDKILFLRQYELTNEVRVFSFGDKVWRNIQSFPMVPVFHTHSLHESSIKHGVHVNGTLNWLGIQSEFHNEHQIDWKHISNDKFVIISLDLSTEAYCQLLPPRGFDKVSCVQPTLVVLLDSLCLSHDFNGTDFIIWQMKEFGVQESWIRLLKISYWSLTKLPYDHRLSLFPLCLYENNDTLILAWRGKKDNIIPILYNLRNSRVKKSRLTYEIEWVLTKDYVESLVSTS >RHN61339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34912270:34912725:-1 gene:gene23794 transcript:rna23794 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSSRDSEVAHKHFWVGKETLEAVSLFSTNGAARIPRCLIGRKEEWEITLPTTSDRICSRFSGNRIPMYEAVFQEVGFRLPFPPFQVSVFEWMELCPSQLSPDSFAYMIVFELVCRFLRLPVIGELFFAIFTIQRGLNKDDGYNRVSFR >RHN57102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36480376:36482672:-1 gene:gene32601 transcript:rna32601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nematode resistance protein-like HSPRO1 MVDLEWKSKMLNSNIAPKSPKLSLPLPTFHLPLPLTTNDITTASSTLCTTYDNYLHLPHLQTLWYSSTFPNWANEPIIKPALTALEITFCLITTVLSDPRPYINKREWTRRVESLAKSQIHVIALLCDDEEQNTNTCGKAPITELSKIYQDQFRSYSEQSLLPKLATWQKSKQIAQRLFSTVESEMMTCNYTLGLGEQNFNGKPILRYDEICKPNFIHSLETTPFDHIGNHENRILHATHQILESWTRAARVLLERVNNSIDNKTFEKTASEIYAVERIWKILTEIEDLHMMMDPEDFLKLKKQLGLGTRTMNEMKEIHETVPFCFRSKEFVTVTKMCRDLKQKVPEILEVEVDPTGGPGVMEEAMKVYSEKKEFEKVHLLQGLQGIEIEMKRFFYGYKQVLVVMMGSSEMNLECLSRIFLEPTWFPSLDAAKTFLGYYWENSENSRNTW >RHN65123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64352141:64358301:1 gene:gene28024 transcript:rna28024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MKFMKLGTRPDTFYTEQATRTLTSEIPSDLVIQINDVTYLLHKFALLPKCGLLQRLCYDSSDSESFTVELHDIPGGEDAFELCAKFCYGISINISAHNFVSAFCAAKFLRMNDAIEKGNFVGKLESFFNSCILESWKDPIATLQTTATLPEWSENLGIVRKCIDSIIEKILTPSQQVKWSFTYTRPGYTKKQHHSVPKDWWTEDVSDLDIDLFRCIIMAIRSTYVLPPQLIGEALHVYACRWLPGITKLNKSSGSSASQTEESKLKNRKIIETIVSMIPADRGSVSVGFLFRLLSISIHLNASSVIKTELIRRASLQFEEATVSDLLYPSKSSSDQNYYDIELVLAVLETFLKLWKRMSPGAVDNSYFLRSIRNVGKLIDSYLQVVARDDNMQVSKFVSLAETVPSIARVDHNNLYKAIDIYLKVHPDLSKADKKRLCGILDCQKLTPEVRGHAVKNELLPLRTVVQLLYFEQEKGSMANTSHKLLKQHEIILGAKQRTTTKDSQSKQSLGQEFNGDVTRTTQILESREKDHHRHKRLDANLALDLEKKIAIRGRDIEETESERVRGVKDESSSSYKLEVVDPKKIIRRARSKSEHSMKKADRDK >RHN43853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48996047:49001350:-1 gene:gene50483 transcript:rna50483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LIM family MGRKKKRVASKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMSIHVLQVHKENVTKVPNAKPGRESTEIEIYGMQGIPPDVLAAHYGDEDDDVPSKTAKVDIPSTPFAGGMVPPPMGTGYPPRSTMGPIRPIYNPAVPGPPNAWGVVPPRPQPWFPQPPTVSMPPPVQYPQQPLFPVQNVRPPLPSTTSPALQSQITPPGLPSSTPPVPVSQPLFPVVGNNHMATQSSPFSAAPMSSSVPSITPGLSSNVPIDAHLGINSSVTSSYQAIGIPGGIASNSHSYASGPNTGGPSIGPPPVISNKAPATQPATNEVYLVWDDEAMSMEERRMSLTKYQVHDESSQMSSIDAAIDKRILESRLAGRMAF >RHN80332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37253178:37253905:1 gene:gene4244 transcript:rna4244 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMGYFMRMKMFYEVFLYERMIMMLKLLLLDVIYGLLHDDDDDAKIVVKWLKEMKD >RHN70737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53639412:53651763:1 gene:gene19351 transcript:rna19351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MPLGDRGGDKSEARFCGVETEFSDDMPNVITFNLSTGKFDFVVAPLTDPSYRPSLVQKDSLGSASPPFAGSDLVLSPSQWSSHVVGKISSWIDLDSEDETFRIDSETTLRQELAWASHLSLQACLLPSPKGTSCANYARCVNQILQDLSNMQLWLRIPLVVPDDDSMDASSATLVDSWETWNSFRLMCEHHSQLSAALDILSTLPSANSLGRWFGESVRAAIVNTDSFLTNGRGYPCLSKRHQMLITRFFNHNIQIIISGNSGHPKASVDANDFHNRSVADSQRHPLRLYLEYVGHLYQKMDPLPEQERFELGYRDYLQSPLQPLMDNLEARTYETFEKDAMKYIQYQRAVSKAMLDMIPDEEASVKTIVLMVVGAGRGPLVRASLQASEETGRKLKVYAVEKNPNAVVTLHALVKLEGWEDTVTIVSSDMRYWNAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPGGISIPSSYTSFIQPVTASKLYNDVKAHKDISHFETAYVVKIHNAARLTPCQSVFTFTHPKPVNDRESNQRYKKLHFTIPNDTGSAMVHGFAGYFDATLYKDVHLGIEPSTATPNMFSWFSIFFPLRTPICVKPGSKLEVDFWRCCGPKKVWYEWCVASPSPSPIHNSNGRSYWVGL >RHN49243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53514085:53523794:-1 gene:gene44054 transcript:rna44054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MEEIEHRTVEVNGIKMHIAEKGKEGPVVLFLHGFPELWYSWRHQISALGSLGYRAVAPDLRGYGDTDAPASISSYTIFHLVGDIVALIDSLGVDRVFLVAHDWGAIIGWYLCLFRPERIKAYVCLSVPYLPRNPKLKPVDGMRAVYGDDYYICRFQEPGKMEAEIAKGSSELLIKAMLTSRNPGPPILPKEGILSHPSVSSTMPLPSWLTLEDVAYYASKFEQTGFSGGLNYYRNFNLNWELTAAWTGSHIKVPVKFIIGDLDLVYTSFGTKQYIESGGFKNDVPNLEEVVIQKGVAHFNNQEAAEEISNHIYDFIKKF >RHN76055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47332728:47336983:-1 gene:gene12329 transcript:rna12329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-galactosidase MSMRCFITLCLVSFWLLFGSCCFQSVSSRNLSESDLQQAALPPRGWNSYDSFSWIISEEEFLQNAEIVSQRLHAHGYEFVVVDFLWYRKKVAGANVDSRGFDVIDEWGRMVPDPGRWPSSHGGNGLSEVAKKVHSLGLKFGIHIMRGISTQAVDANTPILDTSKGGAYQESGRVWHAKDIAIPKRACGWMQNGFMSVDTTLGAGRAFLRSLYEQYAAWGVDLVKHDCVFGENFDLNEITYVSEVLSQFNRPIVYSLSPGKDVTPAMAKDVSGLVNMYRITGDDFDKWDDVKAHFDISRDLATANMIGAKGLKGSSWPDLDMLPFGWLTDKDSKEEPHRYSNLNLDEKRTQMTLWALAKSPLMYGGDMRKIDPATYEIITNPTVLEINYFSSNNMEFPYVTSSKNSNNEYQHHIRKMRRSKKGKKPIHSLGLTSCTESKASGWTIENINQDLERICWKGSAENKHQNPFCVHKRELQFRLDKESKYQEDYRGKHQLVATNQMRLCFDASPKRKVTSKEFKRGAFSPCSLDSNQIWELNSNGTLVNSYSGLCATVKYIQANVESGGIRSWIATGRKGEVYMAFFNLNEQKTPIYANMSYLAKVLPGRRINSCQGKEVWSGKNVVTTQGTISMDVEVHGCALVVLYCN >RHN48705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49580033:49581189:-1 gene:gene43448 transcript:rna43448 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVETKGRNVGGTECSWCKAIRGGTGIAVLSLLITKPIDISRFQTSLHKLQNSHPILRSKLHTSSSSTDTTFSFLTSHTPFIKIESHNINVTSNILAKNKNDTVSISPLQQIMEHELNRNTWQDRTGIDTDMFVASIYAMPDEKTWVVVMRLHVAACDRTTAVSLLRELLVLMKDEEKEENVVVVEDVVPLAIEDLVPGRKGKKHIWARGLDVLSYSVNSFRFTNLKFNDTKNARFSQVVRMQLNQTDTKRVLDGCKQSRIKLCGAITAAGLMAAHSNKTNSSKKYGIITLTDCRSTLDPPLSNHNFGMFITCIY >RHN76429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50182439:50183173:-1 gene:gene12753 transcript:rna12753 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHVMIVYNLTLVTRLDVIVVINIKTFSIYKLCGNYLQWLNLD >RHN68078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32666653:32667973:1 gene:gene16375 transcript:rna16375 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQSRTRIGGYKLNVDDAGPDNEGRWGMAAVVRDSDEICCRCSLLVSTSTPRF >RHN68522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36194057:36202280:1 gene:gene16885 transcript:rna16885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TFIIH subunit p52/Tfb2 MPEVRIIAKNFMDMVASMPAIKLDKLYQNGFICEAILRSLPPLAKKYVLQLLHIDGPVPAKLLAEWVLPDGLSKHKVAIDRLVQLRVFVEAFDNRKNEKTYQVNSTYQKSLQNLLVHGGTLPRESMPSNITVRLPTLEDLETYALEQWECFLLQLISPSHVDKTLNISSSLMKVFQRRLLSQRDREAPKLTESGFQFLLMDTNAQLWYIIREYITNSEERGVDAGDLISFMLELSFHVIGEAYNINTLTEFQRNIIKDLADLGLVKLQQGRKESWFIPTKLATNLSVSMTESSSRKEGFVVVETNFRVYAYSTSKLHCEILRLFSRVEYQLPNLIVGAITKESLYNAFDNGITADQIVSFLRQNAHPRVAQRVPAVPENVTDQIRLWESDLNRVEMTEAYYYDEFPSRDVFEGACDCAREWSGLLWEDSKKMHLVVKSEVHTYVRDFLRRQK >RHN76380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49798704:49801892:1 gene:gene12697 transcript:rna12697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MDKELQLCSVDAADEEEMVSQQKPERSKGGLVTMPFIIANEALARMASTGLGPNMILYLMGSYNLHLATATQILLISSAAGNFTPLVAAFIADSYIGRFLGVGIGSIISFLGMAMLWLTAMVPAAQPPACSNPPEGCISAKPGQMAMLLSALILIGIGNGGISCSLAFGADQVKRKENSNNNRALEIFFTWYYASTTIAVIAALFGIVYIQDHLGWRIGFGVPAALMLISTVLFFLASPLYVKITQRTSLLTGFAQVSVAAFKNRKLSLPPKTSPEFYHHKKDSVLVVPTDKLRFLNKACVIKDHEQDIASDGSIINRWSLCTVDQVEELKAIIKVIPLWSTGITMSINIGGSFGLLQALSLDRHIISNSNFEVPAGSFTIILIFVILIWIIIYDRVLIPLASKIRGKPASISPMIRMGLGLFFNFLHIVVAAIFESIRRKKAIQAGFLNNTHGVLKMSAMWLAPQLCLAGIAEAFNVIGQNEFYYKEFPKTMSSVSSALSGLAMAAGNLVSSFVFSTIENTTSSGGNEGWISDNINKGHFDKYLWVIAGINVLNLLYYLVCSWAYGPTADQVSKVSEEENGSKEENSTEFKNVNPQIDDKVSDETSSKEKELTEFKNGGQVEKVSKVSEENGSKEE >RHN58429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1646037:1648604:-1 gene:gene20339 transcript:rna20339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L7 MGEEVKSIVPESVLKKQNREEQWALAKTQESEASKKKRAENRKLIYSRAKQYSQEYEDKERELISLKREAKLKGGFYVDPEAKLLFIIRIRGINAMDPKTRKILQLFRLRQIFNGVFLKVNKATMNMLHRVEPYVTYGYPNLKSVRELIYKRGYGKLDRQRTPLTDNSIIEQGLGKHGILCIEDLIHEILTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIKRMN >RHN47180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37714503:37715374:1 gene:gene41746 transcript:rna41746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative von Willebrand factor, type A, copine MLVSGKYSLIRQSLHDIRNVPNSCEKAISIIGKKFSPFLDDNLIPCFGFGDASTSDHDVFSFYRDERFCNGHEEILSRYREIRPNIQPAGTTSFAPIIEMATKIVDQSGGKHHVLVIISDGQTVSKD >RHN41140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27375842:27376489:-1 gene:gene47430 transcript:rna47430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVNLLSRFLLFSLSLHCYVACLAANTKNITTDQHALLAFKSLITSDPYDMLSNNWSTASSVCNWVGVTCDERHGRVQSLILQNMSLRGTVSPNLGNLSFLVILDLKNNSFGGQLPTELCRLRRLKILHISYNEFEGGIPAALGDLSQLEYLYLGVNNFSGFIPQSIGNLHQLKELEIDRNKMSGPIPQTILNMSSLEHINLAVNYFSGTPSSNIM >RHN66196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9629160:9629489:1 gene:gene14133 transcript:rna14133 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFPFASLPFFLFCIEFLIFFLAYDNKHGVPIKTTVSATVLEETLYGSVTIRQLSLGQLVSKRVEKQCAHSYLVTITVTIT >RHN42884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41652330:41663105:-1 gene:gene49384 transcript:rna49384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LMBR1-like membrane protein MWVFYMISLPLTMGMVLLTLRYFAGPSVPLYVLFTVGYTWFVSLSIIILVPADIWVTISSQLDNGGISFFWSWSYWSTFLLTWAVVPLIQGFEDAGDFTVSERLKTSIHVNLVFYLIVGSIGFFGLILLIMMHRHWSGSILGLAMACSNTFGLVTGAFLLGFGLSEIPKNVWRNADWTTRQKVLSHKIAKMAVELDDAHQELSNAIVVAQATSNQMSRRDPLRPYMDVIDDVLTQMFREDPSFTPQGGRLGGSDMDYDTDEKSMATLRRHLRGATEEYYRYKSEYMTYVLEALEVEDATKNYERRRTTGWKYMSSIRPARTGKLGSLFDTLEFFWRCILRKQVEKGLAVVLGIMSVAILLAEATLLPSVDLSLFSILIKSVGTQEMLVQAFAFVPLMYMCICTYFSLFKIGRLMFYSLTPRQTSPVNLLMICSMIARYAPPVSYNFLNLIRLGSHKTTIFEQRMGNIDNAVPFFGDKFNKIFPLIMVIYTLLVASNFFDKVFDFLGGWRRYIFKTEAEDMDGFNPSGLIILQKERYWLEQGRKVGEQVVPLARNFNSIDVESNNNIMEQNDVEMKGTSTLINAEINGRLSKTLKEETRRYSSSSEAISRKYAGVRQQSGQASKLKAEERNLDSAKVSLLDKGNTHYGNTSGTYGLGSTWQTLKKGFTSFKADIGGAKGFLPTRQTQQDMISHVSSSESLDDIFLRLKQPSMDQAFYNDGSSR >RHN55103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15172065:15175134:1 gene:gene30231 transcript:rna30231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase, trichome birefringence-like family MKSTMKDDSISLSKTLLPYTLHLLLLIALLPIVLLYLYFYPLSLTKSQTQLHHATSSSPTHYTVNDKHKIDEHPCDYFNGKWIHDKKGPLYNGTTCGTIKQGQNCITNGRPDSNYLYYRWKPNECKLPRFEPNTFLQLSKNRHISFVGDSLARNQFESLLCMLSTVSKPKPVHHKGSHWWHFPSHNATLSVYWSPFLVQGDERSKSGPNFNTIHLDRVNEKLAKDMDGIDLIVLSFGNWFINVPSVYYENGLVLGCLNCSGFGLNYTDIGFYVPLRKALRTCLNGIIERKVAKRNGIGVIVKTFSPSHFDGDWDKAGTCSKIEPYVKGEKKIGKMESEIRRIEIEEVENAKKKSNAFGGIRFEVLDVTELALLRPDGHPGAYMNPFPFANGVPKYVQNDCVHWCLPGPIDTWNEIFLEMMKKWDWKGQPRSEE >RHN56138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28089330:28089629:-1 gene:gene31489 transcript:rna31489 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRSGVALAHDIGCRNVMFVIDSHVLFNMVKSGYTSFIRLLLLLKEILALLDQKDWTFGLVHYVTPPRKQVDVLICYISKGIDALLRLIKTCLCLVR >RHN74297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31618971:31624515:-1 gene:gene10335 transcript:rna10335 gene_biotype:protein_coding transcript_biotype:protein_coding MYNHGNHDPNFGQASVPPPALLPLPLSSGHQQALPPPPSPPLFQHFAPPPPHRVYSHGYGPPTTPNMNWWWTNMKVHHHQLVMINRGSQDVSW >RHN55692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21208963:21212579:-1 gene:gene30916 transcript:rna30916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thebaine 6-O-demethylase MSRPVEEKKKLWQTPEDIEGFGQLFVVSENQKLEWADLFFTTTLPSYARNPRLFPNIPQPFRDNLETYCLELKKVCITIITHMTKALKVEPKEMLEFFDDLTQATRMNYYPPCPQPENVIGLNPHSDADCLTILLQANDIEGLQIRKDGQWISVKPLAGAFVVNIGDMLEILTNGIYRSIEHRATVNSEKARISIAAFHRPQMSKVIGPTQKLVTPERPALFKTITVEDYYKAFFSRKLQGKSCIDLMRIQNENSTRKGSH >RHN39122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4255136:4255991:-1 gene:gene45118 transcript:rna45118 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVPIITKRVWSMIRVALFMLRKGISKGKLMMDLNMMVKRRGKLAGKAITNLMFHHHHGGSTSSRRNDTRLSTTREYEFSCSNTPNYKFALNNKRHNFFTCAHAPLTKEDDIVTVNAVKAVLESMVNNNEVIVEASPALPGFGRTPKARQLRVTDSPFPLHDTDADAEVDKAADAFIRRFYSQLRKQD >RHN46477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32102156:32106233:1 gene:gene40967 transcript:rna40967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA oxidase MFYWEKAEFPFHAIPKLGELGIAGGTIKGYGCPGLSVTGSAIATAEVARVDASCSTFILVHSSLAMLTIALCGSEAQKQKYLPSLAQLKTIACWALTEPDYGSDASALKTTATKVEGGWILEGQKRWIGNSTFADLLVIFARNTSTNQINGYIVKKDAPGLTVTKIENKIGLRIVQNGDIVMRKVFVPDEDRIEGVNSFQDTNKVLAVSRVMVAWQPIGISMGIYDMCHRYLKERKQFGAPLAAFQINQQKLVQMLSNVQAMILVGWRLCKLYESGKMTPGHASLGKSWITLRARETAALGRELLGGNGILADFLVAKAFCDLEPIYTYEGTYEINTLVTGREVTGFASFKPATQRSRM >RHN62989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47396708:47406304:-1 gene:gene25633 transcript:rna25633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-transporting ATPase MKLVFEFQLPKMEAISYSIPSTKFHSLHTKTTRIQSSNLAFPIRTSPISIKPLYSSKFLILHHHKLRCSANHTDHNHNHTHNHNHNCNHNHHHHSHDIDDVNLTGPQKAIISFAKATKWIDLANFLREHLYLCCASTALFVAAAICPHTLPKSLIKPVQNSFILVAFPLVGVSASLDALIEISGGKVNIHVLMAMAAFATIFMGNALEGGLLLAMFNLAHIAEEYFTSRSMVDVKELKENNPEFALVLDTKDNKLPNTFDLAYQRVPVHDITVGSYVLVGAGESVPVDCEVFHGGATITIEHLTGEVKPLEAKVGDRVPGGARNIDGRIILKVTKTWKESTLSKIVQLTEEAQLNRPKLQRWLDEFGERYSKVVVVLSIAIAVLGPLLFKWPFFSTPACRGSIYRALGLMVAASPCALAVAPLAYATAISSCAKKGILLKGGHVLDALASCHTIAFDKTGTLTTGGLVFKAIEPVYGHHIRNKESNISSCCVPTCEKEALAVAAAMEKGTTHPIGRAVVEHSEGKNLPSVSVENFEYFPGRGLTATVNSIESGAGGANLLKASLGSIDFITSFCQSEDESKKVKEAINASSYGSEFVHAALIINKKVTLIHLEDRPRPGVFDVIQELQDEAKFRVMMLTGDHEYSARRVASAVGIKEFHCNLKPEDKLRHVKDISRDMGGGLIMVGEGINDAPALAAATVGIVLAHRASATAIAVADVLLLRENISAVPFCIAKSRQTTSLIKQNVALALSSIFLASLPSVLGFLPLWITVLLHEGGTLLVCLNSIRGLNEPSWSWKHDILQLIGEVKSRLPSLRKNITGSSSITTANL >RHN52842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39424565:39428332:1 gene:gene37561 transcript:rna37561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S7e MFTSRKKISKDKGAEPTEFEESVGQALFDLENTNHELKSELKDLYINSAVQVDVSGNRKAVVIHVPYRLRKGFRKIHVRLVRELEKKFSGKDVILIATRRIVRPPKKGSAAQRPRSRTLTAVHEAMLEDVVLPAEIVGKRTRYRIDGSKIMKVFLDPKERNNTEYKLETFSAVYRKLSGKDVVFEYPVTEA >RHN52615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37115468:37115999:1 gene:gene37309 transcript:rna37309 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFFVEIISFQNLEQVGPVEGVMSWFKKCQRRKTLLIEDCCLLSKRRCEVEAATLFFFECPGFPQV >RHN78329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14181303:14182508:-1 gene:gene1871 transcript:rna1871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSGILGGDLEAEILVRLPAKSLMRFKCVQRSWNILFKNPKFVIRNMHIHMSNDDDDHHRFVIIKQYTKETCPKTHNHNDRIFDKPIESTCLETHLMLLSLPQHPTPTPNLIKSLFPKNIPIRIIKIYGHCNGILCLEYDYDYTLSLILWNPTTREVHFVPPHPASYIHPEYLIGFGAKHNTNDFKVVKLNVNREKRFVFSLSSLEIYNLRDKSWTIIHNPTLPPDQATMRATMRYYSKRPNKYNILVNGIYHWITGYNVKGFSIILCFDFSNNKFHTLTGPTTRFASEIGAENVTEINGSLAYVVQCYHPMIRMRIWVMDKLNGWTKLYNFVSLDSTYIHKHAICKGKKNGVQFLGGKPGQLLTLYDHHGNSLQQFQIVLHGILDAFLVHEYVKSIAPLSP >RHN40981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25332945:25333284:-1 gene:gene47240 transcript:rna47240 gene_biotype:protein_coding transcript_biotype:protein_coding MWMVHLSSKLCCSYIYQITILAAAEGEHKLPSINGSGNLKEALQKLGSIPSSKLLVRSVIVPSHFQMLLAVEVLWTPQDENDTLSERELLEDYPLLQPL >RHN76367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49709736:49710213:-1 gene:gene12680 transcript:rna12680 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYTLAASPVSMASLVVLWFLAIASNTIPSSKTCLVYKNCCISRCFY >RHN62499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43876141:43883740:-1 gene:gene25082 transcript:rna25082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate dehydrogenase, 3-dehydroquinate dehydratase MNAMLICVPIMGETIQKMIADIQKAKLNGADLVEIRLDSLKTFNPSQDLNTFMQQHHSLPFLFTYRPKWEGGMYDGDENQRLDALQLAMELEADYIDVELKVAHQFYDSIRGKTYNKTKVIVSSHNYQQTPSVEDLGNLVARIQATGADIVKIATTAVEITDVARMFQIMVHSQVPFIGLVMGDRGLISRILCAKFGGYLTFGTLESGVVSAPGQPTLKDLLHLYNFRQIGPNTKVFGIVGKPTIGFDGVFVFLLVDDLANFLRTYSSTDFVGFSVTIPHKEAALKCCDEVDPVAKSIGVVNCVVRRPTDGKLIGYNTDYVGAISAIEDGLRGKHNDSGTAVSPLVGKLFVVIGAGGAGKALAYGAKEKGARIVIANRTYDRARELADVIGGDALTLNDLDNYHPEDGMILANTTSIGMQPKVDETPISKHALKFYSLVFDAVYTPKMTRLLKEAEESGATIVTGLEMFIGQAYRQYEHYTGLPAPKELFRKIMENY >RHN52724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38130027:38131156:-1 gene:gene37425 transcript:rna37425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MVEKRIMHYRSSHKILLVGEGDFSFSLCLARTFGSAVNMTATSLDSRGFLAMQYGYASINLTELKDLGWTILHNVDVHNMAQDQRLKNNKFDRIIFNFPHAGFYFHEFHKSQIRLHRRLVRGFLQNARYMLSVGGEIHISHKTSQPYSEWRIKDLAENVGLKLIEEVDFQRSFYPGYYNKRGSGSKCNQSFHIGRSSTYKFCRVFYFEEEIFPLY >RHN52609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37068854:37071779:-1 gene:gene37303 transcript:rna37303 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDLKITLVFDVVEDYSKQSDDLALIRYFNYRLINFFNLHPEGTDIPEATLSELFSQRPYNLIFKDGPVNLSTELSSTSNEIELSLNNLHLSPSFKRHFSQKNVANETEQESDWLDNKESESTWQKECPPLSDC >RHN59861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14119209:14119559:1 gene:gene22026 transcript:rna22026 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEMRSEEEEVEDLGKNNHSKTQVPLFLFISPSPSYSFLSPSIPSHNLRRTPPSLSLCHLLPPFSPTPNPPSNPWTLLSDEDSDLSTTSDPIDELNFDQQQQQTQPPPTNPCLGH >RHN44767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8237910:8238383:-1 gene:gene38928 transcript:rna38928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MQRSKQIFCMARSKQIARKSPCAPRMRIKQIARKSPCAPRMRINPNAGLKIKPTTIGVKKQDTRRYQKSTKLLMKKLPFQRLVREIVQKIEIDHLRFQSGAVLALQMASEAHLVGLLEDAKLCAIHAKRITVIPEDIQLARTIRGECDQEGWLLIRS >RHN62822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46055949:46056403:-1 gene:gene25438 transcript:rna25438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fatty acid hydroxylase MIFVCIVQFWPIVKHVCPPSIAPALYGGMLLGYMIYDCTHYYLHHGQPKSHVPRNLKMYHLSHHYRVASLGFGVTSPLWDKVFGTVPSPFKINAKR >RHN45756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25301288:25301638:-1 gene:gene40149 transcript:rna40149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-C-methyl-D-erythritol 2,4-cyclodiphosphate synthase MAASSLTSSFYSFPFTTSPKSSHLIPFYCSFHLKHHTLHLKSPPSLFVSASRAATPTTLIKIDKSSISATPSEVLPFRVGHDFELHQLKHGYALMIEVVRVITKHYEERRDYCDSR >RHN76704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:565049:568751:1 gene:gene70 transcript:rna70 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MARFSEEEFQFFDAQDDIVSISDANGVADNHEVDSGPPLGDGLLRDFGYEWWTRSPGSVRERRSKFIKWMELSLDQKNLENSVDGSSYEREDEINRMKDGGSSVTKSNGFMEDFFSSRLSMSCLSSMNSSEFGALVENSACQDRNDGGEVGLDQLVVSDESVNAAEVSSTSYQHEFGEEFEETGVFEPWTKRVKKSWLRKLRSMTCMMDVQQGESDNRKHEDGVSFSDCRIQRVKVRQCKKQRKELSALYMGQDIQAHEGPIFTMKFSPDGQYLASAGEDGIVRLWQVVEDERHNEIDIPEVDTSCIYFTVNDLSELTPLFMDKDKITNVKTLKKTSDSACIIFPPKVFRLMEKPLHEFHGHGGEILDLSWSKNNYLLSSSVDKTVRLWQVGHDCCLKVFSHSNYVTCIQFNPVDDDYFISGSIDGKVRIWGIPDCHVVDWTDVKEIVTAVCYRPDGQVGIIGSMTGNCRFYNVSDNQLRMQSQLCLLGKKKSSGRGITGFQFLPQDFNKVMVTCDDSQVRIIEGLNVVEKFKGLNAGSLMSASFTSDGKHILSACEDSNVYLWNVSDNESRSTKAKKIKSCERFFSNASVAVPWGGLKSDNIENSKKLDVLNKRPPQAVCLDPPSSFSLSQDFYLNSIPKGSATYPEEKLPTSSPKSKKSSLHKSAYKFLKSSCKSTSNCHAWGLVIVTAGWDGRIKSFHNYGLPVLL >RHN69314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42533061:42537721:-1 gene:gene17764 transcript:rna17764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperonin Cpn60/TCP-1 family, groEL-like apical domain, groEL-like equatorial MALAFDEYGRPFIILREQESKNRLRGLDAQKANISAGKAVARILRTSLGPKGMDKMLQSPDGDVIISNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVLAGSLLEQAERLLERGIHPIRIAEGYEMASRIAVENLEKIANKFEFGETILEPLIQTCMTTLSSKIVNRCKRSLAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELVYGIIVDKDMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRKQEQKYFDDMVQQCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTAEKLGKAGIVREKAFGTTKDRMLYIEHCANSRAVTIFIRGGNKMIIEETKRSIHDALCVARNLIRNNSIVYGGGSAEIACSIAVEAAADRYPGVEQYAIRAFGDALESIPMALAENSGLQPIETLSSVKSQQITEKNPHFGIDCNDVGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVISPSEY >RHN53172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42516327:42520798:-1 gene:gene37946 transcript:rna37946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-N(4)-(N-acetyl-beta-glucosaminyl)asparagine amidase MALHIHNTIRLHFQFQSLLPLSSPSTSFFTALQPLSAKRVFSLPSPPRRKHLLPFKVCATVAETGQPKWWEKNASNMIDIHSTQEFLNALSQAEDRLVIVEFYGTWCASCRALFPKLCRTAEEHPEIIFLKVNFDENKPMCKSLNVKVLPYFHFYRGAEGQLESFSCSLAKFQKIKEAILKHNTARCSIGPPKGIGDLVLETSSAPKDRPADSV >RHN39565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8228520:8229441:1 gene:gene45600 transcript:rna45600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MVESSSKKPGYFYDCFLHIIWNKAVMLKVSIFTWRLLRNQNPTKDNLVRRGIIQPNFNVCVRCCGIEESINHLFLRCDVFGSIWLLVRQWLCIHSLTLLHLSDHVLHFSMLAQCSKKISYYINLV >RHN79591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30361094:30365151:-1 gene:gene3404 transcript:rna3404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monodehydroascorbate reductase MAEHSFKYIIVGGGVSAGYAAREFVNQGVKPGELAIISKEAVAPYERPALSKAYLFPESPARLPGFHTCVGSGGERLLPEWYSEKGVQLHLSTEIVKADLAAKSLTSAKGETFKYQTLVIATGSTVIRLTDFGVEGADAKNIFYLREVDDADKLYEAIKGKKNAKAVVVGGGYIGLELSAVLRLNNIDVTMVYPEPWCMPRLFTAEIAAFYEGYYANKGVTIIKGTVATGFTSNSDGEVKEVKLKDGRVLEADIVVVGVGGRPQISLFKGQVEEEKGGIKTDSFFKTNVSNVYAVGDVATFPLKLYNEVRRVEHVDHARKSAEQAAKAIIAAEAGKTVEEYDYLPYFYSRSFDLSWQFYGDNVGETVLFGDNNPASSKPNFGTYWIKDGKVVGAFLEGGTPDENKAIAKVARALPAVEDVNQLAKEGISFASKI >RHN57793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41762531:41762873:1 gene:gene33380 transcript:rna33380 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVYSFAYTFLDEVEDDDVTKEYSEGTNRCDVLIAACKLITNDVVPKDYLAPEIISHFVRHGTDVAEIVKLLITF >RHN67211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24955996:24956937:-1 gene:gene15371 transcript:rna15371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MTKININALVMNLLVFVALLIALFGGANAIIVCSIDTNKLDVCHDAITGKRPPKPTTKCCALIKKADLSCLCRYKSLLPALGINPTKALALPKKCGRKTPPGCRAN >RHN45765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25398074:25405272:1 gene:gene40161 transcript:rna40161 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPRGMASDTGIYNLHDFSGFCNQNSLSKELVLKRDKDELELDVIEEIMAACTYNKKKHLSNEEVGRVMVELLGKKKIDDSVLEELRKMLNDRPSWVNFVNCMLGFDSVGTFAKELSMFWTLYKALQHSHSVDWKHNGVITPTCAMYLIDRLALQASLWSQGDYLYATKSTVVEWILNENIEKYSNIPSNTSDHLSHGLQLINEFLYNFLDSYTIHACGQKSRMRWMDNTKGDSNVQDTETDLVVWLTAAKCLLHINRGFKLSGNIHWLLQNEGIKKKLPLKLFDTLSKGFVEKNIKEVELVAISDALKLLENPLVIVELKRSYKKTNNAPSSAILLNFETWQDRSNLWKELFPFEVDQQIKSEKRKGIEKPSLNNKMKGSEAGCSSEVEQIKREKMKGPEEASSKKTDFSWLDIITKFDLDNGKSERAKLDLMRY >RHN74501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34006242:34007414:1 gene:gene10586 transcript:rna10586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MFFSCRFCFFVNMGALSTYFNYNCYPYQPTQTTNNNSEITTFQHHEQEQNHYFNEASLLDESFIFQTYPCQDQQLLVDSTFSSQNDDFVSMNEIFPNEEDFIFNNYQLPCPKRQKLIYYEEEKREEPQQELLNSTNFFMDEFVTNPNPNPFASFEAEVEPPFAATSKIEKKVTERTISSQSIAARERRRKITEKTQELGKLVPGGPKMNTAEMLNAAANYVKFLQAQVGMLQLMETFSKEEKEPPPSEELHKLVVSPFVQEKLYSEEKCFVPKEFVTTLSNHDDVQSKPTILKGLKQLVGTEIEKKSDQE >RHN61922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39388488:39389970:-1 gene:gene24435 transcript:rna24435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S28e MDSQIKNAVVVKVIGRTGSRGQVTQVRVKFNDDSNRHIMRNVKGPVREGDTLTLLESEREARRLR >RHN39185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4823268:4825910:-1 gene:gene45188 transcript:rna45188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MEIFLHFVLVLLGALTILVLIQAQDQSGFISIDCGIPENSNYSDNITSINYISDAEFIRTGVRMSIAPAEKITHQQQLHFVRSFPSGVRNCYRINVNMDIQYLIRATFYYGNYDNLNDPPQFDLHFGPNVWDTVKFTNLSRTTIKEIIYTPSLDYIEPCLVNTGNGTPFISVIELRTLNNSNDHPTYSASPLSLVERFDIGSTTGKGCRYKDDVHDRMWSPYELSSDWRRLSTSLNSDDLDQNYYKLPAIVMSTAVTPVNASAPLQFHLHQGNVYEQYYIIMHFNEVEELAENETRSFNITVNGKFLYGPVIPVYRSVDTFFSRKPLTGAKRYKISLFRTEDSTLPPILNAFEFYKLNDISGFDSLQDDVDTITNIKNAYGVERNWQGDPCYPEAYMWEGLNCSMHYLIGGPRILSLDLSNNSLNGPLPDSLMQLQSLKVLNVGKNQLRGIVPTGLLERSKNGSLSLRYFLSSKLQICQFLLFVYHSFENVDPHPSCQYMHLIYIA >RHN39962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11911631:11912047:-1 gene:gene46046 transcript:rna46046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MASFNGFYDVVTIIIGFTLLFTTSLCSEISQPPSGGPLNDVASAPKPLSPYEKYLTNCASKLKPAECGKQIFSGVFVGNQIISDYCCHSLVNDVGKSCHNDLTRNALQWPAYAKNKTEILKRNDKVWNDCIAVRPILP >RHN70151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49139846:49141756:1 gene:gene18705 transcript:rna18705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNTLPLNFHFNSNHALLYLLLLLFILLSPQPSTAQIPNSLPPPPPPPDKITTLKFDKSMAIVLIILIGVFLILGFLSVYTRQCAEQRMRGRFDLSIPIAGSHRRHRGLETEIIETFPTFVYSTVKGLKIGRAALECAVCLNEFQDDETLRLIPNCSHVFHSQCVDAWLVNHSTCPVCRANLIPRPGDTSFASIQILDSSLSDSDDPTRISPIRGPGIAVTSRSPSPKVNYSVTPNRARPVRSSSTGFKIGNWVPFARSHSTGHSLVQEGENHERFTLRLPEEVRNRLVGSTPSRVTSCGVTFTREGSGRRGYRTRSVGSSGNARSYDWFNRPDRRGFSWTPPFMGRTGSSWSKNKSPGKMDDVGERSSDRLFEGNRG >RHN58601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3128164:3133932:-1 gene:gene20525 transcript:rna20525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyridoxal phosphate-dependent transferase MGSYGNLAKRAVETEMPIMVKMQELLRGAKNAVSLAQGVVYWQPPKQALDKVKELVYEPSISRYGNDEGIPELRAALVKKLRNENNLHKSSVMVTAGANQAFVNLVLTLCDAGDSVVMYAPYYFNSYMSFQMTGITNILVGPGNPETLYPDADWLEKVLSESKPVPKLVTVVNPGNPTGTYIPESLLKRIANLCEKAGSWLVVDNTYEYFMFDDLKHTCVEGNHVVNIFSFSKAYGMMGWRIGYIAYPSEVEGLGSQLLKVQDNIPICASIISQHLALYSLELGPEWVTERVKTLAKNRQIVMEALSSLGEGSIKGGEGAIYLWVKIPSGHGYDDFEVVRWLANRHGIAVIPGSACGAAGNLRISFGGLTENDCRAAAERLKKGLEELVAHGLVQD >RHN63810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54179318:54180578:-1 gene:gene26562 transcript:rna26562 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKTFNLIFFHVLYFYRVGEVNNNGVHTFLNKLSNAAPDTKIKVRVARMWDTLNITKKKEIISTDMVLIDEKVFINEIAILLFLRK >RHN70230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49907546:49909204:-1 gene:gene18790 transcript:rna18790 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVEVESGQVVVVRKRVEEVSERVVVESVLVEEVNELVVVENVLVEEGNEPVVVENVLVEEVNEPVVVENVLVEEEGNEPVVVENVLVEEVNELVVVESVLVAEEVSEQVVVENELVVVGSELVEEVSEREVVESALVVVVNVLVEEVSEPVEVVNELVVKENAQEVVRIELVAAVIVFFFWVLHYEDHPQLVVLLQV >RHN53186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42645117:42650967:-1 gene:gene37963 transcript:rna37963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation transporter MKNFGIKLQNLCIFFRNIFHGFLFIRKKFFHGSLPHALSFQFQFQFQFQFNNFFIQLCYFIILSFFGYLGLKFSKPKTSVKPKDFDLFYTSVSASTVSSMTSIEMEVFSNSQLILLTFLMLVGGEVFTSMLQLFLDRFNFTQKDCVKNECSSCVSSTSLSHKIHQMELGSVSMPQSENHDQIEKNNINDKDKLKYNSLRYLSYVVLCYLTVVHFFGFSLVTLYITYIPSAKNILQNKGINIETFSLFTIVSTFASCGYIPTNENMMVFKKNSGLLLLVLPHVLLGNALYAPCLRLIITFLKSITKREEFSYLLNNSKEMGYDHLLSTLHCWHLVGTVFGFNVIQFILFCCMEWSSKIMEGLNIYQKLVASLFQVTNVRHSGESVFDTYSISSAILVLFIVMMYLPPYTTFLPVRDQNDVKKDQNSLVDRIIFSQLSYLVIFIILICITERQSLKDDPLNFNVLTITLEVISAYGNVGLSTGYSCSRQLKPNLMCRDSWIGFSGRWSTEGKLILILVMFFGRLKKFNMNGGKAWHLS >RHN41993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34606941:34607900:-1 gene:gene48375 transcript:rna48375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative defensin, plant MALQFLSIRTIFLFLLVLVATEMGSIMVVEARKCLSQSHSFKGLCLSDQNCATVCLTEGFTDGRCRGFRQRCFCSKPCLKV >RHN80273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36726749:36727284:1 gene:gene4176 transcript:rna4176 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLFKAFGLSLVTKIGGFGLFLDPGGLPLGLRLPTSTAPSLGSSLLSSSSSSSS >RHN46791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34918713:34930286:-1 gene:gene41319 transcript:rna41319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MCQVLLVNESPGQSVIRGKSVESCKHKLFVCLQTGQRVSIWKCLIRNVSENVLYDDDVERLKSLTVEPRNNTRLRIVLLASGGHFAGCVFDGDTLVAHKTFHRYVARAKAGKKQSSKDAFGKTVHSAGDSLRLHMEHSLMKEVRELLTAWRPYFDASVCIFMHAPSSSRQLLFDREKPCFTNSQCVRNIAMIVRRPTLREAKRVYGQLTLVSYEADEKEIFPSNKQDVVPTLIDTPPASKVDMARLDINAKAEAFSSNKNDEHLASSKVKIESEFTINSTPLHQAAQSGDAVKVMELLEQGMDPCIKDDRGRTPYMLAPRKQIKKTFRRFMASNLDKWDWKAAQVFCPLTKEMEKYQAAMKAERRAKAKELKKIREAEEIKAQAEAAEKQAIAPTSIFWWWPGSPTSATGQSQPKSGAKLSKEEEIKRAQDAEREKRAAATERRMAALKFPANSTTTSANRLSDANECGLVGNTTSFSCCNSSLAGRMSPLNDAEFKTYLAKAREKRATVLQSGAAEAKLDVSGVTICKLKRKGGGNSEEGSPSLSDTEPIAAPPLNNDSAACDSKVVLPLPRKKIKKEKTCVPMEETEKASLWDRCFDGMGFVDSNLSFTKMCRR >RHN59278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9001531:9011699:-1 gene:gene21282 transcript:rna21282 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ARGAH MSTIARRGFHYMQRLNSANVSPALLEKAQNRVIDAALTFIRERAKFKGELMRSLGGVAATSSLLGVPLGHHSSFHEGSAFAPPRIREAIWCDSTNSTTEEGKNLRDPRVITNVGDVPIEEIRDCGVDDKRLANVISESVKLVMDEDPLRPLVLGGDHSISFPVVRAVSEKLGGAVDILHFDAHPDLYHDFEGNYYSHASPFARIMEGGYARRLVQVGIRSITNDVREQVKKYGVETHEMRTLSRDRPILENLKLGEGVKGVYVSIDVDSLDPSIAPGVSHHEPGGLLFRDILNILQNLQGDIVGGDVVEYNPQRDTYDGITALVAAKLVRELAAKMSK >RHN59448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10824191:10826343:1 gene:gene21478 transcript:rna21478 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRP3 MASSNFLMMLLFALFVIPKGFANYEKPPEYHPPVENPPFYKPPVEKPPVYKPPIEQPPIYKPPVENPPIYKPPVEKPPVYKPPFEKPPIYHPPVEIPPVYNPPVEHPPIYKPPVYKPPVEQPPVYKPPVEKPPIYKPPVEKPPVYQPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPIYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPIYKPPVEKPPVYKPPVEKPPAYKPPVEKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPIYKPPVEKPPVYKPPVEKPPVYKPPVENPPVYKPPFEKPPIYKPPIEYPPVYKPPFEKPPIYKPPVEKPPFYKPPFENPPFYIPPVEEPPVYKPPFEKPPIYTPPF >RHN51086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13876863:13878386:-1 gene:gene35461 transcript:rna35461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MTRIIIIEAPRFINIIREMKPTKFSSSSSLFIICLCLVSYDVVPALGLKLYENLCSETRKYSQDCLDLLKRDKRIVASENYHELSVYILDFAIKEAKSYEVYLVGKAKKFPNDQAVKICATQLITTTVAAFESSFSDLDKDLQTSIADAVSAGIGADKCDKAIQNEKPEFDPKPIHTRNNEMLLLSVISVLAINHLT >RHN66321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10861407:10865475:1 gene:gene14281 transcript:rna14281 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAAALVGGAFLSASVQTLMDKLTSPEFRDYFTRTELNESLMYEMETSLLTLEVVLDDAEEKQILKPRIKQWLDRLKDAIYDAEDLLNKISYNALRCKLEKKQAINSEMEKITDQFRNLLSTSNSNEEINSEMQKICKRLQTFVQQSTAIGLQHTVSGRVSHRLPSSSVVNESVMVGRKDDKETIMNMLLSQRETTNNNIGVVAILGMGGLGKTTLAQLVYNDKEVQQHFDMKAWACVSEDFDIMRVTKSLLESVTSRNWDINNLDILRVELKKISREKRFLFVLDDLWNDNYNDWGELVSPFVDGKPGSMVIITTRQQKVAEVACTFPIHELKLLSNEDCWSLLSKHALGSDEIQHNTNTALEETGRKIARKCGGLPIAAKTLGGLLRSKVDITEWTSILNSDIWNLSNDNILPALHLSYQYLPSHLKRCFAYCSIFPKDYPLERKTLVLLWMAEGFLDCSQGGKKLEELGDDCFAELLSRSLIQQLSDDARGEKFVMHDLVSDLATVVSGKSCCRLECGDITENVRHFSYNQEYYDIFMKFEKLHNFKCLRSFISFSSMTWNYSYLSFKVVNDLLPSQKRLRVLSLSRYKNIIKLPDSIGNLVQLRYLDISFTKIKSLPDTTCSLYNLQTLNLSRCDSLTELPIHIGNLVGLRHLDISGTNINELPVEIGGLENLQTLTLFLVGKRHIGLSIKELRKFPNLQGKLTIKNLDNVVDAREAHDANLKSKEKIEELELIWGKQSEESQKVKVVLDMLQPPINLKSLKICLYGGTSFPSWLGNSSFYNMVSLRITNCEYCMTLPPIGQLPSLKDLEICGMKRLETIGPEFYYVQGEEGSCSSFQPFQSLERIKFNSLPNWNEWLPYEGIKLSFPRLRAMELHNCPELREHLPSKLPCIEEIVIKGCSHLLETEPNTLHWLSSVKKINIDGLDGRTQLSLLESDSPCMMQEVVIRECVKLLAVPKLILRSTCLTHLKLSSLPSLTTFPSSGLPTSLQSLEIVNCENLSFLPPETWSNYTSLVSLELNRSCDSLTSFPLDGFPALQTLDIYKCRSLDSIYILERSSPRSSSLESLTIKSHDSIELFEVKLKMEMLTALERLFLTCAELSFSEGVCLPPKLQSIEISTQKTTPPVTEWGLQYLTALSYLTIQKGDDIFNTLMKESLLPISLLYLRVFDLSEMKSFDGNGLQHLSSLQYLCFFFCHQLETLPENCLPSSLKSLLLLGCEKLESLPEDSLPSSLKLLAIEFCPLLEERYKRKEH >RHN74193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30056802:30058642:-1 gene:gene10208 transcript:rna10208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein MIZU-KUSSEI 1-like, plant MNPVMARSSNMSSKRHFHWTNKVGTEDGEAHTSESFSTLIQKEDKKEVDNKVVDPEVSSVATASAHATRRKMRAVAISRLRSALTMFSKNRSNTPFGLGSRVVGTLFGYRRGHVHFAFQKDPTSQEAFLIELATPISGLVREMASGSVRIALECDKAKEAEKKTLRLLEEPQWRTYCNGKKCGFANRRECGQKEWDILKAVEPISMGAGVIPGTDNGSEQEGELMYMRAKFERIVGSRDSEAFYMMNPDSNGVPELSIYLLRV >RHN61004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32227169:32231919:-1 gene:gene23412 transcript:rna23412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSFAFRGSRGDIENGFSEYVPERTSMRVRPSRPVHSNCLVFLFAVIMIFVILYSPQMLYYFLRWIILSVFVMVTSLRAYAIYLHLQSQARAHAAAASGLLGHAELRVHVPPSIAFATGGRLQGLRLQLALLDRNFNEIDYDTLRVLAFGTPSMSEEEINALPIHKHKVTGPIKDGSTGSTSSSSEAAEIKQDCKGEEGSANDQEDGLTCAICLDQVQRGELVRSLPCLHQFHASCIDQWLRRKRTCPVCKFKMGAGWLSNNACESDDSDIV >RHN58683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3921216:3923666:-1 gene:gene20615 transcript:rna20615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactosylxylosylprotein 3-beta-galactosyltransferase MPVFMVNSSASGKLERVESTPRKKCFIVIGINTAFSSRKRRDSVRGTWMPQAEDRKKLEEEKGIIIRFVIGRSSTSSGVLDKAIEAEEKLHADILRLNHVEGYLELSAKTKTYFSTVLALWDAEFYVKVDDDVHVNLATLGSTLSMHRLKPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGEVGNKYFRHATGQLYAISQELATYISVNQDMLHKYANEDVSLGSWFIGLNVEHVNDGRMCCGTPPDCEWKALVGDICVASFDWRCSGICNPVERMKYVHQHCGEAKNALWTTTF >RHN66432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12219250:12219660:1 gene:gene14418 transcript:rna14418 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpE MTLNLCVLTPNRTVWDSEVKEIILSTNSGQIGVLKNHAPIATALDIGILKIRLNNNNRQWVTMALMGGFARIGNNEITILVNDAEKSIDIDPQEAQQTLKIAEANLNKAEGKRQKIEANLALRRARTRVEAINRIS >RHN41839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33483371:33487374:1 gene:gene48201 transcript:rna48201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CMGC-SRPK family MSCSSSSGSEDDDEGFDSYRKGGYHAVRVGDQFAGGRYIAQRKLGWGQFSTVWLAFDTTNDTYVALKIQKSAAQFVQAALHEIDVLSSIADGAPSNSKFVVQLIDHFKHTGPNGQHQCMVLEFLGDSLLRLVRYNRYKGLPMNKVREICQCILIGLDYLHREHGIIHTDLKLENVLLVSTIDPAKDPVRSGVSPILERPEGNINGAVTSLIEKKLKRRARRAVAKISGQRGSMGEAPNSDRNIDGIDVRCKVVDFGNACWADKPFAEEIQTRQYRAPEVILQAGYSFSVDMWSFACIAFELATGDMLFTPKVGQGFSEDEDHLALMMELLGKMPRKVATAGMKSKDFFDRHGDLKRIRRLKFWPLNKLLIERYKLSESDAHEFSEFLLPLLDFAPEKRPTAEQCLQHPWLMEKDSVPDEMRNESSVEKVDVGISNLKIKVGK >RHN56562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31894013:31894666:1 gene:gene31979 transcript:rna31979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLNQPNSENSSTNPLNQLAQNMSSDNYIMLAAIISLLLVIIFVLLLHLYAKWFLAQSNPRSPVNLSDIPISPNFHHHFNIQSPPFKGLNSTTLSTIPTFVSEEKTQELECVICLSYIEEGEIGRKLPKCGHAFHVECIDMWLNSHCNCPICRGLIVEEDSHGGNVVEIVIDTPSYSESGSVSVSETSSLSLFGFSFKRILSKVFLSSHVNELDNGSQ >RHN65328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1242738:1243610:-1 gene:gene13154 transcript:rna13154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MDTHQTTWSANIPRGSRGHMKSFWKVYMEFCNVELQPDDATLAVTLLACGAIGALEFGRKVHSFVRDGVNSFGESISVFNALVEMYAKCGAVEEAYETFSNMKRKNVVSWSVMILGLASHGSGEEALALFTRTREC >RHN65496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2701273:2702499:-1 gene:gene13339 transcript:rna13339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MENGNILISFRLERKEPSHMDSSREGRQTLKLLSSGHSLHSQPLPTLPFDLIPDILSRLQVKFLLQLRCVCKSWKSLISDPKFAKKHLRLSTMRSLHFVGYKQHSLGKYVLKSYPLHSILKNINTNFTQFEYFANNFDGDYLADSFRYFIDSCDGILCIGGSYKGLVILWNPSLRKFKELPLFEKPKVTHLRMSFGFGYDSFKENYKVIVVLHYLIRDSTGSDNWVHKSEVKVHTLGSNIWKNIHEFPFGVFPVARSGKFVSGTINWLASRQFHPCTRSIVSFDLAKESYQKISPPSYGGVDVCNMLTLGVLRDCLCLICGDDVWVIMKEYGKKESWNKLFTIPYMLYRGRYSIYTKVIYVFEDDQVLLKDLSDSALILYNSKNGTHKSINFIDIPEVCIESLIWPCS >RHN68980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40049816:40052874:-1 gene:gene17406 transcript:rna17406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-carotene isomerase MVVLSFQVVPQLIITPPQYAPRASGATIRCGIAEPSGEPAPLGQKTRYNDSIFEKVFMTLFARKMEPFAEPVIGNAKKKKEKKGLLDVWEYDYESFVDVSKRVMLRRSRLQQQQVVREVLLSMLPPGAPAQFRKLFPPTRWAAEFNAALTVPFFHWLVGPSEVIEVEINGVKQKSGVHIKKCRYLENSGCVGQCVNMCKIPTQDFFTNEFGLPLTMIPNFEDMSCDMVYGQTPPSFEDDPVSKQPCYADICPVANPNSSICPKLQS >RHN47562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40634599:40641682:1 gene:gene42178 transcript:rna42178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RanBP2-type MCGAVAPRFIFILRHNLRLLSPSFSSHFHHRTLFSSFTRSPSSSSLTPKLKLKASHHHQQQQHIHTQPSQSPLTGTHHPWPEFSRFLSHISSAGYTSPSDAFSPTVELSQAEVSACLSFARDRPNLLRLLSIRDVAVVVQHGTPFMFSDSQDSVSKMKSFLSNGDSTALDSDKANMVDLMKFMLSYASSCLVSSEMNNLYNRNLVESSVRNLFGELFKLSYSTPGPNSFDSVQNQMPGGRFEHTMPPGQNIEMKRGDWICPRCNFMNFARNMKCLECEEARPKRQLTGGEWECPQCDFHNYGRNVACLRCDCKRPGQISLGSINTTSHPGYGNVNHSNTSDIDARLVANEEKARRWFNKVSQLDSNSDINSVIDDEDFPEIMPLRKGVNRFVVSTRKTPLERRLTNAQYKRSLGNNDAPEVKDYKTGESAKSRDTLDDILGRSTGPPRSDYKNMGAEQSFSGERQPSIASNTSHFQDVKGNNTNTLSPFPSYASSGDTDSTQLSTNSSSENVIKDKEREQAEKSDRWFRKIAELNDVPDITSAISDDDFPEIMPMRKGENRFVFSKKKDRSLTTPAYKRRLAMEQSGNTNFVPLVPFPPDYFAKKEKPQADGTDSTDRSNVESSSVSEGTEMSGDATARPEQSPGPSSDQISSKNNRIGSTYAASSSGNSSQDFNQDHVPNLTESSSTDSAAENQSVGTEWSGKSLEGSAVREPDPLDMSEEAKAERWFRRVAQIKDISELSQIPDEDFPSIMPMRKGVNRFVVSKRKTPLERRLTSQQYRRNLPAVSSDPVKRENEGS >RHN50491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7570783:7574261:-1 gene:gene34774 transcript:rna34774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MFEKLFKPIINVHPADIIVLEGILVLHDSRVRNLLNMKIFVDEDSDVRLTRRIQRLAIERGRNIQNVLDQYCRFVKPSFEDFVLPTKKYADIIIPGGDNDVAIDLIIQNIRSKLGQHDMCKIYPNIFVIFSTFQIKGMHTLIRDVGTSKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVTTPTGSVYSGVVFCSSLCGVSIIRSGESMENALRACCKGIKIGKILILGDGSNGRQLIYEKLPSDIANRHVLLLDPVLATGNSATKAISLLLKKGVQESNIIFLNLIAAPQGINAICEKFPMLKLVTSEIDATLNENSRVIPGMGEFSDRYFATDD >RHN55374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17749953:17752989:-1 gene:gene30545 transcript:rna30545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L34Ae MVQRLTYRRRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPTEYKRSRLPRNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKAKEKVASKA >RHN81556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46982877:46983632:-1 gene:gene5621 transcript:rna5621 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSDSTTTTLSPLESLSPSDLIHETILPSVIVELSAGMKISRILARTMMRFVVRYREVGVRRTTVEEERKDV >RHN44702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7476382:7479890:-1 gene:gene38852 transcript:rna38852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MMAEEDRMSGLPDELLCQILSFLPSEEIPSTSLLSKRWRRVWLGMPNADRISILPDELLCRILSLLPAKQIMVTSLLSRRWRSLRPRMTEINIDDTSYIHDRDAYDRYYHVIALFLFEMKIHHPIMKTVTILSASPFFNPLPLWLNCLKVQHLDVTSSATLCLCVPYKVLTSTALVVLKLNALTIDYVHRSSTNLPSLKILHLTQVHFLKLKFLIKILSMSPLLEDLLLKDLQVTDNTLAQDDAAALKPFPKLLRADISDSCISPLLLPLKLFYNVHFLRSQLQTLEEQQDTQFLSLTHLDLSFDHGYYWISLIKFICACPSLQTLTIRKIGGGYGLLSNDDHNNWPHPQFVPQCISSHLQMFSFINYGGNLSELQFTKYVVQNATLLRNVTIYRNTSSNPPKDLQIIKELHYCQKEKKEDSVTHQLHFEWI >RHN49261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53624775:53629687:-1 gene:gene44074 transcript:rna44074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MSDSSQFQSQHDNSPTGAPVSNPNPRISLNQTSEDFRVRVSDDDTSTVDTEKFSGSDRKSLLMEFDEYVASERNTEPETETDLGYGFEVGDLVWGKVKSHPWWPGHIYNQAFASPSVRRARREGHVLVAFFGDSSYGWFEPDELIPFEANFAEKSQQTYSRTFVKAVEEAVDEASRRRGLGLACKCRNPNNFRATKVQGYYSVDVNDYEPDGFYSENQIKKARDSFNPIETLDFVRDLAFAPLDGEHGSIDFVQNKATVYAYRKAVFEQYDETYAQAFGVQRSRPSRPQNVPLNQPARQPPKAPLSGPLVIAETLGGGKSATKSVKFKENSKKDRYLFKRRDDPSDSSQLTYKEEIPDAAERYLFQNRAPPVPVMPRSLENHADSGFVSHDGATSTLDAKEASIGLAQAASSGPTPEATNLDAKPHLEKGKIAYSEETTHSFEQDNISSRSDLSGELPLQSTVDETSQSSHLESKSNENVKHDRTAKQLDPCEDIKQSEQELLTVADGGKDTHQVKGEISLPVEAKHHKISVEKKIKGHKRPAADLDSSVIEERKKKKKKNLNLQRTSDQPEKHSAPGKSAHLSGNLPAKPVLTSLPPREGIPSEQMQVDFDAHNLLPMDTLGDVNLEVPQLLGDLQALALNPFHGIERKIPVGVRQFFLRFRSLVYQKSLASSPPTENEAPEVRVTKSTADVKISDNPNDHVRASPLVKPAKHVRPNDPAKAGRKRGPSDRQEEIAAKRLKKIKDIKALAADKTAANQKTSEARREDKAASSQKTFEARREDKAASSQKTSESRREDGKEPVSQVPSKFVKADSARKMDRPSKTVQPTTLVIKFPPQTSLPSVAELKARFARFGPMDQSGFRIFWKSSTCRVVFLYKSDAQAAYKFSVGNPSLFGSTGVTCLLREIGDSASEATKVRGDDGINETPRVKDPAVAQKQTSVSSQKPLLPQPTIQLKSILKKSTGDESGQGTGNGSSSKGNSRVKFMLVGEESNRGEPLMVGNKNNNANLSDAGAPSVAMDFISKNIQKVTTTTSQPPLLPTPPQFLKTPQHNLRNSELATTSRNNPNFNSTTTASSATVTSVDISHQMITLLTRCSDVVTDLTGLLGYVPYHPL >RHN46706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34202643:34205336:-1 gene:gene41226 transcript:rna41226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannan endo-1,4-beta-mannosidase MKKIMGICIIFLFLFLILSSNAFSRVDEEAQMEGQDHVHKPITNYGSATMWDMEEDEWQMVGKKGNQFVVNSQPFYINGFNAYWLMMFAADDSTRRKVTEVFKQATSLGMTVCRTWAFTDGQRQALQKSPSVYDKEVFKALDFVVSEAKKYKIRLILSLANNWESYGGKSQYVKWGKDAGLNLTSDDDFYTHSTLRSYYKSHVKTVLNRVNTFTNITYKEDPTIFAWELMNEPRCNSDPTGDKLQDWIQEMAFHVKKIDPKHLVEIGLEGFYGPSTPQRLQFNPNTYAQQVGTDFIRNHQVLGVDFASVHMYADSWVSQQIADTHIPFVKSWMDAHIEDAEKYLGMPVIFSEFGVSSKDPGYNSTYRDTLISTVYSSILNSTKKGGSGAGSLLWQVFSEGMDNMDDGYAIVFSKSPSTSSIVTLQSTRLALFNSLCSTRCNWSCKKKKMLEKILYHDEL >RHN59790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13202607:13206542:-1 gene:gene21942 transcript:rna21942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEIFKFVYSVILFVSLYLFVIYAEKECDTDADCRKKFAGANQHLLWCNNGYCECHTH >RHN39905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11597205:11602123:-1 gene:gene45989 transcript:rna45989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bestrophin/UPF0187 MYHPPNNQIKLTNLTSQFTPKCHSHLLQQLILQPYSKSYKHTPLKCSSTPPPTPIKTIITLLRTIPDWADQNQERGMQKNRTLYNHTNWVTHRSSLRHVRHFFSSFSSRVILSLVPPVLFFTSFAAVIAAYNSAVWFHYLPEFFPVLRASSLPYQLTAPALALLLVFRTEASYSRFVEGKKAWTAVIAAASDFARLVMATVDVSGKGDFQVKKELLNYIIAFPIVLKCHVLYGSDVERDLQHLLEVDDIALIMKSNHRPRCTIEFISQSIRLLKLEDSRRSILESKITCFHEGIGLCEQLLGIPIPLSYTRLTSRFLVLWHLTLPIILWDDCHWIVVPATFISAASLFCIEEVGVLIEDPFPMLALNDLCQKAQKDIQEAIATENVIHAHLVAKRNYHSKEHSPNGWPSS >RHN73417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16216390:16217798:1 gene:gene9229 transcript:rna9229 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVPIRKGWIPALKNELLAFMSSLKSYLDFLTSKISEVSRVSINTLVTIFHKFQSSAIPFMKLIQALKIQLLNFISNLKPHIDFLTTKISEVSLMSINSLVTIVENFQRSATPFIKESYNSAIQMSTSLLFGIVKWLNDFFHFCLEAARQYWTR >RHN82539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54621945:54623085:-1 gene:gene6710 transcript:rna6710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MDATTPKYSKGRYEEIVKILSPFLKRVGYDNLDEIPFIPISGFEGDNIIERSTNLDWYKGPTLIEALDQIKEPNRPSHMPLRLPLQVVYKIGEIGIVPVGRIETGVLKRGMVDLRRGYIASNSNDDPTTEAVKFTANVIITNHPPVLHCHTSNIAVKFAKLVTKNVRHYFVEIEKEPKFLKNGDAGVIEMIPTKPMLVETFSKFPSLGRFAVRDMRQTVAVGVIMDVTKKKKKEDHNAGGKISKKALKKDLYIGVEEGRY >RHN45053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11204251:11204721:1 gene:gene39249 transcript:rna39249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MADSYPITFKFFILLVLILAFAASNIEPKPFINCDNYPLHVTIINDIYPDPGSVPTEFTLHCKSKDDDLGFHSISYSQQYEFTFRPSYVFWINTLFFCSFTWQGSPYRHYIDIYSQKRDGCDDLQWKMNRTGGSKWGKWYPWKSIEIMDANSTSKL >RHN63333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50467330:50469597:1 gene:gene26020 transcript:rna26020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dTDP-4-dehydrorhamnose reductase, dTDP-4-dehydrorhamnose 3,5-epimerase MGFPAPSSDTPLKFLIYGRTGWIGGLLGKLCTAQGIQYEYGSGRLENRSSLESDIAEIKPTHVFNAAGVTGRPNVDWCETHKVETIRANVVGTLTLADVCRERGLIVINFATGCIFEYDVNHVLGSGIGFKEEDTPNFIGSFYSKTKAMVEDLLRNYDNVCTLRVRMPISSDLSNPRNFITKITRYEKVVDIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILQMYKDYIDSSFTWKNFTLEEQAKVIVAPRSNNELDAGKLKKEFPELLSIKESLIENVFKPNQKVKA >RHN77687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8654775:8657007:-1 gene:gene1168 transcript:rna1168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MGAFEELAEGCIAAVLCRTTPVDVGRLSLVSKTFRSAADSDEIWDRFLRSDPLLIADIISQFPSLANAPTKRAFYLALSNHPILTRDGRMSVQLDRKSGKKCYMLAARSLSFGWAGVAWEDFEQNRNWTSMPDSRFPEVVEILNVCRLKIRGKINTRALSPNTTYATYLVFKMIDGFGFGVKNYPVELSFGVAGGHTRTKIVILVDPNVKCRRINKILGSQDNKAFRLQQPRMRSDGWLETEMGEFFNSGLEDEEVQMSITGIKDGYTWKRGFFVEGIEVRPKEDNNQYKLNYGGVLCLGW >RHN64235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57491547:57492384:-1 gene:gene27027 transcript:rna27027 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFCGKFQISLSLINFHSSTSNFKNRELPNVLRAKGSPPHFCTISFPISTNSGYFFSSSSLKAHLTNTDKESSRERPSKMYDVLVPIILAIEWLRVVTKTLLSSAPTCIKYFNSTHLTRSSFHTSSKTSKNFLPAITFLRRFCSCSKSRFVKLSW >RHN56892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34514068:34519010:-1 gene:gene32356 transcript:rna32356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MPRYKDESPAVRVYTVCDESRYLIVRNVPALGCGNDLMQLFSTYGEVEECKPMDAEDCEQFTDVYWIKFHLFSNARFAKRKLDEFVFLGNRLQVSYAPHFESLSDTKDKLEGRRKEVLARLNPRRPKDTIASSSRPLITSNTSCQSEHLDPNQSSQEFEVRSNNSNLPKRTVSSNEDYFSSHSMNQTVRVVRDKLDKIQSSGEHLQAGSTSKKARVDNRRRI >RHN73887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21170617:21182477:1 gene:gene9776 transcript:rna9776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MEDIVKFVYVIIIFLSIFIIATNMEAKTICIGDSDCRNERCMPGIKPVCSEGWCDCIGFIP >RHN54577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10592939:10598833:1 gene:gene29642 transcript:rna29642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SHQ1 protein MADTIVTSDQSSTSSLNPARIICHVCQKQFSQYTCPRCNSRYCSLPCYKSHSLRCTESFMKENIVQELQQMQPNEQTKQKMLDILKRFHSEEEMDGMDEEDSFEDSALSEETMETIMSGQEISFDDLSLEEKKQFQRAIACGELSKMIKPWDPWWSKPSAREIRLSKEGTQLVQTLSEQELENETESNESSEIPLGPEVPLPPLSSLSSKEPSPLLTVHLVDILYSYCFTLHLYNGDWRSDPIGSVMVVLSVSSVLGQGGQPETVLGALTHCLEQVCSPAYRHMGGLPFGLGVMDDVISLLSLGSPAMVCALCDMHRLIQEGEKEVKSEKPRKSRRDEISSIKQAERKIYFIMCWVHEQPEEAWSSLAAIVTAQKTSAMEFQGSNKPEKLNNRAESRGRCLIEEIE >RHN49935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2439055:2441793:-1 gene:gene34172 transcript:rna34172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAPGSISDPPFSSKKKRTNGSAKLKQIKLDVRREQWLSRVKKGCDVDSTGRVDSYPSAKHIASEENQPSYKEIRRKGREDGTCIQGNDSGSIINNSIQSSYNHDESRNGFNGSRSSSTSAFSSGNLTEEDGCLDDWEAVADALNANSNEHSMVSESPIEHTINYVDSEAAKKDFSKKEFSSAVSESHMSCRAWKPDDALRPRCLPDLSKPHNSQLNSNWHGSHKIVPWSWRTIVSQPSQCPICYEDLDVTDSEFLPCSCGFHLCLFCHKKIVEADGRCPGCRKLYDHVDGTVGFNIETNDFYMTQSCHMSTGC >RHN77776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9334003:9335198:-1 gene:gene1264 transcript:rna1264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQNLVFFYALTIFLSQLLVAASSLCISDEDCPEALPLMFVKCVCGACEYYTQIQDEK >RHN73338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15489522:15490287:-1 gene:gene9139 transcript:rna9139 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLDDVAYLLDILITSRLIEQEDQTYEYDIFLLENELGFTTQEAMKEVKDQRGLYVSYTHLKRCYESMLNRCNQLEEPASDEEEEEQSVVRTTCIKDLDRLGDWSWGGMTLSFLYELFCLTSDSVVKATGGYMTLLVDEF >RHN71114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56547206:56548596:-1 gene:gene19758 transcript:rna19758 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPLKSPPLQLVSIVGIVMFLLFVPSYINFKQTVHKANISFHFFLLILPLLLIFIAYFISKCGPRFVIPVDFFGRRLIQSRAQTEGGGSSPWGVAALVVLLLVLASKISSFRSMWSPLIWRPH >RHN75279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40863095:40866551:-1 gene:gene11455 transcript:rna11455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MDHSSNKIRKKCFVDFVDRVLIDLNSAQIGSFSLSMLEKYNSSYIEKWISLVINLRVKNLCVYLQEKIFASFDALFKCQSLAELVLNGCAFRLPSIVCLSSLTILKLSRINIFCDYSKKFKTLALNFPALRHYETLDCTFSHVKSVNLQVPLEVVSIRYSRFYHTLHAEIKFYTMRPAKFCYSGYMSDTILLEAHSVGFADIALYDDHENSLKKIGIFVTKLLCINPETLKLQMHSCSSQPVMFAGMSHSFADIPPFGMLRHLELNSVGCEYLRGILLNSPCLKTLILQEICDDGMMLSSAAIVPHCLLSTLKVLKFEKFGRYKHGLSIAKFFIENGQVLERISIRCDRMFQEEILSFKKSSCQLL >RHN68773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38413546:38418981:-1 gene:gene17167 transcript:rna17167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bicarbonate transporter MEETFVPFRGIKNDFKARIVCYRQDWTSGFCAGARILAPTTYIFFASAIPVISFGEQLERNTDGTLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVLMYTFMYNFAKDREDLGHKLFLPWTGWVCVWTALLLFLLAILGACSIINRFTRLTGELFGLLIAMLFMQQAIKGLVEEFGVPKTQTEGTNQIALQSSWLFGNGMFALVLSFGLLFTGLGSRKARSWRYGTGWLRGFIADYGVPLMILVWTAVSYIPVNEVPRGVPRRLFSPNPWSPGAYSNWTVVKEMLNVPLLYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNIRKPSSYHYDLLLLGFLTLLCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRHKLVSTARTSMEKNMNLSQLYESMKEAYDVMQTPLVPQIPPTLGLKELKESTVALASSHGYIDAPVDEVVFDVNKDVDDLLPVEVKEQRLSNLLQALMVAVCVAAMPVLKKIPTSVLWGYFAFMAIESLPGNQFWERILYLFTAPSRRYKLLEEHHATFVETVPLKAIALFTLFQTAYLLLCFGITWIPIAGVLFPLLIMLLVPVRQYFLPHFFKGAHLQELDAAAYEEAPAIAFNISFDDLSNHGTTMNINGGEILDEIITRSRGEIRHTHSPKASSSTATPISGIRSANSLQGTIPSPRVAALRGENSLGSNGKELKSKQTP >RHN55754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22632952:22643792:1 gene:gene30996 transcript:rna30996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MEDANDSLFDSMLVDSSSKLIQNGFARSQSSEECVMFVNVGGEATNEGADGVKFLSDTFFDGGDVFLTNEAIVEGGDYPSIYQSARVGSFSYRIDNLPPGQYLVDLHFVEIINVNGPKGMRVFNVYIQEEKVLSELDIYAAVGVNKPLQLIDCRATVKDDGVILIRFESLNGRPIVSGICIRRASKESVPPVPSDFIECNYCAAQIEIPSSQIKVMQTKSTAKYENKIKELTMQCELKAKECYEAWTSLTEMSREVEKVQMELDQVTFKSFTTELTAEKQAENLRSISNRYELDKKKWAEAIISLQEKVQLMKSEQSRLSFKAHECVDSIPELNKMVYAVQELVKQCEDLKVKYYEEMTQRKKLFNEVQEAKGNIRVFCRCRPLNKVEMSSGCTTVVDFDAAKDGCLGILATGSSKKSFRFDRVYTPKDDQVDVFADASSMVISVLDGYNVCIFAYGQTGTGKTFTMEGTEQNRGVNYRTLEHLFRVSKERSETFSYDISVSVLEVYNEQIRDLLATGPASKRLEIKQNYEGHHHVPGVVEAKVDNISDVWTVLQAGSNARAIGSNNVNEHSSRSHCMLCIMVKTKNLMNGECTKSKLWLVDLSGSERLAKTDVQGERLKEAQNINRSLSALGDVISALAAKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSDQDVGETLSSLNFATRVRGVELDPVKKQIDTGELQKTKAMLDKARSECRSKEESLRKLEESLQNIESKAKGKDNIHKNLQEKIKELEGQIKLKTSMQNQSEKQVSQLCERLKGKEETCCTLQHKVKELERKIKEQLQTETANFQQKVWDLEKKLKDQLQGSESESSFLKDKIKELERKLKEQEQNSESFRMKELEEKHKEREQQWQQTHCYVEAVKEAATPDIGMNCCFNESRVTYYLEQEPHPSSSKSLNHLFQSMFHYLQLVSLCENSVVTFLSIHGGCFQLVVVNIAIVI >RHN58756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4533172:4538067:1 gene:gene20698 transcript:rna20698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-X family MHVCSFSCSIYLTCFHRNNENEASFYVLPYSSQTHLDSCLYSRPSCSLSSNFTNSYINRYIHVGDEHQNMDSHMKKVMAFVAASTGLGAIILCLLSFWIFYIKYSSKSKKKNLQNSDGEKGLRLVPFLSKFSSVRLVGKKGCVPIIDYKQLEKATGNFKESNIIGEGGFGCVYKARLDDNLDVAIKKLNCECQYAEREFEVILANNLFIYFVDLIFFFNEELEKTVLNSWMNFQNEVDLLSKIQHPNVISLLGCSSNEDSRFIVYELMQNGSLETQLHGPSHGSALTWHMRMKIALDTARGLKYLHEHCYPAVIHRDLKSSNILLDANFNAKLSDFGLAITDGSQNKNNIKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVVLLELLLGRKPVEKLTPSQCQSIVTWAMPQLTDRSKLPNIVDNVIKNTMDPKHLYQVAAVAVLCVQPEPCYRPLIADVLHSLIPLVPVELGGTLRVSQVTQQPKNSS >RHN47505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40200556:40201210:-1 gene:gene42116 transcript:rna42116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MALNRVAILAISMVLLSSVAMAADHIVGDDKGWTVDFNYTQWTQDKVFRVGDNLVFNYDNTKHNIFKVNGTLFKDCTFPPKNEALSTGKDIIQLKTEGRKWYVCGVADHCSAHQMKFVITVLAEGAPAPSPPPSSNAHSIVSSMFGVVMVAIVAMATIFA >RHN41542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30919158:30923363:1 gene:gene47876 transcript:rna47876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 23S rRNA (guanosine(2251)-2'-O)-methyltransferase MQCYINTHCTILFYPQTHGTRCFHPTRLSVTPPQQEQRISHDVDEKSKLITSSSNPFVKHCLKLRNNSSYRRSHGSVLVVGTTPIREIYRFQESSQNKNVTMDCLILPDKAEIPNGLDESADSIVHVSSTVMRKISGLQSTDSIDAIALMKIPASFSNLDDDQKNCQKWFPSAHRILVLDGIQDPGNLGTLLRSAVAFRWDGVFLLPGCCDPFNEKALRASRGASFQLPIVSGSWNHLESLKEESQMKLLAGHPQHEGLIKPVFSLSQSFCDSILDTPLCLVLGSEGSGLSEKSLQACELVSIAMTGEYESLNVSVAGGIFLYMLQPKNK >RHN40616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18203553:18204737:1 gene:gene46786 transcript:rna46786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MWYDGEYKCINAWRKVRQLSKPEKDLRWFWDPVEASGLHDLLCTGYSSVTHAMVRALCERWHTETSSFHLPVGEMTINLDDVYNLLYLPIQGRMLDHDAVVDRDHEITLMTRLLAMSDRLEHPQLREEMLERDMRRSWCVRIFLLYLVGSALFTNKTNRHINLIYLDCMADLHAIGKWSWGGMTLAYLYGYLDDYVRLNNKMMADCVTLLMKWILEHLLGPYSRNKNLKWKPDRPCAGRWLTSRGHKVVHHYRLLLDRLEVDDVRWSTYDNHRDTSFSAYCHLLGMTYVREGEGVPSFT >RHN78170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12437768:12440691:1 gene:gene1695 transcript:rna1695 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:hpl2 MASSKQEQSSTNKELPLKQIPGSYGLPFIGPIFDRHDYFYNQGRDKFFSTRIQKYNSTIFRTNMPPGPFISSNPRVIALLDAASFPILFDNKKVEKLNVLDGTFMPSTKFTGGYRVCAYLDTTEPNHALIKGFYLNTLLLRKDTFIPLFKTILSDGFNEIEDGLSSKSGKADFNSMVSVASFNFMFKLFCDDKNPSETILGDQGPKMFDTWLLFQLAPLATLGPPKIFNYLEDILLRTVPFPACLTRSSYKKLYEAFSTSATTMLNEAEKAGLKRSEALHNIIFTAGFNAYGGLKNQFPILFKWLGSSGEELHKELANEIRTVVKQEGGVTIQSLEKMPLVKSVVYEAMRIEPAVPYQYAKAREDLIVKSHDAAFEIKKGEMIFGYQPFATKDPRVFDDPEVFVAKRFVGEGEKLLKYVLWSNGKETEEPSVGNKQCPGKNLVVLLCRLLLVEFFLRYDTFENETKNNAFGAAVSITSLTKASSV >RHN66020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7712401:7720104:1 gene:gene13930 transcript:rna13930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MLFEIKSNPADHKATGEVMVPNYNAEEFDTSSLQRKRQSLVRDICGLSISNLCHYLQHTLSATVIQPLLSKHNILFEAATQLIFRFLSSPSKFLLTTVLDLDAAAIHSVIMRLYLALFFFLASLQVTLSKDIEHGLLLVNGAKAKAETGDNFICATIDWWPPECNFGVCSWGHSSIVNLDLSRPLLAKAIQALKPLRIRLGGSLQDQVVYNVGNLKSTGHPFQKMKNGLFGFSKGSLHMQRWDELNHFFNKTGAIVMFGLNALIGRHKINNTNNVWEGAWDPTNAYDFIKYTVSKGYKIDAWEFGNELSGTGVRASVDVAQYGKDVINLKRILDELYKNSRFKPLLVAPDGSYDKEWYNKLLQLSGPGVINVLTHHLYNIGRAIDTHLLERILDPDKLSEAETTFRNLSETIQKHGPWASAWVGESGGISWSGGRDVSDTFVNSFWYLDQLGMASTYNTKVYCRQSLIGGNYGLLNTSTLAPNPDYYSALLWHRLMGKKVLGVSTDISLPFLRTYTHCSKERAGVTLLLINLSNQTQFILNVKNHVTVKTNEVAKFREEYHLTPKDNNLRSQTMVLNGIPLKLTNKGDIPTMDPVHNNVKSSIHIAPLSIAFIVYLNFDAPACARH >RHN40602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18045287:18047032:-1 gene:gene46768 transcript:rna46768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MGDPTPLLSQPNNTSDTKTSPNKHLHSLGFTIEKCIGELNWSQFFQALLISFAWIFDAQQTFITVFTDEVPSWHCTGENIDILNGCYSTPSFNDVCKFPKGSWAWDGPPQASIISEWAMQCESSIITGLPASMFFMGCLVGGLFLSTLADSSSLGRKNMLFFSTLLMALSSFFTTFSPNIWIFSLLKFLSGFGRATIGTSSLVLASELVGKRWRGKISVIGFFCFTIGFLSLPAMAYANKTSSWRNLYLWTSIPTIFYCMLVKIFVQESPRWLLLKGKEEEAIATLKYITSITQSNLNLSINNMSSHEEENNLNVDLFYALKLLLQKKWSSRRLLLIMALGFGIGVVYYGMPLGLGNLSFNLYLSVTFNALSEIPSSLVTFFFIDKFKRRIALFVFCIISGVLSIMSTIEGELWSKMQIGFELISFFSACTSFSIYLIYTTELFPTCVRNSALSMARLAVVFGGAFSPLLVGAGRGNKFLCYGVFGLAIGFSGVFGVFLPETKGRVLCDTMDEEENKDKISCEILV >RHN44669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7365676:7365921:1 gene:gene38814 transcript:rna38814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaC MSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLGPETTRSMGLAY >RHN77806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9530692:9532729:-1 gene:gene1299 transcript:rna1299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MSLTILSLLLMLLMNLQNGKSDASIRCPFNLNCTNNNMKIIEIPTYPVPIKFNISDINYELRTIKLSDPGNCLPQLLLDRNFSSNFPFKDYHSYEFPRQFDVSFFNCSSVVQLIRSSNLMQHHGTQDIISCPIYVVDSDQETIVESDVLVYCTKLFDRVSPFSAYSIQDNRLLLTWSGTNFDIGCLKCKHKSKKTTLVILFSAGGIIGSTLLLLVLGTIVRIYRYFKMKGEDHVRIENFLKDYKALKPTRFSYADIKRITNKFKDKIGEGAHGAVYKGKLSSQILVAVKMLNNTEGDGKEFINEVGTMGKIHHLNVVRLLGFCADGFYRGLVYDLFPSGSLQKFLSPPNNKDAFLGWDTLQQIALGIANGIEYLHQGCDQRILHFDINPHNVLLDENFTPKITDFGLAKMCSKNRSTVSMTAAKGTLGYMAPEVFSRNFGNVSYKSDIYSYGMLLLEMVAGRKNTNTTTGEENLQVLYPDWIHDLLEGGDIQIPIDEEGDFRIPKKMAIVGLRCIQWQPMHRPSMKTVIQMLQGDGDKLKVPSNPFGPTTSTNSTASTVTKRINFELEVIQELD >RHN43300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44783278:44789188:1 gene:gene49848 transcript:rna49848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain, CBS domain-containing protein MSRKSGSFSTSPTRSRNKTTGFSPPPRPFHPMRPTTTGERTVKSLRLSKALTVPETTTVYEACRRMAVRRVDALLLTDSNALLCGILTDKDILTRVIAREVNLEDTPCSKVMTRNPVFVLTDTLAVEALQKMVQGKFRHLPVVENGEVVAILDIAKCLYDAIARMERAAEKGKAIAAAVEGIDKHWGASASGSNSSFIDTLREQIFKPSLSTIIPENSKVVTVSPTDTVLTTTKKMLELRASAAIVTVDDKPCGILTSKDILMRVIAQNLSASSTLVETVMTANPECAIIDTPIVDALHIMHNGKFLHLPVVDRDGIVVATVDVINITHAAVATASQVGNTANLNNETANSMIQKFWDSAMALTSNEEEEDSQSDISLKMISEGGETGRSIPYNASSVQTTFSFKLQDKKGRLHRFTCDTRSLSEIITSIIQRVGDDIDPNNLPHILYEDEEHDQVVLASDSDLAAAVDHAKTAGLKGLRLHLDYSGTRGYGTEANLGLEYAESEAWASAYHTVAAGAAIVAALGLLAFFRLKP >RHN82541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54626486:54629814:-1 gene:gene6712 transcript:rna6712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MEFEGSSKKMIATQEEMVEARVPLAYRDQCAHLLIPLNKCRQAEFYLPWKCENERHSYEKCEYELVMERMLQMQKIRENQNANSKQPVTQGQGAAIPLIPKPANA >RHN75643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44054908:44056550:1 gene:gene11877 transcript:rna11877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MANHKLIEQTVVFPSTPRTTTTFLPLTFLDLPFAGPVYVERLFFYHFPHSTTHFSKTTLPSLKHSLSLTLQHFFPLAGNLHCPLPPHKPFILCTQNDSVTFTVIESSANFNHLSTNQHPKNLQDFNHLVPKLTEKTTFDDGNDIFIFPLLSLQVTVFPNHGLCIAIKYCHVMDDNCCNHLMKSWSFIHRKGDVVDFKSQPCFDRQVLRDPEGLEDLFLKGYYEKRKTWEDNRLIGKTQSIEKHDEDCVKAIIVFGKEEIEGMKKWVLNEWKKKDQEIQAPQFLSKYVVTCAFVWVSLVKAMHRSNHNIDEKDEYFCFTGDCRDRLGYTIPEGYFGNCLAFNHATMKRRDVKGEDGFVNAVKVIEKAITEMKNEPLKDATKWEGSAKKIGEFGNLLFVRGSPKFNVYETDFGFGKPVKVEMMHSLKCMSIAESGDREGGLEVGLAFKSEDYECFSSVIQQGLQALKF >RHN61548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36312660:36315080:-1 gene:gene24022 transcript:rna24022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyltransferase MVHTLPFRLVDKNRGKNVIQVTWVLLLKAHRAVDSATWLATVLWDFLGAIKKGLISRQGVAIEKGKLSFRIISMFLVISLAVLDFEVVAYLQGWHFGNTNLHIPHISDFKGCSKWLMLHG >RHN74262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31251252:31251891:-1 gene:gene10298 transcript:rna10298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription repressor PLATZ family MMLVPWLAKLLAITTFFTTCEVHPRESKNECNKFCLDCNDNPLCGSCIKYCHKDHRVIQIRRSSYNEAVKTTEIYKHVDILGIQTYVINSSTVVFLNKRARAQPKRYKIGKIGHTNDSLCKTCDRNLVDYTYFCSLACKVNSWPQSHLFFSFILSL >RHN57262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37643689:37644842:-1 gene:gene32784 transcript:rna32784 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKAILMLGLLAMALISSVMSARELTETSTDAKKEVVEKTNEVNDAKYGGYNHGGYNHGGGYNGGGYNHGGGYHNGGGGYHNGGGGYNHGGGGYNGGGGHGGHGGYNGGGGHGGYNGGGGHGGHGAAESVAVQTEEKTNEVNDAKYGGGSYNHGGSYNHGGGSYNHGGGSYHHGGGGYNHGGGGHGGHGGGGHGGHGAEQTEDKTQN >RHN69657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45288104:45294559:-1 gene:gene18153 transcript:rna18153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative importin subunit beta-1 MEVTQALLNAQSIDGTVRKHAEESLRQFQEQNLPGFLVSLSGELASEDKPVDSRKLAGLILKNALDAKDENRKRELVQRWLSLDTAAKAQVKACLLQTLSSLVLDARSTATQVVAKIAGIELPQKQWPELIGSLLSNIHQVPAHVKQATLETLGYLCEEVPHEVVDQDQVNKILTAVVQGMNSSEKNNDVRLAAARALYNALEFAQANFSNDMEREYIMRVVCETTMSPELKIRQAAFECLVSIAAMYYVKLAPYIQDIYNITAKAVRSDEEPVALQAIEFWSTICDEETDILEEYVGDTTGDSDIPCFYFIKQALPALVPLLLETLLKQEEDQDLDEGAWNIAMAGGTCLGLVARTTGDDIVPLVMPFIEENITKPDWRQREAATYAFGSILEGPSPDKLVPLVNHALPFMLSALVKDPSNHVKDTTAWTLGRMFEFLHSSIVGTPIINEGNAQQIITVLLQSMKDVPNVAEKGCGALYFLAQGYEDVGITSPITPFFQEIVQALLTVTHREDATESRLRTSAYETLNEVVRCSTDETAPLVLQLVSVIMMELHKCLEAQNLSSDEREKQSELIGLLCGCLTVIIQKLGSSEPTKYVLLQYADQIMGLFIRVFACRNATAHEEAMLAIGALAYAIGPDFAKYLPEFYKFLEIDLQNFEEYQVCAVTVGVVGDICRALEDKIFPYCDGIMTQLLKNLSSDNLHRSVKPPLFSCIGDIALAIGDNFEKYLMYAMKTLQIAAEMYAHTSGFDLEMTEYINSLRNGILEAYSGIFQGFKNSSKSQILIPYAPHILQFLDSIYMEKDMDDIVMKTAIGVVGDLADTLGSNAGSLIQQSLSSTQFLNECLTSDDHLIKESAEWAKLAINRAISV >RHN75446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42383585:42386242:1 gene:gene11657 transcript:rna11657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MKRMRHFLKLITENQQGQTRKLCRGFCQGTQLSPNQDDSTDDFSMVSPRIRLRDGRHLAYVERGVPKDKATYKIIIVHGFGSSKEMNFLAPQELIDELGIYLLQYDRAGYGESDPNPKRSLKSEALDIEELADQLQIGANFYVIGVSMGSYATWSCLKYLPHRLAGLALIAPVINYRWPSLPGSLIREDYRRRFIKWALWLANHCPKLLHWWVTQKWLPSTAVIEKNPTFFNKNDIDILKTIPGFPMFSKDRLREQVVFDTLRHDWKVAFGKWEFDPMKLSNPFPHKQSSFHIWQGHEDKVVPSELQRFVSGKLPWIQYHEVPDGGHLIIYYKGLCEAILKALLLGQENHAYKPRSSLLFKDECYQETI >RHN41952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34301197:34303373:-1 gene:gene48328 transcript:rna48328 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEQELNYKKEAAADTLYSYSKFVIARMGSRTRPCDLRLHLMKEISGMPTSLINRETPHAAASPEAMGESSSSGTARLDKADSFRAI >RHN43558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46834094:46837226:-1 gene:gene50159 transcript:rna50159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetraspanin/Peripherin MGVSNNITAVLNIIAILASIPIIASGIWLASKPDNECIANFRWPIVIIGILVFLVALTGFIGAYYNKEGLLALYLFAMALLIALLLIILVFAFVVTRPDGSYVVPDRGYKEFRLDGFSSWLRHRVTGSGSWRKIMPCLAASDVCIKLTQNYITADQFFNSHISPLQSGCCKPPTVCGYSYVSPIMWTNPVNPMADSDCNLWNNDQNQLCYNCNACKAGLLGNLRKEWRKANIILIVAVVVLIWVYVIACSAFKNAQTEDLFTRYKQGWV >RHN77792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9454815:9455588:1 gene:gene1283 transcript:rna1283 gene_biotype:protein_coding transcript_biotype:protein_coding MISTTIPMYVTTAHVHVNIHMIFNWIRTIEIRYLRLIPKTQRVRLKHKICFVEGKGITETLRI >RHN81723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48478795:48480172:1 gene:gene5806 transcript:rna5806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acidic endochitinase MASFKQVSILLFPLFLISLFKSSHAAGIAVYWGQNGNEGSLADACNTNNYQFVNIAFLSTFGNGQTPTLNLAGHCDPASNGCTKFSSEIQTCQSKGIKVLLSLGGGAGSYSLSSADDATQVANYLWNNFLGGTSSSRPLGDAVLDGIDFDIEAGGEHYDDLARALNGFSSQRRVYLSAAPQCPFPDAHLDSAINTGLFDYVWVQFYNNPQCQYSSGNTNNLVNAWNQWTSSQAKQVFLGVPANDAAAPSGGFIPSDVLISQVLPAIKGSAKYGGVMIWDRFNDGQSGYSNAIKGSV >RHN47113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37211213:37216621:1 gene:gene41670 transcript:rna41670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5-amino-6-(5-phosphoribosylamino)uracil reductase MWCLTPIANTFSFSSIICKCKASNDTHNHALDAGYIRRAALIADKSAGFTSPHPNFGCVIATPSGDVAGEGYLYAQGTSPAEVQAVTSAGERCRGATAYLNMEPGDCDGDNTAVSALLQGGVKRVVIGMRHPLQHFRGNAVRALRSQGLQVDLLGEDLTSKIIEDAQKECLLVNAPLICRASSRVPFSVLKYAMTLDGKIAASTGHAWWISCKQSRNLVFELRARSDAIIVGGNTVRRDNPRLTARHGGGHMPIRIVMSQSLDLPEEANLWDMSEVSTIVVTQRGARRSLQKLLASKGVEVVEFDILNPRDVMEYFYDRGYLSVFWECGGTLAASAISSGVIHKVYAFVAPKIIGGKNAPSPVGDLGMVEMSQAINLIDVCYQQVGPDMLVSGFLQPLPDTAPTIPSPEETFIADPSVSPYESSIIFFYKTWDPHGALSNFSPHPIQMPDENGENVTWLSVEHYYQAHKFVGVDDATAKDCLEKIKSVKSPEEAARIGRSIQRQRPDLV >RHN68090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32781146:32782635:1 gene:gene16387 transcript:rna16387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative D-xylose 1-dehydrogenase (NADP(+)) MTEKDTVRFGILGCATIARKLARAITLTPNATISAIGSRSISKAAKFAVENSLPASVRIYGSYDEVVEDSGVDAVYIPLPTSLHVRWAVAAANKKKHVLVEKPAALDVAELDLILEACEANGVQFMDGCMWLHHPRTSNMKHLLDLSNSDGIGPVQFIHSTSTMPTTQEFLENNIRVKPELDALGALGDLAWYCIGASLWAKGYKLPTTVTALPDVTRNMAGVILSITTSLQWDQPNQTVANIHCSFHSHTSMDLSISGSNGSMHLKDFIIPYKETSASFDFTFGAKFVDLHIGWNVRPEEVHVVNKLPQEALMVQEFAMLVASIRDSGSQPSIKWPEISRKTQLVVDAVKKSLELGCKPVSL >RHN71131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56705485:56709485:1 gene:gene19780 transcript:rna19780 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMIADDQQRTKIPNKNCKRKNKKSKIKSFSTATRGRGTGFPINPFNFSSLLYDTNIKKLAEQAEKYPSFNQMAQQDGLLKSHKRKTFSIMRRIIFSDDLWTLAERLSDALVQDPSTSSMLEIYVKLSFEGKRKRGTAQVDRDPCLKLILDEIESGGPAVLMRYWNDERVLKMFGLVMGISLGPGDAVYSENFGSFVHHTAIIGNVKLCCQNLKELPSNRVSPQSSSKYKCKQTERTQETQEEHSLAVLMLKLNLVDLLFRRAPCNPLPYYWCGYVRTQSGGCSRVKSSASTHNLPDQETQLGAGKEGLLTILARVTSETPSARFAQEFVLVLFLYHCLCTMPCVQCDEVVKAVEAAVEAAKYRIIHNLYRESSEVE >RHN54491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10001021:10004642:-1 gene:gene29543 transcript:rna29543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MECWNSVDGVVEEIMRIHRSLPVRPGIDEVEAAKGLILNVEKEDQLRFDSIGRQSKGNDVPDELFMILQEMQKNYVCFQSNEQKREALKLLDLENVHSLFDELIQRASDCVSNPSGGSTGSNSRKIGYSNGSNGSASTVSTNFSKNLASSSGSGSRSGFDKQVPSSAGSSSLVRVEKDVSAKGSELFTRDDSYVSKSKSTLYHNGFGIEPNFSSKPQIMDSSLKSTASAGQDGDKLSLIKLASIIEVSAKKGTRDLKLQGKLMDQVDWLPDSIGKLSSLVTLDLSENRIVAIPSTIGGLSSLTKLDLHSNRITEIPDSVGNLLSLVHLYLRGNSLTTLPASVSRLIRLEELDVSSNLITVLPDSIGSLVSLKVLNVETNDIEEIPYSIGNCSSLRELHADYNKLKALPEALGKIESLEILSVRYNNIKQLPTTMSTLINLKELNVSFNELESIPESLCFATSLVKMNIGNNFADMRHLPRSIGNLELLEEMDISNNQIRVLPDSFRMLTNLRVLRVEENPLEVPPREIAEKGAQAVVQYMAEFVEKRDKKDVKPQPLKQKKSWANICFFSRNNKRKRDGADYVKA >RHN73705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19144799:19145264:1 gene:gene9554 transcript:rna9554 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFEMKNIVSILMITMLVVAQADDTFSPSLPSYERTREAICLVKCGLKCRRWIIIKPVYIACVAVCGLSKCRHKLSSKVVYDCATNCAISKSNYFNSDARGVNVIVNSCVEVCHNK >RHN76996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3087467:3091628:1 gene:gene402 transcript:rna402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MMFQRNPLHTNPEPCNHLSDYKLKHGFNGYKAIQKLLVTSPIGRTSLKKPNLRILRCSFCNGCEKRVFLCLICSSFCCLDHTLLHIQTENGHDLFVDVERCELYCGVCCDQIYDPDFDQFVMAKHSMVLPNGVVGNESIGQRLIKRRRLVSGVGLYLQNESKFRFETKDLRGKSCFPEGLRGLNSLGSTCFMNSVLQALLHAPPFRDYFLSGGHSLEDCKRRTTERLCLICDINTVFSAMYSGDRNPYSPAQFLYSWWQHSANLASYEQQDAHEFFISMLDAIHEKEGITKNGSKGNGGDCQCIAHRVFYGQLRSEVTCMACGFTSTTYDPFLDISLNLDINISLTEKGKKLTKQNADDNMSTLLGCLDLFTRPEKLGSDQKLYCQNCKERQNALKQMSISKLPLVLTLHVKRFEHSFVKKLSRKIDRYLQFPFSLDMTPYLSSSILRAKYGNRIFNFGGDESDTFSKFEIFAVVTHSGTLESGHYVTFVRLRKQWYRCDDAWITKVDEATVRASQCYMIFYVQKTLYNKANEDLSHLPNSPGREILIPIAGCC >RHN81394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45528279:45528730:-1 gene:gene5423 transcript:rna5423 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGFVLVVAIVMIKIDCCLETGNTDIQKKREKLEGVRKDQNAVFVVHDNICVLIFDVPLLEICF >RHN75016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38775423:38776993:-1 gene:gene11163 transcript:rna11163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MISEEALVLMDDGRGPHTRSTLASSYIAHSNVRHIGGVAMRDNTSVLPFCFPSLNQVGQHNNIGSRLGQSNHNHLINANNMRQSFLPIPYIQAPNANGSSLQRYHHDTIGNLNDTLYSSPPRPTLQHNNYNPPTFATNEVPPNPLPGVVIAPQTGLQILQPHGVIPEITLRNRSVNPSSFIFIDESDLIDEDENLVDEHQDMRLDIDNMSYEELVALGERIGNVSTSLPEKTIESQLKTKLYSPYDSQEIDACMICQEEFKIQEKIGILQCKHGYHVDCIIKWLMIKNICPICKLEALTDGEKNKSHGIYDFFMHAYHFIRACFLPE >RHN64839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62385827:62392068:-1 gene:gene27705 transcript:rna27705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSSSSSSSSSSWCPILCASFNQDNSCFAIGTKDGFKIFDTNTGKLCYQRDVGAFSIVEMLFTSSLLAIVGAGDQPSLSPRRLCLFNTTTGAPLRELNFLTSILAIRMNRKRLIVILQDKAYVYEINSLSILDTFDTVPNIKGLCALSPCLDACYMALPASTTKGSALLYNVMDCNLHCEIEAHRSPLAAMVFSSNGMYIATASEQGTLVRVHLVSDATKSYSFRRGSYSSTIFSLSFGPSKQLPDILAATSSSGSIHLFTLGFASHPRKRSSGFLGSIIPDAVNDVLDPAYHHVLHNAVPAGVKSSAVIRKVENVTDTSSSELLACRANMCVITYNGYFQEYNLSIDAHQKLSWSLERETNLLTVTLDKVP >RHN80125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35326224:35329881:-1 gene:gene4012 transcript:rna4012 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanylate-binding protein MVLLFLLIISLSSIPSFSIHNFHQPFPIVEPDPGHTKLRLSREGLEAIERITNPIASVAVIGPHRSGKSFLLNQLLSLSCYEGFGVGHMRDVKTKGIWVWGTPIELDINGVRTSVLYLDTEGFESVGKSNVYDDRIFALATVMSSVLVYNLPETIREADISRLSFAVELAEEFYGRFASAGWIC >RHN56678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32757870:32758873:-1 gene:gene32115 transcript:rna32115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70 MKANITRLRNNMTSKVNKMLNRKMQVKKWRYLCFVSSIVGLLCYALSPSFNHLFGKWNLLKVSLYCLFSFVICLADLLANAITWQKSPSLRFRAHLAVSVFTITTIYSFFFDKANAKPDAYSLISSAAFAVMSLCMSKQTHLGFEVDLLYFFCGYFTLQLMKIKKVLAVVGACFAYSLIMFRVYLGESGLPIQDQPSIGNQNGAESDPILHFIPNNTAAPSVVEDPIRHFYQTNPSNENRKTMVRKLIDWIIGRV >RHN66940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21969701:21970690:1 gene:gene15063 transcript:rna15063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MMAILTTQISLLLLILLSITTFHKTMCTNHTLVQCNEKDRETLLTFKHGINDNFGWISTWSIEKDSCVWDGVHCDNITGRVTKLDLSYDQLEGEMNLCILELEFLSYLGLSENHFDVITIPSIQKNITHSSKLVYLDLSYSLVNDMNNLDWLSPLSSIKYLNLGGIDLHKETNWLQIVNSLPSLLKLQLGECNLNNFPSVEYLNLSSLVTLDLFRNNFNFNLPDGFFNLTKDLTYLHLSQSNIYGKIPSSLLNLQKLRHLDLKYNQLQGSIPDGISQLPNIQYLDLSWNMLSGFIPSTLGNLSSLISLSIGSNHFTGEISQFFFPNSLV >RHN61207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33844982:33847197:-1 gene:gene23646 transcript:rna23646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Myosin heavy-chain] kinase transcription factor WD40-like family MTNTKGVTMLVERNTNNNNSTNKIHRPKFGAFLHSEPSLFHTTNNDDDQGRISSASAASPRTYFGDSSSSNNASPNYMLSPLNQQTSSPLNKSPWLMPSSEAINLFQYHHSHRHDNNYNDSYFPENGLIGSLAREEGHIYSLAVSGDMLYTGTDSKNIRVWKELKDYTGFKSSSGLVKSIVISEGNIFTGHQDGKIRVWKISSKNSRNHKRIGSLPTFKDYVKSSMNPKNYVEVRRRRNTVKVKHFDAVSSLSLDQEEGLLYSGSWDKTLKVWRVTDFKCMESIIAHDDAVNAVVAAFQTYVFTGSADGTVKMWRRDTDGKGKKTKHVLERILLKQENAVTALTVNDSSTIIYCGSSDGLVNFWEHQQKNNLTHGGVLKGHKLAVLCLAAAGNLVFSGSADKNVCVWRRDERGAHICLSVLTGHTGPVKCIAVEEHEEEEDDRDDQRWIVYTGSLDKSVKVWRVSEHAPELRLMQGWNSPIAPGLNYSSPGTINVSNNSNRRQLQK >RHN59169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8144059:8146677:1 gene:gene21162 transcript:rna21162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MPLKNKGNGKGNNRKRYNTHVVDAATEARLNPMPVTSAASSSQGSAGSSQASVAAPPTSSALPPTYMLPPVYPGYYGTIPPSYHFPPYSVPPQNLPFPQQQQISPQQNNLLFPQQQQIPPQQNPLFPQQQIPPQQNPPFPQQQQNPPQPQQENVVEEDGATQMNGGRELVPYHDVFPDYPKDSLGRYILRPSGSSFLPCKPAAEAIRDIIHNCYHHFWKKYGDVDDNEKDRWFRLFECKCSWDAVYQDIMRRNFHIRASARFSDLLRRARIRFEETGKRPHWIGSPIFADLVKYWASDEFKKISQKAKTNRASEKGGCIHTGGCLSNGEHADRLEEYDRLLAEAISEGSTVDHSLTFRTWKKVVGDKKKGKLYGLGNLAANCRPGSVESTLTFTLNHGEGSSRQPELTPEMRELINRLAQEQFAQQMATQAAVVQDLLNRQRSYEEQLAQFRQAQAQDPSPILSPNVEPYPVDRDEEEDGVDNDDDD >RHN70812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54259262:54260329:1 gene:gene19434 transcript:rna19434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase MVQQSTLNNQHGDSPININSPSSPSHQSLHSGINASSSSHQHHISSPSSSSNITLRIEPKKDPTALLTWICAAEVRYPRNYCYPRKDLYLQAIRHLAFMQWRVEFQPPHKDDKDRKGKYMFTPPDDESQTFDNLVDACNYEFREDQDAEDELRQDQEVDDFVSMETLDEEGMLRCGLCNIACIDYVIMGLNTFCSNSCKGCFDDLQKQLFENVTIDDASASSLIIRYDTKKSKINQQCDYELFKEAAETLCYGFGPGIESMVEQMVYNKKGEHGGFYTCIIQKEGKCASVAVFRAHARNGFVEIPIVATMESFRSQGLCKRLIHSIENCVKRLGIHHLILPSLRTRLAMWEHFGF >RHN73259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14889215:14890214:-1 gene:gene9056 transcript:rna9056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MYFKLEGAMLGEYRCPVEKMSTCKLIWKSAPSKVMAFTWKLLLDRIPTRANLEIRNCLPPEDGSNCIRCTTGRESSTHLFLHCVFAYDIWLSLMRWTNQFFLIPPNLFIHWECWSAGGYHKKIRRGWRMIWQAAVWVIWKTRNDRMFNDVVKGVEELVEEIQV >RHN42691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40275386:40280950:-1 gene:gene49169 transcript:rna49169 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAESLRDQLFQKTVTATVTKKPDINKIQTQIGDAIGLNFNDMTEAAKSTCCMCFGNSKRMTTADRAHLICAKMKELQTVLVVLYDLHGRFDLGEIGVPFGEDHNGCKFRLHSTHLVMIDPLIIDHGSQRFVYGGAKKTVTWKIKTTSCSYNIINKKIRENILLHARYRHKKNCVVTSCAIMSFKRGLVPQSANSLGDIYIPSMDDKVKEQIMSALRVRDQGENIIGLCGPEKRVKHSAKTAIRRAERDQLFQKIVTATVTKKPDITKIQTLIGDAIDFDDKTDPAESTCCMFFGNRKRMATAERAHLLCAKMKELQTVLVVLYDLRGRLDLGEIGIPPFGEDHNGCKILLTSTSLEVLSEQMKVHKLIQL >RHN82440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53819367:53820438:-1 gene:gene6607 transcript:rna6607 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSVTWSSSVVPLGASERRRNDGGEGINFVNVNNVLPHIPIACKIIAKGSSIGCDRRSSISRPQSLQANVYVFYTAFTLTDRKTPFTPSCWNMVIWQQLCSKGKKRSQKWSNGWIWMA >RHN46878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35522137:35526618:-1 gene:gene41413 transcript:rna41413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MEENFNSAFTLPSNSKEDPQMNDQTKMANAYRSFAGEGYRSVATEVFSTPVCKHCILVPEKLDQIKMDLKNQSGKSTLAIKTGPKMSDFRIARKRAMGCCRVCGEQGHNRRSCFKFLSFKESISRH >RHN59784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13079665:13080165:1 gene:gene21932 transcript:rna21932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zeaxanthin epoxidase MESMEGFPIHAMDMIRNCKLNSLHLTDLKYRPPWDLLLNKFSKGTMVVAGDAMHATGPFIAQGGSASIEDAIVLARCLAQKMHNTTNGIMARSTVEEAFDKYVKERKMRIFWLSLNTYLVGKKLDTKSWIVRFLFMVIILVLFRDPDCHSHYNCGTLHEEESLNYI >RHN70546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52205398:52208543:1 gene:gene19136 transcript:rna19136 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVRLLLSHSHRINPVLPNTSIRVSASLSRYHNYERDPSSSSLVKRKGIAGVTDADFQKGFENWCKNHEATFSSEEDKQSTFNWFRKTYIYGREFPCGAAPPVHRKDIIDCLRRYGDSKVMYEFLLERSRQPVYPPYPANYNIDDPSVMAELDELEEVTKCNCPDCCTGRDLGQY >RHN77569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7761945:7762580:1 gene:gene1036 transcript:rna1036 gene_biotype:protein_coding transcript_biotype:protein_coding MPDILVNVHRTREESVGVIKEVLPDGSYRVALGSSGNGETISALSNEVEAVVPRKSDKIKIIGGELRGSTGKLIGVDGTDGIVKVDDTLDVKILDLVILVKLAQP >RHN77742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9122037:9123019:1 gene:gene1229 transcript:rna1229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 3-dioxygenase MRKVVAELARAVSKNLGFDENYIEKAFNMKSGFDVMAMNLYPPNSKSKSDIGIPSHTDLCGNPNARCKWGLQILSHKGNWINVHIPHHAILIQLGDHLVILTNGKYKSHVHRVIVNNNKVQRISVVTLHGPSLDKFIAPATEFVDDKNPMNYIGMTYKESLVANGGNVIDVESSLEQIKIV >RHN44830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8978003:8978334:-1 gene:gene38996 transcript:rna38996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MDLFEKCMEIAKSCLADAKMDKNSVDDAVLLSEDTKNVPNMVLRDVTPLSLGIEVTGDIMSVVIPRNTSVPFKVVSVIGANGCKL >RHN41850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33580020:33582208:-1 gene:gene48213 transcript:rna48213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation HMG family MPKVKADSKPADNRLKRKGAGAGRKQTKKAAKDPNKPKRPPSAFFVFMSDFREQYKKDHPNNKSVAAVGKACGEAWKSMSEEDKAPYAARALKKKEEYEVATQAYNKKLEGKDEEDGSDKSKSEVNDEDEDEEDEDDDEDDE >RHN81298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44658989:44665614:1 gene:gene5314 transcript:rna5314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MTDFESLQQKPESADAHSDFDHGLDDFMRGHLDDCMSFASCSSSRVQDDEDDESDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMITTIERRNRESELMALAGLHTVSMLDSSFLRGSQSPTSGQEGAVERPSTQASSILQMWRELEDEHMLNRARERMRERLRQQRSSDANTNVSSTMSDSRGSENRGSLGDASESENDYGTWSHDQIGSRNALGNRDGSSREQSPDLGEVERERVRQIVRGWMESGISDRSSNVSQRNTNHRSEWLGETERERVRIVREWVQMTSQQRGSRGSRRDAQVSQSAPADRTRDIAADHDERQPEHVRRDMLRLRGRQALVDLLVRVERERQRELEGLLEHRAVSDFAHRNRIQSLLRGRFLRNETVEDERPPSTAASELVQLRQRHTVSGIREGFRSRLENIVRGQSSTNPDATSNSNTDETRHDENQSNNLIDAQQENYDQEQIRSLETDARQFPNQTGTSESSTSEPINWQEASNQGGNWQEQITENGGGNWPQRINGTLNHLGDGREASDWPRETPRNSAGDDPHPQEAQRIWQDDNTREAVGNWSEGPSAASRNRRSVPFRRFNRFHPPDDDNVYSMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQGHAPIDWDLHRNLPMPTPASPERDPDQQGDERNEGHHEAINRPSPVLPSPPVPPPQPLWHQDLHQTGWSRHTMHRSEIEWEIMNDLRSDMARLQQGMNHMQRMLEACMDMQLELQRSVRQEVSAALNRSAGENGLAAGTSDDGSKWGHVKKGTCCVCCDNHIDSLLYRCGHMCTCSKCASELIRGGGKCPLCRAPIVEVVRAYSIL >RHN51908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28144632:28151029:-1 gene:gene36474 transcript:rna36474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MGRGRVVLERIENKINRQVTFSKRRSGLLKKAFELCVLCDAEVALIIFSSRGKLFQYSSTTDINKIIERYRQCRYSKPQAGNSLGHNESQNLYQDYLKLKAKYESLDRKQRHFHGEELEQLSMKELQRLEEQLDLTLTQARQQQMKKLMARAEELREKVHHLEEVNKTLDPKVFPFKIHVSSYAFHLYRICSI >RHN52089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31392055:31392405:1 gene:gene36709 transcript:rna36709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MSSYSNGKENHVVEIHTPQIDHDSSNTLPAMVLGSTMVFPAILNAAIELKLFDIIASNGGFMSAYEIALKLPTQHSDLPNRLDRMLCVLAILSSFCFHSHQRRWYDCESLSGHTFW >RHN54772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12085042:12088662:1 gene:gene29855 transcript:rna29855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDVAMISHSISNILQRMDTLKPLAIRLSGIDLDQLENNLKQINDKVQKKSHSEWLQKVKDVVIDLNDLTEDLRYKESIRSGLSIKNRIKGTRHVKKTTEKLKRLIEQETKLGEEEAAVISNTTEKRKSACEDFEKNTKHVAVGRENEKEELIDKLVNLKNTDAAVPVVIVIVGVPGIGKTKLAHLVCEDEQVEMNFGLQPIWIKTFDVESIAKSVAESHHEKRLLLVIDDLRFEINEPDYLEKLQKKLTEAVGGRADTAILITTRSNHVADNIAAGHVLKLQGLNQEDSWSLFEEIHGAVSSQHCTTFKIVRDCRGVPLAIVIVATAMLYNREGSILQPEPHIEKMFLQSFRYIYYEDLPTYQKLCFAYCSLFPEDYLIDAERLIQLWTAEGFLTISSNNNPEQQFGRACFNDFVPLVFHQVEEENENQYGGVVTNNNYLYRINPLMHKLARLVTIDSRENITVDSMGEGVHDGMLRVSFDYALDLLCGIPDCVFEKAKKLRTILLPYNTDNPRLPDEVQMTTSTCDKIFNTFKAMRVLDMHDLGIKTIPSSIEEVKYLRYLDLSHNNIEKLPSCITTLIHLQTLKLSQCHFLKELPKDMDDLSCLNHLDLEGCLDLTQMPSGINKLTSLQTLSLFVASKKYVTGGLRELTDLNKLRGHMEISHLEQVKFSQSKEIAKDEFLKNKKYLGFLTLRWDHEEEEEKESNVNDEKSLDCIVPPSNLRVLFIVGYNGHTLSDWFGSLHCLVKFTLNDCPKCEFLPPMDELPHLKVLQLRRLDSLKFIAKNNQVGNFPSFTTPILFFPSLKELTISDCPNLNSWWETEIWDNDRPSFSCISKLNVQYCPKLACMPLYPNLDDELVLVESNVRSMRDTMHYADSTESTENSNSQSQPFSKLKSMVIERIDQSPPKRWLKNFISLKELHIRDCFHLKSLPEGFRSLSSLETLTIERCQQLDLESSPNEWEGLINLRSLTLRSIPNLKSLPQGFEIVNSLQVLRLYDCQGLTSLPESICNFASLEKLVLSECRKLDSLPKGMETLQSLKTLIIRDCPLLLPRCQPDTGDDWPQIKHIKNIIHAKETS >RHN40517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17092157:17093647:1 gene:gene46676 transcript:rna46676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MVAAADLYLPNECWECIIRFLDTDHRSLESLSLVSKHFLSITNNIRFSLTICDTNLPSLPHLFQRFPSLTSLDFTHFSQHGDLTALLFQVSTSHITHVVVNAMSMVLPKLRKINLSRHYDISDSLLLHLCKNCEFLEEVVLFKYSSLTCDGIASAIRERPSLRSLSVGRQSNECGWWSNGSHDNISSHFTDSLVSLKGLTNLDLPFLRISDMFLSSIAIEVISLRRLVLRDCINYSYSGIFSLLSMCQCIQHLDLQYAYFLNNQHIFELSSFLGNLVSVNLSYCRMLDESALFSLVSKCPSLNEIKMECTSIGEESLKNSNSLVDFVVSPQLKSLYLAFNSLLCDENIKMFASIFPNLQLLDLRRCKMIRHLNLTYCLGEKMQGVNFKLSKLEVLNLSHTRVDDKALRVISKNCFGLLKLLLEFCKGVTDKGVKHVLKNCTQLREISLRGCYEVKANIVDMMVFARPTLRKIIAPPGYHFPDKKGEVFSLHGCHVC >RHN61564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36409433:36424231:1 gene:gene24040 transcript:rna24040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alanine--tRNA ligase MKRGFSLLISLCNRNILLAPPPSHGISRSLLSTSAVSTAMTGTDTLDIEWPANRVRDTFVKFFEEKNHVYWKSSPVVPVNDPTLLFANAGMNQYKPIFLGTVDPNTALSKLSRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKEEAISWAWELLTKVYKLPSDRIYATYFGGDEKAGLAPDTEARDIWLKFLPPGRVLPFGCKDNFWEMGDTGPCGPCTEIHFDRIGNRDAASLVNNDDPTCIEIWNLVFIQFNREADSSLKSLPAKHVDTGMGFERLTSILQRKLSNYDTDVFMPIFDAIQLATGARPYSGKVGPEDADKIDMAYRVVADHIRTLSFAIADGSRPGNEGREYVLRRILRRAVRYGREVLKAEEGFFNGLVNVVANVLGDVFPELKQQEVHIRNVIQEEEESFGRTLVKGIAKFKTAVGHVQGNILSGEVAFELWDTYGFPLDLTQLMAEEKKLKVDVEGFNSAMEAARERSRTAQNKQAGGAIVMDADATSALQKRSIVPTDDSFKFASFRDHETVVKAIYTGSEFVDSVNTDGDVGVILESTSFYAEQGGQIFDTGSLDFHHASFQVHNVQVYGGFVLHIGNGTGISVGDKVVCKVDYGRRALIAPNHTCTHMLNFALREVLGNHVDQKGSIVLPEKLRFDFSHGKPVDADSLRRIESIVNEQIQAELDVNAKEVTLADAKRIKGLRAVFGEVYPDPVRVVSVGQKVEDLLADPDNEKWLSISSELCGGTHISNTREAKAFALLAEEGIAKGIRRITAVTTDRASDAMKMADNFEKQVDEVAKLEGSLLEEKVSSLKSNVETLSIPAAKKAEIKTKIALLQDQVRKAQKRVAEENKRKAVTITAEKADLAVSDGKSFCISHVNVGLDVAAVREAVTKVIDQKGLSVMVFSTDESTNKAVVCAGVPEKGDKGKLDVSEWLSNALGPLKGRCGKGKGGLATGQGTDASHVNEAMDLAVKFASVKLN >RHN68532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36274894:36277546:-1 gene:gene16898 transcript:rna16898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fluoride ion transporter CrcB MERTSSWGSAVSRLSVCQNVGVGDDEAEDHECFSAAGDIAFQGRRKSESGGFDLSLYIKPENGTVVYNPAMEHELPPSNNNYIVNPQEHLDLPIFVSTNGKVNYEDIKQVLELDKIPKLLEYVSCLTHLAVFGILGVLTRYLLQKSFGPGGFGVTSDHTVFYLDLPSNMIGSFLMGWFGFVFKRDISCVSEHLAIGLTTGYLGSLTTFSGWNQKMLELGVAGHWILSFLGFLIALSIIIGFWTAKGFKWLLKRLNMRHGSGNFISKVSWKVDSFPRQLVVTIVLLVILALLWGVSSALEINEFKNGGGEAQLWLACIVGPLGVWLRWFLATRLNECEIGGGFLKWLRFGTLIANFSAACIMAALATLKKSVNTTNCDTVITGLQFGLLGCLSTVSTFVAELYEMIENKHLWRAFVYAMVTICVSFSFGILLYYIPVSRM >RHN53513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2190449:2191406:-1 gene:gene28425 transcript:rna28425 gene_biotype:protein_coding transcript_biotype:protein_coding MITTILFDCLEFLSRKISYEKLAAKFKDTKAITYKDEVGNKKIISPLTRKAVRKSVRFADSEQDEKDELMKGRRSCGSNYIDELLGERERMNVTRVKVKMTKEEAAKLLSKFKCKEGGVLPFKDVASELIALPMDRVTILST >RHN42614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39716166:39722736:-1 gene:gene49071 transcript:rna49071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyl-tRNA hydrolase MLSTLTKRSFCTVAPRPWLFVGLGNPGDKYKGTRHNVGFEMIDAFAESQGIAMDTVHCKAIFGKGFVGDVPVFLAKPQTYMNLSGESAGPLAAYYKLPLNQVLVFHDDMNLPCGVLRLNDKGGHGSHKGLKSVIYNFRDNSEFPRLRIGIGKPPGQMDPKAFLLQKFNVTARQRIDEALQEGVDALKLLLFKGLEVSAKRFNKEQKYKHLKVQTLPVW >RHN51278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15656339:15657850:1 gene:gene35691 transcript:rna35691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MKKIRPPLGSKSNILTQKKILWSIMASIKFLFCIFEKFFSHQLHRFVTKYMQKFICFMSPYIHITFPDLISGRYLRRIGVYTCIQSYLSAKLSERAKRLNAEVVENSQTPLVLTMGDNEEIIDKFNGVKVWWVANHTSQKDLDDKSSLTLTFHKRYRGLITTSYIQYVLDEGKAIAMKNRKLKLYTNNPSDDWRIYKRKWSCITFDHPARFETLAMDAKKKEEIIDDLVKFKAGKEYYAKVGKAWKRGYLLFGPPGTGKSTMISAIANFMNYDVYDLELTTIKDNNELKRLLIATSSKSIIVIEDIDCSIELTGTRKEKKDYVHKGKYSNIEENKVTLSGLLNFIDGIWSACGGERIIIFTTNFVDKLDHALIRRGRMDMHIEMSYCSYEAFKVLAKNYWDVESHDGLFPIIEKLIGETNITPADVAENLMPKSIAEDLETCLKNLIQSLENAKKKAHEKAKENAKDEDEEAQLKEEEEKVEAIENSKENVKKNGVIHEFGLV >RHN75841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45466921:45472804:-1 gene:gene12095 transcript:rna12095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 14-3-3 protein MASSKDRENFVYIAKLAEQAERYEEMVDSMKNVANLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEETKGNDVNAKRIKEYRNKVETELTNICNDVMRVIDEHLIPSATAGESTVFYYKMKGDYYRYLAEFKTGNEKKEAGDQSMKAYESATTAAEAELPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAISELDTLNEESYKDSTLIMQLLRDNLTLWTSDIPEDGEENQKANGTAKLGGGDDAE >RHN80951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42140720:42141118:1 gene:gene4937 transcript:rna4937 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDQSISDDADNDRRILRNHDDDDDEDGIVGQLWSTIVSKVNTFESIDSFVCYGLLSMLPLLIVSSWLSLYSRYVLFVLYVGLACPIEEHCICLDSLV >RHN54209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7821373:7822170:1 gene:gene29209 transcript:rna29209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MQQNKNPFPISLHNTHVKLLAFDLLTLTPPISPNHSFTRNSIPITRAETVGTITLRDHKPFKFLRFAIDDGTGCIPCILWLNHMTSPHLARRRSPQDLCLLADAAARSAEVVKVGNVARVRGRVTEYKGGVQITVTDVISERDPNVEVLHWVECVNLARNCYNLVNVSSSSGSGSGSGSVVPKLN >RHN74454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33548808:33556815:-1 gene:gene10530 transcript:rna10530 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSSSPSHLQIFPHFLTSPQFSRTFPPATRNPLRLRPLTAFKEWRDYEEAVKRKDLAGALSFLKSLETEQRVTAEPFTDGDSLVAELTRSRFRELEMFGPQRDWEVLDTCMNADNMKLVANAYKFLKDRGFLPNFGKCRNIVLEGPRNVTPDVLSSSTGLEVTKLAPKKWGLSDGSSTALFAFFGGVSFLISQGIDLRPNLAVILGLAFADSIFLGGTCLAQISSYWPPYRRRILIHEAGHLLIAYLMGCPIRGVILDPIVAMQMGIQGQAGTQFWDEKVASDLAEGRLDGSAFDRYCMVLFAGIAAEALIYGEAEGGENDENLFRSVCLLLEPPLSVAEMSNQARWSVLQSYNLLKWHKAAHQAAVKALESGGSLSTVIRRIEETLYSEK >RHN67150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24351077:24357822:-1 gene:gene15299 transcript:rna15299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative COG0325 protein family MTSPVMAEAAAVLAFRSVMLRVQQAAERSGTKPDRVRVVAVSKTKPISMIRQLYDAGHRVFGENYVQEIVEKAPQLPQDIQWHFIGHLQSNKAKTLLSGVPNLAMVEGVDNQKVANNLDRMVSNLGRNRLRVLVQVNTSGEESKSGVDPSDCVDLAKHVKLSCPNLEFSGLMTIGMPDYTSTPQNFWTLSNCRTEVCKALEMDEEQCELSMGMSGDFELAIESGSTNVRVGSTIFGPREYAKKQ >RHN39959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11904811:11905227:-1 gene:gene46043 transcript:rna46043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MASFNGFYDVVTIIIGFTLLFTTSLCSEISQPPSGGPSNDVASAPKPLSPYEKYLTNCASKLKPAECGKQIFSGVFVGNRIISYYCCHSLVNDVGKSCHYDLTRNALQWPAYAKNKTEILKRNDKVWNDCIAVRPILP >RHN59286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9114652:9118878:-1 gene:gene21291 transcript:rna21291 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNCMTSAKFICLDYISSRVSEVGLAAFFYKTAFGQKLSPCFSFHFAQPCLVVGFKRTTWSVRSSIDDSSFSPSNGTNGRTRIIRVIQEFQTTLGSKIEKLLLLWKALVLSCTVLLFLYLPKV >RHN50270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5666461:5672782:-1 gene:gene34542 transcript:rna34542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-I-2 family MVRQTNLVIIGVTVGLTLGILISCLLFFGIRWCRKRSHLSRSASEPSLTTLPIRTNGPGTSIDFSASITSSIGTSRSDNPQKNSHFTWWNNQNKDRFASASGILKYSYKELQKATQNFTTTLGQGSFGTVYKATISTGEVVAVKVLANNSKQGEREFQTEVSLLGRLHHRNLVNLLGYCVDKGQRILVYQFMSNGSLASILYDEEKKLSWDDRLQIAVDISHGIEYLHEGAVPPVIHRDLKSPNILLDGSMRAKVADFGLSKEERFDGRNSGLKGTYGYMDPAYISSSKLTTKSDIYSFGVILFELITAIHPHQNLMEYVNLAAMEHDGIDEILDKQLVGKCNVLEVRQLAKIAHKCLHKSPKRRPSIGEVSQGISRIKQRRLQNVMEDNLSFANSNNFSRAAGRLEDRQVELSRIVTMTIKETV >RHN77390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6533133:6536604:1 gene:gene837 transcript:rna837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rossmann-like alpha/beta/alpha sandwich protein MLRDEIIGSKSARHSTTAAVSSNKVLVAVKAEKVISNTALAWALTNIVHSSDSITLLAVYSTEKTGRRFWNFSRIGGDCSNLKKLADAGKSPEEISDISESCAQMIFQLHNHVEVRVKIKVVTGTPSGAVAAEARWSGSHWVILDK >RHN40029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12366397:12367634:1 gene:gene46119 transcript:rna46119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MGVASHTLEITVISGENIHVIEDAYVVVRGESLNCYTTKTVKDNDDCRKNSSFLSWNEKFLLNMPLHARSITFEVQCKKFKSVRPIGVARIAVSDILNGAESENCSRILSYKLRDWEGRQNGVIHFGVKVVAPEERSVTVAEKETLADGKSYGDRLTGIDVGTKNSNGGVIGIPFRWNYPGIV >RHN63564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52237276:52237998:-1 gene:gene26278 transcript:rna26278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLYINPTPPIFEFGKILGSLVKINHFNIVISLSRQMELRGIQTDVVNSSILINCFCHLGHLNYAFSVLTKILKLGFEPDTITLTTVMKGMCLTGQVRKALHFHDHVIAKGFKLNHVTFMGLWSTDYVNLEKQELPCRFLERLMGRWFGLMWSCTIQLFIVCAKINL >RHN41401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29720948:29723691:1 gene:gene47719 transcript:rna47719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-PERK-1 family MAPNLNSNSKVSASSSSASSILSFSSPFLPLFENKKDPGKKKDDKDKDKDKAQSPSKSDPPESSPSHSPPPPKPHSPPKVLPPPPSPPLPSPPPPSPPPNSPPPPSPPPPSLSPSPALPPPPPLPNVQYSASALSSPPNSLRKQPPPSLPPPEKSPTSSSSSSSSSSSSSSSSSSTSSKDSPHKAMHAKSGSSHSSGHTPGEIAAYAIAAVLVVAIVVAAVFFAFRKKKTKGDAYVGPYIPPPGSFHIKSSANGHYYVQQPSPGNIYGNVNVEGRNTKHLGGGGGPLDPAQPISAQIAFTYDMVMEITNAFSSQNVIGQGGFGCVYKGWLPDGKEVAVKTLKAGSGQGDREFRAEVEIISRVHHRHLVSLAGYCISEEQRVLIYEFVPNGNLHHHLHAGSGMPVLAWDKRLKIAIGAAKGLAYLHEDCSQKIIHRDIKSANILLDDAFEAQVADFGLAKLADAAHTHVSTRVMGTFG >RHN66068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8251823:8252248:1 gene:gene13980 transcript:rna13980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEQPRYIRSSINVGSDHVMILDAVDLNLARPHHTHATNRSTRFTLLRPYVVRHHNLSNEVQTTTRNTETIERHSYRYLSKIRVEEGMESEEMMCSICLVELLVGTQATRLWCSHLYHEGCIMKWLCRSNTCPLCRQIVQNM >RHN57620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40594849:40595349:1 gene:gene33196 transcript:rna33196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MCLQNKVDQFVKNFRSPVINSFLVNFYLNCEQSNTIDQWISFAIAMGVGRIDLLFLGEPYLAHSSPRKYYKFAFDLFSEPNAYALKHLRLECCIVYNPTNCDFIPFKNLISLSLRKVEVDEMFIESLFPDCLLLEELYLASCNFKSSTPKIVRSSLCHFKVTGCYI >RHN43741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48114089:48128015:1 gene:gene50362 transcript:rna50362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MATPLDGGGGVALLSNSAANKVDSSSTINGGLKCSKLDSPILIFLFFHKAIRNELDVLHRLAMSFATGNRSDIRPLFDRYHFLNSIYRHHSNAEDEVIFPALDRRVKNVAQTYSLEHKGESNLFDHLFELLNSSTKNDEGFPRELASCTGALQTSVSQHLAKEEEQVFPLLIEKFSLEEQASLVWQFLCSIPVNMIAEFLPWLSTSISADESQDLRDFLIKIVPEERLLQKVVFTWMEGRSSVSRSQSSANTIQSCADHSQVQCCSSPLTHQVGRVNCICESTTTGKRKHSGSMLDVSDATGTHPIDEILLWHNAIKKELSEIAVETRRIQHSGDFTDISAFNDRLQFIADVCIFHSIAEDKVIFPAVDGELSFFQEHAEEESQFNDFRCLIESILSEGASSNSEVEFYSKLCSHADHIMETIQRHFHNEEVQVLPLARKHFSFRRQCELLYQSLCMMPLKLIERVLPWLVGSLKVEEAKMFLRNMQFAAPATDSALVTLFSGWACKARNEGMCLSSGTSDCCPAQRLSDIEENIDRPSSVCSSASSRRHCSVILESDGNKRPVKRNTFKLNNGDVTEISETESIQKQCCSPRSCCVPGLGVNSNNLRLSSISTAKSLRSLSFSSSAPSLNSSLFIWETESSSCDVGSAERPIDTIFKFHKAIRKDLEYLDVESGKLSDSDETIIRQFSGRFRLLWGLYRAHSNAEDDIVFPALESKEALHNVSHSYMLDHKQEEQLFEDISFVLSEFSVLHEALQLTHMADNLSDSNFGTSDVNDGDDVKKYNELATKLQGMCKSIRVTLDQHIFREERELWPLFGKHFSVEEQDKIVGRIIGTTGAEVLQSMLPWVTSALTQDEQNKMMDTWKQATKNTMFNEWLNECWKESPESISQTETSHCSTSHRGSEYQECLDYNDQMFKPGWKDIFRMNQNELESEIRKVYRDSTLDPRRKAYLVQNLLTSRWIAAQQKSPKPPSEGSSNGVKIEGHSPSFRDPGELVFGCEHYKRNCKLRAACCGKLFTCRFCHDNVSDHSMDRKATSEMMCMRCLNIQPIGPICMTPSCNALSMAKYYCSICKFFDDERNVYHCPFCNLCRVGQGLGIDYFHCMKCNCCLGIKSLSHKCLEKGLEMNCPICCDDLFTSSATVRALPCGHYMHSACFQAYTRSHYTCPICSKSLGDMAVYFGMLDALLAAEELPEEYRDRHQDILCNDCDRKGTSRFHWLYHKCGSCGSYNTRLIKRETHSSCS >RHN64741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61458317:61463449:-1 gene:gene27592 transcript:rna27592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerol-1-phosphatase MNLTTVRNFGPTWPVGCRINGPRQFSLFTLSHLRSESETLTSHNRWFDFRFPVSAHNSATMGNPSSSVGVRKPITHVVFDMDGLLLDTEKFYTEVQEIILARYNKTFEWPLKAKMMGMKAIEAAKVFVEETGISDSLSADQFLVEREDMLRSLFPTSELMPGVSRLLKHLHAKGVPIAVATGSHKRHFELKTQRHGEMFSLMHHVVLGDDPEVKQGKPSPDVFLAAARRFEGGPVDPSNILVFEDAPSGVRAAKNAGMSVVMIPDPRLDKSFQDAADQVLNSLLDFNPSEWSLPPFEDNGN >RHN64675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60914681:60914887:-1 gene:gene27516 transcript:rna27516 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSYVCERQQKELRRQQAPGSCPYCGGKVEAMDVEVQSKLCFLPMCFQIKRRYFCTHCSKRLEILFE >RHN53179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42553256:42555225:-1 gene:gene37954 transcript:rna37954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A(2) MKINMVLIKYFALLFFTFEFNLLTNHVYALNIGAETTGVAVSVSKECSRQCESSFCSVPPLLRYGKYCGLLYSGCPGEKPCDGLDACCMKHDQCVTVKNNDYLSQQCSQTFIDCMEKFRNTKAPTFKGNTCQADDVIEVIKIVMEAALLAGRVLHKP >RHN59016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6682241:6685068:1 gene:gene20997 transcript:rna20997 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKNKQKGISSSPCSELFGSKQCHSSSSSSPGIYGSIFSTQSPKILGRQSVRSEVSSKTTNDTLNTKTVTQESIFKDNGGEAHKTKKTDMSWLYKGQRAHPCHLSSSIYYGGQDIYPIPQSTQNAAFNSKHKNPGGEDDSHMATRGDWWQGSLYY >RHN82352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53118248:53120061:-1 gene:gene6514 transcript:rna6514 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNQNQGNVQGDEKNKEKSPMTWHEIVCDGSEKKKGSKVPVYNHSRLRRSGRTCYFGPQPKQGTAGTVVGNPVDLCDEEE >RHN58781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4847548:4849771:-1 gene:gene20725 transcript:rna20725 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPTLTPLLPLRHHRPSIPKDPTIRPPHPLHLLRSSLVKPRNPRSDSQITKPNGINRFQKLINVTQTDEATVLLSPTILTFLMAIHFFLLIFNHRIHDR >RHN82694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55744315:55748785:-1 gene:gene6874 transcript:rna6874 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMDLRRGINMDVDAVVTNLKSRARMIDTSEEITQGRLKQCLIRLSSPSKHHFSI >RHN49245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53545063:53547922:-1 gene:gene44056 transcript:rna44056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MLTGRNSGPLILPKEGLLSHPDVSNTKPLPSWLSQEDVAYYASKFEKTGFSGGLNFYRNLNLNWELTAAWTGAKVKVPVKFITGDLDIVYVSFGAKQYIESGGFKMCQIWRKWLYRVQVCSIYHQLYLPNLGTWMNDTWKKRIGSD >RHN63456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51419032:51423945:-1 gene:gene26155 transcript:rna26155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain, Zinc finger, ZZ-type, Next to BRCA1, central domain-containing protein MDSSLVIKAKYGDALRRFNVRVDENNRLDLNMVGLRSKICSIYNFPADVNLTLRYVDEDGDLVNLVDDDDLHDVMRQQLKFLKIDVQMINQSGAKSDAGGSSGSATPLSYPPVSDPFLNFVKADALQALPEPIREALYSSFSKAASSNPVLANIADSISKIGQSILNPQGQSHVASGTSSKNGLPSESVTPKAKGPQSPCVDSAPIAGDYARSSGTAIPLRSPGNVVKTNVSNSVLQEALSNLSLSKPASSRQVPSNSTDSIPQTGQSTVKSHYWGPPVAIGTSSKNDASGQVESKNTGVAPVDLNVLPCDPYSSTNVNRVSPSSAVPVSDDKGKSSIDDKGNFVFPNNNATKNPTLGFSAPIDCPFSGTHTLHSMPPPLGNFRISPFKRSHAHSDALNGMFHKGVRCDGCGVYPITGPRFKSKVKENYDLCIICFNEMGNQIDYIRMDRPASFRSPRCSYQNTKEFRHPKIPPPIFKTGPLSKHAKSKLDSRFILDVNVIDGTMMAPSTAFTKIWRMRNNGTSVWPKGTQLVWIGGDKLSDSLSVDLEVPEDGVSVEKELDIAVDFRAPQLPGRYISYWRMASLSGHKFGQRVWVLIQVDASLKDSFYDSSQGLNLNIPLGVGSSEGPRVIDINVQPIEDDVFHQPQNPNAPPEPVNQMVDKEQWEELGNEFPTNETSFVGPAASAPAISASPSSISYPIIDFSGTAPAVPSFSGTAPAATPFSGTAPAATPFSGTAPAAPSSSGTAPAAPSFSGTAPAFHSNQQTSTLDALSSSQSMDNDLVEEALLKELEAMGFKQVDLNKEVLRMTDYNLEQSIDELCGVLDWDPLLQELHEMGFRDKETNRRLLMKNDGSIKRVVMDLLNGE >RHN80934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42015529:42017860:-1 gene:gene4918 transcript:rna4918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannan endo-1,4-beta-mannosidase MGFQILLLTYFIVSLVIFQHGNCQRVNVGGGFVQRKGTHFILNGKTHYVNGFNSYWLMTMASDPSTRVKVTSNFQQASQHGLNVGRTLAFNDGDYKPLQISPGKYDENVFKGLDFVISEANKYGVKLILSLVNNWNDFGGKKKYVQWARERGQNVKIEDDFFINPLVKQYYKNNVKAVLTRKNTINGVLYKDDPTIFAWELMNEPRCIFNDSGKSIQNWVVEMAAYVKSIDSNHLLEIGLEGFYGETMPQKKLFNPNSLPVGTDFISNNQIPQIDFATIHLYPDTWLQGSNETAKSAFVDKWIEAHFHDSNTILGKPIIVQEFGKSSKTPGFSIAIRDSYFKKVYNAISKSAMSGGSCAGGIFWLLLSQGMDTYGDGYEVIFENNPSTAEVIKQQSVTMSKIK >RHN57825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41973535:41974761:1 gene:gene33414 transcript:rna33414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rossmann-like alpha/beta/alpha sandwich protein MTMNKVNKSQVIVLSILLLLLVITPLLPSSLRPTYLYLIFNILIIALGAEAGLLSVLSEPSEDKKQHVSVTQPKHEMLEQEEKEASNIINNAYSVSEEQNENKPKVVEKSVSEKKIVYVDVGVSKVDKVKKCPSMPSIFFIEDGEDDLEVKDEEVEVEDEICGVNGQELFAKAEAFIGNFYKQLKMQREECWDS >RHN42190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36246750:36247530:1 gene:gene48601 transcript:rna48601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin MNIKDPFDIVPCPCLYLLIDPCRCTFLDLLFMSSGFCLNIIFAGKQLEDGRTLADYNIQKESTLHLVLCLRGGMQIFVKTLTGKTTTLEVESSDTIDNVKAKIQDKEGIPLDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFIKTLTGKTIPLEV >RHN42042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35026506:35030955:1 gene:gene48431 transcript:rna48431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SecY/SEC61-alpha family protein MGGGFRVLHLVRPFLSFLPEVQTADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIIVQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLHRKYSGNFIVNLLGKWKESEYGGGHSIPVGGIAYYITAPSSLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGICIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGF >RHN62268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42207523:42210649:-1 gene:gene24823 transcript:rna24823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MKKVVAVVVVVVLALFLGASSEQLSSRECENLGFTGLALCSDCNTLSEYVKDKELVSDCLKCCTEDSNDATTKITYSGALLEVCMRKLVFYPEVVGFIEDEKDQFPSVKVQYVFNSPPKLIMLDNAGQHKETIRIDNWKREHILQYLREKVEKVKPSAAV >RHN71220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57329702:57334672:-1 gene:gene19873 transcript:rna19873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase A2 MADLLSLSLILFFYFLLSSSQDLFLFVHAESNNNLTVTPINYDLYHSSGSLMHEIKALVHRHPNKFSMETVKAGNKGYGAEIAVVTYCKGKRDSPEKPKLRILLSFGQHGRELITSELALRILSILSEEKLLPGMDQASLNSILDKVVIKVVPMENLNGRKLVEAGDLCERRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGTAPFSEPESQIMRKLAVSFEPHIWVNVHSGMEALFMPYDHKNTTPEGLPLQRMKLLLEEVKQLHCQKRCVIGSGGGSVGYFAHGTATDFMYDVVRVPLAFTFEIYGDGTASSRDCFKMFNPTDLASYDTVLNDWSAAFFTIFKLVPHQLGEIQSKASVFKLDKLVSIDEYLDGYLMERRNRYGKKMEVLELGMQEIRTYYRLFLLSSVLLLCMFCSRISKTKSRPIVSAMPL >RHN58339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:961141:964876:1 gene:gene20243 transcript:rna20243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 9 MAATATYYLHSKISKPFSHFTTNTFSIPSTYPTNVSLLTSLDHPSHCYNSTRRLNLRVNAKKNNPWLDPFDDGEDPNIEYGSLFSDGKQDEDPRPPDNPKNPYGFLKFPAGYMVEIAPLGLKVRGDVRRCCCVVSGGVYENLLFFPMIQLIKDRYPGVQVDVVGSERGKQCYELNKNVRWANVFDPDDEFPEPAEYTDFVGVLKSRYYDMVLSTKLAGVGHAAYLFMTTARDRVSYVYPNVNGAGAGLFLSETFTPDSTNLSEGGYHMYHQMEDWLGRPFRSVPRQVVPPLKISLSRKLKEVVEEKYTKAGVKKGRYIVIHGIQSDSKATMQSRGDPDSLLPLEVWAEIADAVREFTPLFVIPHEKERENVEEIVGEDSSIIFITTPGQLAALINDSAGVIATNTAAIQLANAREKPSVALFSSKEKGNKFVPRAEEKKCIVISSKTGKLINIDVEAVTNAIQTFNVSLAFA >RHN49149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52810028:52811956:-1 gene:gene43947 transcript:rna43947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MKYRDLGNVHNEMDDDSKEDEEWVSNIVIMGVSMLLLALVTIAVVANVGDSKNVAAMKNLNSVCAKTEAPESCLHVLKRVGETATAVDYAKAALNATLKELSLVNMQKPYLEKILTPLQAQSYRDCLELLNMGKDELESLYKLANSSIEDIFQIYPDDVMNSLSAIISYQQTCVNELVRTNSYEILAYSLKIPILLTRITLAIVYNFVERPKIEVQQLDGFQRLNLRAAHKLIEVQHTRIVVAQDGSGQFSTITESLNYCAKNRNNSCVIYVTKGKYEEKVAVPKNLDQVLMYGDGPMKTIVTGIKSIDPKVTTPFRSATFVVKGKRFICKDMGFTAPASVPGASALLVLSDHAAFFSCKIDGGEGSLYAVAQRQFYRDCEIHGSVDIIKGDSATIIQNSQIIVKHRNSSSLALRKNVVSVQSRLDKYEKTGLVIQNCTIIAEQGKINDKSLVGSTCLGTPRDQYSRTIIMESFLGDVVRPKGWCKFSDNYGIDTATFREYNNRGPGARNDMRVHWESYRTNSQNWKSEMMSFTAAEFIQANQWLTNTGIPYESGFFFHK >RHN65195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:164726:167932:-1 gene:gene13013 transcript:rna13013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MELQPDHATLVVTLSACGAIGSLDFGRKVHSFVRDGVNSFGESISVFNALVDMYAKCGAVEEAYETFSNMKRKNVVSWNVMILGFASHGNGEEALALFTRMLHENVERPDEITFLCVLCACSHGGLVDEGRRYFEIMNRDYNIKPTIKHYGCMVDLLGRAGLFVEAYELIKSMPVECNAIIWRTLLAACRNYGNVELGEKVRKHLMELEPDHSSDYVLLANMYASTGQWNEMSKERRSMQERRVRKPEPGNSFIGIPGTRFEKEIVERLL >RHN61365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35187052:35188632:1 gene:gene23825 transcript:rna23825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MELTSSTAAGVLVESTSFKGLAKGSARGGAPSAWLAVYKICWSTGGCSSADLLAAFDDAIFDEVEIISVSLGSYPPLPSYVEDVLAIGSFHAVAKGVSVVCSGGNSGPYAQTVINTAPWVITVAASTIDREFPSTIILGNNQTIQPRSQRSATAAVRTVMEVEGVGLIYAQFPTNDVDMCWGIPSVQVDFTAGTKILSYMEATRNPVIKFSKTRTVVGQQMSPDVALFSSRGPSSLSPSVLKPDIAAPGVNILAAWSPASYSSQQSDASQDELTALNFNIESGTSMSCPHIYGIIALMKTVCPTWSPAAIKSALVTTGNKDLQTCLF >RHN69711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45711770:45713952:1 gene:gene18217 transcript:rna18217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSHTRQNKITMSLNVVPKHVGDTTYTKIFVGGLAWETKRDTLKRYFDQFGDILEAVVITDRTTGKSKGYGFVTFKDPNSAILACQNPNPMIDGRRANCNLAYQKPDPSLTGKQKFNSPSRNTSPIQVQGSSAYYNQHIPQYAFPYPLYRYPHPGYPRPQDIYEMSYNNAYGGGPQFPFRWFPAYYQPYYGLSRQLIPTAYMKKTQFHDVVGISEPISPSTSISSTGVITETVGPTRVVEVEPSKDQTTSA >RHN69944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47486219:47489112:-1 gene:gene18477 transcript:rna18477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galacturan 1,4-alpha-galacturonidase MESEKTTLMILGSYTKPSCSILFLFFTFLTILSLQFSTRSLFPLSILLSQQPQPSLVDPTTCSGFFNNVPPRKIIKSIVDFGGVGDGKTSNTQSFQRAIRYMQRFRGKGGSQLNIPNGTWLTGSFNLTSDFTLFLHHGAVVLGSQDIKEWPIIEPLPSYGRGRERLGGRHISLIHANGVRNVVITGENGTVDGQGRMWWELWWNRTLVHTRGHLLELMNSENVLVSNLTFRNSPFWTIHPVYCSNVVIKGMTILAPLNAPNTDGIDPDSSTNVCIEDNYIESGDDLVAIKSGWDQYGIAVAKPSTNIIVSRVSGTTPTCSGVGIGSEMSGGISNITIENLHVWNSAAGVRIKSDNGRGGYIKNVSISNIRMERVKIPIRFSRGSNDHPDDGWDPKAVPRFKDILISNVISVNSTKAPVLEGVKGSSFEGLCFKNITFLGVALSATWHCEYVAGFTNGVFPLPCPELQNNGTSSWC >RHN64035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56081183:56085987:-1 gene:gene26811 transcript:rna26811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyltransferase MDANTDEFYRWHWFRKFSLEGEVCFMLTTLLHPFRLFQNGLCCLDFVLFCFLSNLWTACFFDWGVKFKKVKPRINMDPFKVDDVEGSVCIYPMVLVQIPMCNEKEVFWLYQVYAQAIYAVCQIDYPCDLLLIQVLEGSEDEIIEWLIKVEVSKWNLKAVNIIYRHRLARTGYKAGKLNCAMSCDYVKNYVFFAIFDTHFKLSYKV >RHN79340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27748948:27752723:1 gene:gene3117 transcript:rna3117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S5/S7 MNQCTKIRVYHIPYSLHSQQLQFGCRIHHIHAMADVIEEPVAVVSDPNLIEVKLFNRWSFDDVQITDLSLGDYIGARSKHATYVPHTAGRYSKKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIIKHAMEIIHLLTDQNPIQVIVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >RHN60615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28872436:28873023:1 gene:gene22955 transcript:rna22955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEIYNRRLLLLNEDVPISTSISPFPQIQPNASSPSISITPPSKTFTPPLQLQLPSHPIFSSNIAYIFLLLFSTLFFLAFILLSFRELSFRRRRRYSLRQGLDSAAVKSLPMCEYKEDVKQPDCVICLEEFEVCEEVKMIPYCKHVFHAECIDTWLSAHVTCPICRCVIVVCGGGGNVDEHVGRSTVENEGDGEVG >RHN47179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37706100:37713787:-1 gene:gene41745 transcript:rna41745 gene_biotype:protein_coding transcript_biotype:protein_coding MEIETKFFCESIKTLIDELKIFGAVVNQRILCLDELQNLGSKIEYLLCKQIDDDVLIQNKGSSGGNIKAIHSGLRPSNILNLIQTSFKRGELQNQGLIEVFYICLSKGNSRRIHFGLEPPKFQNVLFVECPTTYHLKFHICVNKEGQINSITWNKKMSLHNNEPFLDFKFKQPLAKDGKITSQLQHNSTSSNYPYKYKETMPRSYPKFKHKREEIAQYVSFVVVLTILFGSLFFDMWIEPLLMKVQRGPIWVSSLRFFGFMAFVWAVAIMILVGVPFVRVQRVVFIFWAILMHLKSHNSITAMCVPILGIVVFGVFARGKF >RHN54096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6906254:6907216:1 gene:gene29080 transcript:rna29080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MTMVMDEFLFKTLIASILSLLIGILIYHLKKTHGHRHKTAPQAGGAWPIIGHLHLFGSKQLTHKTLGMMADKHGPIFTIKLGSYKVLVLSSSEMAKECFTLHDKTFSTRPLVAASKLMGYNYAMFGFTPYGPYWREIRKLATLELLSNHRLELLKDTRVSEIEAAVSELYRLWSIKGCPKEGVLVDMKQWFGDLTQNIALRMVGGKTYYGAGEGEARRYKEAMRDWVCLFGMFVVSDAIPFLGWLDIDGHEKAMKKTAKKLDTLVEGWLEEHKKKRALGNSRKEEQDFMDVMLNVLQDSEICGYDSDTIIKATCLVCFEL >RHN51704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23169914:23171666:1 gene:gene36205 transcript:rna36205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MATEDESTTSTKYNSVKHDYDTADRKSDSGKAPKFNGDPEEFSCYGTFLKMELMIWIWMKKELLFTKSITRIIVASIPRTEYMKMSDKSTAKAMFASLYANYESSKKVKEAKTLMLVHQYELFKMKDDESIEEMYSRFQTLVSRLQILKKSYVASDHVSKILRSLPSRWRPKVTAIEEAKDLNTLSVEDLVSSLKVHEMSLNEHESSKKNRSIALPSKGKSSKAYKASESEEESPDGDSDEDQSVKMAMLSNKLEYLARKQKKFLRKRGGYKNSKKEDQKGCFNCMNPRHFIADCPDLQKEKSKSRSKKPNFSSSKFRKQIKKSLMLTWEDLDSESGSDKEEADDDAKTAMRLVATVSSEAISEAESDSEDENEVYSKILRQELVDSLKELLSLFEHRTNELSDLKEKFVDLMKHQETTLLELKASEEELRGFNFISTTYEDRLKILCQKLQEKCDKGSDNKHEIALDDFIMAGIDRSKVASMIYSIYKNNGKGIGYSEEKSKEYSLKSYCDCIKDGLKFTFVPKGTDAVIAVQSEPEASGSKAKITSKPENLKSKVMTKSDPKTTKI >RHN52273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33333695:33339056:-1 gene:gene36927 transcript:rna36927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator MED7 family MATATYPPPPPYYRLYKDYVQDPESAPEPPPPIEGTYICFGGSYTTSDVLPSLEEQGVRQLYSKGPNIDFKKELRSLNGELQLHILELADILIERPSQYARRVEEISTVFKNLHHLLNSLRPHQARATLIHILELQIERRKQAVEDINRRREEARRILNESLATLDGH >RHN41653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31811094:31813513:1 gene:gene47996 transcript:rna47996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MKVSPDGDIIDCVLTHKQPAFDHPLLKGTKPLDPPKRPRADNQIDNMSDIFQLWSLSGESCPEGTIPIRRVTEQDLLRANSISKFGSKLVDGVYREYAYGSVNGDGYTGAKANLNVWSPKTENKDEYSLAKISLSSQSGETVEVYENLYKDRLSRLFIYWTADKDGKTGCYNLLCSGFIQTNRKIVIGGTLTASAINRNQFDVALKIWKDPFVANWWLEYGSGNVVGYWPSRLFNELKGEAYFAQFGGEVLNLMRSGSHTTTQMGSGNFQSLGYRKSAYIRNMQVSVNVKNTWIDLPDPNYAALRPKCYSIRGAYSKKWGNYLYYGGPGHNDNCPL >RHN74906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37694869:37695309:1 gene:gene11040 transcript:rna11040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MIIIQCDSYYRSYYRCSYKSDEECEAMKHVQRIQEDPPLYRTIYYGHHSCKISLSNSEITLLEPNNTSSMFLSFNDNLQIKEQYPAFSSPLSVPTKQEPIDAINPYHNQLTSSDYPFSCDYKLEFDNFRHATMLSSTKSVPFHDGF >RHN79585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30303037:30304210:1 gene:gene3398 transcript:rna3398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MTTQIDSFNQVIQKNVYTLSDITNSIAYVSVAGNDYYDYMAIDGSLSGFPSFIESVIKQTTTNLIHLQSLGFKRIVVGDLQPLGCLPQATAQNSFQSCNSTFNDLVALRNNLMKQSITKLNQETKDHTTFTILGLFDSFRSVLNHPSSHNIKERLKPCCIGVSDEYTYGSVDSEHTTNKYLVCENLESTFFWDQLHPTQAGWNDVYNELEKRGLHQILY >RHN65761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5092526:5099708:-1 gene:gene13648 transcript:rna13648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling SNF2 family MSTTTNDPFSNHKLCGFLSTVLTVTPQHQNDTRFNDRCEFFNDNNAIAFRTQNGVVLNPIIDSTQCGESSNLSSSARKVKKVGMVNGSFSVVNQLHALVLRKCLDIDAHVLCVAEVDSRVRVVVIVDVYLPVLVWSSGWQFPKSGSVAGAVFRHLSCDWNERSSMLSDPDYCRKIHGENECIWNLSDCHVLGCKLHSSVSGSSRERLFELHEIFKTVPSPCVGNQHNFNISKIIPMDNTGRSGIWDISDDILIKILYSLGPLDLNRVSATCHHLRSLAASVIPCTKLNLFPHQQAAVEWMLQRERNAELLPHPLYVALSTDDGFSFHVNTVSGDIVIGETPTIKDFRGGMFCDEPGLGKTVTALSLITKTQGTLADPPDGSPVVWCQHSTNKKCGYYEISGNNTTGGYTIMGKRDVSQDSGRSNENHDFSSERAKLLNPDQEITKPHDSCSVGEDTSPPDACSEEYSPASRCTRSLSRVKKNLYFTYDEEAMISNGRRVGKRSIKTKHASDVASHVSQNKLVDTSYGSRQSYKLHGKRKVDCLEYSDTWIQCDACHKWRKLADNSMANSNAAWFCSMNTDPLYQSCRVPEQSFKNSSKITYLPGFHLKGTPGGVKQNVSFFTSVLKEHYSLVNSRTKEALTWLARISIDKLAEMETNGIRSPILNNCTLSNGTVNPYHKVFQAFGLKKKVEKGVYRWFYPKNLKNLTFDVAALGMALCEPLDLVRLYLSRATLVVVPANLVDHWKAQIEKHVRPGQLQVYVWNDHRKPSAHSLAWDYDVVITTFSRLSAEWGPRKKSALMQVHWFRIILDEGHTLGSSLSLTNKLQMTISLVASNRWILTGTPTPNTPNSQLTHLQPLLRFLHEEAYGLNQKSWDAGVLRPFEAEMEEGRSRLLHLLQKCMISARKEDLQSIPPCIKKVVLLDFNEEHARSYNELVLTVRRNILMADWNDPSHVESLLNPKQWKFRSGAINNVRLSCCVAGHIKVTHAGEDIQETMDMLVKNGLDSTSGEYTSIRYSLLFGGHCVRCKAWCRLPLITPCRHMLCLDCVSIDKTKCTYPGCDNLYEMQSPDSMARPENPNPKWSVPQDLIELQPSYAQDNWDPDWQSTSSSKVAYLVQRLKALQGTNEEMSSYTGNSNAMYIENSFPLHTSHSSSSFQECSSSISTNVVPEKVLIFTQFLEHIHVIEQQLAANDIKYTGMYTPMHSSNKKSLAKFQHDSSCMALLMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGASRPIHVETLAMRGTIEEQMLAFLQDADKRRRFHNKDVVKSEDGGGRGCRSVHDFAENNYLLELKFV >RHN57071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36251508:36252057:1 gene:gene32564 transcript:rna32564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MEPRFLAIFLVALSSPLFVQSLVRHYNFSVVMKNETKLCSTKSFVSVNGKFPGPTLYAREDDTVIVTVTNYVEHNVTIH >RHN76739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:886506:890475:-1 gene:gene112 transcript:rna112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MVKPENDDTVSGTSRRNQSGNANMERGKGSFQLVTGKGSHSYSKNQMNRIAKDEYSGSKLTCTFCICKKTCGVVCSRTKLLNILIVCGKPQAANVIFKKLIEEGHQPSLITYTSLLHALTMQKCFKSIHSLVSQVEEKQMKTNSIFYNAVINAFAESGNMEDAKKTVQKMKESGFRPSTGTYSNLIKGYGIVGKSGEAMKLLDLMLTDGNSKPDLQTYNMLIKALCQSGNMSEAWNIVCKMPASGMLPDSVTFNTMAIGYVLNSETAEAEAMILEMQRKGLEPNERTFTVIVNGYYKEGQIKEALQFVYRIKDLGFKPNLLVFNVLINGFVDRMDRDGVDEVLRLMKEFQIQPDVVTYSTIMNAWSQAGFQDKCKQIFDNMLQSGVKPDVHAYSILVKGYVRSMETEKAEELLGDMIESGVRPNVVTFTNVISGWGSSGRMDNAIKIFDKMCELGVSPNLRTFEALIWGYAKADQPWKSEEILQLMEEFHVHPKKSTFLLVSKAWRFAGLTEEAIRLRSISRAKQRINSIDEDGNVATTSERIYQKPHIAPLSSLLQCLPSSVGSTDKKGTALGARRNSRLPRDADLVTTLISTKFKCHSRTCRFVEGFSVMCHKRFQGQRGTYQLTNSCTPVFLN >RHN70987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55640785:55643597:-1 gene:gene19627 transcript:rna19627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-3-methyladenine glycosylase I MPVATKLQSHAKPVTEPRARAILKPGGNIVTVHEETTKRKKENLKKKTQVPKIQEREISDSVVGNNNVSLDSTCSSDSSSGNSLVKKVNSENGNGSAKMKRNNGFKPVRIVPDASHVSPPHKTSAPSKRCDWITPNADPLYTAFHDDEWGVPVLDDDRKLFELLVFSQALAEHTWPTILNHRDIFRKLFENFDPSSIAQFTEKNLVTPKLNGNPLLSEQKLRAVVENAKQFLKIQLEFGSFSNYCWKFVNNKPIKNEFRYGRQVPVKNPKAELISKDMMRRGFQCVGPKVVYSFMQVAGLVNDHLITCFRYQECNVAIKTEIKTEVKEIKV >RHN59494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11308350:11309435:-1 gene:gene21528 transcript:rna21528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRRKNMAKIIFYVYALIILFSPFLAARLVFVNREKPCVTDADCHRYRHGSAINVVVMFCYYGSCFMDYLHDPYR >RHN38948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2989805:2994243:-1 gene:gene44925 transcript:rna44925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-tubulin complex component protein MNKGKVYKKRLETFIEGDKITETRVQDVAWLCSLSESEIDMLISLKLLIIKRAEWIGCKNLANKFDLKMLRAIALVLMENLKAEVKDASLVPDMVKSTAFLDVCNLLNCNSEVSATIEELSTSVGADIQPILQGSSLYCSPSPKRKKQKVAGRSASLKLFSSRKSLNL >RHN52819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39187885:39188265:-1 gene:gene37536 transcript:rna37536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MASLIHSNLVSLFCHIFLLLIISYPPNILSQQPTSSSPTIAPCTSSLLPLFPCMPFVQGAVSTPATGCCSNLEQLYSQEPHCLCFLFNNTTFTSFPINRTLALQIPTFCNLHVDNSVCPGHIINHI >RHN62324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42629268:42630074:1 gene:gene24886 transcript:rna24886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MINKTACAPCRHQKKKCMKDCFLAPYYPTKKKQEYDLVHKVFGYKKLEKMLRNLDEQGRKKAIESFDWEAKMWKQDPINGPLGAFTEAQNEIARLQNLLQQQQDQTVPSSDPKFMGLAQNSFSYNSDAVPVSSNGNWSSFEAPFVANNNVVHNPYFEYPYGGNSFGSIGALQNEETNLLNCVVAGPNVWQPSQPNYLQSLASSSGTKVTVDHNIITNQHMGMQGEGQQHSKYYSFGNCKEFFSLLLSLVGIDICAPFSLASFLLNIKC >RHN49097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52382676:52387293:1 gene:gene43888 transcript:rna43888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galacturan 1,4-alpha-galacturonidase MRRPSTLVDVFLVLALFCCSSWKVWSSTLCKETNLDKVRPHSVSITEFGAVGDGITLNTKAFQNAIFYLNSFSDKGGAKLFVPAGRWLTGSFDLISHLTLWLDKDAIILGSTNSEDWPVVDPLPSYGRGRELPGGRHRSLIYGCNLTDVIITGNEGIIDGQGSIWWSMFRNKTLDYTRPHLVELMNSTRVLISNLTFLNSPFWTIHPVYCSQVTVQNVTILAPLDSPNTDGIDPDSSDDVCIEDCYISTGDDLIAIKSGWDEYGIAFGRPSTNIVIHRLVGKTHTSAGIAIGSEMSGGVSDVRAEDIHFYDSYTAIRIKTSPGRGGYVRNIYVTNMTLANVDIAIRFTGSYGDHPDDAYDPNALPVIEKITIEDVIGENITKAGLIEGIEGDNFVNICLSNITLNVSSNYPWNCSNIRGYSDMVFPEACEPLKERIFPDHCSDCYYLSNHRQSSNNQNRGQKFHLT >RHN43281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44596678:44597394:1 gene:gene49829 transcript:rna49829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MDYVKKCKRVWGSNNRLFPEESGSGSYGKLPKESHKKQKDSTKKPPHGCLCVYVGPERQRFVIKIKIFNHPLFKTLLEDVENEYGYRNDGPLWLPCDVDLFCEALVEIESAEDHDLGFVGCNFPIVHKHNPFLILHCLVAPLT >RHN80152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35602714:35603235:-1 gene:gene4042 transcript:rna4042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative imidazoleglycerol-phosphate dehydratase MDGTGVADASSRVSFLDHMPYVSIFNSITITLPLLFIYEALTFNRHLRRPNFSCLTPTCVCLIRSALLQALGDRNGINRFGNFSAPLDEALVHVSMVTCVLFV >RHN58191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44459879:44466355:1 gene:gene33808 transcript:rna33808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxygen-dependent coproporphyrinogen-III oxidase MPCTISISAPSYSITPFFTFTSSKSTQISHISHTPPKSLTTQTRKTTSSHHFQRLITKATVSIEKETPESERPDTFLRGVDNDGATASSVRARFEKMIREAQDKVCNAIEQADGGGKFKEDVWSRPGGGGGISRVLQDGAVWEKAGVNVSVVYGQMPPDAYRAAKGAAAASSDQKPGPIPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPAYIFEEDVKHFHSVQKQACDKFDPTFYPRFKKWCDDYFYIKHRDERRGLGGIFFDDLNDYDQEMLLSFATECANSVIPAYIPIIEKRKDLPFTDHQKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEVGSEEWKLLDACINPKEWL >RHN68645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37403379:37417506:1 gene:gene17023 transcript:rna17023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosinetriphosphatase MVSTRRNSGGSFPNNNNNSGKRPSSSSDDKPPSSPSSKRQKPDNGAASSEKPPENSNPEPSADPGKCAQPDAQIDEPVAAADDDKADTTPPIADASTPTLVADKPRASFSSWSLYQKQNPNLESSAPWCRLLSQSAQHPNVSICIPNFTIGSSRNCNFHLKDHTISGNLCKIKHTQREGSDVAVLESTGSKGSVIVNGTLVKKSTCCTLNSGDEVVFGLHGNHSYIFQQVNTEVAVKGAEVQSGIGKFMQLERRSGDPSAVAGASILASLSNLRQDLTRWKSPSQTASKPHQGADVSIHTVLPDGTEIELDGLGNSTPSMGTDKAADAEASNKNTPMDCDPEDAGAEPGNVKYSGVLEERNGAEDTQAASTSGTSVRCAVFKEDAHAAILDGKEQEVSFDNFPYYLSENTKNVLIAACFIHLKHKEHAKYTADLPTVNPRILLSGPAGSEIYSEMLVKALAKYFGAKLLIFDSQLLLGGLSSKEAELLKDGFNAEKSCSCPKQSPTATDMAKSTDPPASETDTPSSSNVPTPLGLESQAKLETDSVPSTSGTAKNCLFKLGDRVKYSSSSACLYQTSSSRGPSNGSRGKVVLIFDDNPLSKIGVRFDKPIPDGVDLGSACEAGQGFFCNITDLRLENSGIDELDKSLINTLFEVVTSESRDSPFILFMKEAEKSIVGNGDPYSFKSKLEKLPDNVVVIGSHTHSDSRKEKSHAGGLLFTKFGSNQTALLDLAFPDSFGRLHDRGKEVPKPNKTLTKLFPNKVTIHMPQDEALLASWKQQLDRDVETLKIKGNLHHLRTVLSRSGMESDGLESLCVKDLTLTNENSEKILGWALSHHLMQNPEADADAKLVLSSESIQYGIGIFQAIQNESKSLKKSLKDVVTENEFEKRLLGDVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATDAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVIVLAATNRPYDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSSDVDLGAIANMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKELAAAVAEGRPAPALRGSDDIRSLNMEDFKHAHQQVCASVSSESVNMTELVQWNELYGEGGSRVKKALSYFM >RHN74616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35209924:35211656:1 gene:gene10713 transcript:rna10713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L1 MATVTTNSDTVAKAVDSLLKWRKSRLETEKPKLFDEDEEFVYLILTLKKIPSKGRVNPHKIPIPHSLISAASEQCLIIDDRANKPSRITKDEAQKKVQSESIPISKILKLSKLMTDYRPFEAKRKLCNSYDLFFADKAIVPLLPRLLGKQFFKKRKIPVQVDLQKKNWKEQIEKACSSALLFLRTGTCSVVKVAKLSMERDEIVENVVAAMEGVVEVLPKKWAVVRSFHVKLLESLALPVYQAVPDVRLKIEGVKDLEDKLVKDEKKVKDAKKSKKKGRIHEIKYMDDRMSSDGDDRSVDIDLDDDIDDVVGGVGGGDKVDDDSENGEIESGVLVSKTGKKGVKKEDSSELGSVKSLKGSAKRKKKDGLDVKSAEEGSIENSEKKKKSSVKEKGSEKKNSKKELLVKDEGSDKKKRKTADVKVKGKKSKKAL >RHN61317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34746342:34751337:-1 gene:gene23768 transcript:rna23768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydrofolate reductase, Thymidylate synthase MASDSSVIINGNGNHNSEPNPQRTYQVVVIATRDMGIAKDGKLPWTLPIDQKFFEDITTVTSDPGKKNAVVMGRKSWEAIPPENRPLRGRLNIILTRSGSFEIATADNVLICGSVSSAMELLASSPYCQSIEKVFLTGGAEIFREALHAPGCEAIHITEIEASIECDTFMPRIDFSVFHPWYTSFPLVENGIRYSFNTYVRVRSSTEESQGLNTDPVLYDNSNPLKFEIKDFTFLPKIIFERHEEYKYLKLVEEIISEGTVKDDRTGTGTLSKFGSKMRFNLRRSFPLLTTKRVFWRGVVEELLWFISGSTSAKVLQEKGIHIWDGNASREYLDSIGLKEREEADLGPVYGFQWRHFGARYTNMHDDYSGQGFDQLLDVINKIKHNPDDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTYMIAHVCDLVPGDLIHVIGDAHVYRNHVKPLQEQLQNLPKPFPILKINPKKKDIDSFVAADFKLIGYDPHQKIEMKMAV >RHN47735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42072429:42078052:-1 gene:gene42368 transcript:rna42368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MGSRGRTAEDTNKVVNGMPSYAPPSNSMGMEGTNIHPSRISDFGALEQSLGFRVEDAMNLSRNPVFNQMKANSQALGADIQFGALSKSIANSDINLSAAIAGSQTLQLQKDAMPNLASTSGGHRENWGESNMAEGSADTSTDDTEDKNQMPERGESSERSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSTGEQTHSMSGNGAMAFDAEYARWLEEHNRQTNELRAAINSHAGDIELRTIVDNFMTQFEDIYRLKGVAAKADVFHILSGMWKTPAERCFMWIGGFRSSELLKLLVSHLEPLTEQQLMGIYNLQQSSQQAEDALSQGMDALQQSLSETLANGSPNPSGASGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMLRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >RHN81601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47488484:47491615:-1 gene:gene5671 transcript:rna5671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5-O-(4-coumaroyl)-D-quinate 3'-monooxygenase MGLPSSSLETNWWMFTLPPIALNNLLLVILLALFSIAFLKWTIPKGGIAWRNKRNQMGQIPIQGPKGLPISGMLFTLNHNLPHRTLASIASTLSSTKQLMAFSLGSTPAVITSNPHIAREILNSPHFSNRPIKQSAKSLMFSRAIGFAPNGTYWRLLRKVASTNLFSPRRIIAHEAGRRLDCAVMLCSIANEQSNHGFVCLRKHLQDAALNNVMGTVFGKRLYDQEQCHVKELHEMVREGFELLGAFNWSDYVPWISFFYDPYHIRERCLALAPRVKKFVKKILDEHRIAPSKMLSDDSDFVDVLLSLKGDEKLQEDDIIAILWEMIFRGTDTTALLTEWIMAELILNQQIQTRLREELDNVVGDKDNVTDADITKMPYLEAIVKETLRLHPIGPLLSWARLSTSDVQLSNGMVVPTNTTTMVNMWAITHDPNVWTNPFAFKPERFLKNEGGVEMDVRGVDLRLAPFGAGRRVCPGRNLGLVTVSLWVARLVHKFQWVQDMDNQVDLTEVLKLSCEMKNPLKVVAIPRLV >RHN52827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39238844:39244565:-1 gene:gene37544 transcript:rna37544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MEDDESPQLKSVVIISLPPSNNPSLGKTITAFTFFNPFSQRQLHQHQHQHHHQQQQQQQPQNNDPPIQSYPSNPQLQFSFRRLFHITPLKLFTFFGIFLFALFLYGSLFSTTTILELRGVKNNDGDDEPSSFLLPLFKKHGVLGQRDLKLKLGKIVDVKKRNVIASNSKVVAVDSSSAVFPISGNVYPDGLYYTHLRVGNPPKRYFVDVDTGSDLTWIQCDAPCRSCAKGANAIYKPTLSNIVPSVDSLCLEVQKYEKNGYDENFQQCDYEIQYADHSSSLGVLIKDELHLMTTNGSKTKLNFVFGCGYDQEGMLLNTLAKTDGIMGLSRAKVGLPYQLASKGLIKNVVGHCLGNDGVGGGYMFLGDDFVPSWGMTWVPMAQTTDLYQTEILGINYGNRLLSFDGNSKVGKVVFDSGSSYTYFPKEAYLDLVASLKEVSGLGLIQDDSDTTLPICWQANFPIRSVKDVKDYFKTLTLRFGNKWWILSTLFRIPPEGYLIISNKGNVCLAILDGSNVHDGSSIILGDISLRGHLVVYDNVNKNIGWERTKCGMPSKILKKTHNFLSDSML >RHN68341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34756526:34757125:-1 gene:gene16678 transcript:rna16678 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHYHYYHRLTILWNTVVGLLIILHFLICLNTMPQKFVYEKPKLWSNSSEYIHQIYFVDLQDPSPFKLLDNYVAFLDRLEGDGMNFNPSSDASFNDICWYLCWVKCDHMMVYRHLPKGLMMQYGQVQTILLHIVLDPEGVYCWRHPSISYRVAFSNSIGYLI >RHN54383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9150244:9159884:1 gene:gene29407 transcript:rna29407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MDIRFPFSPAEVAKVRMVQFGILSPDEIRQMAVVQIEHGETTERGKPKIGGLSDPRLGTIDRKMKCETCTASMAECPGHFGYLELAKPMFHIGFLKTVLSIMRCVCFNCSKILADENDHKFKQAMRIKNPKHRLRKILDACKNKTKCEGGDDIDLPEHDTKEPLKKSRGGCGAQQPKITIEGMKMIAEYKAQRKKSDDQEQLPEPVERKQTLSAERVLGVLKRISDEDCQLLGLNPKYARPDWLILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLKRQERNGSPAHIISEFAQLLQFHIATYFDNELPGLPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDQLGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQANRPVMGIVQDSLLGCRKITKRDTFISKDVFMNILMWWEDFDGKVPAPTILKPEPLWTGKQVFNLIIPKQINLIRYSSWHNENERGPITPGDTMVRIEKGELLTGTLCKKTLGTGTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQQAFSIGIGDTIADASTMETINQTISQAKDKVKQLIREAQEKKLEAEPGRTMMDSFENRVNQTLNRARDDAGNSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIETQKLDSLKMKKTDFDRAFRYEFDDENWKPTYMLEEPVEDLKTIREFRNVFEAEVQKLDADRVQLATEIATTGDSSLPLPVNLKRLIWNAQKTFKVDFRRPSDMHPMEIVEAIDKLQERLRVVPGEDLLSQEAQKNATLLFNILLRSTFASKRVLEEYRLSREAFEWVVGEIESRFLQSLVASGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKRIKTPSLSVYLKPEVCKTKERAKNVQCALEYTTLRSVTQATEVWYDPDPMGTIIEEDVDFVKSYYEMPDEEVALDKISPWLLRIELNREMMVDKKLSMADIAEKINHEFDGDLTCIFNDDNAEKLILRIRIMNDEAPKGDIQDESAEDDVFLKKIESNMLTEMTLRGIPDINKVFIKNTKIQKFDENEGFKPHEEWMLDTEGVNLLAVMCHEDVDAKRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNETGPMMRCSFEETVDILLDAAVYAETDHLRGVTENIMLGQLAPIGTGECALLLNDEMLKNAIELQLPSYMEGLDFGMTPGRSPISATPYHEGLMSPNYLLSPNLRLSPSNDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPSSPYSPTSPSYSPTSPSYSPTSPSYSPSSPTYSPSSPYNSGTSPDYSPSSPQFSPSTGYSPSQPGYSPSSTSQYTPQTSDKDDTGTQ >RHN68858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39140150:39140841:1 gene:gene17269 transcript:rna17269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-oxo-beta-amyrin 30-oxidase MHPNWQDKARAEVLEICGTKTPDLEAINRLKIVSKFICTYSVI >RHN75664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44148877:44149747:1 gene:gene11899 transcript:rna11899 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIKIWTVAASVGVVEALKDQGLCRWNCALRSAQHHVKHHFRSLSQARKVSTSNSYAMVSSRLKEQKAKQSEESLRTVMYLSCWGPNN >RHN80482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38493800:38496336:1 gene:gene4416 transcript:rna4416 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLLSTSSSVSPLQLSCTQECRFLSPSSISCSRITRTRSIGFNPLRVGNRSRITAFFFNPAQDPLVKEAVKEPVAFLGGIFAGILRLDLNEEPLKEWVMRTVEASDISEEETNAEESTTEAAPQEIEIE >RHN55891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25190663:25192918:1 gene:gene31170 transcript:rna31170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MMMCISTVEYHVIFNGDRIGPITPTRGLRQGCPLSPYLYIICAEGLSATIKNHELRGKIHGTRICRKAPPVSHLLFADDSFLFCKATISEAQCLKDILSSYELASGQAINYRKSAISFSANTPQDSISSIMTCLGISSAIGSGKYLGLPSMVGRSKKAIFTYLKDRIWKNCQSWSARSLSRAGKEILIKSVAQAIPSYCMGAFLIPTSLCDEIEKMMNSFYWGSKKNGRRGINWLRWDKLTRHKSQGGLGFRNLEAFNLSMLGKQSWKLLSDSSSLFSRILKAKYFPRRDFLDANLGHNPSYTWRSLWSTQSLLTLGHRWKIGDGSQINVWSMPWIRNLPTLKPSTPPLLHHADLTVSYLLNSDGNSWNIPIVQSLFNSVDAEAIVSMPLFPRTATDQRIWKATANGSYTVKSAYRLCSDLITAINPIQHDYRWNSIWNLQIPPRVRAFLWRLAQHCLPTRANLLTRGIPCDDSCIFCDQFAETQTHVFFVCPKASSCWELLGVNHIIRDLLLSSNDCTSMFFDLIDRLHPQQQVLVAMTLWSLWKSRNSKLWEATDTTPISIVTRAKDVINEWSCMQREKAPIHHANSVHSWIKPPIGTIKCNVDAAAFNNNSIMGYGMCFRDYTGTFLLGKSDFHHSSATVLEAESLALLDAIKLAISNEMHVVLFETDSKILADALTNNSSPTNEFGDLVTQCRSLLLDKPDFAVSYVRRQANSVAHSIARASLSHPSPHIFHHVLPTLYRLIMNEMN >RHN42892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41740971:41745005:1 gene:gene49392 transcript:rna49392 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTCNHHHHYHPFPHSSSFSSATPSLFTIIPSRPLHLNTPILNLKPLSTPHRFTSYTVHTNSFPRRSQLSVVDSNFDSFLSFLELSALLSSLVVSAAVAVTAIWKKGLYLAIGNRVAPWSLLLLVVGVLTGALIRRRKWRETVLNGVVSVSEVDFLQRIEKLEEDLKSNATVVRVLSRQLEKLGIRFRVTRKGLKEPITETASLAQKNSEAARALALQSDILEKELGEVQKVLLAMQEQQQKQLDLILAIAKAGNLWESKRETSEEHGTIEMSNTAANLNVVNQEVRQI >RHN65750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4956211:4956632:-1 gene:gene13633 transcript:rna13633 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAPTLIIISLLKVANSFFLSLLVVFLAALMLAHHHSADAFTLTPGIGRIIGKIKPPKPTTPFDPCSERLGKYRYKNCPPPTHF >RHN61294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34568799:34569326:1 gene:gene23742 transcript:rna23742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAPKGEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKEGGSAAGEKKKKRSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLTQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHDVSEGTKAVTKFTSS >RHN56986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35457432:35457650:1 gene:gene32459 transcript:rna32459 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKLDMEEGCRTPKHSGCRIPPATICPSAPKKKPVVYSTKKKVPPKDGYFNPPDLELIFRVLPIRERESKF >RHN65477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2520856:2522306:-1 gene:gene13320 transcript:rna13320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKIVNFVYSMIVFLFLFLVATKAARGYLCVTDSHCPPHMCPPGMEPRCVRRMCKCLPIGWRKYFVP >RHN66491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13014789:13019506:-1 gene:gene14492 transcript:rna14492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling CW-Zn family MHRLPDHIYVSSSSSSSSSSSSSDDDDEYNPITNRDNTNQLAHDPLLCRPPRSSAHRVLPVVGAFAVQCHYCSKWRLTPTEKKYEEIREHNLQHPFVCENAREWRPDISCDDPEDVSQDDSMIWAIDKPGIPQTPDGWQRLLQIRGEGSSQFGDIYYEAPSGKKLRSMPEVNKFLANHSEYTTDGVTSARFSFQMPKPLQENYVKKKRSHAKSVEPKQGIPVSRPAKKQATQSFIHKDAV >RHN40445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16366761:16369207:1 gene:gene46597 transcript:rna46597 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFYFCGHGNRRNENTNCNDTDYEEFMVLLNGTKITTRIYGISVKEFLMIADLSLL >RHN82683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55653773:55658045:1 gene:gene6862 transcript:rna6862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative G-patch domain-containing protein MEETLGSATTTINSSNIGFQLLKKHGWKEGTGLGISEQGRLEPVETRVKNNKRGLGADIVKKKVVKPGHGDSSKGDNKQEQLSQKKAKALSKRVRKMQEIEKKMQEKEFERAFFREFWPENV >RHN82439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53818491:53818796:1 gene:gene6606 transcript:rna6606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PC-Esterase MLHEFSREKHCLEQTKPEEGPKPTYPGVDIVQNVLSNMKSHVYWLDITLQTQLRIDGHPSVFTGRGTSYEDCSHWCLAGAPDTWNEMLYAVLLGNRYYYHL >RHN65633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3948124:3948675:1 gene:gene13497 transcript:rna13497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MISFNLGALPVLKSLFIEGCRNLKSISIAENALEKSLSYLRSIKIWDYNELESFPSGGLATSNLVYIALWKCEKLYSLPEAMNSLKLAFKKWKLIIYQIFVDDLPSSLHELTVGSVGGIMWNTEQNWEQLTCLFVLQINGNDTVNSLMVPLLPASLMTLCICGLINTSIDGKWLQHLTSLQKL >RHN40163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13789534:13794965:1 gene:gene46277 transcript:rna46277 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVSLYFHPFTKCLLRVMIVYFCNSSTWLMFLESEKHFPSLHVS >RHN40828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21871706:21872320:-1 gene:gene47048 transcript:rna47048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KPI106 MSMRLSIRTLIILAHVCLFITTTTIAQFVLDTVGEPVEGDEEYFIRPVITNKGGRSTMVSRNESCPLHVGLELTGLGRGLVVKFTPFAPHHDFDDVRVNRDLRITFQASSSCVQSTEWRLGEKDTKSGRRLIITGTDSATNGSYGNFFRIVETPLEGMYNIQWCPTEVCPSCKFECGTVDMLNENGKILLALDGGPLPLVFQKE >RHN45706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24730206:24731428:-1 gene:gene40089 transcript:rna40089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MYLLYKIVFLLILSTSSAKSEDPLGEFCNKNTNISTGGKLSTNIDKLLSQLALQTPSTFFVATTYGNSKEKVYGLAQCRGDINTQDCSNCIQDATKQIRQRCPNQADARIWYDYCFIRYSNKSFIGEVDTSFGIFYFNVENVTDSEVFNKKLGNLMDQIRGEAVMVKNKGLGKGKTVLSPFVTLYGLVQCTRDLDEISCAQCLAIAVNNFQTFCSNRKGCRVLYSSCYVRYELYPFFFPLDSTKTRPSKIGKVIVYP >RHN53116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42111021:42113674:-1 gene:gene37884 transcript:rna37884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavone 3'-O-methyltransferase MEHVKDPSIYKNPVILQSEDLTNYILDTAVYPREPEPLKELRKASENHPWGFIATAPEAGQLITLLLKLLNPKKTIEVGVFTGYSLLLTALNIPDDGKITAIDIDRKTYEIGLPVIRKAGVEHKIDYIESPALPILDKLLEDPTNEGTFDFAFIDADKENYLNYHERLIKLVKVGGLLIYDNILWGGRVAWPEEKVPMHSRPQRIAAIEFNKKITDDSRVEFALTSVGDGLSICRRIA >RHN52484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35970021:35970320:1 gene:gene37168 transcript:rna37168 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCATKIIEIEHSHLCFVISGKVYDQLGWLCLCCCKFIWILSYNIGME >RHN79200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25724084:25724586:1 gene:gene2937 transcript:rna2937 gene_biotype:protein_coding transcript_biotype:protein_coding MALRYLSNLKSFYQGKHTLDCPSLKTLNVYRCEALRMFSFNNSDLQQPYSVDENQDMLFQQPLFCIEKLGPNLEQMAINGRDVLGILNQENIFHKCHTPNFDLRSH >RHN50897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11577679:11579537:1 gene:gene35248 transcript:rna35248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone 7-O-glucosyltransferase MKDTLVLYPALGKGHLNSMIELGKLILTHNPSYSITILILTPPNTTLQPPQEIQKLTTTTTFGCESFPSITFHHIPPISFPVTLPPHIVPLEVCGRSNHHVNHVLQSISKTSNLKGVILDFMNYSTNQITSTLDIPTYFFYTSGASTLAVFLQLPTIHQSTTKSLKEFHMYPRIPGLPLVPIVDMPDEVKDRESKSYKVFLDMATSMRESDGVIINTFDAIEGRAAKALKAGLCLPEGTTPPLFCIGPMISPPCKGEDERGSSCLSWLDSQPSQSVVLLSFGSMGRFSRAQLNEIAIGLEKSEQRFLWVVRSEPDSDKLSLDELFPEGFLERTKDKGMVVRNWAPQVAILSHNSVGGFVTHCGWNSVLEAICEGVPMIAWPLFAEQRLNRLVLVDEMKVALKVNQSENRFVSGTELGERVKELMESDRGKDIKERILKMKISAKEARGGGGSSLVDLKKLGDSWREHASWNSLSPNSPFLLR >RHN57093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36394142:36398244:-1 gene:gene32590 transcript:rna32590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative JAB1/MPN/MOV34 metalloenzyme domain, eukaryotic translation initiation factor 3 subunit F MAASKRTVLQFSSSQTLSGKVHPLVIFNICDCYVRRPDQAERVIGTLLGSVLPDGTVDIRNSYAVPHNESVDQVALDIEYHHNMLLSHQKVNPKEVIVGWYSTGLGVTGGSALIHEFYSREVSNPIHLTVDTGFADGEGAIKAYVSNNLSLGDQQIAAQFQEIPLDLRMVEAERIGFDNLKSTSVDKIPSDLEGMEASMTHLLALVDDTYKYVDDVVEGRVDPDNKIGKCISDVVGSLPKIPSLAFDKLVNDSLQDHLLMVYLSSITRTQLGLAEKLNTAAQIL >RHN53993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6039545:6044794:-1 gene:gene28968 transcript:rna28968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CBS domain-containing protein MDSLTLPFPTLSSATPRPIFNPRAAVAFRCFHTSAHFSKLRGSPLFAANTLTANSVPPKNGVYTVGDFMTKKDELHTVKPTTTVDEALDSLVEHRITGFPVIDDNWKLVGVVSDYDLLALDSISGQGQTDNSLFPDVDSTWKTFNEVQRLLSKTNGKVIGELMTTAPMVVRETTNLEDAARLLLETKFRRLPVVDAEGRLVGIITRGNVVRAALQMKRDSQKKA >RHN73795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19932552:19936804:1 gene:gene9661 transcript:rna9661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MEEKIQTMKLLELDERPPYDDNNKDLQNKIDEPSSFHKNTPGSTLLLSLSLNNTTQPPHQIQGEPQIQIGNIRVKLDEAKKENENLRGMLNLVNDRCNVLQNRLLLAMHMHQSSSLSQNNHNLLLKENTQDAGKSVLPTRQFFDEPSPSNCSKNNGFAIVENNENNMGRNLACEYINEGEINSKIEDQSSEVGCRRARVSIRARSDFAFMVDGCQWRKYGQKTAKGNPCPRAYYRCSMGTSCPVRKQVQRCFKDESVFITTYEGNHNHQLPPAAKPIANLTSSALNTFLPTSSTTLQQYGNNLTNTFLFSSPLSPPNSNAIATFSPSPTCPTITLDFTLPPSNYLQFKNHKQSSLLPFPFQGHYNQSFEVFPNMINNERKLALVDVVSEALEKDPSLKEALFSAMSSFTNGDSSNIINKQSQLPSKSSG >RHN81858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49358911:49360121:1 gene:gene5951 transcript:rna5951 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDNKFPDKSKVVRFVKRESDLGMLPESMLLLSNRSTTTTQLPNSSGMVPDNLL >RHN77021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3292707:3296641:-1 gene:gene428 transcript:rna428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chorismate mutase MATAENEYTLDTVRETLVRQEDTIVFGLIERSKFPFNSQTYDQNYLQIPGFCGSLVEFVFTNTEIVQAKAGRYKNPEENPFFPENLSLSLVPSYPFTKFLHPGAASININKSLWKMYFHDLLPLFVASGDDGNYAQTAASDLSLLQAISKRVHYGKFVAEVKFRESPQDYEPLIRSKDKEGLMKLLTFKSVEEMVKKRVEKKATLFGQDISLNSSDDSKGKQKFDPSVASKLYEKWVIPLTKEVQVEYLLRRLD >RHN45473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21279442:21285152:1 gene:gene39818 transcript:rna39818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAD hydrolase, subfamily IA MGTSYTVMMRCSPSNSLFKALKPNFRNSNLVPNSSLPFFSSTQINKGASRCSHSHGGGTGRAYDALLLDAGGTLLQLANPVEDTYATIGSKYGLTVNPAKIKQGFKRAFSAQWSEKLRYQGDGRPFWKFVVSEATGCGDEDFFEEVYEYYAKGDAWHLPNGAFDTMTLLKDAGVKMAVVSNFDSRLRKLLKDLNILHLFDAVIISSEVGYEKPDPKIFQSALDQLNVEAGKALHIGDDQKADKFGAKAVGIVCWLWGTDVKTFSEIQICILNPEA >RHN38445.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000013.1:66463:66936:-1 gene:gene50730 transcript:rna50730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MKKFSLVQASSDLSILDFDISFSITFVASVVIEILVIICIMVSVTWQVLIVSVPAMVASIYIQVCYPLYNFS >RHN79465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29222463:29223875:1 gene:gene3259 transcript:rna3259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MALLWLTAMIKPTEGVQTPTSWEMAMLISAFILISIASGGVSCSMAFGADQVNIKDNPNNNRVLEMFFSWYYAFASISAIIALTVIVYIQDHLGWKIGFGVPAALMFLSTLLFFLASPLYVKIQKRTNLFASFAQVTVASCNNRKLTLPPKNSPQFYHHNKDSNLVVPTDKLRFLNKACVIKEFEQDIACDGSRINPWNLCTVDQVEELKAIVRVIPLWSSGIMMTLSVGGSFGLLQAKTLNRHITSNFEVPAGSLSVISIVTIIIWIVLYDHVLIPLASKIRGKPVKIGAKKRMGIGLFLSFLHLVNAAIFETIRRRNANDGVLEISALWLAPQLCLAGISEAVKFIGQNEFYYTEFPSTMSSVAASLSGLGMAAGSLVSSLLFSIVENTTSRGGKDGWISDDINKGHFDKYSWLIAGISALNILYYLVCSWAYGPAVEELSKD >RHN80099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35098530:35103347:1 gene:gene3982 transcript:rna3982 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIIRRLKIISLISMFLTEVVSLYCQWHFSCLYESLIYSVGLVGICVSISFPILSLMYLCHSFSYEYSFVTMVWFPFFLGGI >RHN74927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37876775:37879220:1 gene:gene11062 transcript:rna11062 gene_biotype:protein_coding transcript_biotype:protein_coding MNKCNVGVWLPYTTNRQEALSILFLFLFPSFTLLLFFVCYFKSRSYTQKKEQAIYIYDLLFHVIC >RHN57007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35681268:35683325:-1 gene:gene32490 transcript:rna32490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MSNRWWSGRQTEANPVEGENRNGPTRIILRRESRRASNGSVNGNVTGGNVTPTRSNTSNTGTGNSNGHVNDELENSNGRSGDQTARSGRRPRGRPPGSKNKPKPPLMITKETPNALSSVILEVANGADIAHSISSYANRRHRGVSVLSGTGYVTNVTLRQDNAPGGMISLQGRCHILSLSGAFLPPPSPPDATGLTVYLAGGQGQVVGGLVIGSLIASGPVMVVAATFANATYERLPLEDEDEGDEENFQEVDNINLVVNNGNHVANGDGGSGSLSGSASGSGGGGGGGATSHGLGEYSFNPSMIQNGNDSGHGHGHDVFWRPHPPPY >RHN47373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39233576:39240741:1 gene:gene41966 transcript:rna41966 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESQAMDPHKNEVIRLERESVIPILKPRLIMTLANLIEHSSDRAEFLKLSKRVEYTIRAWYLLQFEDLMQLYSLFDPVSGAQKLEQQNLAPEEIDVLEQNFLTYLFQVMEKSNFKIVTADEIEVAHSGQYLLNLPISVDESKLDKTLLKKYFAEHHHDNLPDFSDKYVIFRRGIGVDRTTDYFIMEKLDMLIGRFWAYLLRITRLEKIFSRKSKNIKKDSDGNEMIRDATGDDFIVERIRLENMQLSSRNLLGKTLIQEPTFDRIIVVYRGASTKSKAERGIFVKHFKNIPMADMEIVLPEKKNPGLTPMDWVKFLISAVVGLVAVFSSLEMPSADWWVIFAVLSTVVGYIVKTYFTFQQNLAQYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVILSFFILMEQGKATRQDLDHWCEELIKEEFGEECDFDVDDAVGKLEKLGIVTRDSIGRYQCVGLKRANEIIGTTTEELVLKARQGNLTT >RHN75230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40360455:40366221:1 gene:gene11403 transcript:rna11403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MVNSKWSQILFKDIITLAALFLCNIILAFTTDHQQEQDRIGTALPGQNFNINFEHYSGYITVNKDVGRTLFYWFIEADHIDPTSKPLLLWFNGGPGCSSIAYGEAEEIGPFHINSDGNTLHLNPYSWNQVANILLIDSPVGVGFSYSNASSDILNNGDKRTTEDSLIFLLKWFERFPRYKETDFFISGESYAGHYVPQLSQVIVKHNSATKQNSINLKGYMVGNALTDDFHDQLGMFQFMWTNGMISDQTFKLLNLRCDFQSVKHPSESCEKIWEIAEKELGNIDPYSIFATPCHANDNQMVKGKHRVGNLRTVYDPCTSKHSTTYFNLPEVQRILHVHPDHRPAKWQTCSVVVAINWKDSPRTVLNIYRELIPTGLRIWMFSGNTDAVLPVTSTRYSIDALKLPTVSPWRAWYDDGEVAGWTQEYAGLTFVNVRGAGHEVPLHRPKLALALFKSFLAGTSMQTLEPPTLRTAS >RHN50831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10904719:10912780:-1 gene:gene35167 transcript:rna35167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative magnesium transporter NIPA MGEWVVGAFINLFGSIAINFGTNLLKLGHNERERHLLGSDGVHGKVTLKPIIYFQSWRIGILFFFLGNCLNFISFGYAAQSLLAALGSVQFVSNLAFAYFVLNKMVTVKVLVATAFIVLGNVFLVAFGNHQSPVYTPEQLTEKYTNVAFLLYLLALIVIVVLHHSIYKRGELLLAISGHDLKPIWGMLLPFSYAVVSGAIGSCSVLFAKSLSNLLRLAMSNGYQLHSWFTYSMLLLFLSTAGFWMTRLNEGLSLFDAILIVPMFQIAWTFFSICTGFIYFQEYQVFDTLRTTMFILGMMCVFIGISLLAPDESKVSETKDSSLDSVVSPAISTETKRLVASSEEAHSKDMRSFVKGVLIKIADILVKAKTSCALSLGFGEDTINASSVLVMPMMSSRMNGFRGNGLERSRILSMRNSGWSRIPMDEDATKLLETNSNVPPSP >RHN80723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40434972:40437567:1 gene:gene4682 transcript:rna4682 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSVGNEQNRLREDQNSCFFSLMDRKSSLRPEIILSSFCRSSMITCLHLVRHMNVLILILMIQ >RHN47014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36482994:36483419:1 gene:gene41559 transcript:rna41559 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNKNTKGNKFPGMQDVFGTRPPHRESTGDANNKTKGTKFPRLQDIMFTTRPGTRGT >RHN79577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30252039:30252613:-1 gene:gene3390 transcript:rna3390 gene_biotype:protein_coding transcript_biotype:protein_coding MNMWILFNNIRITTLFTYCLKCLSLANNKLKTNINATNKAQFHEIFSAIAVDEHPQAISELYKSLAQYDNVICFCFLLVRCDMFGFRGMNYSIYR >RHN77419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6728626:6733014:1 gene:gene869 transcript:rna869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-acylglycerol-3-phosphate O-acyltransferase MEVCTPLKSDSRLKHRSMTPLRFLRGVICLVVFLSTAFMCIVYLSPLAVVGLRLISIRYSRKAVSFFFGLWLSMWPFLFEKINKTKVVFSGDSIPMRERVLLIANHRTEVDWMYLWDLALRKGRLGFIKYILKSSLMKLPIFGWGFQILEFIAVERKWEIDEQILQQNLSTFQDPKDPLWLSLFPEGTDYNEQKCKSSQKFAAEVGLPVLTNVLLPKTKGFHTCLETLRGSLDAVYDVTIAYKNQCPSFLDNVFGLDPSEVHLHIRRIPIEEIPVSETKAASWLMDAFQIKDQLLSDFKVQGHFPNQQNEEEISTFKCLFTFTVIVCFTALFTYFTLFSHIGFKLYVGLSCAYLSMATRYKIQLMPLTNYVHAFYNRKKQKNA >RHN51115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14122364:14126858:1 gene:gene35493 transcript:rna35493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEILKFVYNATLFFSLYLVVYNSKLWCDTDADCQEKFPGPSKYPIKCMKGICKCVIN >RHN72595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8982680:8986024:1 gene:gene8324 transcript:rna8324 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEREVSFLAMNKENSGIYHDGKELRRFRAYLRWVYVDQSNLCKAGISWSVFFTLAYVVPILSHFLLDCSTTCDADHRRPYHVPVQISLSVFATLSFISLSKWDRKYGFSKFLFLDKVSDESLKIQRGYAIQMKRTMKLILLWGLPCFICQCVYKIWWYISGASQIPYYGEVYVSGIILCTLELCSWFYRTSIFFLVCVLFRLIGYLQIQRLDEFAPVFQRETEVGTILLEHLRIRRNLRVISHRFRAFILSSLLLVTASQLIFLLMVIKPHADVDILKGGELGLVSITLVSGLYILLRSATKITHKAQSLTGLASKWHICATINSFDNIDGETPTALIASAQAMAADISWGSSEDESGDEEDELNNTKMMPIQTRTISFHKRQALVTYMENNRAGITVYGFMLDRTWLHSIFGIQLALCLWLLNKTVGI >RHN58798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4998181:4998905:-1 gene:gene20745 transcript:rna20745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKTIKFVNLLILFIFTFLVVADASATTRCVRNSDCRHHICMYPLVPRCKYPLCRCV >RHN46632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33521805:33523704:1 gene:gene41149 transcript:rna41149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubby-like domain-containing protein MAYPYPAQPSASAPMPPLPTAAIFGPQYCAPYPVDLAVVKKVMTISDGNFAVTDVNGNIVFKVKGSLLTLRDRRVLLDAAGNPITTLRRKIVTMHDRWEAYRGESTHAKDLIFTLKRSSLIQMKTKLDVFLAGNTKEDVCDFKVRGSWLERSCIVYAGESNNIVAQMHKKHTVTSILMDKDHFSVTVYPNVDYAFIVALIVILDETNEDEKD >RHN39193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4858613:4860464:-1 gene:gene45196 transcript:rna45196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MTEKGFCLNLYSHTHVKEGSMKSKHQMFSYSEILNITDNFKTVIGEGGFGKVYVGILQDHTQVAVKILSTSSNQGYKEFQSEAQLLMVVHHRNLVSLIGYCDEDEIKALIYEYMANGNVQQHLLIDANILKWNERLKIAVDAAHGLEYLHNGCKPAIMHRDLKPTNILLDENKHAKIADFGLSRAFGNDIDSHISTRPAGTLGYVDPA >RHN57776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41669318:41670576:1 gene:gene33361 transcript:rna33361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain-containing protein MKLLLVLVFLGLLSSVGISTTLVDIPTFDGSYLECITLKGVAFQAHGGINMGNSKSKYGIDNSVGALVLKDPISSQNLRTFKTEFSFMSTKPGSGLALFLSPFSDAGVPGIIFGLPYKYMAIVFDTRLSSPKANSRLHGVKVLLDEDITPISETEGDTLNSEILYTVWIVFFEGKISIFLSDSKLRPIEPILECNSAAIGAFIEKFKNFYIGFSTSQENYKIYNWKFETSDLNNHYREVHIPALEDFSQVLFGVLGILLMIAIFLGVICIYARNRTINDLEEDAEPSYGSVDVPPTSFIELMKNEPPEQGEVLGLPLPMEDMWRKDVPPTSYIELMKNVPPEQGEVLGLPLPMEDMWKKKGDDFRH >RHN53855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4751820:4757539:1 gene:gene28814 transcript:rna28814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP88A13 MGLLDYSQWLILVAALLGGYAFVFGFLRRLNEWYYVGRLGKSQNLPPGDMGWPFFGNMPTFAKYAKSDPDSLIYNLISRYGRTGMYRTHLFGYPTVIVCSPETCRNVLKDEEHLKPGYPASAMALAGKKSFHGISSAEHKRLRKVTTSPINGHELYNYIGLIEDIVVKNLEDSSKTNTPVEFLKEAKKFTFDVITSVFFSSDREHADLALVEHLYIDLLRGMRSQSINLPGFPFYKALKARKKLVKLLKGLVDHKRRNNNNEKQQTKKDLMELLMEARDDEGEKLEDEDIIDLLLLFLLAGHESSAYGVLWTVINLTNHPHVFERAKKEQEEIMARRPSDQKGLTHTEIRQMKYLSQVIDEMLRKTSISFANFRQAKVDFNLNGYTIPKGWKVLVWNRGVHMDPENYPNPKEFDPSRWENFKARVGQFLPFGYGSRYCPGSDLAKLEITIYLHHFLLNYRMERINPDCPITYLPIARPTDNCLARIIKVT >RHN57966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42807261:42807560:1 gene:gene33561 transcript:rna33561 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPGLDPNTKLAAIYYNGGTPPHLFRIHNDVTLSGMKDELDQINRQLNHKDTRRVVGVEYRCPFPDSTGSLRFSRMKLTNDDDDVRTMFSVFGQHITR >RHN64704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61130556:61131025:-1 gene:gene27551 transcript:rna27551 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSDDNIQQLEATKQIRRLLSTVTVDFLISPIEQVIQSAVVPRFVEFLFRDDLPQLQFEATSALSNIVAGTSKNTKVVIDHGEQYQCLSS >RHN55662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20803814:20808539:-1 gene:gene30880 transcript:rna30880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling &Metalloenzymes JmjC family MSNVEKKEALRRMLENDEETDKDPSSADLRKKRGRKKKVKMETENVVKKKEKRGRKRKTTISDENNAAKEKKKKKKKKKKENCGRKREIIFSSEAVKKEENGGFVKKEKKASDNNNNNNKGGYALRNAEKMKLDCMHKETRNKKESNNDHDIEASKYMLEYLLPYLRQLDLEQMAEMEIEARLQGLSSLSELKIKGAYCSKDECAYCDNCQSSIFDYHRSCAKCSFDLCLRCCYELRRGELHGDTGPIEFELINRGQDYLHGEIIIGENESHTAAQPEILERSKSEWHVGSDGNIRCPKANNEDDHGFLELRRMLPPNCISELVCKAKQLKEAVNLEDIEESLDNVCSCLKPVKKEDNILNNTGKAAFCEDSSENFLYCPKAIDLHNHEKDLRHFQWHWRKGEPVIVNNVLESSTSGLSWEPILAWRAFHQISDTNDNSLSNVKAIDCLNWCQGDIKVDDFFTGYTNGRKDKLDWPQLLKLNDRPPYLFEKNLPRHCTKFISSLPYKEYTDPFKGDLNLAAKLPDNVHVGPKTYIAYGFHQELGRGDSVTKLHCDMSDVVNVLTHVAKVELETVSITAIKKLTEKHLEQDKRELHGDNQDGETNVDRLDNRSSSVIASDEKNSVDVVENGSGLCDAKVVDSVHQENSLDGAHWDIFRREDVPKLKEYLKKHSGEFRHIYCSPLKQVIHPIHDQTFYLTNNHKKRLKEEYGIEPWSFVQKLGDAVFIPAGCPHQVRNLKSCTKVALDFVSPENVGECFRLTEEIRKLPVNHYFTEDKLQVCQTTFMDLILTSILLSINLRYCIFYSLL >RHN43427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45786292:45786895:1 gene:gene50001 transcript:rna50001 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLGTVPYTLPSLFLLFLLSLYAHFLFLPNVHTFRSSSSQ >RHN69615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44950893:44951913:-1 gene:gene18106 transcript:rna18106 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,4-beta-D-xylan synthase MSIRNSSIRINGLPDSVMLKPPSDEPLTGSASDSKAMDLSEVDICLPMLVYVSHEKRSGYDHNKKVGAMNA >RHN42744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40606276:40609676:-1 gene:gene49228 transcript:rna49228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ADF/Cofilin, ADF-H/Gelsolin-like domain-containing protein MANAASGMAVHDDCKLRFMELKAKRTHRFIIYKIEEKQKQVIVEKLGEPVQGYEEFAACLPADECRYAVFDYEFMTEGNVPKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQIELQATDPTEIGLDVFKSRAS >RHN53191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42698467:42699267:-1 gene:gene37970 transcript:rna37970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MCPSLYFIIMAPSFFITFFFSINLINIAYCISDIDSLLKFKNSLQNTDVALSSWNTSMPPCNADNANWAGVLCYKGHVWGFKLENMNLKGNIDIDSIKDLPYIRTISLMNNQFDTTWPGLNKLTGLKNIYLSNNKFSGEIPAEAFQAMQWLKKIYLDNNQFTGPIPTSLASLPRLISLRLDGNKFTGPVPEFRETLKSFSVANNQLEGEIPANLSKIPASSFSGKIYMYIDS >RHN82445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53870548:53872280:1 gene:gene6612 transcript:rna6612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain, reverse transcriptase zinc-binding domain-containing protein MLGKQSWKLLSDSSSLFSRILKAKYFPRRDFLDANLGHNPSYTWRSLWSTQSLLTLGHRWKIGNGSQNNVWNMPWICNSPTLKPSTPPLLHYEDLTVNYLLNPDGNSWNIPLVQSLFNHSDAASIVSMPLFPRLHSDQRIWKLTTDGSYTVKSAYCICLDLIAAATPVQHDSRWNSIWKLQIPPRVRAFLWRLAQQCLPTRSNLLASGIPCDDTCVTCEQLAESQIHVFFICPKAISFWELLGVDHIIRDLLLTANNCAAMFFDLLDRLQPQQQTLVAMTLWSLWKSRNSKLWDASDTTPSFTVTRAKDTLNEWCYMQRAKVPVHHAISAHTWIKPPVGKIKCNVDAAAFNNNYVMGFGMCFRDFKGTLLLGKSDFYHSSATILEAESLGLLDAIKVAISNGMHVVLFETDSKILSDAINSNFTPSNEFGDLVIQCKSLLLDRPDFVVPYIKRQANGVAHSIARASLSNPSPIFFIM >RHN66385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11691644:11695486:-1 gene:gene14355 transcript:rna14355 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIKKDEAQDQKRDELAESLNDLFSSVATMIKSELQGTNNHLELLEKMNLRVAEEYNGFGDLASGLRVFVEQLKCKSGSFNEYVEQIDAIEKQVTEFEAVVSMLDKYVTLLESRVQSQYQTKNPSS >RHN78460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15360040:15361840:1 gene:gene2028 transcript:rna2028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEQFFPENLLGDVFWDEPLLPTNQSSFVLTQPCPTPNLSAFVQYRDQPNISFGEQSFLKGSNSNNMNKRMIAFLRKSLPLERNKVAECERERGFKHMISERMRRQRQRQCCFNLHAVLPHGTKTDNNSVVQTAAKEIQRLQGCKEELERKNFVLEENVEVIDRRKQIQYLRVPCPTSGIDSIVETLKLLKNHGVDTRSIKSNFSQQELFLVLEIESEIAGTDVEKAIKRLLDELEWKLHSHVPEGSNKQIIEYEEFG >RHN59661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12600247:12600711:-1 gene:gene21733 transcript:rna21733 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S11 MDISIYFRLIFFKIIKMAKSIPKIGSRKNGRIGSRKHPRKIPKGVIYVQASFNNTIVTVTDVRGRVISWSSAGSCGFKGTRRGTPFAAQTAAANAIRTVVDQGMQRAVVIIKGPGLGRDAALRAIARSGILLRFIRDVTPIPHNGCRAPKKRRV >RHN55076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14960854:14961649:-1 gene:gene30204 transcript:rna30204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MEKGPPEATWTSVELTDEAIDFLETIFDEFDGDFDKVLQPRELEELFSTAPESPWIENPYKDAVGRNIFGELSLDAFLSEVHKSFIFVSSPSS >RHN55614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20072480:20077003:1 gene:gene30817 transcript:rna30817 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNEFRDRFRIRKAKVHGWIRICQVSKRKPKRQLRRGCCRC >RHN61873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39022053:39024352:-1 gene:gene24381 transcript:rna24381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartate transaminase MVDIKNAPDGSFFLLHACAHNPTGVDPSEEQWREISSQFKAKGHFPLFDMAYQGFASGDPERDAKAIRIFLNDSHLIGVAQSYAKNMGLYGQRVGCLSLLCENEKQAVAVKSQLQLIARPMYSNPPLHGALVISTVLGDPELKKLWLKEVKVMADRIIGMRTALRENLENLGSPLPWNHITNQIGMFCYSGMTPEQVDRLTNEFHIYMTRSGRISMAGLNTSNVGYVANAINEVTKSA >RHN59693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12658194:12658556:1 gene:gene21780 transcript:rna21780 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhC MFLLYEYDIFWTFLIISIFIPILAFLISGILAPIRKGPEKLSSYESGIEPMGDAWLQFQIRYYMFALVFVVFDVETVFLYPWAMSFDVLGVSVFIEAFIFVLILIVGLVYAWRKGALEWS >RHN55834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24582508:24586920:1 gene:gene31104 transcript:rna31104 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNDEWQFLFQNFILYGIFFDRNRGVLYRFLNLYSKQKVACICMYASSCLYFILTRAITYMLRFN >RHN70221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49776116:49788655:-1 gene:gene18779 transcript:rna18779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDSSPFLDGNIHRILDSRYLHSRPDVNQSLQTHSSLIRRLSQEKELEGHLGCVNAIAWNSKGSLLVSGSDDTRINVWSYAGQKLVHSIDTGHTANIFCTKFIPETSDELVASGAGDAEVRLFNRSRVSGNGLNDNPITPSALYQCHTRRVKKLAVENGNPNVVWSASEDGTLRQHDFREGTSCPPAGSPRQECHNVLLDLRNGAKRSLGDPPKQVLALKSFDISSTRPHLLLVGGSDAFARLYDRRMLPPLSSCGKRMPPPPCVNYFCPMHLSDRGHPSLHLTHVTFSPDGSEVLLSYSGEHVYLMNVNHAGVNEVQYSSGDVSKLMTYSPSVNGLELQPFVSNVFTNGFHTKKNITAKLDKCRKLIKYGKKSLDEGAPYYGIEACNEVLIGYNHIIGPALKQECLCTRAALLLKRKWKNDAHMAIRDCHAARKFDKSAYKPLYYMSEALSQLGKHKEALDFAVASHSLAPSKSEVAERVENAKKDIVLAETEKNSKTNNGRGGRMLSLSDILYRSEANSDTSQDGQRSDRDDSDYEEEMELDFETSVSGDEEHDSDSNILHRSLNLRIHRRGDSRENVEASGSDESPSSSSSSQNGRASYQPEAAIDMKQRFIGHCNVGTDIKQANFLGQKGEYVASGSDDGRWFIWEKRTGRLMKLLSGDESVVNCVQCHPFDFVVATSGIDNTIKIWTPSAPVASSVAGGSAGPETGDVLGAMENNQQKLSRNRDSMLPFELLEPFRMHEFPEGSLRLRPFECAQT >RHN60988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32080419:32084989:-1 gene:gene23394 transcript:rna23394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative snRNA-activating protein complex, subunit 3 MQKAGQHDPSGYFLIEDVFYTDLRDPSAIDLTRPILDWLQNSKEEAQKKWEYTINGELQQKQKAIVGEASVSHLPRFASFEMHKIHFCDLGFRLGAGYLYCHQARTTFPLRRPSVTPKDDEDGEWSPVYEARQ >RHN68178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33420204:33424604:-1 gene:gene16492 transcript:rna16492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TATA-box binding protein MRANSSNTQLKTIMSTIPHTKRNRIRLFKYKGSHLRNHFPTRSRANYIEQQTPMLKSSRNIVSTVNLDCKLDLNSIKLQAPTAEYNPQRHPAVIMRIRAPESKAQINSFGMMYAAIIRKTGFPTKFKDFKIQEIVGSCDVKFPIHLQRLAHSHAACSSYNPVLFPWQIYEMKQAKIVLHIFDSGKIHLKGTKR >RHN45060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11268627:11269153:-1 gene:gene39259 transcript:rna39259 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIGQHLMPIFVGSYHFLDNLLDVLLGCLYCTIHLRPIRRRIMMFDLEFFTKSLHHIVVQVGTIVGNDLAGNTISTDDVVPDELGYHLLGNIGIRCRFNQFGEVVNCH >RHN66973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22342176:22345999:-1 gene:gene15100 transcript:rna15100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MGKLFSKAIEKSRYVFCFTCIIKEFNKEKVKLEAEMTNIRFDAKSLQEQVHKLIEENTETKKRCFFGFCPDCIWRCKRGEELTGKTEVIEKLIETAKKLKSVEFGRRLPEIEFYSGNYTSFKSRELKYKELLDAIKDENNYIIVLQGMAGIGKTTLVEQVFKQLRGSKHFEYAICVTVSFSPDIKKIQCYIAEFLGLKLEDISESDRCKKLLTRLTNGQKILVILDDVWDNLDFDVIGIPNSDNHKRCKVLVTTRNLEVCKKMACKKTIQLDILDEEEAWILFKWYARLTDISSKRILDKGHQIASECKGLPIAIAVLGNNLRAELSREKWDVALKSLQKDASMDDVDDVLVDIYKYLKLSYDYLKDEKAKELFLLCSLFVKDEEISNEILTRFGIGVGLYGEGYDKYKDARSQAVAATKKLLDSILLLETKKGDLKMHGLVHNAAQWIANKAIQRVNLSNKNQKSLVERDNNIKYLLCEGNLKDLFSSEFYGSKLEILILHVNMWGTVDIPISFLGSISGLRVLNLSNKSINLERPTLSLPQSISSLMNIRSLLVERVYLGNISILGSLQSLETLELDHCQIDELPCEIQKLKKLRLLNLEKCEIRSNNPIEVIQRCTSLEELYFCHSFNNFCQEITLPALERYRLSDGFGMMNDSLSKCVSFHHDHFTEATFKHVMQKIELLRLERVKKGWRNLMPEIVPIDQGMNDLIELHLKYDSQLQYLIYIEHIDSQVPTVFSKLVVLHLEEMENLEELCNGPISIDSMNNLEELTMECCQLLQTLSKCSLNLRNLKNMTLKSCPTLVSVFDLSTSRSLLLLESLEIIDCKILENIITCERRVEYDTREEILDGDIDNKSCSSVMFPMLKIVNIQSCPKLQFILPFISDGDLLLLETITIYGCHKLKCIFGQHQDFKFASLKEMMIGDSPNFIDIFPESYHSTLSSIEGSSNSISMRQPQLEPIESSIFSLESISYCLNIWEHAQWLSRPTSYIACHIKVMTLVNVSKIKSVLILSIAPKVLWEILTIRSCDELEQIILDVGDSIGGGNVFPNLKELNVENCDKMEYIVGHIKASDDHQNHNEVTRIHFPALECLKLWSLPSLIGMCTKRYRTTFPPSAVLKLDDCFVVDIKPIGNFTVPSSISRYHDRTTIKVPLFSIYFY >RHN67828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30552393:30553043:1 gene:gene16067 transcript:rna16067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSMSIFSRLDPDIMHTHILPRLDGTTLIVLFSVCSELRHMICHNNEDLWRNICTSKWPSLLKDPIVHNVISTFPGGYRSFFSDAFPSLHHLNNSHCSYPLTIDLIHAVDIYIHGEYLTSSVRVQSLNTDCLSSSDLFHLTFDDLNMSHIHTKEWKEEDVLKNLWTLLKLSWIVIDPIRKRAANLLRSSCEPVRVSQRNHERGLGEHTIQYELVMAG >RHN56472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31085121:31086617:1 gene:gene31880 transcript:rna31880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC transporter, P-loop containing nucleoside triphosphate hydrolase MAASDGSEYFEIGSIGSESFARASNAEWVEEDEEELHWAALSRLPSQKRINFAVLRASSSRQPSKENAGENLVDVRKLNRFNRELVVKKALATNDQDNYKLLSAVKERLNRAGIEVPKIEVRYTNLTVSADVLIGSRALPTLFNYTRDALEGILTSLKLFRTKRHSLTILDNVSGVIKPGRMTLLLGPPGSGKSSLLMALAGKLDKNLKVGTLFISYSTFFAFELNKFYALIFFIYITLCFFYKKKLSKLLVNIWVYTLRLKKDRKKMM >RHN39681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9533056:9538261:-1 gene:gene45728 transcript:rna45728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-transporting ATPase MHMKVPMEEKPFPAWSWSVDECLEEYGVKLEKGLSSNEVQKRREKYGWNELAKEKGKPLWKLVLEQFDDMLVKILLAAAFISFLLAYFEGSESGFEAYVEPLVIILILVLNAIVGVWQENNAEKALEALKELQCESIKVLRDGYFVPDLPARELVPGDIVELRVGDKVPADMRVAALKTSTLRLEQSSLTGEAMPVLKGTNPIFMDDCELQAKENMVFAGTTVVNGSCICIVITTAMNTEIGKIQKQIHEASLEESDTPLKKKLDEFGGRLTTSIGIVCLVVWIINYKNFISWDVVDGWPTNIQFSFQKCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSATEFFTLGGKTTACRVISVEGTTYDPKDGGIVDWTCYNMDANLLAMAEICAVCNDAGVYFDGRLFRATGLPTEAALKVLVEKMGFPDTKSRNKTHDALVATNNMVDCNTLKLGCCEWWNRRSKRVATLEFDRVRKSMSVIVREPDGQNRLLVKGAVESLLERSSYVQLADGSLVPIDDQCRELLLQRLHEMSSKGLRCLGLACKDELGEFSDYYADTHPAHKKLLDPTYYSSIESDLIFVGVVGLRDPPREEVHKAIEDCKQAGIRVMVITGDNKSTAEAICKEIKLFSTDEDLTGQSLTGKEFMSLSHSEQVKLLLRNGGKVFSRAEPRHKQEIVRLLKEMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAIAEGRAIYNNMKAFIRYMISSNVGEVISIFLTAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADVDIMQKPPRKSDDALISAWVLFRYLVIGSYVGIATVGIFVLWYTQASFLGINLVSDGHTVIELTQLLNWRECPSWSNFNVSSFDAGGGRVIAFSNPCDYFSVGKVKAMTLSLSVLVAIEMFNSLNALSEENSLRTLPPWRNPWLLAAMTISFALHCLILYIPFLSEVFGVAPLSLNEWFLVILISAPVILIDEILKLAVRSQRRKTKEKEA >RHN75995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46855681:46859120:1 gene:gene12264 transcript:rna12264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative XS domain-containing protein MRRLKILLIKHSALEMYIESYGDVKVGKDKSPAVSIANDKSHDVSIASDKSVNLSIANEKSLVMNVAKGQLDSVNFDDELFVWPWMVILKNIVTDFNPKSRKYYGKNHKQIKEELIMKGFHPMKVTAPWNHQGQTPFAIVEFGREWDGFHNAMMLERGFQAEHCGKRDYLGLWEQERGDRLFGWMARRDDYNVKDIVGKHLQEKGDLKTVSGKEAEDNRKAKKLVSGLENTLKRKTEELEQTASKYDEANVSLRKAMEQKEMMLEHFNKEISKMRQVECEYQEKVSKDHEKSRLELEARRNELMSREKDLQKRQADNHNERTKLYFEKKHKEKEKLHKKIHDLERGLDAKQALELEIEQLKGAFQVMNHIGETDPDEKKKLEAIRMELQEKEEELENVEDLQQTLVVQERKTNDELQDARKKLISWIGCPKNTAQVIISVKRMGEVDIKPFLEAAKRKISDEMNEKAATKRKLSEAGKMKAIEWCSKWDDCVKDPSWHPFKVVTDKEGNSKVHDAVATALKELNEYNPSGRYPVPELWNFKEGRKASLKEGVSHLVKIWKSNKGKKTY >RHN43074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42988537:42989418:-1 gene:gene49597 transcript:rna49597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MYASTSFTSQLLHELLVESHTRRLLFQNPIDYQSPTSSPVLTHNHNSTNSYFGIREFDANVVMILAVLLCALICSLALNSIIRCALRFSNVALNNGSSSSSSSNSSPQLANKGIKKKALKTFPTVSYSTELKLPTLDTECVICLSEFTKGEKVRILPKCNHGFHVRCIDKWLKSHSSCPKCRQCLLETCRKIVGSEAPPPMLPVPETIIRIQPLDHEAFECNYREESR >RHN57232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37458769:37460030:1 gene:gene32744 transcript:rna32744 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKPCTFVFFLCEIIIISVVAIKSSIDEKQSGATKEYKTKIEVDMDKYWGRNGGGGYRGGEHYDVDMGGWLGRGTPRRRGNGGPGGEGGGGGNKGGSKGSENKEPGGGGGGGGGSKGSENKEPREGGGGGVVPEGPGGKGRGETKGPGGEGGGIENGDHVEHP >RHN45622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23826973:23831926:-1 gene:gene40001 transcript:rna40001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MHQKKSEVQIGKESVGVSSDFNPTPPIQHNHQQTSQRQHLCPRHHSIDISPTEIITRPSNKLPFKPPQNTAFHHLTLSASAATAFRIIRRLKKHRSILFISLPLIYLYLHASRSFFLDFLTAFAFSTALLFSLNIALPSLRSFPLHLNLHKIRSSSSSHSRSPPPLPVFWTIGSRPKSEKRLGSGCWVQVFGNGDVYEGEFHKGKCSGSGVYYYSMSGRYEGDWIDGRYDGYGVETWARGSRYRGQYRQGLRHGFGVYRFYTGDVYTGEWSNGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADRMHGFGVYRFANGHRYEGAWHEGRRQGLGMYTFRNGETQSGHWQNGVLDIPSTQNTTYPVSPVGVNHSKVLTAVQEARRAAEKAYNVSKVEERVNRAVSAANRAANAARVAAVKAVQKQMHHHVNNEGFPIPVM >RHN48123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45201278:45202541:1 gene:gene42806 transcript:rna42806 gene_biotype:protein_coding transcript_biotype:protein_coding MNYQCFYMFIMQYLFINVMILLFIFLFHFVRCKFLPIIIKSKLNMSPAGSIRNSII >RHN56426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30785762:30786635:1 gene:gene31825 transcript:rna31825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTIIIKFVNVLIIFLSLFHVAKNDDNKLLLSFIEEGFLCFKDSDCPYNMCPSPLKEMCYFIKCVCGVYGPIRERRLYQSHNPMIQ >RHN40468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16567067:16573202:1 gene:gene46621 transcript:rna46621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, SWIM-type MEMAIVESVRNIPLQDPSEEEFSAADLTWTKFGSAEHYDEVALIPYDRVDAFIIGECSNVLCPTRFHIERGRKRTIGTLKEYKDDEYLEYRQYWCSFGPENYGEGGEILPSRRYRLNTRNRAARPQSMRGCTCHFVVKRLYARPSLALIIYNERRHVNTSGFICHGPLDRDAIGPGAKKIPYICNEIQQQTMSMIYLGIPEENILEKHIEGIERYCGPNAQVNSLASQYVHKLGMIIKRSTHELDLDDQASIRMWVERNRKSVFFHQDTSESDPFILGIQTEWQLQQMVRFGHRSIVAADSSFGVKRLKYPLFTLLVFDSRQHALPVAWIITRSFAKPDVSKWLKALIDRARSVEPGWKVSGFLIDDAAADIDLLSDIFDCPVLFSLWRIRRSWLRNIVRKCNNIEVQREIFKRLGTIVYSIWGGTNTSLALEQLMLDFVDQTDFLEYFRVSWLPKIEMWLSTMRNVPLASQEASGALEAYHVKLKAKLFDDSHLGALQRVDWLVHKLTTELHSSYWLDRFADESDSFQNVKEGYIASTSWHRALEIPDSAVTLDDKNRLFAKVASKKDSSLTHIVWNPGSEFSFCDCSWSLHGNLCKHVIKVNMICENLQGCQSSMSFRSFEEVLMDLWRKPVDDSFALDLSLAWTHQMLDQIQKLVELNNSTDIGTVVNNMPLKWVSKKRRTNIGKRSSISATPSGNRNTKSVVVYKKNRKRRRLSQLR >RHN42500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38865747:38870713:-1 gene:gene48947 transcript:rna48947 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box transcription factor 1 MLKTLERYQKCNYGAPETNVSAREALELSSQQEYLKLKARYEALQRSQRNLMGEDLGPLSSKELESLERQLDSSLKQIRSTRTQFMLDQLSDLQRKEHMLSEANRSLRQRQLEGYQLNQLQMNACVEEMGYGRHPSQTQGDGLYQQLECEPTLQIGYQPDPGSVCTAGPSMSNYMGGWLP >RHN59542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11830259:11831752:-1 gene:gene21584 transcript:rna21584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MGHVLNLSIPKSNHNYFFCSTLMASTATPSPQILLFNPILGNTKTIRRIRIFRIARTSNSHYSFQVHASKDSQGPQKAPPGIDTRIHWENDDEGWIGGSTKQQQTNAEEKPKKLLGEDFADLLNFQGSHYEFLGISPEADVEAIKMAFRKLSKEYHPDTTSLPLKTASEKFMKLKEVYTVLSNEESRRFYDWTLAQEVASRQQEKLKIKLEDPYEVALKNYEPVPDMVDRLGGKNMKLSDQAVSAITIDIFIIIFSICCITYVVFFKEY >RHN52107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31595094:31595735:-1 gene:gene36730 transcript:rna36730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MNSVCSLTILSLSFLLFVFITNLSLVFSNDNVEIVVDKNGIPLIPGTSYYISPANTGGRITLGKTVDSDCSFLVLQDDEKMIYGRQVKFSLSVGIIPASLIFTNTALDIEFVYKDSCVESSKWLIFVDNVNNNKSFVGIGGPENYPQGTQILNGKFNIKKSGSENAYKFGFCVKETPSCWDIGRYMSIGEEGGRRLSFNATEDFEAVFAAIAT >RHN63487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51719533:51721840:1 gene:gene26189 transcript:rna26189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UBX domain-containing protein 2/7 MSSTVRDHRIVRRMTSLPRSIMSFVGIGRRRRNQNANFPLQFLDPPQPQNSLAAPEEWAFHDNFEQQYGNKHPFFYACRFNEAMKLAEQDQKFVFMYLHSVDHPFANVFCKETLCSELVIQFLDVNFVCWGALTDRGEGLQMVSRLRPTNFPCCAVISSAPNNSIIVLQQLEGPLSPTELVEILQTTLEEQGLAFGSDKAKRDEKIRADRKLREEQDAAYLEALKIDKEKERTKSLPSRERAQKPVETQKNTRNYEKLRSNPVNVSKFRENNKGNGSTGEKNGKGITSSGNATQVAQILIRFPNGERREHTFLCTDKIQSIFSYIDSLGLSGIENYRLISNFPRRVYGVDQMRMTLKDVGLYPKASLFLEPL >RHN56127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27988838:27991961:-1 gene:gene31474 transcript:rna31474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MGWFVFHSMCLFLFVFPSWVSSLVPLCNHDDSSALLEFKNSFSPNVSFIREECEPAYNPRTKSWKNGTNCCLWDGVSCDTKSGYVIGIDLTCGSLQGKLHPNSTLFHLHHLQTLNLAFNDFSKSQISFGFSNLKALTHLNLSSSCFHGVISTKIYRLSKLVSLDLSELDGTIFEQSTFKKFIKNTTDLKELLLDNIDMSSIKPSSLSLLVNYSASLVSLSLEGNKLQGKLASNLLHLPNLQFLNLASNFNLKSELSKVNWSTSLVHLDLYETSLSGVIPPSFGNITQLTFLNLGANNFRGEIPDSFGKLSKLQLLRLYQNQLVGQLPSSLFGLTQLELLSCGDNKLVGPIPNKISGLSNLKYLYLSNNLLNGTIPQWCYSLSSLLELYLSGNQFTGPIGEFSAYSLTEVDLSHNRLHGNIPNSMFDMKNLVLLDLSSNNLSVAFHKFSKLWILHYLYLSQINLIPFSLHNESDFTLPNLLGLSLSSCKLKSFPSFLNELKTLENLDLSYNQINGRVPSWFNNLGNGTLSSLDLSHNLLTSTGNLSHMNISYIDLSFNMLEGEIPLPPFGTSFFSISNNKLTGDLSSRICNARSLEILNLSHNNFTGKLPQCIGTFQNLSVLDLQKNNLVGIIPKIYFEMRVLETMILNGNQLTGPLPHVIAKWKKLEVLDLGENNIEGSFPSWLESLPELQVLVLRANRFNGTISCLKTNQTFPKLRVFDVSNNNFSGSLPTTYIKNFKGMVMTNVNDGLQYMINSNRYSYYDSVVVTIKGFDLELERILTTFTTLDLSKNKFEGEIPIIIGELKSLIGLNLSFNKITGPIPQSFVGLENLEWLDLSSNKLTGEIPEALTNLYSLSVLNLSLNQLEGAIPSGNQFNTFQNDSYKGNPELCGLPLSKPCHKYEEQPRDSSSFEHDEEFLSGWKAVAIGYASGMVFGILLGYIVFQIEKPQWLIWFVEDIACLIQRKRRSQKFRANKRG >RHN42236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36575286:36575450:1 gene:gene48652 transcript:rna48652 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S14 MATKSLIEREKKRQKLEQKYHLIRRSLKKEISKAQSLSEKWEIQGKLEALPNAM >RHN79223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26207391:26209718:-1 gene:gene2971 transcript:rna2971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MYRTLNNPQGLQGWNGADPCEESWTGVACFGSSVIQLMVWLLYSHNLIYLLPYSGFISDVSSNSIMGEIPYGLPPNATHMNLSHNFLYGPIGNVFTGLDNLKEMDLSYNNFTGDLPSSFGSLINLDRLFLQHNRFTGSVTYLAELPLIDLNIQENLFSGILPQHFQSIPNLWIGSNMFHAADGSPPWGFPLDDVPLEHNISRPPTTQANAIQNFAPPNARKQKKKRIGPGGIAFMVGGGTLLVTGLALFLAIHLNKIHTERQKSFVFVSNRHSPLPSHPISAANGETLLVKTKNQ >RHN51799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25515953:25522967:1 gene:gene36330 transcript:rna36330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDLIQWLGDDMSTKVFSYLDDPRDLIRVSVVSRSWKKIVIQNDLCKRLCLKMFPEISGLVHSIEVDNVIELDGNLLERNHKIYACLAFGLTPMRNNCISKSLFASSTNDDWDENFKNTLEPGDGNEDGPSYWSSIGQSDPSIPEALVYKLCSNICLVSEIHIQPYQDYFEDDCPIYSAKAVRFRLGCERHDMEVESNTVVPDSLTFNEDFKWTYTSPVFPMSHDDMLQTFKLPEPVLFGGDVLLVELLGRIKEKEDNLFYICISHVQVVGRTISPPFIVRKCERGGCSLRYFSHVHRGVWCRCRFIGGGGRWGDC >RHN76350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49599260:49600362:-1 gene:gene12660 transcript:rna12660 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLPVISKRVWSMVRVAFFMLRKGISKGKLMMGLNMMLKRRSKLAGKAIANLMFHHHSHHSSSSSRSHDSRHQFTASREYEFSCSNTPHHFFPIGKRHRSNNHNHNNFFTCAHTPPTQDDDVATMSAMKAVLEMLNNDQAIVEASPALPGFGRSPMVRQLRVTDSPFPLREDDEKDNQVDKAAEDFINRFYSQLRKQD >RHN65019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63694539:63695909:1 gene:gene27909 transcript:rna27909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSQLGLILQESLRSQRESRTILGLLTEQMDALDSGTTRRRSLKERFRFTGMGCCGATWVFRPIIRHEHHHQRNEEGEATVEQQQQLQVQDQDPNPVEPECEAPAGSSGSGMNLAAALAAERQMRVSEEASGGEGATPWRVSLMKLLEETEGENESNAGAVVVEGSDSVCCVCMGRKKGAAFIPCGHTFCRVCSRELWLNRGNCPLCNRSILEILDIF >RHN44179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2276431:2278183:1 gene:gene38269 transcript:rna38269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MAAIAMKISFILLVLAITIPCLEGRIGEFDDYLKAQAEMARQIAFKSYVPNPENITTEINIHVHLAMEAAMKAEANDTRRELMSQKSRGGKGRRRARCMATNPIDSCWRCRNDWAKNRQLLATCAKGFGRRTTGGLGGRIYVVTDPSDNDLVNPRPGTLRFGAVQKGPLWIIFQRNMVITLTQELMVSSDKTIDGRGANVQIREGAGITMQFVNNVIIHGLRIKNIKAKNGGLIRDSFDHLGVRTRSDGDAISVFGSSNIWIDHISLSNCEDGLVDVIQGSTAVTISNCHMTKHNDVMLFGASDTYQDDKIMQVTVAFNHFGQGLIQRMPRCRWGFFHVLNNDYTHWIMYAIGGSSAPTILSQGNRFIAPHNNAAKTITHRDYAPEAVWSKWQWRSEGDHFMNGANFIQSGPPIKSLPFKKGFLMKPRHGSQANRLTRFSGALNCVVGRPC >RHN42178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36130133:36158268:-1 gene:gene48589 transcript:rna48589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein MLFNSIFRRKLLSLLQPWLFEEPQLNLELGFFHSLAVVTNLRFDVAVLNKLFHSPPLLFVKDFTVERLVVRFSSWSSPAFEIEFHGVRVVLSLENPEEDECVRRLRMPKDDYLDDLKKKLSELDPEGCSLHQILEAILYTVHEKNSFSSSFLNLILKNCHLEAHDIRVDVQFPILNDEFVCFAEMKEISARSKHLDKKCLLRGFVGTVFVPIKESDFMLDGTGFKVGLNRKNCADRIMLSSDMKIFFTFRDLKLVDCTLCFPLLACAFYPDDISFCLLFEKLLSDKFNQPRSARELWKIASSRIDHVTVTPRFSLQRLVGIVGQWTHYVKTYEEILLLTGYSTGNIWKKSISKMSRNKLSSGKHRWELISDIEKKLTVEGISLARRIARHRAASKVPFGSHEECAARSNFFRPLLFIVAFIWGMILKAIHCLRGIFFGEKTVQDPAIDGCCLGSLIKDTCQRCCFVLNFGKITITVSQIDEIHPSVCETLQSHTGIAYSDFLSICFCIDSLLLVSVKDILEQRVFLSCGQMKVELTPSTMSAEESILSMHSYTTEGKGKEGSRDSKPLMWVEPAKGFLLSETNAMQAEDSFDSHIECFMGKLLVSWKEICSNFNESEIQYSQNPCLLCKIEISSSYSDHEIPDYGFCECCLLVGKLNLIFSHSSVSSVSLILSQIQHAIYWEDKKEVSVVSNLLDKVENGWVKKYEYFSKKMILAMLQKLPERDIHLGVYVDGPSVRFSHRLEANISSQDTTDTSSQDDFDLIFDFHEIEVVVGSPPSLVGMNLLTAQPGLGDAKTECITLEPRVIEIPKPNNDKYASTGKVAIGSYLHLNGLNACLEKSTGDHQIQILILKPITLQMLCIRDYIYSLSTKMSSFSAALDITAGGFTVLSFLDEVYMIYKAIANFSSVVSYLFSSFEDVGCTHPEVMKWEAFFAVPDSNEAIIPGSSQTNDICPFFIDGTCRINSVDVILHNSRTSGNPECNTRKFDILTGNNKAMKTLPDNGIWISIQQAIMVISCEEAKMDLSTDLSGITSSVFEYQNSIGNNHENIVLQNLLLQSVHCLHEISLSGCTFSLCLGLVQNASSSGNGVKTFGSSTSSSEGSTSHLAQETNLSVFERSNNQSSLIVKKMVPPTNISMQASESHWLVMNVAVYNIFIGSCSMKSDLLRAHKVNKLLFSVSVGGEFNLICWEIQGGLIVLETSSLPMAIDNYSLYLHYISNLTSVAPQHNKGINLAEDGRESDNLDIEIDQGTVGTSRQAESGLPDAFDLSLSHLALVLALENESGGIQEIVLEVDIRLKFESGTPGMKLTAELSRLLIFSQVIHERVEEEKIIPHFSSVTSKDLSSHLASADPFSGFQKFNELNSDSDASCSKEPIPVQLSRQNQILKNLRASMSIERPDNGGYWFGIGSLSGFDVTLSVYEIQMILLMASSCSGVSSHNTTEVSKRNHRSSSQVDNSVEAIVPDGTIVAIQDANQHMYFTVDGEENSFSIGGAIHYSLAGERALFRVKHCPQKGWKSTVLWFSLISLFAKNDMGVPLRLNYRPGSCFVDISCTNDGGCALWRVCPSEAESYEGVSDSEAFNLTRKRTFYLENKMNNSAIAFVDGALEFVKKPGSPIKFKVFNDLSVTSDVSETASYPRMALQTPLPTDAESTSSLGGKLPCIDIKIEKISLNIVHELSDTEDLFPLISLFLNDTQLTVQRLATKSRVISTSSASINYFDAQRNLWEELLHPVEISIFYRSNVQAQISEYASHAVPVNFFCRITELDISLNENSLDVLLFMIGELKLSGPYSIQSSVILANFCKVVENQSGLNLLFHFDQQRVTIPRKQSASILLRRLCDFKIQDSDAAISVSIQLADGGSFATSPIRLLLPRTQTLAWRTQIMPREGSRTFPGPILVVNISRNSEVGLSFVVSPLIRIHNETGFSMELQFQRPAPLEDEFASVLLKPGDSIDDSMATFDAINFSGGVKRALMSLSVGNFLFALRPKMTEEFSNSETSLSLEWSDYIKGGKAVRLSGIFDKLNYRVRKALFVKSVKCSFSTVHCKLMSEGLCVADMHFLIQTIAKDVPVVQPEKSAAVLKNESSKVSLLEQKEIYLLPTVRMTNLLHSEIDVLLSETDQLNLVGHEKIGKQATISCGSTADFYANPAVIYFTVTLTSSNSSSKPVNSRDCVKKLLKQNTEVQHLDINLDFDGGKFSATLRLYRGNRGMLEVVVFTSYSVKNETDLPVYVLATKRWPLSRIELENLKSNIPSELGLCLLPKSTRSWFLKSERVQLRLLEDHTSEAPLDLGSLSGLIEISFKKEEGSGIKSVTKLGVSIGPSVGEIVVPSQMVTLVPRYVICNKSEQSITVRQYYFQDEVEGVISINSKQRMSLPLKEGLTKKREFSVFERLIRKHRIDSDNSLLYVQIQTNEHGLGWSGPVCLASLGHFFLKFRKEGTTSDNKMTQFAAVHVAEEGSTLVLSFYKPPNSSLPYRIQNCLHSLSITYYQKGSLEPEVLGPAGSADYVWDDLTLPHRLVVRINDSLQLREIKLDKVRAWTPFYKLGQQRVLATRLLSDKRSRDQNASFSERDGLEMTKVGYEIYAEGPTRVLRICEISDSFKRDTVIDLCAKFQLRVSQLAVHILEHVTQEDENERKDFTPIVAVKLGNLHMITVSNNHQKYNQFIIQHMNLELKWNGAPFASMLRRHQLDFSDPNDCVLKVVFVTLTSSSNVKQFRYSSIFLQPIDLNLDEETLMKLASFWRTSLSDSESQRIYFDHFEIYPIKIIANFIPGESQSTYSSTQEALRSLIHSVVKVPSIKNMVVELNGVLITHALITMRELFIKCAQHYSWYAMRAIYIAKGSPLLPPDFVSIFDDLASSSLDVFFDPSHGLANLPGLTLGTFKLISKCIKGEGFSGTKRYFGDLGKTLKSAGSNIAFAAVAEISDSILRGAEANGFDGLMSGFHQGILKLAMEPSVLGTALMEGGPDRKILLDRSPGVDELYIEGYIQAMLDTVYRQEYLRVRVIDNQVILKNLPPNQSLINDIMDRVKEFLVSKALLKGDPSTISNPLRRLRGETEWRIGPTLLTLCEHLFVSFAIRILRRQANKFVSSIKLEKKSSEGGDHADEPANSIIQKVQKVNIKKWGIARFVMSGLLAYIDGRLCRSIPNPVVRRVVSGFMLSYIDQHDEK >RHN45236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13375704:13377306:-1 gene:gene39470 transcript:rna39470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MAKSTNNSSQDITYERILDAPYILDDFYLNLLDWSSRNVVGIAIENSVYLWNASDTSSSELVTVDDQHGPVTSINWAQDGCHLAVGLNNSLVQIWDTTAKKQLRTLKAGHSHRARVGSLAWNNHILTTGGMDGKIVNNDVRVRSHIVESFRAHKGEVCGLKWSPSGKQLASGGSDSVVHIWDSSVVSSNSRTGWLHRFNEHKAAVKALAWCPFQANLLASGGGGGDRCIKLWNTHTGARLDSVDTGSQVSGLLWNQNERELLSSHGFTENQLTLWSYPSMVRMAELRGHTSRVLCMAQSPDGCTVASASADETLRFWNVFRSPTSACKAAAAETDK >RHN78372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14513297:14516611:1 gene:gene1918 transcript:rna1918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [histone H3]-lysine-36 demethylase MTNITQECPTYYPSEQEFEHPLVYLQKIAPEASKYGICKIVSPIAASNPASFVLTEEKMDFKFNTIVQPLRVFKSNEMEKITFSKRRRKYTYHEFEALANRAFSNRFCGKEDLSCLDIEKAFWHEIIHGEKGTVEYGVNVEESAFSSDPDDKLGTSNFNLKGLTDPMLYIGMLFSMFAWHAEDHYLYSINYHHSGANKTWYGVPGSATSQIEKTVLDHVYCNKVLIEHGENGAFQFLAQKTTMFSPDVLLEHNVPVYKAVQKLGEFVITFPNSYHAGFSHGFNCGEAVNFAIGDWFPLGAEASKRYSHLKMVPIIPYEELLCKEALLIYNSSKDSGYKIKPEDTSYRAIALSFLHLIQFYKTSLSRFDSSIKLSSYSNTSLGSVTCSLCNRDCYVAFLLCRKCYSDPICLFHEIVPQNCLCGREHTVFKRNDMLELEDAAKSFQQEKECINALSLTNIFSYNINECVKEHKNKNWRDEKSARRTVNSLGATSKLGTRVANNIKHTVEKNQKKPKNRCRNNVSPSVMSTKRQRVIYNLRKHESELIIKVPAVSSLLATSEKSK >RHN82255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52346059:52351895:1 gene:gene6401 transcript:rna6401 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCSNSSKVSTNTQNTLDMSFICAVVSVPRIGYLQKGCIKSGISLYLRTIEELS >RHN51692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22902529:22904412:-1 gene:gene36190 transcript:rna36190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSSFSSCFRFRISSSKPSFLIIPFLTRTLHCSHSRFVPNTVDNAISSFNHMLHMNPTPSIIQFNKILTSLVKLNQYPTVISLSKKLEIRPDIFTLNILINCYCHVQQMPFAFSIFAKILKMGFESDAITLTTLMKGLCLNGKVKESLHFHDHVLALGFQLDHVTYGTLINGLCKIGETKAALLMLRKIEGRLVESDVVMHNTIIDSLCKHKFVTDAYELYSEMITKRISPNIITFNSLIYGFCIVGQLKEAFGLFYQMLLKNINPDIYTFNILVDVLCKEGKIKEAKNVIAVMMKEGVEPDVVTYNSLMDGYCLVKEVYEAKHVLNIISRMGAAPDIQSLCKIKMIDEALSLFNEMRSKGITPDKVTYNSLIDGLCKARRIPCAWEFVDEMHANGIPANIFTYNSLIDALCKNHHVDKAIVLVKKIKDQGIQSDMYTYSILIDGLCKQGRLKDAQVIFQDLLIKGYNLNVCTYSIMINGLCSKGLFDEATTLLSKMEDNGCIPDAVTYEPINRALFKNDENDKAEQLLREMIARGLL >RHN65778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5248444:5249238:-1 gene:gene13667 transcript:rna13667 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGVSTNSLETILFKNTFSQVERAVSDTKPSITYFVCLFVCKKKKSSFFKNHNKCKCERKDKGVASGSAGS >RHN60561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28217967:28219118:1 gene:gene22887 transcript:rna22887 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYNHRSYSKSQMYFFKILHIISSTPPSPILPIDLNLSFSSITQQDSQSSSKTTSNNQTIHVQEHKTVDDDVAPLLLENKNEENPKTSSFQRPRGDNNYLDLLIEAARVLSEKNGSDLEESEKRTGTELMARVVVDLYENEPVVRSKRGRNQALPCRFRDSVIEPLKRKDRKLRLSSTTTNAKKRSYNVKR >RHN66035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7813360:7818989:-1 gene:gene13945 transcript:rna13945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MARYQQVLLSRINSCKSKFSSAEEITDYLYKTYSDYSWKTNPPLLHLVRKALASSSNNNNEETLSKKRKIIEPEPESEEETESDADADEEVTMFKDLVGNEMKKIIKELKRSVKLLRQPELIKEYGMKPKRGILLHGPSGCGKTMLAYAIANEAEVPFYPVSATDVVSGRSEENITELFSKAKTNAPSVIFVDDIDAIALEGKNLQRQIETRSMAELLTCMDEASSSGSSDEPTGYVLVIGATNRLDAIDSALRRPGRFDCEILVGIPDESAREEILIMHTRNCSHKLDRSIDLRKIARSTPGFVGADLEALVGKVLELAFQRIMDEMEPESSNDLMSDDESWWKENWSPQQKNKFAIKMSDFEEAIKMVQPSLTREGFSPIPDVKWEDVGALDLVKEEFDLHILRRIKYPEDYEGLGLDRDTGFLLYGPPGCGKTLIGKAVANEAGANFIYIKGPELLNKYVGESDCGVRKLFDRARACAPCILFLDEVDALTTKHGNEDALVIERILKQLLIELDGAEQRTGVFVIGATNRPEVMDSALLRLGRFGNLIHIPLPSPDDRVSILKTLARRKETLARRKETHARFKLKPIDADASVDLSDIARMKACKNFNGADLAALMDNAILAALAEKRTTTRTKDDTLTVKARHFEVALTKVSPSVSEMQRKYYEGISKSFKAK >RHN54917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13526263:13532381:1 gene:gene30016 transcript:rna30016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MRKSKPLFTLLHHNQNQNQNLFHLLLKPFSSLSPNSLQQDLPSQIFTILLQPQWRKNPSFNTLIPSLTPTHLSSLFNNPNLHPLTALNFFKWIHYQHGFIHTVHSYQPLLFILVRNGFLRAAENVRNSMIKSCVSSHEARFVLNLLTHHEFSLSVTSYNRLFMVLSRFGLIDELNCLFKDMLNDGVEPNLISFNTMVNAHCKIGNVVVAKAYFCGLMKFGFCCDSFTYTSLILGYCKIHELGDAYKVFEIMPQEGCLRNEVSYTNLIHGFCEVGKIDEALELFFQMKEDGCFPDVPTYTVLVAAFCEVGKETEALKFFEEMVENGIEPNVYTYTVLIDYFCKVGKMDEGMEMLSTMLEKGLVSSVVPFNALIDGYCKRGMMEDAICVLDSMKLNKVCPNSRTYNELICGFCRKKSMDRAMALLNKMYENKLSPNLVTYNTLIHGLCKARVVDSAWRLHHLMIKDGFVPDQRTFCAFIDCLCKMGKVEQAHQVFESLKEKHAEANEFLYTALIDGYCKAEKFSDAHLLFKRMLFEGCFPNSITFNVLLDGLRKEGKVEDAMSLVDVMGKFDAKPTVHTYTILIEEILRESDFDRANMFLDQMISSGCQPNVVTYTAFIKAYCRQGRLLEAEEMVVKIKEEGILLDSFIYDVLVNAYGCIGQLDSAFGVLIRMFDTGCEPSRQTYSILLKHLIFEKYNKEGMGLDLNSTNISVDNANIWKIADFEIITMLFEKMVEQGCVPNVNTYSKLIKGLCKVEHLSLAFRLFNHMKESGISPSENIHNSLLSSCCKLGMHEEALRLLDSMMEYNHLAHLESYKLLVCGLFEQGNQEKAEEIFRSLLSCGYNYDEVVWKVLLDGLVRKGYVDECSQLRDIMEKTGCRLHSDTHTMLSQELNGT >RHN72917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11821322:11824716:-1 gene:gene8683 transcript:rna8683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine-nucleoside phosphorylase MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYNGDSELQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEDYEEEEEEEGAA >RHN77323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5995399:6000178:-1 gene:gene767 transcript:rna767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flagellum site-determining protein YlxH/ Fe-S cluster assembling factor NBP35 MENGDIPEDANEHCPGPQSDSAGKSDACEGCPNQQICATAPKGPDPDMVAIAERMATVKHKILVLSGKGGVGKSTFSAQLAFALAARDFQVGLLDIDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPHPDEAVIWRGPRKNGLIKQFLKDVYWGELDFLIVDAPPGTSDEHISIVQCLDAANVDGAIIVTTPQQVSLIDVKKEVNFCKKVGVKVLGVVENMSGLSQPISNLKFMKITDNGEMKDVTEWISEYMKEKAPEMLNLIACSEVFDSSRGGALKMCNEMAVPFLGKVPLDPQLCKAAEEGRSCFADKDCVVSAPALQKIIDKLMETSGLSMTASNGV >RHN41672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31995196:31998532:1 gene:gene48017 transcript:rna48017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative uridine kinase MAQDTIGVDSQQKHLGRLKDQVRLVKRKDCERYEVSPIQNKLSFENGFSIVFHAFQLLVQKNDGMILIGVGGPSGAGKTVFAEKIFNSMPDIAVISMDNYNDSSRIVDGNFDDPRLTDYETLLRNVHDLKAGKPVQVPVYDYKSSSRTGYRTVEVPSSRIVIIEGIYALSERLRPLLDLRVSVTGGVHLDLIKRVIRDIQRAGQEPQEIIHQISETVYPMYKAYIEPDLQTAHIKINNKFNPFFGFQSPTYILKSTRKVTVDQIKAVLSQNFEETTEQTYDIYLLPPGENPESCQSYLRMRNKDGKYSLMFEEWVTEDPFVISPRITFAVSVRLLGGLMALGYTIATILKRSSHVLSDDRICVKLDWLEQLNRHYVQVQGTDRLVVKNIAQQLGLEGSYVPRTYIEQIQLEKLVMAMPDDLRTKLNLHEDLWSNPKAAFLRTSSDRVPMRHIRMRR >RHN42571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39436877:39442143:-1 gene:gene49026 transcript:rna49026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylinositol 3-kinase MEQNLNLTLLTTSTDPDDVRLIEWEDFEHDLARLSSLSSALNQAKEKKRNLQHKLESLIQFNGESLGRLNELEEMRQKLESKKLMMENMSIRSRLAKEAAGKQEEQLSGALQSLLVAGGTLSVTSRNLQESSRLMSEENGYVRLRNLQKMLRMRQQYMASQISMLYPVKLLVEPAQEQELEAYPLGSPAGTPPELKPVNQGSLTIQGLHLSMLSFRKMSFFTDKKEIQKSATALGYVAHAVSLVASYLQVPLRYPVRLGASHSYIIDNAPSIELTSSEASTSTKVFTNAKHVEFPLFLEGQDTTRAAYAVFLLSKDLEQLLNFIGAKSLGPRHVLANLRELFRTIQSTAFIDNLI >RHN59406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10346442:10349590:-1 gene:gene21432 transcript:rna21432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MKVACSISVATPHCCEAVKEVDDDAKDYDDRLETCDCLRDMALSFKKDFNVENGAALFALCGIQTPYQISRDINCTKIIERDEDDYDEDE >RHN73596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17968649:17971831:-1 gene:gene9424 transcript:rna9424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MKSFSLLSPPLLYLHLLFLLTFNLMWFGPNKIRAVAAIGNQTDHLALLKFKESISSDPYKALESWNSSIHFCKWHGITCSPMHERVTELSLKRYQLHGSLSPHVCNLTFLKTLDIGDNNFFGEIPQELGQLLHLQQLFLSNNSFVGEIPTNLTYCSNLKLLFLSGNHLIGKIPTEIGSLKKLQAMTVAHNNLTGGIPSFIGNLSCLTRLSAALNNFEGDIPQEICCRKHLTFLALGENNFSGKIPSCLYNISSLISLAVEQNNFLGSFPPNIFHTLPNLKIFDFAGNQFSGPIPFSIANASALQILDLSENMNLVGQVPSLGNLQDLSILNLEENNLGDNSTMDLEFLKYLTNCSKLHKFSISYNNFGGHLPNSIGNLSTELKQLYMGGNQISGKIPAELGSVVGLILLTMESNCFEGTIPTTFGKLKNMQRLHLEENKLSGDIPPFIGNLSQLYDLELDHNMFQGIIPPSLGNCQNLQYLDLSHNKLRGTIPVEVLNLFSLSILLNLSHNSLSGTLPREVSMLKNIEELDVSENHLSGDIPREIGECISLEYIHLQRNSFNGTIPSSLASLKGLRYLDLSRNQLSGSIPDGMQNISFLEYLNVSFNMLEGEVPTNGVFGNATQIEVIGNKKLCGGISHLHLPPCPIKGRKHAKQHKFRLIAVIVSVVSFILILSFIITIYMMRKRNQKRSFDSPTIDQLAKVSYQELHVGTDGFSDRNMIGSGSFGSVYRGNIVSEDNVVAVKVLNLHKKGAHKSFVVECNALKNIRHRNLVRVLTCCSSTNYKGQEFKALVFEYMKNGSLEQWLHPETLNANPPTTLNLGHRLNIIIDVASALHYLHRECEQLILHCDLKPSNVLLDDDMVAHVSDFGIARLVSTISGTSNKNTSTIGIKGTVGYAPSEYGMGSEVSTYGDMYSFGILMLEMLTGRRPTDELFKDGQNLHNFVTISFPCNLIKILDPHLLPRAEDGAREDGNHEILLPTVEECLVSLFRIGLFCSLESPKERMNIVDVTRELTTIQKVFLDGEMN >RHN66115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8634621:8640906:1 gene:gene14032 transcript:rna14032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vicianin beta-glucosidase MGAIGPSLLYLFSLATLLAVVTGTEPKKVEPSQYASFNRSLFPDDFLFGIGSSAYQVEGASDIDGRGPSIWDTFTKQNPNKIWDHSSGNVGADFYHRYKEDLKVVKEIGLDSYRFSISWSRIFPKGKGAVNPLGVKFYNNLINEILANGLVPFVTLFHWDLPQALEDEYKGFLNKNIAKDFAVYADFCFKTFGDRVKHWVTLNEPYSYTINGYNGGTFAPGRCSKYVANCTTGDSSTEPYIVAHNLILSHAAAVRVYKRKYQAHQKGKIGVTLVTHFFEPYSNSVADKKAAGRALDFFFGWFAHPITYGHYPQSMISLLGKRLPKFTKFESVIIKNSYDFLGVNYYSTYYAQSKGPQNKNMDYYSDMQATVSPLKNGVSIGPSTDLTWLYVYPKGIHDLVTHIKNVYNNPPVYITENGIATYRNDSVPINVARKDGVRIKYHHDHLYYLLEGIKHGANVKGYYAWSFSDSYEWDAGYTVRFGIIYVDFVNKLKRYPKYSAFWLQKFLLKGKH >RHN55174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15752194:15753686:-1 gene:gene30311 transcript:rna30311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative structural maintenance of chromosomes protein MQENGQLKVLSAHHQSGGERSVSTIVYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASKPNTPQCFLLTPKLLPDLQYSEACSILNVMNGPWIEQPSKVIFS >RHN53279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:623050:624484:-1 gene:gene28170 transcript:rna28170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative The fantastic four family protein MAAIVCHGLPSCHDSHLVESRFHNIRLPSPKPLTTQPIDLPFKTCFWDFENNINKTITVDSNPKEDSWSSIQSLFKSNASKGLKETTYVDPNVKLPWHRLSPKSLELCTENLGNETGADIPEFSIDLLSSTNSACGNLETKEQKKNSCQNLGGEKMRTKSFPPPLKSMRGSESIRVKPHRENGRLVIELTKVPSTVSCFQAERSNGRLRLSFWNDPEEDENQGFEDVENSPVVVALDAPSVSPTKEEESVKEVVEEEGIDNEKEKETPTRVVECIEKEENGWKVESEVKMERYERGSRRRRCKEGKHENNERLGNWGEPLWLALATS >RHN40548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17505918:17509655:-1 gene:gene46709 transcript:rna46709 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L11 MASEKKLSNPMRDIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKARVGIQHRVTKDDSMKWFQVKYEGVILNKSQAIV >RHN41923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34117838:34120030:1 gene:gene48298 transcript:rna48298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative procollagen-proline dioxygenase MVKFKHSSVGLRKPSLIICWTLFLTLFVTFTFLILIILTLRIPKPNHLNSITHSNTLRNEDDDNKRWVQIISWEPRAFLYHNFLTKEECEHLINIAKPSMHKSAVIDEETGNGVDSRERTSSGAFLKRGSDRIVKNIERRIADFTFIPVEHGENFNVLHYEVGQKYEPHYDYFMDTFSTTYAGQRIATMLMYLSDVEEGGETVFPNAKGNFSSVPWWNELSDCGKGGLSIKPKMGNAILFWSMKPDATLDPSSLHGACPVIKGDKWLCAKWMHVGEFKI >RHN64703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61128514:61129843:-1 gene:gene27550 transcript:rna27550 gene_biotype:protein_coding transcript_biotype:protein_coding MCRDLVFSHDACWAISYLSDGTNDIIQEVIEAGICGRLVELLLHPSPSVLIPVICTIGNIVTGDDMQTQAIINHGSLSCLLSLLTHNHNNNSIKRKVCWTISNITAGKREMIQNFYWGVAAKTKDKKKKMMMLELLVLHEEIPKRVTH >RHN51000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12770274:12770749:1 gene:gene35360 transcript:rna35360 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMFINHARSIGVGMEVPRSSDLNGIFITLYFTDFPTQLPLFHLMKGFEVCGILKDVEFIPFANLRFHDIVLHSYHNLFDVL >RHN65258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:610263:616417:-1 gene:gene13078 transcript:rna13078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase MLYRSTRDSLRSRNSKSKSISIRRLCSRKVMILCLIIVAILPPIFFHFRLRRFHQIQLKRCGWVNNPPLVCAHGGDSSNASPNTMAAYRSALQSRVDCIEIDVSRSSDGVLFALHDRDLQRLSGNTSSRVGYMSSKQIRELSASRQSTEKINDEIIPTIQDALTMTASSVRQIILDVKVGPPLYEKGLANDVLSIVEETGCRNCLVWAKSDNLARDVIKLSSEVAVGYIVMREPSTGARSKLLRMKGAEVVGVYHPLIDEKLMKVLHRRRKRVYAWTVDDAESMQKLLFEHVDGIVTSNPTLLQRLMQDGKTQCLEEGYSLPA >RHN60645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29053120:29053582:1 gene:gene22994 transcript:rna22994 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRRKITRKARHTVESENGKVSGINYQETMKFLHRKITGEVRCSPE >RHN48752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49968460:49976355:-1 gene:gene43502 transcript:rna43502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lipid droplet-associated hydrolase, alpha/Beta hydrolase MNEENNLLSKDRKRANFRLCSLSNYTSEILEIQADAPSLHVLFVPGNPGVILFYKDFVEFLYELLGGTASVTAIGQVSHSRKDWEHGRLFSLQDQIDHKIDFIKEELQNIEIPIILVGHSIGSYISIEMFKKTLEKVNYCVGLYPFLTLNPHSTTQLIIAKIAQYQFVAAALSYLTASLGFLPVKALRFIVGKSLGKSWSANAVEAACSHLSQYHTMRNVLYMAMSEFKKLAEAPDWTFLRERKDQCAFLFGVGDHWGPLQLLEEISNQVPGIALSIERENHTHGFCCTEAGSLWVAQHVANLIKNQIACTNQ >RHN41409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29765981:29769513:1 gene:gene47727 transcript:rna47727 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPSSYLSIFIAHPPYLSILKILYSSHFQVPSLKASSQNLIISFYLVFMMGESEGTKFSLDARKNEKVRFPKRLDPAISKFFVQLPHKFQNIVKNQMSRLAKHEGMNWGRSVQRNGSVTFSASEAELKEQLQAWKENPSWVDKPPEIKVTVPKGSLCNLNVEVDVGLPPDAVYNIVIDPDNRRVFKNIKEVISRKVLVDEGHRQVVDLQQAAIWRFLWWSGTISINVLVDQNRNDYSMKFKQTKTGFMKKFEGCWRVEPLFVDEATCYPFKPVTKEDYNMCTRGKGRIGSKVRLQQILQPAIVPPPPISWYLRGITSKTTEMLITDLLAETTRIRGGYEAEKSKEEIQGKHGENLDLIANTNNIKERWILRRKNAKQSHRRPLDC >RHN63871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54660652:54661029:-1 gene:gene26626 transcript:rna26626 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKRRLVDYDINDSVFDEDSSMPLEEHQCGQFSMAAVQSNMNMEDKSKVQVGNGALKVGIYDGFKGDSFQVSIECIVCFFLLPFLTLFLVLICMHACISNRKNVSCFNTGFMIAPRRWQLLQNI >RHN58174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44335214:44335996:-1 gene:gene33791 transcript:rna33791 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAKLTLPSSPLTFNTSFLPKSPSLSHTIASPKRISLGVKVCAQLGGRDEETKKGEKKKFITREQEPEQYWQSAGEREGENPMMTPLPYIILFGMSTPFVILGIAFANGWIKVPIR >RHN62178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41456008:41458157:1 gene:gene24725 transcript:rna24725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MAKSVDHDQGVQTGFIWENHLWGDLPNSDHNLGESSKKRVDTKPLNQNEGINEAEAPVSKKRNWGGVVIRSENNITTGEEKDKKYRDFDHEMHILTERERRKKMRNMFDSLHALLPELPSKADKSTIVDAAVKHIKNLEEIKEKLEKKKQEMLKSVSPLGSESSVINSQWHPYESREAFLADQGSSSYNNNLSNAIVTSNPSNAFSISPPQQVGFQTWSSQNVVLNICGGEAQFCICSTKKPGLLTTIALVLEKHKIDVISANIMCNANGNFYMIMAHAKQASLGANSMEETYKQAALEIMTRIA >RHN81199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44003755:44005788:1 gene:gene5208 transcript:rna5208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling CW-Zn family MSASKTPSSSSKRPAMTQGSVDIYAAQCKLCMKWRVIDTQEEFEEIRHKIIRDPFDCSKKANRSCDDPADIEYDSSRTWVIDKPNIPKTPQGKKLRTRNEIAAYLKDHPQPSGVSAADFDFSSPKIMQDTIPEFIEQQKDSANKKAKIAKDEV >RHN52581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36790927:36799566:-1 gene:gene37275 transcript:rna37275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MENSSSNSFNHGWTYDVFISFYGDDTRYSFTGYLYNTLCQKGINTFKDDIKLKKGEEISTDLLQAIDESRIAIIVCSENYASSPWCLDELVKIMECKEEKGQLVCIVFFYVDPSNVRHQRKSFARSMAKHEENPKISEEKISKWRSALSKAANLSGWHFKHGYEYELIQEITEEMSRKLNLTPLHIADHPVGLNYKISQIMSLLENKSNDDDDVDVCMVGICGIGGIGKTTLARAVYNSMSRKFDSSSFVVDVRENSMKHGLVHLQETLLLHLLFENIKLDDVSKGIPIIKRRLRNKKVLLILDDVDNLQQLRSLVGRRDWFGFGSKIIITTRDKHLLAAHGVKKLYEVKELNDHESLELFSMNAFRKNVPDASYGEIVKCVVQYAKGHPLALNVIGSDLFGKTVEEWKSALNKYETIPNKEILNVLKVSYDNLDDNEKEIFLDIACFFKGYPKADVEKTLDASRFYSKYGIGVLVDKSLVTISESNSVKMHDLIEDLGKDIARKESPFDPSKRRRLWHHEDVLEVLTENMGTDTIEGIVLDMPNLKQEVQLKANTFDDMKRLRILIVRNGQVSGAPQNLPNNLRLLEWNKYPLTSLPDSFHPKTLVVLNLPKSHITMDEPFKKFEHLTFMNFSDCDSLTKLPDVSATPNLTRILVNNCENLVDIHESIGDLDKLVTLSTEGCPNLKSFPRGLRSKYLEYLNLRKCSSIDNFPDVLAKVENMKNIDIGGTAIKKFPSSIENFKGLEELVLTSCSNVEDLPSNTDMFQNIDELNVEGCPQLPKLLWKSLENRTTDWLPKLSNLSLKNCNLSDEDLELILKCFLQLKWLILSDNNFLTIPVCIKDLSHLLLLNIENCKHLRDISVLPPYLQYIDARMCMALTPHSSEVLLSQAFQEVEYIDIVVPRTKIPSWFDHCNKGESISFWIRKSFPAIALLFLLSGDDERKTNYSCEFCILINGLQIFQGKSEWPVGHVWLFDLRIHLTASEWHGFNEHITSGWNRVEISCSVIDESKSVTIKCCGIHLYKDRMNIDHVSFISPDLHGSNMVRENDNLDIYDEVSEDVVFTSILAEYSNKTILEIMTDLQSNKRKDDNRYDYDEELEPDSDIDSQYMEEEQDSASLNRQIQEIFELVNKDKGKETNSSKILHDPSTETHEEFLVKSVHNNEPTLAQKSKLNEIENFAPESSTVASTSRDKGTQLVDKITFVEQINVDSINSKNLTLNAESYINIVESTTNEDNMESFYASLEAETNSPLHVQYNQPNNETVRIRPSEETVKTLQILEDLVTKKFSLLLHPGRSGLMKDILKYLLTLTPDEGLSLKTKSVILQLSHSFAQWSLDYNNAILKLESATINLTKAEKVKDYLEANVKDFREMDMVEKCLSNQLASLQEEKRELEEKINAIKSEIADFRAQREMVEKRKTELFCKGKEMRVERDDLRNQVPRLKAEKELAKITQDNIEEEWSKLGEQFIGSTNFEEWRT >RHN44629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6999030:7002094:1 gene:gene38770 transcript:rna38770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MVSALSQVIGTSSTNHNNPQMAQSISTTMVNEESQPPQPLLDQETKKKPHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAALAYDKAALKFKGTKAKLNFPERVVQCNSYSSTANNAIQQSDYVSNSHDQQVFPNLFQYAQILESDAEFPYYASHLFNQQQQGDRHPPGE >RHN70279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50210648:50218884:1 gene:gene18843 transcript:rna18843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidate phosphatase MQAVGRLGSYISQGVYTVSGPFHPFGGAVDIVVVQQQDGSFKSSPWYVRFGKFQGVLKSKEKIVQINVNDVEADFQMYLDNKGEAFFLREVDADEAVLIDPLESVDDIDHQSLRTKSCNFDSEDRKIIGRTSSKRSRILGLMFGRRSVSGEFEDGVGNKERAEIAANLLDIKWSTNQDGDHAVVVENEDGSIGVVKDEKVLSEEVSVVEGVEEGSKVKIVCCSSEQTHEVMYLAHGESGEVHVHDQVLHSLISQGTETEGVTKSADVVEIFEIHSQTQKIDSSDSEPSMYNVVDVENLTTSPKPQTNSLDIGHCSSEKAESYSVTNASSYNSEDDQGLCENNTKDKDVSSTLSTTQYSLGDCLPGETSRRLPPSSSDEENFLFSDLDENRMNDRYEGSLTPEYIDKDDSISYEDGTETSRVTSCPIVIPRNEDAAGEVGQNTGSLPNISSGNNSMRQQVRFPLSQSLDSTYPGKDDLKCLNLDEYKEKQLPHEQEGGKAYQDSEEVKDTTLNLPPGGTSTSSSPPGGNWRIWPFSLSRTGSRDSSPPIPNDAKSDIFGNSPENKICTDANKNDTKPNLTKKKVRELTPTSEQIASLNLKEGRNIVTFTFSTAMLGKQQVDAQIYLWKWNDRIVISDVDGTITKSDVLGQFMPLVGVDWSQTGVAHLFSAVKENGYKLLFLSARSISQAYITRQFLLNLKQDGKVLPEGPVVISPDGLFPSLYREVIRRAPHEFKIACLESIKCLFPSDCNPFYAGFGNRDTDEISYLKVGIPLGKIFIINPRGEIVVNRSLDTKSYTSMHALVNGMFPSTSTSEQEDYNSWNFWKLPPSAFDF >RHN82451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53901840:53903009:1 gene:gene6618 transcript:rna6618 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDSRRKHRRSPSPDDHDKSSKRHKHRHRHRHHISKKRGEEEIQFDAETVDSVKINHLPVDDVEEGEILDDLPFEVKDDPDLRSNDKNPYPVSVS >RHN70917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55049521:55049808:-1 gene:gene19552 transcript:rna19552 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHQIGRLYVLQGSTVTGTAAVSSSMSSFEVQVIGPWPFASESVLPSQCPGIHPMHSFRDSHMSILLFYCLCNLFLHNRNKNPTNFCYFNFNFI >RHN56068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27335209:27335971:-1 gene:gene31394 transcript:rna31394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MMASYPISEPEVETENTNEPNWLELPRDVTTNIFRRLNTFDVVTSVCQVCPLWWNICKDPFMWRSIRMTDTCSNRAYMEKICHNAVGRSCVVGQYCPLLKSLKYEKITDEDDDFDIEDEAFAFSVAETMSGLRRLKISSNVLTTDGVLAILDGCPLLECLDIQECRYLFLSFSLEKMCHERIKEYFRLPDFYAFCASDDDYYEDLLPENWNGSVYGDHNLSMMLKHD >RHN46784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34856241:34871823:-1 gene:gene41312 transcript:rna41312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MASNLNRNGAQRGSAKFDRPLKPRPRASSPLPGGSAPRRSNSAAKNDAVPGRVRVAVRLRPRNAEEEMADADFGDCVELQPELKRLKLRRNNWDSDTYEFDEVLTQSASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGQLGEGDTSSRGIMVRSMEDILADLSPDTDSVTVSYHQLYMETLQDLLNPANDNIPIVEDPRTGDVSLPGATIVEIRDQQSFLELLRIGEANRVAANTKMNTESSRSHAMLTVHIKRSVVESEDIVSSQNGDASHLIKPSKPLVRKSKLVVVDLAGSERVHKSGSEGLMLEEAKSINLSLSSLGKCINALAENSAHVPFRDSKLTRMLRDSFGGTARTSLIVTIGPSPRHRGETSSTILFGQRAMKVENMLKIKEEFDYKSLSRKLEIQLDKLIAENERQHKAFEDDIEKINLEAQCRILEVERNFANALEQEKLKCQMEYMGIVKELEQKLVLNQERHDCNADDGEGPAQSSADEVAEIKMLLETESNRRKAAEEELTHLKRQLGKYTKPEEGEDSEITKLRNLLEDEAHQKKKLEEEIIILRSQLLQANFETEQMRRCLEGGSSGSTFSATDSSTTQVRHSQFKDAANGQKSSVATLFEQVGLQKILSLLESDDANVRIHAVKVVANLAAEEANQKRIVESGGLTSLLMLLRRYEDETVRRVAAGAIANLAMNEANQELIMAEGGITLLSMAASDAEDPQTLRMVAGAIANLCGNDKILMTLRSQGGIKALLGIVRCGHPDVLSQVARGIANFAKCESRASNQGVKTGRSILIEDGALPWIVQNANNEAAPIRRHIELALCHLAQHEANAKDMISGGALWELVRISRDCSREDIRSLAHRTLSSITPFKSELRRLRVEY >RHN66479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12875723:12876664:1 gene:gene14478 transcript:rna14478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TFIIB MSDAFCSDCKRATEVVFDRSAGDAICSECGLVLESHSIDETSEWRTFANESGNNDAVRVGGPNNPLLNDGGLSTVIAKPNGESVDFLSSAVNRWQKHGSNPDRGLILAFKTIDTMAERLGLVPIIKDRANEIYKRVQDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLENGGQSVEMGTIHAGDFMRRFCSNLGMNHQAVKAAQESVKKSEEFDIRRSPITIAAAVIYIITQLSDDKKPLKDISVATGVAEGTIKNSYKDLYPHVSKIIPTWYAEEEDLKNLCNP >RHN63668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53060044:53062794:-1 gene:gene26395 transcript:rna26395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative malate dehydrogenase MAAAASATFTIGTTQTGRSLPQSSPFGLKFNSQVNFNTFSGLKAMPSLRCDSESSFSGKETCAALRATFAPKAQKENQNLTRNLQPQASYKVAVLGAAGGIGQPLALLIKMSPLVSDLHLYDIANVKGVAADISHCNTPSKVLDFTGASELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINAGIVRDLVTAVADNCPNAFIHIISNPVNSTVPIAAEILKQKGVYDPKKLFGVSTLDVVRANTFVAQRKNLRLIDVDVPVVGGHAGITILPLLSKTKPSASFTDEEIEELTVRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDADVYECSFVQSDLTDLPFFASRVKIGRKGVEALIPTDLQGLSEYEQKALEALKPELKASIEKGVAFAQKQTVAA >RHN69825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46552387:46555242:-1 gene:gene18344 transcript:rna18344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MGDGEKFQLGTIGALSLSVVSSVSIVICNKALMSTLHFIFATTLTSWHLLVTFCSLHVALKMKFFEHKPFEQKAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTILLEILFLGKKFSRRTQFSLVILLLGVGIATITDLQLNALGSFLSFLAVITTCVAQIVSLKKISLLLTAMVFKMADILSMTNTIQKKFKVSSTQLLYQSCPYQAATLLIIGPYLDKILTDLNVFAFKYTTQVTFAIVLSCLISISVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVIVRDPFSWRNILGILVAMVGMLMYSHFCILENQQKSIETAAQALQAREGESDPLINVENGSTILNKRPPIWNKEKD >RHN63481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51652820:51653084:-1 gene:gene26181 transcript:rna26181 gene_biotype:protein_coding transcript_biotype:protein_coding MRENEGKKCYRRRETEEKSEPPLLSAARARYALVVPLLLENPVRIWCWCGGSRWF >RHN47161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37592223:37596365:1 gene:gene41725 transcript:rna41725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative formin, FH2 domain-containing protein MQTLFLFLSFLFIIFLEGSHSHNHFIFLNNNRRILHEPFVPLNSLPPSEPPPPLHSPPSTKNPNHPFSTTIPTDNNPTQDQNQNQNQNQNPNQDPNQNQSPFFPNYPLAPPPPPPSLLEFASFPANISSLILPHTPQPTTHSSNKLLPIALSATAVAVVAVTISVFLYFRRRLRNRRTSTGDKTLRSDSTVELFSRNAEPVGGNTSDFLYLGTVVNSRGIDETPPSRGGALVYRKLESPELRPLPPLARLPEPPSPPRRGDNYSDEEDEEFYSPRGSSLGGRESSGGTESSSRRAFSAVVVNRSNKSSSISCSSSSFGSPEQSHSRSLSPPASSSPRRTQQKSPETSPSHNQHVEYRSSSSSFSSSRSTPERDFDEEKENASLSTNVHASLEERFMVKNENASLSDQAQRLSNVSSSAFSLPSSPEKMTKMMYQGFDQSPRMSSVSDGSKLPGMSSVPLSPALLSSPETERGGFSCNSNQWGTFSSQRKHWEIPVISKQTASPPPPPPPLPQQRQRRHWEMSRSSAIVYQPRSNLRPPELAPPSRPFVLQNQMTNESVGETEESSKPKLKPLHWDKVRTSSEREMVWDQMNSMSFKLNEEMIETLFVVKTANQKPKDAAPRSVLPLPNQEGRVLDPKKSQNIAILLKALNVTIEGVCEALLEGSSDTLGAELLESLLKMAPSKEEERKLKEHKDDSPTKLDVAEKFLKALLDIPFAFKRVEAMLYMVNFQSEVGYLRKSFQTLEVACEELRYCRMFLKLLEAVLKTGNRMNIGTNRGDAEAFKLDTLLKLADVKGADGKTTLLHFVVQEIIRTEGVRLSGTNQTTSSTLTEDVKCRRLGLQVVSNLSSDLSNVKRAATMDSEVLSSDVLKLSKGTTNLAEVVQLIEKAGFDESSQKFTESMNNFIRMAEEEIVKIQAYESVVLTLVKETTEYFHGNLAKEEAHPFRIFLAVRDFLAVLDRVCKEVGMVNERTTVSSANKFPVPVNPMLPQPLPGLHGRKDCSNSSDDEFSSP >RHN65309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1090236:1092899:1 gene:gene13134 transcript:rna13134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylenetetrahydrofolate dehydrogenase (NADP(+)), Methenyltetrahydrofolate cyclohydrolase MRKAFHLHSLSGIVASHKTLRKVLYLHSFSSPPSSTFLGPNLPDVWVPRHNTSPPHTLLPQSLQWTDNDDHSAAILEGKPIANHIKLKVADEIRRMKSDIGKFPKLAFVLVGDRRDSHTFIHLKSKACEKVGIETVVSQLPENCTENELLDVVSGLNDDQDVHGIIVQLPLPQHLDEERIMNIVSPEKDVDGFHPLNIGNLARSGRKPFFIPCASRSCIELLLNHGIEIRGKRVAIIGRGKIAGLPTSLLLQVTIKCCC >RHN78707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17706280:17706786:-1 gene:gene2347 transcript:rna2347 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQQNFNQAQSHGQTQPKADEWIQSTKDSAIAAVERAHAASTDRAHEASDATGQNAAAASARVHSAADATGQNASAASARGFSLCSR >RHN40350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15530365:15538015:1 gene:gene46497 transcript:rna46497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein Rsm22, bacterial-type MATQTIAEAAQKIVTPETLIYAAKQSQRCLTVPLPLRRAIKKYLREQEEPYMKRKVLRLSQSFNEIKNVNLQLATTTSREIVEHPLKSLEQSKRWKITSSYGDIGLTYRDEETIAYVASRMPAVYSACYRVLKEVSRRLPGFSPTKVLDFGAGTGSAFWALQEVWPKSLEKVNIIEPSQSMQRAGQRLIQGLKDLPLIHSYDSIQALSKDISKSERGHDLVIASYVLGEIPSIKDRITILRQLWDQTQDVLVLVEPGTPHGSSIIAQMRSYILWMEERKHRKSSKKNNEVCKDLITEKAGAFVVAPCPHDGTCPLVKSGKYCHFVQRLERTSSQRAYKRSKGEPLRGFEDEKFSYVVFRRGPRPREPWPLDGITFDTLKEQQAKRNPEDLEIDYEDWLKLQEADDDAPREVDAIRRYESDGLETDGDGEDDNEEVKETEEETEIADLGGGWGRIVFMPIRRGKQVTMNVCRSIKRDASEGEFARMVVTKSKNPALHRQAKRSIWGDLWPF >RHN56531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31693396:31696484:-1 gene:gene31945 transcript:rna31945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein METKILGLASFPYYTPPNRSRLNLLRSSLSMRHEYPLASKILVKNLPYFTGENALQKKFSNFGKIAEVQMVKDVSTERSKGLAFIQYTCQDDAMLALETMDQQSFYGRTISVELEKLDVHNFVGSPKASGPPKKWNLPAVQVEEVDCWY >RHN79050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21964094:21964831:1 gene:gene2744 transcript:rna2744 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIIFLCCCDFAPRKPKMKHNRHKLIRFEECWLRESNLKEEIARAWEDSGTNLSNRIKRCVEDLARREADRYGDVPKSIKITQMKLDKLNRSADNEGVASEIRRQK >RHN69438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43403179:43404209:-1 gene:gene17912 transcript:rna17912 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKTAPTCHNLRLPVFNFRMFSFSVDNTVAWLFLNCTLLVVNTAKHPIIQLRNRNHWINFMFF >RHN76607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51617481:51620888:-1 gene:gene12957 transcript:rna12957 gene_biotype:protein_coding transcript_biotype:protein_coding MQAARFEPKVEFLNASLIPYIFPVIAAAHKTLVAKSQDSLTTHTLQSELVYNYSGSKHITESLKRCGISGSTIYILAARFDASPDEIKAIEKLVIGKEIDLEELERRANQSQIQKISASELEVSSLAEC >RHN49640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:56176112:56187985:1 gene:gene44490 transcript:rna44490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lupus La protein MAEEEESVTETPQVVISPSDPSSSSSSSLLRNVSFSRLNAQAPEFVPTPRLLVPPPPPPPLHHHLLYSPPPPHHHPHHHQVVHYRSHHQYPPQEVEQPEHTSSSKTKPHSDEQPHHKILKQVEYYFSDLNLATTDHLMRFINKDPEGFVPISVVASFKKIKALITSHSQLANVLRNSSKLVVSEDGKKIKRQYSPTESDIEELQSRIVIAENLPEDHCHQNLMKVFSAVGSVKTIRTCPPQTSNNGTSSASRSGKVDGIPLHNKLHAFVEYESVELAERAVTELNDEGNWRSGLRVRLMLRRTSKPTPGRGRKGLDVEVSCEEDYTSVPEPQTNEKELEDASFPDAQLHEHAGEEHGYEKESGQRKGGRGRGRTKGRGRVHCHQNNNRANHHLGTSPSNSSFFTDQQVVAAKQPPPGPRMPNGTRGFSMGRGKPVAVNIA >RHN61250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34153807:34155280:1 gene:gene23691 transcript:rna23691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MDSYKKVMIMVMLLAIGNAKFSTSITICNLTREERETCEPYVSGENSVDATRKTFKACCSVMAKADLECFCRYKNSILLSYYGIDPKLALELPVKCKLRKSFKC >RHN77824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9645870:9646937:-1 gene:gene1317 transcript:rna1317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MTPYRKISMSSDSKRRRRNAMAVAATDFYLPNDCWESVFKFLIDDNDINNGFYFKSLSVVSKQFLSITNGLLFSFAVSSGSESTRLLPSRLFQRFTNLTSLDLTWYRGNLNELLSQVSCFPLKLTSLNLSHQSYIPADGLRAFSVKITTLTSLICSNIRIFNSNDLFLIAECFPLLEILDLNGPLFCIDGCNSILHGVETLSLAFSRLRKINLSRHDYLNNHLLFHLFKNCNLLEETIISNCDFGRMSVENSKSFKDIGVSPQLKSLDLGHNQCLRDKNIIMFASIFPNLQLLDLRHCCNISKRGICQVLKRCCQIRHLNISCSPVNLLEINFELPKLEVLNLSCTKKLMMKRFM >RHN52459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35569528:35573218:1 gene:gene37142 transcript:rna37142 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFDKDEIPILSETHGQRSDEPQDSTFRRNISRTRSASISIPMASLDPYERQPNLVRHTGPLCTTKKTPVSQMSGPLNATPLTGNPFQNKTEKIFTFYGPGRYEHLLRSGQLGMCHDPYCTTCPTYFKVSQQRKPRASNIFDHKFHNSLHGDTKGFGRKLFSFCSSCIPGVMNPHTKVVLQWNKVLAIFCMVTIFVDPLFFFSLYVNKDNKCIQINLTMARTLVILRSITDVVYLLNILLQFRLAYVSSPQSYNFDAGELVDHPRKIAVKYLKTYFFFDVFVGSPLPQVYFFLM >RHN42085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35302545:35304946:-1 gene:gene48480 transcript:rna48480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anaphase-promoting complex subunit 10 MSLEMAAESSEGEEEGKLSGGNQVLIVDEDLRELGKKAAWSVSSCKTGNGVSSLRDDNLETYWQSDGAQPHFVNIQFQKKVRLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKAVELVKPTGWLYLSLSGLDPRETFVNTFMLQIAVLSNHLNGRDTHVRQIKVYGPRPNPIPQQPFQFTSTEFITYSTIR >RHN58031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43220974:43221399:-1 gene:gene33636 transcript:rna33636 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVLAYLPRRTLIIDDKCDKSSIQINQAPTTPLPNGIPQYTVEIVNTCLSGYNISNIHIDCGMFSSARLIDPTIFKRLNYSDCLVNSGKPFPNGKVISFSYANTYPYPLSVSSVVCY >RHN52297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33589608:33591250:1 gene:gene36956 transcript:rna36956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MAMQSPSRVFLSFRGSDTRNNFTGNLYKALIDKGICTFIDDNDLERGDEITPKLVKAMEESRIFIPIFSANYASSSFCLDELVHIIHCYKTKSCLVLPVFYDVEPTHIRHHSGSYGEHLTKHEGRGESLQP >RHN64181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57114332:57117753:1 gene:gene26968 transcript:rna26968 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAMSDSRSSGLFQQATATTTASASRTTEASPHLSSSSRPAASALSLKEPLTGADQ >RHN39008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3514968:3516954:1 gene:gene44992 transcript:rna44992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MNTMTLIYILCFFNLCVACPSKKCVYPAIYNFGDSNSDTGAGYATMAAVEHPNGISFFGSISGRCCDGRLILDFISEELELPYLSSYLNSVGSNYRHGANFAVASAPIRPIIAGLTYLGFQVSQFILFKSHTKILFDQLSDKNFSKAIYTIDIGQNDIGYGLQKPNSSEEEVRRSIPDILSQFTQAVQKLYNEEARVFWIHNTGPIECIPYYYFFYPHKNEKGNLDANGCVKPHNELAQEYNRQLKDQVFQLRRMFPLAKFTYVDVYTVKYTLISNARNQGFVNPLEFCCGSYQGNEIHYCGKKSIKNGTVYGIACDDSSTYIPSSKGMDC >RHN43646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47470227:47471704:1 gene:gene50255 transcript:rna50255 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNYFGEPNLGYERGNGSSSSSSYTSSRKGKKSNSDKPKQPQRGLGVAQLEKIRLHTQLPPPYHHPPTPYNYNQPSHSHFNYNEDQKAIQMSYSSSYSPNSYAFQPHSNMMGLPEYERTNITYGDSYPRWQHGNVISENQYPSQTNITQPFLNLYDSQNIDMKKRSSSMGSESSDTQELDLELRLSLY >RHN71032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55958587:55963535:-1 gene:gene19674 transcript:rna19674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative clathrin adaptor, mu subunit MISQFFVLSQRGDNIVFRDYRGEVQKGSAETFFRKVKFWKEDADGDAPPVFNVDGVNYFHVKVAGLLFVATTRINVSPSLVLELLHRTARVIKDYLGVLNEDSFRKNFVLVYELLDEVIDFGYVQTTSTEVLKSYVFNEPIVIESSQMPLGPASIFMQGTKRMPGTAITKSVVANEPGGRKRDEIFVDVIEKISLTFNSSGFILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGTSDYRGSGAVILDDCNFHESVHLDSFDIDRTLSLVPPDGEFPVMNYRITQAFKPPFRINALIEETGPLKAEVTIKVRAEFNSSINANTVLVRMPLPAFTARVNFELEPGAVGHTTDFKEANKKLEWGLKKVVGGSEHTLRAKLTFSQELHGNIMKEAGPLSMTFTIPMYNSSRLQVKYLQIAKKSKAHNPYRWVRYVTQANSYVARL >RHN73547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17550874:17558815:-1 gene:gene9371 transcript:rna9371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diacylglycerol O-acyltransferase MAISDTPETTATATATVTTIETDTDLKRSSLRRRPSATSTAGGLFDAESAAADAVRDSGSDDSLNGKINNEEEVKDRKTDHAEGIVDDDDDNAVKKNGGNDVINDRENVAVDFKFTYRPSVPAHRRSKESPLSSGNIFRQSHAGLFNLCIVVLVAVNSRLIIENLMKYGWLIRSGFWFSSKSLRDWPLFMCCLSLAIFPLAAFVVEKLAQQKRISEPVIVLLHIVITTVAIIYPVLVILWCDSAFLSGSTLMLLTCIVWLKLVSYAHTTYDMRALAVSNEKGETMPDTFNMEEYPHNVSFQSLAYFMVAPTLCYQPSYPRTPSVRKGWVCRQLLKLVIFTGVMGFIIEQYMNPIVQNSQHPLKGNLLYAIERVLKLSVPNVYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAQTVEEYWRMWNMPVHKWMVRHVYFPCIRFGIPKGAAALTAFLVSAVFHELCIAVPCRMFKLWAFIGIMFQVPLVLITNYLKNKYRNSMVGNMIFWFIFCILGQPMCVLLYYHDLMNRKGEID >RHN61716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37777040:37784621:1 gene:gene24206 transcript:rna24206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MASDPEQPPLSSFSQEGKSSTEVRSEREPLLNGIHVPQDYSLSAAILPFLFPAFGGLLFGYDIGATSSATISIQSSSLSGITWYDLDAVEIGLLTSGSLYGALIGSVLAFNIADFLGRRRELLVAALMYLVGALITAFAPNFPLLVIGRLVFGIGIGLAMHAAPMYIAETAPTPIRGQLVSLKEFFIVIGIVAGYGLGSLLVDTVAGWRYMFGISSPVAVIMGFGMWWLPASPRWILLRAIQKKGDLQTLKDTAIRSLCQLQGRTFHDSAPQQVDEIMAEFSYLGEENDVTLGEMFRGKCRKALVISAGLVLFQQITGQPSVLYYAASILQSAGFSLAADATRVSILLGVFKLIMTGVAVVVVDRLGRRPLLLGGVSGIVISLFLLGSYYIFLDNAAVLAVVGLLLYVGCYQISFGPMGWLMIAEIFPLRLRGKGLSIAVLVNFAANALVTFAFSPLKDLLGAGILFYIFSAIAVASLVFIYFIVPETKGLTLEEIEAKCL >RHN51838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26424759:26428505:1 gene:gene36378 transcript:rna36378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFSSFNHILHMNPTPSIIEFNKILGSLVKSNNNHYPTAISLFHQLEFHGITPSIVTFNILINCYCLLREMDFAFSLFGKILKIDGKLVNTDVVMYSTIIDCLCKDKLVTEAYVLYSEMITKRISPNVVTFNSLIYGFCIVGRLKEAFGLFHEMLLTNILPDVYTFSILVDGLCKEGKIKEAKNVIAVMMKEGVIPNVVTYGSLMDGYCLVNEVNKAKHVLSLISRMGLTPNANSYNIIINGFCKIKMVDQALNLFNEMCCRGIAPDKVTYNSLIDGLCKSGRISHAWELLDQMHDRGQHANVITYNSFLHALCKNHQVDKAIAFVKKIKDQGIQPNINTYNILIDGLCKEERLENAQVIFQDLLIKGYKLTLWTYTIMINGLCLEGLFDEAMTLLEKMEDNGCIPDAVTYATIIRALFKNDENDKAEKLLREMIARGLLLGMLAMSD >RHN47673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41442040:41442744:-1 gene:gene42298 transcript:rna42298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MCNKGKEKVNEESIANLKMMENHNNENKRKAIVLEESYVETQNSRTPQKDVVEEESTDSSKEISPFLRFGFIVDHRKGIQKAYSCKFCGRKFTSPRALGGHQNSHKLERSLKKRIQTFNNAWINYSNGDQGISLNTISPFHMGCGYQYYGFNNMIQHAGSSNFYAGNLYGALQHIPEIDEANEANQSIMNQKVNMSEIEIDSVSEGGVVSDKVDQEEKETQEEEASKVDLTLKL >RHN46278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30347950:30350266:-1 gene:gene40749 transcript:rna40749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MASNKEEVKVFGLVESPFVTRVEIALKLKGVEYKYEQEKVGNLSETLKKYNPVYKKVPVFIHNDKPISESLVILEYIDETWKQNPILPSDPSKRALARFWSNFIDDKCSNGARKVCFPLDEKEREKSIEETKEALHFLENELKDKFFGGEDIGFVDIAAVVISFWFSPIQEAFGLKLFTIEEFPKLYNWSQEFNNHPIVKEKLPPRETLLAFYKGRYEEHFGSK >RHN42605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39647196:39652242:-1 gene:gene49062 transcript:rna49062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal RNA-processing protein MMKVSKGKKGVRTGEKKKKINIEQNADDVETHVTVNNDVDHGVPVTKGKKVKVSINRKRKNKDKNLVERQRPEDGEVDLEAQNADPEMEIVKGKKVKATTSRKRKNKDKNLVRRQTPVDGEVDLEEQNDGVVEHCDSKEKEVKNSKKPRDSNIEAAIKPCTSKQAKKKRRKEVPKSSEKKEQNQQDDIHIISSGDDDCSNGMKKWIMEYHQSRPGLEVLQHQIEDFISTYEEKLEEERKAKEALAAEGGWTVVQHHKGRKKTTDAESGIAVGSVAQAAAENKLAKKKHTGVGQDFYRFQKREAQRNELMELQSKFEEDKKRLQQLRAARKFRPY >RHN56554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31845920:31848922:-1 gene:gene31970 transcript:rna31970 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVENESEITTSFKKRLEIHMARNELLQKENQELKEEVSRLKSQIISLKAHNMERKTILWKKIQKSIDDNNSDSHHTLKPALQAIMCEKSSENQDFQDSSTSPRKEKSSIVLPPTPPPKPASTLFSPSHKNEKGMKMQPTAAPPPPPTPSKSSIGLKTVRRVPEVIELYRSLTRKDANIENKTHHNGIPAVAFTRNMIEEIENRSKHLSAIKSEVQSQKEFISFLIKQVESASYADISEVETFIKWLDGELSTLVDERSVLKHFPQWPEQKVDALREAACNYRELKNLESEVSSYEDNPKEPISMALKRIQALQDRLEGSVSSKERIRESSSKKYRNFHIPWEWMMDTGLVGQIKLCSLRLAKEFMKRITKEIKSHEALHEDNNNLLLQGVKFAFRVHQFAGGFDPDTTQTFLELKKVGCAVPSNSNLIINPLKHVKVCHKHVS >RHN54150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7331160:7338325:1 gene:gene29141 transcript:rna29141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MGDDSSLESDNFDWNTDDELEIESFNSLSSTVPSRQTITAASVEASSFAGPSNTKVLDHFISMGFPGEVVSKVIQEYGEEDEDKLLEEILTYSALESSSQQHQQVEPDPTSSEYAGSSWDDLSDGDSFSDEEMPKSVSRNDDTLLSLVNMGFKEEEALMAIERLGLDSSLDDLVDFIGVAQLVKEEDSLLPPEDKQQCSGHSKPRKRSLYEYEVLGKKKRKVSDKRTPCEEEDDGQTLNLPNPMMGFGVPNEPKSIITHRTLPENAIGPPYFYYENVALTPKGVWQTISRFLYDVQPEYVDSKYFCAAARKRGYVHNLPIANRFPLLPLPPRTILDAFPLLRKWWPSWDPRKNLNCLQTVHGSAQTTDRIRKKLESCEEFEEPSESVKKYVLEQCRKWNLVWVGKNKVAPLEPDEVEMLLGFPRNHTRGGGISRTDRFKSLGNSFQVDTVAYHLSVLKEMYPNGINLLSLFSGIGGAEVALHRLGVPLNNVVSVEKSEVNRNIVRSWWEQTNQKGNLIDIDDVQQLDAERLEQLMSACGGFDLVVGGSPCNNLAGSNRVSRIGLEGTESALFYDYFRILDLVKAMAPRFQ >RHN58353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1036930:1042722:1 gene:gene20258 transcript:rna20258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative magnesium protoporphyrin IX methyltransferase MGSRPEAVAPPEIFYDDDTARKYTSNSRNIQIQTSMTERALELLNLPKDGVPKLLLDIGCGSGLSGEVITESGHHWVGLDIAPSMLDIALDREVEGDLLLGDMGQGLGLRFGMFDGAIGISTIQWLCNADKSFHNPHLRLKAFFTSLYKCLTNGARAVFQVYPENDDQRELLSSPAMKAGFSGGIVVDYKDSPKKRKEYLFLVCGQEVPLPLPEGRTEDNDDSGSETNKTVHVLDRRRPWKIQKNNKSEKGREWIKRKKEQMRRRGDDVPPDTKYTGRKRKNHF >RHN40497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16936962:16937315:1 gene:gene46653 transcript:rna46653 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLKFHSTFMTLLCEWPFSHTLSKTDKMLKTARLILYHE >RHN57832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42030315:42033880:1 gene:gene33421 transcript:rna33421 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRISNRLILINHWRILGFFSSIIGFTSYAFSSSFNHLYGKWNFIKIIIYTLVSFSISSMMLFLKKWKLSRRFLLKAHLGVLVFMISCAAFALMSFCLSRQIDLGFETDLNFFLGILTVQLMKINLMLSIVSAIFCYSLMILRLKFDSRNEIGTLRTEADDIAIYRN >RHN72368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7104859:7105856:-1 gene:gene8071 transcript:rna8071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-methionine (R)-S-oxide reductase MYLMSLKFMSLKSFSYIVLLLFIVLQTELNSREYTQLIFYFVLRVERSRCRLKGTGEYNKFYEEGVYNCARCGTPIYKSSIKFDSGCGWPTFFEGFPGAINRSPNPDGRRTVITCATCGGHLGHVFKGEEFKVPTDERHCVNSVSVKFIPGNATSSI >RHN51471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18324691:18325446:-1 gene:gene35909 transcript:rna35909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Hemopexin-like domain-containing protein MLMGFQLFAKTPFQYQIGSSFDTGDNVAFFFSRDQCVKTNYSPDAPSAKARLLQAPTPIKVMFPCLEGTIFENGIDAILRAPNPICVYLFKGDQAGTLVFNSNTVIDIANICDYNTFIPFIGTVFEGGIDAAFNSHLGDEVFIFKGPYYAHYDVKKNEFLNGIIKRINGDWPALQSIL >RHN52478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35756528:35757070:1 gene:gene37162 transcript:rna37162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MDELKQLQGLAGGLDWFSPDSRVIITTRDKRLLTCSHRVETTYEVDWLNVAEALELLTWKAFKSNRVHSSYKYILPCAITYASGLPLALEVVGSNLFGLDIGEWESTLDQYERIPNKEIQKILKVLMLWRKMSKVFFSTLLVA >RHN77631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8259647:8260045:-1 gene:gene1103 transcript:rna1103 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSLFLSSVYDPFLLDIWFSNIFNRRVKNLRIHSQFQLSFSVLASDSLFKNFILLEELELLVNSISHIKVPISIEELELLTNSVYLANAPSPTKSIRFQNLKLLKLCGIFFNTHSPKSPNYIKLKLSSHF >RHN51518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19278818:19279845:1 gene:gene35971 transcript:rna35971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MKAHNMTWKKMLVEKKKKWKQIGIFNLTLGNLYELGARKIGVTTLPPIGCLPVIITMFGYHTNKCVKEINNIALDFNKKLNVTTENLVKMLPGVKLVILDIYQPLYELIIKPSDYGFFEARKACCESGLLEVAKLCNVMSIGTCVDASKYVF >RHN76488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50742503:50744939:-1 gene:gene12824 transcript:rna12824 gene_biotype:protein_coding transcript_biotype:protein_coding MHMDHGGGGISNPLVLSLCWLLTKDWEKDNKEIEPRNTTIINTKLSPRNLVVAM >RHN51896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27919246:27921649:-1 gene:gene36458 transcript:rna36458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MPEMIQFDYLMILFIFLIFVVTNIMAWRPDCKENNDCPTFYCATWINTCIKFKCYCIRPWG >RHN48326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46645313:46658854:1 gene:gene43031 transcript:rna43031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myosin ATPase MAAVANPIVGTHVWIEDSDIAWIDGEVVGVNGEEIKVLCTSGKTVVVKASKIYHKDTEVPPSGVDDMTKLAYLHEPGVLNNLRSRYDINEIYTYTGNILIAVNPFIKLPHLYDIHMMAQYKGVAFGELSPHPFAVADAAYRLMINEGISQSILVSGESGAGKTETTKLLMRYLAYMGGRAAVAEGRTVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAIRTYLLERSRVCQLSDPERNYHCFYMLCAAPAEVVKKYKLGHPRTFHYLNQSNCYELEGLDESKEYITIRRAMDVVGISIENQDAIFQVVAAILHLGNIEFVKGDEIDSSMPKDEKSRFHLQTAAELFMCDANALEDSLCKRVIVTRDETITKWLDPEAAALSRDALAKIVYTRLFDWLVDTINNSIGQDPESKSLIGVLDIYGFESFKNNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEEIDWSYIEFVDNQDILDLIEKKPGGIISLLDEACMFPRSTHETFSQKLYQTFKDHKRFSKPKLSPSDFTICHYAGDVTYQTEYFLDKNKDYVVAEHQSLLYASTCPFVSGLFPPSPEETSKQSKFSSIGSRFKQQLQSLLETLSSTEPHYIRCVKPNNLLKPAIFDNKNVLLQLRCGGVMEAIRISCAGYPTRKAFDEFVDRFGLLAPEVLDGSSEEVTACKRILKNVWLEGYQIGKTKVFLRAGQMAELDTRRSEILGKSASIIQRKVRSYLARQSFILLRVSALQIQAACRGQLARQVFEGMRREASSLLIQRCLRMHIAKKAYKELYASAVSIQTGMRVMAAHCELHSRRRTSAAIIIQSHCRKYLALLNFTKLKKAAIATQCAWRGKVARRELRKLKMAARETGALQDAKNKLEKQVEDLTLRLQLEKRLRVDVEEAKAKENERLQSALQKMQLQFKETKVLLEKEREATKKLEARVPVIQEVPAVDHALLEKLSSENEKLKTLVSSLEKKIDETEKRYEEEAKVSEERLKQALDAESKVIQMKTAMQRLEEKFADIEFANHVLQKQSLSINSPVKTAVENLSTPVSEKLENGHHVAEEPYDADTYVTPVKQFVAESDVKLKRSCSERHHGSFDSLVNCVSKNIGFNHGKPIAAFTIYKCLLHWKSFEAERSSVFDRLIQMIGSAIEDQDDNALMAYWLSNTSALLFLLEQSLKTGTSTNATPNGKPPNPTSLFGRMTKSFLSSPSSANLASPSSVVRKVEAKYPALLFKQQLTAYLEKIYGIIRDNLTKELTSALALCIQAPRTSKGVLRSGRSFGKDSPMVHWQSIIESLNTLLCTLKENFIPPVLIRKIFSQTFAFINVQLFNSLLVRPGCCTFSNGEYVKAGLAELELWCCQAKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEIVNDLCPILSVQQLCKICTLYWDDNYNTRSVSPHVLASMRMDLDSNDAMNDSFLLDDSSSIPFSVDDLSTSLQEKDFSDMKPADELLENPAFQFLIE >RHN68713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37968048:37969621:-1 gene:gene17099 transcript:rna17099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein DEHYDRATION-INDUCED 19 MTIENKNIVSSSSPTTTEELPQSFPASNNLDSIELYLSDINESMFLPSREDYFNGNQVDNDSTSEDGKGDSISNPIDLHSDSPCAIKCPACTFDIEVSALRHRSEDQTYDLYTMICPLCDESLGEDATRMVQNSRLPSSPKGIWKQRENSNVDWALHDKRDTITNAYVPSFDDFFSDDDTISNASDAAVEKGISSGIRYDPFLGYVININYTYE >RHN50772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10361001:10361404:1 gene:gene35100 transcript:rna35100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription initiation factor TAFII31 MNLVLYTNTQVYLEHASKSAIDIHYVKLVIQSQVINFIFSQPPCREVLLELAQNCNKITLPKSIVGPGFPPPHNQDTLISPNHSLNFQTKGLSNIWKKPRMKNLPFLISTISSKEDSHT >RHN40810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21473397:21479005:1 gene:gene47024 transcript:rna47024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-Pl family MLRNLQEHDSNYSDSLFVRIIACELCCSTCNPRRKKNINEGKPFEDMEFLEKLGEGGFGTVFRCCDVETKQIVAVKQISILDTYNAVPGSIIREVSFLRELNHPNIVRLLKVRYKKGTRLVHLVLEYLDCDLHDYIIDAERFNSSINNPMTKKSFLYQILSAVEYCHSRKIIHRDLKPSNLLIDHSKEIIKLADFGLARELGDPDVLYSPKVATRFYRAPELLFECGQYSTPIDIWSVGCIFGEMVLGLPILRAIDCKDELETIFRMFGTPTEETWPGVTTLCANLQTYPKFRPMDLSSIFPPFDPTGLNLLMRMLCLDPNKRISAEAALRHAYFDDLDPVIKGRSKS >RHN62026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40146376:40147878:1 gene:gene24551 transcript:rna24551 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESHKNTGSHGEEKKISTSELMASAKIVAEAAQSSLGKGSADQKPMDKAKVAEAAGDLLDAVGQYAKLDDQKGLGQYVDKAADYLHGYHPKGHDAATTAPPSSKPDHHKGNDAEKSEGGGGGHHGLGDGLAKVAGGFFK >RHN57672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40928502:40931813:1 gene:gene33251 transcript:rna33251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MANRVVPNPREAHPDAFHVSGPRNVTSLNWRDLISSSWKDPKYKRTVIACFIQAVYLLELDRHEKRAPDNALAPNWWIPFKYKLTKTLIDERDKSIFGAILEWDQSAALADLVLIRPSGAPRAVLVLRGTLLRSPTMRRDIEDDLRFVAWESLKGSVRFKVTLETLKSICETYGSSNVCIAGHSLGAGFALQVGKELAKQGIYVEAHLFNPPSVSLAMSLKNIGEKAEYVWSRVKSVLPYGKEAKVSNDVDKTSAVASKSWMSRLPRSGSGLKDASVVGITKWIPHLYVNNSDYICCYYNDTNGTAEKVVDKENVGGQIAAKLFVVSKEKQKFLEAHGLEQWWSSDAELQQVIHNSKLISRQLSSLYTSTPSRIVTIVKAL >RHN80712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40350638:40357386:1 gene:gene4669 transcript:rna4669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger, cation/H+ exchanger, CPA1 family, na+/H+ exchanger NHX -type MAIEITSIVSKLSMLSTSDHASVVSMNLFVALLCACIVLGHLLEENRWMNESITALLIGICTGVVILLFSGGKSSHILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFVNFMTITSFGAIGTLISCVIITTGATFAFKRMDIGTLEIGDYLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLNQLNPSIALHFLGNFLYLFVASTLLGVVTGLLSAYVIKKLYIGRHSTDREVALMMLMAYLSYMLAELSYLSGILTVFFCGIVMSHYTWHNVTQSSRITTKHSFATLSFVAEIFIFLYVGMDALDIEKWKFVSDSPGTSIAASSVLLGLILLGRAAFVFPLSFLSNLTKKSQHQKISFRQQVIIWWAGLMRGAVSMALAYNQFTMSGHTQLRSNAIMITSTITVVLFSTVVFGLLTKPLIRLLLPHPKITSSMTTTESTTPKSFIVPLLGDSRDSEADLEGHEIHRPNSLRALLSTPTHTVHRLWRKFDDSFMRPVFGGRGFVPVEPGSPSERNGNQWG >RHN53137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42212726:42214777:-1 gene:gene37907 transcript:rna37907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, Sec23/Sec24-type, protein strawberry notch MNRQPQPPPPSTARARCAGCRTYFSAAQGVAELPCPNCQMPHVFFVDSSAVKIRCSSCKAVVNAPSNLSKFPCPQCHVRIDVHADVEEVNELVNEVEQEEGDGGIAGETFTDYRPSKLSIGSPHPDPIVETSSLSAVQPPEPTYDPKIKNDLERSKALSCLQIETLVYACQRHLQHVPSGPRAGFFIGDGAGVGKGRTVAGLIWENWHHGRRKTL >RHN78464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15388341:15389229:-1 gene:gene2032 transcript:rna2032 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIVRKTSLSPRNTWIKLDANGHSSFLDIDKYELMRQVRIDARDLRILDPLLSYPSAIFGREDVIVLNLEFIFCNQHIKAIITAKEVFLQDPTGEDVVPVVRELQRRLFTIDTNQGDDQDHSPLDVEVDEDDGMLDHNSTFFIEEILIIIANIHF >RHN71345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58413045:58416130:1 gene:gene20018 transcript:rna20018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MDPSYESDEGDEMEDKRQENFMRPRGSSAFGRKGREIASPRSGSISSDSWSGTRNYSPMNQELSRNLSNKGFSVKGDDVSNATELLNDSQFHQGRDKESQLSNSWDRQKLLSSSLENGGKSTRPLVASESFSNTVSEAATAPSSGGLAAPAVKINETEKMWHYQDPSGKVQGPFSMVQLSKWNNTGYFPADLRIWKTSERQDESILLRDVLAGKFSIEPSAVDTTPPKAQNHSSSFSRMSPLAAQGLASKTSPLAVEVPKNPGNGWGSGASVKNEPTSLPSPTPQTASVGSMGHAFENKWSPTPVQMAGSVLGNSFPNSLGGFQTSVAVNSHPGITADTTQVHLQATAANMQNQAASIHNSRAEAQGWGQSVVPKPESQAWGGTPSQRVEVNNSGTLPAQQASHGLWGDASSVQNSASFSTGNPTGSLPAHGFPGMTAPGNQANTMVPPPPNMSWGMNMPGNQNTSLGGAIPTKMNVNWTHAQAPAPGNATPGWAAPTQGLPQVNANAGSWVAPGQGHPHVNNASAGWAVPGQGPAPGNANPSWAASAGNPGMWGNGQSHSGERFHNQGDRGTRGGDSGRGGKSWNRQSSFRSGSRGGSRPPSGGQRGICRYYESGNCRKGASCDYQHN >RHN65081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64063469:64066208:-1 gene:gene27975 transcript:rna27975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b561 and DOMON domain-containing protein MLRLTLALCVLSSIILTSSAQTQSCKNQTFSDNRAFTTCRDLPQLTSYLHWSYDETSGKLDIAYIHTGITATNRWVAWAINPSRNLDPAMIGAQALVAIPQASGSPKAYTSNIIDTSTRLQEGTISYPVSGLSATYQNNEVTIFATLTLPNGTTSFVHVWQDGVLSSDSTPQEHSHESSHQNSKEVLDLVSGTSQAASGIGSRQRRRNTHGVLNAISWGILMPTGAVIARYLKVFKSADPAWFYLHITCQVSAYIVGLSGFGTGLKLGSDSVGITYDTHRALAIVLVTLATLQVFALFLRPNKDHKLRFYWNIYHHVVGYVTISISIVNVFKGFEALGDFVGDRYKNWKHAYIGIIGALGGIAVLLEAYTWMVCMKRKKAENKTSDGVNGANGHGSSTL >RHN65317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1170440:1172372:-1 gene:gene13142 transcript:rna13142 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L11 MVLHHLSGQTPVLSKAKHTVRSFGNRRNEKISCYVTVRGDKAMQLLESSLKVKEYKLLSRNFSDTGCFCFSIQDHIELGFKYDPSIGIYGMNFFVVLERPGNRVGRRPRGKARLGIQHRVTKDDAMKWFQGKYEGVILNRPELI >RHN79918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33498149:33512328:-1 gene:gene3775 transcript:rna3775 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVKIEELEGDIIPTTDHFFVKIGEPVPLNSNDSNFDLQTPPSQPLTLSQRFRLTFVAHSQGFYVVKTKDLIDSGNRSGSSVEKLCLVDVAIGRVRILAISSDNLTLAACAAGDIRFYDVQSFLNKEVKQSFSCSLDNSTFVKDMRWTTASENSYAVLSNTGQLYHGRAGFPLKHVMDSVEAVDWCIKGTSVAVARRNVLSILSTNFEEKVSISLPFRSWIGDSEENVSVKVDSVKCVRPDAIIIGCFQFTEDGQEENYLIQVISSKHGEISDDCSELVVQSFYDNYPAMIDDIVSPGSGPYLLLAYLEQCQLVINANMKNTDNHISLLGWTQDDDKSEAEVVDRLEIDRSKWIPKIELQENEDDNLLLGLCIDKVSIYQKVRVQIAAEEEETELSPYCVLMCLTLDGKLVLFHVASLAGSKVSPEVDYAEHDEKDASVKLPVDENSTFSHGLQKKERELDQDVEVREENRKPKHFAEDYTKYREVGSATNVQSVKSDVLQMVPGADVKQVNGSQKTAVIGTSYSSFPVNFNSAAPGLSSNKYFQDDTERTKELPNTNSSRDSQRASYQLPGETFSFPKSSNVTSVSGSSYVDGSGYQNKNYTVGATNANAANVPGKGYCGKPLFVKDANVESPVLYSAATIPGSLGGKPFLVKDVSVESPGISRPVQSGGQLTSIGAESSHFSHLSLPGNSTAGKSSIRKFHPSNEQHVNSSKPGISSSDLSKQFGNINEMTKELDLLLKSIEEPGGFRDACTRSLQSSIEEVEQSMDTLSKQCKIRMCQVDQHLEEVHYLLNKTVQVVARKVYLEDIYKQACDSRYWDLWNRQKLNSELELKRQHILSLNQDLTHQLVELERHFNALELNKFSQYGGHHIGRGASQNRHGPSRHIQSLHTLQNAIRSQLVAAENLSECLSKQMATLSLRSPSEEQKNVKELFETIGIPYDASFRSPDTKAFMKTPSSKKLLFSDLTSNNKDKSKRIQASAMKSCEPETARRRRDSLDQSWTCSEPPKTIIKRMLLQDLQKPKWKESSFSKEKIKTFMPVEFAPRQMDARIPSGVLPATETKASFLDSRLALKGVSEHSKTFTPDGNLKAHTQVSDSKSHVLQRSKISAVPPRPAVMHGPSTETRDLAAEKLNVQKLDSSSNSESKPSSLTEMPKKFSFSTRSTTETPSSLFKSSEMPITNNKVTTATSFMMGDKLSGAFTPESWKKNIPSSESHSSSISAASTMVGKVTEFNFDKSWPEKNIPAVLNSGSREPPLSSTNKTPSVSSVSSSVSSIAVPPATVSVTLSNTATSSNISIDSNHTSTSSASDSLHLSNQVPKQTLSLLPNPPCLNSTLESPNQRFKQLLYLI >RHN76628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51818515:51820385:-1 gene:gene12982 transcript:rna12982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RanBP2-type, WLM domain-containing protein MKDEIGWLRCCVVQSLIEKKAAASMDFSDVNKVWEIKSLNKIGEDEARKILEKVAKQVQPIMADRRWKVELLSEFYPADPTLLGENIGSGAEVRLRLRRPDRDWDFFPYEEILDTMLHELCHNEYGPHNTHFYNLWDEIRKECEELMVKGFSGDTYIPEGRSSCRPYERRPSQTLHVQSAVTKEAKWQCNTCTLLNEPLLLLCEACGTKKEKDVLKFKVWSCKFCTLENNIKLDRCLACGEWRFSHGPLVSPYAGT >RHN45757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25306957:25309461:1 gene:gene40150 transcript:rna40150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MAFFFFLLFSILLNSISNSSGFSSQPGICYGQLGNNLPKPSKSLSLIKSLNAKRIKIYDTNPQILTTLKNTNIQVSIMLPNELITNISSNQTLSDQWIKSNILPYYPQTLIRYLLVGNEIISSTPNSTWLHLVPAMRRIKHSLKKFRVKRIKVGTSSAMDVLETSFPPSNAAFRKDIAVQVIKPMLRFLNRTKSFFFLDVYPFFPWSSDPVNVNLDYALFRESNVTVKDPGSGLVYTNLFDQMVDAVYFAMEKLGFPDIRIFIAETGWPNGGDVDQIGANVFNAATYNRNFVKKVMKKPIVGTPARPGLILPSFLFALFNENIKPGPGTERHFGLLYPNGSRVYDIDLSGKTPEVEFPALPPPENNEPYKGKIWCVAVRGSNVTALGEALTYACSQGNRTCDPVQPGKKCFKPDSVFWHASYAFSSYWAQFKKIGGTCYFNGLATQTAKDPSYGSCKFPSVTL >RHN74582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34886067:34890955:-1 gene:gene10676 transcript:rna10676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitobiosyldiphosphodolichol beta-mannosyltransferase MLLLMTLSLSLPTAKMAGKSKEKMEGGRRGRACVVVLGDIGRSPRMQYHALSLSNQAFLEVDIVAYGGSEPHTSLLANPSIHIHLMKQWPTARQNLPKILQPFMLLLKPLIQFVMLLWYLCVKIPSPDIFIVQNPPSVPTLVAVKWASWLRNSSFVIDWHNFGYTLLGMSLGRNSRFVSLYKWFEKHYGKMADTSLCVTKAMQHELAQNWGINATVLYDQPPDFFHPASLEERHKLFCRLNEHFYHPLGVGDCVSNGTSLMSSQIQNETLFTTEAGSNVSLKPNRPALVVSSTSWTPDEDFGILLEAALMYDRRVAAILNEDDSLDEEVIWKEISDGKQCLYPRLLFVITGKGPEKAKYEAKIKSLKLKRVAFRTMWLSADDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIKELVSVDKNGLLFSSSSELADELLTLFKGFPNECDSLKVLKSGALDTGASSRWVTEWEEHAKPLITEVISRF >RHN75701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44413473:44414343:-1 gene:gene11939 transcript:rna11939 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMCTRSLPHYSNLKLVLLKLYQHYHCGINVVDIPPQQEFYMHSKPTTIFLLVYQINLLIVFPMRFTKSNSISPQSIVVII >RHN71293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57993097:57993342:-1 gene:gene19954 transcript:rna19954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MASSSLSKHKPCDSIWTPKQNKLFEKALAKFDKDTPDRWQNVAKAVGGKSVEEVKRHYELLLEDLKHIESGHVPIPNYKST >RHN65940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6720887:6722587:1 gene:gene13838 transcript:rna13838 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVPLLVKSFWFGRLRRIPRLECCEGSWEMMILVILLNKLNWSVQVIQFQLNEGN >RHN42353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37606479:37611440:-1 gene:gene48785 transcript:rna48785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative procollagen-proline 3-dioxygenase MSEVDFQTQKHPRLFIPNFLSSHECKELEFIHKCSSTVGYRPNVFSTTLSHLIATNSSHFIIPFIPIRERLKDKLEEFFKCEFELFIEFTGLISWSRGASIGWHSDDNRPYLKQRHFSVVCYLNTYGKDFNGGLFHFQDGEPATIMPAAGDVVMYTADDRNIHSVDEITDGERLTLALWFSRDGSHDEDTKLVSLLSQHLLHKSMASSCLPFPASSNMYWCSQNQASTDHFGFNICWARLHVLGYDIYISQDSSSGFDVSELMVKPVRLVRGSELLDHEFVNILHALQVVQFYCWKGSALQTNMSNIDSKVVKLLDVQREKISGINSLLLNDVNFASRIFCRRPSYLEENGCIYFNWTGIVAAIAAWEDYVLKLSKQIHLQLPYWRMQESLYNVQIDA >RHN74925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37860457:37861134:-1 gene:gene11060 transcript:rna11060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MMKRNNMNTNLKGYNHTYYNNPIITNKSDNNNGSSSEDYMMNGCYPWPPRSYTCSFCRKEFKSAQALGGHMNVHRRDRARLRQSSPPTTHEPAQLNEGSSMLNLNLNNPTITTTNPNLFSHSSSSSSSLSSSSATSLKPITCTLPLFVSPPSEFNRYVVVDGILLNPTLTTKTSEKSKMDGFECEDDCGMVKKSEILRMDLEIGLPGDYDNLDLELRLGTTTYSN >RHN48836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50579655:50580570:-1 gene:gene43594 transcript:rna43594 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MGMQVHGQVVKYGFGSDFHVQRSLVCMYGCLCDLMAAKCIFKMMGRFDVASWTCMIKGYFKCGDVDSARKLFDRMPVKNLVTWSTMINGYLRNNCFDKAVEIFEILIDEGLVANEVGAVSACAHLGALAAGEKAYEHAIRINLDLNVILGTAIVDMYARCGNVEKAVRVFEEMKEKDVISWTSLISGVAMHGYAEEALEYFYVMVKNGIVPRDITFTAVLKAYSHGGLVEKGQEIFESMKRDYRLEPRLEHYGCMVDLLGRAGKLEEAENFILEMPIKPNAPVWGGSIARSLQDSQKC >RHN51261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15492182:15492953:-1 gene:gene35671 transcript:rna35671 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLVINPSLSTSNIGFAGMSLMMCVVALLMCASHSRKWRHWLACNAFAEEPVIELNNDVVTMNGCEQQREEDSIWQKNILMGGKCQLPDFSGVIIYDSDGNVVNPARTSLPLLTWK >RHN75054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39022574:39025709:1 gene:gene11203 transcript:rna11203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative D-amino-acid transaminase, Aminodeoxychorismate lyase MGNPPEATATSEIEIDGDFKVHVFSSSSELLEKLHQTWSSVEKQPYPAMYSSVYGGIILDPAMMVIPIDDHMVHRGHGVFDTAIIFEGHLYELDVHLDRFLRSASKAKISSPFPRSTLRSILIQLTAASKLKKGTLRYWLSAGPGDFLLSSSGCPTPAFYAVVIDHDFSQCKEGVKVITSSVPMKAPLFATMKNVNYLPNVLSVLEAEEKGAFGSIWIDEVGYIAEGPNVNVAFITQEKELVMPFFDNILYGCTAKRLLELAPKLVDQGVLKSVTTKNMTVDEARGAVEMMYVGSTLPVLPIIMWDDQPIGDGKVGELTMLLSDLLWDDMVAGPDTQRILVPYV >RHN68987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40092753:40096475:-1 gene:gene17413 transcript:rna17413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase WNK-NRBP family MDSEIDDVGVVEKDPTLRYARYDEMLGKGAFKTVYKAFDEVDGIEVAWNQISIEDVMQSPQNLEKLYSEVHLLKSLKHENIIKMYSSWVDEKSSNINMITELFTSGSLRQYRKKHKNVDMKAIKNWARQILRGLCFLHSHNPPVIHRDLKCDNIFVNGNNGQVKIGDLGLAIVMQQPTARSVIGTPEFMAPELYEEEYNELVDVYSFGMCILEMITCEYPYSECKNPAQIYKKVTSGIKPASLARVNDPEVKQFIEKCLVPASMRLPASELLKDPFLATGNTKEIYHDNLLLPNPPSKSLNPPTCEPHPMEIDSNVKHTSPASTVERDKETSQVSSNHDILRKTENNEFRLRGEKNADRTISLTLRIADANGGARNIHFPFYIDSDTTISIAEEMVEHLELKDEDVAVIAELIHNMIFKLVPDWKPLCENSSGTDNLYRPLEPQNEQLNCHWTLGSNNFDMKSMYEDLGHSQLDGEDQDKQESVSSDISAEYGTVIATDAKGVEQNCFILHECCKGSNGLNTNPDVRICGQEDGNSNQSENSVVSCCSPSENLDRLSKCSLTALDQDHLDELQLEIEAIEIQYQQSFRELMKMREEAIENVKKRWTSKKNISVM >RHN45371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:18182055:18188005:1 gene:gene39662 transcript:rna39662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative periplasmic binding protein-like I MTLSLLQNISGMGRKSSSQLINILCFNIILQLLACSRVQRSYSLEPQRTNNISIGAVLDLVSLMGKHQKIAMEIAVEEFNNQSSSSKLNLQIKDSHGNSAQVIASVMDLSQRNQVHAIIGTITHNEATLASEFSDTIKNIPIMSLTSFAAKPELLSPRLPHFIKVGDDINLHMQCIAAIVGKFKWKKVTVIYELNNDLASDPGILLSLSYSLRLVGSEIDNHLAFPSLATLSDPKSMIEKELNSLKKKSNRVFLIVHSSLELANILCEKAKEIGLMEKGSAWIIPNEVAGLLDSVNSSVIFNMQGVVGFKTHFMEMNETFRKFKFKFQRKFALEYPEEDSINPSIFAFQAYDATKAIVETAKKSSQGKLRLEEISETILSPTFNIINVIGKSYREMAFWSPSLGFSKNIAGYNKAMEINTNNDDSNEVFKTVYWPGELLSVPKGHNNEERFLRIGIPSNGAFSQFVNMEYDQSKNETFFSGFSINVFEAAVKRLPYDLNYNFSPFGGSYDEMVDQVYNKTLDAAVGDTSIVAYRYHLVDFSQPYVESGLHMVVTEQPEKSKETWMFLHAFKKEMWLMMIAMHIFLGFVIWLIEREVNEDLKGLGSMLWFLVTVLFYAHREPIRRPLARVVLTPWFFAIFIVTNSFTASLTSITISQVKPSVLNIETLKERNSPIGCDGNSFIVKYLTEVLRFKHENIRNFSSINDYPAAFENKEIEAAFFVAPHAKVFLAKYACKGLIKAGNTFRLGGFGFVGFSPLIVTEFLTCLVEVFPKGSSLAADISEAVLNMIESGETEELEKDMLNEIENESKANCSSLERKGKNNSSIGLSPFLGLFSICSTFAILALSYHVICLLVKNVETLKNYTILTLKQLWRIWRWTTNFFSRCCSKLQSRIVTRVRSCTETRNAEENVTNSQQIPVVIELVDSVLAAHAS >RHN63586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52454744:52455544:-1 gene:gene26305 transcript:rna26305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MTLKGGTTQACAACKFQRRKCTPECLLAPYFPADQPKIFQNVHKLFGVSNIVKILKYLEPSQKKTAMDSIIIQANYRDKYPVHGCCEEICKLQYQIWMMEEELHAVYQQLEICRQQQNQQQLPHDDVTSQLELGMATRSFNALALFNHSPQPQTYNNNNTVAASLSVSQQHSYSNSNSVDYNNSSLYMDSKDDATNPNLWLQCPYTNNNSSSIAMQPHKLVTSESQPLSSVQQGVVEDNYDEMHSFFDTVDDRQSYIYSKEAYESR >RHN79259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26772716:26775535:-1 gene:gene3026 transcript:rna3026 gene_biotype:protein_coding transcript_biotype:protein_coding MKWCLLGKWGMKKNIQFSFPPCVEIGYRSLRSDAALEAIAKASEDKVPNVVLYNYPSFSGAFSSLFAHLFHTRHNLPSLSLPFSSVPSLAFRVEDLCIESLQTCYLLDFLPPKEFIFKLSHQSNCKIIGFDHRKSVLSQIPSTNECPENIMINLNHEKSSSRAVYEYFTDKHEDIKTSNGVVPSLVDSKDKGRVELILKYIEDADLRHWSLPDIKPFNIGLSEWRSRFSCISNPYMFKQV >RHN54370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9072185:9073930:1 gene:gene29390 transcript:rna29390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MNIFCNNFISHNHSYYNDTSIILQSSNRDFYSLSGDKCENMVKLNFQNPFQEDDPLGFVLDSGSITGILCVYQYHNGKTAFWNPTPEEFKIIPPSPFLFRSPYQKFVVNPLGFGYDIVRDDYKLIRCVGYFNLEYEECEELGISWSDAPWKDLSYEYLWEIYSLKSNTWRKLDVNDSACSCFSNIAGVRLYTNEMCHWWQYGKKYDGVEVESFDLRNEVFFTTLVPLGDVYSKSLYMVGLNGSIAFISWTSGTTTFDISILCEIGVKESWTKLYSIGPFSCIEHPIPIGTWKNGFVFFRTKDNEIVLYDLQSQMIEELCIEGEHFSHILPYKKNLLSIGGINQ >RHN57690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41064874:41065912:1 gene:gene33269 transcript:rna33269 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGWWRSVVVNVRQQHVCQLRTFFHLEQISVLNNFGTVRKAKRIKYDIDEENTSCVKFDWDMILPESEIYLFRVKIVPICCGDLIFLPPNVVASLGPNIVICTRVAKTFTLLDPFTLTHCFLDAHQYWKAPFTHSFNRTQLVEYVVLDIVLLQDNQDEEEEEEVVEENKKEKDAAAAAAAKKYRLADAVVARVKDTGNNDTTFQIRTHLGRILKPGDHALGYDLSGGVGEGGADTNTNNNLPAAILISKISYAEVNGRVVAVKDKWESDYQLFFNDLQQNTKLIFSVRAAYRDQTKSYTYDGPFRIPPTRPFFPLEDLLDG >RHN54454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9725400:9726660:-1 gene:gene29500 transcript:rna29500 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNNQKASDKEEQQDEKAGAVNQIKKRYGFSSSSNGVELRTAEMENTAKSFLSMAKQVLQNAEQDKRS >RHN51279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15667085:15669003:1 gene:gene35693 transcript:rna35693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasaponin III rhamnosyltransferase MASIVNHENENKNVKSLHVVMVPWLAMGHILPFFELAKILAQNGHTVTFINSPKNIDQMPKTPKTLQPFIKLVKSPLPYIEELQGAESTQNVPLNLTGYLKLAYDGFQDRVTEIFKTSKPDWVFCDLVSDWLPSIAKSFNIPCAYYSIGAARNLVFFNPPGERTDIDLYSPPKWVPFQTTIHLKRYEVMRIQSAVKNDYGRKFSRSDADKLYASVDLFLFRTSRELEGEWLDYISDQYKVPVVPVGLLPPPMQIRDDEEDEKNPEWVKIKAWLDSKESSSIVYIGFGSESKLSQQDITELAHGIELSRLPFFWALKDLKEGVLELPKGFEERTKERGIVWKTWVPQFKILTHGSIGGCMTHCGPSSVFEMLYLGHVLVTLPYLLDQCLFARVLEEKKVAVEVPRSEPDGAINRDCVAKTLRLVIVDEEGSIYRNNAKEMGKVVSSKDLHNEYIKNFIATLQKFRVHSDN >RHN60720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29885324:29887951:1 gene:gene23075 transcript:rna23075 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAFGVFIGISIPPLHLTKINLSSGFGHSFNVPIAEIERFSADLDKSLTIDDKSSTKRIEFLGSMKLPKVMLSTSNFLSYNGSILFAGIPFMKIIRKTIIKPDWKLLLLQMYVPTNPRGAESLPAGIVVSESDLYLRRLWGEPSEDLKKKPKYLVTFTVGYNQRKNIDAAVKKFSDDFDILLFHYDGRTSEWDRYEWSKKVIHISARKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGLDNFNGDEYIKLIEKHGLEISQPGLGANSGFTWEMTKKRDDTEVHKVTDEKPGWCGSPDLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDAQWIVHQTIPSLGDQGEADDGKDKYDAVKTRCRSEWAEFQARLTNADKMYLKGL >RHN56215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28881264:28884553:1 gene:gene31582 transcript:rna31582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MRENSPQTTTTTPDLQRGEIAESSTSNRNRTGSSQPYPGSTENPSPFPDQVLENVLENVLHFLTSRKDRNSASLVCRSWYRAEALTRSDLFIGNCYALSPRRAVARFSRIKSVTVKGKPRFADFDLMPVDWGAHFAPWGRELAQGYPWLEKLHLKRMNVTDDDLGVIADSFAGFRELLLVCCEGFGTPGLAAIASKCRLLRVLELVESVIDAENDEEVDWVSCFPIEGQTHLESLAFDCVECPVNFEALERLVARSPNLKKLRLNRSVSMVQLHRLMLRAPQLTHLGTGSFCANENVDQEPDYASAFAACRSLVCLSGFREIWPDYLPAIFPVCANLTSLNFSYADVNAEQLKSVICHCHKLQILWVLDSICDEGLQAVAATCKDLRELRVFPVDAREETEGPVSEVGFEAISQGCRKLESILFFCQTMTNAAVIAMSKNCPDLVVFRLCIIGVYRPDAVTQEPMDEGFGAIVMNCKKLTRLAVSGLLTDRCFEYIGRYGKLIRTLSVAFAGDTDNSLKYVLEGCPNLQKLEIRDSPFGDGALRSGLHHYYNMRFLWMSSCKLTRQACQEVARALPQMVMEVINNDVEAVNDIEILYMYRSLDGPRDDAPENVTILH >RHN56617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32286000:32287947:1 gene:gene32047 transcript:rna32047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MKLEVPSKPKTRILRLKFSSISNIHFSLKIAMESPPTSFNHILAMPYPSRGHINPMLSFCKILTSQKPNKILITEEWLTIIGADPKPESIRFTTIPNVIPPEREKAANFPGLYEAVMTKMEAPFENVPVSAFWTMSATFYSMLHHLDVFSRNHHLTVDKLKLVKRFMNLESQEGKKIRDRAKEPKVVCCKAIGKGGFSDRNLDAFIIYDICEQLIDPIQQFLKHFLCCFIQLLSLDLALDTTID >RHN59252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8780417:8782689:-1 gene:gene21255 transcript:rna21255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MKLVWSPETALKAYIDTVKSVSTVQPQQQCEKFKESGVAELLSSMAAGWNAKFIVECYSHGGPIAASVGLAVAARNTGARHVCIVPDEGSRLQYTKALAEMGVTPPPEIVHGEAQTVIKSLDGLDFLVVDCRLRDFARVLKVAKVSTRGAVLACKNAWQRSNVSWFKWNMVLERGTRVVRSVFLPVGKGLDIAYIGSRIGGGAASSSASKSTPSRWIKLIDQKSGEEHLYRE >RHN39778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10454737:10456315:1 gene:gene45840 transcript:rna45840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxy-lyase MHPNTMNTSSSNPPQSDPQKTMNPLDLEEFKRQGYMMIDFLTDYYKNIENYPVLSKVEPGYLAKILPSSAPFQPESIESILEDVQQHIIPGITHWMSPNYYAYFPSSGSIAGFIGEMLSTGFNVVGFNWLSSPAATELETIVMNWLGKLLNLPKSFIFSSNIKGGGGVLLGTTCEAILCTLDNIGKLVVYCSDQTHSALQKATQIVGIHSENFRVIKTKGSNLFALSPDSLLSTILLDVDNGLIPYFLCATIGTTSTNAVDPIKLLCNVTKEYDIWVHVDAAYAGSVCICPEFRHCIDGIEELNSFSFNAHKWFLTNLACCCLWVKDHNALTTSLSTNPEFLRNKKSDSKEVIDYKDWQIPLSRKFNALKLWIVLRSYGVENLKNFLRNHVEMAKIFEGLVRKDERFEIVVPSKFSLVCFRISPFAISIANDSEGYYVGKMMNDAYLVNEMNHKLLDLINSSGKAYMSHGEVEGSFVIRCAIGATLTEEHHVTMTWKLVQQIASFLLGTPLN >RHN54957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13984060:13985332:1 gene:gene30068 transcript:rna30068 gene_biotype:protein_coding transcript_biotype:protein_coding MASYAAVQVFLILGLLATSCFAQAPGAAPTQPPSATPTPPTPAPVATPPTATPPTATPPTATPPPAAAPTPATPAPATSPPAPTPTSDAPTPDSTSSSPPAPGPGGPAPGPGSTDAPPSPSAAFSINKPIMAATALSAAIFAIAF >RHN71879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3317284:3328263:1 gene:gene7524 transcript:rna7524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MADDGFQFSTLFADDDSDDEKLAMDIESFLSVLDEDCVPSESSPEDSSLKDVSPGESGIHDDFLLQNGNSLLDSEHENQGPSSRTCSSPNVFAGGYRDSFSVAESDETCYAERAGVSEHEMPSYSVGTSSNPSLWKDDDYSQIKHVVDDVESEYASHSSIIENVDGTFEDYGTALKDTIGVSRQPENDSCTSFEMPFVDVDIPTHFGNSADSTFCQGSNVPSDFSGYYSSLNCYQGIDVRPVVTGSSGYFPNGVGSEFWKNEEPVRNMKVEKTEFLTDTTNVIGGMDLSTIGRIPFHDSQFMPVNNEYPSFFPGNAKFEDGESVQQSSCVPYISSEGQSFNVKAEGDEMVMPYQNTFHNDNAGLEVKQLPGIFPTTGYQNYDFFKVEDSNAIVTTEDANYYQDLIGETANKFPGNMGNLNFRSLDKSLSIARASIANGNQYNCSMSELESKPSECKSIDSQLSKRSTEGSNDEDDCDVCIIEDISHPAPTSRSAEFNSLNMSQSSRFDYTQPYMAGGTRPKAHDEQYILRAALQDISQPKSEVTPPDGLLAVPLLRHQKIALSWMVQKETSSLYCSGGILADDQGLGKTVSTIALILKERPPLLKTCNNAQKSVLQTMDLDDDPLPENGLVKKESTVCQDASDRNATTSANLSVHAKGRPSAGTLVVCPTSVLRQWADELHNKVTCKANLSVLVYHGSSRTKDPYELAKYDVVLTTYSIVSMEVPKQPLVDKDDKDDKEKGIYEDHPVPNRKRKCPPSSKSGKKALNSMMLEAAARPLAKVAWFRVVLDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAVYTSFCSTIKIPINRNPSKGYRKLQAVLKTIMLRRTKGTLLDGEPIISLPPKSVELRKVEFSQEERDFYSKLEADSRAQFQEYADAGTVKQNYVNILLMLLRLRQACDHPLLVKRYNSTTLWKSSVETAMKLPREKQLFLLKCLEASLALCGICNDAPEEAVVSVCGHVFCNQCICEHLTGEDNQCPATNCKTRLNMSAVFPKATLNSSISDPACDHLPGSEVEDSEPCSRTQPCDSSKIRAALEVLQSLSKPQCHTSQRSHVQSTSRESSDCSSTSANNGKSISDVPEKKAMFMEKSSNDSVGSLGEKAIVFSQWTGMLDLLEACLKDSSIQYRRLDGTMSVLARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVKDTVEDRILALQQKKRTMVASAFGEDGTSGRQTRLTVDDLKYLFMM >RHN59847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13941130:13943985:1 gene:gene22008 transcript:rna22008 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCLTSLNTSNSAKTPPLRRIDAFGFEDSFLMAMISPLSFSALYTVPPDPLPILLPEIDAKFKISSAVKFNSRQNHVNSRRSILRSASLS >RHN56167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28447604:28452214:-1 gene:gene31525 transcript:rna31525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-OST1L family MDRYEVVKDIGSGNFAVAKLVRDIFTKELFAVKFIERGQKIDDNVQREIMNHRSLKHPNIVRFKEVLLTPTHLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMLICHRDLKLENTLLDGSTAPRVKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLTKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPADPKDFKKTISKILSVQYKVPDFVRVSPECINLLSQIFVANPEKRITIPEIKNHPWFLRNLPVELMEGGSWQSNDVNNPSQSVDEVLSILQEARKPLNNPKIGGLLSVGSMDLDEFDLDADLEDIETFGDFMCPPL >RHN65903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6347890:6348751:1 gene:gene13797 transcript:rna13797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I13, potato inhibitor I MAEEKSGQGTNPPQEQPRRNNPTRRNWSELVGVTAEEAERKIKEEMHGVEIRVVPPGYFRFKRVRLYVDQSNKLIKTPTIG >RHN38648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1005954:1010547:-1 gene:gene44606 transcript:rna44606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYIDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDEESFNNVKQWLSEIDRYASDNVNKLLVGNKSDLTANRAVSYDTAKEFADQIGIPFMETSAKDATNVEGAFMAMAAAIKDRMASQPSANNARPPTVQIRGQPVGQKSGCCSS >RHN42146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35838311:35839501:1 gene:gene48550 transcript:rna48550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium binding protein MARILKPSKWFANKLGLKLIRSRSSNSSSLCSPRSPISLSTTPKTNTNEDEKGLREVFKYFDGDGDGKISAYELRSYFGSIGEHMSHEEAERVINYLDGDGDNLLDFNDFIKLMKGEGGRDDDKDLRKAFEMFVWEEKEGCITPKGLQRMLQRLGDDRSYEECVVMIDAFDIDHNGVLDFNEFHQMMA >RHN64436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59074969:59089270:-1 gene:gene27252 transcript:rna27252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo-like helical protein MAEITLIAHLLNQTLSPDATAVHTSTSELDRLSVAPHFPFHLLSISAGGENQGQKIAAATYLKNHTRRNVDSTGATPSNVSKEFKDQLMQALLRVEFPVLKILVEVFRIVAAADFVKHSLWPELVPNLQSAIQNSHLINGSNSTWNTINALIVLHALLRPFQYFLNPKVPKEPVPPQLELVSKEILVPLLGVFHQFVGKAVANHDTADREMEKAILTICKCLHFAVKSYMPSTLAPLLNSLSRDLMSILSCLSFDCTVTQEDVYLTRWKSGKRSLLIFSSLVTRHRKHSDKLMPDIINCALNIVKFSKHTSILPFLLERILSLGFDVISNVLETGPGWRLVSPHFTTLLESAIFPALVMNEKDMSEWEEDADEYIRKNLPSDIDEICGWREDLFTSRKSAVNLLGVISMSKGPPMETSIDSLSAKRKKGQKNKKSNQRRSMGELLVLPFLSKFPFPSDSNMSKKKVLNDYFGVLMAYGGLQDFLKDQESGFVTMLIRTRILPLYTIAVSVPHLIASANWVLGELGSCLPEEMSADVYSQLHMALIMPDKQDTSCYPVRVSAAGAITTLLDNDYKPPDFLPLLQVIVSNIGNDESDSSILFQLLTSIMEVGEEKVSVHIPHIIPSLVGSVSKWLTSSLEPWPQVVERGIAALAVMGQTWEDSRPEVSDSESNDLHEKWAVDQAAVGKAFAALLQQVWLTPLSTQDEQDQNFPNSSCIEDLSTLLRSVMLSIDGNHLIQELKVSELLSVWSAMIAEWHAWEESEDLSIFDVIKEIVNLDGSYKLKNFLVKEIPPPPAPPVPERSIVEGIATFVSEAIKQYPSATYRACSCVHALLHCPTYSIETEGVKQSLAIVFSRAAFSRFVEVRSEPNSLWKPLLLAISSCYLCYPEIVEGIMEKGEDGGITIWASALCDVSSSSFEATGLTTESEMKLIVMTLARLIEQLLKQGKSCDDSIQNCFTSLMEVSIQLKELQDEKGDEEEDEDDEDEDEDSDDDDSEDYDEDSEVEEYEETEEEFLDRYAKAAGALEDGSVIIEEGEVEDQELDMELGQLLDVDEQKVVLSLIDKYNHVLIQGQGLPSQLVVSFLNAFPGCGLYFQQN >RHN73109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13539836:13541865:1 gene:gene8891 transcript:rna8891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative drought induced 19 type, zinc-binding protein MDSDSWISSRLSNSSRRYYSRSDLFLGGNDDAEPGGGDDLRAEYLCPFCAEDYDVVSLCCHIDEEHPLQANTGVCPACGQKVGMDLVAHITTQHAKFFKISFFKVGFFVLVFISLLV >RHN69772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46156644:46156979:-1 gene:gene18286 transcript:rna18286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MAPPGRPKKVVQMADITEEENGHDDDEMKKNVVRALPFFKLLSYADYVDWILMGLGTLGSIVHGMALPVGYLLLGKALNAFGNNINNIDAMVPALKKVPTDTIITHFYTRF >RHN66519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13294904:13299015:-1 gene:gene14522 transcript:rna14522 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDLEKHFAFYGAYHSNPINVAIHILFVWPLLFTALILLYFTPPIFSPSQTVLNLIHPVFVFNLGFIFTIFYALFYAALDIKAGSFVGFITFLCWVSSSFIANSLGFELAWKVVLVAQLIGWIGQFIGHGAFEKRAPALLDNLAQALLMGPFFVILEVLQSSIGYEPYPGFQTNVKARIEANIKEWKDKQQKKLS >RHN74387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32548780:32549869:1 gene:gene10444 transcript:rna10444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MHPGSFGGICIHCGQKVDGESGVSFGYIHKGLKLDDKEISRVRSRDVKNLLNRRKLCLVLDLDHTLLNTTSLHRLSPEEMHLKTHTDSLEGNMPTDAPFLFVIVIISIY >RHN55114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15245928:15248849:-1 gene:gene30243 transcript:rna30243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEAVIEIVLDNLSTLIRKELGLFLGVDRELKSLSSLLTTIKATLEDAEEKQFSNRAIKDWLVKLKDAAHILDDILDECATQALELEYGGFSCGLSNKVQSSCLFSLNPKYVAFRYKIAKKMKSIRERLDEIAEERSKFHLIEIVREKRSGVLDWRQTTSIINQRQVYGRDEDKNKIVEFLVSNGSFEDLSVYPIVGVGGIGKTTLTQLIFNHESVVNQFDLRIWVCVSEDFSLKRMTKAIIESASGHACEELDLEPLQRKLLDLLQRKRYLLVLDDVWDDKSENWQRLRSVLACGGKGASILVTTRLPKVAATMGTVFSHNLSKLCDSDCWELFKQRAFGPNEEECAKLVVIGNEIVKKCVGVPLAAIALGSLLCFKRDENEWLYVKESKLWSLQGDNSVMPALRLSYLNLPVKLRQCFALCALFPKDKLIRKHFLIELWMANGFISSNEKLEDGDIGNEVWNELYWRSFFQDIEIDQFGKTSFKMHDLVHDLAQYVAEEVCSITDDNDVPSTSERIRHLSIYKRKSLGDTNSVRLSNVKSLKTCLRHGDQLSPHVLKCYYLRVLDFERRKKLSSSIGSLKYLRYLNLSDGKFKTLPKSLCTLWNLQILKLDNCYHLLNLPSCLTQLKALQCIYLTNCYSLSSLPPNIRKLISLKTLTCYVVGKRKGFLLEELGPLNLKGDLYIKHLERVKSVFNAKEANMSSKNLTQLRLSWERNEESHLQENVEEILEVLQPQTQQLLTLGVQGYTGSYFPQWIASPSLECLTFLQLMDCKSCLHLPQLGKLPALKDLRILNMSHVIYVDEESCDGGVARGFTKLAVLVLVELPNLVRLSREDKENMFPSLSRLQVTECPKLSGLPCLPHLKDLRIEGKCNQDLVCSIHKLGSLESLRFKDNEDLTCFPDGMLRNLTSLKILDIYGLFKLEQFPTEIIHLNALQEIHITDCNNLKSLTDEVLQGLRSRKILDIVRCQNFT >RHN66557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13794426:13796445:-1 gene:gene14564 transcript:rna14564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MMVRPPCCEKIGVKKGPWTPEEDIILVSYIQEHGPGNWRSVPTTTGLMRCSKSCRLRWTNYLRPGIKRGNFNDHEEKMIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLRKKLKKINQTGDENEVEENSIPQVKGQWERRLQTDIHMAKQALCEALSLDKPTPILAENQTSPYASNTENIARLLEKWMKKPENSVETTNSGNSIMVVTGSGSREGGQNTIACKQKDQAFDSLVSFNSLNSDCSQSVSVEEKNFLAMDSCFFQYQSKPNQETQDPLMFMENWPFDDEAAQCNEDLMNVSMEENTPGLF >RHN75697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44377051:44377659:-1 gene:gene11935 transcript:rna11935 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKASTFLALILITNIMLSTTCQARNSIPKNSNTDEKKEPQWFFHFDGIPGFGRVGFPPLFGSTPQNPYNGGGGQGAGSESGPGSAPARGRYVPGGDDTFIPNPGVEVPIPGSGGVVPVPAAVHP >RHN77660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8477685:8480723:-1 gene:gene1134 transcript:rna1134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative complex 1 LYR protein MRGKWRSLWNSNLKPKTVNCCAWRLLHDGPDTVEELLERHLVKKVINDDEEEELLNRRRLTSTRREALSLYRDILRASRFFTWHDTKGVLWRDLLKDSARKEFELARFETDPEIVTRLLIGGREAVNNAIDKLAEKQREQIQKDRRGGGGGGGGGFDQT >RHN66504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13106859:13108803:-1 gene:gene14507 transcript:rna14507 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDYSRYMRWKDMEKENSRHMRWKQANKALLGAVRKETCQPYSISAVPDDLRKWNESAYMPKVVSIGPLYKGRRELLQMEEIKWRCVTSLLSRTFGLDAIERCMEAVIKLDATVRASYIYEITLDRYDLATTMVFDGCFLLELLICESTLDPEIPIQFNGISPGIEVKKMEYVITDLMLLENQIPIFILETLFENLIGPSPKMRELIQNLTLPLFRYSPKLMAKSTFHFLDIAYSYIEMGWVDKKIEENEVRISLFDTEEEEDDKKKKHLNRCATRLKAAGIAIQCLNNDVDHINFKYTNEFNQSQGILEIPKLTITKTTEVSWRSFIAWEHHKKKLKRSSCSVADRRSICTSSAFLFRDLICCSSDVQLLKDRGIIIVDRSYKRNQDLVTFFHSIAGGVDRSIIDHKHTTMFHALNTFHSTNYATKIFIIFLHFFGKILDWFYNVYKFLKRGYNFAFTLVTFLTVVQTCYTIIAYHFPNSK >RHN51039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13291862:13293387:1 gene:gene35409 transcript:rna35409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasaponin III rhamnosyltransferase MGSIGNGYNNIDDDKPLHVVMVPWLAMGHIYPYFELAKILAQKGHYVTFINSPKNIDHMPKTPKILQPFIKLVKLSLPQIEQLPEGAESTMDVPHNMFGCLKLAYDGLQDDVTEILKTSNPDWVFYDFATEWLPSIAKSLNIPCAHYNIIPAWNTFFMDPPKDQIMIKPDFNPEEMCGTQNWVPFQTNTRLKPYEIIRTISDFKDDSGGMARLNVNKVYSSCDLHLLRTSRELESEWLDYISEQYKAPVVLVGLLPPSMQIRDDEEEENHPDWLKIKKWLDSRESSSVVYIGFGSELRLTQKDLTELAHGIELSRLPFFWALKNLKKGTLELPKGFEDRTKERGIVWKTWAPQLKILSHGAIGGCMSHCGSGSVIEKLHFGHVLVTLPYLLDQCLFSRELAEKKVAIEVPRSEEDGSFTRDFVALTLRLAIMDAEGIIYRNNAKEMGKIFSSKELHKKYIEDFIAALQKYRVHSEN >RHN64492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59521830:59529437:1 gene:gene27312 transcript:rna27312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MATPNVTCPAPMKATSNGAFQHENPLDYALPLLILQICVVVTFTRCIAYLCKPLKQPRVIAEIIGGILLGPSAIGRSEKFLHTVFPKRSLTVLDTLANIGLLFFLFLVGLELDMRSIRRTGKKALAIALCGITFPFVLGIGTSVVLRATISKGAQPVPFLVFMGVALSITAFPVLARILAELKLLTTDVGRIAMSAAAVNDVAAWVLLALAISLSGDDTSPLISLWVMLCGTAFILFAVFAIRPLLAYMAKRSPEGEPVKELYICITLTLVLACSFVTDTIGIHALFGAFVIGIIMPKDGPFAGVLIEKIEDLVSGIFLPLYFASSGLKTNVATISGGVSWALLLLVIFTACFGKIVGTISVSLLCKVPFREALALGFLMNTKGLVELIVLNIGKDRKVLNDQAFAICVLMALFTTFITTPIVMAVYKPARRGAPYKHKTVQRKDQESELRVLACFHSTRNIPTLINLVESSRGTRKRGRLCIYAMHLMELSERSSAISMVHKARNNGMPFWNKKQNDEDQMVIAFQTYGKLNSVNVRPMTAISALSNIHEDICTSAHQKRASIILLPFHKHQRIDGTMESLGHSFHVMNELVLSHAPCSVGILIDRGLGGTSQVQASEVSFKVVVIFFGGRDDHEALAYGMRMAEHPGILLHVVKFISPPGMTLSFGAKLVGVAQDKSKTVVIDDIEGSHDGSKNQDEQLWSEFHSAKSMNEESIKYEERMVESKNDIETALKELSKSNLILVGRMPPVAPLVSNSDSAELGPIGSYMASSSFSTSASILVIQQYNSTTDIHPLVMEELDCPEVPDTPTR >RHN46733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34433821:34434951:-1 gene:gene41255 transcript:rna41255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MKDSQNKQYQEEQALMNPPKKGRNKCVTCICIVLLLLLVLVIVCVILAFTLFKPKDPKTKVVSATLEGISPRLTFPAINIQLNVTLNLKIQVDNQNHASFKHADGTSLLMYKGVEVGDTDIYAGLIPAKGSTILPCRLTLQADKLASNVTGLLGDLMGGQLSLEAVTRIPGRVTFLGFIKKHIVAKSNCQFVFGFPDMEIKSQICKNKAKL >RHN38437.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000015.1:16718:19046:-1 gene:gene50737 transcript:rna50737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyadenylate binding protein MENLNYVPLNGKPIRIMFSHRDPLIRKTGFANLFIKNLETSIDNKALHETFSVFGNVLSCKVAMDSNGHSKGHGFVQFDNDQSAKNAIEKLDGRLMNDKKVYVGYFVRCQERSSPKFTNVYVKNLSESYTNEDLKQLFNTFGVITSVKIMKDENGNSKRFGFVNFQSSDSAATAVEKLNGSTTNDGKVLFVGRAQKKSEREAELKAFFEQEKLKRYEKFQGANLYLKNIDKSLNEEKLKELFSEFGTITSCKVMSDARGRSKGVGFVAFTTPEEASKAIDEMNGKIIGQKPVYVSVAQRKEERKAQLQAHFPAIQVSGGNAGYHSSVQRLAPQQFYYEQGTFDLMAPQPNGYGFRPKFMSDVGPGFVTPNYLLPYHLQRQGHPGNRMGGRPAGNFQQVRQKKNQMLPRNPNQGLKYNGQNGVGMSVDPGSQLMDPSASAATSTGNHHHGPLSNNSLASALASASQENQHRMLEEHLHPLVGRLAPTIQTAKVTRMLLEMDQSEVIHLIESPEELKMKVAEAMRFLRDASQGPAVGDKIGS >RHN76234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48778151:48780579:1 gene:gene12527 transcript:rna12527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative threonine synthase MASSTSLFQSSPFSLNTKTKPYSSLPKSPKPTHFIIKSQQQPHPVTQNNTPIPTPTPTPPTTRRPADENIRDEARRKNVSQHLFSAKYVPFNADPSSTESYSLDEIVYRSQSGDLLDVQHDLTALKKFDGAYWRNLFDSRVGKTTWPYGSGVWSKKEWVLPEIDDDDIVSAFEGNSNLFWAERFGKQFLGMSDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMNRPVVGVGCASTGDTSAALSAYCASAGIPSIVFLPANRISIAQLVQPIANGAFVLSIDTDFDGCMQLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWQVPDWVIVPGGNLGNIYAFYKGFHMCRELGLVDRIPRLVCAQAANANPLYLYFKSGWKEFKAVRAQTTFASAIQIGDPVSIDRAVHALKNCNGIVEEATEEELMDAMAQADSTGMFTCPHTGVALTALFKLRNSGVIKPTDRTVVVSTAHGLKFTQSKIDYHSKGIKDLACQFANPPTQVKADFGSVMDVLSKYLQSKAPKYH >RHN67336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26161717:26163355:-1 gene:gene15516 transcript:rna15516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MAHHILNLFTLFTIFMFMIIALKIRSHYKKYASSTKNIPPGPWKLPILGNILNLVTTNPPRKLRDLAKKYGPLMHLQLGEIFFIVVSSPEVAREVLKTHDIIFASRPHLLVLEIVSYNSTDIAFSPYGDYWRQLRKICAIELLSTRRVKSLWPKRQKEINSLLNKIDANEGSEFNLTQEVISMLYTFTSKAAFGKKYLEQEEFLSVVKQLIKLAGGFYLGDLFPSAQWIQTLSGMKPKLKKLSQQVDRILGHIINDHKEAMSKRAKEGLAEVEEDLIDCLLKFEDSGSDMGFNLTTDNIKAIILDVFAAGSETSASTIIWAMAEMMKDQRVLKKAQAEVREGFDRSGRVDEATIDEFKYLKAVIKESLRLHPSVPLLLPRECGQACEINGYYIPVKSRVLVNAWAIGRDPKYWNDPDKFYPERFIDSSIDFKGTNFEYIPFGAGRRICPGMNYGMANVEQVLALILYHFDWKLPNGIKNEELELIEEFGAAMSRKGDLYLIPIISHPLLVT >RHN54421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9409030:9415143:-1 gene:gene29459 transcript:rna29459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MPKKRVRKSSKQDDVVPHSSKWKKSKKSPVNPVEEELQVSLLPSRRKKAKQSSVNSDDACFVGEPIPADEAQKKWPHRYTKNDESSEDESLKAKFHYREAKVDGILYKLEDNAYVKGEEGKEDYIATIVEMFETPEEEQYFTAQWFYRAEDTVIKDHGNLVDKKRIFKSDVKDENPLDCLVRKINIVQISPDAAKKKKIPPCDFYFDMKYNVPYLTFSNIDNAHAIIESETSTLSSESGSNVRATDKKGVKEKSTQIKESNRPEWTLLDLYSGCGAMSTGLCFGASISGIKLVTKWAVDINKYACESLKLNHPETYVRNEPTEDFLSLLKEWAKLCDEFVLNGAESTDSDLNAAEEAEEKADDEAMDDSPDSEVFEVERLLSICYGDPNEDEKPGLYFKVHWKGYDSSYDTWEPIEGLSECKDAMKDFVINGYKEKILPLPGQADFICGGPPCQGVSGFNRFRNKNAPLEDEKNKQLIVYMNIIDFLKPKYVLMENVVDILKFAGGFLGRYAVGRLVAMNYQARMGMMAAGSYGLPQFRMRVFLWGALATEKLPSYPLPTHKVVSRSVIPTEFEEITVAYSTNENCQLAKALNLEGAINDLPPVENDDSDDERSYGTTPRTDFQKYIRLQRSEMVNYSADSQSAPSGMLYDHRPLKLNTDDYERVCHIPKKKGANFRDLKGVLVKENKVEWDPSVERVYLKSGKPLVPDYAMTFVRGTSSKPFGRLWWDEIVSTVVTRAEPHNQVLLHPEQDRVLSIRENARLQGFPDCYKLCGPVKQRYMQVGNAVAVPVALALGYTLGLAILGLSDDSPLTTLPFKYPSCLARSLDVVDDGSS >RHN68069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32547599:32552807:-1 gene:gene16361 transcript:rna16361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M24, FACT complex subunit Spt16p/Cdc68p, creatinase/Aminopeptidase P/Spt16 MADHRNGSAQGSNGKASAAGSAYAIDLNTFQTRLKTLYKHWDESRTDLWGSSDAIAVACPPPSEDLRYLKSTALFLWMLGFEFPETIMVFTKEQIHILCSQKKASILESVKKPARESVGVEIVLHVKPKNDDGASLMDAIIRAIRTQSKSDGHDSSTVGHIAREEPEGKLLDLWAEKLKSSKFNLSDVANGFSALFAAKSIEEITSIKRAAYLTTNVMKNFVVAKLENVIDEEKKILHSTLMEETEKVILEPSKVNCKLKADNVDICYPPIFQSGGKFDLRPSAVSNDEALYYETASVIICAVGARYKSYCSNIARTFLIDAEPIQSKAYEVLLKAHEAVIGSLKPGNKLSAAYLAAVSVVEKEAPDMVSCLTKSAGTGIGIEFRESGLNINAKNDQIVKEGMTFNVSLGFQNLQCENSKSKNKVFALLLADTVIINKDKSDVVTSVSSKALKDVAYSFNEDEEEEKPKSKADHSGTEHLASKTTLRSDNHEISKEELRRQHQAELARQKNEETARRLAGGGNEAGDNRSSSRSSADLVAYKNINDLPPPREMMIQIDQKNEAVLLPINGSMVPFHVAFIRTVSSQQDTNRNCYVRIIFNVPGTPFSSLDTNMKFQGSIYLKEASFRSKDSRHISEVVQSIKTLRRQVVARESERAERATLVTQEKLQLANNRFKPIRLPDLWIRPPFGGRGRKIPGTLEAHVNGFRYSTTRSDERVDVMFANIKHAFFQPAENEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDMVQNVGGGKRSAYDPDELEEEQRERERKNKINVEFQSFVNRVNDLWGQPQFNGLDLEFDQPLRELGFPGVPHKSSVFIVPTSACLVELIETPFLVVTLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLDTTDIKYYESRLNLNWRQILKTITDDPQSFIEGGGWEFLNLEATDSESEGSEESDKGYEPSDVEPESDSEEEDSESASLVESEDDEEEEDSEEDSEEEAGKTWEELEREASNADREKGNESDSEEDRKRRKAKTNQRSRGHLSSSAPKRTKLR >RHN43457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46054752:46059053:1 gene:gene50039 transcript:rna50039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MISLVELCIDAACKTRETVDKWRLQRRSLDCLPSPLADALLRRLITRRLLHPSLLEIFKNSVEEVDLRGENSVDAEWMAYLGAFRHLRYLNLSECHRINSSALWPISGMNSLKDLDLSRCSKFNDAGINHILSVPNLVKLRISKTSVTANGVKLLASLKHLSLLDLGGLPVDDASLASLQVLKNLQHIELWDSKISNQGAAILNTFPKLAHLNLDWTNVTKLPDLTSLECLNMSNCTIDSILKDGKAPLTKLIFSGSTFLNEAETLSYANTYFLSFLDLAHTGLDKFFFLSKLKVIEHLNLSSCMMDDDSVEMVACIGGKLKSLNLSGTWVSSAGLGILAGHVPNLEILSLSQTSVDDTALLYISMMPSLKDVDLSNTNIKGFLHQGSSDLDSLSLTALQNLKQLQRLNLEHTQVTDEALFPLSSFQELRYLSLKSPSLADISLHHLSSVPKLTKFRICDAVLTNYAFDMFKAPGTLKLMDLRGCWLLTKEAIVSFCKNHPQIEVRHELVTVLPFEENGLHHSSPSRLTSKTMQAARKKEQTSLSPNFVDQRTKYSRDELLALQFMSLPLASSSEIDDSILEKQLD >RHN44483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5246365:5251005:1 gene:gene38607 transcript:rna38607 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSFLSKSRTPCILFLFLLSFTSTDAYDPLDPNGNITIKWDIIQWTPDGYVATVTMNNFQQYRHIASPGWSLGWTWAKKEVIWSMVGSQTTEQGDCSKFKGNVPHCCKKNPTVVDLLPGTPYNQQIANCCKGGVLSSWAQDPTMAVGAFQISVGRAGTTNKTVKVPKNFTLNAPGPGYTCGPAKIVRPTQFIQPDKRRVTQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVPCPTCACGCQSNSSQSGTCVNPNTPHLASVVSGNGKNNLSPLVQCTSHMCPIRIHWHVKVNYKEYWRVKVSITNFNYRMNYSDWNLVVQHPNFDNLTQLFSFNYKSLNPYGLINDTAMLWGVKFYNDFLNHAGPSGNVQSELLFRKDKSTFTFDKGWAFPRRIYFNGDNCVMPPPDAYPWLPNTGSRQEVSLFALVMASLAVLAFYAYV >RHN43055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42862987:42866939:1 gene:gene49577 transcript:rna49577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MSSEFTLESFTVESSDDGVKLHTRLFKPGPGVIDDVKDNNFAIVLVHPYSVLGGSQGLLKGIASSLALHGYTAITFDMRGVGKSTGRPSLTGFAEIKDVVAVSNWVSHSLSLHKILLIGSSAGAPIAGSAVDQIQQVIAYVSIGYPFGITASILFGRHHKAILKSPKPKLFIMGTQDGFTSVKQLKNKLKSAAGRVEIHLIDGVGHFQMEGPAYDAYMVDLILKFIQSL >RHN69405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43206937:43207177:-1 gene:gene17873 transcript:rna17873 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSNAREIIEIQLKKSKYFLPFFSTFAHFCRIHLPEPTE >RHN80049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34615240:34615911:-1 gene:gene3925 transcript:rna3925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MTHRSCPSLFHNPDMEMQQGPSEISFELFDINHDFLSFDMVDFSSSSQTPITKNEPKQLMLKSSSSEANEVLQNNTKMDQKSSYIGVRKRPWGKYAAEIRDTTRGGRRVWLGTFDSAEDAALAYDQAAFSMRGYDAVLNFSVQRVKESLQEIQYDCREGSSPALALKERHYEQRKMLSKGVKNKAGKQDESEGSSVLVLEDLGVEYLEQLLSISDNQSTSSNS >RHN42352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37604459:37605216:-1 gene:gene48784 transcript:rna48784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S17e MGRVRTKTVKKSSRQVIERNYPRMTLDFHTNKKILEEVALIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDVSAIRTDHIEVDKETLDMLAALGMSEIPGVVQVDPVPVQQIPFGRGAGAAGGAGRRF >RHN80729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40479352:40481339:1 gene:gene4689 transcript:rna4689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MGVLPKRIILMRHGESQGNLDTSAYTTTPDHSIQLTPSGIAQARHAGANLHRLVSGQGCSPDWRLYFYVSPYARTRSTLREVGRSFSKKRVIGVREESRVREQDFGNFQVQERMKIVKETRERFGRFFYRFPEGESAADVFDRISGFFESLWRDIDLNRLHHDPTNDLNLVIVSHGLTSRIFLMKWFKWTVEQFEHLNNFGNCEFRVMEQGSGGEYSLAINHTEEEMLEWGMSPDMIADQKWRADAPRGAWNDQCPWYLDGFFDRFDASESDDDTEAIQNEGETEMKVEANK >RHN39696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9675727:9676560:-1 gene:gene45745 transcript:rna45745 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTDDSTSMHSIFNPFAPKDFTEDLKLALQPFKDTDIPVQTWTTTELNQHFIHPKRLISNVKVINVITNNLVRDDVMSLAIQRGFWTENSHCTPKTMMKFCDFLKSNEGSKILAGFHKKAKLHKKAKLYGLHVADLTDVSMLKQQLLELAAARKRRRVEIEADIAEKHRQIVLLERKLETEIVEVKRCYVPASKYVPLYEEELLKRCYKMYVDEANESGEKVRELNHELIEIVKSKYGEAVRMVHMHDFMANENRKATLKVWVDERNKIDGVSPYI >RHN49734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:727292:734399:-1 gene:gene33941 transcript:rna33941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MKTTYLFVTAFLALASCAFAFDPSPLQDFCVAINDTKNGVFVNGKVCKDPKLATPNDFFFSVKEGNTSNPLGSKVTPVTVNDILGLNTLGISLARIDFASRGLNPPHTHPRATEILIVLEGTLYVGFVTSNPENRLITKVLNKGDVFVFPIGLIHFQLNVGYGNAVAIAGLSSQNPGVITIANAVFGSNPKISSEVLTKAFQVDNNIVDNLQKQFWSLYIYLQNIIPHKHIQSKYIQELLNNTST >RHN62017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40098543:40105638:1 gene:gene24541 transcript:rna24541 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQSLPGYYSMRDLNEESSSCGWPLFYGDKALANGQYYQNHLPSAATDVCSAYDKDFVKQMMLEHEAIFKNQVFELHRLYRIQRDLMDEVKMKELHRNHGSVGTSFSPGPLPTQITSEDAKKCNVPSFPITGSSACDRPSVSGVAGIHSPFGSNKGINKQTCLFQSPNGSSSKDVEILESRPSKVRRKMFDLDLPADEYIDTDEGEKSSDEKISGTTTPDRSCRNGKGDDVKLFFGNGGKTGGQEDTSRSEQSLRSRNGLADLNEPVQVDETNDAACIPHLNDKPYQGATECANLSAKQKSRLFGFPTEDLLNSHHASSSNGYLKNDVNGKGWISSKETGQAKSSSNPIPQVFKQEQSFFSPQKMQDVLGKGPEPTSDYLSNRSNTGLWREKTIGGLDIRERNNAYSNGKHPESIISSHSPGLFATAPSSDFAKSWSQSAWNMASSSLNQKLMSVQMPPSPFLNASGALSRSSQSHQSNGILGDRWPLNINSKHNPGFHCEASVQNGFNPRIAEHFNNGSVNYNKGSNLICNDMIARKDINLNVRLSNGLSNDLATQSSLGIRDREQKHEEQLAVLPWLRSKDICKNETQNAGSNRCLTNGGLSFLQVASVSYKDDTGKGSSVTSGLCSNVVEPSRIEASESCSEKKILGVPIFGMPLISAKESPSPISPSVSVPSPSGTKLAENNRKNRVLDINLPCDADVLEVDMDKQAATEVIVCREGLPKMEDNSRNQFDLNLSMSEDEAVLTTIPTTNVKMKMVIDLEVPAVPETEEDVIPEEKQLETPSVSPPSPQVTVEQPQDDFMKYAAEAIVSMSSLCCNQVDDVTRSPSESPMVDPLSWFADVASSRGKICKGKGVSSSKEMDYFESMTLQLEDMKEEDYMPKPLVPENFMVEETGTTSLPTRTRKGPARRGRQRRDFQRDILPGLTSLSRHEVTEDLQTFGGLMKATGHAWHSGLTRRSSSRNGCGRGRRRSQVPPSPPPPVATIETCTPLMQQLNNVEVGLEDRSLTGWGKTTRRPRRQRCPAGIPPSIRLT >RHN82703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55797661:55798095:-1 gene:gene6884 transcript:rna6884 gene_biotype:protein_coding transcript_biotype:protein_coding MMTIYSLQLLQLDFSSEKDKIERKYELQFKLNEQHFIYNIVPPTFLVKSLCLKSLTYLTSEVTSSIVSNLDHLENLMITDCCGLQSLFVESESKFLKLTILDCLQLKSLHLSTSKLKSFRYRGPLPRIWPGSHFNLCHAILDFR >RHN42384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37896379:37915420:1 gene:gene48820 transcript:rna48820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MTNTPDSNTESAEIGVAANQNAVSTSDDCERSLKPVEDNEEEEEEEEEDADFNPLFLKETLSDASSSLSSEGDRLDHNVVDSGPSMDIDLEKITDNEQICSAVDSEHGEEEIVLEAAGMISQLEIDKEKKNDLISGASDGFRIEDISNTTKPRCPVIDIDTEDAICMRTRARYSLEGFSLDELETFLQETDDEDDVQNVDDEEEYKKFLAAVLQGGEGDGFSSHENENLDDDEDNDADFELELQELLDSDADENAAVETRNECDGAGRRPKTRQNNRRKSSSQSERKTFGQVNRPLRPILPCWLNGQVVSGNGLMPKATRSIQSSASINGLVNGFTPQQIGQLYSLIHEHVQLLIQVFSLSVLEPSHKQVTSQVRSMLFEMLHKRDAVLASTRTPYPAVCFTPSVPGQCHTGSASIQDAMSVRIPQYHQTSSEGLNGQRSCFQDADGSFWFPIVRGPVLSMLDVAPLNLLRGYVNDISSAAREFRKRFIESGFDQVIEKEPLFPFSSSVVGANSTVSSSPDKKQPKKTLAGMLVESSKKQSIALVPKEVASKTQRFLAFFNPALFPHKPPLAASVNRTLFTDSEDELLALGILEYNTDWEAIKQRFLPSKSTHQIFVRQKNRCSSKSSENPIKAVRRMKTSPLTEEEIACIDEGLKHYKSDWMSVWQYIVPHRDPFLLPRQWRVALGTQKSYKVAEGKKEKRRLYESGRRKSKATETECGQPRSDKEDCEAEIADGMDHSDVPYVHQAFLADWRPDMSTLNHSEHISSASAEVNLGHDSISQDSQLYRGINNYGLSGNVQHQNGNQPAFPSIYKLPLPFHSTSDFRSGMKGAPSATIPKNPFLGVTSSSKYCSRPYRSRRPNSAHLVKLAPGLPPVNLPPSVRVVSQTAFKAFETGTSKIHPCRDGVNDVRKDNSASQIPHGEKIGIDHRAKRARPMPKDSGVHSQLESSETAEGRSTVAEKSTYADLQMHPLLFQVTEVGNTPYYPFKFNSDPSSSFSFFSGRQPQLNLSLFSSSQQQGHVDSANKSLQSKNSSLRLGGIDFHPLLQKSNNTQAPTSSDAIQAEPLVNSGVPDTTNISSGLYDKSNELDLEIHLSSVSESEKSMKSRQLKEHVPIESQQIIASSATEMNAPYCQQGGRIPSPSGCKLASSAPLVVPDDNITRYDDVGNQSHPEIVMEQEELSDSEEDIEEHVEFECEEMADSEGEDGSGCEQASEVLNKFECEEMSDSEEEDGSGCEQAPDVPNEEVPRANSDIQLDSCLPTTDAMPNMTLISKEMDDKSNSSWLSLDLCLDLSLDSSRFKNLVPSKAMLQQVTTGEDSASKNFSIGKAVMGEGHSEDIVQSPSIGPRVCRPRKPRKRRSELNTNK >RHN81562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47044766:47048923:1 gene:gene5627 transcript:rna5627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MECLNFASPSPSRRSGMVGKQLNSPLLATDSAAQFLCCKADLAGASSSIPSSNIRFLPSLAKAKWTSNHSTNHWILRSTTQIENVITNDEDRSMWEACRQALSAFNFDDEEKDKILGKAFGLVHSPYWGEDREKEVPKFESVNGTLDYLRSLNLSDDDLSKLLKKFPEVLGCNLEEELKANIKILKEQWSIEGKSLRNLLLRNPKVLGYNIDCKGDCMAQCTRCWVRF >RHN55237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16331193:16332355:1 gene:gene30383 transcript:rna30383 gene_biotype:protein_coding transcript_biotype:protein_coding MTESLLKGMALIFMLTLNMRIFVTHVRYLDILLRIVSFRLQKLQGHKMQYVAKPNTSSFEVEKVDEVLTLHTESTPNNTGECSKVVEEDPLITDMIRSKETVVIAPFSDIVLLEESCEKVVAEPMRDVVLLEELYEEVVADSLPLRDLGKSIVVMDPNLSNFNANVIHDMQVLGIISAPTPAQQAMNFLSDSWANMSQNEEIDDLADHQFQLVVPRNKKKSKPMTEASKGFEVGSSN >RHN46530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32556844:32558234:1 gene:gene41025 transcript:rna41025 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDIPKTQNTMEDGVIETPNMFPPMNQNSQDESKRKDAKEKEGLVSTEKDEKMKQEEEEEAKESSGGGGVISNLISTFITPSSPRNEKVTAQDESGNEVLEKKEEVDQDGGEKGLISNLVSNFFHKRECEGVVEKEDEEIKVDEKIKRLKTENDANGGNGGGGFIHDIVSHLPDDAAPTADEATILINSLVRD >RHN75483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42618322:42621338:1 gene:gene11701 transcript:rna11701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MEIVVSKEAQIKKLDGHEESVQVISEVELCKYEPWDLPAKSFIQSDNEWFFFSPRGRKYPNGSQSKRATDCGYWKATGKERNVKSGSNVIGTKRTLVFHLGRAPKGERTEWIMHEYCVSDRSPDSLVVCRLKRNTEFRVNDASNRASSSQINPVHSHESDCAGQINPVNSHESNCAISEGGTDHRERGICEQEKEVDGSSKRGSSSYGSASMEQIDSMSESNQRPANDGFLTDSSGQLKMDEEDCYAEILRNDIIKLDESSMSQGAQTRPNNLAHSHPCQGTAQRRIRLRVPKSTHSQPGARFSKTMHQSKSSFIARMTNRLMIFAFFVFTLTAILFWSSLGGHKQVRNIVGKFQV >RHN57091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36373680:36378689:-1 gene:gene32587 transcript:rna32587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative JAB1/MPN/MOV34 metalloenzyme domain, 26S Proteasome non-ATPase regulatory subunit 7/8 MDVIKTQQISWRAIEKVVVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGSSFKGTVDITNSYAVPFEEDDKDPSIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHALFNDYVPNPVLVIIDVEPKELGIPTKAYYAVEEVKENATQKSQKVFVHVQSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVSAKLTALKGLDARLKEIRSYLDLVIDGKLPLNHEILYHLQDVFNLLPNLNVTDLIKAFAVKTNDQMLVIYLSSLIRSVIALHNLINNKMLNKEHEREEDSKSVPVPSTAGS >RHN51141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14301720:14302121:-1 gene:gene35525 transcript:rna35525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGSLVELQALLLRNNSLTGEIPFSLMNCTKLIMLDLKENRLDGLIPYWIGRELKELQVLSLQRNHFFGNLPFELCHLQNIQLFDLSLNNLSRRIPKCIKNFTSMTQKGSSQGFTDHIISLPTKELSIIREAIN >RHN61779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38296841:38298351:-1 gene:gene24274 transcript:rna24274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MASTSSSHSFTTCVLFLFFLYLSCFFNNLDAKHSITNTHKHKHQHNHNHIHNSPLNPRLYRAFLALQAWKHVIYSDPKNITSNWVGPSVCNYTGIYCAPSLDDPKITVVAGIDLNHADIAGFLPDELAFLCDLSLLHLNSNRFCGIIPKTFSNLTHLYELDLSNNRFVGPFPSVVLSLPSLTYLDLRYNEFEGPLPPELFNKAFDAFFLNNNRFTSSIPRNLGKSKASVMVFANNKFGGCLPESIVNFANTLEELLLINTSISGCLPQQVGFLYKLRVLDVSFNNIVGPIPYSLAGLSHLEQLNLGHNMMSGIVPVGICELPNLVNFTFSYNFFCEEEGICKNLTSKKIAFDDRRNCLPEKALQRSEKECSAKLEHPVDCFELCCVEGGLGNNVSAGSMAIPPSATAPAAMPLSAAPLVAPSHP >RHN56368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30363804:30365869:-1 gene:gene31755 transcript:rna31755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVNILKFIYVIIFFILMFFVLIDVDGHVLVECIENNDCEKGMCKFPFIVRCLMDQCKCVRIHNLI >RHN53660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3193129:3195399:1 gene:gene28591 transcript:rna28591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MMKTNYTMQLSLLVSLIFILCSFNQITSVFAAEENQEHDHNLMTYIVHVKKSENVASFQSEDLHSWYHSFLPQNFPHKHRMVFSYRHVASGFAVKLTPEEAKSLQEKDGILLARPERTLSLHTTHSPTFLGLKHGQGLWNDDNLGKGVIIGVIDSGIFPSHPSFNDEGMPPPPAKWKGHCEFNGTKICNNKLIGARSLVKSTIQEPPFENIFHGTHTAAEAAGRFIKDASVFGNAKGVAAGMAPNAHLAIYKVCNDKIECPESAILAAMDIAIEDGVDVLSLSLGLGSLPFFEDPIAIGAFAATKNGVFVSCSAGNSGPEYSTLSNEAPWILTVGASTIDRKIVASAKLGNGEEYEGETLFQPKDFPQQLFPLVYAGSLGYGNQTQNQSLCLPGSLKNIDLSGKVVLCDIGEDVSTFVKGQEVLNANGVAVILVNSESDGFSTFATAHVLPAVEVSYAAGLTIKDYINSTYNPTATLLFKGTVIGDSLAPSVVSFSSRGPSQQSPGILKPDIIGPGVNILAAWPVSIDNKTPPFAITSGTSMSCPHLSGIAALIKSSHPDWSPAAIKSAIMTTANTLNLGGIPILDQRLSPADVFATGAGHVNPVKANDPGLVYDIQPEDYVPYLCGLGYTDQEIELIAQWVVNCSNVKSIPEAQLNYPSFSILLGSDSQYYTRTLTNVGLANSTYRVELEVPLALGMSVNPSEITFNEVNEKVSYSVDFIPKTKESRGNNTYAQGSLTWVSDKHAVRIPISVIFM >RHN58137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44013063:44013673:-1 gene:gene33749 transcript:rna33749 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNCLQNHSIQSAYHVAQRLLCLKYSNQVGNTIRPALMNLKECCCLKFISLKFIVVVFERTDTKDVSLIFV >RHN67064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23396509:23396938:1 gene:gene15201 transcript:rna15201 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSNRNYDSNSIKKCLLGTTKQQHNQSKNKCESIKGKYMGFERNLQKQLWRCLSNGHLVPPWNSQFCSG >RHN63950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55294762:55297515:1 gene:gene26715 transcript:rna26715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MIGNEGLQAVAKLCPKLHSISIMDCPLVGDPGVCSLLSSASDLSRVKLHNLNITDISLANIGHCGKVILNLALSGLRNVNERGFLVMGFGEGLQKLVALTITSCEGVTDKSIEAMGRGFPNLKQICLRRCCVVSDNGLVAFAKSAIFLGTLQLEECNRFTQCGIFYALSFIKTKLKSLTLVKCLGIQDIDVEVSMLSPCRYLRSVTIRNCPGFGSASLAVIGKLCPQLQDVDLTGLCGITDAGLLPLLENCEAGLVKVNLKGCCNLTDNIVSELARLHGGTLEILNLDGCQNITDASLVAVEDNCLLLNDLDVSKCAITDAGIAVLTRADHVSMRVLSMSDCSGISNNCVPFLMKLGQALLGLNIKYCNAIGSNEIEFLVENLWRCDILA >RHN42586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39520934:39521332:1 gene:gene49042 transcript:rna49042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, MuDR, plant MKDRKSIYSFGQMYLKVFKFFVFPKVFLNRFKQVLLKDDGDDSDDSNKEHYPLFAMPKKITNFKWVLGARFGTKDEFKEAITNYAIYNRTCLKRFKNKKDLFGTFET >RHN72823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11037373:11039040:-1 gene:gene8584 transcript:rna8584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I MSLTIPTNLSKPTSTLRPKLPQKPKLSTNIIHCSTNQEKPTNDVNSNLKAFSAALALSSILISSPLPAVADISGLTPCRESKQFAKREKQSIKKLESSLKLYAPDSAPALAIKATVEKTKRRFDNYGKQGLLCGADGLPHLIVNGDQRHWGEFITPGILFLYIAGWIGWVGRSYLIAIRDEKKPTQKEIIIDVPLASRLVFRGFSWPIAAYRELVNGELIAKDV >RHN57736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41391044:41394765:1 gene:gene33319 transcript:rna33319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zeaxanthin epoxidase MVDIFLRASPKLLHCTSSYPLIHHRGVSRKTQLKCSLDLPSKVLTKHVLSGLAASLLFISPANQSIAADIYSQQQNICQLATASENAVSSPFENESNENSENLMMMRGMTAKNFDPIRYSGRWFEVASLKRGFAGAGQEDCHCTQGVYTFDREKPAIQVDTFCVHGGPNGYITGIRGRVQCLSQEDLVNNETQLETQEMIKGKCFLRFPTLPFIPKEPYDVIATDYDNYALVSGAKDTSFVQIYSRTPNPGPEFIEKYKTYLSDYGYNPSEIKDTPQDCEVMSNSKLEAMMSMSGMQQALTNQFPDLELKGSVAFDPLTSVFGTLKKLVELYFK >RHN55584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19737260:19750175:-1 gene:gene30786 transcript:rna30786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase STE-STE20-Pl family MDRGSFSPRTRNTRPKSDIYSTFVIHNDDDDDGETNRRRKTASAEAQEDPYATMKFKDNGHDDNDDDEDEDSFLPPLLKRLPKDFGGGASMDYDDDEDESGDFGTMIVKNDRSRQRDRSSSGVASPAGLTWKTGNSSQVTALNDEDDDDGGGFSTFVMRSTVRNSERESVSGTMVRRTSGNDGGGGSTMERAIASMQGVGEFGKQRKGSGSSQNEAITTKVSTSSIPDSVIREDPTTKYELLNELGKGSYGAVYKARDYRTSEMVAIKVISLSEGEEGYEEIRGEIEMLQQCNHPNVVRYLGSYQGEEYLWIVMEYCGGGSVADLMNVTDEALDEGQIAYICREALKGLDYLHSIFKVHRDIKGGNILLTEQGDVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGVPPRSSVHPMRVLFMISIEPAPMLEDKEKWSLYFHDFVAKCLTKEPRLRPAASEMLKHKFFEKWKVGSAAMFPKLEKARQVRASMALQVQTLAPAAAGDQEPMIASILNDEYGDTVPSRPQNMGVEEAAYISSHGTTRKLQRVDEVDNSEGNFGTMIVHGDEFKTTQDTYSASYKTAFTSSTGGRLSDPGVGSLKVGDMNTASFRGSGPTADTIQPALPSVSNSTEQNLKTKGTYRAQAGIGSDIGNSTLKNETVNRKFALQDKLWSIYAAGNTVPIPFLRATDISPIALLSDNVLGGMQQDTGGTGTVEALQELFGGDGQSKKGRRGINEMPLPPSICQRLTSSSTLMNLAQALAYHKMCYEDMPLQELQATQEQRTIQNLSDTLRTILRL >RHN57922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42584114:42592266:-1 gene:gene33513 transcript:rna33513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gliding motility-associated protein GldE MTLESWALGHRVFNSGSKLFYPVHENRTKRMPMKVLGTNGRYPFPIVSNCVGPFTCKSFHLSSYGKNLKLVGGASLKVKCIGSGSDSDCLRAKTDVSDANLKFINEVLVKRGIILAAAVCGVLVFGCPKVLATEGVVNAGYGVIGKCILLLKSTWPTVLQILRLFKEQGLLLALLLGLSAFFSLAETSITTLWPWKVRELAEKESENGVFRLLRSDVTRFLTTILIGTTVVNIAATALVTEAATAAFGEAGVSAATGVMTVAILLLTEITPKSIAVHNATEVARFVVRPVAWLSLVLYPAGRIVTFLSMGMLKLLGLKGSSEPYVTEEELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASSSLVDFHHLWVTHQYSRVPVFEQRVDNIMGIAYAMDLLDYVQKGETLESITVGDLAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTVGLVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMRAEGVFDVDANTSIDQLSEDLNIKMPEGHQYETVSGFVCEAFGYIPRTGESIKLVLERDDEDDDDDTNGDHQEPKEKKHIFKLEILAGNARKVSAVRFERLHSGDEMLEAKEVTRMFPKIVKRKWNSGEESENDAEYDGYTFPKRPHEDISNEYVVDQENSHSNY >RHN73029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12857446:12862832:1 gene:gene8803 transcript:rna8803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rab5-interacting protein family MAGHSGSGSSEKKSSNGVNELLTFNAENMQSNMKTIYYSRTFLSIIGGVVAGILGFTGLKGFIFYVLLMAFTSVGLIAKAKFSIHTYFDSWNRVLIDGFLGGLMSFVLFWTFAYDIAHIF >RHN60523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27664082:27665341:1 gene:gene22844 transcript:rna22844 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLFQKFFTNEQLDIETDKVINGKTLKNMSLIAKDAYTKLNTNLKESNAMSILMEDFPPICKQDPLDVQMNFIKDHFATTGKKIRLEDVPETIYGGALPVAKSRKTKRKALTKDEYLGDASEQPAKNAKKAKKEKAAVQENIVGSTIPTIQEEVEDLEPAKILPKRTRSGKSAASSQSALDQPSFPKKKRRQAIRKLKVADYVMEEEDNIAAATDLVTRELEKKKDEDAATLQKVLELAQEIEVPASSIAREDVGAYAQEVTKAAKVVQELVATEAGSLLMASVEGVQEENAGCSEADTAEASKGNSDSLHSAEIINIESSTTSTSHSTSISTSSTSLDLDNVPLGRIYTTLNKGISPSTKLHKETTDKIPYEPICPTILNKIGEMSEMRNKVCERLLVDHPFQPPMIKPLSFVPDDA >RHN52182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32419342:32422680:1 gene:gene36820 transcript:rna36820 gene_biotype:protein_coding transcript_biotype:protein_coding MEWQKYYMDMLLVPCGFLILVFYHYWLWHMTRSQPYTTTFGRDADGRRFWVPTMMKDIDNKNLVAVQSLRNLIMGTTLMSTTSILLCAGLGAIISSTYSVKKPIKESVFGAHGEFVVVLKFAIVLTMLSFSFICHTLSAAFINQVNMLISTPQSVKSMVTPEYLTQHLGKAMLLNVVGIRLFYTAITLQLWIFGPLLPFLSSMLMVCILYNLDFVGGDRPRNVEMEFIGNDP >RHN66988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22444675:22453643:-1 gene:gene15117 transcript:rna15117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutaminyl-tRNA synthase (glutamine-hydrolyzing) MKMLSTLQTPRSTLSRFSLPSSTITTQAILTHPQPTTAPPSQILTLRHSLLTNTLSATDLIQSHLTRIRLTEPHLRSFLHLPSDQSLLDQAHDLDRRIAAGEEVGPLAGVLVAVKDNICTADMPSTGGSRILDGYRPPFDATAVKRVKELGGIVVGKTNMDEFGMGSTTEASAFQVTANPWDVSRVPGGSSGGSAAAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDAIGCFGSSVADAGILLHAIAGHDRFDATSSKQEVPNFLSHFDSASSLESKPLKGLRIGLIRETIEDGVDAGVVSAIRDAASHFEALGCSVSEVSLPSFSLGLPAYYVLALSESSSNLSRYDGIRYGNQVYAEELNSLYGESRAKGLGSEVKMRILMGTYALSAGYYDAYYKRAQQVRTIIRNSFKEALDQYDILISPAAPSAAYKIGEKKNDPLAMYAGDIMTVNVNLAGLPALVLPCGFIENGPTGLPVGLQMIGAAFNEGELLRVGHIFEQTLENCRFVPPIVADSVE >RHN65190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:125365:125793:1 gene:gene13007 transcript:rna13007 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNSLPNFQDHQLPPKISNSSRYDEICLKERLMSELGNEGGIRRHQRTYSDSMLSEQQQQEVPCWLKDLLDDEEDQKQPSTVCSNKTHRRSSSDSLAYLNNKTILQHSPERKVVDSQPSFLQQHHSRSDHSKRGKRYVRI >RHN45495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21442199:21454740:1 gene:gene39845 transcript:rna39845 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAESSEPEAPPSTPAPTVNQSTTTNPQTLDVKPFIDYAVGHVLYYQKTFNDAVDSAIDASTSRFSQIRSTSSAHFHQSLDYLDDFKSQYNAYEELLFGKIKEGVLVAASHPVITSGATASMGLLVLKRPRRFLYYNMQRLFVSEESLVSKASEEVKELRQSIDLLKSEVERMEKSALHAEEQFLHGRTKLRQAGKQIRNVIQSAYKIERRAGGLKDILGELPKSEASHFRSQVSRIASDVKQEKRSLAKEVSKISNYGISI >RHN51423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17596323:17597907:1 gene:gene35855 transcript:rna35855 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVMKEVVCRHSSSSGWCSMTPTCNPNFILSSAISSISLAISFILFPMLRRAKIFIGWKRVIRLLSPCAFKVIEHSSNISSST >RHN52457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35531206:35533953:1 gene:gene37140 transcript:rna37140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MSIMALGLLLLIGNIQSFLRTLSKRSLEMMHRGCDVEQWMNHHRLPEDLRMRVLQAEWNSRHNAPERTVLENLPENLQIDIRRYIFNFVKKIRIFSLLDEDEPVLDAIRERLVQTTYIEGSKILSQGGHVKKMGFIVRGKLESIGKDGIPVLLSEGDAFGEELLIWYLERSSESKEGKEVKIQGHGLTSDRTVKCLTDVEAFLLDAKAIEEVTTHFARLLQNPHVQQVIRYQSPYWRSLAAKVIQDAWRNMKKCLIQANATQNDYQTLRSFIPPISLLSFLLIFSMSSPYD >RHN54817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12608541:12608933:-1 gene:gene29908 transcript:rna29908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation protein, beta-barrel MMDLKAQFDGPAQAYVVEARLDKGRGPLVTTIVKVVCGQHAVEGSQWGKIRSTKDAAGRLTQRATPAMPVEIEGIRGLPMAGDDVIVVHSEERAKFLDMCMAHMTCVYELHVRRGKFAAKSPLVTLCIKL >RHN61407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35421657:35422032:-1 gene:gene23871 transcript:rna23871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLTGIIRRAANQTSSKGVDVPKGYLAVYVGEEMKRFVIPISYLSQSSFQELLNQAEEQFGYDHPMGGLTIPCREDVFLDITSRLNLC >RHN55587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19809242:19812567:-1 gene:gene30789 transcript:rna30789 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGNSSGGGQSSLGYLFGSGEAPKPAATTAQPEVQPVNNAPPSKPAAAPKAIDPSKPAGINSNSIDGLNTGNFITDRPSTKVHAAPGGGSSLGYLFGGPGDGK >RHN71716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2129520:2130131:-1 gene:gene7345 transcript:rna7345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MALAYLYDYLDDSAILNNRTMAGSMTIFMGWILEHLPGPYPRKKNREWTLERPCARRWLTSKGHKDVHHYRLRLDSLEVDDIRFSTYGDHRDVHPFQFIVTYSGWLMCGKERVYRHLPERVKRQLFYIQDVPRHLSSVAQVPTHDLTTVL >RHN78130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12150413:12151312:1 gene:gene1651 transcript:rna1651 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNIVKYFGITLSKIILFCKNAPNKIFYIVFCCVINIHALRKDK >RHN63872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54669089:54670969:-1 gene:gene26627 transcript:rna26627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-mannose transporter GONST4, plant MVYIKHMVMSLGLNTWGFVLYNNVLSLMIAPVFWFLTGENFEVFTALRSSSGSLFDVNAFLAVSLSCVFGLLISFFGFAARKAVSATAFTVTGVVNKFLTVAINVTIWDKHASPAGLVCLLFTIIGGVLYQQSVTGSGSQQAVVVTKQSDVESSLVGDGDSEVESEGKV >RHN67643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28941681:28941995:-1 gene:gene15851 transcript:rna15851 gene_biotype:protein_coding transcript_biotype:protein_coding MINCLRWIKSSSFYSLSIFSFENTKAGAEGIGAFKGHGLPVGSWVMRGVRGTIHIEARTTAQARETPHFYPQL >RHN72617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9180480:9182211:1 gene:gene8360 transcript:rna8360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MMKMGGIIVVMAVAMMSMDVATSEVHHVVGGDHGWDPNSDILSWSSGRVFRVGDQIWFAYSAAQGLVAELKSREEYESCNMSNPIKMYTEGLHTIPLEKEGIRYFVSSDSENCKNGLKLNVEVQPKDSPLHALPITQTAVADGPTSPSGSTRYGHNVILSLLLCAIMVLAY >RHN38631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:849117:850872:1 gene:gene44589 transcript:rna44589 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMKGDLLSRSRKLVKGLAMAEPVWLKAMEQAPPATFPRPEGKLHTITFPEDVYVKRFYKKYPESKYHHPIKFSAIDPAPSRLFALRVLELKEHGISEDEAMEVADMEYIAEKKAKKKAYARLKQIARLQGKKLPPNPFPCPVKEIQAEERKFVRDRFFNPSIRELVKQKKEESMQRFGGDNW >RHN78427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15004489:15005365:1 gene:gene1980 transcript:rna1980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVEIQKLVYVLILFLSIFLEMIVSNCTFIGFQDNPCKTDKDCRKVRGVNLRCRNGHCVMILQ >RHN76592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51503405:51503923:1 gene:gene12942 transcript:rna12942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MIFIGIPHLLLIADCFPDLQVLDLNNLYGITGEGMCHVLRRCCNIRHLNLVCCSRLELRGINFEVPKLEVLNLSETMVDDETLHVISKSCRGLLQLLLKKCYHVTDKGVKHVVENCTQLTEINLRHCFQVHANVIVSMVFSRPSLKKINVPVLYHISQQERELLSRQGCLVC >RHN55954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25995241:25997668:-1 gene:gene31250 transcript:rna31250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MPKIMEFVYVMIIFLSIFVVITNVNAHIECKNDFDCPKNMCLAPRVAWCVNNKCECVLTYGPKYSTMKEKLLQKEKI >RHN81993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50414126:50414443:1 gene:gene6107 transcript:rna6107 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFSIVFVTIPYLYEFIWKIQWTPLSSLLSQKSFPKTHIQRRINVIKFLLKAKAKAKHSYHLCFFHQRSIDRLLDVGCVCVKQHLVTTSIFNRNDYYNLINLHI >RHN59446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10810019:10814831:-1 gene:gene21476 transcript:rna21476 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEVRKLVASRLEACKPVACRLEVSQLGACKQEVCRLGVSLLGVCIQVACKLGASLLVVCKLVACTPVACKQEVCRLGVSLLGVCTQVACTPVVCKLEASLLVAYILVAFLQGVYR >RHN68352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34812452:34816043:1 gene:gene16693 transcript:rna16693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MGKGGGDPNKIHDDGVEIPETAHQISTDSWFQVAFVLTTGVNSAYVLGYSGTIMVPLGWVGGVVGLVLAAAISLYANALIAMLHEYGGTRHIRYRDLAGYIYGKKAYSITWTLQYINLFMINTGYIILAGSALKAAYTVFRDDGVLKLPYCIAIAGIVCAMFAICIPHLSALGVWLGVSTVFTFVYIVIALVLSIKDGMNSPARDYAVPEHGVTKIFTTIGASASLVFAYNTGMLPEIQATIKQPVVKNMMKSLWFQFTIGLVPMYMVTFAGYWAYGNKTETYLLNSVNGPAWVKALANITAFLQSVIALHIFASPMYEYLDTRFGISGEAMKAKNLSFRVGVRGGYLAFNTFIAALLPFLGDFESLTGAISTFPLTFILANHMYYKAKKNKLSISQKGGLWANIVFFSLMSIAATVAAIRLIAVDSKTYSLFADI >RHN70525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52014024:52015024:-1 gene:gene19110 transcript:rna19110 gene_biotype:protein_coding transcript_biotype:protein_coding MALTETTSTMEVEAVKCYCCGLTEECTPSYIDHVREKYQGRWICGLCAEAVKEEALKSKRDISTDEALNQHMNFRTLTSSPPNKPTVDLILAVKHLLFRSLDSPRKQPLSYRTVGRSQDSCFSTTAQGYKV >RHN44386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4114216:4114492:-1 gene:gene38503 transcript:rna38503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MQTRFRRNPQVYESFLDIMQMFKNDHKSAKELHQTVISIFQGHQDLIDEFTHFLP >RHN72687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9750471:9755429:1 gene:gene8433 transcript:rna8433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MGEENKEEGKKEETKEEKKEEEKKEEKKDEEQPPEIVLKVDMHCEACARKVAKALKGFEVEEVTADSKGSKVVVKGKAADPIKVLKRLQKKSGKKVELISPLPKPQEEKKEEEIKEEPKPEEKKDEPPPVVTIVLKIRMHCDACAQVIQKRIRKIKGVESVETDLGNDQAIVKGVIDPTKLVDEVFKRTKKQASIVKKEEKKEEEKKEEEKKEEVKEEEKKESEEENKGEDDNKTEIKRSEYWPSKDYVDYAYAPEIFSDENPNACSVM >RHN42949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42137550:42142879:1 gene:gene49460 transcript:rna49460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA oxidase MQTLNSKSKSNNNESNSDAERRIERLSLHLNPIPHLSWNNIEMENCSRGKKLIVDTNSLSGYMRGKHREIQEKIFDYFNANPHLQTPIEISKDNHRELCMNQLLGLVREAGVKPLHYVVNDPAKYFAILEAVGSVDMSLGIKMGVQYSLWGGSVINLGTQKHKDKYYDGIDNLDYPGCFAMTELHHGSNVQGLQTLATFDPITDEFIIDTPNDGAIKWWIGNAAVHGKFATVFARLKLPNYDAKGVSDMGVHAFIVPIRDMKTHQTLPGIEIHDCGHKVGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSTLPSVNKRFGATLGELVGGRVGLAYSSVSVLKVSATIAIRYSLLRQQFGPRDQPEVTILDYQSHQHKLMPMLASTYAFHFATTNLVEKYAQMKKSHDEELVADVHALSAGLKAYVTSYTAKSLSICREACGGHGYAAVNRFGSLRNDHDIFQTFEGDNTVLLQQVAADLLKQYQRKFQGGTLAVTWNYLRDSMNTYLSQPNPVTARWEGEDHLRDPKFQLDAFRYRTSRLLHSVAVRLRKHSKPLGDFGAWNRCLNHLLTLAESHIESVILAKFIEAVQSCPDASAQAALKLVCDLYALDRIWNDIGTYRNVDYVAPNKAKAIHKLSEYLSFQVRNIAKELVDAFDLPDHVTRAPIAKQSGAYSQYTQFVGFQ >RHN46526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32489176:32491228:1 gene:gene41020 transcript:rna41020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MSQQEILHTPWPLIYEHMDSSTFDHHHHHQVIEPYSFTMVDHVDDYDPYGIIMDDHVDVDYVPYGIIMDDHVEYDYEFNTLLSTSENSTLSEISIFTNDHIQFPIDEETLELPSLMELDSILSPQTGNIHEQEYYQEESEGSFPSQNFPHNLEGQISNPLGDSCKMAASATYDHFPSQNSPCDAWSPTLSMKSDFSSIQQSLTLPQENMEIENQVSLPHLMEAHGEALEKGQRALAEVNLKCISQKVTTPIGDSLETLAFYLAQEVTNHGEYLKGEAYKNFQAAFKVFYQGNLVGKIAHFSAISSILEAAMLEDCDEIHIIDYCLGNGVQWPFLLEAVSKMNKRLKLTSIKWSDENSERVWNFEDTKRELYEYAKSCGLILKVEEKELEQLSSELKIMNETGGKKEFLAFNLMTGLPHMGMVRRRRKHAYEFLKVAEDLIKNYGIKGIITFGDGDAFEKLKNSLNFMSFFEGNLVHYKALLESIESQFSTKFSEARIACEVLFVAPCISSLDWLQTWEEMKSDGYVKDEISIDGGRLSKNVLMEVREMLRGSDSSYQARIEGENENQLVLEWKGSQLLRFSIWKN >RHN59922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14813079:14814219:1 gene:gene22092 transcript:rna22092 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGCIMCWGSATKRSLRVAFVSGSSPTWFNGSIRNNFIISSGVISLIKYVTKSLS >RHN64438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59090584:59092399:-1 gene:gene27255 transcript:rna27255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MHHLLTPFTIFKLILLTLHLQLLQAIPIHTLSPCKTSCGTIPINYPFSLEDGCGAPQFRNMFNCTTTELFFQTPSGSYKVQSIDYNKKSMVLYDPSMSTCSILQPHHDFLMTDIQSAIIPPSQDTVFVLLNCSIDSPVLNHYKYLCFNFEGHTCDELYGGCNAFRVFHLMTNSSPPCCFTSYNTMKFMSMNILDCTHYTSVFNSDKLRGVGPLDWVYGIKLSFSVPDTGCESCGQSGGICGFDTETEALLCLCSSFTNSTRGCAAGTGSMISEGVYNAPWTRHQVVLLVVVFLHMVIGLL >RHN40467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16556497:16562171:-1 gene:gene46620 transcript:rna46620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SUN domain-containing protein MSASTVSITAANPGTRRRPVISTDKKTASNLELLANDVAASPNTAGDGKNPSTAGNGRDLSHHSIRSDAILSKDLAPATKRVAGGDSTRRVRKNGGKSEKQKWVTVARIFAKNFGLLVMVVGLVQLIRWFAVKSGDGVVVGGGFGGFSEYEDRISEMEGLLKKTAKMMQVQVDVVDKKIGNEVGGLKKEMDAKIEQKGAFLENEIKKLANKGDKLERYLEELKVEDLLTKEEFEKFVEGLKNVKGNGYEGGGLDEIREFARGVVESEIEKHAADGLGRVDYALANGGAWVVRHSEAYDVQRGNWFLLNARNGVHHNADKMLKPSFGEPGQCFPLKGSSGFVQIRLRAEIVPEAVTLEHVAKSVAYDRSSAPKDCRISGWLQGSNPNSVIDTEKMFLLTEFTYDLEKSNAQTFNVLNSAGYGVIDTIRFDFTSNHGSPSHTCIYRLRVHGYESDSVSVMAIDS >RHN50239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5419704:5422296:-1 gene:gene34508 transcript:rna34508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKSMINFKTIIITLLYFSISLLHLHAYDPIDRFNLNCGTTGNSTDGQRTWTGDINSKLLSSSQNNPTTISVPKTTQNPSSNKIPYSTARLSHSIFNYSLPVSKGPKFLRLFFYPTTYTNGFNRYDASFTVISNGFTLLKDFNASLNADVEGVVTILKEYVINVGDDQRLDLSFIPSSGNSNNYGFVNGIEVLSMPDYLYYTPPDDPGFSLVGSTVIPAYTIPSNVALETDYRIKAGVQDNSPNIQDIGMLRNWNINDTTYLKSPTARDFVPADITGTMNITVTPDYIAPKDLFKSSRDLGASATLNRLLNLTWEFPVDSGFTYFIRLHFCELDPNITSAGDRVFIVYLQGNVAEELADVMKWTQNQKGLAVQRNYAVLIPKSESNSNKKVNLKIEMHPLDGRGSKFSDPFLNGLEIFKISDVGFKNLAGPNPARSRNIAGTTKIILKMHTTTIIEIIVIYILYIKY >RHN68233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33897697:33898879:1 gene:gene16553 transcript:rna16553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MSGKRAVDKNMPLQEQNLVEWAKPLLNNKHKISQVMDVRIEGEYSSRDAMKLAHIIIQCLSEKPEYRPKIQEIVRSLEQLQHSDDTVGGVRSS >RHN42895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41766166:41766946:1 gene:gene49395 transcript:rna49395 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNSYHYSTTTLLIIVLLLHHLLPLVSCFNKLQPSIPPRELLFEEKNRLGSMPPSCHSKCNECHPCMAVQVPSLPRQDSVPSKVASMKKWLSPSLQLGNSRYSNYKPLGWKCHCGDHFFNP >RHN76936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2509120:2513221:-1 gene:gene330 transcript:rna330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SPX domain-containing protein MKFWKILNNQIEQTLPDWRDKFLSYKDLKKQLKLIVPKEIDSSCSKRRRLDDDGGAEGEVTKEVKDFLRLLEVEIEKFNGFFVEKEEEYVIKWKELQDKVAWAKSSDIELMTVGREIVDFHGEMVLLENYSALNYTGLVKIIKKYDKRTGALLRLPFIQDVLNQPFFKIDVLNKLVKECEVMLSIIFPKSGPLGQSLSTSEVFEEVARETTTANETKETLDHVPKEFSEIQNMENIFIKLTTSALDTLKEIRGGSSTVSIYSLPPLHSETLVED >RHN49072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52253125:52253475:-1 gene:gene43861 transcript:rna43861 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNCQTTEIPFKKTTQIDVWVMKEYGSRDSWCKLFTLVESCFNFHLKLLRPLCYSSDRSKVLLVTNHATSMSANPRKLFWYDLKNEQVIYVRGIPTLNEVMICAESLVPPSFLTY >RHN49990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2928605:2930038:-1 gene:gene34236 transcript:rna34236 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSLVDDINAMLNTAEPPSDKCCIYKVPYVIRRHNKDAYTPTVVSIGPFHHGHPQLQNMENQKLIYFKDFLQRTKACLNDLVCYIESVLSDFKRCYSETLPFSHDELVKLILIDSAFIIQLFWRYYYDRRCILFKPWLDNGIRYDLWLLENQLPFFVIEKIYSLSLTNVPTTMIHSFLKLTIHYFGYYNKSKLDFDKGDISISHFTDLIRIFHLQHPIESRPSRVREWMKHPPSATELLEAGVRFKVNTESKCLLDLRFSGGVLEIPQLLVADSTETLFRNMVALEQCHYPDDSYITDYRSVLDYLINTAKDVDILVQSEILENMLGDSDSVANLFNGLGKDIIRSTISSQFSILGKDLNAYCKNPWHKLKATLRRDYCKTPWQTAASIAGILLLALTLLQTVCSCK >RHN64335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58263755:58265029:1 gene:gene27143 transcript:rna27143 gene_biotype:protein_coding transcript_biotype:protein_coding MKTITLFIIAVLILNNVHIATTDATNHHLKTTSKAQIQCTMCAACDNPCNKVPSPPPPPPPSSTTNNCPPPPSPPTSSGGGSSGGSYYYSPPPPPPSSSGGGSYYNYLHLVTDTTVQLHRHLIQLFLIFLSITTVLHHPPPHLLRLAHSSLQLFSRPSSCCCFHRRKKNEAKKGKDWNNCVDLQI >RHN48307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46492363:46495045:-1 gene:gene43009 transcript:rna43009 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQELSEGVNGIDDDDDDCVILQVNIKPNGVNDDCVVLDGDPESQVKCDIDSPTGFDDELLVVGEKGKIACRDYPHSRHLCGNFPYSSTPHERHCGQCHCYVCDSPAPCLKWGDGLLNTDHCHATDKSKTWKKLRQDSKLVKTSPLPDSTNNGTIGDAVNSQHNHILPPNTEKLSSLSVLMNRRSRSTASCPLSAISRLHSITQSQENQAFQQILENELSSLNSIVNNQVSRSTETNPLAGNPLPQYQASQPITMDAMNALSSLRSRIQNQISTQNNVPAFALQNNASGFTTASTILNGINNGISQESGSTLATNYGYPFHTVPSTSLGVQNHAIQKKRGRKVHSFGTMFNGIDNISARNTMTTNGITPPGALSLNNLVNPYGVRYPAAATRLSSRRNGYGQDGAWIANTFNSLLTQPNYSQTLGTQLPYQSNNNLQGNAKKSSTPSTGSSHLFDDVKP >RHN78398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14761184:14761884:1 gene:gene1949 transcript:rna1949 gene_biotype:protein_coding transcript_biotype:protein_coding MIANFCQALLFWTLVFNVFFSYCCICIISLNLYIKRPIMLLCCTVARGTHIKLSYPAMSWTKEEYWKFLLCASGNTSCGNYFRTLTIIFDWQFIYSDFSENVLNQFKNHHIGKG >RHN57705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41154726:41158363:1 gene:gene33286 transcript:rna33286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative X8 domain-containing protein MKRSVYHYAIFLLLIQILCSGSSRTEKEAQREVNVLKRHEVKQEFITHTISTVQKDITTPITTIPTITTPDPFLNPNSNPDTVSPTSTLPYTNPTTVNSFPISSGSSWCVASPSASQIGLQVALDYACGYGGTDCSAIQPGGSCYNPNSIHDLASYAFNKYYHKNPVPNSCNFGGTAVITSTNPSTGTCQYPSTSTSSSILNTTNSSGANVFGSVPVPTNPSPSAAPGTFNSFADFCVILWIISFLENNFSIIINFEKYGYEIESYILLI >RHN54479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9916063:9922022:-1 gene:gene29529 transcript:rna29529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane transport protein MGFVQLLEVASMPVIQVLLVSALGAFMATQYFNNLLSPDFRKSLNKVVFFIFTPSLIFSSFAKSVSLQDMISWWFMPVNVGLTFLIGGILGWLLVKLLRPNLKVEGLIIAACSSGNMGNLPIVIIPAICDEKGGPFGARDVCRSNALSYASFSMALGGIFVWTYTFQTIRSSSLRFKALEAAEILKAPNKDLEGNVETPLLKGKDDENAVIEVLPSSYIEDSESQIVNEQDQSHESKKEKQSFFKRIIEVVTHLLAELISPPAISIFFGFLFGAVAWLRKLIIGDNAPFRVIQSTLELLGNGTIPCITLLLGGNLTAGLKSSSVKPLTLICIIITRLFLLPVIGLFIVKAAASYGFLPVDPLFQYTLVMQYAMPPAMNISTMAQLFDVGNEECSVILLWTYSAAAIALTAWSTFLLWLLSY >RHN58724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4292980:4297191:-1 gene:gene20658 transcript:rna20658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MKLFFFLLCFHFFFSSVFSLSSDGLALLSLKAAVDQSIDGAFSDWNGGDSYPCGWSGISCANISGVPEPRVVGIALAGKSLQGYIPSELGMLRYLRRLNLHDNEFYGVVPVQLFNATALHSIFLHRNNLSGPFPASVCTVPRLQNLDLSDNSFSGDIPNDIQKCRQLQRLILARNKFSGEVPTGVWSELDTLVQLDLSGNDFKGSIPDDIGDLGSLSGTLNLSFNHFSGRIPSSLGKLPPTVNFDLRSNNLVGEIPQTGTFSNQGPTAFLGNKNLCGLPLRKSCTGSDRGSSSSSSHRNESDNRSKGLSPGLIILISAADVAGVALVGLVIVYVYWKKKDGHNVWCCIRKRIGFGNDNEDEKGSACTLLPCINSLKNEEGNDESEVDVDGGGKGEGELVTIDKGFRIELDELLKASAYVLGKSALGIVYKVVLGNGMPVAVRRLGEGGEEKYKEFVAEVQTIGKVKHPNIVRLRAYYWAHDEKLLISDFISNGNLNNALRGRNGQPSTNLSWSTRLRIAKGIARGLSYLHEFSPRKFVHGDIKPTNILLDNDLEPYISDFGLNRLISITGNSPSTGGFMGGALPYMMKSSHKDSRFSSDNGRGNNYKAPEARVPGCRPTQKWDVYSLGVVLLELLTGKSTESSPTSASSSASVEVSDLVRWVRNGFDQESPLSEMVDPSLLQEVRAKKEVLAVFHVALSCTEGDPEVRPRMKTVFENLEKIGR >RHN44587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6485862:6492784:-1 gene:gene38724 transcript:rna38724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor/ chromatin remodeling BED-type(Zn) family MGRGKHEHCWKHVTRVENGLKWICNYCNDKFSGGASRIQTHLGGKGGGIRRCSNYHEGVHNNMASTSSNPPEAAVINRVYSTQDQGVHGSGGNRSFSESEINQLKQLVIDLKCEEKDIAKQLQWLESRGKKRKPEVDVWLRKLLDMKGSMYDMNNLNGTLDVSELIKNMKRHKKEKPIILSNEFVGRALDLDIQRVFYFLDDDKVFVIGICGMGGVGKTLLATLVEKEGKRKPTFKDVIWITVSHNHSISKLQHDIAKRIGLKLDADDERVRADNLSSVLEKKGKSILILDDVWKYIDLQKVGIHPKVNGIKVILTTRLKHVCDQMNCHPYAIIQIFPLSACEGWELFMLKLGDDGTPKILPYEIEKIARCIVERFKGLPLAINVMARTMKGINDFHQWKHALNKLEKLEMGQMVEEEVFKVLKPSYDNLMEKNLQNCFLYCALLSINDCDWDRDWDEFEKDELIMKLVDHGKINDSMCLEEIFVEGNTILKKLKSHSLISFHYYLVATHPLVRNMACYIWKESQRNAIVRSGKGLTKMHLSHEWATDLELVHMWDCDIEQISEDVSPNCPKLSTLIINKVYISHVPESFFKYMNSLSILDLSDNEELVSLPNSVAGLRSLVSLVLKRCASLIHVPPLGELQALSRLVISVTSIEKLPLGLEKLTNLKWLDLSKNMSLNLELRSFSSYLIKLQYLDLRDTHALNMVEDVQGMNMLECFGGAFDYRCFYQSMQNNLDMSFERIKTYNLILGNVCSASLGDWWHNVNLKRFGAADHETKSIQFGDCDHKKSTLYYFEYENIRKRDSLRIGLLKTGRPISE >RHN81596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47443287:47443799:-1 gene:gene5666 transcript:rna5666 gene_biotype:protein_coding transcript_biotype:protein_coding MRYKEVTPNVLVVDVINLEEELYSSPSVGAAQVQSTHVEAMNEKQSEQSNELPDMRIVGPWSDAVTDLDYIQYPPSWYGLSSSNVIVTQDVLNPNIAHDLEILRPYLKDKGASEPRVYTDGEEREAVINYLKNCSVAIEEPFIEVSKKKKKNVQRGFQVHNTRSKGRPLI >RHN64266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57777802:57779674:1 gene:gene27068 transcript:rna27068 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exostosin MAPSKPHISIYISIITIFLLLLNPTKSEENKNLKSTTTENECEQRWIHIRKLPPKFNLDLLSNCSEYTFLDDLCPYLANHGLGQKTHNRSHSWYRTDPSMLELIFHRRMLEYPCLTEDPKTANAVYLPYYAAFDSLRYLYGPEYNSSEQHGVHLFHFLTKENHPEIWNRHSGHDHFLVMARPAWDFAQPLDNDPHLWGTSFLELPHFFNVTALTLESRAWPWQEHAVPYPTSFHPPNLALLDSWIQRVRRSKRSSLALFAGGGGFSATPNIRRSIRMECDNDNNSSNVNGNSFGYEKLCETVDCSNGVCEHDPIRFMKPMLGANFCLQPPGDTPTRKSTFDAILAGCIPVFFEDLSAKSQYSWHLPENEFEGFSVTIPKEDVVFKGLKIFDVLQRIPRARVRRMREKVLELIPRVVYRKHNSSPGLRNKKDAFDLTIDGTLNKIRSRLQELDLVL >RHN71966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4002992:4003776:1 gene:gene7628 transcript:rna7628 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDNSFVKSSVVVSGIPGNLLFWWLGCGNLLSSVELVLVDQNV >RHN81661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47890261:47894376:1 gene:gene5733 transcript:rna5733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CRK7-CDK9 family MGCVQAKPLESEANYRGLDRFKMENGYVPSSDFVAHRRSTGQSQKYVAKGKDIDHHVHQRQPRKHKVVDGNIGGARGKRDGELKDSKKQLNRCFDDEMVDGWPKWLVDNVPSQVLAGVVAKSAESYKMIDKVGQGTYSNVYKALDRDTGDIVALKKVRFNTSQPESIKFMAREITILQRLDHPNVVKLKGLATSRMQYSIYLVFDFMPTDLSRIISRPGERLTEPQVKCYMHQLLSGLQHCHDRGILHRDIKGSNLLIDKNGMLQIADFGLANYYSPNQDQPLTNRVVTLWYRAPELLLGSTDYGVGIDLWSVGCLLAEMFKGIPIMPGRTEVEQLHRIFRLCGTPSQEYWRKLKLSTTFVPLKSYRPSLVETFNDLPPSSLGLLCTLLALDPAFRGSASKALKNPFFFTSPLACDLSGLPAIYKEEDEHTPAKEQIKYINSKIRRSRTFMERRKNLASNRPIEHTVSSKEVLRNNAEAETYVPSEEPGSATSSTSSSVNQAGVGDHSPLFLSPFLGSDQKQPHKIHSRRANIGEKNIKNLPPLSKPKPNATKKDDGRYRTDQIFRSTSTREFRKLKTEEHLLFD >RHN56607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32193784:32195408:1 gene:gene32037 transcript:rna32037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferroxidase MWVVVVLFNYRFFKEASVEERQHAEMMMEYQALVQLQSMLLPISEFDHAEKGDALNAMELALSLERINNQKLLNLHSLANENNDAQLADFIESHFLVDQVEDIKKISEYVAQLRRMGKGHGVWHFDQMLLNGGVVA >RHN69147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41359502:41362767:-1 gene:gene17579 transcript:rna17579 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAHAQAVKSLNKSPGRRRFVFKSFSDRVDDIDINVYRSLHKVKAEPSEGSSFFRDCLVEWRELNTTEDFISLYEEVIPCTQTLPLVLLHKETLISKLLSRLHMKARLSLEPILRLIAALSRDLLDEFIPLFPRIVDSLASLLESGADREPDIIEQIFTSWSYVMMYLQKYLIRNPSEVLKVTSKLRYYPKEYVRQFMAEAMSFVLRNAPDVQLKRGIERVITEVAKKPSPFRESGVELLLYNIMKGYSSRFHSKAERVLQLLTSKTIYHIGDGDDQGKDGDDQGKDGDDQGKLVL >RHN54048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6508789:6512103:-1 gene:gene29027 transcript:rna29027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A(2) MATPISYLDIKPVNFITVLSIDGGGVRGIIPGVILAYLESQLQEIDGEDARIADYFDVIAGTSTGGLITTMLAAPNPKDNRPLFAAKEIVPFYLQNLPSIFPQKSGIFAPLINITKALTGAKYNGEYLHKLIRNMTKDTLLSQTLTNIVIPSFDVQNLQPTIFSSYQIDAEPTLDVPLADICIATSAAPTYLPAHYFAKKDENGKVIKEYNLIDGGVCANNPTMVAIREVTKNMIRLGRSGNGIGYDRFIVISIGTGSNKSEQKYNAKMVAKWGALTWLFNSGSTPIIDCFNEASTDMVDYHNSVLFTALQSQDNYLRIQDDTLQGELASVDVSTKNNLNNLVKVGENLLKKKFTRVNLDTGIYETVPDKGTIEEELKRFARLLSEIAKEKKSKLQNGK >RHN52087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31373827:31375645:1 gene:gene36707 transcript:rna36707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MDVEMKSHEKNHTWQLVDLPRGKDAIGLKWVYKTKYNEDGSVKKYKARLVAKGYSQQPGVDFNETFAPVVRMETIRIACPYFHS >RHN39882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11366231:11372008:-1 gene:gene45964 transcript:rna45964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MAMSGLISNRNFGSFIASGNSYRSQKDISHQRVGISVSGVTVTRCGGCVAKGSLSRCPNATRSHIDSPLEERVSKQIQTFDNKRELFSPLSRQCSRGLNIKLKACKISHCYLSSSTFSSSNVEQRKANKFQVRYKAEEYEFAEPNIDGLQPTDGTGEAILLEGNLLQTSPWWQQFPKRWVIVLLCFTAFLLCNMDRVNMSIAILPMSQQFNWNSATVGLIQSSFFWGYLLTQIAGGIWADKVGGKLVLGFGVVWWSIATVLTPIAAKLGLPYLLVMRAFMGIGEGVAMPAMNNILSKWIPVSERSRSLALVYSGMYLGSVTGLGFSPFLIQKFGWPSVFYSFGSLGSIWFALWLRNAYSTPKDDPNLGDEEKRLILGGNVSKEPVTVIPWKLILSKAPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVSKGFSITTVRKIMQSIGFLGPAFFLTQLSNVRTPAMAVLCMACSQGCDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWNDVFKVSVVLYLIGTLVWNIFSTGEKILD >RHN79303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27367192:27367779:1 gene:gene3078 transcript:rna3078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDIDLEPIVNDSFRQSGRRSNLSESGPVPMDIYRKTSEKKENVDEKDCGNDRGFYDCNICFDLAKEPVLTCCGHLFCWPCLYRWLNTKSPLSFRRSSLAKECPVCKGEVTDKTVTPIYGGGNEVEVVRAEDSSSTTLQIPPRPNASVFRVSRVLRIQVLPLCKSLPGLMQGVFRVSRVLRIQVQHYLLFPIFVIQ >RHN69897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47164724:47166962:1 gene:gene18428 transcript:rna18428 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKKGKAKAEPKETVPSEKPNNIPSCIRCMPPSSVAITIHAKPGSKSASITDVSDEAVGVQIDAPARDGEANAALLDYISSVLGVKRRQVSLGTGSKSRDKRVIVEDVTQQYVFDALDKVSKQ >RHN40287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14885105:14889857:-1 gene:gene46425 transcript:rna46425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MNKEFNSIVKVWEAAVRKSAGPKKRVNRIFTTPMSVSHVDDDNDVYQVEKILNNGDFYTGQWLNNFPNGQGKYLWTDGCMYVGEWLKGNITGKGRFSWPSGATYEGDFKNCFMDGKGTYIGSNGDTYKGFWVMDMKNGKGTQSYCNGDFYDGEWKKGLQNGHGRYQWKNGNHYIGQWRNGLFDGNGTLMWQNGNRYDGCWEEGFPKGNGTFRWSDGSFYVGIWSKDSKEQSGTYYPSSGDSDDDARVDWDPMDLFSVDLIDSYVCDLEKVSIFPSQKNLNMFGLEEDKQLLSKKSTDVNGRARWMSGDERVSNYSSEDGSYSSYDGSRSPMIDHSVPRVPNLRLKAPKRQGETISKGHKNYDLMLNLQLGIRHAVGRPAPSTSLDLKSSAFDPKEKVWTKFPPEGSKHTPPHPSCEFRWKDYCPVVFRALRKLFKVDPADYMISLCGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKVFLRMLPGYYKHVRAFENTLVTKFFGLHCVKLPGASQKKVRFVIMGNLFCSQYAIHRRFDLKGSTFGRTTDKSEEEIEPTTTLKDLDLNYIFRLRKSWFQEFCRQVDKDCEFLEQERIMDYSMLVGLHFRGVSSCSEAGTPSRSSGAQTPTGNFDDGAPRLSGVDVDRIVVDPSRWIQLGINMPARAEMTTRKSCDTPQLVGEPTGEIYEIIIFFGIIDILQDYDISKKLEHAYKAFQYDATTISAVDPRLYSKRFRDFIYRVFVEDTS >RHN75953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46456536:46460556:-1 gene:gene12217 transcript:rna12217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MKVAEKSLDPQLWHACAGGMVQMPSVNTKVFYFPQGHAEHAQSNVDFGDSFRIPPLILCRVASVKFLADSETDEVFSKITLIPLRNSELENDDSDGDGSENSEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTVIAKDVHGEVWKFRHIYRGTPRRHLLTTGWSSFVNQKKLVAGDSIVFLRAESGELFVGIRRAKRGIVNGLETPSGWSSGNGNCGLGPYGGAFTAFLREENKLGGVGGNLGGGRVKVSGESVKEAMRLAASNQTFEVVYYPRASTPEFCIKTSAVKAAMRIQWCSGMRFKMPFETEDSSRISWFMGTISSVQVVDPIRWPNSPWRLLQVTWDEPDLLHNVKRVSPWLVELVSNMSMIHLAPFSPPRKKLRFPQHPDFPLDVVQFQIPTFSGNPFNPLCCLSSSDNYNAPAAGIQGARHAQIGISLSDLHLNNNKFQLGVFPNNRETISNVSNITTNHDNKSKESISCLLTIGNSHKRSLEIKSDNNDNRHQFLLFGQPILTEQQISRKDSSDDVLLSKKKDKEKWFFSDTTQSSISEQFSPAKSSTTSASADFCWQLGLDTGHCKVFLESEDVGRTLDLSCVGSYEELYRKLAKMFGIERSEMLSRVLYRDATGAVKQTGEEPFSDFMKTAKRLTILMDSGSKDTRRVCITGTRNAERGLDASNKTGPMSIFV >RHN54860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12978799:12986414:-1 gene:gene29955 transcript:rna29955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxy-lyase MASNRNGNGSIATENGSNGLPKITTKKSVNGICHDDSGPTVNAKTIDELHSLQKKKSAPSTPNTGTSTPFAQISDQERQKLQLQSISASLASLTRETGPKVVKGDPAKNQKVDHVHHHHVPTPTIAVSDSALKFTHVLYNLSPAELYEQAIKYEKGSFITSNGAMATLSGAKTGRSPRDKRVVKDKVTENELWWGKGSPNIEMDEETFMVNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIVSARAYHSLFMHNMCIRPSPEELENFGTPDFTIYNAGKFPCNRFTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGSNMGKGGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSENGVSNIEGGCYAKCIDLSREKEPDIWNAIRFGTVLENVVFDEHTREVDYSDKSVTENTRAAYPIEYIPNAKLPCVGPHPKNVILLACDAFGVLPPVSKLTLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMESHGATGWLVNTGWSGGSYGTGNRIKLSYTRKIIDAIHNGSLLGAEYKKSEIFGLQTPTEVEGVPSEILDPINAWSDKNAYNATLLKLGGLFKKNFETFTNYKIGKGDDNLTEEILAAGPIF >RHN48369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47022928:47026224:-1 gene:gene43080 transcript:rna43080 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPSINNDDSSSTITHLENLLLETLMKKIQLHDPDNVNDDNSPSRKSFEDFLFGSDDDEDDAPCTYQEAGQKAIQREESRVEGEIIKLIVSGEGDKLKANSGEAVTIRESSICVGCHDDEEGEYVVWEWHGHIMGYSDDHGFSPEYIYGNYFQRTAPKPLVRPYSSPVGDEDEVDDEADVVSMGLKDLIADVDTDADDAAPARILHRSLNAGSAPPRF >RHN47024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36529107:36534292:-1 gene:gene41570 transcript:rna41570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MSLASSDHNNIKGVLTHGGRYVQYNIYGNLFEVSNKYVPPIRPIGRGAYGIVCAAVNAETREEVAIKKVGNAFDNRIDAKRTLREIKLQRHMDHENVIALKDIIRPPQKENFNDVYIVYELMDTDLHQIIRSNQTLTDDHCRYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNSNCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTRKPLFPGKDYVHQLKLITELIGSPDDASLGFIRSDNARRYVKQLPQYPRQQFAARFPNMSPGAVDLLERMLVFDPNRRITVDEALRHQYLAPLHDINEEPVCSRPFNFDFEKPSFTEADIKELIWRESVKFNPDPPIY >RHN49795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1182480:1186435:-1 gene:gene34012 transcript:rna34012 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPPCMITISHESHSIATLAHFLTLVSECFYRVGTHLFFTSTGW >RHN71721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2193383:2198067:-1 gene:gene7350 transcript:rna7350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Mg2+ transporter protein, CorA-like/Zinc transport protein ZntB MELASRHRVMENGGAEEMDVENPVDEHRFYPSHPVGLVKKRAYIFDGSGNFYNKEWDLADLYQKEEKESDAVEGRGNEFSWYHVELPKGNQKLSQSAQDLIGVLCPPLKLQDILSLVSNGPFCAHVDGALVFRVNSPGPPSSDFTFRLAARVTENSVITVSLGRVPRLGFSRMGESLLSEIPRVESSSRFSGQQREGSGTVIKEHVLEFLLTMNHSEEADNPVPRSVSNLVVHIIDTHVDQLQDLVTKLEMELDSVELDLDKGGYALKKQMLDDRRFPKIHINLQRLLQVIAHGEQVYLRVKEKSSSKRWLSIEDINSLEELIGRLRRLKENVGFIVNRVTAIQASLDSWQSEQINRKLYYLSFLSIVFLPLSIITGVFGMNVGGVPWTGQNSPELKDGFRNVMLVCVIMLVLVLLCFLFPALYTRIVTAWREKKTLGRNWSLNKKSLLRRPLRIDDTARGGYLRI >RHN41298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28956516:28961312:-1 gene:gene47605 transcript:rna47605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthine/uracil/vitamin C permease MAGGGAAPQPKLDELLPHPVKDQLPNVSFCITSPPPWPEAILLGFQHYLVMLGTTVLIPSSLVPQMGGGNEEKAKVIQTLLFVAGINTFFQTTFGTRLPAVIGGSYTFVPTTISIILAGRYSDIVNPHEKFEKIMRGTQGALIVASTLQIVLGFSGLWRNVVRFLSPLSAVPLVALSGFGLYEFGFPVLAKCVEIGLPEIIILVVFSQYIPHMMKGEKPIFDRFAVIFSVAIVWLYAYLLTVGGAYKNSAPKTQITCRTDRAGIIGGAPWIRVPYPFQWGAPTFDAGETFAMMAASLVALVESTGAFIAVSRYASATPIPPSVLSRGVGWQGVGIMLSGIFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVAALYCLFFAYVGSAGLSFLQFCNLNSFRTKFILGFSIFMGFSIPQYFNEYTAFKSYGPVHTRARWFNDMINVPFASEAFVASLLAMFLDVTLHKKDNQTRKDRGMHWWDKFRSFKTDTRSEEFYSLPFNLNKFFPSV >RHN60417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26563842:26564194:-1 gene:gene22728 transcript:rna22728 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTIFAFVIVLILLFLFMMAEPSGTKEVINRKVLKNNGYMYDEKSVSWDQLRKIPSGPDPLHHNGGTPKPETP >RHN59384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10143584:10143905:1 gene:gene21410 transcript:rna21410 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVIGILPCLFFWSAVAVFLVCGYCLAVGVLEVSSVDPTVFLGSLQACFLRLPCGLAKFTAVVFSSV >RHN71091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56431760:56432427:-1 gene:gene19734 transcript:rna19734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MKRNRMVVNLVENSSIEGIKNEEKLLTIYFFVCEIPVWILFYALGATSGKEIVDLINY >RHN60208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23550456:23550974:1 gene:gene22466 transcript:rna22466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSNNMGTVFLFFNLYTSWLLIFSSMLCLVRDTLNTSQKILSNEPLTNLISAGNIFELGFFAPSSTGGSQRCLGIWYHMQEGSQQPQKQTVVWVANRDSPVAVGSIGVFHIAEDGNLEVVDTSTKEYWSSSTKKHFLSLPPKNRTVKLMDSGNLVLYNEHMVVKVRKNTRRGG >RHN43756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48225413:48227981:1 gene:gene50378 transcript:rna50378 gene_biotype:protein_coding transcript_biotype:protein_coding MANTQQILHDNNFPIFNCHHHYAFTILFFYTKQPKSSSFQVFPLNDQSLLFLSLYFDQFNSEMNQYSQTCIKRHTTTRNPRITSQKITNRKFNCIRRISNNMKTRPFNSSISISTTTNIHIFAIIKCTQSKTTHPWSPTF >RHN42005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34721891:34723355:1 gene:gene48389 transcript:rna48389 gene_biotype:protein_coding transcript_biotype:protein_coding MMATTMERPFEFIGDITDKKDFWKLPVKVKDKWTVVKDGKEHLELVIVDKKVWTGGTTAIDVNHHDISNVGLKFKSFAEIITGKWRADLLVHVIGVVSDMGYCQFNEENGKKLQDYAAKFINFNNDRKEGEPIIVLLKYGKIKEECFLPKDGQLMSQSTLVCTQSQSSSQIPTEDELLKSINNCLHIGFKIEVEVSYEFSKAGFVLWDREVT >RHN61013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32325441:32332699:-1 gene:gene23423 transcript:rna23423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MASSTALFSFKLSLFNSLHHQRVKNSKASFSNSHINPRIISSSTNNHLSPTRVTYPLLTSHSSHKRRFQVQAQEYSAGNNSESVASDQEKFSWSSVILPFVFPALGGLLFGYDIGATSGATISLQSPELSGVAWSNLSSIQLGLVVSGSLYGALLGSLLAFAIADFIGRKRQLIGAALLYVLGSAITATAPELGVLLAGRLLYGLGIGLAMHGAPLYIAETCPSQIRGTLVSLKELFIVLGILLGYFVGSFQISTVGGWRFMYGFSAPLAVLMGLGMWTLPESPRWLLLNAVQGKGSFQDLKEKAIVSLGKLRGRPPGDKESEKQIEESLVSLKSAYEDQESEASFLEVFQGPNLKAFVIGGGLVLFQQITGQPSVLYYAGPILQSAGFSAAADAAKVSVVIGLFKLVMTSVAVLKVDDLGRRPLLIGGVSGIALSLVLLSAYYKFLGGLPIVAVAALLLYVGCYQISFGPISWLMVSEIFPLRTRGRGISMAVLTNFAANAVVTFAFSPLKEYLGAENLFLLFAAIALVSLVFIVTSVPETKGLSLEEIESKILK >RHN43028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42682720:42686318:-1 gene:gene49548 transcript:rna49548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MDSTTKGDRKIALDLASWLFNVVTSVGIILVNKALMATYGFTFATTLTGLHFATTTLLTSFLKWNGYIQDTHLPLPDLIKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEIVLDNVKYSRDTKLSISLVLLGVAVCTVTDVSVNAKGFIAAAVAVWSTALQQYYVHFLQKKYSLGSFNLLGHIAPIQATSLLVVGPFLDYWLTRKRVDAYNYGLTSTLFIALSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFILFGREGLNLQVIVGMIIAIMGMIWYGNASSKPGGKESRSSLSIPIPTTKTQDYDLLPVVSAETDHSDEEV >RHN63796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54069448:54070485:-1 gene:gene26547 transcript:rna26547 gene_biotype:protein_coding transcript_biotype:protein_coding MINVGYCNLHYFSLEWMPSLKIARIKIFLSKKRVSCPMFRKIAL >RHN40253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14617237:14618614:1 gene:gene46378 transcript:rna46378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MVEFVGLIKVNVRKGTHLAIRDVVTSDPYVILSLGHQSVKTRVIRNNLNPVWNESLMLSIPENIPPLKVLVYDKDTFSTDDFMGEAEIDIQPLVLAAIAYEKSTANESVQLEKFVESRDNTLVRDGVISLEDGKIKQEISVRLQNVERGVLEIELECVPLIQ >RHN79003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20827624:20833260:-1 gene:gene2679 transcript:rna2679 gene_biotype:protein_coding transcript_biotype:protein_coding MFITVPNISSKQKKNKKTVLPNIVHQFLKPILEFIALELKQKIPLLIVIQKTKHKNSEGKFSHCSFQRFQRLEFLQLIESSSLVISHHQ >RHN43111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43293489:43299087:-1 gene:gene49636 transcript:rna49636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MDPTIKEIINGENPNSFLLTIYSFQIWHNLWNTYQDNATSLASRVKKTDAREIQAYYQQYYEQYVRALDQADQADRTQLSKAYQTAGVLFEVLCAVNKTEKVEEVAPEIIAAARDVQENLEIYAHSYNILPLDAAGASLPIMQFEEIKAAVSALWNTRGLNWPGSFEQQRQRTGDLDMLDWLRAIFGFQKDSVRNQREHLILLLANSHIRLHPKPEPFNKLDDRAVDSVMKELFKNYKTWCKFLGRKHSLRLPQGQPDIQQRKLLYMGLYLLIWGEASNVRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIKPSYGGDDEAFLRKVITPIYRVIEKESKKSRNGKASHSAWSNYDDLNEYFWSLDCFSLGWPMRDDGDFFKSTSDLTQGRKGASRKSGKLGKSNFIETRTFWHIFRSFDRLWTFFLLGLQVMFIIAWDGISIMDIFQKDVLYKLSSIFITASILRLLQSILDLVLNFPGYHRWKFTDVLRNILKVIVCFIWVIILPFFYVQSFKGAPQGLKELLVFFKQIKGIPPLYMLAVALYMLPNLLAAALFLFPMLRRWIENSDWHIVRLFLWWSQPRIYVGRGMHESQYALLKYTFFWVLLLASKFLFSFYVQIKPLVKPTKDIMSIQHVDYAWHEFFPNARNNYCAVGALWGPVLMVYFMDTQIWYAIFSTLYGGIVGAFDRLGEIRTLSMLRSRFQSLPGVFNTCLVPSNKKKGRFFFSKQSSENSASRRSEAAKFGQLWNEIICSFREEDLISDRKDLRDKPFRFITSSLPPLCFDSH >RHN54349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8918376:8919765:1 gene:gene29368 transcript:rna29368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MAANNQLYHISLALVFCLGLWAIQVTSRTLQDGSMHERHERWMNHYGKVYKDHQEREKRFKIFTENMKYIEAFNNGDNNESYKLGINQFADLTNEEFVASRNKFKGHMCSSIIRTTTFKYENVSAIPSTVDWRKKGAVTPVKNQGQCGCCWAFSAVAATEGIHKLSTGKLVSLSEQELVDCDTKGVDQGCEGGLMDDAFKFIIQNHGLNTEAQYPYQGVDGTCNANKASIQATTITGYEDVPANNEQALQKAVANQPISVAIDASGSDFQFYKSGVFTGSCGTELDHGVTAVGYGVSNDGTKYWLVKNSWGTDWGEEGYIMMQRGVEAAEGLCGIAMQASYPTA >RHN54859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12966558:12972710:-1 gene:gene29954 transcript:rna29954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding, chromatin SPT2 MIQAAMRGYDQEDEYEDYDDYEDEQLEEGEGYEEEEGEEYVAEEPRKPTKEELDYLELRQKLKESIRKKMQKQNSTSLADSSGRKKQIQRDNYGSFFGPSQPVIAQRVIQESKSLLENRHLVPMPSNTPQINKSTSKVSNGVLKSSAQKQPPKVNEKLVKAEKLKVTRDYSFLLSDDADLPVPSKEPPSRNTSVRSSVGQAAQVPGKSKPSLSNGGKLVRGSGENRKPVAGARHLAPKPRSNYKLSSTSQASKVSVDSRKQLGNNSVNGPGRPLLPKGMPSKMHVNTSVSKSVTPGMRNPINGVQKPAPSKVPSSVPKQGVDQRRDVREQYKPRTVPKQPVSSSKTQVSKPPLKQIPKRSDLHDQRPKSIVRKRRPDEPVVDDWRREIRNMFGYNPKNYSDDDDDANMEAGFDDIMKEERKSAKIGREEDERERLAEEAAEKEAARRRKLKKRKLGL >RHN63376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50778861:50786348:1 gene:gene26069 transcript:rna26069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative COG complex component, COG2, oligomeric Golgi complex, subunit 2 MADPIPAHHRSTTNLFSDPLDSHPLWFKPTSFLSPDFDSESYISELRTFVPFDTLRSELNNYLSSLNHELIDLINRDYADFVNLSTKLVDVDAAVVRMRAPLVELREKIEQFRGSVDVSLVAIKNGLKQRSEAASARETLELLLDTFHVVSKVEKLIKELPSVPSDWSNGDVNLSEKNPSSNGVSVQQVENGTSVRETQSMLLERIASEMNRLKFYVTHAKNLPFIENMEKRIQNASLLVDASLGHCFVDGLEHRDATAIYNCLRAYAAIDNTKNAEETFRVTVVAPLIQKIIPHGSSAVASGSSGDGLENDYQLIKECVYKDCKFLLDISSAENSGLHVFDFLANSILREVLFAIQKGKPGAFSVGRPTEFLKNYKSSLEFLAYLEGYCPSRSAVVKFRSEAIYTEFMKQWNLGAYFSVRFQEIAGSLDSVLTTSSLVPVQNLDPGEANYQDLKLKQSVSLLESLGLCWREDILALSCSDKFLRLSLQLLSRYSTWLSSGLTARKSHNTSTGTGRGWAVSAAIDDFILVIHDIRCLEAHVRGDYLQHVVQVLSSWSPDVLESVRQSILQSGESLKSLEPLVIKAVVESLVEKSVEDLRQMKGITATYRMTNKPLPVRHSPYVAGVLRPLKMFLDGERISYLASETKNEILLCAATDITDRYYELAADLVTTSRRTESSLQKIRQSAQRRAGTSSGISDNNVSDTDRMCMQFFLDIQEYARNLSALGIEASNIASYRSLWQCVAPADRQNNINF >RHN71501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:587563:595556:1 gene:gene7108 transcript:rna7108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MQQQQRQSNSVQIPQHNWLKHVNSHNNFSSQPQFLTSNFLFTLPTNKPIDRAATTTSIVRQGQNVQSSQRAQVDKAWSALSRLQLSSRSYVPIGKSVKVTPQFHENRTTTSFQGSYENNKLNHPDVAATPTVINHTSRVVDSLVNNHTKYTGQTNESTKCVADTINIDDDDILESMDLDQIVEKYQSTCTPQPPMSKFPPFTPTADKDNFAGQGDTFLPPELCLNCIHGYKLGICPEAASHLQEFKDNLIAISNELIDNVENLSSVQIAKLRQDRSQLNNQIQQLEKYIHSSNLEEERQKSNFVTSMAPPTSFVYETPQRNVISSGPVISSGLNRYDDQVYMDYGTYGTSYQSSCGMPSGPVEREPFIPKIIEVNYIEGSGDKRWSSRDFSWTKELEVNNKKVFGNHSFRPNQREVINATMSGCDVFVLMPTGGGKSLTYQLPALINPGITLVISPLVSLIQDQIMHLLQANIPAAYLSANLEWAEQQEILRELNSDYCKYKLLYVTPEKVARSDVLLRQLESLHGRELLSRIVIDEAHCVSQWGHDFRPDYQGLGVLKQKFPNTPVLALTATATASVKEDVVQALGLVNCVVFRQSFNRPNLWYSVVPKTKKCLEDIDKFIRENHFDECGIIYCLSRMDCEKVAEKLQECGHKCAFYHGSMDPDQRAHVQRQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSVEGYHQECGRAGRDGQRSSCVLYYSYSDYIRVKHMLSVGAVEQSNMTSGYSRFNATNSGRLLETNTENLLRMVSYCENDVDCRRLIQLVHFGEKFDSSNCHKTCDNCVKITSFVEKDVTEIAKQLVELVKLTGQKVSASHILEVYRGSLSQMVKKHRHETVRLHGAGKHLAKGEASRILHHLVVEDFIAEVVKKSDFYGSVSSVLKVNEQKVRNMLFGGQRIILRFPSSVKASKPGKCDSISAKGSLASAKLNLPIDIPDQPETEIDLDLSAKLYTALRMLRTTLCREAGEGVMAHHIFGNATLQQISKKLPRTKEELLDINGISKTKVSKYGDRLLETIAKTINEYYNTDKNSSGSKGSVDSAKRRREANRTPDSNGEDDDALIKSTGRSKKRTVKRQIKKAEIYESEEEDYYHGCLDEDLDCIDIDNVVLDKLTGTNAAGRVLPQWTAS >RHN73902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21396990:21397723:1 gene:gene9794 transcript:rna9794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MHKDNLILMERYHFFNSSCQEFDLNCKSLAELHIDENETDGALARILKVLRHINSKFFDELQGDLVDRDVRQVLSSLRGEVLSGCIIVFSCAFNGHDLRKLRRIAERLGATCLTELGPTVTHAVANELVTEESMWAEKENKFLVNRRWLEASNFFLQKQPEENYIVRKTQ >RHN63427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51208263:51212020:1 gene:gene26125 transcript:rna26125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MEVEGRASNDNSLTLNLEHGQEDKNISNDSYDLSTAHTIDKDSWQQVGLMLVTGFNCGWIFSFSNLIMVPLGWTWGIILLFVIGLYTAYANWLLAAFHFIDGRRFIRYRDLMGFVYGTKMYHLTWTSQFLTLLLGNMGFILLGGKALKEINAEFSDSPWRLQYYIVVTGAAYFIFSFSIPTLSSMRNWLGASAVVTLAYIAFLVSVAVKDGKSNSDKDYSVSGSKVNKVFNSFGAISAIIVTNTSGMLPEIQSTLRKPAVKNMRKALYSQYTVGALFYYGVTIVGYWAYGSMVSSYLPENLSGPRWINVLVNVIVFLQSAVSQHLFVVPIHEALDTRFLEIGKGMHSGENLKRLFLLRMCFYTGNTFIAAAFPFMGDFVNLLGSFSLVPLTFMFPSMIFLKIKGKTARTEKKVWHWINIVVSFLLTIATTISALRFIINNVQKYQFFADV >RHN67501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27751106:27755433:1 gene:gene15694 transcript:rna15694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translocation protein Sec62 MKKSSGGAAEKKRVRRSSAPDPSTDVPPRKQAVKKDVYQVFAEKVRDHKELVSRWAVLQETRVEYFRGKDFVSFLKNHPEVKDILESDRSLETEDIANILLEKNLLVRCDRVVKTLRPGKKKLSTWPAHLEIFPEQAFSENDAFFAWTFVKRHPLWQTLLSFFWPVLTLAICLFPVYPHSCKLLILYSCAGILFLILSLLLIRGTIFGVLYILVGKRIWFFPNILAEEATLRELFRFWPKKDEEERPKWTTRIFYAVVAVLFILLLRHHAPDEAARARYQKRVSNIIDDVLEWSPSLALSGMMDKQNVTNATGSTDAASQGSTTGPEHEAPTDSNDEKSFSEQYNTEEVIENIEDVGEDDKQYD >RHN46002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27654837:27656377:1 gene:gene40435 transcript:rna40435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain-containing protein MVQQSLIYSFVARGMVILAEHTNFTGNFVEIALQCLQRLPATNTKFTYNTDGHTFNYLAHDGFTYCVVGVESFDRHIAMAFLDRIKEDFTKRYGGGKAATATSKSLNKEFGPKLKEHMQYCVEHPEEVSKLAKVKAQVSQVQDVMLENIDQVLNRQVKIDVLMDKTDNLRDQAQVFRREGGQLRRKMWFQNMKIKLIVLAIIIVIILIIVLLVT >RHN60838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30789168:30793348:-1 gene:gene23223 transcript:rna23223 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-ascorbate peroxidase, cytosolic MGKSYPTVSADYQKAVEKAKRKLRGFIAEKKCAPLMLRLAWHSAGTFDSKTKTGGPFGTIKHQAELAHGANNGLDIAVRLLEPLKEQFPIISYADFYQLAGVVAVEVTGGPEVPFHPGREDKPEPPPEGRLPDATKGSDHLRDVFGKAMGLSDQDIVALSGGHTIGAAHKERSGFEGPWTSNPLIFDNSYFTELLGGEKEGLLQLPSDKALLSDPVFRPLVEKYAADEDAFFADYAEAHQKLSELGFAEA >RHN76175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48250130:48258429:-1 gene:gene12461 transcript:rna12461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase (ATP-hydrolyzing) MDENSESPIESKKSKSKTPRKPKETVLKQKSPAEFFAENKNIAGFDNPGKSLYTTVRELVENALDSAESISELPVVEITIEEIIKSKFNSMIGLVDRERVDAALYDDFETAKAREKRLAKEARAQELQAKNAALGKKVKDTPASKGMKGRGEASFYRVTCKDNGKGMPHDDIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEISSSMKKQNYISFCRLDIDIHKNIPHVHLHEKRENNQNWHGAEIQVVIEGNWTTYRSKILHYMRQMAVITPYAQFQFKFVSDAPDKNVTVRFARRTDVMPPIPLETKHHPSSVDLLLIKRLITETSKQNLLQFLQHEFVNISKPHAERLIGEMGPDFSSKMAVKSLTSQQLVRIHQLFRQAKFDDPSGHCLSPAGEYNLRLGIIKELHPDMVATYSGSAQVFEGHPFIVEAGVSVGGKDVKQGLNIFRFANRIPLLFEQGADVVTRTALKRINWSSYKINQVQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEIASAVKSAIQQCCIQLKSKIMKRIQAREQQERKRNLSRYIPDASGAFYNVLKQMLPLNPSKKSRYGDDDVELLRKISEDLVTKETFSEKLAKHVEQVDYEMALEFATQSGVSEEPRETIYIQALDAENKTIDLHAPIFVFRVFQ >RHN81226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44200683:44206787:-1 gene:gene5239 transcript:rna5239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperonin Cpn60/TCP-1 family, groEL-like apical domain, groEL-like equatorial MYRFASSLASKARIARNNVQQVGSRVAWNRNYAAKEIKFGVEARALMLKGVEDLAEAVKVTMGPKGRNVVIEQSFGAPKVTKDGVTVAKSIEFKCKVKNIGASLVKQVANATNDVAGDGTTCATILTRAIFSEGCKSVAAGMNAMDLRRGINMAVDAVVTSLKSRARMISTSEEIAQVGTISANGDREIGELIAKAMEKVGKEGVITIADGKTLHNELEVVEGMKLDRGYISPYFITNQKNQKCELEDPLVIIHEKKISSLNAIVKVLELALKKQRPLLIVAEDIESDALATLILNKLRAGIKVCAIKAPGFGENRKSGLQDLAVLTGGQLITEDLGHNLEKVDLEMFGSCKKITISKDDTVILDGAGDKKAIEERCEQIRSAVENSTSDYDRDKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASNELSKLSTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDNPDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTEAIVSDLPSEDKDGPAMPAGMGGMGGY >RHN62124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40935759:40953277:-1 gene:gene24659 transcript:rna24659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative uridine kinase MDDEVVQRVFHEGGRDYFQQQPSTSSSSILQSLPLHVSFDHGYYLLVKSIQELREKKDGLVTVGIGGPSGSGKTSLTEKVASVFGCTVISMENYCDGVDEGNVLDSIDFGTLIKNLEDLTKGDDTLIPEFDYQQKRRVGYKKITSASSGVVIVDGTYALHAKLRSLLDIRVAVVGGVHFSLLSKVRYDIGDSCSLDYLIDSIFPLFRKHIESDLHHAQIRINNSFVSSFREAIYKVKCRSKSSDGHPGSSFQGNQAQTDNFIEMYLRPPSASEEAGVNDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYIVVVSYKRASTTVNYGKVSMSFETIDVLGETFMVMRGTDRKTVGKEALRMGIDGPWITKSYLEMILERKGVPRLSTPPLVPNRAVAGSQETAVVAPKPIRVTPNLVTGLEDLSQPWTRSPTKSKIEPFVATWHFTSPDSSHIDNTVLDPSSFRDTIRLAPMPDSYDLDRGLLLAVQAIQALLENKGVPVIVGIGGPSGCGKTSLAHKMANIIGCEIVSLESYYKQGKDFKYDDFNSLDLSLLSKNIDDIRNGRRTKVPIFDLESGARSGFKELEVSEDCGVIIFEGVYALHPDIRMSLDLWIAVVGGVHSHLISRVQRDKSRVGCFISQNEIMMTVFPMFQQLIEPHLVHAHLKIRNDFDPVLSAESSLFVLKSNKKVAYQDILAILDPAKFCSSVQKFIDIYMRLPGIPSNGQLTDSDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISITTVAGLLNLGYQAVAYIEASAFIYQDGKILIEVDHLQDVPGPYIQIKGVSKDAVAAAGSMLKLDGSYTTKSYLEIVLERLPTIERSSGGINFQQSTRLLEIVDFIQSQGCSSSSESSSSRVVSPIEGIIEEMQSKIRRLERWLAINTVLWTFLMSAIVGYSLYQRRRR >RHN48398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47223415:47226116:-1 gene:gene43110 transcript:rna43110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polar-amino-acid-transporting ATPase MKPMSHLSPTPPDQVSHHDMLPFFNQSMELVPNPQRTRTHVSPTLGELLKRVEDAQNDNKNPQPHHVLDLSSSSSSTTIPPPFFLSFTNLTYSVKLNKKMTCFSSKKSALPTDEEPEITKPNGTKILLNDISGEARDGEIMAVLGASGSGKSTLIDALADRISKESLKGTVTLNGDVLESSLQKVISAYVMQDDLLFPMLTVEETLMFSAEFRLPRSLSKSKKKARVQALIDQLGLRNAASTVIGDEGHRGVSGGERRRVSIGTDIIHDPIILFLDEPTSGLDSTSAYMVVKVLQRIAQSGSIVMMTVHQPSYRILGLLDRLIFLSHGQTVYSGSPANLPSFFHEFGHPIPENENKTEFALDLIRELEENPGGTKSLVEFNKSWQLKYKPASVTAVNGPKMSLKDAISASISRGKLVSGTNGGNGNGNSIASSVATFANPFWIEMAVIGKRSLLNSRRMPELFGIRLGAVLVTGGILATIFYRLDDSPKGVQERLGFFAFAMSTTFYTCAEAIPVFLQERYIFMRETAYNAYRRSSYVLAHSIISLPALIFLSFAFSVTTFWSVGLAGGTSGFLFYFFTILASFWAGSSFVTFLSGVVSHVMLGFTVVVAILAYFLLFSGFFISRDRIPPYWIWFHYLSLVKYPFEGVLQNEFDIKPPRCFVKGIQMFDNTPLGDVPGSLKVELLKSLSSTLGTNITSSTCVVTGADILKQQGVTQLSKWNCLFITIALGFFFRFLFYLALLFGSKNKRK >RHN82787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56426962:56428506:1 gene:gene6974 transcript:rna6974 gene_biotype:protein_coding transcript_biotype:protein_coding MDNERQFRESGGSVSHVDPSYTHSIMSKHGCSVSEECFEDDDDDSEDDYASIQRPAFQVDGEPNFDSGPPEDGWEYLRRVRWEAHQIPKVKVSKLDRSKLNKEQSPYMPQIPDIAKCPEHLLPLKQWEDIFLAEFSVLRENLYSLEGLSATQSGNLQSLISSKLPGNNFGVMNRDVLLHNNASIGKADQPSNLTAKDEDSTMPPENPVLKRSIDQTSSSCSTPLVSAILGMDSVARVSMLLKRIRLLEPENTITRIDCMWLFALCAAVDAPLDADTCAALRSLLRKCASIRAGKAELDDEVVMLNILATISGRYFGQSEN >RHN56929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34931990:34932370:1 gene:gene32398 transcript:rna32398 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRCCDGVVFELEDALVYSSSTVKKLIVENISSRGCFGGCLFGSGQGENIEISFVEEISSETLLKINEYVKKHAEAGDNEKSLRNWDLEFIEVDRHALFALVLVSYFCFSHVTVILIFLHVTSTC >RHN49190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53183562:53190362:-1 gene:gene43996 transcript:rna43996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative importin-alpha, importin-beta-binding domain, importin subunit alpha MSYRPNANARTEVRRNRYKVAVDAEEGRRRREDNLVEIRKNRREESLQKKRREGLQPQQMPASVQSNLLEKKLEHLPALVTGIWTDDNNMQFEATTQFRKLLSIERSPPIEEVIQAGVVPRFIEFLMREDFPQLQFEAAWALTNIASGTSENTKVVIEAGAVPIFVKLLASPSDDVREQAVWALGNVAGDSPRCRDLVLGHGALLPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPAFDQVKPALPALASLIHSNDEEVLTDACWALSYLSDGANDKIQGVIEAGVCSRLVELLLHPSPSVLIPALRTVGNIVTGDDLQTQVIINHQVLPPLTNLLINSYKKSIKKEACWTISNITAGNKQQIQDVIDANIIAPLVNLLQNAEFDIKKEAAWAISNATSGGSHEQLKYLVSQGCIKPLCDLLTCPDPRIVTVCLEGLENILKVGEADKNAGITDGVNRYAELIDEAEGLEKIENLQSHDNNEIYEKAVKILETYWLEEDDEAMPPGDASQSGFNFGGSEVPAVPSGGFNFN >RHN57284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37825441:37826763:-1 gene:gene32807 transcript:rna32807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vinorine synthase MEIELLSRETIKPSSPTPSHLKLYPLSFIDNIIFRNYAPLLYFYNPNKSIDQNSKISQLRKSLSQLLSKYYPFAGRIKDRITIECNDQGVLFLVTKIKNKLSEILQNPTEKLLNPLFPDELQWKEMDWRASFIAIQINWFTCGGMVISICMTHKIGDASTIFKFMNDWAIINQKIEEDKKELLVSPLSLLDAGATIFPQRDLPIFPEMVIKKENNVVFKRFVFQPAMIKSLKAMVTSSSMHSPTQVQVVTAWIYKHAVSIMGLNFQTAMFSMIVDLRRRMVPPLSEHCVGNIFWFSSMLANKKEMELEDLVCQIKEGLSECCNVYPKLFREKENNNISECLKQVTEPYSENKNLFTFSSWCRFPMYEADFGWGKPIWITTTGLSSRNIIFLMDTRDGDGIEAIVNMEDNYMAKFEHEFELLQYASFNPNNARHDVIPSKL >RHN66228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9888736:9889245:-1 gene:gene14171 transcript:rna14171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKKKKERKVNQRGTSTSSTADWSALPMELVSLISQFIDNEIDLIRFRSICSNWRSSSIPNHHRQTSPILFPLVHDSRNYHLSEKEIIDSLNNTNSTFYYLSKRTLVLVRPPQHGKTPIHRRPWLIRVTQNSHGKTKFFDPFISSYRRGQEQTPIPPHVLDFNKLSILYL >RHN81668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47951794:47952585:1 gene:gene5742 transcript:rna5742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MKPNTLFLKPRNPNLKKKNMNSSTICSLFLGLILISQSPFAANARVLGLGGGGGRGPLVSLLCAASSNKVECNKVLSSPQITQAKNYKQLSKAVIEMALKKAVEGQAFLKGLAQKNPKLETCAGFAYDGVVGSFKSCLGEITEDPQTASYDCGVVGDGPTQCDRIMADAHIVNPAITALNKQILFLSSLASRAVDKL >RHN73837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20526894:20527605:1 gene:gene9719 transcript:rna9719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVVILKFVSTLILFLSFLSIATGARRIRRLNCNSDDGCPNNYCTPPHFGKCVSKQCVCMKLAWYTKP >RHN75327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41306326:41310946:-1 gene:gene11509 transcript:rna11509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MYKRRFNTKPLYVADREEPEPELKLEPILEDGPASPSSCEDTKIEESSPKKRREMKKRVVTIPIADVEGSKSRGETYPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPTNLLVTYAHEHNHSLPLPKSHHSSTNAVTATVTAAVDTPSPVESPAATPQPEDRPIFVTHPDFDLTGDHHAVFGWFDDIVSTGVLVSPICGGVEDVTLTMREEDESLFADLGELPECSTVFRQRNIPSVFQYSGITG >RHN81789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48890196:48894352:-1 gene:gene5877 transcript:rna5877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA reductase MWPFSKKGVSGFSGNSTAEKVTHGIDASGLTAIVTGATSGIGVETTRVLALRGVHVIMAVRNKVAANDINEAILKEIPSAKVDVMELDLSSLESVKKFASEFNSSGLPLNILINNAGIMSCPFMLSKDNIELQFATNHLGHFLLTNLLLDTMKKTAHQSKKEGRIVNVSGEAYTFAYSEGIRFDKINDQSSYNKQSAYGQSKLANILHANELARRLKDDGVDIIVNSLHPGIILTNLSRHMGVIHGIIQVIGKLVMKNVQQGAATTCYVALHPQVKGVSGEYFSDNNVAKRESQGRDADLAKKLWDFSMNLITKE >RHN68494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35974723:35976525:-1 gene:gene16856 transcript:rna16856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MLCFGNHLNYLVCACGFSLEMDPEGKLLMNRYEFRKQLGQGNFAKVYKARDLRTGDRVAVKVIDKEKVLRAGMMVQAKREIETMRRVKHPNVLRLYEVLATKTKIYLILEYAKGGELFLKIRKISYNQARQYFQQLVSALDFCHKKGVYHRDLKPENLLLDENGVLKIADFGFSTFIESHRRNNMLQTMCGTPMYVAPDVLHGKGYCGEKADVWSCGVILYVLMTRYYPFYDRNLMEMYRKSNKGEYKCPDWFSVEIRRLLSQILNPNPDSRISTAKIMESRWFRKGFTFGKCSTIVENEIAVVEPNQELVQPKYLNAFHILSLSAGLDLSVFFASNNDDEIEDIKFTSKSSASSIISTMEDIAHMLNMKIVKNNGEMLKLEREQDLRKRPLTISTEIFEFAPSFYLVEIKKYSGDASEYQKILKEHIIPVLKDIIWIWQSEKKTQH >RHN72169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5518988:5519610:1 gene:gene7851 transcript:rna7851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MMASTKIIIGHIVISLFFLFASTQCEVKSLNVSTLCIKEERVALLNIKKDLNDPSNCLSSWVGEDCCNWKGMVPTDLGNLSNLHHLDISSSDSSVWVRDLSWLSALSSLQYLGMDYVNVTNSSL >RHN71028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55900005:55904396:-1 gene:gene19669 transcript:rna19669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellular retinaldehyde binding/alpha-tocopherol transport, CRAL/TRIO MSELLLGNDERRESSEDDKWKRIGSLKKKAINASCKLRHSLKKKRSSKSSGSRSNSLSIEDVRQVEELNAVDAFRQTLLLDNLLPSIHDDYHMLLRFLKARKFDIEKAKLMWANMIQWRKDYGTDTIIEDFDFKELQEVQKYYPHGYHGVDKEGRPVYIERLGKVDPNRLMQVTTMERYLRYHVQGFEKTFSVKFPACSIAAKRRIGSSTTILDVQGVGFKNFTKSARELIIQLQKIDSDYYPETLHQMFIINAGPGFKLLWNTVKTFLDPKTTSKIHVLGNKFHSKLLEIIDASELPEFLGGSCTCVDHGGCMTSDKGPWQDPNILKMVLSGKVQCSKQIVTISNDGGRVIECDKTSFPKIRSGYTSTGESVSEVEDITSPKACGNSMNPILTHVQEEASDGTLITRLHQTEMFDECVPMVDKTIDVGPKEKQAAPRNSFGSKENLLLVTRTVTVGVVFALFAFVRTLAFRVTKGVKREELATGFTKPNSSSSSTLKRLSELEKNVEILQSKLNVMPSEKEEQLNAAVYRVDALEAELIATKKALFEALMRQEELLAYIDSREKSKFKCCW >RHN46597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33044799:33046463:-1 gene:gene41101 transcript:rna41101 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:UGT73P17 MENQATMESQQSNNKLHVVFLPYPSAGHMNPMIDTARLFAKHGVDVTIITTHANASRFQKSIDSDISLGYSIKTKLLQFPANEVGLPEGIENTIDATSLEMLVKITIGVRMLQQSIEVLFKELQPDCIVTDMKYPWTVESAAKLNIPRIDFYSSSYFSYCAIYFVRKYKPHYNLVSETQKFTIPCLPHTIEMTRLQLHNWERENNAMTAIFEPMYESAERSYGSLYNSFHELESDYEKLFKTTIGIKSWSVGPVSAWANKDDERKASRGHIEKSLGKHTELLNWLNSKENESVLYVSFGSFTRLPYAQLVEIVHGLENSGHNFIWVIKRDDTDEDGEGFLQEFEERIKESSKGYIIWDWAPQLLILDHPATGGIVTHCGWNSTLESLNAGLPMITWPIFAEQFYNEKLLVDVLKIGVPVGAKENKLWLDISVEKVVRREEIEKTVKILMGSGQESKEMRMRAKKLSEAAKRTIEEGGDSYNNLIQLIDELKSLKKSKALCNKQD >RHN50486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7535844:7536543:-1 gene:gene34769 transcript:rna34769 gene_biotype:protein_coding transcript_biotype:protein_coding MCQQQFSLLIMKTPMTFLLSHHFYSKVVKLSNTIAFLIYNLYFWILLCKLKTLIFVLHIKISRSHPMLFVLFQISECKKARALQTDLERMTNY >RHN58587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3028025:3036760:1 gene:gene20510 transcript:rna20510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monosaccharide-transporting ATPase MEGSDIYSASNSLRFSMRSSSTTGWRNGTMEAFAKSSRREEDDDEEALKWAALEKLPTYNRLRKGLLATSRGVANEVDITDLGFQEKQKLLDRLINVAEEGNEKFLLKLKERIDRVGIEIPAIEVRYEHLNVEAEAFVGGRALPTLLNSVTNTVESILISLHILTSRKKQMTILKDVSGIVKPRRMTLLLGPPSSGKTTLLLALSGKLDPNLKVSGRVTYNGHGMDEFVPQRTAAYISQHDVHIGEMTVRETLAFSARCQGVGSRYDLLAELSRREKEANIKPDPDVDVFMKAMATGGQQESVATDYVLKLLGLDVCADTMVGNEMLRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVRSLQQYVHILNGTTVISLLQPAPETYELFDDIILISDGQIVYQGPREHILEFFESVGFKCPERKGAADFLQEVTSKKDQEQYWVDREKPYRFVTVTQFAEAFQSYHVGRKTGDELAIPFDKSKNHPAALTTKKYGVNKKELLKANFSREYLLMKRNSFVYIFKICQLLLMATIAMTLFLRTEMHRDSLNGGGVYSGALFFAVVMIMFNGMAELSMTIAKLPSFYKQRDLLFFPSWAYAIPTWILKIPITFLEVAAWVFLTYYVIGFDPNVTRLLKQYLLLLLINQMASGLFRAIAALGRNMIVANTFGSFALLALLTLGGFVMSRKDIKSWWIWGYWISPLMYGQNAIMVNEFLGDSWNHFTPNSNKTLGIQVLESRGFFTEAYWYWIGIGALTGFMFLFNILFTVALTYLDPFDKPQATINEESEDSTTNGTTQEVELPRIASSGGSNGADPSQRERRGMVLPFEPHSIAFDDVVYSVDMPQEMKVQGVLEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGSIKISGYPKKQETFARISGYCEQNDIHSPHVTVYESLVYSAWLRLPADVDSNTRKMFIEEVMELVELNPLRNSLVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGRHSSQLIKYFESIEGVSKIKDGYNPATWMLEVSSSAQELTLGIDFHHAYKNSELYRRNKQLIEELGKPAPGSNDLYFSAQYSQSFLVQCLACLWKQHWSYWRNPPYTSVRFFFTVFIGLMFGTIFWDLGRKYSKRQDLFNALGSMYTAVLFLGVQNSSAVQPVVAVERSVFYRERAAGMYSALPYAFAQVLIELPYIFVQAASYGVIVYAMIGFEWTVAKFLWYIFFMYCTLCYFTFYGMMAVAITPNHHVASIVAAAFYAIWNLFSGFIVPRPMIPVWWRWYYWGCPVSWTLYGLIASQFGDITKIMESENESVQEFIRSYFGMKHDFIGVCAVVVVGTAVLFACIFAVSIKVFNFQRR >RHN80368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37472934:37475429:1 gene:gene4283 transcript:rna4283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MRIRHLHLKLKAFRLRRFLLRFLGRKRRLMPAKKPSWMTPVTHGYQVVEHHMIKDGSDYSDFDSVVVQREQMDQTELWYFGIFDPLVGDKVTKYMQSYFFAKKLQEAQIWRKSKEMMKRAYLGVRAKMREEHRYEETCRMGSASVMVINGEKLVIANIGNYRVVVCKDGMAHQKTDTYQQSAKRHWSRRIFSAYESGNAVANRQSSSSELVIRSESIDSDTEFLILASNGIWEVMKNQEAVNLISHIEDPQEAAECLANEALNRMSKSNISCLIIRFD >RHN44753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8137618:8138701:-1 gene:gene38914 transcript:rna38914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MKTPPNMFMLLSLTLFFLSSIYFSKSNASDDFFCNADDKAALLKIRDHFGGPNGRLDDWDNNTECCDWNFVGCGRPYPGRVTGVTIGRGWGLSGTLPAEFGDLPYLSMLSLAEMPKVTGPIPNSFSKLKRLENLDLGSNSLSGPIPEFLGKLKRLQEVDLSNNKLSGAIPASLDNLQSLSQFNVSFNQLCGAIPTGLSKFAKSSFEHNKCLCDAPLAPCKGGLASTK >RHN74192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30048875:30050657:1 gene:gene10207 transcript:rna10207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, nucleic acid-binding, F-box associated interaction domain-containing protein MVKSVTPNAISTLLTNPSTDSSSILPEFVVQVVNPQQIGNKYLFSASDGKTKLKVIIPTNQDTEVSSGKTKNLDLIRIVDYILDDIPQTSEKCIIVRKFEHVCAIKNEHTGIRISDDKPLKVYSRRKSDRKMASDNHAEQNKAIGTCLFNNAAVAASNLFKKAAGIDDDVKIVEHSCSRKRLRHSKNDASMGDDVKIEKRTRSRKRLSLFLPEEIIVNILLRLPVRSLLQFKCVCKSWKILITDPQFAKNQFLSSTENPQLVSSHFGLAKCEIISYPLKPLLENRLTIVKPVRPVIFSAGYQIMILGSCNGLLCLYEQSHFKLWNPSLKLESKRSPTIVCFEDYDLTFRGFGYDKVNDRYTVLVVVRNRYNSKEIVTIIYTFGENSWTTVQNFSCDHYCNSNEWEGKFVSGTVNWIVNKDLNSPNQEVIVSFDLDKKTYGEISLPQYDGDNFRNPALHVLSNCICVSFDHPKETHWVVWMMKKYGVVESWTKLKIIPQNKLTPDIHRDTLFVSDNGVILLALETGKLVVYRLNNNGRLNYQKILGNASMNPHIHHESLISPQR >RHN42072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35215030:35219319:-1 gene:gene48463 transcript:rna48463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MERIFVKNDLCLLIVVVALIVVKELEGCLEKEKLGLLDLKTFLISNSGSTYNNLTSWDDKSDVDCCLWERVKCNHSTGHVIDLLLGGVTIPTDTTDDWLLNFSYFLPFNQLVHLDLKANYLDGWVEIEGLCEMKNLQELDLSRNRMSGDFPHCLSNLTNLQVLDLSSNNFVGNIPSFITSLKSLEYLSLFDTNFDGIFSFSSLTNHSKLEVFLLSRMKNNKLHVETEENPSWHPTFQLRVLQLKNCFLNSRRNGTFPTFLLNQHELQLLDLSHNKLSGNFPSWLIENNTKLETLYLMNNSFTGTLELPTFKHGLLDLQISNNKIGSQLQENIGKIFPILNYVNLSKNSFEGILPSSIGEMQTIKTLDLSNNNFSGEFSSHLISNLTSLRLLKLSHNSFHGPVPLLSKLARLRWLYLNNNSFSGEIEDGVSNKSSLNSLDISNNMISGRIPRWIGSFTKLSVLSLSKNQLQGDIPNELCNLFSLNYLDLSENNLSGILPYCFNNFKYMKFLYLQKNSLQGNIPHALSQLTQLTSLDLRDNNFFGNIPQWINRLSMLRVLLLAGNKLTGPIPIYVCELEHVKIMDLSHNWISETIPSCINNISFRMVEYESTAIGSASVEYDDDSKDKIQYYENTAVAFIFRMDEIWFVPGNTFHILYNSSLSLNHPSVDTYMISYETIEVEFRTKSYYLSYKGNNLNLMTGLDLSSNYLSGSIPPEIGELREIIALNLSRNRLSGSIPGTFSNLINIESLDLSYNNLSGAIPQNLTDLYSLAIFNVSYNKFSGTIPTTMQFANFDENNYRGNSDLCGSVINIICNHTSIFPPASTTQHQTAIDIESFYWSCAASYVSVIIGLAVILWVNSHWCRAWFHYVDLCIFYCFTRCFKNVFH >RHN48028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44381223:44381956:-1 gene:gene42697 transcript:rna42697 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKNSSNSKVQYGKTTKVVSLEQHVPRQKLMNFPTKKGHRIKGNTTTATLRDDEYIGKSKLETPLDNDETFNNFIKRATYKIRTVTMSKSNIDREQNNNTAPAAPDHEANGNNSYKENYQRDQFDDFIQIAKKKMRASSSIRNNSFLKKP >RHN80476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38409033:38413612:-1 gene:gene4408 transcript:rna4408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial import inner membrane translocase subunit Tim21 MFRIRRILSYRALASCTRNALSSSSQPRSLPRSNAPILPPPFFLDVGIAENYGSTSLTRFMSSNASSEQGKNTEKTKKELKNVEDPFDDAPTYNIPEKPVTFVEGASYSLVILAGLGVAAAAGYSVFKELIFQPKEYKIYNKALKRIQDDGQVRGRIGSPITGYGQESRNRAARQRIPNRVWTDEEGVEHVEVNFFIRGPHGHGKVFAEMFKGADGEWSYTYLIVEIRAPSPAQLILESYIPSYNPNK >RHN67724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29755582:29756013:-1 gene:gene15944 transcript:rna15944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MKKINEISTLCGVEACAIISEQNNPRVEVWPSDSGVRSVISRFRSLPGYEQCKKMVDQEVFLKQSIGKVYEQLKKQREETRKMEMTNIIDHYIQTIEFNGNSMSKSDLNDFSSFIDENLKEVNLKMKEMTIKDQKEVGNGVGL >RHN49623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:56016195:56017668:-1 gene:gene44472 transcript:rna44472 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSSGGFEFQMPLHYPRYTQADYEIMPEWRLDCLLKQYGLPIIADLQQRRIFAMGAFLWPHSS >RHN62798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45917171:45924266:-1 gene:gene25407 transcript:rna25407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein methyltransferase MLCCCWVPLPCHCTYKISVSTFPSKIHKTTAFMDAGGSDSNGREYKTADEMWKEQTGDPNKKTLWYRQGVSYWEGVNATVDGVLGGYADVNEPDITCSDDFLKIILSERFNADAKTQPLVALDCGSGIGRVTKNLLIRHFNEVDLLEPVSHFLEAARETLTSGEYTNSDMHKAVNFYCVPLQDFTPDVGRYDVIWIQWCIGHLTDDDFITFFKRAKVGLKPGGLFVLKENIARSGFVLDNEDRSVTRSDPYFRELFSRCGLHVYKSKDQKGFPQDLFAVKMYALTTEAPKKTHRTRSKTQSNRPRTIVY >RHN73505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17205146:17205406:-1 gene:gene9324 transcript:rna9324 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNRELYKKNCRLMKENEKLRRKAEFLSEEKQTLLYQLKQKFSNGASNSKTNARSNMLDLNLDLSLGSASSQNPSSNN >RHN64314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58083865:58084437:1 gene:gene27118 transcript:rna27118 gene_biotype:protein_coding transcript_biotype:protein_coding MDDETISRIVTEISDLRETHHTENPQPLSEQSLSSLQTLLNHSQPLDPLYDAVSPSHLIPPIDTTMDSSPPPHSLLASHVFISFLPKRPRFHSLHYSLLSLIPSLPPSCFQKHGAKSCGR >RHN49238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53497013:53497628:-1 gene:gene44049 transcript:rna44049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MEAKMVEVGTAYVLKNILTTREIGPPMLPNGEYGTGFNPDIPYTLSSWLTEEDDLAYFTLELTAPWTGVKTLVPVKFITGELDMVYTSLGMKEYIYTWRRNEGRCTEFRGSDCTKRSGSL >RHN80766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40727845:40736872:1 gene:gene4731 transcript:rna4731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, EF-hand domain pair MVRAVPNTVNPHARTGVRIVVAGDQGTGKSSLISTASTENFRPNVAPVLPPTTLAVDLYPDRVPITIIDTSSRVADSNRVAEELQRADTVVLTYACDRPETLENLSTVWLPHLRNLEVNVPVIVVGCKLDLRDENQQVSLEQVMSPIMQQFREIETCIECSASRLIQVPEVFYYAQKAVLHPTAPLFDQETQTLKPRCVRALKRIFILCDSDGDGALSDAELNDFQVRCFNAPLQPAEIVGVKKVVQDKLSEGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNDEIKLADDAVPPLKRTPDQSVELTHEAIDFLKTTFESFDADLDGMLRPRELEELFSTAPESPWIGNLYEDAVERNAFGGLSLDAFLSEWALMTLLNPSFSLENLIYIGFPGEPLSAVRVTRKRRVDRKKQHSERNVLQCFVFGPRKSGKSAILNSFIGRPYSEAYNPTNEDRYAVNVVDISRENRKYLVLKEIPEGEVARLVADKESLASCDIAVFVHDRSDESSWRVSYDLLVQIAGHGENTGYEVPCLIVAAKDDLDPFALAIQESTRVSQDMGVEAPIPISVKLGDFNSLFRRIVTAAEHPHLSIPETEAGKTRKQINKILSRSLLCVSVGTAVAVVGIGAYRIYAARKSASG >RHN55232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16304480:16311225:-1 gene:gene30377 transcript:rna30377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEAVLEIVLGSLSELIRKEISLFLGFDQEFNRLASLLTTIKATLEDAEEKQFSDSEIGRDVKDWLLKLKDAAYTLDDIMDECATEALEMEYKASKCGLSHKSSFLSSFHPKHIAFRYKLAKKMKRIGVWLDDIAAEKNKFHLTEIVRERSGVVPDWRQTTSIVTQPLVYGRNEDKDKIVDFLVGDASEQEDLSVYPIVGLGGLGKTTLAQLVFNHDKIVNHFELKIWVCVSEDFTLKRMTKAIIEGATKKSCEDLDLELLQRKLQDLLRRKRYLLVLDDVWNDKQENWQRLKSVLACGGKGASILVTTRLPKVAKIMGTIPHHELSRLSDEDCWELFKQRAFGPNEVQQKELVIVGKEIIKKCGGFPLAAIALGSLLRFKREEKEWLYVKESKLWNLQGEAYVMPALRLSYLHLPVKLRQCFSFCALFPKDEIISKQLLIDLWTANGFISSNQMLEADDIGNEVWNELYWRSFFENTENVGFGQITIFKMHDLVHDLAGSVTQDVCCITDDNSMRTMSEETRHLLIYNRNSFAEANSIQLHHVKSLKTYMEFNFDVYEAGQLSPQVLNCYSLRVLLSHRLNNLSSSIGRLKYLRYLDISEGRFKNLPNSLCKLCNLEVLKLDGCVSLQKLPGGLTRLKRLQNLSLRDCDSLTSLPRQIGKLTSLNTLSKYIVGEERGFLLEELGQLNLKGQLHIKNLERLKSVTDAKKANMSRKKLNQLWLSWERNEVSQLQENVEQILEALQPYAQKLYSFGVGGYTGAYFPQWISIPSLNDLKSLELVDCKSCLNLPELWKLPSLKYLKLSNMIHVIYLFHESYDGEGLMALKTLFLEKLPNLIGLSREERVMFPRLKALEITECPNLLGLPCLPSLSDLYIQGKYNQQLPSSIHKLGSLESLHFSDNEELIYFPDGILRNLASPLKTLGFHRHSKLKMLPTEMIHIHALQQLYINDCRNIEELPNEVMQRLHSLKELDIVGCDKLKLSSDFQYLTCLETLAIGSCSEVEGFHEALQHMTTLKSLTLSDLPNLEYLPECIGNLTLLHEINIYSCPKLACLPTSIQQISGLEILSIHDCSKLEKRCQKEIGEDWPKIVHVQYIEIENDNLIHGGHGGSYFEADTGFLWHSL >RHN50824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10848195:10849876:-1 gene:gene35159 transcript:rna35159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylethanolamine-binding protein MSGSSRDPLVVGGVIGDVLVPFQSSIPIRVSYNGKELNNGCEFKPSQVVNQPRVSVGGDDLRNFYTLIMVDPDAPSPSNPNLREYLHWLVTDIPATTGPTFGHEVVPYESPRPSMGIHRIVFVIFRQLGRETVYAPGWRQNFNTREFAELYNLGLPVAAAYFNIQREHGSGGRRL >RHN41606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31451839:31453663:1 gene:gene47948 transcript:rna47948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTIIIKFVYIMILLFFPFLVVSQIFPKWCLYDKDCPQNMCRPGRIPKCIFGHCNCVKQRS >RHN59483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11156067:11159794:1 gene:gene21514 transcript:rna21514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Galactose-binding domain, SUN domain-containing protein MHRSRKALLETRASLLHNHPIDISSSSSGKSFNFYELSLVFVLWGLLILFSLWISYTDGSEELSVGLSKWNEVNHGFCEISDTADKYFIKEIDACFPSEALIYSKAGDAEANGLVNESHNGRESGAYAVPADINKENTDSANREDHVVENSEYAVKHENDVKKSDILSRAVPLGLNEFKSRAISSKVKSGTGQSRSVIHRLEPGGAEYNYASASKGAKVLGSNKEGKGASNILSRDKDKYLRNPCSVVGKFVIMELSEETLVDTIEIANFEHHSSNLKDFEIHGSLNFPTNVWDLLGNFTASNVRHAQRFVLKEPKWVRYLKLNLQSHYGSEFYCTLSVVEVFGVDAVERMLEDLINTQDNLLASGEGNADKTILPHPDPAVIEHVHKKPLEGINSVPASDISSSKHETANIKVPDPVEEIRQQVGRMPGDTVLKILMQKVRTLDVNLFVLERYMEDLNSRYVNIFKDYSKDTGEKDIVLQKIKEDIKNLIDHQDVIAKDASDLISWKSQVSSQLNHLIQDNAVLRSEVEKVREKQVTLENKGVLVFLLCCIFSLIAVLWLSLNFAKNVYRAISVDRTNNSRKFCAGSSSSWFLLLLSCIIIIFILIL >RHN70886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54742319:54748596:1 gene:gene19518 transcript:rna19518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & lipid binding HD-SAD family MMAVSSGCKDGSKIAMDNGKYVRYTPEQVEALERLYHECPKPTSLRRQQLIRECPILSHIEPKQIKVWFQNRRCREKQRKEAGRLQAVNRKLTAMNKLLMEENDRLQKQVSHLVYENTFFRQHTQNTTLATTDTSCESVVTSGQQHPQPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGSPGVAARACGLVGLEPARVAEILKDRLSWYRDCRTVDVLNVMSTGNGGTIELLYMQLYAPTTLAPGRDFWLLRYTSLLEDGSLVVCERSLNNTQNGPSMPPVPHFVRAEVLPSGYLIRPCEGGGSIIHIVDHMLLEPWSVPEVLRPLYESSMLLAQRTTMAALRHLRQISQEVSQPSVTGWGRRPAALRALSQRLSKGFNEAVNGFTDDGWSMLDSDGIDDVTLLVNSSPSKMMGLNLGYNNGFPSVTSSVLCAKASMLLQNVPPAILLRFLREHRSEWADTSIDAYSAAAIKAGPCSLPGARTGAFGGQVILPLAHTIEHEEFMEVIKLENMGYYRDDMTMPGDIFLLQLCSGVDEHAVGTSAELIFAPIDASFSDDAPILPSGFRIIPLESGTDAASPNRTLDLASALEVGTTGNKAGGDNSGHSGITKSVMTIAFQFAFEAHLQDNIAAMARQYVRSIVASVQRVSLALSPSRFGSQNAFPLPPGTPEAQTLTRWICNSYRFYLGAELLKCEGSESILKSLWHHSDAVLCCSLKALPVFTFANQAGLDMLETTLVALQDITLEKIFDDNGKKTLCSEFPQIMQQGFMCIQGGICLSSMGRPITYERAVAWKVLNEEESAHCICFMFINWSFV >RHN78297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13796904:13798551:-1 gene:gene1834 transcript:rna1834 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAPTLFSSLSLDLIVLPASHFSLSVTHSLSLPLLLYFFSSSTFSPFLLSLIFFFFCLNIAFVPANMVRLSFGPCKIKNQKSIPAKKSVF >RHN82671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55571355:55572558:1 gene:gene6850 transcript:rna6850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MADVKLLGFWSSPFVHRVIWALKFKGITYDYIEQDRHTKSQLLLQSNPVYKKVPVLIHHDKAIAESLVILEYIEETWPDNPLLPKDNYERALARFWIKFGEDSIASITDLFLRPSKDEKERASAIEKAQETLEIMDKQGLGEKKFFGGNNIGMVDITYGCLSHWLEGLEEIVGMKLIEADKFPRLHAWILNFKQVPLIKQNLPDYGKLLLHLQWRKQEYII >RHN60222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23863976:23864347:1 gene:gene22486 transcript:rna22486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GH3 family protein MPEAPKQNQSSKKTIENDDAISNTITNNNKKALKYIEDVTMNANEIQERVLAEILSSSALVEYLQRHGLNGRRDRKTFKKVVPVVTYEDLKVDIDRIANGDASPILCSKPISEFLTRSVYQII >RHN45147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12275075:12276225:-1 gene:gene39363 transcript:rna39363 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVNSDIPPSSTPVVMLSAPDTQDNPQEAFHTPPEQASLHSSDVNVVPPSAGSQVLDDPSDDSVFLDEIKNFHDDFQLGVWRRFSACE >RHN69182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41574532:41574895:-1 gene:gene17616 transcript:rna17616 gene_biotype:protein_coding transcript_biotype:protein_coding MHNRVLAVDSSKILNPCSCLSFLLLLYFLRECKYCSLSLIKDFVPMHYVITGRK >RHN61629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36966445:36967164:-1 gene:gene24113 transcript:rna24113 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTSKKLNFETKTQVQLKQEFLASAVEKTQVQPLISQVAGTLPNYP >RHN55545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19375323:19375607:1 gene:gene30745 transcript:rna30745 gene_biotype:protein_coding transcript_biotype:protein_coding MLRELLLSPNNLLVEKFQPPLTIYIVLISLMFLVIISGSVPSLSAQKFNSSSFVEYIQLCGNSPSNPCPSPDPYAGQGAPSETLASEETLVPKT >RHN53294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:752123:753317:-1 gene:gene28185 transcript:rna28185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MGFVERSVVFLMVMMVAIKVSNAAVHKVGDSSGWTIIGSIDYKKWAATKNFQIGDTIVFEYNSQFHNVMRVTHAMYKSCNGSSPLTTFSTGKDSIKITNYGHHFFLCGIPGHCQAGQKVDINVLNVSASAAPTKSPSALASPVPVASTQAPSPNNASPLIVAKGAFGIIGLAMTVLVFSIST >RHN41108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27039279:27040895:1 gene:gene47397 transcript:rna47397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MFEDRMGQSSSTEDKENKGVSKMERQKKSEMHINDDDTNDTNKLSDLPDHLLLHIIEFMNTKQSVQTCVLSKRWKDLWKNRTNLKFLHSYPDKSPTFCKFVSQILSCRDTSIPLHSVHFEHVGHVNPPKTTLLEVMKYAVSHNVQQLTVNAEVKYLRDLELSPYIFSCQSLTFLKLGFWQRYDSNGTMFPKSLNLPSLKILHLLDFTFTTSDNGCAEPFSKCKMLNTLVIISCHLQDDAQALCISNSEVSSLTIGSNNLYVKEDRNYKVVFSTPKLTSLTINGPPSFEAPSASNLPFLEEVYIDYITYYYKPYEGRVMISWLQLLANVKIMKLSSDALDLILLALKMTGSVAIQPPSFVKLKSLKVQFSSGTMVCYERVTRMVKFLLQNSPRARVDIIKRTTKLFSYRVST >RHN49099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52420041:52421804:1 gene:gene43890 transcript:rna43890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MTFSFLTPLLFCFIALSSSSASLGVESFIGYPIIPISSLISKNLYDTIFLHKDDTACPAKNFYPYQSFIEASKYFPQFGTTGCLATRKREIAAFLAQISHETTGGWATAPDGPFSWGLCFKEEISPQSNYCDSTDKDWPCFEGKTYKGRGPIQLSWNYNYGPAGKALGFDGLRNPEIVSNNSVIAFKTALWFWMTERKPIPSCHNVMVGKYLATKADIAANRTAGFGLVTNIVNGGLECGIPNDARVNDRIGFFQRYTKLFNVDTGPNLDCGYQKSFQ >RHN75714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44478628:44481253:-1 gene:gene11952 transcript:rna11952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MKHHRFSTLFLLSLCFILAQGQPKANPNVHLDTHWYPGTATWYGEAEGDGSTGGACGYGSLVDVKPLRARVGAVGPVLYKKGEGCGECYKVKCLDHTICSKRAVTVIITDECPGCPSDRTHFDLSGAAFGHMAVHGENGQLRNRGEIPVLYRRTTCVYAGKNLAFQVNEGSTPYWLSLLVEFEDGDGDISSMYIQEAGSSEWLQMNHLWGANWNIVTGPLRGPFSVKLSTSTGKSITVKDVIPSNWSPKSTYTSRLNFTP >RHN50247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5477366:5482859:-1 gene:gene34516 transcript:rna34516 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIPFLKHCPCMLLFLLFTLFYTSTAYDPLDPHGNITIKWNIISWTPDGYVAVVTMNNFQRYRHISAPGWSLGWNWANNEVIWAIVGGQTTELGDCSNFKGTIPHCCKKHPTVIDLLPGTPNNQQIANCCRGGVLSSWEQDPINAVSAFQVSVDRAGTTNKTVKLPKNFTLKAPGPGYTCGPAKIVKPTRFITPDKSRVTQALMTWNVRCTYSQFLAPKTPTCCVALSSFYNDTIVPCPTCSCGCQGNSAQSGTCIDPSAPNLASVANSFPTNSTMPLVQCTSHMCPIQVHWHINLNDKEYWRVKVTITNLNYRMNYSDWNLVVQHPNFYNLTQLSSFNYKSINDATMIWGVKLYNDLLMQAGPTGNVQLELIFRKDKSFTFDKGWAFPRRIYFNGDNCVMPPPDAYPWLPNE >RHN57430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39104026:39105503:1 gene:gene32975 transcript:rna32975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MDTKTQPEADRVYEDFEPYNEWDKYDGRFTVMLPGYRRDQMKVQVTSKPALRLIGERPTFQNRWRRFKLEFPIPSDYDTDSVTATFEGGKLTVKFAKLTNPKETTTNPPEEAPRPKEPSQKADEQKGAQEGTPKAIEEKAETKTNDVSDQTTPPKENDTITEKRTEASIDKVAEKVRTNGSAETIEAATSNSPKTKDAKFIARCKTRLVDFTLSKALCNQDENEALGNSTTGLKKWKRLVACVMLILLIVGLGLYCRNTFGSSQGELDLEELLLFPY >RHN74259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31224637:31227459:1 gene:gene10295 transcript:rna10295 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRELKLVAFFALCLILISPAEAFDPLDPTGNVTIRWDIMSWTSDGYMATVTLFNFQLYRNIMNPGWTLGWTWAKKEIIWAMMGAQATEQGDCSKFKLKIPHSCKRSPQVVDLLPGASYNMQYTNCCKGGVLTSWGQDPSGAISAFQMGVGLSGRTNKTVKLPQDFKLLGPGAGYSCGPAKRVPSTVILTDDRRRKAQALMSWNVTCTYSQFLASKNPSCCVSLSTFYNDQVTACPTCACGCQNNATCVTKDSKILKEVAGNNKTRKSDIAPKPLLQCTRHLCPVRVHWHIKDNYKDYWRVKIAIINFKYRLNYTQWGLVVQHPNLSNVTQVYSFEYMPLLPYEAINDTGMFYGLKFYNDLIMEAGSKGNVQSEVLMKKDKNTFTLKQGWAFPRRVYFNGDECMLPPPDSYPFLPNSARRLPTSFVTMTTYVIVASFFIWF >RHN71301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58045250:58047159:-1 gene:gene19964 transcript:rna19964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-1-phosphate adenylyltransferase MLTLLLPKSPKFQFYDPKTPIFTSPGFLPPTKIDNCRVVDAIISHGCFLRECTIQHSIVGERSRLDYGVELQDTVMMGADYYQTESEIASLLAEGKVPIGIGRNTKIKNCIIDKNAKIGKDVVITNKDGVQEADRPEDGFYIRAGITIVMEKATIEDGTVI >RHN68216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33752838:33755482:-1 gene:gene16535 transcript:rna16535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MNVSRKRNYHSISSPSPPKTSTHSPIPIPPLGKTKTLFEIISTNPSLTVEKSLEDSQINLTPQDVENVLKLSYRFPAQSVKFFRWAGHRINHNHTPYAWNLVIDILGKNCLFDAMWDAVKSMRREGLLSRSTFASIFASYVNAGRLADAIMTFEVMDGYGVVRDVVSLNSLLSAVCGSGRSVEACDYLQIAKKLVRPDSDTYAILMEGLESDGNVVGAKETFAEMVIEIGWDPGNVAAYDSFLCCLIKGSDGIHEAVKFFDSLRDRRCYPGIRFFRVALDECSRFHDIRRAEFFWEVMMGKTKLQPMTAMYNSMIALYCYHGDIDAATKMLDGMVCKGAFPDSLTYNLLFRFLVKGRKLRDATRVFVEMVKNECVPDQLNCDAAVRVYLDNGDPVMAIKVWKCLVENYREDLEGTANLLVVGLRDNDRVPEAVKYAEHIIGRGIKLTSSTLSKLRQSLVKDRKEFVYDELIAKWKAAY >RHN49476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55032125:55033182:-1 gene:gene44313 transcript:rna44313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative, Phosphoenolpyruvate carboxykinase (ATP) CAMK-CDPK family MEEKIKFEQTLEKKQFEVQKEIGKGSYGTIFQCYHTIKNQTYAVKVINKHPLANPTDIKCFVKESKIMKHLSPHPNILKIFDSFEDTDFSFIVLELCQPNYDLLERILKGPVSEQQAATYMKNLLEAIVHCHKHGIAHKDIKPDNILFDFNGNIKLADFGSAEWLFEGGKKRNECVGTFHYMAPEVILGKEHDSTKVDVWSCGVTLYVMLCAIEPFHGETPCDTFKAILKTNLRFPMTTFISMSTPATDLIKKMICRDPSKRISAEEALMHPWILKGGVIGDNIQPLKRGARKFL >RHN62388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43074447:43074770:-1 gene:gene24957 transcript:rna24957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MLRWTRARVDDVVLVGGSSRIPKVQQLLQNFFKGKELCKSINPDEAVAYGAAVQAALLSKGIKNVPKLVLQDVTPLSLGRSIVGDIMNVVIPRNTCIPVKKKRIYYS >RHN61753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38053245:38057847:1 gene:gene24245 transcript:rna24245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribokinase MSLSFVRISCSSHSFPHSFPSQLPNSRTAHFLLCSYKRRNVYCSSSIQRGVFKVCSFSTFGGGAEFESMSQRSHDEEEDDEQQIRTRAGDEDEVEDDDEEEGCVSSFVFPERWDVLGLGQAMVDFSGTVDEEFLKNLGLEKGTRKLVNHEERGRVLQAMDGCSYKAAAGGSLSNTLVALARLGGRSLRDPAINVAMAGSVASDLLGGFYREKLRRANVQFLSAPIKDATTGTVIVLTTPDAQRTMLAYQGTSSTVNFDTSLASAVSKTNILVVEGYLFELPDTIKAITKACKEARSNGALVAVTASDVTCIERHYDHFWEIVGNYADLIFANADEARALCNFDAKESTVSVTRYLSQFVPLVSVTDGLRGSYIGVKGEAVYIPPSPCVPVDTCGAGDAYASGILYGVLRGVSDLRNIGTIAAKVAATVVAQQGTRLRISDAVKLAESFEFQLDTSVVRSDIGTV >RHN55719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21657606:21660395:1 gene:gene30947 transcript:rna30947 gene_biotype:protein_coding transcript_biotype:protein_coding MHMNLGMEFRVVCFLKPVLWPIVCFWFAYYSLEALVLAYGLNASTWICFLWFEDTSHGYASLLACIWFFLSRLGIGGHLFFNFGTLVLLLHGTFGVQFVQHMTSFHCKLWPFLALLFLLDIIIDFGYPYSSCLVLLFMMVKLC >RHN57231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37451544:37453279:1 gene:gene32742 transcript:rna32742 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKYFIFVVSLCALLLFSVVAIRPSKDEIQYGATEESKTKTRVDTYRGWGGGGQGGNPGGEEEPGGVPGEGEV >RHN48590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48632483:48634328:1 gene:gene43323 transcript:rna43323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MTVDQELLQARSEIENAPVVTDVGNLYTPLFRNISMFKRSYELMEKILKVYVYREGAKPIMHSPNLLGIYASEGWFMKLMEANKVFVTKDPKNAHLFYLPFSSQMLEETLYIQNSPSYSNLMQYLNNYVDTIAAKHPFWNRTGGADHFLVACHDWAPSETNERMTKCIRSLCNADLKESFVLGKDTSLPETYVRNAQIPTRGLGGKSVSKRKTLAFFAGGMHGYVRPILLQHWGNKDPDMKIFGELPKSKGNKNYIQYMKGSKYCICPKGYEVNSPRVVEAIMYECVPVIISDNFVPPFFEVLNWKSFAVFVSEKDIPNLKSILLSIPVKKYLQMRMRVKKVQQHFLWHRSPVKYDIYHMVLHSIWYNRVFTARTA >RHN68624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37215966:37223343:-1 gene:gene16996 transcript:rna16996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MAETINETATVTAETTKQTTVTLPVLDLRLFSQLELNNIADKNSTARNRRFDDDSVIPKIDRSVFNESAGSRKQTYSRLRLRKPNSSAPVPAPVVPVSSSSRIADDEENSRIIDLLQGLFGVEALRGGNDDRAVPLQIEFRPLSVQNGSIDGVESSQRKRKRGRPSRDVTPVPGRLLAICEAMETDTEKKVEADMEVVTAEEKNESVLDDAGDPFMEELIKRSQGMNTEAELKVFLESLGGVWASDRKKRRIVDANAVCDLLPTGWKLVLIVQRRGKHNSVVCRRYTSPDGRQFDSYKDVSSYLVSLSGVQDIGQLQSCYTDGSQQLSSNINVASANNVAHVPTGGIKIDGNASSHHEKQETISSSMGTGNLNISDGNLNGDLAMYCEQSHITSEAFRVPEHSTDVGLPLKDTKNGANSVPGCFLSEDRVYNLNVGQIPSGGTKIDAHSSHQVKQATMSPSIGTRKLNASNRNISSNLNFDRKLVLALKASDTARNPGILLDFTAPISNSGRGIDRCSDERNAVTCIKGSVSNFDSQDKSTGYCETVPYGNEQVHVGDNGLGPSSTLVENHIQKIGSESSMLVPNSEEKMFAGSNLEDIHLLSSVVGMEQNDGKLVENDNQQIISSRNQSGIEDISTNGELQFSSEGTLAPSQNEHHHTSIHSMDVAQTSVLKDSAEGHFFYNDLSSSSIDERTWDPNGYINNISFGAWPQDASVFGVVDFTTDLYAANNFNHVPPTDEVARSCVQKSSSMNGQIFTADNLLHRNSESNLFTVAGNQHPAAFHDNMNNISAGTFSALKYVDAGCMKPQLGIVSCSNVIAPDAYASPTVMQGISESVPPGGSILNQFGKQNDDGVSKGNKSCLSEVAQSEAELFQTDSMGFPKFR >RHN63325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50408885:50413228:1 gene:gene26012 transcript:rna26012 gene_biotype:protein_coding transcript_biotype:protein_coding MKISRCISLHTFSLPYLQATTLHFFPFFLTLQIKQNHYSQIFSVTLPPFIMFTATKVAFTLAPPRPCSAPPTPFIPFSFSSVSPPHLNSRHLCLRRRLFLLSPKATADQQGNVGDFDGDAVIDTNVLPYCSIDQKKEKKSVGELEQEFLQALQSFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQKFLEASMAYVSGNPILTDKEYDKLKLNLKREGSEIVVEGPRCSLRSKKVYSDLSVDYLRMFLLNVPATVVALGLFFFLDDLTGFEITYLLELPEPFSFIFTWFAAVPLIVYLALSLTRAIIKDFVILKGPCPNCGTENTSFFGTILSISSGGSNNKVKCSDCGTEMVYDSGTRLITLPE >RHN69855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46822007:46822466:1 gene:gene18379 transcript:rna18379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MLSLVRFGTAVGDGIRDIDQENWVDPASVPRCISLHLKTCTLWYFRGQHGEVQLATYILNNARVLQTMKICCCDSLKKEREVSLYAQRPLLHVNLFFMCSSDIRRSPSLINFDNSTTRFVGLNCEP >RHN62532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44172972:44174791:-1 gene:gene25122 transcript:rna25122 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGVIWEVAKSLFGCANAQAVYVYKLQENLELLNKKWDDLQNKQKDVVTKIDKDESTGVMKRTYEGIGWLQEFQKLQEKMMKANQYRQEFQNNHIKAGYP >RHN58627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3446784:3447275:-1 gene:gene20554 transcript:rna20554 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKQLDSLIHFMPPISSTLYTMDLDNCNCLGSRNNCIWSSYERIGNDPVECVNELMARMKIASLKKLWKKIMKEKKRKIFKSPSPVFLYDSNSYLQNFDDGVEILFYSCCLI >RHN54805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12514332:12517521:1 gene:gene29893 transcript:rna29893 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSPAHSSISTTAVVGGGVGGMSNAAVSVDDFHFPYDHISTEERKDEAMLVLKSDLMAALDKEVKSLDEDNWKFEGPRSRIHLVSRRGGGHLHRPTEISKNWNFTPPK >RHN78056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11539910:11541427:-1 gene:gene1571 transcript:rna1571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gallate 1-beta-glucosyltransferase MELKVYSHATTTIKLKSCLKYPPKKKKMESEAPIHIHILLISFPGQGHINPLLRLAKCLAAKGASVIFITTKKAGKDIQTVNNIVEKSVTSIGNGSLTFEFFDDGLQDDDPIRANLCGYIEQLKLFGKPFLSQMIKNHVESNKPISCIINNPFVPWVCDVAHEHGIPSVLLWVQSTAVFAAYYNYFNKLVHFPLEKEPYIDVQLPFQVLKYNEIPDFLHPFSPYPFLGTLILEQFKNLSKVFCVLVETYEELEHDFIDYITKKSIHIRPIGPLFKNPNIKGASNIRGDFVKSDDCNIIEWLNTKPKDSVVYISFGTIVYLPQEQVNEIAYGLLDSQVSFLWVLKPPPKEVGLKEHVLPNGFLEETNERGKVVKWSPQEEVLAHPSLVCFITHCGWNSSIEALSLGVPMLTFPAWGDQVTNAKFLVDVFGVGIRLGYGMIENKFVTRDEVKKCLLEATIGEKAEDLKKNAIKWKKKAEEAVAIGGSSDRNLDEFLEDIKKIKMIAQ >RHN51508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19088037:19088381:1 gene:gene35957 transcript:rna35957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MIHKWQKPRRGRLKCNVDASFSMTENKLDIGMCIRNEEGRFIRAKTMWFFPVCSVDVGEALGLFYAIQWVHELRLQNVDFEVDSKRVADYFNRSNRDNTEFGNIFLLKPQSHKS >RHN41215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28306442:28327902:-1 gene:gene47513 transcript:rna47513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MVNLSSCLLLFLLSLHCFVACLVANPKTITTDQSALLAFKSLITSDPYDMLSNNWSTSSSVCTWVGVACDERHNRVHSLNLQNMSLRGIVSPNLGNLSFLVILNLSSNRFNGQFPKEIYRLHRLKVISIAFNEFVGGIPETLGELSQLQILHLGANNFSGFLPQSIGNLCQLKSFDISRNMLSGPIPQTISNMSSLEYLVFHLNYFSGEIPSLNNMTSLRVVKFSHNNLNGRLSNDFFNQLPQLKYLTLRNNQFDGSIPRSIGNCTSLIYLDLSSNFLTGEIPKGILGDLRRLNRLYLDNNQLSGNISSIFKFNNSLLQEFYLSYNNLSGNLPSCICHELPNLRMFYLGHNDISGNMPTVWNQCKELERLSLAFNSFNKGPMPGGIRSMTKLQRLFLMGNNLEGEIPPLNNLTSLWVVKFSHNNLNGRLPTDFFNQLPQLKYLTLWNNQFEGSIPRSIGNCTSLIYLDLSSNFLTGSIPEEIGYVDKLYQLFLYNNSLSGSIPSKIFNLSSLTHLEVENNSLSGTIPSNTGYSLPSLQYLHLNDNNFVGNIPNNIFNSSNLIVFQLYDNAFSGTLPSIAFGNLGFVEFFLIYDNNLTIDDSHQFFTSLTNCRYLKYLDLSGNHIPNLPKSIGNISSEYIRAESCGIGGYIPLEVGNMSKLLFFDLYDNNINGPIPRSVKGLQKLQHLSLSKNGLQGSFIEEFCEMKSLGELYLNNNKLSGVLPTCLGNMISLIRIHVGSNSLNSRVPSSLWSLRDILEINFSSNSLIGNLPPEIGNLRAIILLDLSRNQISSNIPTTINSLLTLQKLSLADNKLNGSIPKSFGQMVSLISLDLSQNMLTGVIPKSLESLLYLENINFSYNRLQGEIPDGGHFKNFTAQSFMHNEALCGDPRLQVPTCGKQVKKWSMEKKLIFKCILPIVVSVILVVACIILLKHNKRKKNETTLERGLSTLGAPRRISYYELVQATNGFNESNFLGRGGFGSVYQGKLPDGEMIAVKVIDLQSEAKSKSFDAECNAMRNLRHRNLVKIISSCSNLDFKSLVMEFMSNGSVDKWLYSNNYCLNFLQRLNIMIDVASAVEYLHHGSSIPVVHCDLKPSNVLLDENMVAHVSDFGIAKLMDEGQSKTHTQTLATVGYLAPEYGSKGIVSVKGDVYSYGIMLMEIFTRRKPTDDMFVAELSLKTWISGSLPNSIMEVMDSNLVQITGDQIDDILTHMSYIFSLALNCCEESPDARINMADVIATLIKIKTLVVGANTV >RHN38852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2442577:2446738:-1 gene:gene44821 transcript:rna44821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative haloalkane dehalogenase MDKKHHMFLFLPKLASKVLTNGFSVLLALKLLYFFPSSLSNHCFFFHAMTIQAFHASSSCYFHSHLQSCSTKRFPSRKTLKLNCSTSNGDKDDYLLDAPISVGDGFSFSGGKYSDGPNPSDEWFKQGKMVKAYSIPGTGEKAKDPIFGLTMGTSSQATGDRFRWFCVERGSVDNPSVILIHGFPSQAYSYRKVLPVLSKDYRAVTFDWLGFGFSDKPQPKYGFDYTLDEYVSSLESLIDELAVTKVSLVVQGYFAPVVVKYASKHQEKINNLILINPPLTAQHAKLPPTLSIFSNFLLGEIFSQDPLRASDKALTSCGPYKMKEEDAMVYRRPYLISGSSGFALNAITRTMKKDLKRYVEDMQTILKDKSWNVRTTVCWGQRDRWLSYEGVEDFCKDSNHKLIEVPKAGHHAQEDCGEELGQLIYGIIRKKN >RHN68509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36069637:36073428:-1 gene:gene16872 transcript:rna16872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTNSDPPPHSNFGAPTSLLLDELIVEILSRLPVKTLMQFKCVCKSWKTLISDDPVFAKFHLHRSPRNTHLAILSDRSITEDETDCSVVPFPVTHLLEAPLSIILDRYRLRFVHIPNDPSYLLSNLYCCIIIGSCNGLLCLRNYAWTTLQPEQHWLRFWNPATNTLSQILGCLNKFFRLTFGYDISNDDYKVVAFSVNEVKVFSLRDNVWRDIPNFSVVPFDIEVGPCHPYVNNGVYVSGTINWLAIRNKTEYERNDISIEQFLILSLDLTTETYRHLLPSQGFVEVPPVEPAVTVLMDCLCFSHRFKETHFVLWMMMEYGVHESWTQFLKISFQDLQIDYGISDSLEYDGSQLFLYPLYLSESDGTLIMASNQQGYDGHDNHAILYDWRNKRVEQITSVDDEILWFHTKAYVESLFSTF >RHN71444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:196253:197633:-1 gene:gene7048 transcript:rna7048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MSSRRRVGEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLQVEGRTVKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPTTFDNVTRWLKELRDHADANIVIMLIGNKTDLKHLRAVATEDAQSYAEKEGLSFIETSALEATNVEKAFQTTLGEIYRIISKKSLSTANEPAAAANIKEGKTIAIGGSETTNTNKPCCTS >RHN50994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12728048:12733961:-1 gene:gene35354 transcript:rna35354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MEQNNFTRKPPPVIIDLETMPPSRGTHHRRSHSDTTFRFAANFDDLLTFDPSDFDISNLPLLSPDAVPMDSDESIGKSASEGRHLRSLSVDSEFFDGLGFGGEGEKVEERKVSRHRYSSSMDGSEMGLFEVGDGVKKSMPAEKLAELALIDPKRAKRILANRQSAARSKERKTRYTSELERKVQTLQTEATNLSAQLTTLQRDTTDLTAQNKELKMKLDAFEQQAQLREDLNEALKKELQRLRAQKNHLTAVAGNPSFNGMFSQFATQLTMQQMSNPQPQQTQPGMPPSRSDQPFNGRGRSNFMDFNYQK >RHN60626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28974750:28976193:1 gene:gene22970 transcript:rna22970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MNHILMFVYAFIIVISQIYRDGIPCVFDYNCPEISYYPVRCNVNNICEYNLNVDLVEEIE >RHN81341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45037429:45038073:-1 gene:gene5363 transcript:rna5363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MALIVDLSYNSFSGSIPHSWKNLLELTYIILWSNKLSGEVLGHLSDWKQLQFMNLGENEFSGTIPINMPQYLEVVILRANQFEGTIPPQLFNLSYLFHLDLAHNKLSGSMPNCIYNLSQMVTLYVDALPSDTTIELFQKGQDYMYEVQPDRRTIDLSVNSLSGKVSMELFHLVQVQTLNLSHNHFTGTIPKTIGGMKNMESLDLSNNKFCGEIP >RHN73557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17643597:17648916:1 gene:gene9382 transcript:rna9382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-NDR family MENNHMVKEEMVDEEEVVEHDEISPIGDGEDDEDVVSTLTMERVAAAKKFIESHYKSQMKHIQERKERRSVLQKELESSHVPEEEQINLLKDLESKETEYMRLKRHKICVDDFDLLTIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMLSRGQVEHVRAERNVLAEVANDCIVKLYYSFQDPEFLYLIMEYLPGGDIMTLLMREETLTESVARFYIAQTVLAIESIHKHNYVHRDIKPDNLLLDINGHMKLSDFGLCKPLEVSNLSPISEKEILDDDNLNDTMDVDGNYPNSRNGRRWKSPLEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGVECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWKNHLKFPEEARLTPEAKDLMHRLLSGVPHRLGTRGAREIKDHPWFRDVLWDRLYETEAAFKPRVVGELDTQNFMKFDEVEQPVPARSGSGPIRKTLLTPQDLSFVGYTYKNFAAVKGKRHSVEKGSLSPRSSIDSTHSDSVVNYST >RHN48480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47786663:47794990:-1 gene:gene43200 transcript:rna43200 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Skl1 METEALSSEQTKSKMEAETLSTNPPPGFLIRALPAVIPALLISIGYVDPGKWVASIEGGARFGFDLVAFALIFNFAAIFCQYLSARVGVITGRDLAQICSDEYDTWTCLLLGIQAELSVIMLDLNMILGMAQGLNLIFGWDLFTCVFLTATGAVFHILLAILLDIEKTKFLGQFVAGFVLLSFILGVFIQSEVPVSMNGILINLSGESTFMLMSLLGATLVPHNFYLHSSIVQWHQGPANISKDALCHNHFLALLCVFSGLYLVNYILMTTLANEFYSTGPVLLMEQVLHSPIALIGFVLILFLANQTAALTWSLGGEVVVNGFLKLDIPGWLHYATIRVIAVLPALYCVWSSGAEGIYQLLIFTQVLVALQLPSSVIPLFRVALSRSIMGAHKVSQSMELLALTIFLGVLGMNIMFLGEMIFGSSDWACDLRWNLGNGVSVLFSVLLIAGFLSICLMLRLATTPLRSASIQLNAQVLNWDMPEAVLNPPVDGEESHVTETVGHEDASFQADEPKPALARSLEYPEVSLASFRPDLHLPETVMEPDPQVNALKENHSVAPSVSTSDSGTVSKTVANDTSSSDSKLKDTKTIIEANAPIEKTVEIEDDSNVERDDDDVDSWETEESSRAVLANAPSSTSEGPPSFRSISGKSDDGGCSFGSLSRIEGLGRAARRQLAATLDEFWGQLYDFHGQATQAAKAKKIDVLLGMGVDSKPTASLQKMDACGKDYSEYLVSVGGRASDNLINAGPYDYSNQPRMQSNSESAYGLQRSSSSVRASPIQLLDAYVQSSNRNLNDSGERRYSSVRNLHSSEAWDYQPATIHGYQTASYLSRGVKDRSSENINGSMPLTSLKSPSTGNPNYRDSLAFVLGKKLHNGSGVGHPPGFENVAVSRNRQLQTERSNYDSSSPGAAANTVSSVNTKKYHSLPDISGYSIPHRAGYVSDKNAPWDGSVGYGSFAGRMGYEPSMYSNSGSRAGGAHLAFDEVSPYREALSSQFSSGFDTGSLWSRQPFEQFGVAGKIHNVAMEGAGSRPNAIVQEITFEDIEGKLLQSVRLTIMKLLKLEGSDWLFKQNDGIDEDLIDRVAARDKFVYEIEARETNQGIHMGDTRYFPSDRKSVSSMKVNEANASSLSVSSVPNCGEGCVWRADLIISFGVWCIHRILDLSLLESRPELWGKYTYVLNRLQGIIEPAFSKPRTPSAPCFCIQVPTTHQQKSSPPLSNGMLPPTVKPGRGKYTTASSLLELIKDVEIAISSRKGRTGTAAGEVAFPKGKENLASVLKRYKRRLSSNKLVGNQEGTSSRKIPSSGPYNQ >RHN66353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11291067:11309095:1 gene:gene14319 transcript:rna14319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative structural maintenance of chromosomes protein MANSRPSKRHKINRGEDDYMPGNILEIELHNFMTFDYLKCKPGPRLNLVIGPNGSGKSSLVCAIALGLCGEPQLLGRATSIQAFVKRGEDSGHIKITLRGDHKEEQITIMRKINTSNKSEWVLNGNIVPKKDVAETIQRFNIQVNNLTQFLPQDRVCEFAKLTPVQLLEETEKAVGDPQLPEQHRALIDKSRALKHVELSLEKNEGTLNQLKERNAELEKDVERVRQRDELLAKAESMKKKLPWLRYDMKQAEYREAKEREKAAAKELEKVAKLLNELKEPIKKQKEEKAALDAKCKKANNRISDNAKKRMELMEKENQLEVELQGKYKEMDELRKQEENRQQKLKQAREELAAAELELENLNPYEPPKDEIHRLREGIVELDYSANQARQNKSQAESEIKHKKFSLIKCKERLMEMNNKSTKCLHALRKSGVERIFDAYKWVQEHRDEFHKEVYGPVLVEVNVSDQSHAGYLEGQVAWYTWKSFITQDPRDRDFLVNNLRNYDVPVLNYTGHDSRREPPPEISADMRALGINSRLDQIFDAPVAVKEVLISQSNLDHSFIGSKETDQKADGVPKLGITSLWTPENHYHWSKSRYGNHVSAVVEQVQRPQLLLNNLNVRDIEDLSSEERELHEHIASLEESLKKFQDEERSFVNQAANLRKQLENIRLEAQNKQKERQAIVRRTEQKKSKLKSMEEQDDLDTELAKLVDQATKCNIQRLHNAIKIKDLLVEAAGYRRSFAEQRMAFIEFDAKIGEAEASLKQHENIALQASSHFNNSKKEAEECRQKLTDLLNYAKSIARLTPDLEKEFLEMPTTIEELEAAIQDTTSQANSILFVNNNILEQYEARQRQIEDLAKKLDADKKESTRCLAELDNIKGKWLPTLRNLVAQINETFSQNFQQMAVAGEVSLDEHDMDFDQFGIHIKVKFRESGQLEVLSAHHQSGGERSVSTIVYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASKPNTPQCFLLTPKLLPDLQYSEACSILNVMNGPWIEQPSKVWTAGDRWSIITGHVGEAVC >RHN53070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41697387:41703343:1 gene:gene37821 transcript:rna37821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-phosphofructokinase MGLTEPKVVTGPAGYVLEDVPHLSDYIPDLPTYPNPLQDNPAYSVVKQYFVHVDDTVPQKVVVHKDSPRGVHFRRAGPRQKVYFESDEIQAAIVTCGGLCPGLNTVIRELVCSLHHMYGVTRVLGIEGGYRGFYARNTITLTPSSVNNIHKRGGTILGTSRGGHDTNKIVDSIQDRGINQVYIIGGDGTQRGASVIFEEVRRRGLKCAVVGIPKTIDNDIPIIDKSFGFDTAVEEAQRAINAAHVEAESFENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLYEYIDRRLKENGHMVIVIAEGAGQELLTESLQSGKKQDASGNKLLQDVGLWISQSIKDHFAREKTLPITLKYIDPTYMIRAVPSNASDNVYCTLLAQSAVHGAMAGYTGYTSGLVNGRQTYIPFYRITERQNNVVITDRMWARLLSSTNQPSFMEVKVSDEDTKGENSLDELPDGHCSEDTSVDEITHNLGCLAPLAVPVLRTGGISGV >RHN57628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40640299:40642280:-1 gene:gene33204 transcript:rna33204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 3-O-glucosyltransferase MQCKPCILLIIVYTLFLFLFLYNKKDIKEQLRTQSLTLSKCISRMKKAEVVIISSPGVGHLVSTLEFAKLLINRDNRLRITILVMKFPHTTEIDVYTKSLAIDDSLNIVDLPECSLPPNSNITSGMVDLLKAQKPNVKKAVSNLTKAPENGVLAAFIVDMFCTTMIDVVKEFSVPTLVFFTSGLLQQTELAIRGFANSVPSNTLPSSVLRKEWESFFMSYAKGLKNADAIIVNSFEELESYAVHSFVSHPDLAGLPIYPVGPILNPKPKTKSAIDSDDIVKWLDDQPPSSEVFLCFGSRGFFDEDQVKEIALAVENTGVRFVWSLRKPPPKDTMNAPSDYTLSDLSSVLPEGFLDRTAEIGRVIGWAPQTHILAHPATGGFVSHCGWNSTLENIYFGVPVATWPLFADQQTNAFQLVCELKMAVEIALDYRLEFNGESNYLLTADKIERGIKSVLDKDGEVRKKVKEMSAASKKTLLDGGSSYTYLGRLIDYIRYQVSK >RHN77632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8261235:8271768:-1 gene:gene1104 transcript:rna1104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein MIAKDFNDEEKWLAEGIASIQHNAFFMHRALDDNNLRDSLKYSAQMLSELRTSRLSPHKYYELYMRAFDELRRLEMFFKDESRHGVSIVDLYELVQHAGNILPRLYLLCTVGSVYLRCKDTPVRDVLKDLVEMCRGVQHPIRGLFLRSYLSQVSRDKLPDIGSDYEDRDYGSVKDAVEFVLENFSEMNKLWVRLQHQGAGRVKEKKDKERNELRDLVGKNLHVLSQIDGVDLEVYKDTVLPSILEQVVNCKDELAQFYLMECIIQVFPDEYHLQTLETLLGACPQLQPTVDIKTVLSQLMDRLSNYAASSTEVLPEFLQVEAFTKLSTAISRVIEAQVDMPIVGAIALHVSLLTFTLRVHPDRLDYVDQVLGSCVNKLSGKPKLDDNRATKQVVALLSAPLDKYNDVVTALTLSNYPRVMDHLDNVTNKLMALVIIQSIMKNNTYISTADKVEVLFELIKGLIIDLDGTSEDEIDEEDFSEEQNSVARLINMLHNNDPEEMFKIICTVRKHIMIGGPRRLPFTVPSLIFSALKLIRQLQGQGGDIAGEEEPATPRKIFQLLNETIEVLSSVSSSELALRLYLHCAEAANDCDLEPVAYEFFTQAFVLYEEEIADSKAQVTAIHLIIGTLQRMSIFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQDGIKDGERVLLCLKRALRIANAAQQMANVARGSSGPVTLFVEILNKYIYYFEKGNPQITSAAIQGLIELIKTEMQSDSASALPASDPFFASTLRYIQFQKQKGGILGEKYDSIKV >RHN41441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30048222:30054963:-1 gene:gene47761 transcript:rna47761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative D-aminoacyl-tRNA deacylase MLLSTIVRSCPIVNHSRHPFFHLNRSLRSSKPLSSLSSTHHHHHPMVSLLVATTSDPASINPANALLAMPGWQPGPHFQDDMKSFVNEGLRVLLHGKSIVVEDYLDTRWEEVTGEVVDEVIFLSKHTAASNKPALTVHPIGVPHLREGDVPPQGGKPGWAALPNPRIGPWIRLLKNIAQAHNLVPEFEITLEATHHGPMTNKPTMFLEIGSTEDYWKRQDAAQVMAQLVWEGLGLGGGTDVGNWSRENDKKKVLLGIGGGHYVPRHMNVVLKDGVWVGHVLSGYSVPMEDPKGETNVEIGGTWKQSIKAAYEATKSAFPGGEIIAHLDQKSFKGWQKNAITGFLTEQNIKIGKPNNFY >RHN43418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45701023:45702165:1 gene:gene49989 transcript:rna49989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MAHTQREQFKPIAPNFISLTTHFSSPSADNQQQHLSERKTKRIKKFVVWCGCITAILLLLIVIVIILAFTVYNVKEPEVRMNGVFLISGSFNGSNASNNVTIHADMSVKNTNSFTFRYGAVNTTVFYNGIDIGGGIQPPGKAKAQRTARFNVTLVINAKKLVDNQDWIIDIRDQALNFSTYTRINGKVKILNMFKRSIKVELNCTSTYNIPTESITRGDNCIGFVNI >RHN40181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13927300:13929071:-1 gene:gene46296 transcript:rna46296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator A20-like family MDKVVKLHSGSQKGIMIRVSIMSIIFNFHFPIAFSICTIYLSHLISSLYTTTSLLNNSQILNLNLFLQPHQQPNQKNSFISRRKKALKKMAHKITKKEETEFQVPETITPPCINPTQTTTTPTTTTTTTLTEPSRFFEDKSSKARSATSSFSQKRSHPSNDDSNVQPQTTSSEAKRAVNRCSGCRKRVGLTGFRCRCGDLFCSEHRYSDRHDCSYDYKAAGRESIARENPVVKAAKIVKL >RHN43388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45367025:45369977:-1 gene:gene49954 transcript:rna49954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MPLPIMRIRMPVLHYSSYSAIFTTRYGVALPFLHQPSFTYVSHSSHSQPQLLTKPPLANPDDKGYLRNARTLDESLLHFNQLDPSLKSSRICNHLLVGLLKSGRIDDARQVLDQLLQPDTGFPPDDFTGQIVFGELVKRDRPGKGFADEEIVGLVTKLCEYGVFPDTFKLTQLISKLCGNRKNGVAWELLHAVIKLGGTVEAASCNALLTGLGRERDIHKMNELLAEMEEMKIHPSVITFGILINQFCKSRRIDEALGVFDKLRGKGREKKWIGVEPDVVLYNTLINGLCKVGREEEGLNLLEEMKTEKKNKPNTITYNCLIDGFCKAGNIDKARELFGLMNEEQIQPNVVTLNTLVDGMCKIGRVFSAVEFFNEMKGKGLKGNAVTYTALISAFCGVNNIDKAMQYFDEMLSSGCSPDAIVYYCLISGLTIAGRMGDASVVVSQLKRAGFGLDRHCYNVLISGFCKKKKLERVYEMLNEMEENGVKPDIVTYNTLVSYLGKAGDFATATKVMKKMIKEGLKPSVVTYGAVIHAYCLKKNIDEAMKIFGEMCSTSMVPPNTVIYNILIDALCKNNDVERAVSLMDDMKVKGVQPNTTTYNAIFKGVQDKRMLHKAFELMDRMVEDACNPDYVTMEILTEWLSAIGEIEKLKLFVKGHRVSSNPSSLQTSIT >RHN55755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22683217:22683369:1 gene:gene30997 transcript:rna30997 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPTFSATVETTDHHHPQPPLKRNNRKRPVFKLLPGQIAFRLVCLDVKA >RHN79759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31916676:31917810:-1 gene:gene3593 transcript:rna3593 gene_biotype:protein_coding transcript_biotype:protein_coding MYEINSYPINRWCFFYSNKWQQKIIKLKIIFQTEFVGNSLHICFMVHKNSKDINLESFAQKNLRNENKP >RHN60207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23542621:23545671:-1 gene:gene22465 transcript:rna22465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metallo-beta-lactamase MEEGLISVDRWGKGSEAYFLTHLHSDHTKGLSSKWSHGPLFCSPITARLLPIKFPNFNLSLLRILHTGTSHTLSLRSPSSSDPTTVVVTAIDACHCPGSIMLLFRGDFGCVLYTGDFRWEAGCEKARIAKNMLGVALKEHDGDVDVVYLDNTYANPTYDFPTRSVAAQQAIDIISAHPDHDVVIGINNYGKEDLLLQISSALDIDIWVWPQRLQTMHLLGLPDVFTTDTSATRVRAVPMYCFSMDTLDELNLYRPGKCPTIGILPSGLPWVKKSLKKNEFLSGSFLTLRYKRRRCDTDSTQVQMDKQNAKTGSPKMLNKYVFSVPYSDHSNYAELEDFIKLVKPSSLKGIVSSSTCYIEPMYYFGRLCTGNQPVQQLHNRSKLIESCNVEEDDDELDRKISKESYKMNDIGKRVVTISSETSFEDDYVESDDETSFEHDKSVLDETSCEDWNVELDETSFEADNVELDSNRSKNMFEMKENGKRVVNVSPETSVRDDSVEQGRNRMKALKVKLLGFRMRRLRKQRRAKISYHVKEEIWFSD >RHN74627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35295710:35296270:-1 gene:gene10728 transcript:rna10728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MADSRFNQYNYTGAHLVFFPPAREIPIPNAEPRRRRYQIHMIEEEEEEEEKFVFPVVDDGLYQPKTNKTRAAYNNLLTLIQQPRPGQPLAGQPLSTVRFVADKILEILKNDAVNYYDKKINIEMLLNPIPNHVFEQIVEIGKLITDFYGFAAGAHVDGGVVDSLQKMSICSNNDSVVDGVHNLTLW >RHN63444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51353307:51353838:1 gene:gene26143 transcript:rna26143 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRVQSTLPEVRRAESTTRRFGPFCWKHCMAWLTFCVGKFCP >RHN79088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22343218:22353095:-1 gene:gene2789 transcript:rna2789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-transporting ATPase MEGLLKDFELKDKDRSIEALSRWRSAVSLVKNPRRRFRNVADLAKRALAQEKQKKIQGKFRAVINVQRAALHFTDAIGTPEFKVSEKTRAAGFGIEPDDIASVVRSHDFKNYKKVGEVQGITSKLSVSVDEGVSQDSIHSRQEIYGLNRYTEKPSKSFLMFVWDALHDLTLIILIVCALVSIGIGLPTEGWPKGVYDGVGILLSIFLVVTVTAVSDYQQSLQFLDLDKEKKKISIHVTRDGKRQKVSIYDLVVGDIVHLSTGDQVPADGIFIQGYSLLIDESSLSGESEPVDIDNRRPFLLSGTKVQDGQAKMIVTTVGMRTEWGKLMETLSEGGEDETPLQVKLNGVATVIGKIGLTFAVLTFLVLTARFVIEKAINGDFTSWSSEDALKLLDYFAIAVTIIVVAIPEGLPLAVTLSLAFAMKKLMNDRALVRHLSACETMGSASCICTDKTGTLTTNHMVVDKIWICEKTVEMKGDESTDKLKSEISDEVLSILLQAIFQNTSSEVVKDNEGKQTILGTPTESALLEFGLVSGGDFDAQRRSCKVLKVEPFNSDRKKMSVLVGLPDGGVRAFCKGASEIVLKMCDKIIDSNGTTIDLPEEKAKIVSDIIDGFANEALRTLCLAVKDIDETQGETNIPENGYTLITIVGIKDPVRPGVKEAVQKCLAAGISVRMVTGDNINTAKAIAKECGILTEGGVAIEGPEFRNLSEEQMKDIIPRIQVMARSLPLDKHTLVTRLRNMFGEVVAVTGDGTNDAPALHESDIGLAMGIAGTEVAKENADVIIMDDNFTTIVKVAKWGRAIYINIQKFVQFQLTVNVVALITNFVSACITGAAPLTAVQLLWVNLIMDTLGALALATEPPNDGLMERQPVGRKASFITKPMWRNIFGQSLYQLIVLGVLNFEGKRLLGLSGPDSTAVLNTLIFNSFVFCQVFNEINSREIEKINIFRGMFDSWIFLSVILATAVFQVIIVEFLGTFASTVPLTWQFWLLSLLFGVLSMPLAAILKCIPVERDTTTKHHDGYEALPPGPERV >RHN65668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4241159:4242534:1 gene:gene13536 transcript:rna13536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKTHNIDENEDRISDLPNCVLLLILSILNTKEAVQTCVLSTRWKNLWKYIPVLSISSCHFETRMGFTIFVYQFLYLRDNKTALHTLDLHRDGVIWPGYLMWVIKYAFDHGVQLLDVDSTFYYQHYPLPYVLCHTLTSLTLCTNNQFGHPSSLFPTSLNLPALTSMCLKYFAFHGSGDDDRAEPFSSFNSLKSLIIHCCVEEQNLFISSDTLVYLRINTFAIQRCKIELSTPSLRSFDFKGNPIQKLSGNNNNLSSIKHVKIDVAVPSYIEKYPLVLFNWLVELSRIESLTVSSSILETLCSVPGLWKVDFPYLQNLKLLKIITYRPSAIPERIVDFLLQKSPLAKAEIIDLTRYITTLSDARIVRIEWMIMLV >RHN45660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24198282:24200194:1 gene:gene40041 transcript:rna40041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MPPQKRKRTKKNLIGKSLTKFTNVSLNITKHLLSNQKLNEKNIVFSPLSLNTVLIMIATGSEGPTQNQLLSFLQSESTGDLKSLCSQVVSSVLSDGARAGGPCLSYVNGVWVEKSLPLQPSFKQLMTTDFEATLSAVDFVNKAYFATQLMKVRKQVNLWAEKKTKGLIQDLLPHGSVNSLTSLIFANALYFKGVWKQKFDTSKTKDYDFDLLNGKSVKVPFMTSKNDQFISSLDGFKVLGLPINKAKMGVHSLFTFFFLTKKMKLPREEVQVGKFRIPRFNISFEIEASELLKKLGLAKIKCNII >RHN69003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40212778:40216628:1 gene:gene17429 transcript:rna17429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MFPLFKKKQKIKGTVVLMCKNALDLNDIKAGPSLGAGFGLVRDVVGGVIDGATAILGRSVGLQLISATKTDRMGNGFVGDQFFLEKRIPFLPTLAARQDAFSVYFEWDNDFGIPGAFYIKNYTQGEFYLVSLTLEDIPGHDSITFLCNSWVYNAKQYRKDRIFFANKPYLPHEMPAPLVNYRHVELDTLRGDGTAKREEWDRIYDYDVYNDLGNPDKSRDLARPILGGSSKFPYPRRGRTCRKPTKTDSASERPATDTNYVPRDEVFGHLKQADFLGFGLKGLNQNVIPKFRNFFDFDKEFDNFEEVRCLFEGGVKLPTDIISAISPIAMVKEIFRTDGENFLKYPPPHVIQADKSAWMTDEEFAREMLAGVNPCVIQRLQVFPPESKLDPNVYGDQTSTITKEHLEINTDGLTVEKAIQDERLFILDYHDAFMSYLKYINKPIPKSYATRTILFLKDDGTLKPLAIELSLPHPDGEKYGAVSKVLLPPEGHGVQRTIWQLAKAYVVVNDACFHQLMSHWLNTHCVIEPFIIATNRCLSVVHPIHKLLQPHYRDTMNINALARSSLISGGGIIEQAFLPGPYAVEMSSAVYKDWVFPDQALPADLIKRGMAVQDASAPHGLRLVIKDYPFAVDGLEIWDAIKAWVKDYVSLYYKTDVAIQRDAELQEFWKEVVEIGHGDHKNKPWWPEMNSINELVESCSIIIWLASAFHAAVNFGQYPYGGLILNRPTMTRRLIPEKGTKEYEEMEKDDQRAYLRTITPKTEALIDLTVIEILSRHASDEVYLGKRENDEWTADEKARVAFKRFAKKMEDIEKKIESRNKEPDLLNRTGPVKMPYTLLLPTSGEGLTCRGIPNSVSI >RHN39867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11215178:11216580:1 gene:gene45945 transcript:rna45945 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKNAKLSPLLFTCSSSLLQNGSFSPQNLQTHICQLNQSPWDVIPFVSNSSSSIHQFEDEEDGFKNGDSFGAFDESVASMMETEVVDVDNKPGIETFDMMVFDDNKVVNNNGGSSKGLKRNSNGGGSIEAPRRCRGRPKKSLVGSASGSNSNEFYYYSGFGPSRRKQRKGDCKSEGEDNKSVVELGSTSSDNVKVNVGDNNVVPSVDANVVTCSEGLDCVEDYEDDYDDSGDDNGNRRTRKPVKERSLKSLM >RHN50564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8106052:8107701:-1 gene:gene34864 transcript:rna34864 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLFGALRASKSKKIDVDLVHKIRRTPPPHLSPFVNYDEEGYISDNAKTINHLQSAATEEFLPLPGVGKDDSLQGAITYISADSNVEKEISSSVVQTEESTTNGQENVDTDMSLLVMSRKNIKIFEAMRYLISTSRMRLITSTAENEIR >RHN42733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40538846:40540998:1 gene:gene49216 transcript:rna49216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc-binding ribosomal protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHRKVKLAVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAEA >RHN65681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4324239:4324848:-1 gene:gene13553 transcript:rna13553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDLLHYKVTKSYYICYTIKEKNMSKTIKFLYAITLFLFLFLIEKNNGVLIDCKHVRDCPKGIWRSCRYKCIDNKCVFTYWPH >RHN64601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60323196:60324114:1 gene:gene27429 transcript:rna27429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKPTLLPRIIEYTVSHNVQHLILDYTCHIEDFPSCIFSCRTLTSLNLSGFIYNAILSHKPIFRNSLNLPALTNLSLKYFGFCRGDDGCVEPFSTFKMLNSLVIDCCIVLDAQKLCISSAKLVNLSILMWDSVPETYVGIYFGIELYAPSLHTFAFTGRYTPKLFGSKSVLSSIKHVSIDLRCYLNLESRETSSILLNWLVELANIESLSFCSNTIKVLSLVPDLLKVEFHSLCTLKSLKIKTDRTSSIDDDMVGFLIQNSPSAKVDIIGL >RHN38920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2797450:2800327:-1 gene:gene44897 transcript:rna44897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase-like ATPase domain-containing protein MELIQNAEDNHYNEGVNPTLEFVITSDDITGTGAPATLLIFNNEKGFFSKNIDSICSVGRSTKKGNRSSGYIGEKGIGFKSVFLVTAQPYVFSNGYQIRFNEKPCPHCSLGYIVPEWVEEKPTLVDIKKIYGAGKGSLRTTTIVLPLKSDKVEPVKQKLSSFHPEVLLFLTKIRHLSVREVSENPKQNTVTDVSISSEINFVTRKNMNAESYTIHLSAEENSDAEKECSYYMWKQKFPVRPENAVERRTDVEEWVVTLAFPNQERLHRGKSSPGVYAFLPTGMVTNFPFIIQADFVLASSRETILLDNKWNQGILDCVPSAFLDAFKTLVIGSVQAPVSSLASMFKFLPIESSTFEKFNYVRDKIKAKLVEESIVPIETYSKQKHFYKPGEVSRLLPEFWNILTKARDESVHLLNLSSHDGRKILSSSFDKREYYNVLNFLGVKSVTYGWYAKCIQSSDLVDGVSEDLYVQLLLFVAKYWSSRFKGTNINSIPLIKCVASDGTLSSFSLHECTQHYAGGKRVVITDSSLLDDQLEQGVCMCRKSVFHARKHTKIYIVFGP >RHN58814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5143133:5143378:-1 gene:gene20764 transcript:rna20764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S13 MTEFYQIIFLGLVANPRQFKVPDWFLNRKKDYKDGKFSQVVSNQLDMKLRDNLERLKKHRSDAALLFIYYGFCGDDVIDSH >RHN74243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31010879:31027574:1 gene:gene10275 transcript:rna10275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MHFWFQGNKLQLHDQIGSYQIESGDFVVLIPFVKKEPTQKEKPDSVFSSPNVACNASTSSFADTTWSNIMEDLSELRETTEEIDVIKKKTVEGEMKRGLGSEKEINLPYHMILNTLDHTSRSAEVFPKVLESVNCLSDLPLGYCKLLKRTCLKGNGGGSVTCLCPPWLKMVLKSFAFINIFSAFLHLQSRKVTTSLLDEALDQLAKFGVKLGAHDMKRLSLLCPHLVCFVDDIEKASFGDVIVVVNNSTTNDDRIEDNPKRARKSLYISKIVSTLERRDNSFRKYLGLAFEQLQFEIGDEMNVRISFETLLATVKDLDFTRKGSKSKRVKRSSTSSGSKMDRIGCHDTESLMAVDMVDHLKKGIGSEGQIVHIEDICARKAIYSDIPAELSEKTRSTLKYIGVSKLYSHQAESIQASLLGKNVVVATMTSSGKSLCYNLPVLEELFKNSSSCALYIFPTKALAQDQLRSLLHMTKEFDVDLNIGIYDGDTSHSERARLRDNSRLLITNPDMLHITILPHHRLFRRILSNLRFLVIDETHTYKGAFGSHTALILRRLKRLCSHVYGAVPSFLFSTATSANPHEHSMELANLSTVELFQKDGSPSARKLFILWNPVLRPKATFIKAHLAMDNNELADESANFVRSSPIVDVSRLLAEMVQHGLRCIAFCKSRKLCELVLSYTREILHETAPHLLDSVCAYRGGYIAEERRKIESAFFGGKICGVAATNALELGIDVGEIDVTLHLGFPGSIASLWQQAGRGGRRDRSSLAIYVAFGGPLDQYFMKNPRKLFDRPIECCHIDSQNKQVLEQHLVCAAHEHPLSVQYDEQYFGACLESVLNSLKDRGYLCSDLSDSPRIWNYIGPQKLPSQAVNIRAIETVRYSVIDQKKEQVLEEIEESKAFFQVYDGAVYLRQGKTYLVEKLDLSSKTAFCKEADLKYYTKTRDYTDIHVIGGNIAYPVIDSTMFPNTNVRANVCQVTTTWFGFYRIWRGSNQIIDAVDLALPPYSYQSQAVWVPVPPSIKEAVVKKNYDFRGGLHAASHAVLHVVPLHIMCNLSDLAPECPNPHDSRYYPERILIYDQCPGGSGISVQAQPHFTKFLAAALEVLTCCRCSAEVGCPNCVQSFACHEYNEVLHKDAAIMIIKGILDTEN >RHN81232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44243309:44244199:-1 gene:gene5245 transcript:rna5245 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSASWNKFSDDYFKHATSSSSSSSISPGQRSSNDLPTYDPIVELAKKEKARVKFSENAVHVIPFVLLLCAIILWIFSNPEVGMLGDPIGARIEGLSLDGEMESDSDGTQAGVLPIMGLEEISTKELGAEKYLINLKNFK >RHN63284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50068605:50073574:1 gene:gene25967 transcript:rna25967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative superoxide dismutase, copper/zinc binding domain, heavy metal-associated domain, HMA MAFLRSIATTATAISTLAFSSLSSSFSHSHHSPNTDLSSNPKSNNSFRLVKTFATSPSPLLMDQNLSSQTQTDHDVLPELLTEYMVDMKCEGCVNAVKNKLQTIHGIKNVEVDLSNQVVRILGSTPVKTMTEALEQTGKKARLIGQGVPEDFLISAAVSEFKGPEIFGVVRLAQVNMELARIEANFSGLSPGKHSWSINEFGDLTRGAASTGKVFNPLNEENTKEPLGDLGTLDVNEKGEAFFTGVKEKLRVSDLIGRAVVLYATEDKSEHGIAAAVVARSAAVGENYKKLCTCDGTTIWEASDADFVPSKF >RHN43200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43972415:43972986:-1 gene:gene49740 transcript:rna49740 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHSIATLRSITNVVQDQSYQSQFQQYQQQPRQRASGQPQFDSIPMKYAVLFPDLLRRKLVQTRPPPRMPEKLPTGYRPDLSCVFHQGVPGHDIERCFAFRNEVQKLIQDKVLRF >RHN68689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37836421:37840435:-1 gene:gene17073 transcript:rna17073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sieve element occlusion MASANPRQLSAASNRMQLKKERRMFSTSDDSAMTKQVQATHAPDGREIDVKPLIQIVDEILIQIIARSVEGHEHVKHEQDTLETSAALAEFDMLDALAFIINKISCELSCKCSGGGDAHASTMVLLNYLSSYPWHAKVVLTLAAFAVIFGEFWLVAQSSVSNTLAKSVALLKQLPDIVENSVSLRPQFDALNKLVKAALDVTMCIVEFKDLPSEYISEDAPPMSVASAHIPIATYWVIRSIVACASQIASLIGMRNEAISSASEAWELSSLAHKVTSIYEHLKNQLALCYQSIDEKKHIEAFLYLVHLFETVHVDNMKILRALIYAKDDIPPLIDGTTKLRVSLEVLRRKHVLLLISDLDLSLEEIMILDNLYKDARSRGETHYEMVWIPVVDKATWNEVNKQKFEYLQSTMPWHSVRDPFIIEPSVIKYIKEVWNYTKRAILVALDPQGRLSSQNALHMIWIWGNLAFPFTSEKEESLWKQEIWSLELLVDGIDPALLDWMTEGKIICLYGGEDLEWIETFTKTAMNVARTSNFELEMVYVGKSNAKERMQRMITTFNNKKFSYFFPNVTSIWFFWARLESMLYSKLQHGRTVENDKIMSEVMTVLSFDGSDRGWAIFCRGPSEMARAKGDTALTSLRDFDKWKHRIEQDGWVPALNDYIKEIQQPHHCNRLILPGSTGGIPQKVVCAECGRQMEKYFMYRCCVE >RHN60141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21656947:21673004:1 gene:gene22379 transcript:rna22379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative retrotransposon gag domain-containing protein MAGRNDAAIAAALEAVAQAVGQQPNATAGNDGVSMLETFLRNHPPTFKGRYDPDGAQKWLKEVERIFRVMQCSEVQKVRFGTHMLAEEADDWWVSLLPVLEQDGAVVTWAVFRREFLNRYFPEDVRGKKEIEFLELKQGDMSVTEYAAKFVELAKFYPHYTAEIAEFSKCIKFENGLRADGIRR >RHN45955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27230132:27231196:-1 gene:gene40385 transcript:rna40385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MTEARWLNENHIPTTEEYMRISKKSGAYPLLILTSYIGMGDIATKEIFNWVSNEPRIVNAAATLCRLMDEIVSSEFEQKRGHVCSLLDCYMKQFDMSREAAIQECKNRMTIVWKDINEECLRPTEVPMPFMTRVLNLSRFMDVIYKNKDNYTDSDGLMKTCIKEVLVDPVPI >RHN64175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57091933:57093046:-1 gene:gene26962 transcript:rna26962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 7-O-beta-glucosyltransferase MTWVISFRSPPLPSLVSPYLLSPSWNPLRINPSLKSHTDLDLFVVPNFPHSITLCTKPPKSFTGFMEMVHETMLKTNGLIVNNFAELDGEECIEHYEKTTGHKAWHLGPVSLIRKSVQEKAERGHESVVGVQECLWWLNSKRHNSVLYMMTLGHIALLL >RHN47677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41457374:41461222:-1 gene:gene42302 transcript:rna42302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MTLSLQNEDSEFALKQIGNEGLTKQILSKGLTWQTPFSGDQVEVHFRGQVENGPSLESSYDKGSSFHFKLGQGEVIKGWDEGVATMKKGERAIFKIPSSLAYGEVGSPPLIPPNATLIFEIEMLCWSSIRDLTGDGGIMKKTIREGEGWATPKELDEVLVKYEARLENGMLLKSDKGVEFNVSDGYLCPAMSIAVKTMRKGEVAELSMKFFYGLISQNSNRTIELDDLSDSNLINIKLELVSWKIVTDITGDKKILKKINKAGEGFDRPNEGSHVKVIYFCKGEDGTIIERKGSKEEPFEFTTQEEQVHEGLERAIMTMKKAEQALVTINAEYFFDHNNLQGNKANNKILYYEVELVDFTKEKPFWKMETQEKLEACEQKKHDGNLLFKAQNFRRASKKYEKAVKYIEFDHTFSDGEKGHANTLRLSCNLNNAACKLKLEEYTEATRLCTKVLEQDPLNVKALYRRCQAYLKTSDLEKAEADIKRALIIDPNNREIKLEYKELKMKQKEYNKYEADIFSTMVSRMN >RHN44744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8055181:8056547:1 gene:gene38904 transcript:rna38904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MGGILSSPAGLHKLQIQKHNAGDEKDMISNLPDDILHYILCFLPIQDVVRTSILATKWRYLWTNVSVINFEIGHVKSYDSKSVDYLDQVDKILHKSNCVVRICVKTQGGIVGVAKVSTFISSVVKHKVHDLKISLKYLKGTDVLPNRFTASHTLNKLHLKFPRALDIPSGISFPGLKTLVVSKVYFRNEKSVEQLFLGCPVLQELTLDNCHWMNIRDIHFAISTLRKLTIYSYRRHLDYYNHSGKCTITIDVKNLLSLCCQSDPEVEFFLVKPTSIVDADIDLGCCTLPDKHYSGQCAIELLSGLSSVKSLSLSDGSLQYLEYSKETLHHLPSFQNLTHLLLRTNTFTSEVVTGILLKTPNLKALHIHTVHLQSCLTSIIYEPCFKDTHVH >RHN82303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52702147:52703720:1 gene:gene6459 transcript:rna6459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exonuclease V MEFSLLFEEWKNHEAKPDLAFVYGGGGSWKSKAMKAGIDRHFQLEQEVLEPMEVNVKSSEDYMALKLVDFINGVNQLLFEGLTRELPIISFDFAQGIWMVGKIDEIRMPKAKKDHNPMLVETKTRY >RHN58377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1320596:1320781:1 gene:gene20284 transcript:rna20284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MIVNVRESTMVCLSEEVARRTTWISNSDLKSPSFHWPSLYFYRTNNTSNFFNAKIMKEALS >RHN59487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11181427:11182716:-1 gene:gene21518 transcript:rna21518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MQRQYQPDLASQLILPDELIIEIMTWLPVKPLMQFKCVNKIFKTRISNPDFVQMHLNKSSQNPHLALMWKHDFHSRTFEQFSVITFPISLLLQNMYTRLHFFRPNFDSPQWLGRDENTTLRCNPYYRLDENYHTWWVVGSCNGLLCLIDVQCSGYNDWPREYYWLYLWNPATRTKSRRTSLSFPSNFKFSFGYDISSKTYKVVAFRVDLDKERGNATSVVKVFNMADNSRRNIQCFPVLPLYWFKREKNNGVYLSGTINWMTLRDYFYSDYEIGNVSSITVEQYVIVSLDLSTESYTELLLPRGFDEVSCVQPTLVVLINCLCFCHDFKGSHLVLWKMTDFGVQESWIQLFKISYENFHSSEYLLKFETMELLPLYLSKNAETLIFANDENDTTFIYNCRDNRGEQIRITNKIWWLWAKDYVESLVPTR >RHN46520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32456835:32457576:-1 gene:gene41013 transcript:rna41013 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVAIGFFLCLALGGCLIDVSFLISWISLIILPLVGSGTVRSLIGYSKS >RHN52377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34445479:34449900:1 gene:gene37042 transcript:rna37042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAMQSLSSSSTSFSYDFNFDVFISFRGTDTRFGFTGNLYKALSDKGIRTFIDDKELQKGDEITPSLLKRIEESRIAIIVFSKEYASSSFCLDELVHIIHYFKEKGRLVLPVFYDVEPSHVRHQNYSYGEALAKHEERFQKSKKNMERLLKWKIALNKVADLSGYHFNLGNEYERDFIEKIVTDVSYKINHVPLHVADYLVGLKSRISEVNSLSELGSNDGVCMIGILGTGGMGKTTLAQAVYNLIANQFECKCFLHNVRENSVKHGLEYLQEQLLSKSIGFETKFGHVNEGIPIIKRRLYQKKVLLILDDVDKIKQLQVLIGEPGWLGRGSRVIITTRDKHLLSCHGIKKIYEADGLNKEQALELLRMMAFKSNKNDSRYDSILNRAVKYAAGLPLALEVVGSNLFGKTIAECESLLDKYERIPHEDIQKILKVSFDALDEEQQNVFLDIVCVFKGHPEEYIQNLLHDHYGYCIKSHLRVLVDKSLIKIKANYYCGVTLHDLIEDMGIEIIRQESIREPGERSRLWSRDDIVHVLQENTGTSKIEMIYLDRSIAKHLRGMNEMVFKKMTNLKTLHIQSYAFTEGPNFSKGPKYLPSSLRILECNGCTSESLSSCFSNKKKFNNMKILTLDNSDYLTHIPDVSGLPNLKNFSFQGCVRLITIHNSVGYLNKLKILNAEYCEQLESFPSLQLPSLEELKLSECESLKSFPELLCKMTNIKEITIYETSIGELPFSFGNLSELRRLIIFSDNFKILPECLSECHHLVEVIVDGCYSLEEIRGIPPNLERLSAVDCESLSSASRRMLLSQKLNKAGCTYIHFPNKTEGIPDWFEHQTRGDTISFWFRRKIPSITCIFLISGFAELPKYNLFVNGYQCFSSIYDEIYDGLSMIHAFLIYLRLDQHINKSFEGKPELYEAFKNNEWNHVELKWSVMEMHDHSQTQEDDHVSAQMGINVSWEGDDFSDSEVEKSNEEGEVRFNFSDSDFSDSL >RHN45181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12666561:12666965:1 gene:gene39406 transcript:rna39406 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRGLHISTNCLSLLFSFIPLFSDGPPPSPPPPPPSSLSIPFLTTTIFTITGSAQICLNRRRPDLRFSHTSFFTPPPPSTHHHRVTIALNSYLAISSLSSFTLIGTPTILYINHPVSGGDQFTMSIGVGMPSI >RHN76953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2668154:2672537:-1 gene:gene349 transcript:rna349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MTDRHKHFLRQPLSGMEDPSADLKPDIAEPVDESLDFAKHFATDKEFTTRDDLLKWVRGEATKLGFGIVISKSNNRGTQTLLLECKRSGVYKKHSNRQDTRFKKCECPFRLRGYFLSSGVWELTVMCGKHNHEMMENLEDNPIAGRLNEEEMKLVHEMTNNTVRPKNILMTLKKRRADDGITIKQIYNARSRYNKSMKGPKSEELVDDDGVPKEDCSDISILPELEAIQIRFNNADYNTKILIKEQLHQIAFPETMAPCPPAEKENWDFYDFETCEI >RHN48999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51668338:51672741:1 gene:gene43778 transcript:rna43778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MEDSEAKSTENQQTEQVCSFFRKPVNRKNMRKRTIENEDNENDSNNEESLMHVQKKNTKADNKLFFSTGSSKSSASAKPNEESEKPSFHFESSKEIQVQHDSKATATLETETDFSRDARAIRERALKQATESLKGKSTSSEDVKLYKGINNYTDHKAGFRREQTIASEKAGGSHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQMEKEWDEAEKARKMRLATGEDAEEEGASLNDEDDDEDALPFACFICRNPFVDPVSTKCKHYFCEHCALKHHAKNKKCFVCNQPTLGIFNVAHEIRKKMAEDK >RHN41525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30768769:30781354:-1 gene:gene47858 transcript:rna47858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MSHILKILLCIDSEEKTKSGANHLGHYIICFAFSPSNNYIFYYVVQFNLIELVTFYAPFIFIYIAVSCCINFSKYHSYVEDHLDWQNSLFLLISLEITQGMAPCFYTLPLFFLSLILLTFHAYSIHFQIPSFNPNDANIIYQGSAAPVDGEVDFNINGNYSCQVGWALYSKKVLLWDSKTGQLTDFTTHYTFIINTRGRSPSFYGHGLAFFLAAYGFEIPPNSSGGLMGLFNTTTMLSSSNHIVHVEFDSFANSEFSETTEHVGINNNSIKSSISTPWNASLHSGDTAEVWIRYNSTTKNLTVSWEYQTTSSPQEKTNLSYQIDFKKVLPEWVTIGFSAATGYNGEVNNLLSWEFNSNLEKSDDSNSKDTRLVVILTVSLGAVIIGVGALVAYVILKRKRKRSEKQKEEAMHLTSMNDDLERGAGPRRFTYKELELATNNFSKDRKLGQGGFGAVFKGYFADLDLQVAVKKISRGSRQGKKEYVTEVKVISQLRHRNLVKLLGWCHDKGKKEYVTEVKVISQLRHRNLVKLLGWCHDKGEFLLVYEFMPNGSLDSHLFGKRTPLSWGVRHKIALGLASGLLYLHEEWERCVVHRDIKSSNVMLDSSFNVKLGDFGLAKLMDHELGPQTTGLAGTFGYLAPEYVSTGRASKESDVYSFGIVALEITTGKKATKVMKQKNEEQGMIEWIWDHYGSGELLVAMDENLQNDFDEKEVECLMIVGLWCAHPDVSLRPSIRQAIQVLNFEVALPNLPPKRPVATYHVPSSSVSSVEASITNSLQDGR >RHN79674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31152450:31153169:-1 gene:gene3496 transcript:rna3496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MRLINSFVFVYAVVGGAKVTFTNRCEYTVWPGTLTGDQKPQLSTTGFELGSGVTISVDLPSPWSGRFWGRTGCFNNNGKFSCATADCASGQVGCNGAGAIPPATLVEITVATDGGQDFYDVSNVDGFNVPMSVTPQGGSGDCKTSSCPGNINVVCPSELQVIGTDGSVIACKSACLALNEDKYCCRGDFNTEEKCPPTNYSMIFKNQCPGAYSYAYDDKSSTFTCFARPDYAITFCPSA >RHN62107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40771479:40775655:-1 gene:gene24641 transcript:rna24641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MPYTKNMDEEETTKNKGKKPKHTFQTLFSTMTRKPVFKQTLIIFFVLLLLYALFNTFFQPTDSSTFDSSTFSFNSATSSVLLSSAASKSPAVKVYLYDLPKRFTYGVIHHHSLARGSRANTDEKDVTSFKYPGHQHMAEWYLFSDLSRPDSERSGSPVVRVSDPEEADLFFVPFFSSLSLIVNPVRPAGSGSVPEKTAYSDEENQEALMEWLEMQEFWKRSKGRDHVIVASDPNAMYRVVDRVKNCVLLVSDFGRLRPDQGSLVKDVIVPYSHRIRTYDGGIGVDKRNTLLFFMGNRYRKEGGKIRDTLFQILEKEDDVIIKHGAQSRESRRAASQGMHTSKFCLHPAGDTPSACRLFDAIVSLCVPVIVSDSIELPFEDTIDYRKIAVFVETAAAIQPGYLVSILRGMAPDRIVEYQKELKEVKRYFKYDEPDGTVNEIWRQISKKLPLIKLMINREKRLFTKEPDCSCVCTNQTAIGTL >RHN53819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4366975:4370742:-1 gene:gene28769 transcript:rna28769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amylase MAQTLRFSISLINQKETRVLKSLDDFFSNKVSCPKMKPSIGHKLKAKSSIQETHFTTDNNNSAVKKDKKWEKIHTSSVTHNHDGDSNRVPVFVMLPLDTVTMGGKLNKARAMNASLMALKSAGVEGVMVDAWWGLVEKDGPMKYNWEAYAELVQMVQKHGLKLQIVMSFHQCGGNVGDSCSIPLPPWVLEEIRKNPELVYTDKLGRRNPEYISLGCDSVPVLAGRTPLQVYSDYMRSFRDRFTDYLGNVIIEIQVGLGPCGELRYPSYPETDGTWKFPGIGEFQCYDKYMRSSLEATAGAIGKKEWGTSGPHDSGQYNQFPEDTGFFKREGTWNTEYGDFFLDWYSSKLVEHGEKILVSAKSIFQTSGVKLSAKIAGIHWHYNARSHATELTAGYYNTKFHDGYIPIAQMLAKHGVILNFTCMEMKDNEQPCDANCSPEGLVNQVRMATKIAGGELAGENALERYDSSAYGQVLSTSGLSAFTYLRINKRLLEGDNWRKFVDFVVSMSDGGKLRLAESDSYGTDLYVGHIIKENDVIIEVALV >RHN49536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55481705:55485070:1 gene:gene44378 transcript:rna44378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant organelle RNA recognition domain-containing protein MDPKQLSLTSPKLSFLSSSSSFTPLPFFISNKLHFLQKPNFSLKLHSSLKKSQFLGTNLRLFQSNSSHVGGTRFNKIMPISAAVKRRKELPFDNVIQKDKKLKFVLKVRKILVSKPTRVMSLQELGKYRRELGLDKKRKLIVILRRFPGVFEIVEDGCYSLKFKMTSEAEKLYLEELRVRNEMEDVVVTKLRKLLMMPLEKRILLEKIGHLANDLGLPREFRDTICHRYPEFFKVVQTERGPALELTHWDPHLAVSAAELSAEENRIREVEEQNLIIDRAPKFNRVKLPKGLSLSKGEMRKIMQFRDIPYISPYSDFSMIGLNTPEKEKHACGVIHEILSLTLEKRTLVDNFTHFREEFRFSQQLRGMLIRHPDMFYISLKGDRDSVFLREAYRDSQLVDKDRLLLVKEKLRSLVDIPRFPKGRGAGRTRDGDGMGENDIENRQDESGEEEQEWSDADDLISDDDDDDDDIDDDWIDEDDDDSPPDFDDEEAETSEIEKRKTITRVQDARQNNEKVLVPSFPDGRTRERW >RHN50963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12366043:12369342:-1 gene:gene35320 transcript:rna35320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSNYILKIFYVLQLLLLLTSGFTHEFKNTTIESVESKCIEREKQALLKFKQSFLDDSYMLSTWKDDNKDGDCCKWKGIECNNETGHVKKLDLRGDDSQFLVGSIDFTSLIVLQNMEYLDLSHNLFQGNSISEQIGSLTKLKYLEYLDLSEMFYGTNGEIPSQLGNLTRLRYLNLRDNYNIVGEIPCRLGNLSQLQYLDLEGTSFTGVIPFQPGNLPVLHTLKLDVYYHFTDSNIKWLSTLSSLTSLSLSGQYRRFVFFHYLLQTIMKFIPNLRELRLVDFGLIDTDVVSLFHSHSNFSNSLTILDFSDNMLTSSTFQFLSNISLNLQELRLSGNNVVWPSHFYPNFPSLVILDLSTNNISSSQFPGIRSFSSKLQELYLENCMLTDKSFLVSSTSVVNSSSSLLILDVSSNMLRSSEIFLWAFNFTTNLHSLSLFGNLLEGPIPDGFGKVMNSLEYLSLSENNLQGDIPSFFSNMCTLDTLDLSNNNLSGEISSFINKNSVCNRHIFTDLDLSHNRITGALPECINLLSELEYLYLDGNALEGEINELHLTNFSKLKVLSLSYNSLSLKFPLCWVPPFKLVALNLASCKLGSSFPSWLQTQRSILRLDISDTGLNGGVPEWFWNNSQHMILMNMSHNNLIGTIPDFPYKFPQSSAVCLNSNQFEGGVPSFLLQASHLMLSENKFSHLFSFLCDKKAPPTNLATLDLSNNQIEGQLPNCWNSVNTLLFLDLRNNKLSGKIPQSMGTLVKVEALVLRNNSLTGELSSTLKNCRNLMLLDVGENLLSGSIPSWIGENMQQLIILSLKGNHFSGIIPIRLCHLRNIQLLDLSRNNLSEGIPTCLENFTSLLEKSINTSETESQIYSTSPGYLFIYGVISEDYTFNIPLFWKGMERGFKHPELRLKSIDLSSNNLTGEIPKKIGYLVGLVSLNLSRNNLSGKIPSEIGNLVSLDFLDLSRNRFIGKIPSTLSKIDRLEILDLSNNSLSGRIPFGRQLQTLAPSGFEGNLDLCGEPLEKKCPEDTTTVNPQGSEIHGEDGNSVFHEGFYMCLGIGYFTGFWGLLGPILLWRPWRIAYIRFLNKLIDYIYVLVAINVTRFQMWLKH >RHN55212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16147111:16164989:-1 gene:gene30357 transcript:rna30357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipid-translocating ATPase MNQSVPSSRNVRIGRVKPQAPGNRTIFCNDRLANHHLRFKGNSISTTKYNFFTFLPKGLFEQFRRVANLYFLTISILSTTPISPVSPITNVLPLSMVLLLSLIKEAFEDWKRFQNDMAINNNMIDILQDKEWVSIPWKKLQVGDIVKVKQDGFIPADLLFLASTNVDGVCYIETANLDGETNLKIRKALEKTWDYVTPEKASEFKGEIQCEQPNNSLYTFTGNLIIQDQTLPLSPNQLLLRGCSLRNTGHIVGVVIFTGHETKVMMNAMNVPSKRSTLERKLDKLILTLFATLFMMCFIGAIGSAIFVNKKYFYLHLDSSEENGLAQFNPRNRFVVFLLTMFTLITLYSTIIPISLYVSIEMIKFIQSTKFINNDLRMYHYETNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEVYGNGVTEIEKGIAERRGIKLEENISLNAVRERGFNFDDARLMRGAWRNEPNPDSCKEFFRCLAICHTVLPEGDEFPEKIRYQAASPDEAALVIAAKNFGFFFYRRTPTKIYIRESHAEKMDKIQDVSYEILNVLEFNSTRKRQSVVCRYPDGKLVLYCKGADNVIYERLVAGSNDIKKVTREHLEQFGSAGLRTLCLAYKELHPDVYESWNEKFLQAKSSLSDREKKLDEVAELIENDLILIGSTAIEDKLQDGVPACIDTLQRAGIKIWVLTGDKIETAINIAYACNLINNEMKQFIISSETDAIREVEDRGDQVETARFIREEVMKELKKCLDEVQSYFSSLSAPKLALVIDGKCLTYALDSSLRVMLLNLSLNCHAVVCCRVSPLQKAQVTTLVKKGARKITLGIGDGANDVSMIQAAHVGVGISGMEGMQAVMASDFAIAQFRYLADLLLVHGRWSYLRICQVVMYFFYKNLTFTLTQFWFNLQTGFSGQRFYDDWFQSLYNVIFTALPVVMVGLYDKDVSASISMKYPELYMDGIRDVFFKWRVVAIRAFLSVYQSLIFFYFVSSSSLSAKNSDGKIFGLWDVSTMAFTCVVVTVNFRLLMNCNSITRWHYISVGGSILGWFLFVFLYSGIRTRYDRQENVYFVIYVLMSTSYFYIMLILVPVAALFCDFLYLGVQRWFFPYDYQIIQELHRHESDDSASVRLLESGNQTPSDERSHEISQLPREVSTHTGFAFDSPGYESFFASQLGIHAPKRPGMSQDVLAQDPGRRMYKKSNMVLDGCTCTFILVLVCKPSIGGGL >RHN69025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40374574:40375002:-1 gene:gene17451 transcript:rna17451 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLVVSVLSKVVGVRREARDLLRMGFDTRPSDGPKLLAEVGELGFIMVDSGGKVEAGVVLVAMEV >RHN69582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44594122:44597342:-1 gene:gene18065 transcript:rna18065 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEYNRSRSGPQVPMYRAPPSIYPKIGPHPHSAAPRPPPFQHQNPNPSPSIGLGIKVAIKPEYKIAPPPHLLPHVGDIPRSNFQFDFGLERKILAEAEKENPNWTKFGVENLPTKASDSSPSSKVTTADPIVNKYIAMGLSRDVVPIAVKNYGDNPTKVQEFVKGYTLLHEMGFSSNSVDEALLMYDNDTDKALAYFLNGSS >RHN65215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:291709:292621:-1 gene:gene13034 transcript:rna13034 gene_biotype:protein_coding transcript_biotype:protein_coding MWLILSKSLTSDKIWYICHYLTSRFYRDVLCLTTNFKMVSEPLLKSIVPSAIKFSLSADHPSFMSMNQAQQCLS >RHN52305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33674942:33676005:-1 gene:gene36965 transcript:rna36965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MCCRFHWFWCIYYSIYAVIFFFMSFSIIAWIVISPSSVKFHITDATLTEFNLTNNNTLYYNFKVNVTIRNPNNNIIVYYRRITAISWYKDNYFGYVSLTPFDQGHKNTTFFGPIEFKGNTKINLGRKQLDEYFEERSLGIHNDLAVDFDVRIRAKFGSFYKSGRFNTPVVQCRRLSVPLISSSNGNSSSSTFSFRDRRCSSGSFFTDRDADAGA >RHN63206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49349439:49351280:1 gene:gene25882 transcript:rna25882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MANFEEVSALKRIKFHLLGEFSPLPSPISTPCFDFDFDFEFQTNQTASQSESNSSTSISMNQYFTNLLETDAQIPLFEFDTKPQMMEHESPKALTSSHPFSQSQRTTEKKPKLARKPSLEIALPKKTEWIQFSKPDPKPEAVVQKPEAVKKQHYRGVRQRPWGKFAAEIRDPNKRGSRVWLGTFETAIEAAKAYDSAAFRMRGSKAILNFPLEVNAAAATETAVTAVETTGDGNKKRCREEEEEEVVEVKPVVKKEKITEYDMSCFKEMPLTPSFWTGLWDGDVKGMSSVSPLSPLSSFCLSPLVVV >RHN52007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30168408:30174245:1 gene:gene36614 transcript:rna36614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aromatic-amino-acid transaminase MTQTQKKPTNPKKTQSEPPSSSSSSSRTGMKLIVPLQAVVQGRNGFLLGSLIPLSLFYFLQLYLKRRRSENNNNTPSSPTTLLLPRSSSRSNLSSRGSISRVRVSKLANVVAKRDDSLYYVGIERVEKDPYHVKFNPNGIIQLGLSDNKLSLDLIEKWLVKNLEGSMMGNEDINLSINGIAPYQSFHGLNELKIALADFMHQVMGGSVEFDPSNMVLTSGATPAIEILSFCLADHGNAFLVPTPYYPGFDRDVRWRPGIDLIPVHCRSTDNFNLNITALEQAFSQARKRGVKVRGILISNPSNPVGNILTPDMLFSLLDFAEDKNIHIIADEVFAGSTYGSEEFVSIAEVLDSEYIDKSRVHIVYGLSKDLSIAGFRVGVIHSHNKTVLDAAKKMSRFSAISAPTQRLVTSMLSDKRFIQEYIETNRNRIRRVHDEFVDCLTKLGIKCAKSSAGMFCWADMSGLIRPYSEKGELELWEKFMSVAKINITPGSACHCIEPGWFRICFTTISFEEIPVVIDRIRKVVESCKSSD >RHN76114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47793654:47793968:-1 gene:gene12393 transcript:rna12393 gene_biotype:protein_coding transcript_biotype:protein_coding MCNRTLHIKRPTYMHNYTKYPHYDPYYQPHIYFENGSWSYFSACTNVLLPIKDVADANDPFTFITADVSIAVKVTEGKGKKTKKKLQRRLQRDVAGAPPPTIKT >RHN46980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36202927:36206322:-1 gene:gene41524 transcript:rna41524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MEENFREELNEPVSPMGQCLDNTSLCLHILAFLEFQVPIHDLPIFSLVEDAFLYIPRFTSIMVHDGRGVKRWKQIEVKLEEHIIEPKFIDDGMSVDSNDKHFADYISKMAMEDLPRTRPLWQVHVIKYPTSNAAGTLVFKFHHAIGDGYNLMGVILSSLQRADDPSLRLSFPSLKSPRPKSISRFIMNKMSQYLSMPFTSVSEFGWSLLKSTLVEDDKTPIRSGVEAVEFRRTKLSNVTFSKDHIKEIKSNLGVTINDVITGIIFYGIRLYMQNIDYRSRAFSSTALVIANTRHIKDYQIVQDMLKTEKGAWGNHITYYHVSVPKLQDIPISNPLQFVRKAHTSIKRNKNSFANPLITKLLRMKNKLEGPEALAKHIHGTMRKSSLLISNVAGPIEQMAWANHPIGGFFFTLSGIPQSLVITVMSYMGMLRVTTTTEEEFIDEQKLTWYLNKAFDIIRHESIAKENIPKWNKFFKYVL >RHN82392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53383804:53384561:1 gene:gene6555 transcript:rna6555 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQRFKLFATQCGVEKSPTLSPRTSPLIQLPRPKTTLRTLLGLSLTRPQRRQGHVSVLEKKDKDSMRRSSLKDLFVSSPSREEDEEGHASSPMLGNMGLFRSDDTGLNNPVWTAFRCRSLLKRKAWRPMLLTITET >RHN55874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24967737:24971366:1 gene:gene31153 transcript:rna31153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MSNLGTSLLVPYVQELAKQPNIEVPEQYLQPNQDPINVSNTSSLQQVPVIDLNKLLSEDATELENLDQACKEWGFFQLINHGVDHLLVENVKIGVQEFLSLPVEEKKKLRQTPEDMQGFGQLFVVSENQKLEWADLFYTTTLPSYARNTRLFPNIPQPFRDNLETYCLELQNVCITIIKHMAKALKVEPNEILELFEDGGQQMRMNYYPPCPQPENVIGLNPHSDAGALTILLQANDIEGLQIRKDGQWISVKPLTDAFVINVGDILEIITNGIYRSIEHRATVNSEKERISVAAFHRPQISKVIGPTPTLVTPERPALFKKLTVEDYYKAFFSRKLQGKSCLDIMRIQNENDM >RHN67822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30512731:30515535:1 gene:gene16061 transcript:rna16061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MPKKMALNLHHNLFFFFIMLVSILFMASAQLSSDFYGTTCPKALSIINSAVCSAVSKEHRMGASLLRLHFHDCFVNGCDASVLLDDTSSFTGEKSAGANVNSLRGFYVIDNIKTQLETACPGVVSCADIVAAAARDAVVALGGPSWSVGLGRRDSTTASKDTATNDIPSPLMDLSDLISAFSNKGFTTKEMVVLSGAHTTGQARCQLFRGRIYNETIIDSNFATSVKSNCPSTGGDSNLTSLDVTTNVLFDNAYFKNLVNKKGLLHSDQQLFSGGSTDSQVTAYSTSPSTFYTDFASAMVKMGNLSPLTGNNGQIRTNCRKVN >RHN59694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12658599:12659282:1 gene:gene21781 transcript:rna21781 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhK MNSIEFPLLDQKTKNSVISTTLNDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDAITKLRKKISREIYEDLICYQRENRCFTTNHKFHLGRSTHTGNYNQGLFYQPSSISEITSDTFFKYKKVKYPPTNEKVN >RHN45746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25207085:25208211:-1 gene:gene40136 transcript:rna40136 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKTSNHFPTNILHQIPTIPFLTLQNHNHHHHSLSTITITSLFKFTSKKSMAVFSRNKRITNLFNNDARARLIIVADQDKLNSGVVVEEGISLSALVHGFLEEHTNGNDDSVANEFDSDRVDSFNDFLALKRLNDVFSNNAHSYKTTLLKHVTEASENFAFFKERNASIFRRKVAEFLREEGHDAAVCVTKWEPYDGAVTAGSHEFIDVVQTRSATATWRYFVDLDFRAQFEIVKPTRRYSEVLNLVPGVFVGGEIELKRTVSIVCDAVKRCFKSKEASIPPWRKNRFMQNKWFGPSKRTVNPVQGKPVRVNGVSCRLLGFDDVVMEIRRGGGVTVRAR >RHN70567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52338636:52339279:-1 gene:gene19160 transcript:rna19160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chorismate mutase MAISRRIHYGKFVAEAKFRESPRDYEPLIRAKDRKALLKLLTSKNVEEIVVKRVEKKAMVFGQEVSLDHDVKGNYKVDPAIVSRLYKKWIIPMTKNVELEYLLRRLD >RHN71899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3446654:3446983:1 gene:gene7547 transcript:rna7547 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTRCATFFAMLVFLKLLGSLQGLSNSIPNFYSGARLYPPPSPRVSSQVPALAKNCDLLGKILGLVSDHGSIGSDSEALTNFDTSIRQPRRPKQSTEIYDIAVAPSDD >RHN42356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37659246:37659749:-1 gene:gene48788 transcript:rna48788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MIGVLQISGERSLEKEDKNDKWHRVERSSGKFVKRLRSLKLRQFISLVKHKNEFYVRMEFFTLF >RHN49581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55783341:55785871:1 gene:gene44427 transcript:rna44427 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSLIKLPILSSIKPRQSTSKHVVPLPSKFPQKFSLDHQINIKQTSLLSLTAITFPFLLDTKDALAAGGEFGIFEGRTFALIHPIVLGGLFFYTLYAGYLGWQWRRVRTIQNDINELKKQLKPAPVTPDGKALETSPASPVELEIQKLTEERKELIKGSYRDKHFNAGSILLGFGVFEAVGGGLNTWLRTGKLFPGPHLFAGAGITVLWALAAALVPPMQKGSETARNLHIALNTLNVLLFMWQIPTGLDIVWKVFEFTKWP >RHN42055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35106040:35107545:1 gene:gene48446 transcript:rna48446 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYYDNGIIWYFLPAMPGIEYWFHHPSTQVSVTLELPPNLLGFAYYLVLSPGHMGYGVDFGCECYLDNSSGERIYITSFTRSNFYHKSCDFINASIHMMSHHVVLWYDPRSCKQIMEAVEETKFINDVIINYNPKLTFRFFIEETQRNEEMIVECGFHWIYPFEASAIQNRTEDIKSNDQEYTVPPTNK >RHN48696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49550045:49550321:1 gene:gene43439 transcript:rna43439 gene_biotype:protein_coding transcript_biotype:protein_coding MHVNTIWYHSSVQLFPHKLLLTIFSINSEDSFGLGASLCIQMPLH >RHN41803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33126014:33130651:1 gene:gene48164 transcript:rna48164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diacylglycerol O-acyltransferase MEKVFVGSDKFAESSCNSFNTVLALLLWLGAIHFNGVVMVFALFFLPFSKALLVFGFLFVLMVIPIDEKSLFGRKLARYICKHACSYFPVTLHVEDIKAFHPNRAYVFGYEPHSVLPIGVVALADSTGFMPLPKIKVLASSAIFYTPFLRHIWTWLGLTPATKKNFTSLLDADYSCILVPGGVQETFFMEHGCEIAFLKARRGFVRIAMEKGKPLVPVFCFGQSDVYKWWKPGGKLILNIARAIKFIPIYFWGIFGSPIPFKHPMHVVVGRPIELEKNPKPTPEEVAKVHSQFVEALQDLFECNKTRAGYPNLELRIV >RHN76362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49683267:49690401:1 gene:gene12674 transcript:rna12674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain, RNA ligase/cyclic nucleotide phosphodiesterase MIGCRSLLRVHRFLRFTNLIHFQGQRTYYGLSCDSIMGGRKKRVVIDDHSNKQKAMTSIWRPVSTNASSCEESLLTAATVESKDGDRVQEVGCSTSGTISNEYDMKVAAESIDENTESAASSSQLQGDVENKVLGDSSLSTEKHSISVQVGASLFRFIKGKGGFTQKKIEEETKVKIIFPSSKEDEFITIEGISIDSVTSASEKIQAIIDEAVRSRSLDYSHLVSLPLAIHPELVSKLINFQHTILGNDDSSIDENLDTDSNEAEDINDNKEVDQLSKKKADVAVELKVDDDRKSVKVNPTSIPLVSYAPKASKAPTSSDLGIEKSIFIKPKTFHLTVLMLKLWNKDRVKTATEVLQSISSEVMEALDNRPVSIRLKGLECMKGSLAKARVLYAPVEEIGSEGRLLRASQVIIDAYVKAGLVLESDAKQGLKLHATLMNARHRKRTKQKRNDVSFDARNIFKQYGSEDWGEYLIREAHLSKRFSFDENGYYHCCASIPFPENMQVE >RHN57817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41892113:41893624:-1 gene:gene33406 transcript:rna33406 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLHLHSSLFLMHTKLKPQQQHRLRMEHNTKLLWGEPSKTMPHSKHFSSVRTQAIPDFIVVAATSLPKPADPSTFLPVSALLISVYFIGNFIVPDLISKYFGFDKLEGDQKVDDAEDK >RHN38547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:99189:100489:1 gene:gene44500 transcript:rna44500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MKAGAGKGRKACLIVTTVFIAIVLLIVILAFTVFKSKHPVTTVNSLKLRDFDVNLDIAKLRVDLNVTLDVDVSVKNPNKVGFKYSNTTAHLNYRGQLIGEVPISAGDISSGETKGFNLTLTFMADRLLSNSQLFSDITSGTLPLNTFLTIFGKVNILGFIKVHVISSASCDFAVNTSNKTVGNQECQYKTKL >RHN59461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10960188:10960520:1 gene:gene21492 transcript:rna21492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative repetitive proline-rich cell wall protein MASFRFLVLLLVSLTATAHGCIDCNKQRPSFGTKCCDPHYYEIPTEKLSDVYKPLVEKPPVYKPSVEKSNEYKPLVDNHPAYKIPLESPFYKSSIKKPPVYKPLTKATNL >RHN50962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12339223:12345109:-1 gene:gene35319 transcript:rna35319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MNGNFQNNYRGGWNNMPFNDFSQEQFNYNYQHTPTPPCVDNRQLAHQMPTPYVDSRQLAHQMPTSYVENRQLPQPTPTPYVDSKPLPHPAWEQNVDNRQLPHQMSTQYADNWQVPHQLPVPYVDKRQLPPQMPAQYSDNTQLSHQPSLPYVDNRQLASQPPAPQADNRQLPHQTHVPYVDIRQLLHQMQPQYSDNTQLPHQPLVPYVDRQLPPQPLAQHADNRQLPHQLLPPYADSRLLPHQIPVHYADNRYLPHPAPAHYADNKYLTHQTPAPYADNRPLAHQTLALYADNSTLPHQMPTPYADNRHVRHHTPEDCTDKMCLPQQTRESFVDKSPVPPENPEEFADSKCHLSEQLLEDYADESQLPQKAPEDYADKKCLPQHVHCKICGCRIPHKCLEQHNKGRRHQKNLSELRELLKKRKRDKRKNKCAKALGFKVANLKNEGHGSKRKIEGATTGKGMKINNGKKKPVKSSKPGVNALSNFANCLVEIPESESPPIGSEVSTSVAAVTETEMSESKVHNEIQNHVFDSNDQQQSISMELDDLAGSINDNQTGLVNSDSAAIEIFIEPLASAPPDPSLSSFEPLTEHGLHTETEPQVSEAVVCYERQHLSEEISIPLLPSGSMEIDTASEASDETETEDEDESSQSEVEMDVLETESGSTKLPKIPVCLTCGDVGFEETLVYCNKCEECALHRYCLDGPVIFTDEVIWYCEDCEEEVIDTNCTDQDSSDSSDSESEVDSSEEECVADVHPQPIAAPIWRGSLKVYHKSVDKVTRLMGHLSTLACPKVLEEARHIPDVLYGELLQRSAVWPESFKKFGTNNMSIGLYFFPQNERVERYFDQLVHEMISNDLAIRTFIVKAELLIFPSTVLPSEFKRFQSKYYLWGVFRRNQSPSKKSDVGSSGKDASVLPDNNLLK >RHN59657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12595833:12596174:1 gene:gene21728 transcript:rna21728 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbH MATQTVEDSSRSGPRRTVVGDLLKPLNSEYGKVAPGWGTTPLMGIAMALFAVFLSIILEIYNSSILLDQISN >RHN79309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27432641:27438391:-1 gene:gene3084 transcript:rna3084 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLQNDFLPAATPGHFDFSALLNDPNIRKLAEQLQETLHEAPQDDLSNSRDPKYASTMLQIGKNLDFKTMVRRLIWALMQDPSMSSMVEIYTDPSLEGQRKRRTAHLNKDQCLKLILDEIENGGPEVMMRYWNDEKVLKMFGLVMGIRPYFGDAVASFENYVPDETGDMGNEDSKNSGSDLTEDMESEDEGYVTEEDMESEDEGYVTEEDMENEDESTVHHTESTVHHIAVVDGVELCCWKLEERCQEPSVSPIFIKSQMQIESIHEEHSLVEFMLKLNLLDLLFRRYPRGPGPYYRYGYVRAQTSRVRPSLTSTHNLPYQETQQRAGREGLLTILTRVMEEETPSVRFAQEFILALYVYHCLCNVHCLKIV >RHN66884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20821609:20822041:-1 gene:gene14998 transcript:rna14998 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDQVEPAMEYEPGPQGFPGGAYEFSLLPNLLNTWHAGFRSINNFKRTIRGPVS >RHN67438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27252922:27256674:-1 gene:gene15627 transcript:rna15627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Coiled-coil domain-containing protein MISNMETQTPEIGSTSSDASISQANKDESNSVNDELNSVLNVNKLDTGEVDAGRSSFGIEEDPSPIDDGHLTVLQQKEKELIDEVTVRSSEVEHLEQELEMMKAAAEMAFNNQQSVDSYLEQLTEQILAKGNHLSTLELEWDAIRKPLEERKKTLEESLYSSSPDAHVMLQKLREMQQEEKSISSEIRKREEEHSKLLADLEKQQKVASRKSYTHRIEEITKNSRKQDADIERILKETREVQLESNSIHERLHRTYAVADEMVFREAKKDPTGQQVYKLLVNIHKGFEQISEKILAADRIRREVSEYEMKLAAAPSTSRSLDLSKLQSDLDAVIIREDDPLNSRHS >RHN76835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1670697:1671510:-1 gene:gene215 transcript:rna215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fasciclin-like arabinogalactan protein MGFKSSSFLCLALFLTVSTSIQAFDIQKLLDRTPEFSTFNKYLNETKLVGQINRRNTLTVFTLDNGAMSSVSDKMPEAIRAIMATHVLLDYYDPTKLIGAMRKRELLITLYQSSGIAVDQQGYLKVNRTSDGDLAIGSAVSGAPIDVKLVKVVFAQPYNITIIQVAKPILFPGLETQTTGAPSNASAPAAETNVDVSSVFKAPPAKAKNASAPSAAEEPITEVSDSPSPSDEPSESPVEAPAKSPSLAPGPALQGLL >RHN53797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4210253:4214849:1 gene:gene28744 transcript:rna28744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major sperm protein (MSP) MTATPLITISPDELRFHFELEKQTFCDLKVLNNTENYVAFKVKTTSPKKYFVRPNTGVIHPWDSCIIRVTLQAQREYPPDMQCKDKFLLQSTIVGPNTDVDDLPANTFNKDSGNSIEDLKLRVAYITPSSPEGSSEDDAGKNSAQKLDSSSNQAVQLLKEEIEAAARQTRQLQQELDMLRRRRNQRGDPGFSLTFAFFVGLLGFLLGFLLKFLFSSPSTE >RHN59862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14138009:14138204:-1 gene:gene22027 transcript:rna22027 gene_biotype:protein_coding transcript_biotype:protein_coding MESQGRRMAHGVVPPSCKSDGDCKNYKCPPSLPFPYCDHDKCGCGN >RHN80275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36740264:36740927:-1 gene:gene4178 transcript:rna4178 gene_biotype:protein_coding transcript_biotype:protein_coding MYSWNIHLSQISVFIYFLSMVLPSLATLNLPTFLNNDVINEMNM >RHN60586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28491478:28494986:-1 gene:gene22917 transcript:rna22917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MTCSDEQHDRLSNLSDDLICHILSFLSTKECYRTCVLSTRWESICTKIPNLHFQLPENSDPVLSKEIKFVNVALLRRTENIRKLSLNSENGCQPHDVRMWVSKALDLKVQELELDLFLHEKILLPLRLSTCESLVVLKLQGRIQPKLNSSFHVYLPSLKILHLRETVAYSIFDDRTEYALNNFLSGCPHLEELNLAGTFALPINTSFYLLKRLFLCLYIPTSVVKCFPLQINAPSLEVLYLVDFSLAPRKYDFTNLSNLDEAAIFICNPADFNNLYTVLKGLSKVESLALGSNTFHFLGMEAKLDNLHLLTFHNLLFLSVEIYENCSWNMLVSFLHNAPKLKHLAVGRKIEINSRRKEVGNSSWVEPLISPACLTNSLISFEFVGIQNIKTELDFTRYIVSHSSKLEKVKIFTPTSKKRRVEKSLRKGSKKSSVLVWDINSVEDQLDAFKDIKDLFSELFLHNK >RHN78343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14263183:14264600:-1 gene:gene1886 transcript:rna1886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Trihelix family MNEKIENKDQIQQNQESPRKLQNNIIGSGNERLKRDEWSEGAVTTLLEAYESKWVLRNRAKLKGQDWEDVAKHVSSRSNSTKSPKTQTQCKNKIESMKKRYRSESASSDVSSWPLYSRLDLLLRGTGQLSTTTLNNNQAGLVLLEPSSLVMSHAHEEAHQPLVPPPITTAQNSHGSNGVDKLIKVDFNY >RHN61236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34055713:34056447:-1 gene:gene23677 transcript:rna23677 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPEIYLSFFVEIVPIFCWDLIFLPPNVAASFSVNIGPIVICTREEMGENKEEVKKKQIIDDENDADVAKKYRLADDVVARVKDIGNNDTTFQIRTHLGRILKPDDHALGYDLSRGGEGGANTNTNNNLHAAILITKISYAEENGRVVAVQDKWESDYQLFLNYLQQDPKLIFNVRAAEIKPKATHMLGPS >RHN65773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5217461:5223212:1 gene:gene13661 transcript:rna13661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEEEKRLLRSTCVLSTCVKVLFEKIVSSEFAANFRKTKLDNSLLKNLKTRLVLVLTALNDAKEKKITNPAVKEWFYMLRYVVFEVANLFDEINLVQYQVLNNLSSLLKRFDVLVNSIMIKLLERFEFLSSGGDQKGVSNSNSFCNGSPTTGCILFDESSVYYGRDSDIQKLKHVLLSSDEDDCKTGMISIVGMGGIGKTTLAKLLYNDREVKEKFGVRGWAHISKDFVISRVLETILESITSQTEISDVNLNSQLLQCVNEKRKDETSDVYSNLLVLTVQQILRTDVFLLVLDDVWDAKSVNWIYLMDILNVGETGSRIIITTRDEKVALSMQTFLSVHYLRPLESNDCWSLLARHAFGAWKNQQGSDLVEIGREIAKNCDGLPLAAIALGDFLRINLSPDYWNNVLESDIWELMDYDVQHALQLSYHYLPAPLKQCFAYCSIFPKKSILEKNVVVQLWIAEGLVETSTYQENAEEAYFDELVSRSLIHQQSIGDEEATFEMHSLIHDLATMVSSPYSIRLDEHNPHKMVRNLSYNRGLYDSFNKFDKLYEVKGLRTFLALPLQKQLPFCLLSNKVVHDLLPTMKELRVLSLSNYKSITEIPNSIGNLSDLRYLNLSHTKIEMLPSETCKLYNLQFLLLSGCKRLTELPEDMGKLVHLRQLDVSDTALREMPAQIAKLENLQTLSDFVVSKHDGGLKVSELGNFLHLHGKLSISQLQNVNDPFEAFQANMKMKERIDEVALEWDCGSTSSDSQIQSFVLEDLRPSTNLKSLTIKGYVGNCFPNWLSDSLFSNLVYLKISNCHDCLWLPPLGQLGNLKELIIEEMHSIQIIGPEFYARDISPSFQPFPSLETLHFENMQEWEEWNLIGGTTKDFPSLKTLSLSKCPNLRVGIIHDKFPSITEPELSECPLLLQSMPSSDHGFRQLMVPLYSLQQLIIDGFPSPMFFPIEGLPKTLKFLIISNCENLEFLPLEHLDNYSSLEELKISKSCNTMISFTLGALPVLKSLFIEGCKNLKSILIAEDGSSQKSLSFLRSIKIWDCNELESFPPGGLHTPNLIYFAVWKCQKLSSLPEAMITLTDLQKMEIDDLPNLQSFVIDDLPISLKELTVGSVGGIMWNTEPTWEHLTCLSVLRINGNDTVNTLMGPLLPASLVTLWIRGLNNASIDGKWLQNLTSLQNFEIVNAPKLKSLPKKGLPSSLLVLNMICCPLLKASLGRKRGKEWRKIAHIPSIIIDDELIT >RHN81078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43165342:43167040:1 gene:gene5074 transcript:rna5074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MCGGAIIADFIPRRDGRRLTASELWPNSFGQQIDSSNFGFSHTAADQQPPSTLKRSQPPKVNERVEKPLKKQRKNLYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDKEARKIRGKKAKVNFPNEDDEYTIHATRRYNNNPPPIRPQKLPLYHQQHYQKNLNLEFGYDLNQTDTIHAINTGSGGDENSLFGSGSVSEVGFSVMEFNGGSNQNEFGYFGGVVNEHEKEKEKVVEQETHVVEQAEAELAKNEVQELSDELLAYEDYMKFYQIYYDGQSVMPPNNVQEHVVGDLWSFD >RHN77559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7704866:7708275:-1 gene:gene1026 transcript:rna1026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MGFLVLLSLLPILILFIIHIYKIRSTSRASSTPPGPKPLPLIGNLHQLDPSSPHHSLWKLSKHYGPIMSLQLGYIPTLIVSSAKMAEQVLKTHDLKFASRPSFLGLRKLSYNGLDLAFAPYSPYWREMRKLCVQHLFSSQRVHSFRPVRENEVAQLIQKLSQYGGDEKGANLSEILMSLTNTIICKIAFGKTYVCDYEEEVELGSGQKRSRLQVLLNEAQALLAEFYFSDNFPLLGWIDRVKGTLGRLDKTFKELDLIYQRVIDDHMDNSARPKTKEQEVDDIIDILLQMMNDHSLSFDLTLDHIKAVLMNIFIAGTDTSSAIVVWAMTTLMNNPRVMNKVQMEIRNLYEDKYFINEDDIEKLPYLKAVVKETMRLFPPSPLLVPRETIENCNIDGYEIKPKTLVYVNAWAIGRDPENWKDPEEFYPERFIMSSVDFKGKNFELIPFGSGRRMCPAMNMGVVTVELTLANLLHSFDWKLPHGFDKEQVLDTKVKPGITMHKKIDLCLFPRKRKP >RHN68027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32232226:32234539:1 gene:gene16311 transcript:rna16311 gene_biotype:protein_coding transcript_biotype:protein_coding MWELMTATIIFYGLSKFCLRSGSFVHFLCFYGQLWVLISGWFGISTTLQGSLFEHFLHFEGLGDFSYKSRNKRKFAPNAIYTFHDWWFSY >RHN57164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37025937:37027576:1 gene:gene32668 transcript:rna32668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MASKTASSFLYLSLLLSISMPNSATAFNKTPAIFAFGDSTIDAGNNNHIDTTMRCDHLPYGRDLPNQIPTGRFTNGKLPTDYLSQRLGIKDLLPAFLDPQVTDNDLLTGVSFGSGGSGLDSQTVALAKVLDLGTQFQLFEQALLRIRKIVGNEKANDIIQNAFFAISIGTNDMLYNVYMTQNTPHGSASSYQDFLLQNLQNFFERLYGAGARRVMVAGLPPIGCLPVIVTMDSISPSQNWLQRVCNDQHNIDSQIYNTKLQSLIHNLLQTTLHDAKIAYFDIYTPILDMVQYPTKYGLENSREGCCGTGTMEFGPVCNELDMICPDPSKYLFWDAVHPTQKGYSVMINTGLENTLLSYLTS >RHN65070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63992617:63995968:1 gene:gene27963 transcript:rna27963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, CRAL/TRIO domain-containing protein MDAMNQLQELIIKVDHPLQTTFQNVHQGYVTENLTRFLKARDCDPSKAYQMLVDCLNWRVQNQIDNILSKPIIPAHLYRTIRDSQLIGLSGYTREGLPVFAIGVGLSTFDKASVHYYVQSHIQMNEYRDRVILPSASKKHGRPITNCVKVLDMTGLKLSALNHIKVPFSFHCYLIQQDQ >RHN77613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8138627:8139860:1 gene:gene1083 transcript:rna1083 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHSRDERTKKTQTTSSSEWSKSEKEVAEIILDFHCHVGLLNSIPFSWRCKRKRTEVKNNPSTSPAIQNNPDVNAAAGYVVKVEATSSETESDDQLKRHSKKKTSLKKKKESPLEIIQDLTETKDSIRQEIEKVKQYYNNMKNYNLNLKKKKQELLYSTNGPKSALKNPNLEIGQKVQLGQSNGSVNPSKSNTTEDEEYNKNQNQQQFQMQLQMFPNHQNHNYGPSSSSVTLGININNNDNNGLIALPDLNSTAEELIDAASYQQFDETTTNRVLAAAQARKTRLQIFRLKKPFGNNSKQYLI >RHN75572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43399782:43402250:-1 gene:gene11800 transcript:rna11800 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETRPVPRRESPWGITGENHPEPKAHRCNDRVEDVIQACFEGNPFKTVPGPFKLFWQCMRSKPGEEPTEPFTYLDLDPPKRESQPMNHE >RHN54789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12286702:12291359:1 gene:gene29875 transcript:rna29875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MASFLTLPAVSPRLIPTVLSLKRPFTHTPPTLHFKNHRFAVSCSYAGGGVGSFESSTSTIDVVADVKSEKIVVLGGNGFVGSAICKAAVSKGIEVISLNRSGRPTYSDSWIDQVTWISGDVFYVNWDEVLPGATAVVSTLGGFGSEEQMSKINGEANVVAVNAANEYGIPKFILISVHDYNLPSFLLSSGYFTGKRKAESEVLSKFPSSGIVLRPGFIYGKRRVDGFEIPLDLVGEPAERILKSVENFTKPLSALPASDLLLAPPVSVDDVALAVINGVTDDDFFGVFTIDQIKEAADKVRV >RHN77555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7688921:7692772:1 gene:gene1021 transcript:rna1021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MHERMERFDQKKVHAFGSPKPPIQLNPMPINQINQMGFPENHKSSGFGIPPSYPNPNTSLSPKPSSPYPQFMPPQSQTHSRSLSQPTFLSLDSFSLPPLSPSPSPSPYHLSSNPFSESGSKDVSMEDGLGFGAHHVPSPNRGHAVQHGHCLPPRKGHRRSSSDSPLGISEFVNSEPQLVTPQLVSVSDRRNLVSGGEKPGYEKPIQLVLKDRDCVDGFRGESFDGRKENVGAEMDDLFSAYMNLENMHNMSFSGMEDSRTSGSKTVESSDNEAESRVNVKGIGAKGASSSCSDERREGVKRSSNGDIAPSGRHRRSYSLDSSIENFNIEDHKLPPLQGRPGQHSPSNSMDGSKTPEISMEFGNGEFSSQEMKKIMENDKLAEIAAADPKRAKRILANRQSAARSKERKMKYISELEQKVQTLQTETTTLSTQFTKLQMDHQEAKSENKEYKLRLQSLEQQSQLKDALNETLNAEVRRLRRTVADLGGESTLSGLMVRQLAINQQMFQPQHQQPNQLRNFQPQNSVSQEETQTQSQQHIQRNHEFQSKHQNGKTTA >RHN63600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52600744:52601661:1 gene:gene26323 transcript:rna26323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MPTTPSYTAEIGEGEQTNARKEMMIDHQTPLTQSLKCLNKAVIEPVHQKLKCTIKEVEEAKIVLKILPIFMSTIMLNCCLAQLSTFSVQQSSTMNTMIGSFRVPPASLPVFPVFFIMILAPLYNHVIVPFARKITKTEMGITHLQRIGTGLFLSIVAMAVAALVETKRKKTATKYGLLDSTKPLPITFLWVALQYLFLGSADLFTLAGMMEFFFTEAPWSMRSLATSLSWASLAMGYFLSTVLVSVINKVTGAFGETPWLLGENLNDYHLERFYWLMCVLSGFNFVHYLFWANSYKYKSSPNHGC >RHN58689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3970160:3975532:-1 gene:gene20622 transcript:rna20622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MKLNTTTAFGSVRMIDNPLTLGPELSSKLVGKSQGFDADSAENQKRKLCSEAVFRSSCSEALFRSSSEALFRSSSEALFRSSSEAVSDSKVLCELERELREKKVMMKINETKGSRCQFDSAENQKRKLCSEAVFRSSCSEALFRSSSEALFRSSSEALFRSSSEAVSDSKVLCELERELREKKVMMKIMPMIGGIGLFRFAEANTHWFDIKSGDAVVEYNVYVFHY >RHN45341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17188349:17190732:-1 gene:gene39621 transcript:rna39621 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVILWRDHRNKNIIAQKIISNYNKTKNINKIPKIKSDLCGGGEVGTVLVGDMWCTGLP >RHN42992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42434889:42436257:1 gene:gene49508 transcript:rna49508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein MASATRVTNCDLRPPKVSSSRSRPRKAVPVQFSIPEPESANTNIVLQPRLCTLRSYGSDPVGAVIKTRKEEEDMQVTDDVSSFFATLSEYIESSKKSQDFEIISGRLAMMVFAATVTVELVTGNSVFRKMDIAGITEAGGICFGAVTLAAMFAWFSSARTRVDRIFTVSYNSFIDLLIDQIIDGLFYDDSEGEL >RHN81749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48658188:48659739:-1 gene:gene5834 transcript:rna5834 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNVSPLPTITQRGLDVGVRGRPKNLAIRVWIKFCELPPSMRMLTGWLVMVPVRRIVLWRGVPDIACKLNWTGGSGSVSIGGSVSRFSSVLVYSFVVLDSSSSEMRRENFPLQRCPGVNFSSQLQHKLRSRREMSSSGVSLLKLILGWEFIDEFVV >RHN42145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35823088:35828132:-1 gene:gene48549 transcript:rna48549 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYCVKENKECVKWVETYFKDCLCNLRDDISFSLGLMSLVSWGVAEIPQIITIFRNKSSHGISLAFLLTWVAGDICNLVGCLLEPATLYASTTIILLLQIVYYDHILRWCKHRQNVKSKLDNEEEKRPLNPKPSQVYSGIAIPNGTQKEAARGEYYYMSARSLAGSATPPSFTHLRAAKSGPSALEFIHDSSDDDEASQVTSNISTTKPWSIPRSVDGRYGTFLATAINLPLKGNSMRYGYIGFTGIKLLKENEVHSTYGQYLGWIMAAIYTCSRIPQIWLNIKRVFLYEQLSLKASKQICHGCWMPQFAWH >RHN76650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:106787:107693:1 gene:gene12 transcript:rna12 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MRVSPQNSETESTSNSSPYTTNSIQEDQESNNKKLKRNRDSNKHPVYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDAAALCVKGKSAILNFPHLRDSLPKPVSLAPRDVQAAAAKAAQMDIGKFELSSSSSSLSSLSTSSLTTMSTSTSLLMKTTKTLSSSADLSATSEELSEIIELPTLENGYDDVGKEEFVYVDSQAQDYAWMMYQQPMTWLQTTQEEDGCCVGDDGLVNNGVVTSFESFMWNY >RHN58125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43911260:43913988:1 gene:gene33734 transcript:rna33734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclear protein DGCR14 MLQSPGHSPLHLSSPSPSPSISEFSIQNPKKSNSSVQHQKNHRHPTVLDEDTYAEALEKIIERDYFPDISKLRDRLDWLEAIKTGDPVQIRDAQLKIIERRRVGGGKVTPLHTVDSRISRTPGSTFVRNFTPLDEFDGKTPVVNGLGVGEGEKEDVGGVDTNLGLDQFLGRYTSEDNQSFSKILERVNRKRKERFGYLEDSVNSNANGDAVEDEKRDRITDGYGTSYQPPSTLEGWNYTAKNLLMYHPADRGEVPLTEEERAVRIKAATKEIDRVNTRFHGKMMDSRPKEDGTVEMLYTPVAGATPVPMSFRDADKLKKYDLEDLRKTPNPFYLESGKKADNGYSYVKTPSPAPGADESPFITWGEIEGTPLRLDMEDTPIDIGGSADGPHYRIPSAPARDAKAHSLSREAARNLRERSKKFCKPPLASPARGGSASPSMRTLSPAAQKFVRNAIAKSSSSVDETLRASYRGSTPALATPTRVRSVSRLGRDESTVSRSPSVRDGSNPPW >RHN48622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48893543:48896598:1 gene:gene43361 transcript:rna43361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPTISPTLVLSNMYQRPDMNLNFINPTPNQPQQPQPPQPPQPESLDPDKLQEHFDDFYEDLFEELSKYGQIQSLNICDNLADHMVGNVYVQYKEEDHAANALMNLTGRFYSGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRDLRKRLFGRSSRRWNDRSGSRSRSPPRNRNYEGRSYSGRGSGRRDLDRSHGSHGRRPRSRSPRHRGRRSRSPVGRDRSPNPVRGERESSVERRARIEQWNREKEVGDSGNKDNKSNNDYEEAGLKNGSDSGNHQI >RHN80224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36266380:36271023:-1 gene:gene4123 transcript:rna4123 gene_biotype:protein_coding transcript_biotype:protein_coding MDETTTATTTTTTTVTTTTNPILKDTTTLCETLFNQLNAKFEEFFSHLPLHHHENLSGPLPPPDSRLSPLVEHLSLILRRSLVVLTLPFSDQEFLINKCRFILSILKSLLSADITQLSDGTTVLLLRNFLSHVQFQLSDSYRPFLCTVLEVFADELLRHQSLRMHLMMADLAASSNSEKMFVCRSTHCDIASVLEVISAHFILSVSNEKAFENFISRLCLHCDKDFRFPQLGLEPAMALLLDPIVYSAPKIFQAHVISLVYEVIGSSLSSENLSQDVGFYLMAFHKSVSLYSIHVASLHMDGFYIEPNCDYDVSLFKKGHPTFESYIQERTSNRLNQVLSKSNNSLDSSQCKMSSKTKADLLAEYIKYMKGRQYIFADSCRDKATSFLHYIIHRTFSQDAAGDVLYVKQNTSAEDISLLASILKLMSVSLLLAIKCLSNSGVSRCLKTMGSSSVCDEYDFLISIINPFQQLQFCLPIQTSLYDMMKNPQSNYKVSKSMLVHFSGLLSLSFYNGFDVLARGCISVMISLMSMFIFEEGDLVDLGSLRGLPLQSCSSEISFYKSGEGARKKSSVYKIAAEFNRIRTRNLRKGCIVADGSEEICNGELYLDCTLSKNVPDYDELADFIVCREEKDYSSWLNKRQIYRRQKFHKIRGSKKFKKEGVWKSLRLRKLVRF >RHN49049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52079016:52081030:1 gene:gene43836 transcript:rna43836 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFVYRISTAKEWEELQRNGSTFGGELDKSSSFIHLSKLEQVRSTLDNFFLNSKDELYLLQIDAKKLGDGLVYEIVDGSNSFPHFYGPSRSFIPLPLDVVTKAEELSLSNGRFSCSLLD >RHN69349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42808153:42818024:-1 gene:gene17804 transcript:rna17804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LMBR1-like membrane protein MWVFYLISLPLTTGMVLFTLRYFAGPDVPRYVLFTVGYTWFCSLSIIILVPADIWATIASHNENGGISFFWSWSYWSTFLLTWAVAPLIQGFEDAGDFTVSERLKTSVHVNLVFYLIVGSIGLFGIILLIMMHRTWTGSLMGFAMTCSNTFGLVTGAFLLGFGLSEIPKSIWRNADWGTRQKVLSHKIAQMAVKLDEAHQELSNAIVVAQATSKQMSKRDPLRPYMNIIDDMLTQMFREDPSFKPQGGQLGENDMDYDTDEKSMAKLRRHLRNAREEYYRYKSEYITYVLEALELEDTIKNYERRKSTGWEYNSSIRSDRTGKLGSLFNTLEFFWKCVLRKQVEKGMAVLLGIMSVAILLAEATLLPSIDLSLFSILIRSVRTQELLVQAFAFVPLMYMCICTYYSLFKIGMLMFYSLTPRQTSSVNLLMICSMVARYAPPISYNFLNLIRLGPNKETIFEKRMGNIDNAVPLFGDKFNKIYPLIMVTYTVLVASNFFDKVFNFLGSWKRYIFKTEAEDMDGLDPSGIIILQKERSWLEQGRKIGEEQVVPLARNFNGLDIESGNNYVERNGVEMKTTSDLITEEVNGGISKTMDEETRRYGSSREAISSKYAAIRGQGGSSSKLKAEEKNVASYSGLDEGSSNSRNSAGAPSSGLASTWQTMKTGFQSFKSNIGAKKFLPLRQTLENTVSRGSSSESLDEIFQRLKQPSLNQVTYNDED >RHN50976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12562374:12565017:-1 gene:gene35335 transcript:rna35335 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLKLQPAIGGMDTRRYVPAFWLFVNWIAHPYMFLVLFFLGILYCLSYERCYSLCMFCFCSQFIICAPVCV >RHN71077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56308233:56312485:-1 gene:gene19720 transcript:rna19720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small nuclear RNA activating complex (SNAPc), subunit SNAP43 MNMDCFKKDIGELIAQFTQDESKTLADMKRVWISKKFSYIYEACPSTKLAFIMQSLYAHCIGYMVSNVSLSQRLGGLYCLYCLYETQPFKPPFKVYISLGELKNLSILVIDAKANGIGVVPTSVKRMLERNTFLFGAVDLAESSVTETVKQLQQLEKAYSRGI >RHN59239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8714975:8715744:-1 gene:gene21242 transcript:rna21242 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSLKAKEMEKNVFNPIRGRSVKGSKMLRLWEPSKEGLCILKVQKLLQKQRF >RHN54394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9232502:9235166:-1 gene:gene29423 transcript:rna29423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiosulfate sulfurtransferase MKTMIATSFDVSAACFLTRPQILRQNTTITSFSFIKSSKFNKVHRSVVVQPKFSNFRTMCGLKGNLEEVVGVPTSVPVRVAHELLLAGHKYLDVRTTEEFNAGHAPGAINIPYMYKVGSGMTKNSNFVKEVSSHFRKEDEVIVGCQLGKRSMMAATDLLAAGFTGLTDIAGGYAAWTQNGLPTEQ >RHN40025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12353979:12356578:-1 gene:gene46115 transcript:rna46115 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEHTSEVATHGKHCANSKDAAVNPLCKPPFQLFPISDMVQ >RHN47663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41338300:41343007:-1 gene:gene42286 transcript:rna42286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MNREGTEPNIEKWLNDVAAFENVLQSFYEEKVKMNKKCFGGKCPNLTYNYSLGKQASKSIEYIIRLKEEKNEFQLISYHKAPPTLGSTFTEDIKSLESRKIIIKGVIEKLKDDKFKRISICGMGGVGKTTLVKEIIKSVENKLFDKVVMAVISQNPDYKYIQSQIADCLGLSLKSESVDGRGRELIHRLKEIDDDGKIKVLVVLDDVWSELNFDWVGLPSRDNQKCSKIIFTSRNEKECQKMGSQVNFHVSILLKDEAWYLFQSMAGDVVYEPRIYPIAKQVAKECGGLPLAIVIVGKALENEKKLSAWEDAFEQLQNSQSSSFSDVHNFVYSRIELSFKFWGSTEHKKFLMLCGLFPEDFDIPIESLLCHAMGLGLFKAIGEPWKARNRVNSFVDDLKRCFLLLDSNVPGCVKIHDIVRDVVILVAFKIEHGFMVRYDMKSLKEEKLNDISALSLILNETVGLEDNLECPTLQLLQVRSKEKKPNHWPEHFFQCMKSLKVLSMQNVYIPKLPSLSQVSVSLHMLLLEYCDVGDISIIGKELIHLEVLSFAHSKIKELPVEIGNLSILRLLDLTNCNDLKVISTNVLIRLSRLEELYLRMDNFPWEKNEIAINELKKISHQLKVVEMKVRGTEISVKDLNLYNLQKFWIYVDLYSDFQRSAYLESNLLQVGAIDYQSINSILMVSQLIKKCEILAIRKVKSLKNVMPQMSPDCPIPYLKDLRVDSCPDLQHLIDCSVRCNDFPQIHSLSLKKLQNLKEMCYTHNNHEVKGMIIDFSYFVKLELIDLPNLFGFNNAMDLKELNQVKRISCDKSELTRVEEGVLSMSGKLFSSDWMQHFPKLETILLQNCSSINVVFDTERYLDGQVFPQLKELEISHLNQLTHVWSKAMHCVQGFQNLKTLTISNCDSLRQVFTPAIIGAITNIEELEIQSCKLMEYLVTDDEDGDEGDHINKEEVNIISFEKLDSLTLSRLPSIAHVSANSYKIEFPSLRKLVIDDCPKLDTLLLLCAYTKHTNHSTASYLNLDGTGVSHFEENNPRSSNFHSGCTPLCSKLIRQSKKNNKINKAPSVSETKLEIELGGAPLLEDLYVNYCGLQGMDKTRIRSAPVIDGHLFPYLKSLIMESCNKISVLLSFSSMRYLERLEKLHVLNCRNLNEIVSQEESESSEEKIVFPALQDLLLENLPNLKAFFKGPCNLDFPSLQKVDITDCPNMELFSRGLCSAQNLEDINICQNELCITSYINKNDMNATIQRSKACVELKSSEMLNWKELIDKDMFGYFSKEGAIYIREFRRLSMLVPFSEIQMLQHVRILGVGDCDSLVEVFESEGEFTKRGVATHYHLQKMTLEYLPRLSRIWKHNITEFVSFQNLTEIEVSDCRNLRSLLSHSMARSLVQLQKIVVVRCGIMEEIITIEGESIEGGNKVKTLFP >RHN65686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4370981:4371592:1 gene:gene13558 transcript:rna13558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MSTRSLTIFIIAHVLLFMITTSVAQIVIDTSGEPVEDDEEYFIRPAITGNGGGSILVTRNAPCPLHVGLGNSEGTLGVAVKFTPFAPRHDDDDDDVRLNRDLRVTFQGFTGCGQSTDWRLGEKDATSGRRLIVTGRDNGAGSHGNFFRIVQTQTGGIYNIQWCPTEACPSCKVQCGTVGVIRENGKILLALDGGALPVVFQKE >RHN58815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5150874:5151669:1 gene:gene20765 transcript:rna20765 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSNMFHYRNMGDFGYKVRVATEKAKDKAYKAKKCIVKHGGKAVGACKNGWAKLKKSIKGKNRRVEYLGDRH >RHN50906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11711182:11716377:-1 gene:gene35258 transcript:rna35258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MSGRGKKVAIGIDLGTTYSCVAVCKNGEIDIIVNDLGKRTTPSFVAFKDSERMIGDAAFNIAASNPTNTIFDAKRLIGRKFSDPIVQSDVKLWPFKVIGDLNDKPMIVVNYNDEEKHFAAEEISSMVLVKMREIAETFLGSIVEDVVITVPAYFNDSQRQSTRDAGAIAGLNVLRILNEPTAAAIAYGLDLKPFNHGCRNVFIFDLGGGTLDVSVLTFENGDINVKATGGDTHLGGQDFDNAMVNHFVKEFLRKHKLDISGDPRAIRRLKTACERAKCTLSSNNKTDIEIDCLYKGEDFSSVISRGIFDNLSKSLFDRCMESVEKCIEDSGIVKSKIHDVVLVGGSTRIVKVQQRLIDFFGINKGSGTELCKSINVDEAVAYGAAVHAFIASGAICEKFQDLTLRDVNPLSLGINMKGDLMGVIIPRNTTIPTNREKSFTTAEDNLKVISIHVYEGERQITKDNNLLGAFEFEIPPRPKGVLHFVVNFQINDDGILDVSVTEKASGIDKRFKIVNDKGRLSQEEVDRMIREADKYKDEDMRHRKKVEARNALEMYAYNMREFINDPDISSKISSKEKANINNATDLVFKWLDVNVVAEQQDFEHYSSILSSVFDPIVIKMIKDEGHCVQEGTMVGHPVNKKKNRWLPLLAKYCFETVYAATTGDITGIVSSVMIDFIKS >RHN76659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:219983:226454:1 gene:gene22 transcript:rna22 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MSKENSSNNIQVLKLLNQTVSEPHFLFHFLTFFSYLVLRCSASQVLAPNLTQNLLRREIQTLLAFAVLAFIKGVREETWEAFIGDALFLAKICLFALTFTMDRRIAVSYILVFAVIHVLTQQPPSEALGACSKLTPLLLESLLTEGTTTRYWLVEFSASYSSACIRSSQQFPELSITYSSKILSFGIVDLGLFPNAAEKFGVSLSGSMGQLPTFILFDNAAEVARFPVLGSETTFFNPTITKGLLSRHFELDRHLLEYISGKLYR >RHN74047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:25087377:25094240:1 gene:gene9990 transcript:rna9990 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNYRRKRTLRLVKYEELPEYLKDNEFILDYYRSEWSVKDALFSVFAWHNETLNVWTHLGGFLIFAAMAATEAGGGGGFLSNICRVGVTGSLKTGKQINGSHSQNKASQFQDSHLRQILEVSIFDRVREYGTETIPRWPWFIFLGGGMCCLLCSSVSHLLACHSKRFGLFFWRLDYAGISIMIICSFYAPIYYVFFCNPYARLLYLTSISVLGVMAIITLLSPSLSSPRFRTFRACLFLSMGFSGIIPAVHALVSNWGSSHIVVAIGYELLMGILYATGAVFYVTRIPERWKPGAFDIAGHSHQIFHIFVVLGALAHATATLVIIDFRRRSPTCAFY >RHN45472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21253001:21259306:-1 gene:gene39817 transcript:rna39817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MKKKAEQNGMFSGMVVFFVSKGVQARRLQIWKQRMVQMGAVIEDRFSKRVTHVFAVDSHTLLHEFDGQRLSRFKGSLLLYQWLEDSLKSGEKESEDLYVLKLDPQGEGINELDTSLDPEPIDGSLSSEPQQLQNKKIKSSSEDAEIVNLKSNEDRRENAPLSLANTASSHGEVEHLNCVDSRPQHLDSENAASSLPYCPPDLNKKIIEIFGKLVNIYRALGEDRRSFSYYKAISVIEKLPFKIESADQIKNLPSIGKSMEDHIQEIITTGKLSKLEHFETDEKVQTISLFGEVWGIGPATALKLYEKGHRTLDDLRNDDSLTNAQKLGLKYFDDIRHRIPRHEVQEMEQILQKVGENVLPGVTIICGGSYRRGKATCGDIDIIVTHPDGTSHKGFLPKFVKRLKDMSFLREDLIYSTHSEEGTDSGVDTYFGFCTYPGRELRHRIDLKVYPRDIYAFGLVAWTGNDVLNRRLRQQAESKGFRLDDTGLFPAIQGSGGKRGTKGTANMKLYTEKEVFEFLGFPWLEPHERNL >RHN65634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3951170:3952048:1 gene:gene13498 transcript:rna13498 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTFPTTNHRTTTRHDSYLRRQPPWRADHHWNPRFQSNHLYYRPRLPYFIVNLRLTHRLNLRREEIETLIADCKPNPDKFSFQPNESITASLNFNNGTDAISAVVWFWESRLSECRHELSPEFIEMNSDNSKHGDELKARLRSVFMSHVKELMEGKEINRWIKEWDRLSKEIKEVNSLLGKPFPVRVQDENIDRKKMLDGEKNLVEKRLKEFEYAMENILMYLEENNNNNDDDDDVNVIRFGERFDWEKICNFIVRERRRLEDGLPIYAYRKEILQQIYHQQVPYISSIQA >RHN78277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13522868:13523124:1 gene:gene1811 transcript:rna1811 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLGLKCAKILDKNAPGTFSAKKIIKKDWTAIKNGQKIRIKKFWTKL >RHN77574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7816124:7817110:1 gene:gene1041 transcript:rna1041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription elongation factor Spt5, NGN domain-containing protein MERRIHERYGKQRLAEEYDEETTDVEQQSLLPSVRDPKLWMVKCVIGRERESAVCLMQKYINKGSDLQIRSAIALDHLKNYIYVEADKEAHVREAIDLARDTWIRMKIGTYKGDLAKVCTVFLDIHHSIPILNLSPNLYPFQQVVNVDNVRQKVTVKLIPRIDLQALANKLEGREVVKKKTFGSSS >RHN40808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21463119:21464711:1 gene:gene47022 transcript:rna47022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MNQYFMTLLLGNGMKVYTYKELKKASNNFNLANKINQGGVGSVYKGVLKDGRLAAIKVLSLEFRLNADKFLREISVISEIQHENLVELYGCCVEGGHRILVYGYLENSSLEHTLLGKCIIIFFLIKFFWENLRTMYILFHQNILHELNAETFDFQPHIAGEGVSNIKFNWQARCRICIGVARGLEFLHDQEQPNNVHRDIRASNIVLDEDLKPKISDFGLANLIRQDVTRLISLCPMAPEDVFMGPLTRKSDVYRFGILLVEIVSGRSDRRILEQTWKLYNRRELVELVDTSLDWGWCLEEACKYLKISLLCTQSSPRLRPTMSSVLKMLIGEMDVDESKITRPGLVSDIP >RHN74645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35437997:35438423:1 gene:gene10749 transcript:rna10749 gene_biotype:protein_coding transcript_biotype:protein_coding MCVAGSFHGGNWCETSKIDSALQNSNLGDYIRSHPLIYCHFSFCFLTISRVKENI >RHN43320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44899926:44904201:-1 gene:gene49872 transcript:rna49872 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SYMREM1 MEESKNKQLELVDTLTPLPQSESEPREFSYFLEEKEPGNEGTSSSVVKQERVVSDHATSSVDQTTAAGTDTKDSVDRDAVLARVESQKRLALIKAWEENEKTKVENRAYKMQSAVDLWEDDKKASIEAKFKGIEVKLDRKKSEYVEVMQNKIGEIHKSAEEKKAMIEAQKGEEILKVEETAAKFRTRGYQPRRLLGCFSGLRFFS >RHN67543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28120226:28120998:-1 gene:gene15741 transcript:rna15741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >RHN73921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21622148:21627227:-1 gene:gene9821 transcript:rna9821 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAPITVFMFRDSEGFASAISQALSSSSFTRLEDSFELSLEGYGIKDHKASGNVLHYLDNHRSYKVSIVIMQHYEPPVLACALNEVLNKIVGGDPSSMPTLLVPFLVESSKVKGNSKSLRSDESRALTYGIQIGQITETMQTLLKKTQEPPSSLRIQQENFACFLHFVRVMKLPTFFLIGQTSQYLDNKSPKQHEAICEIGEILASSTGLEFSEDRVIWNPKKTLKEIKEPWRDLYG >RHN41773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32808980:32816350:-1 gene:gene48131 transcript:rna48131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MRPRNNNNNNRFNFNLNSNNLEESPKSRRRITFLNNRVSLLMAGQSRKWMILVVTIWIQAFTGTNFDFSQYSSSLKSALEISQVQLNYLATANDMGKIFGWSSGLALMHLPVSVVMFIAAFMGFLGYGVQWLLINHFISLPYFLVFLLSLLSGCSICWFNTVCFILCIRNFPVNRTLALSLTVSFNGVSAALYTLAANSIDPSPDSLYLLLNALVPLFVCIAATIPILRQPPLDPLPPDAVNRDSLVFLILNFLAIFTGLYLLLFGSSASSMASARLHFGGTVLLLILPLFIPGIVYARAWAQRTIHSSFQVEGSSIILIHDDDLELHKELLSRHNSSIVGNGDGYSLLSDNGSMFSSQKESDSDVCCDRMIGQDHLTMLGEEHSAAVIVRRLDFWLYYITYFCGGTIGLVYSNNLGQIAQSLGLKSSTSSLVTLYASFSFFGRLLSAGPDYVRSKFYFARTGWLSIALIPTPIAFFLLAASDSSLALHTGTALIGLSSGFIFAAAVSVTSELFGPNSVGVNHNILITNIPIGSLLYGFLAALVYDAHAHSTPGNLTTSDSVVCMGRQCYFWTFIWWGCTSVVGLGSSTLLFLRTKYAYEHFENHRISTQVIVP >RHN82739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56030888:56033313:1 gene:gene6923 transcript:rna6923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chalcone isomerase MATAAPTITGVKVENIEFPAVVTPPASPKSYFLGGAGVRGLDIDGEFVKFTGIGIYLEEKAVASLTPKWKGKTPSQLFESLEFYRDIIKGPFEKFIRSTKVRTLEGSEYVRKVSENCIAHMKSEGTYGDAEEKAIQEFREAFKDQFFPPGTAAFYRQSPNGALGLRFSKDETIPEHEYAVINNKPLSEAVLETMIGEIPVSPALKESLATRFYEFLKIDNFNIRN >RHN51925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28515794:28516700:-1 gene:gene36497 transcript:rna36497 gene_biotype:protein_coding transcript_biotype:protein_coding MCLAKAPLHMRHHFLLPHVPLHMLSFAMRLAAGRKGSLLVFQVGLVEKA >RHN67265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25332131:25332823:1 gene:gene15440 transcript:rna15440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLQNLETLDVKGTDVRVLPNEVSKLKKLRHLIGCEISLILLKDEIGGMTSLQTLCYVDLNMKGVVKLITELGKLKQLKQLGLVQVGRETGMTLFSSLNELQQLEKLNIESKLTSNDEVIGLNLISPTPMVQNLRLHARLEKLPEWIPKLQNIVQLKLAFSHLNEDPMKSIQNLQNLLSLSIIGYAYESTSLQFQDGGFQKLKGLFHAQMRNLNSIVIEKGALVSLQNLEL >RHN79528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29895928:29903334:1 gene:gene3330 transcript:rna3330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein 17, Vps17 MVNFEGMEQQRSSSSLSPRSQSSSQPFLSVSVTDPVKLGNGVQAYISYRVITKTNFTEYQGPEKIVIRRYSDFVWLRDRLFEKFKGIFIPPLPEKSAVEKFRFSAEFIEMRRQALDVFVNRIASHHELRQSEDLRTFLQAEEETMERLRSHETGIFKKPSDLMQIFKDVQSRVSDVVLGKEKPVEESDAEYEKLKHYIFELENHLAEAQKHAYRLVKRHRELGQSLSDFGKAVKLLGASEGNALGKAFSELGMKSEILSVKLQNEAHQLLLNFEEPLKDYVRAVQSIKATIAERANAFRRQCELAETMKLKEINLDKLMLIRSEKVAEAEREYHELKAESEQATKTFETIVKLMSEEIGRFQEQKTLDMGIAFHEFAKGQARLANGIADAWRSLLPKLEACSSS >RHN76218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48647221:48658282:-1 gene:gene12509 transcript:rna12509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rab-GTPase-TBC domain-containing protein MYCNGEDNSKQWSCGKAGTVNLRKVSSFVRDIREPCLSHSPVKVNRMLKPDIWQALSDSEGKVSGFRKALKLVVLGGVDPSIRPEVWEFLLGCYALSSTAEYRKRLRAARRELYRDLVKQCQAMHSSVGTGSLAYIVGSKVMDMRTSSKDERKIEAKLERSTSNDNNVEVEQCHGRSIICAEVANTDHHERSDDWADQLNLVSSRASTDSAASDFSGHQNCSSPKIGREPDGSHNRIDSNFDFPPLPVTNLFEKSGEDKNSGTEHGNKLSTQRKLSFEDDRMHSFHINNNIDLVIESNGQPPTTSHPVNSEIETASPDEDEPEFPSDNPVYETPMVNQLKISDVPQPAMTSASMSQGWAASEDRVSEWLWTLHRIVVDVVRTDNHLEFYEDRRNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVIFEDNADAFWCFEMLLRRMRENFKMEGPTRVMKQLRALWHILELSDKELFAHLSKIGAESLHFAFRMLLVLFRRELSFNEALSMWEMMWAADFDESWAYELEENCLEALDLHLPRDSSNYIKEAVADSDDDSIKSDSQSNHNENDNTKASPQPHHVNTDHPVCDVKLKLQTSNTFCGLARNIWSRNGRVQTRTISSLARKGNDELATFCVAAILVLNRQKIIRETNSFDDMIKIFNDNMLEINVKRCIRTAIKLRKKYFNKVTKKKNRVAE >RHN41216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28315648:28317686:1 gene:gene47514 transcript:rna47514 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYLMKMKDLADKLKMAGSPISNVDLVIQTLNGLDADYNPVVVKLSDQINISWVDLQAQLLAFESRLDQLNNFNNINLNATANVANKTEFRRNRFNHRGNW >RHN70489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51768665:51769233:-1 gene:gene19070 transcript:rna19070 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTKHTYMLQGFVCIYSVMKDTSADRGGLRELHEEATANGFLLVISRLDDKNTIPTSVCSDGLVHCCDHAEIKDLLISAIDQYETIQLHVMAWPNQTRPSPTHAVGFAGLLPPERSFSSHQFD >RHN49843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1619701:1619898:-1 gene:gene34067 transcript:rna34067 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQTRPMTAIVLFTTLNAVLCATITPVYDFVCFLPYWERRVSHSPTFLFFSQVHHHYCCCCYSK >RHN70893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54806494:54807590:1 gene:gene19525 transcript:rna19525 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDTETDWCLPNNVTDITCMDKQPTVVIEKVSKTVRLLGFLIQNGVSKSHRVMQDMQEVMKRGKNIGKALNNVMVKHHEALTCRPRDADMYFISPLEYQFSCSSSPPRLSRGANSSRRKLLSPVAERSRRQMRVYYGTRNEGRRVKMTKRETVEEVFEVDQAAEEFIERFYRELRLQKWLDHHYHY >RHN64456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59217861:59224659:-1 gene:gene27275 transcript:rna27275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MVILNLGKKQNKKKLHSGEGVRLGKYELGRILGEGNFGKVKFARNTDSGQPYAIKIIDKNKIIDLNITNQIKREICALKLLRHPNVVKLYEVLASKTKIYMVLEYVTGEELFDKIASKGKLPEGEGRKLFQQLIDGVSYCHSKGVFHRDLKLENVLVDAKGNLKITDFGLSALPQQFRADGLLHTTCGSANYVAPEILANRGYNGASSDVWSCGVILYVVLTGFLPFDDRNLAVLYQKVLKGDFQKPKWLSAGAQNIIKRILDPNPKTRITMAEIKEDLWFKEGYNQAYHEDEEDGVYVDDQAFNIHELSHEEEKSSSQSPIRINAFQLIGMSSCLDLSGFFEKEDVSERKIRFTANLSAKVLMEKIEDSVTEMEFRVQKKNGKMKVMQENKDHKTLGSLSVIIEVFEISSSLYVVELRKCYGDASVYRQLCKKLLNDLGVPHEKH >RHN53395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1448457:1450662:1 gene:gene28297 transcript:rna28297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ion transport domain-containing protein MNHFDKGEIPILSESTCAQRSNEPQDSTFRRNISSTPSASISNLVGHTGPLHTARKTPVYRMSGPLYATPATGNPFQNSIIVAGNKVGENNIEKLSTFDGTDKNEHLLRSGQLGMCNDPYCTTCPTSFKVAHQKKPRASTIFDPKFHNSLYGDAKGYGRKVYSFCSSCIPGVMNPHTKIVQQWNKFLAIFCLLAIFDNKCIQINWTMATTLVLLRSIFDVVYLLNILLQFRLAYVSPESRVVGAGDLVDHPKKIAVNYFKSYFFLDLFVVSPIPQIMIMFILPNSLGSSGANYAKNLLRLGILVQYIPRLFRFLPLLIGQSPTGFIFESAWANFIINLLIFMLSGHVVGSCWYLFGLQWRCQDLGSGLRRFKLLKI >RHN60213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23650139:23652760:-1 gene:gene22475 transcript:rna22475 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRLLDRLRLYDTEEIVTLEYEKYLLSWKIKQWDNQISRLNVRCDLHEVNHQGTDCEGLKELININYNTQLQNILDDILILNQVSFEKFEVQCGDLVGKANECEKQLVEMETGCHVVVEENAQVRNVGLYLKSQPTGLKEIIHLQRYIYYCFWWESSNAESLAVGSWEHLLHCMKFMEFLPNKRKKKDDIFFLSYFPP >RHN42204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36362958:36366478:1 gene:gene48618 transcript:rna48618 gene_biotype:protein_coding transcript_biotype:protein_coding MSANELIRLGNINSNGRPNRSATTGRDYMKVMSVSHHLLR >RHN40410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16040524:16044202:-1 gene:gene46558 transcript:rna46558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSQMNMTCTARFLSFILLHIFLFTLTNAQSPYYRLNFCQNSTEKTNNTSYRSNVRNLLLWINTDSATGSVSNHIAINSNKTNSNDNDGDVVYGFYDCRGDVTGSFCQFCINAAVRAIAQCCPNGVSAMIWYDICVIGYSDHNTSGKISVTPSWNLTGTKNTKDSTELEKAVDDMRNLIGRVTAEANSNWAVGEFDWSDTEKRYGWVQCNSDLTKDGCRYCLETMLDKVPQCCGTKVKWAVVSPSCGMEIDDNKFYNFQTESPPSLPNPGKQDGASNTKTLIIILVSVLVAVALLSCSVYYYRRKNRLNKGGLLLRTITPKSFRDHVPREDSFNGDLPTIPLTVIQQSTDNFSESFKLGEGGFGPVYKGTLPDGTEIAAKRLSETSGQGLEEFKNEVIFIAKLQHRNLVKLLGCCFEENEKILVYEYMPNSSLNFHLFNEEKHKHLDWKLRLSIIKGIARGLLYLHEDSPLRVIHRDLKASNVLLDDEMNPKISDFGLARAFEKDQCHTKTKRVIGTYGYMAPEYAMAGLFSVKSDVFSFGVLVLEIIYGKRNGDFFLSEHMQSLLLYTWKLWCEGKSLELIDPFHKKTYVESEVMKCIHIGLLCVQEDAADRPTMSIVVRMLGSDTVDLPKPTQPAYSIGRKSKNEDQSSKNSKDNSVDEETLTIVSPR >RHN42771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40857746:40858243:-1 gene:gene49258 transcript:rna49258 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFILGFSRTFNWVYYISFCNLKTLHPSFLLLLFLNFLLQFSLSISHTSCSLSFIK >RHN44552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6074601:6075489:-1 gene:gene38684 transcript:rna38684 gene_biotype:protein_coding transcript_biotype:protein_coding MRPINTLSSSSTTTTQTNEIKIWQSPIPYLFGGLAIMLILISVALVILVCSYKKRGSSSQSSNSDEEMKQVMSKNIEKINSEPEVLVIMAGEDKPTYIAKPIIITTSLPYCTCGGESTSTTSSSTLTNEEITLTN >RHN70118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48899977:48902008:1 gene:gene18670 transcript:rna18670 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILRLLHPLPQTHTNTIPKLNSDNKQKFLPNLFSLAIAVTLTSPLPSHAIPSLNSQPPPTTLSTTPFSQSKNLQLGLENGKIRPCPSINPGCISTNPKSSSFDFPWTVPENSVDNAIQRLREAILETQKNVKFQPVEDTPDGQYLQAEVDGKFDRDVLEFLVKGDVVAFRCMAAKVTYIYPFTTAFGDSKGQEARLKQINDQLGWYAPSFDSME >RHN60139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21648825:21649439:1 gene:gene22376 transcript:rna22376 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKAYSFFALFLIFNILLVTTQEAIAGRSIAKNSDNDDKKEPEFLFKHEHGKLHYPGIGHLGFPPHFGVTPNNPFIGGTGGSGSGSGSSSGAGAGSGSSGHSYVPGGDDTFVPNPGFEVPNPGSGGGGGRAAETVNP >RHN77987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11081384:11086656:-1 gene:gene1501 transcript:rna1501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MYFYYTDLMHLNCEYEFLIAMIKNSKMLSLTSFVMVAVVLLLSDKVYGDEQQESCSRRCGVHNISHPFRLKDSPKKCGDNRYNLSCEDNNQLILYHGSFGKYYVQSINYNNFTIQLSYFNILSNNSIPPSDFSPFFTSNIYVPYLEKNNSLSNRLTKLMLHVSCPNQAGYIYRDNCRNSSLYSRYENSFYVDGYFKTLSELGLRDGCRIELMFLTSWSPQDGNNNNISCTDINRMMSYGFEVSWLNSLCKDDWHAEFDQNNHLHCRRPVFRFSGWEVIIEDTLILGVWVTSVGFLFSIVKFVLGAPCIIVLLIYKCRREHLSVYDGIEDFLRSDNSIMPIRYSYKDIKKITEQFKTKLGNGGYGTVFKGQLRSGCLVAVKLLDKAKSNGQDFINEVVTIGRIHHVNVVHLIGFCVEGSKRVLIYEFMPNGSLEKYIFSHNEESNSLSCEKLHAISLGVARGIEYLHNGCDITTPKKGFNSTFFAL >RHN57593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40380283:40404669:-1 gene:gene33166 transcript:rna33166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MAFFRNFVNDTVSHGAMEDKGLGQDANNIHRSVGNEYTDGTSSEKEFDMNLEAQYESDGGPDDACRLQNEGTADDRDGLRESNLQASGSKASTVGRWGSTFWKDCQPSCPQNGFESGKESKSGSDYKNAGGSEDNSVDGETGRLDSEDDDGQKEVGKGRRSHSDVPAEEMLSDEYYEQDGEDQSDSLHYNGIQKSTGRNSWPQQKSTSVKKPVRRKSRISDDDGDGDVDYEEEDEVDEDDPDDADFEPVESSHTLKKDKDWEGEGSDENDDSDESLDVSDDDESFYTKKGKGRQQGKVRKNIKSTRDRKACVASSRQRRLKSSFEDNESTTEDSDSVSDDDFKSTRKRSFNVRKNNSRFSVTTSFSAHNSDVRTSSRAVRKISYVESDGSEEADDGKKKKSQKEEIEEDDGDSIEKVLWHQLKGTAEDAQSNNRSTEPVLMSHLFDSEFDWNEIEFLIKWKGQSHLHCQWKSFAELQNLSGFKKVLNYTKKIMEDIRYRRAISREEIEVYDVSKEMDLEIIRQNSQVERIIADRISKDNSGNVVPEYLVKWQGLSYAEVTWEKDIDIAFAQHSIDEYKAREVAMSVQGKVVDSQRKKSKASLRKLEEQPEWLMGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIHGPFLVVVPLSTLSNWAKEFRKWLPDMNIIVYVGTRASREVCQQYEFYNDKKPGKPIKFNALLTTYEVILKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTSLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPTKFKSKDEFVQNYKNLSSFHENELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFQNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSGGSDNSKLERIVFSSGKLVILDKLLVRLHETKHRVLIFSQMVRMLDILAQYLSLRGFQFQRLDGSTKSELRQQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQDVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEVKKGGSYFDKNELSAILRFGAEELFKEERNDEESKKRLLGMNIDEILERAEKVEEKTDEDEQGNELLSAFKVANFCNDEDDASFWSRWIKPDAAFQAEEALAPRSARNIKSYAEADPSERSTKRKKKEPEPPERVQKRRRAEHSAPAVPMVDGASVQVRSWSYGNLSKRDALRFSRAVMKYGNENQIDLIAADVGGAVAAAPPEAQIELFNALIDGCSEAVEIGNLDTKGPVLDFFGVPVKANDLVTRVQELQLLAKRISRYEDPLAQFRVLSYLKPSNWSKGCGWNQIDDARLLLGIHYHGFGNWEMIRLDERLGLMKKIAPVELQNHETFLPRAPNLRDRTNALLEQELVVLGVKNANSRVARKPSKKEKEHMMNISLLHGQEKKKKLGSVNVQMRKDRFQKPRKVEPIVKEEGEMSDNEEVYEQFKEVKWMEWCQDVMVEEMKTLKRLHRLQTTSANLPKEKVLSKIRNYLQLLGRRIDQIVSENEVEPYKQDRMTVRLWKYVSTFSHLSGERLHQIYSKLKQEQEDDSGVGPSASFSRNGNPFHRHMERQRGFKNMANYQMSEPDNNTGKSEAWKRRRRAESEDHFQGQPPPQRTSSNGIRITDPNSLGILGAGPSDKRLVSEKPFRTQPGGFPSSQGFS >RHN66760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18373167:18378378:1 gene:gene14839 transcript:rna14839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MTCYFIFSKQILSQKKKKMATPLIFYLLLVSFTSFSFVSSLQLSLKNQASILVSMKQDFEPSSNTSLSSWNMSNYMSLCTWYGIQCDHTITNMSIVSLDISNLNISGSFSPQITKLYNLVNVSIQGNSFYGEFPTEIHKLQRLKCLNISNNMFSGNLSWEFNKLKELEVLDIYNNGFNGSLPRGVTQVSSLKHLNFGGNYFSGKIPTSYGEMKQLNFLSLAGNDLSGFLPSELGNLTSLENLYLGYFNQFDGGVPKEFGKLINLVHLDLASCFLKGSIPLELGQLNKLDTLFLQKNQLTGFIPPELGNLSRLNALDLSLNNLTGGIPNEFSNLRELSLLNLFINKFHSEIPDFISELPKLEVLKLWRNNFTGVIPSKLGQNGRLTEVDLSTNKLTGILPKSLCFGKRLKILILLNNFLFGSLPNDLGQCYTLQRVRIGQNYFTGSIPHGFLYLPNLSLLELQNNYLSGVIPQQTHKNKTSKLEQCNLSNNRLSGSLPTSIGNFPNLQTLQLSGNRFSGQIPSDIGKLKKILKLDISSNNFSGTIPSEIGKCTLLTYLDLSQNQFSGPIPIQLAQIHILNHLNVSWNHLNQSIPKELGALKGLTSADFSHNNFSGSIPEGGQFSTFKANSFEGNPQLCGYVLVEFNPCKVSSTDELESQQKNGSRNGFPGKFKLLFALALLLCSLVFVTLAIMKSRKSRRNHSSSWKLTAFQKMEYGSEEIIGCIKESNVIGRGGAGVVYKGTMPNGDEIAVKKLLGINKGNSSSHADNGFSAEIKTLGRIRHRYIVRLVAFCTNKETNLLVYDYMENGSLGEVLHGKRGEFLKWNVRLKIAVEAAKGLCYLHHDCSPLIIHRDVKSNNILLNSEFEAHVADFGLAKFLQDNGNSECMSSIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGKRPVGDFEEEGLDIVQWTKMKTNWNKDMVMKILDERLPQIPLHEAKQVFFVAMLCVHEHSVERPTMREVVEMLAQAK >RHN39093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4079772:4081121:-1 gene:gene45087 transcript:rna45087 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHQNPTFIKPHSSPSIKPSTPTRRSYIPVHCGGPRSQRGPLIKGRFLSIEAIQAIQTLKRINRINPQTQTETQLQTKTLTRLIKSDLVATLKELLRQEQPTLALRVFSAVRSEYGADLTLYAEMLKVLGNCGMYEDVDRLIEEIEVGGGIGCDEDPKGVLSLIKAVIGAKRRDLLVRVYEMLKRSGWGEVVEFDEYVVKVLSNGFKGFGDDELAQEILNDYDRFCKAKFNTFGVV >RHN50004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3091227:3091496:-1 gene:gene34250 transcript:rna34250 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTMAAKNQDGVVHVWISDLYVGITDFDKISLLSFNKITVSS >RHN76603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51590648:51592009:1 gene:gene12953 transcript:rna12953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MFEAKISSLEENKNFSEITVAELVNALQASEQRRSLRMEENVEGAFLANNKGKNQSFKSFGKKKFPPCPHCKKDTHLDKFCWYRPGVKCRACNQLGHVEKVCKNKTNQQEQEARVVEHHQEDEEQLFKASCYLACSSKETWLIDSGCTNHMTNNVSFFKELDEFFYSKVVIGNGQHVEVKGKGVVAVETLSGIKYISDVLFVPEINQSLLSVGQMMEKNYSLHFKNMKCTIFDPDGSKLMIVEMRGKSFPVEWKKTSLRVFPNRVDANFSAQKRGTLDDNNYARCNIAMLETARYTEAANFEGCKVTMQEEKKIIEKDVDCNVVDASLYDLCFFQNESGYLLDYAESDDVKTASDCVFSFGHVVSDWNLKKQEGITQSSTKAQCVSAATDTEIWPVKFYQMKVGKMRSMFGVFSRKISRRSVGD >RHN60263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24363063:24363368:1 gene:gene22540 transcript:rna22540 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNLIISCAQQDMEVRPKSLESDMNYVPLVVVLDPDASGPNVAVIPDLVATELTIINASDPIAIESTNAIESPKIQHVVISPTSTGYNNEFWGQFLQDES >RHN71064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56218223:56222372:1 gene:gene19707 transcript:rna19707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MARGKIQIKRIENTTNRQVTYSKRRNGLFKKANELTVLCDAKVSIIMFSSTGKLHEYISPSASTKQFFDQYQMTVGIDLWNSHYENMQENLKKLKDVNRNLRKEIRQRMGECLNDLSMEELRLLEDEMDKAAKAIRERKYKVITNQIDTQRKKFNNEREVHNRLLRDLDARAEDPRFEMMDNGGEYESVIGFSNLGPRMFALSLQPTHPNPHNGGASAASDLTTYPLLF >RHN58204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44555898:44559872:-1 gene:gene33822 transcript:rna33822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MMHKPSSVKRKKTDKSRRLIGLLLSSFLRRRLIHRLLISSISVCLLFLFIMLSLFAPSPFIDNDLVKSRLDSHQQSPFHIPTSEGTYKHDLWNSRNSQLFSACSYAGVNFAKANSKTHPDRYLLIATSGGLNQQRTGIIDAVVAAYLLNATLVIPALDHTSFWKDNSNFSQLFDADWFITSLRNDIRVVKQLPNMGEKFATPYTVRVPRKCTPKCYEGRVLPVLIKKRVVRLTKFDYRLSNLLDDDLQKLRCRVNYHALKFTDSIQGMGNLLVERMRMKSKRFIALHLRFEPDMLAFSGCYYGGGEKERKELGEIRKRWKNLHASNPEKVRRHGRCPLTPEEVGLMLRALGFGIETHLYVASGEIYGGEATLAPLRALFPNFHSKETVATKKELAPFVSFSSRMAALDYIVCDDSDVFVTNNNGNMAKILAGRRRYFGHKPTIRPNGKKLNPLFMNKNNMTWQEFASRVRTFQVGFMGEPNELRSGSGEFHENPTSCICQNSGSEVKTEGFSNPQNQTHEGNKVENRTEKKPAEEEREWSELDYDLDNYRKQVQLKGTNIDSLPLLMGTDQAEVQVFFSD >RHN61183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33723241:33725069:1 gene:gene23621 transcript:rna23621 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVKACTLLNLITIVMICLAILMSESQSSKVEASRVLRNSQEFAFARANHLQTSAYEQAKNTMTIWLQRLPSGPSPKGPGH >RHN45360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17832576:17837302:1 gene:gene39648 transcript:rna39648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-IV family MSSLIFLLFIFVSHSLSLNNELDTLMLIKDSLDPENHVLLSWNNHSDPCSGTFDGVACNEQGLVTNISLQGKGLSGEIPSVIGKLKSLTGLYLHFNALNGILPKEIAGLTQLSDLYLNVNNLSGFIPHEIGNMSNLQVLQLCHNELNGSIPTELGKLKRLSVLALQYNHLSGAIPASLGELETLERLDLSFNTLLGPIPVTLANAPKLETLDIRNNSLSGSVPTDLKRLKEGFQYFNNHGLCGTGFAHLDSCQIVSNSDPVRPEPYDPSNISTIEFPTTPEPTSKNCGNSGCRRRSDSSTIGLVFAVIGVVSVSALTGLFLILRHRRLKQKIGNTVEISDNRLSTDKIKEVYRKKASPLINLEYSSGWDPLSKDLGSYSQEFLQSFMFNLEEVDRATQCFSEMNLLAKNNISSNYRGILRDGSIVVIKCIPKTSCKSDETEFLNGLKILTSLKHENLVRLRGFCCSKSRGECFLVYDFVSNGRLSKYLDVQRESAEVLEWSTRVSIIHGIAKGIFYLHGKKGRKHSLVHQSISAEKVLLDSRYKSLLADSGLHKLLADDVVFSTLKASAAMGYLAPEYTTTGRFTEKSDVYAFGMIVFQILTGKHDITQLSRQCVETGTLKDIIDENLEGKFLESEAEKLARLALVCTDESPHLRPTMENVMLELSHKW >RHN43131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43436441:43438384:-1 gene:gene49660 transcript:rna49660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin-44 dioxygenase MASNLKSENDFKGLIDFKSLHEEAKVPQEFIWSSEDLVETSKEELNVPVIDLEAIFNGDDAALAAAAKIVRETCMEHGFFQVTNHGVDQNLIDATYQEFVSLFKLPLDRKLNAMRNPWGYSGAHAARYSASLPWKETFTFQYKHYDQSETQIVDFFTAALGDDHQHAGWVLQKYCEAMKKLTDVILELLAISLDVDRSYYKKFFEDAETMMRCNSYPPCSGIHAGALGTGPHCDPTSVTILFQDQVGGLEAFVDNKWLGIRPQPNNFVINIGDTFKALTNGVYKSCLHRVLANREKDRKTLAFFLCPKGDKIVRAPENILGRQEPTKYPDFTWKQFFEFTQRKHRADPNTLPDFVSWINSNSSF >RHN67457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27429678:27432357:-1 gene:gene15648 transcript:rna15648 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEGGFVNRTPLLDGSNYDYWKSRMSVFLKSIDSRTWKAVLKGLEHSVALDEDGNMTDVLKTEEEWTVAEDELALGSSKALNALFNGVDKNMFRLIKHCTVAKDAWEILKTAHEGTTKVKSAKIQLLTTEFENMKMLED >RHN63226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49505065:49513575:1 gene:gene25902 transcript:rna25902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MAVYYKFKSARDYDSISMDGPFISVGTLKEKIFESKHLGRGTDFDLVVTNAQTNEEYLDEEMLIPKNTSVLIRRVPGRPRLPIVTEQEQKVENKVVDTEPANSSLPADDMSAMKYVEDSDWDEFGNDLYSIPDQLPVQSINMIQEAPPTSTVDEESKIKALIDTPALDWQHQGSDFGAGRGFGRGMGGRMGGGRGFGLERKTPPQGYVCHRCKVPGHFIQHCPTNGDPNYDVKRVKQPTGIPRSMLMVNPQGSYALPNGSVAVLKPNEAAFEKEMEGMPSTTRSVGDLPPELHCPLCSNVMKDAVLTSKCCFKSFCDKCIRDYIISKSMCVCGAMNVLADDLLPNKTLRDTINRILESGNSSTENAGSTYQVQDMESARCPQPKIPSPTSSAASKGGLKISPVYDGTTNIQDTAVETKVVSAPPQTSEHVKIPRAGDVSEATHESKSVKEPVSQGSAQVVEEEVQQKLVPTEAGKKKKKKKVRMPTNDFQWKPPHDLGAENYMMQMGPPPGYNPYWNGMQPCMDGFMAPYAGPMHMMDYGHGPYDMPFPNGMPHDPFANGMPHDPFGMQGYMMPPIPPPPHRDLAEFSMGMNVPPPAMSREEFEARKADARRKRENERRVERDFSKDRDFGREVSSVGDVSSIKSKTKPIPPSSASDYHQNRHRSERPSPDSSHREVEPPRPTKRKSDHSEREREDRDRDYDYHDRHQDRDYEHDRHQHRRHHHRTEASSKKSTDPVTKSTSRKSSEPDIKSKSRKSSEPVTKSLSLSKTAQTTSAEAAAAAAADRKQKASVFSRISFPSEEEAAAAAKKRKLSASSTTEASTAATASVSAKAPSTTHLSNGGRKSKAVMDDYESSDDDEDDERHFKRRPSRYEPSPPPPVDDWVEEGRHSRGTRDRKHR >RHN46082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28344586:28350502:1 gene:gene40522 transcript:rna40522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H oxidase (H(2)O(2)-forming) MSSEKEGTDESSKWILESIDIVPNVEVPKKDEENESKVIKASDNEAFSNVDNLRSRNNNNNINNNGSKMRRMESGAARGLKGLRFLDRTVTGKEADAWKSIEKRFTQHAVDGMLSKDKFGTCMGMGADSKDFAGELYEALARRRNICAENGITLNEARVFWEDMTNKDLESRLQVFFDMCDKNGDGRLSEEEVKEVIVLSASANRLGNLKQHADNYASLIMEELDPDHNGYIEMWQLETLLREMVSAEDGKPKLGTRTQTLTRAMIPCKYRTPIRKWITKTAEFVNEQWKKIWIIVLWLAINLVLFVWKFLQYKERPAYEVMGSCLCFAKGSAETLKFNMALIVLTMCRRTLTKLRGTFLSQIIPFDDNINFHKIIAVGVVIGTLIHVGVHVSCDFPRLVSCPTEKFMAILGSGFDYKQPSYLTLVTSPPGITGIFMVLIMAFSFTLATHYFRKSVVTLPSPLHHLAGFNSFWYAHHLLILVYILLVIHGYFLFLTKAWHKKTTWMYLVVPLVLYGIERVHPFYKSKDHRVNVIKAIIYTGNVLALYMTRPQGFKYQSGMYLFVKCPDISSFEWHPFSITSAPGDDYLSVHIRTLGDWTTELRNIFAKACEPQSAIPRGSLMRMETRAYSKSSIDNSKPSIRYPKILIKGPYGAPAQSYKHYDVLLLIGLGIGATPMISILKDILNQMKMASPQQEKSEKGSFSSNSSDEDRKGPERAYFYWVTREQASFEWFKGVMDDIAEHDSDGVIEMHNYLTSVYEEGDARSALIAMIQRLQHAKNGVDVVSESRIRTHFARPNWKKVFSQLATTHESSRIGVFYCGSPTLTKSLKSLCQEFSLNTSTRFHFHKENF >RHN76806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1417973:1418511:1 gene:gene184 transcript:rna184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative actinidain MKLVTVFMLIIVLLACSYHAAMSRTLSKKSSSSVDEAFQQWMLKYNRTYSSITEMKRRKEIFKMELELIEKHNSTAAGFTIGLNEFSDRTIEEFCDCSKVPPMDDFLITLKQWKENRRQNRSQSLIHGRRFSTQLILDRRS >RHN46450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31814764:31815212:-1 gene:gene40936 transcript:rna40936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MNTIPKFVYITILFISLLLVVTGAVRKPECRQNSDCPPYFCIKPTVPKCIKFKCLCK >RHN57235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37470122:37471393:-1 gene:gene32747 transcript:rna32747 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKHFIFVFFLYALILISVVAINSSKDGEQFGATEEFKTKIVVDMDEGLKGVSHGEDHGGGHVDGGLGFWGNLGGLKGWFEWMANEAISGIGESQGGFGVSWGGGGNMGGGGGNMGGGGNMGGGGGNMGGRGGGNMGGGGGGGNMGGWGGEETWEGEEEETWEVGEEEKMK >RHN44330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3587310:3587906:1 gene:gene38433 transcript:rna38433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Hemopexin-like domain-containing protein MCFRGTIFENGIDAAFASHKTNEAYFFKGEYYARVTFTPGTTYDYIMDGVSALLTIGLLFAVACGHNLPAPTQ >RHN64223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57399024:57399291:-1 gene:gene27013 transcript:rna27013 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPVLVRRALMELYSERQVSSLYELVSLFHHILDFPSLPNE >RHN76015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47005499:47006436:-1 gene:gene12285 transcript:rna12285 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLDRPHHSATGFSQRLFSPSLTFMVICWFFVVMLVVAGAGFCLLMMRFSNTWMLDVMACLRALSGGCFWFVCRSLYLIHGGLFECSAYIRHTFPLYVVF >RHN47218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37994826:37999850:1 gene:gene41784 transcript:rna41784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYIDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDEESFNNVKQWLSEIDRYASDNVNKLLVGNKCDLTSERAVSYDTAKAFADEIGIPFMETSAKDSTNVEQAFMAMASSIKDRMASQPTNNARPPTVQIRGQPVGQKSGCCSS >RHN47865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43130058:43138306:-1 gene:gene42512 transcript:rna42512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain-containing protein MAYLSMGEAHRRITEYLNRFSDAVSYQNGISLKSLFSLSSNSHFLLSLSDALILVNDFNRLINQNDNYSHFSDIISPLFRSLQHYKQSNFVEAYNAFEKTANAFVQEFRNWESAWALEAVYVVVYEIRVLAEKADRQLAAIGKSPEKLKGAGSLLMKVFGILAVKGAKRVGALYVTCQLFKIYFKLGTVNLCRSIIRSIETATTIFDFEEFPNRDKVTYMYYTGRLEVFNENFPSADYKLSYALKHCNQQHEANIRMILKYLIPVKLSIGILPNHRLLEKYNLLEYGNIVQALKRGDPRLLRSALQYHEDWFLRSGVYLVLEKVELQVYQRLVKKIYIIQKQRDPSRAHQVKLEVIVKALKWLEIDMDVDEVECIMAILIYKNLVKGYFAHKSKVAVLSKQDPFPKLNGKPVNS >RHN52908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40268073:40273156:-1 gene:gene37644 transcript:rna37644 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTSISTISSPSSSSSSFFSDSPSTSKAFPSFLVGFPKPPFSNSTLKIKTCFASSIQQENGSPEQFLQSNSIADFMRFKKGIDGSTGLLQTAVVKYKKKFPWSLLKPNLQVDLISTIHIADEEYFLALQKELESYDCVLYEMVASKKTLEKMRNPKAKKRLKRSQTRGFNIIGCIQRQMAQILKLDFQLDCLNYQSENWQHADLDYETFQLLQEAKGESLFSFAKDTTLRSTKAMLQPSIPEDLDPWRSKLLWASRVLPMPLVGLFLIGSVCANVGSPASEYPEIEALSRLDVSAAMKLFLAKSLTSDLTQNTADVEEKSVIIGERNRVAIENLRRAMDKGQNKIAILYGGGHMPDLGRRLREEFDLVPYGVEWVTAWSIRKRKLDTSSLPFLKTMARASGWPLNRYQTLALLIFSSILAVDLWFWELFFGTMVTWVSDVTTEILRMIYSSQEHQL >RHN44591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6584366:6586308:-1 gene:gene38729 transcript:rna38729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MVLDLECEENDIAKQQQSLESRGKKRNREVDVWLRKLQDMKGNLSNDTYDVSELKENLKRHKEEKPLTLSTEFVGKQLDLHIKRVSKLLEDDKVFVVGICGMGGVGKTILATLVENEVKRKASFKDVFWVTVSHNYSISKLQNDIAKRIGVKLDEDDERIRAENLSLALEKKGKSILILDDVWNYIDLQKVGIHQKVNGIKVILTTRLKHVCHQMDCQTNGIIQIFPLGCLKEVESESEVDEDEDDEDWELFMLKLGHDETPRTLPHEIEEIARCIVERFKGLPLGINVMARAMDGVDDIHQWKHALSRLQKLEMRQVVEEVFKVLKCSYDNLMEKDLQNCFLYCALFSIDDEGWKINKDEFIMKLVDNGQINENMSLEEIFDEGNTILNKLESHSLISSTNNSSVYTHPLVRNMACYILKECQRNVIVKLNKRLTEIPLSHRWATDLELVHMRDYDIEEIPEGMSPNCPKLLALILNELSISRVPESFFIYMNNLSILDLSYNEDLESLPDSITKLRSLVSLILKECDSLKHVPSLGELQTLSRLVISNTSIGEVQGLEKLIKLKWLDLSCNKSLNLELGSLSNLTKMQYLDLRNTCAMMAV >RHN70862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54567852:54569231:-1 gene:gene19491 transcript:rna19491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MHAIAMDVYGKRSLLTLMDKEKTEQQESPNIHLHVQIHSHREANEQDIQFSPPRPSTTFPQSPWTLSSLPPPSPSLLYHCIASLHRHEGNIYSIAVSKGFIFTGSNSSRIRVWKQPDCMDKGYLKSNSGEIRTILAYNNMVFSSHKDHKIRIWNFNVSENFKSKKVATLPKRSKNSFLNFSRTKNNNSHNHKHKDLVSCMAYYHSEGLLYTGSHDRTVKAWRISDRNCVDSFLAHEDHVNAILVNQDDGCVFTCSSDGSVKIWRRVYTENSHTLTMTLKFQHSPVNTLALSSSFNHCFLYSGSSDGMINFWEKERLCYRFNHGGFLQGHRFAVLCVETVGNMVFSGSEDTTIRVWRREEDSCYHECLMVLDGHRGPVRCLAACLEMEKVVVGFLVYSASLDQTFKVWRIKVFSEDENVCLDGDNNKCDGRVKKIREYDMSPVLSPSWVEKKLQGSSPFH >RHN48978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51521605:51522392:1 gene:gene43755 transcript:rna43755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MRLHRGTKIPVASSESCFNNRGAMLLHRGTILQNFDFKLTLTLLFKMNDSNMQYVTSTSFLLLTYAKYLTTSHTVVNCGGTIITPKSLKTIAKQQVQKGDIVSVYLVDYLLGDNPLKMSYMVGFGTRYPQRIHHRGSSLPSIAVHPGKIQCSEGFSVMDSQSPNPNILVGTVVGGPDLHDSFPDERSDYEQSEPATYINAPLVGALAYLAHSFGQLQRALLQHVLCISCFNYSSL >RHN57361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38366083:38369930:-1 gene:gene32890 transcript:rna32890 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGRLAGKEAAYFFQESKQAVTKLAQKNNPISKTNVVDQRHVVQDNADVLPEVLRHSLPSKLFRDETASSSSFSASKWVLQSDPKLRSSVSPDAINPLRAFVSLPQVTFGARRWELPEAKHGVSASTANELRQDRYDVNVNPEKLKAASEGLANLGKAFAIATAVVFGGAAMVIGMVASKLELHNMGDLKTKGKDVVEPQLENIKNYFVPMKVWAENMSRKWHLEREDVKQKAIVKDLSKILGSK >RHN74699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35979559:35980791:-1 gene:gene10806 transcript:rna10806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MFATRKLCLVLDIDHTLLNFAKFVEVDPEHDKLLRKKEKQEHGKPQRHLFRLPHMGMWTKLRPGVWNFQEKASKLFEMHLYTMGNKLYATEMAKVLDPNGVLFAGRVISRGDDPETVDTKCKDLEGILGLESSVVIERIHQNFFASQSLEEMDVRNIIASEQRKILGGFHIVFSGVFPVGEANPHLHPLWQTAEQFGASCTNKVDPQVTHVVAQSLGTDKVYFDIETFTLLW >RHN73631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18364096:18368592:1 gene:gene9467 transcript:rna9467 gene_biotype:protein_coding transcript_biotype:protein_coding description:MOB kinase activator-like 1B MSLFGLGSRNQKTFRPKKSAPTGSKGAQLQKHIDATLGSGNLREAVKLPPGEDINEWLAVNTVDFFNQVNIMFGTLTEFCTPSNCPTMTAGPKYEYRWADGVTIKKPIEVSAPKYVEYLMDWIESQLDDETIFPQRLGAPFPPNFRDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFVLFTWEFRLIEKAELAPLEDLVDSIIQL >RHN78117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12026415:12027623:-1 gene:gene1638 transcript:rna1638 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHLVKRFLSSSSSSSSSSSSSSSYSPPVEVIQSKIRSMILKKPRPPIKKFNQFLHPLMKEKNFCKAFTIGKYLIYNHKCYDEFTINVTMNSMCRLWFVENAVSCLSLFVKSGLEIDTVSVTTHIKGFAINNPSEGFQFANYLSMKNIPDTMNEITVGTLIIVIVCMICMVFVKLEGSLRISKKGFMKASTPMLLCTEP >RHN78818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18675702:18687375:-1 gene:gene2473 transcript:rna2473 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, nucleotide-binding alpha-beta plait MEAFSFSLSTSHFPLHSNHNPSSFSLSPAKSISFSLKPPPDSNNNSASPTSLPFRRPSKPPILKTTPPPPNPKPKPNPKPSISNPLKNAFHSSNPLSNKLWLTSKISPPPPPPPPPLPTPPPPSPPELESDDEILEEEVDDGEGKNSGSDDRNQFRQPGKIFIGNLPGWVKKQEVSEFFRQFGPINSVILIRGHNDVSRNAGFGFVIYEGETAENSAMKAVEFDGVEFHGKVLTVKLDDGKRWREKRSEREKWLSGNDEKEYRSEWHEERDGSRKEFQKVLETEPENWQAVVRAFERVNKPARKEYGLMIKYYARRGDMHHARQAFESMRARGIEPSSHVYSSLIHAYAVGRDMEEALNCVRKMKDEGIEMSIVTYSIIVGGFAKLGNADAADNWFKEAKESLPSLNAVIYGSIIYAHCQACKMGRAEALVREMEEQGIDAPIDIYHTMMDGYTMIGNEEKCLIVFERLKECGFSPSIVSYGCLINLYTKIGKVSKALEISRVMKTVGIKHNMKTYSMLFNGFVKLKDWANAFSVFEDITKDGLKPDVILYNNIVKAFCGMGNMDRAICIVKQMQRERHRATTRTFLPIIHGFARAGETRRALEIFDTMRRSGCIPTVHTYNALILGLVEKCQMEKALQILDEMNLAGVSPNEHTYTTLMQGYASLGDTEKAFQYFTILKNDGLEIDVYTYEALLKACCKSGRMQSALAVTKEMSSKKIPRNTFVYNILIDGWARRGDVWEAADLMQQMRKEGVQPDIHTYTSFINACCKAGDMQNATQVIKEMEARGIKPNLKTYTTLIHGWARAAFPEKALKCFEDLKVAGLKPDRAVYHCLMTSLLSRATIAQSYIYSGLLSICREMIESEITIDMGTAVHWSRCLRKIERTGGELTEALQKTFPPDWTSHNILAVNCEIETADPEIDVHDDIVYYSSESDNDDCTHDEDYGDETGDDF >RHN78820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18701989:18705165:1 gene:gene2475 transcript:rna2475 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQPPPSTRRSKRGRPLKQPPKEIDTDVDMAEHESLPEDFEEARPVPKSKQNRANKGTAGATLKPTDQTLFETIKGNGKLIPHVVKLWVESYEKDPKSAMVELLTMLFEVCGAKFHDKRVLMHEINVNDVVVALVNYAKKSGEVECYQNSIKKEFKNLKENLESFWDNLVRECQHGPLFDKVLFEKCMKYIIALSCTPPRVYRQVASLMGLSLITSYITIANMLAVQRDITRRQLDGQKKKKSEGPRMKSLNIKLSDMHDKITLLEEMMGKIFTGLFVHHYRDLDPNIRMSCIESLGVWILSYPSIFLKDVYLKYLGWTLNDKYAGVRKSSIRALQNLYEMDDNVPSLGLFTERYSGRMIELADDIDVDVAVQAIGLVKQLIRHQFITGDELGNLYNLLTDDPPEIRHAIGALVYDHLIAKKFNSSESESRGENDNSSKVHLERMLRILDEFPPNPILTSCMIDDVWDYMEAMKDWKCIISMLLDENSLITNESKTNLVRLLCASVKKAVGEKIVPAIDNRKQYYNKAQKEVFENNKHDITIAMMESFPLLLQKFISDEAKVSLLVEIVLYMNLEFYSLKRQEQNFKNLLQLMKDAFFKHGDKDPLRGCVKAINFCCVESRGELQDVARNNLKEVEDLVIDKLESAIREVKAGGDEYSLLVNLRRLYELQLSKYVPIDKLYEDIVMVLRDVRNMEDEVVGLLLQNLYFDLAWSLKFVLDGESVSDASLKTLLSKRDTLLQELEYFVNLVTDESNEGDKSGSELGGRVCTMLAETWCLFRMTNFSKTGLERLGYRPNSYVLQKFQKLCQQQLNVSDEVEDDDVNKEETNRCAVLVGACKLIYTDVVPKDYLAPEIISHFVMHGTGVAEIVKNLITFLKKRKDDLADIFLEALKKAYHRHTVDNSGNDDMSSENLFSECKNLAVQLSRTYIGGARNKYKSEILKLVKDGIEYAFEDAPKHLSFLEAAVVHFAAKLPASDVLEIKKDVEKRTENVNKDENPNGWRPYCTFVEVLQEKGAKNEVFQIIKEGVSVKRQGRPQGKKLSYDHSSSEDEDSISTS >RHN69999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47870052:47874081:-1 gene:gene18535 transcript:rna18535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative filament-like plant protein MEPRSWMSHSKSFEKSSSESMSSHSQTHDQVYATHIVPSPEVISEGASNEEVVTDVHTLTGKLSAALLDISAKEDLVKQNAKVAEEAVSGWEKAENEVLTLKQQLDAAKQQNAVLEDQVSHLNGKLKDCMRQLRQAREEQEQKTLEAVANNSCNWESKRDELEWKVTELEVQLQTAKEDAATSVNSDLLQRLQDVERENSSLKIELQSRLEELKFKTIEWDLSTQAAERESKQHLESITKVAKLEAECQRLNAVARKTFSVNDRRSLTYYSVYAESFTDSMSDNGERLLVVESDMHKFGGREINEGEPKHYDSWPSASITELDQFKNENTTAPNRICLSTQIDLMDDFLEMERLAALPDTASDQPNVGQGTDTVYAEVEALVQKNDALEKKLAKMEADKIELEMDLNECQKQLVVSQSRVKEAELEVIELQTQLTFANKSIKEEYEELKASRAKNEKVESKLRAAQTEVEELISKICSLEEEIDKERALSADKLELEVDLIECQKQLKVSQSRVKEVELEVIELQKQLVVANKSNEEEYEELKVSRAKNENAESKLRATQTEAEELISKICSLEEEIEKERALSAGNLAKCEKLEEELLRVKKETQLHQDTETLHREGVDSELMFKQEKELALAATRFSECRKTIESLGQKLMSLATLEDFIFDSEDTMELTSEVTPPGPQDGGEQLKLHNSDLSFPKRDSSTSLNPSNSFGKSHFSFGRFYPTRA >RHN56189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28604201:28605454:1 gene:gene31550 transcript:rna31550 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIHVNEKHFKIFYLKNDCPIPLTFVLWAPHYRDNVHPEDYRCIHMMIVYNELSRVAFNEIKFFLNYL >RHN55527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19218736:19220472:-1 gene:gene30723 transcript:rna30723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MVRFQTLTNKQQCLNLLNSLHSITKLHQLQAQIHLNSLHNDTHILSQLVYFFSLSPFKNLSHARKLVFHFSNNPSPISWNILIRGYASSDSPIESIWVFKKMRENGVKPNKLTYPFIFKSCAMALVLCEGKQVHADLVKFGLDSDVYVCNNMINFYGCCKKIVYARKVFDEMCVRTIVSWNSVMTACVENVWLSDGIGYFFKMRDCAFEPDETSMVLLLSVCAELGYLSLGRWVHSQLILKGMVLSVHLGTALVDMYGKSGALGYARVVFERMEKRNVWTWSAMIMGLAQHGFAEEALVLFDMMNDKKSNNISPNYVTYLGVLCACSHAGMVDEGFRYFRDMEFVHGIKPMMVHYGAMVDVLGRAGHLGEAYRFIQSMPFAPDPIVWRTLLSACTVHDVCDRTGIGDKVRKRLLEMEPKRGGNLVIVANMYAEVGNWEKAANVRRVMRDGGLKKMAGESCVDLGGSMYRFFAGHDSRPDLMPVYDLLDALNLHLKMVH >RHN42499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38856327:38856989:-1 gene:gene48946 transcript:rna48946 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVASRVDHSKQEIRTEIADTWLGEAFSEFVKRHFGVSELEHLSVTELMELEKLIPAALSQIRSSKVCLLNSVRKTSITQCILHHAGLTMQMKRKSFFSKKKCDAMNIFFMGLGFNSSEQKKYFRLYGEHLFEYSNYD >RHN57381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38565112:38565498:1 gene:gene32919 transcript:rna32919 gene_biotype:protein_coding transcript_biotype:protein_coding MFLITWYHIVTETLCFWYVKVGIKIERFIRQRVLIRNYYSVSPKRLVRRFHNLKSLTLKGKPHFNDFTLVPRDWGGFVYPWIEALAKNKVGLEELRLKRIVVSDESLDLLSRSFVNFKSKVKPLFYAC >RHN77602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8055885:8060595:1 gene:gene1072 transcript:rna1072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative orotate phosphoribosyltransferase, Orotidine-5'-phosphate decarboxylase MASSSLVESLVLQLHEISAVKFGNFKLKSGITSPIYIDLRLIISYPSLLQQISQTLISSVSSTSFDLVCGVPYTALPIATCVSLAQNIPMVMRRKEVKDYGTAKAIEGDFKPGQSCLIIEDLVTSGTSVLETAAPLRSVGLKISDAVVLIDREQGGRENLEENGIKLHAIIKLTEMVKILRVHGRLDDEMVGVVTKFLDENRKVAALAKVEKPITKVKSLSFGERANLSKNPTGKKLFEIMAQKESNLCLAADVGTAAELLEIAEKVGPEICLLKTHVDILPDFTPVFGSKLLSIAEKHNFLIFEDRKFADIGNTVTMQYAGGIFHILDWAHIVNAHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNLAKGDYTAAALKIAEEHSDFVIGFISVNPASWPGAPVNPSFIQATPGVQMVTGGDGLGQQYNTPYSVVHDRGSDIIIVGRGIIKAANPAEAAHEYRLQGWNAYLAKSA >RHN71265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57706082:57711036:1 gene:gene19923 transcript:rna19923 gene_biotype:protein_coding transcript_biotype:protein_coding MASNWRRTLGNARSFVNNSMGGLRGGSNLASWVVAGTLAYYLWIKPDQDLKREQQAKAAIASHDDPFRYVETRKPVPDPQVTGLIYGNNNNKDKSFTADD >RHN74563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34774382:34775067:-1 gene:gene10651 transcript:rna10651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-heme dioxygenase domain, isopenicillin N synthase MVSQMSYCEQSSINDVSVNDSNSKLSIPPIDLTGIHNDLVLKDEVVRKVQNASENWGFFQVINHGIPTQILDEMIKGTCRFHQQDAKVRKEYYTCDPNKKVVYVSNYSLYHDPAANWRDSLGFTMTPNQPKSEEFQEVCRFFCFLILLSPLLLGSRGTFQDVYFSRGL >RHN58650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3643148:3654561:-1 gene:gene20578 transcript:rna20578 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Phox domain, sorting nexin MSMPKPNQVAVRDLVEEAKKRIVILIVCVVGLSYLMSLTSSSVWVNLPAAASLIIVFRYLSLDYEMKRKAAAYNNKSGSTSNQSSKKPTENAKAVAKFQWRAKVNSPVVEDAIDHFTRHLISEWVTDLWYSRLTPDKEAPEELVQMINGVLGEISGRMRNINLIDFLIRDLVNLICAHLDLFRAAITKIEKQHTDSLTIESRDTEIKIVLAAEDKLHPALFSSEAEHKVLQHLMNGLISVTFKSEDLQCSFFRYTVRELLACCVMRPVLNLANPRFINERIEAVVINKTKANKEVDAAQEVSHTKADELQTSSDHFSQCLDPSVTGVELTQLKNGQSRNAKPSAERNVSDNLSRDPLLSIDTRSSRSWNTLPGNSQSNGDQGTQRHHSGGEWGDILDVVSRRKTQTLAPEHFENVWAKGKNYQKKDGENQSNERAPQHPPMGKSPKVDHMKAISAPKEKDTRSNLNPSKGGHINSGYSSQFTVENASFYANKNGSTCSSVTSSKDDEHNHINRHMSESESNTSYTSEDDETSTVTGLDSPGTKVWDGRSIRNQAVSYVHHPLENFDNHSPKKRNKNRSRYPKLFRTQSGSKRSRSSDIKTHMWQEVERSSFLSGDGQDVLSSSKSHLNSDESSDDADFERSGRIYSGAAASSSSISKSESGSLAANPLRGSSAVDSFYKLRCEVLGANIVKSGSRTFAVYSISVTDVNNNSWSIKRRFRHFEELHRRLKEFPEYNLHLPPKHFLSSGLDVATIQERCELLDKYLKKLMQLPTVSESIELWDFLSVDSQTYIFSNSFSIMETLPVGLDAKSSEKTKISSNVSAPGSDPFLRRRNNVVANGLGPKVNSTPLSPPAKKNTQESRQSFGNSGSTADSLAWKSAPSSPNNLQKSVKGRDSSDEVSNVHHNTADTLPTEWVPPNLSAPILDLVDVIFQLQDGGWIRRQAFWVAKQVLQLGMGDAFDDWLLEKILLLRKGSVIASGVTRVEQILWPDGIFLTKHPNRRPPPTPTSLSQNSPNGNQPTPVSSPRMDDEKQEADRRAKFVYELMIDQAPPTIVSLVGRKEYEQCARDLYFFLQSSVCLKQLAFDLLEMLLLSAFPELDDVFKQVHEEKHKFGELIK >RHN79597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30421810:30430387:1 gene:gene3410 transcript:rna3410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease III post-transcriptional gene silencing PAZ-Argonaute family protein MLFAWIDEFFFFFLCSYQLEALDKAIRENTIVYLETGCGKTLIAIMLLRSYAYHLRKPSPYIAVFLVPKVVLVSQQAKALRNHTDLKVGTYWGEKGVDNWDGAIWKEQMEKHEVLVMTPAILLSCLRHSFIKLKMIKVLIMDECHHAAGRHPYACIMREFYHHQLRSGITELPRIFGMTASPIKSKAANSKLTLSKNIRELMTLMHSKVYTCVSDAVISKFIPTSTTKFQVYNENVISYAQFAELANKLSSLKQQHELYVTSSNFIKSTVDSAHKRIARIYTHSIFCLEELGVWLALKAAESLSSIEIETLLWGNSGDQIVKDFCSSAILTLQSYIPSDPQWIIGDNMNSDMERGLLTSKVCCLMDCLLEYRNFTEMRCIVFVERVITAIVLEVLLNNLLPKYNSWTAKFIAGNSSKLQNQSRKNQNQIVEDFRMGLVNVIVATSILEEGLDVQSCNLVIRFDPSPTVCSFVQSRGRARMQNSDYILMVKSGDSVTRSRLEKYLDGVEMMRKESLHHSSLPCESLESDKFNEQTYRVASTGAVVNLSSSITLLYLYCSRLPADGYFKPTPRWDKQTGTLYLPKSCPLQVQAVNVHGESKYLKNIACLEACKRLHMIGALTDNLVPSIVVEKAEVEDFGNEPYDEDQPSYVPLELVNRMPNNSNTIYYCYLLELKQNFSYDITVQDIFLATRVKLDLETECMQFNMGFDRGSLSVNLKYKGSINLSPDQVSLYHSFNFFFFNCIYIFTSVEKKSKQLWFVFIFQVLLCKRFQVNVLGILMNHKTDMETVSDKFCLEDDLEFDYLLLPSIAIEETPSVDWITINSIHPSIVKCLHHEANIWTEKGLVCPCILRNALICTPHNGRTYITTGIMELDGNSPLEVGDGEVITYKKYFGQKHGIQLRFEHQRLLKARHVFPVKNYCHGYRQAKDRDVSKTFVELPPELCSIIMSPISVRTIYSFSFIPSIMHRLESLLVALNFKKMHLSLCPQNSIQTFKVLEAMTTKSCKENFHYESLETLGDSFLKYAVSQQLFNMYENHHEGLLSVKREKIICNAALCKLGCSSKLPGFIRNEPFDPKTWIIPGVKSRCFKLEETVFKGTKIYTRGNRKLKRKIAADVVEALIGAFLSTGGEMAALLFLDWVGIKVNFNITPYERQFDACPDNLINVSFLESLLKHSFRDRSLLVEAMTHGSYMLPDVPRCYQRLEYLGDAVLDYLITTHLYNEHPGMTPGQLTDMRSASVNNDCYAMSAIKVQLHKHVLHASQELHKHIAATLDKFDEQSSSQTFGWESEASFPKVLGDIIESLAGAIFVDSGYNKEVVWQSIRPLLEPLVTPDTLTIHPIRELTELCQKMNYTMEKNLSRNDGVTSCRIEVIADGIIHQYEYKGSTDKKTATRLACKRVLNSLQLKETQDQ >RHN78569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16352992:16354328:-1 gene:gene2187 transcript:rna2187 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNRKRHIVFEEGQLLLSVIAEEFYIICCLNIYQFIVLDKS >RHN61390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35369887:35370914:-1 gene:gene23854 transcript:rna23854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MAIIKKYSNKLPQNAVLKQILKRCSSLGKNEQPMDVPKGHFPVYVGENRSRYIVPISFLTHPEFQSLLRQAEEEFGFDHDMGLTIPCQEVVFQSLTSMIR >RHN51345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16524899:16525180:-1 gene:gene35771 transcript:rna35771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MESATCELQWLLYLLRDLHVQCVKLPVLYCYNQSIMHIAANLVFHERTKHLETDCHIVREKLQARLFKLLPVTTHDKLPDFFTKSLFPQPFTF >RHN69155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41441467:41443675:-1 gene:gene17588 transcript:rna17588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronate 4-epimerase MASPPDTSKTIKLERYNSYIRKVNSTKLLNASSKLLFRATLLIALVLVFFFTFNYPPLSDSTNHHFHTHSHFLTSAFGGGGAWERHVRHSAIPRRPNGFTVLVTGAAGFVGSHCSLALKKRGDGVIGLDNFNSYYDPSLKRARQALLTQHQIFIVEGDLNDAPLLTKLFDVVPITHILHLAAQAGVRYAMQNPQSYIKSNIAGFVNLLEVSKAANPQPAIVWASSSSVYGLNTENPFSELHRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILHGKTIDVYQTQEGKEVARDFTYIDDIVKGCVGALDTAEKSTGSGGKKKGPAQLRIYNLGNTSPVPVGKLVSILENLLSTKAKKHIIKMPRNGDVPYTHANVTMAYKDFAYKPTTDLATGLRKFVKWYVRYYGIQSRLKKENELPEDSA >RHN79938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33666996:33683154:-1 gene:gene3796 transcript:rna3796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CLASP domain, TOG domain-containing protein MEEALELARAKDAKERMAGVERLHQVLEASRKTLSSTEVSSLVDCCLDLLKDNSFRVSQGALQALASAVVLSGDHFKLHFNALVPAVVDRLGDGKQPVRDAARRLLLTLMEVSSPTIIVERAGSFAWTCKNWRVREEFARTLTSAIGLFSSTELPLQRAILPPTLQLLSDPNPAVREAAILCIEEMYAHAGSQFRDELQRHDLPSSLVKYINARLEGIQPKVQSSNGISSGYITGEINPLNANPKKSSPKAKISSKETSLFGGEGDVTEKGIEPIKVYSEKEFIREIEKIVSTLVPEKDWSIRITALQRIERLVLGGAADYPCFFGVLKQLVGPLSTQLSDRRSTIVKQACHLLCFLSQDLLGDFEACAEMFIPVLLKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIVDCAKSDRSAILRARCCDYALLILEHWPDAPEIQRSADLYEDMIRCCVSDAMGEVRSTARMCYRMFAKTWPERSRRLFSSFDPSIQRLINEEDGGKHRRHASPSIRDRSAPMSSSSQASTPNLSGYGTSAIVAMDRSSSLSSGTSISSGVLLSQAKSLGNGTERSLESVLHASKQKVSAIESMLRGLDLSNKHNSSALRSSSLDLGVDPPSSRDPPFPAAVSASNHLTTPLTTESPGLGVNRGSIRNGGMGLSDIITQIQASKDSGKLSYNSNVGIEPSSDFSSYTSKRTNEKLQGRSSVDENSYIRETRRYMNPNVDRQYMDALYKDGNFRDSQNSCVPNFQRPLLRKNVAARVSSGRRRSVDDSQLSTGEISNYADGPASIHEALSEGLSSGSDWSARVSAFNYLHSLLEQGQKGIQEVVQNFEKVMKLFFQHLDDPHHKIAHAALSTLADIIRTCRKPFEGYMERMLPHVFSRLIDPKELVRQACSTTLEAVGKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFAISSFNKHAMNPEGAANIGILKLWLAKLAPLVHDKNTKLKEAAITCIISVYSHFDSSAVLNFILSLSVDEQNSLRRALKQRTPRIEVDLMNYLQNKKERRSKSSYDPSDNVGTSSEEGYAGLSRKAHYVGRYSGGSLDSDGGRKWSSQDSNLIKASRGQPASDETEEHLYQNLQTNCDSDIVGSKAKDLAYSIDSMDQNFGFQTDRLGYVDSTMNFEGLPSDVDVNGVMSLEHLNIAEGFEHLSELNHNHHSAEDVKVNHMTNTGLSIPQILHMICSGGDGSTISSKRTALLQLVEASEANDHSVWIQYFNQILTVVLEVLDDSDSSIRELALSLIVEMLKNQKDAMENSVEIVIEKLLNVTKDTVPKVSNEAEHCLTIVLSQNDSFRCLSVIIPLLVTEDEKTLVTCINCLTKIVGRLSPEELMGQLPSFLPALFEAFGNQSADIRKTVVFCLVDIYIMLGKAFLPYLEGLSSTQLKLVTIYANRISHARTGKSIDAAID >RHN39564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8224148:8225959:-1 gene:gene45598 transcript:rna45598 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHCNSLAQIPIQKYDNSFVKYSNNLLMSKRCYAPKKKSTVGPVLLKITASIKNKVVYEDESQGIICYQDESGEIICEGYDEGPSYRQISRPTHHQRDVEIVNLLKQSWFQIAKGKEIDDAVEGGRLQEDLI >RHN59363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9864138:9868322:1 gene:gene21389 transcript:rna21389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MSFQRRDNRVPRRRQQWTPRPSSTTNQLLPQLLQEIQDKLTKGTVECMICYDIVRRSSPIWSCSSCYSIFHLNCTTKWARAPTSSSQTSIDWRCPGCQSVQLTSSNSIRYFCFCGKTPDPASDFYLTPHSCGEPCGKPLQKTGDLCPHVCVLQCHPGPCPPCKAFAPPRLCPCGKITITTRCSDDQSLITCGQQCQKLLQCGRHRCHQICHLGPCDLCRVPIDASCFCKKSLEVILCGDMAVKGEYKAEAGVYSCGSTCGNKLACGNHMCIETCHPGSCVECPLLPTNVKTCFCGKTRLGEERLSCLDPIPTCSQVCGKTLPCGMHHCTLTCHDGDCSPCSVLVSQKCRCGSTSKTVECCKKTMANEKFTCEKPCGKKRNCGRHRCSERCCPLSNPNNILNATDSDPHLCSIPCGKKLRCGKHACDSNCHSGHCPPCLETIFTDLTCACGKTSIPPPLPCGTPSPSCQLPCSVPQPCGHPASHSCHHGDCPPCVAPVARECVGGHVVLRNIPCSSRDIRCNKLCGKTKQCGLHACSRICHSSPCDNQHVVQGIRTSCGQSCGAPRRDCRHTCTAPCHPSSPCPDRRCGFAVAITCTCGRLTTNVPCDAGGSDVDSMHEASIIQKLLVPLQPLDPNGKKVPLGQRKLMCNDECAKLERKRVLANAFEISTPNLDSFQFGENSVAHSELLADLLRRDSKWVLSVEERCKYLVLGKGKGTTHGLKSHVFCPMLKDKRDAVRMIADRWKLAINEAGREPKKFIVVHVVQKSRAPSRVLGIKGTTTIPSLLPPAFNSLVDMDPRLVVSFPDLPRDTNICALVLRFGGECELVWLNDKNALAVFNDPARSATAMRRLDHGSVYQGAIVAVPNTGTSVASSVTTDRGGAETVKGGALTTLTGYMWKQAVIIEPACREDSWDDQEGTTDCANIQSSIWKKEAPISTSSNPWTVLNQEWSSSSSANASIKADTNMKQTESNSVAKSESGDGGSNLEHQHGRAFDTLEAYDVVDDWETTCE >RHN62159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41296042:41298915:1 gene:gene24704 transcript:rna24704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MRKPSCDIKLDKNKGTWTKQEDQKLIDYINKHGEVCWSTLPQAAGLLRHGKSCRLRWMNYLRPDLKRGNFAEDEENLIIKLHALLGNRWSLIAGRLPGRTDNEVKNFWNSHIRKKLIKKGIDPNNHGLNHKIPPLQNPIMSNSSKSFGLKDIISKNRTSKTHVDNYGEVISNAAKGKDDSYAQLLDLNLDLSL >RHN80375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37533236:37533530:-1 gene:gene4290 transcript:rna4290 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLEFTADLPRDVYHLGDEDVVVRSFVDAASGEGCSSPVASVGESDLHTTCVGVKRNLEGTFVEIDSDDEPCL >RHN77291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5797798:5804804:1 gene:gene732 transcript:rna732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MQGQRGTIGSSSETFEFDCGSTSSTAAVDQHIFWNNMHTPAENRIPEFMLSPSEMNPPHGNSLNHEWQNLSGWSLGEPSSSNTQNEVNNNELKRELGLSPPINGGAIAGPRLEERHFEPTSAFSLDNVNTGPMYMCSPNSHLVPQNLNLNASLTDNGIDNSYHVEVEHPNMHKSSGPLNEHIPPPITSGSFLLPSGGSNSIYLGDTDGRPGCSLDTRRVSCKRKAVEGNGGQSSDGGSSSYSQHTVGSAWNTLPTQDYAGSNFNQSAPAEQVNARLGLSVGDGSSETIPGSTVAGSSESFHRNFRLRINPSSQQISLPPATFSHGSVIRNSSVPSSAPMLQRYHPINNPLDLRSVQPVNVMHPQSEPLLVHVPALPRSAQSIRWSGGSSSTNNHSSNSVLGLDRDTQPHEEAGSRAMARNILDHPVFVPANVRNAARNPARSSSSANLSIPGNVASSSRTAPNPPALNPSSVSAWVSRPNPQQYPRRLSEYVRRSLFSPGSEGGSSSNNYPSLRGPSTSSESRNLPSGTNPGSSPWMERSADSEFGIPYSLRSLAAAGEGSSRLVSELRNVLGIMRRGGNLRFEDVMILDHSMFAGIADMHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLNEETIMKHLKQKKYSVDGLGSQSETEPCCVCQEEFKNEDDIGSLDCGHDYHIDCIKQWLTHKNICPICKTTGLAT >RHN44041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1216496:1219062:1 gene:gene38089 transcript:rna38089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MNYTKYLVSNFFDPKMWIAFLIICSLIVGTQSAATMTSQLQMEANAIIKSGWWNTSDARFNISDRCNWHDIFCNGVGSINAIKIDSWGSQLATLNLSTFNLSTFQNLESLVIREIGPLGTIPKEIGHLSKLTYLDLSNNFLDGQVPPSIHNLRQLNYLDISLNFIKGSIPPELWLLKNLTFLDLSNNRFKGEIPSLLGNLKQLEDLDISSNYIQGSIPLELGFLKNLTRLDLSNNRFKGEIPSSLRNLKQLQKLDISHNNIQGSVPLELKFLKNITTLILSHNRLNGNLPISLTNLTKLVYIDISYNFLTGTLPSNFFSLTNFETSIDLSCNFISGEIPSMFGNFRQLILSNNNLTGKIPESICTVTFMNISYNYLSGSIPNCVDPFSIIGNKDLCTNYPHKNTLFQFQPCSPPKKSYKVKHHGFIVLSILSIIILALSFLICFKLRHSSVKNKHENTTTTKNVDMFCVWNYDGKIAFDDIIKATEDFDMRYCIGTGAYRSVYKAQLPSGKVVALKKLHGYEAEVPSFDESFKNEVRILSEIKHKHIVKLYGFCLHKRIMFLIYQYMEKGSLFSVLYDDVEAVEFNWRKRVNTVKGVAFALSYLHPDCTAPIVHRDVSTSNILLNSEWQASVADFGTARLLQYDSSNRTIVAGTIGYIAPELAYTMAVSEKCDVYSFGVVALETLVGRHPEDILSSLQSTSTQSIKLCQVLDQRLPLPSKEIAIHDIIHVAVVAFACLNLNPRSRPTMKRVSQSFATELTPLRTPLSEISMQQLLSQELKALFYIEDP >RHN78913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19968916:19974309:1 gene:gene2581 transcript:rna2581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative holo-[acyl-carrier-protein] synthase MEEGVRRWVVDISKWDPQPYEFSFALSLLPSQEHSSVTRFFKMEDRKRALISRMLQYALLYDLVKINKPFFSQHNFIIQRTSQAKPFLDLLDYDKLGLTFPNFNFNVSHHGDYVAIASEPLCLVGIDIVSFDMPQGETVAEFIQFFSSYFSTLEWENIVNAGTSNDVLIQFYRYWSLKEAYVKAMGSGLIEGLNKVEFSHTNWTNISATMDGKVMALWRFWLIELGERHCAAIARGPPTSADISYKSTLKKVDFTEDEYNIGLHLPNVDFVEQSVEQLVLILQKAFDSEPRG >RHN43588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47043171:47048649:1 gene:gene50193 transcript:rna50193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative asparagine--tRNA ligase MSDEVPKAEFSDSVLIKSIIRREDGGSGLAGKKARIGGWVKTGRKADKDAFAFLELNDGSCAGNLQVIIDASLADLGQLVQTGTCVVVEGHLKLPPSGAKQKIELRAEEVLHVGPVDPAKYPLPKTRLTLESLRDFVHLRSRTNTISAVARIRNALAYATHTFFNKHGFLYVHTPIVTTSDCEGAGEMFQVTTLFSEAERLEKELIQNPPPTEADVEAAKLVVQEKGEVVSQLKSAKADKKEISAAVAELKKAKENVSKLEERSKLQPGIPRKDGKVDYTKDFFARQAFLTVSGQLQVESYACALSRVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFADLEDDMKCAEAYVKFLCRWLLDNCLEDMEFMADKFDKGCIDRLKMVASTPFIRLTYTEAVEILEESVQNGKKFEKEVEWGIDLASEHERYLTEVKYQKPVIVYNYPKDIKAFYMRLNDDLETVAAMDVLVPKVGELIGGSQREERYDVIQQRLEEMDLPVEPYEWYIDLRRYGTVKHAGFGLGFERMILFATGLENIRDVIPFPRYPGRADL >RHN80812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41008249:41008776:-1 gene:gene4779 transcript:rna4779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MESVEHRIVEVNGIKMHVAEKGEGPVVLMLHGFPELWYSWRHQILDLSSKGYRAVAPDLRGYGDTEAPESVTSYTCFHLVGDIIALIDSLGVDKVYLVGHDWGAIIGWYVCMFRPERVKAYVCLSVPFRPFLGRDPKINNYDAFHAKYGDDYYVCRFQVKINSRLSTMVQQNFRI >RHN82359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53157668:53158704:-1 gene:gene6521 transcript:rna6521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I MEGTEETKGADRNGPKGIISAVGISIIVGWGYIIGITYAVTDIPYLLSENNDAGGYAIAEIFYQVFKTRYGNGIGGIICLGIVAVAIFFCGMGSVTSVSRMAYAFSRDGAMPFSSLWHKVNNQDVPIYAVWLSVFISFCMALTSLGSIVAFEAMVSIAVIDLYIAYALPIFFRVTLARKYFVPGPFNLGRYGIIVGWVAVIWVVIISILFSLPVSYPITIETLNYTPVALGCLIILILSYWILSGRHWFKGPITNLEH >RHN77294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5817811:5820475:1 gene:gene736 transcript:rna736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAFLQDQFQRHYQQQQQPQPQTKSFRNLQTIEGQMSQQMAFYNPTDLQDQSQHPPYIPPFHVVGFAPGPVIPADGSDGGVDLHWNFGLEPERKRLKEQDFLENNSQISSVDFLQPRSVSTGLGLSLDNTRLASTGDSALLSLIGDDIDRELQQQDLEMDRFLKLQGEQLRQTILEKVQATQLQSVSIIEDKVLQKLREKETEVENINKRNMELEDQMEQLSVEAGAWQQRARYNENMIAALKFNLQQAYLQGRDSKEGCGDSEVDDTASCCNGRSLDFHLLSNENSNMKDLMKCKACRVNEVTMVLLPCKHLCLCKDCESKLSFCPLCQSSKFIGMEVYM >RHN45426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20662079:20663065:1 gene:gene39764 transcript:rna39764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling &Metalloenzymes JmjC family MNRAICQKHETVKVLGCSNLEEESVKTDLFFTWYTKGCKGSSKQPHMLKLKDWPPSESFKECLPNHFEEFVSYLPYKEYTHPVSGSINLAVKFPDGWLKPDMGPKAYIAYGFAKELGFGDSVTKLHCDMSDAVNVLTHVAEVKLGYEDVTAIEKLMPKNLEQDKRELHDIHQDGEANDIISSDTGAKDFIIFLQQFNYNKIITF >RHN81368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45292536:45297899:-1 gene:gene5393 transcript:rna5393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAEEEDIISTLPDAILCHILSFLETNYADATSVLSKRWNHLWRSVHTLRFITQVTDHNSNHDFIDFVYSVLLSRDPALPIKTFHLEVTFHPTKSAAMTYPRILEKMMT >RHN47732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42048114:42051664:1 gene:gene42365 transcript:rna42365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MWALRRASLSLRNKSFNVRVSSVKLLPNTSVEDESGVFDSPKMVCAKCGFSSMNLCYCSGSNSGHGFLKFSLGRLGFSSEAGAKTTKEEDDDLEDGFSELETPLGEESEKGVVSDSEDSDESDESEITGPHNELELPLSHDVDEVSTGKRSSRKRADSELFKAIMNGTGPTIYTALEKWVEDGKELSREEISLTMINLRRRKIYLKALQLSEWLESKKHLEFVERDYASQLDLIAKLHGLHKAEVYIEKIPESFRGEIIYRTLLANCVTQNNLKKAEEIFNKMKDLEFPLTPFACNQLLLLYKRTDKKKIADVLLLMEQENVKPSPLTFKILIDVKGQSNDIDGMDQIVDQMRAEGIEPDNYTKAVLVGHYISGGHDDKAKMLLKEMEGENLKENRWVCRLLLALYAKLGMADEVGRVWKVCETRPSVEDCVAAIEAWGKLKKIDEAEAVFEVMARKWKLTSKNCSVLLNVYANNKMLTKGKDLIKRMAHKGCRIGPLTWNALVKLYVQAGEVEKADSVLQKAIQQSPVRPIFSSYNTLLEEYSKRGDIHNSEKIFYRMKQAGYISRLKQYQILIEAYRRANVPAYGIRDRLKADNVFPNKTLANLLVQVDGFKKTQASDLLD >RHN47612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40997542:40999902:-1 gene:gene42232 transcript:rna42232 gene_biotype:protein_coding transcript_biotype:protein_coding MISRISSVRDLCSRPLSFTNFVVHHRLCSPLFSDGSSAIPCGHDDESLLCVRRTKDHFSGFVKNLCVLHQRNMLIKLVVKEDARSDLGLG >RHN45631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23930122:23930869:-1 gene:gene40011 transcript:rna40011 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPPLIYSGNPDPPWREARRRHHPPPDCDRNPVIAYQF >RHN45895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26569019:26571157:-1 gene:gene40319 transcript:rna40319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MAEYSAAFRYIPSRHETFNQQKNSTVERLNILELFIWNETQPCWRSGPWNGGVFTGIQTMKVAYLNSFQGGDDGEGKILIFYTLSNDKELMIYHLNSQGRLEETWWDDDKK >RHN57686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41017224:41019936:-1 gene:gene33265 transcript:rna33265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MANENHDPFHHDELNHSNFSFSQNFQGFDPSSHSTSFSDYLHGSMDYNTLSKAFDLSCSSSEVISSIDDNNTKKSSAGDLSSLVGISETPKSSESSSSNEAVIEEDSTNKKDKQPKLGCEDGDDEKSKKENKAKKKEKKVKEPRFAFLTKSEIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTSQKCIVKKRVERSYQDPSIVMTTYEGQHNHHCPATLRGNASSMLLSSSPSLFASSTSMGLEQRLHQDFFSQFLPTYSQSDQQIFHQNLPQPHQQQQQQQHQQQFQLRRDYGLLQDLLPSSFPGKQEP >RHN73227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14548885:14552081:1 gene:gene9021 transcript:rna9021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MQFLSFELQPLRPFDSKPSHISRPMLNKWFLSQNVVLGLNTTKTTTSKFNNPLFNQHFSFLISLTRFCTTTSQSESVTHPFAASYLINNFGFSHESALKAFNLKQVRFNTADKPDSVITFFQNHGFSHDNIRIMIRRAPWLLSSQPHKRFLPKFQFFLSNAASSSDIVPLLTTNPRILRSSLDLEKQIIPLFELLSRFLKTNKDIILCLIRYWTAFATNPYHLIVSNINLMSDFGVSDNVIGSLLQSRPSIFGSKDLIKSLEEVKDLGFHPSMTNFGTALMAKKCMSKKLWDEKIDTFKKWGWSDEAIIRAFRCRPELLLASIDKINLVMSFWVNQLGWNSLALTKRPHIFSYSLDKTITPRASVLQFLLMKGLREKNASLVAPFGYSGKMFLSKFVFSFKEESDYLLKLYEEKVKLAYTKENNGMPMPSTECVTC >RHN38390.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000029.1:1760:2023:1 gene:gene50789 transcript:rna50789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-1-phosphate adenylyltransferase MLSSTVQLREPGMVSSRNLKVVKFCNGEMMGRKIELHAATNGCTKNVYRKNISMSLTADVASESKVYLSELLNLLLNIQCNLNSYLV >RHN69921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47355856:47359646:1 gene:gene18454 transcript:rna18454 gene_biotype:protein_coding transcript_biotype:protein_coding MTANFLLCLIVLPLIYPPLSWLYNVLPFLVLEWVYHLHPIYAKKQKKFQNGGDPKNRLITWILRCRRDHIRKIKIDAFEYVWIFVHNHVETHTRIATNIN >RHN41175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27793651:27796452:-1 gene:gene47466 transcript:rna47466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MKDKFVVFFIDKTNFFYLAFYLFILSLNLISFYFSKKCQIKVQSYLSHEMIIHYLGMQKKMKEKGGLFIVPLCSQNINNIVSYPFSGTIPKEIGYLDKLERLLLFNNRLSGSIPSKILNMSSLTALVVDHNSLSGPLPSNTGYSLPSLQYLYLNENNFVGNIPNNIFNSSNLIDFQLYDNAFSGTLPNIAFGNLRFLEFFLIYDNNLTIDDSHQFFTSLTNCRYLKYLDLSGNHIPNLPKSIGNITSEYIRAESCGIGGYIPLEVGNMSNLLFFDMYDNNINGPIPRSVKGLQKLQHLSLSKNGLQGSFIEEFCEMKSLGELYLNNNKLSGVLPTCLGNMSSIIRLYIGSNSLNSKIPSSLWSVIDILQVDLSSNAFIGNLPPEIGNLRAIILLDLSRNQISSNIPTTISPLQTLQKLSLADNKLNGSIPESLGQMISLISLDLSQNMLTGAIPKSLESLVYLQNINFSYNRLQGEIPDDGHFKNFTAQSFMHNDALCGDPHFQVPTCSKQVKKWSMEKKLILKYILPIVVSAILVVACIIVLKHNKTRKNENTLGRGLSTLGAPRRISYYELVQATNGFNESNFLGRGAFGSVYQGKLLDGEMIAVKVIDLQSEAKSKSFDAECNAMRNLRHRNLVKIISSCSNLDFKSLVMEFMSNGSVEKWLYSNNYCLNFLQRLNIMIDVASALEYLHHGSSIPVVHCDLKPSNVLLDENMVAHVSDFGIAKLMDEGQSQTHTQTLATIGYLAPGIVSVKGDVYSYGIMLMEIFTKRKPIDDMFVAELSLKTWISRSLPNSIMEVMDSNLVQITGDEIDDILTHMSSIFSLALSCCEDSPEARINMAEVIASLIKIKTLVVGANAV >RHN71478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:422006:423313:1 gene:gene7084 transcript:rna7084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase MIIDRRQSLRSSKRLSETGKTSLPISSREQSSASDGNQQPDDRSNTINSAYQVQGAGFDQVQSCSRYLVAVSHPLTLEPDLGHDAENVENSMVAIPVKVEELGEAEMSLSNGSNQMAEQNNFETESDPLEQEALAGEIQASKKFDEISNKNTRKCISRAGCSSPSFSLKLTAMAEEEDDDELDSEIQSRPKDNIKEYQIKSEHMPVLRKIIGKHGDIVKNCTTKFVKFRSLFLEAICEIIAELDKKNASIANISSKNLEKKIDVVSYIKNQNVDVEWLHSRLTEILEARRILKQTCKLIQETDSVRMVTEAAERDLNKWEARKEELTEKVKEIFHELKEATDKEADCKERLVRAHNESTKISQIVKDAKSKVRRFLDCSLIDDLL >RHN44851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9091020:9091442:1 gene:gene39017 transcript:rna39017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MTTLYCILTVTAREISTGNINAITITNNKDRLSMFDIEKMIKEAEKYHVEDMQFLRRAKVMCALDSCVYNMKNALEKNNVNLILSPQEIAKINNAITVAMDLLDMNKKEKEIDVLEGYLEELERMSKHLISKANNFIFLG >RHN47514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40255067:40255345:1 gene:gene42126 transcript:rna42126 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPITELYLQLIKSRVLIYCDVFVLKTLVDSFYVIFARLKLYQHVIISLQEFGAVVGVLSHNLACLHDLQKCQGSYISIYNQPSYAHQVSS >RHN67663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29050139:29052032:1 gene:gene15872 transcript:rna15872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MCVLNCLHLLLKIVDVNVNNHRRVYKTVTAKDDVLAFTDAVEKGEHEVDEVGLWYGRRVGSKDMGYEERTALMVAALFGSKGVLSYILGTSRVDVNRVCGSDRATALRCAVSGCSAASAEVINLSLDASADRILRSILEGVDDVDFLKEVGFQLVEQQQNVATPRTEKKDYPINPSLPDINNEIYSTDEFRMGNARRRDSRKYHYTCVPCPEFRKGSCRKGDACEYAHGIFECWLHPAQYITRLCKDETRCTRRVCFFAHKPEELRPLYASTGCPLPSPTSYSNSPCASSMDSFTLSSPSSSIQSAPTPPLIPSASSPATGTMWQTQIQLHAAVPTLQMPRNRLKTVLNAGNNIEFLELENRLSLSSPSNRLARVNPTNLENFFGSSIQSPTTMQMHQNAN >RHN82822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56611536:56612014:-1 gene:gene7012 transcript:rna7012 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQKGMIAERPTGNIRCSSMCIVVWLSQSYQSHTGVIELWSWRRLPVTDFEVANMAMAAKIRPYSAIPYHKSALRQLEFRNFGSPPSAIDTTQS >RHN44902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9656971:9657288:-1 gene:gene39079 transcript:rna39079 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFQIYQFFFLFLKTFPSDFPASFAAEVPADCSQETSLRADKLFKTDQTLAADIFAGRFPADPKFLGNQLPTKVLPGNLNPWENPHESCFLRNFGRTPQENIDF >RHN46101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28507281:28507634:1 gene:gene40541 transcript:rna40541 gene_biotype:protein_coding transcript_biotype:protein_coding MEILISDSSFVKLHFKRSTQNPQLALVYLTQYAETAIVSAIPLSHFLVSLSKPVTLTNDPYFCLKDKDCFSVVGSCNGLLCLYGYSDVFPNYRMTFGYDNSTDTYKVVYLHRGVRLF >RHN68872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39252388:39258708:-1 gene:gene17287 transcript:rna17287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MDQKLHAVLVPYPAQGHVNPLMQLAKLLRCNGFHITFVNTEFNHKRLVNSLGQDYMNGLPDFQFETIPDGLPPFNKDATQDIPTLCDATRKNCYAPFKELLNKLNTSSPHIPVTCIIADGLFGFAGRVARDLGIQEIQFWTASACGFVGYLHYDELVKRGILPFQDEHFVCDGTLETSIDWISGMKDIKLKDLPSFMRVTDLNDIMFDFMGSESQNCLRSSTIIINTFEELEDEVIATLKTKNPNIYSIGPLHMLGSNFPKKEHGFKANGSSLWKSDLECTKWLNKWKPCSVLYVNYGSVTVMTDHHLKEFAWGIANSKLPFLWIMRPDVVMGEEISTLPQDFLDEVKDRGYITSWCMQDQVLAHPSVGVFLTHCGWNSTIETISSGVPTICWPFFAEQQTNCKYLCDTWKVGMEINNDVKREEITELVLEMMKGEKGKQMKQKSIEWKKKAKKANDFGGSSYTNFHKLITEVLRHNAF >RHN64363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58484772:58493618:-1 gene:gene27175 transcript:rna27175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MEVNTEIVEDTQMDVEEQQKEEEDPFLNFVDQARSELLSLEDDSNRGDSDTSGYGWSWIVSRILKTCIAYSSGVTPAILLSELSQAWSEQRRVAVPKKRLELINSLKKNNRRIKLPNTVTIDSIYEKKFIALNSVLEVVIIDAHVLPGTNIHMLTLGDYWSSNIIDLYLHRRFYDLAGLPSGILKKGREVLLTGCYLRTATESSGHPRLLPTEYLVILLDENQDDDAMLLGAQFCSDSFSSISLDAVNKGVSYSLYARIENIESAEVRGNFGTSQRKQITLVDGDGVTLKFFLWGEQILLANLFRVGSMLALDKPYIASSVECDIQTSEEVCLEYGSATQLYLVPYIQHEEQVCVALTPNRHQGSRQMGSCNPTQGPRFSQVSLPCDSQGTVDFSNYPLRSIVVDLRDKMTGISLYGVVTEISKEDNNQATVFSLRIADTSGEIRAKLHFTRLWSLGRVSLGHTIFISGLKCTASKRKKCLELAWFENGTGASFINLSCLPALINSSCLHKLFKLSDISNQTCYAQVCRVWLVPNEYYYVNTRFSHSLCGHFVDKKPGGFVECSFCHRISDAEVVRTFHLKITLADKSTKALAWCTGQTAMDLLQISPEEFYDLPEEEQLMYPSSLENETFMVALVNCKPERCVTYDLLPDDSISWEITRAYKCE >RHN50880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11386678:11387722:-1 gene:gene35228 transcript:rna35228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteolipid membrane potential modulator MGSETFVEVILAILLPPIGVFLRYGCGVEFWIDVVLTLLGYIPGIIYAIYVLVG >RHN54321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8648903:8655360:1 gene:gene29333 transcript:rna29333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CDPK1 MGLCFSSTKVVSGSNSNTTNNDNRKRNQSTTTDTTVTVTTATTAAQKQTAQRRKGGSNETAQKKNHHQHHRLKEKTGSKHVPCGKRTDFGYEKDFDKRFSLGKLLGHGQFGYTYVGVDKSNGDRVAVKRLEKAKMVLPIAVEDVKREVKILKELTGHENVVQFYNAFDDDSYVYIVMELCEGGELLDRILNKKDSRYTEKDAAVVVRQMLKVAAQCHLHGLVHRDMKPENFLFKSNKEDSALKATDFGLSDFIKPGKRFQDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPTISNAAKDFVKKLLVKDPRARLTAAQALSHPWVREGGEASEIPIDISVLNNMRQFVKYSRLKQFALRALASTLNEGELSDLKDQFDAIDVDKNGAISLEEMRQALAKDLPWKLKESRVLEILQAIDSNTDGLVDFTEFVAATLHVHQLEEHDSDKWQQRSQAAFEKFDIDKDGYITPEELRMHTGMRGSIDPLLEEADIDKDGKISLPEFRRLLRTASIGSRNVTSPTLRHRRI >RHN46556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32731090:32734437:-1 gene:gene41054 transcript:rna41054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kelch-type beta propeller MPFPILLMACFFPVQREAELEIQLLKDRCTLLESTHSTPLTHVEETILSPSAEETIISPSAELLLDAKDSLYLIGGCDGEASLAAMDIYCTSLNAIKSLKPMNHNRSYASVVEFDGEIYVFGGGQAGPDPVWYDTVESYNPILDSWTLRPSLNQKKGSLSGAVLDGKIFAVGGGNGVECFSDVEMFDSDIGRWIPTRSMLEKRFALAAVELNGAIYATGGFDGKNYLNSAERFDPREHSWFRIANMNTRRGCHSMATLNEKLYALGGFDGVTMVPSVEVFDPRLGKWTVEETTMNHPRGYFAAAVVKDSIYVIGGVNGDENIVDTVESYMEGQGWKEIYTSENVKRCFISAIACSHE >RHN57598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40430721:40437128:1 gene:gene33171 transcript:rna33171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MITTILRRASSTISRRTIPAAAEILFSTTAATELRHLTARSFHSKSQPLLFRASSASRAGYAAEAFPFEEPSKSNSDEGLEIAKLGISQDIVSALEKKGITKLFPIQRAVLEPAMQGRDMIGRARTGTGKTLAFGIPIMDKIIQFNAKHGRGKDPLALVLAPTRELAKQVEKEFYEAAPNLDTICVYGGTPISQQMRQLDYGVDIAVGTPGRIIDLLNRGALNLKEVQFVILDEADQMLQVGFQEDVEKILERLPAERQTLMFSATMPTWIKQLTRNYLKNPLTIDLVGDSDQKLADGISLYSILSDAYVKAGIIVPLIKEHAKGGKCIVFTQTKRDADRISHGMSKSIPCEALHGDISQIQRERTLAGFRNGHFNVLVATDVASRGLDIPNVDLVIHYELPNNSEIFVHRSGRTGRAGKKGTAILVYTQDQSRTLRTIERDVGCKFSELPKIAVDTASLGGFSGGGRFGGGGGFGGGGGGRSGSFGGGRSGGYSNSGGFSGSRQSGGGFSGGSSSYGENRYGGSSSGRFGSFGSGDSGSRSGGSSGGFSKQGGFGGFGGGSDRSGGFGGGFGDFGSGKPGAFGDRRGRD >RHN44558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6164380:6167514:1 gene:gene38692 transcript:rna38692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MFVKYSKHESSSNKKCPTVIEELCHQFSLEELRKSTNNFAEDRKIRKSLFSIVYKGYLKHSGETEYPIEVKRMRNLSDEWKFKKEIELHSKLHHPNLRSFIGFCDHKDEKILVYEYMLNGSLYDQLRSRNMESLSWKKRLEICIGAAKGLHYLHTGTKRTVFHCDIKPQTILLDKNMVPKLSHLGFSLQGKLSKSNPKPVKVDTLIGTLFYMAPEYVRTNTFTDKCDVYSFGMVLLEAVCTNYKYTIFDKMNVLDNPNIFLERPNEVAKFLERFAAYEIIDPILMRLIAPWCLKVFMDVMKKCLNIDPNERPAMGEVEVELEHALALQEETDDGKMNGG >RHN77256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5455854:5459537:-1 gene:gene694 transcript:rna694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MGIILSSNRYIQVLASQILIALHQAYIVYSENKMNWWLARATGDHPGKITKFKEAPRNVQNVALIIGVTGIVGNSLAEILPLKDTPVGPWKVYGVARRPRPMWNVDNPVHYIQCDVSNQNDVELKLSPLTDVTHIFYVSWTSRPTEAQNCEVNGAMLRNVLQALIPNAPNLSHVSLQTGAKHYVGPFEIIGKIKPHESPFTEDVPRLDTPNFYYTLEDILFEEVGKKKGTTWFINRPQVIFGFSPYSMMNLIGTLCVYAAICKHEGLPLRFPGSKGAWECYSTASDANLISEQHIWGAVDPNAKNEAFNCSNGDVFRWKHLWKVLAERFEIDDYGFEEGSELRLSDLMKDKGGVWEEIVRENELLYTKLEEVGDWWFADFMFRVEGVLDSMNKSKEHGFLGFRNSKNSFISWIDKTKAYKIRRERTHTTVVATHVRIIQTHIIQPRTIWIRIRLSRNQLLRFVNMAIIFFELITSSCTLSTIAIQNRRNKENQNK >RHN80610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39398715:39406682:1 gene:gene4554 transcript:rna4554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MEQAVLDDIINRLLEVRSRPGKQVQLSETEIRQLCSTAREIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPQANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRIWKVFTDCFNCLPVAALIDEKILCMHGGLSPDLHNLDQIRNLQRPTDVPDTGLLCDLLWSDPSKEVQGWGMNDRGVSYTFGADKVSEFLQKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPADKKTKLNFGSTTTTKPGNSPAGVKSFLGTKV >RHN47678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41465728:41468702:-1 gene:gene42303 transcript:rna42303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MEGDKCSSFFQRCKPYIAMISLQFGFAGMNIITKVSLNRGMSHYVLVVYRHAFATAVIAPFAIVLERKIRPRITFLMFMQMFVLGLLGPVIDQNLYYAGLKFTSPTYSCAISNILPAMTFVMAVIFRMEKLDMRKLRCQVKVIGTVITVAGAMLMTLYKGQVINILSSQYMHHPKNYEPENITDSGEKDWVKGSILLIIATFAWASFFILQAVTLRKYSAQLSLTAIVCSLGTLQSIAVTFVMEHNPNAWSIGWDMNLLAAAYAGIISSGLTYYVQGIVMQKKGPVFVTAFSPLMMIIVAIMGTFILAEKLYLGGVIGAILIVIGLYSVLWGKSKENKEIEEETITEGMKCCVENGVILETVIEGVEETNDIEMQKGEATKVLRVAIISVPKV >RHN79766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31962876:31963873:1 gene:gene3602 transcript:rna3602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TCP family MSSSEVVIHTFSQTQSETKPSTAVTTTVATTSSDPNTKLTVRRPASRSTKDRHTKVNGRGRRVRMPPLCAARIFQLTRELGHRSDGETIEWLLRHAEPSIIAATGTGTVPAGPVSTSSPFTSSSLPSVSCHVQPATTVGPGGGGIFGMALPMQPPSCRLDLSQPAGMDYGTASRYHHMPFTTLLLQPTTTGEENQQEDEESLNEQ >RHN62306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42516021:42518889:-1 gene:gene24867 transcript:rna24867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylic ester hydrolase MGSIARTWRELSGKSKWKGLLEPLHIDLRRYLLHYGQFAQATYDGFNFEKASKYAGNCRYSKKDFFSKVYLEKGNPFKYSVTKYLYATSKARDSAAFLLTSIFSKDAWSLETNWMGYVAVATDEAKEALGRRDIVVAWRGTIQGAEWVQNFNIDLDPAPLIFGPKSDVQLHNGFYSLYTSDNSSLPLADSSARKQVLNEISRLVELYKNEEISITVTGHSLGGALATISSMDIVANKFNIPKGQPQKTCPVTLFAFGSPRVGNSNFEKIFSDNNDLRALFIRNNNDIVPSSLRLAYSKVGEELEIDTEKSKYLKSGVSEHNMEVYLHGIAGTQGSKGGFNLEVNRDIALLNKSNDGLKDEYHIPENWRVVENKGMVQQSDGTWKLMDDHNDDVLIMRAKL >RHN49345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54145477:54148520:-1 gene:gene44165 transcript:rna44165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transport protein particle (TRAPP) component MVREVSESCVDSLMTEMVACYCNRFYANKPELAARRIEAIGYQVGQQLSERYTMERPRFGDHLEAIKFICKDFWSEVFKKQIDNLKTNHRGTFVLQDNKFPWLARMSVDPSTDNVSSVEDYSAPTAESKAAQAMSMHLYFPCGIIRGALSNLGIPCAVSADISSLPACSFVVRIKA >RHN57075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36267367:36271401:1 gene:gene32569 transcript:rna32569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MEPRFLAIFLVALSFPLFVQSLVRHYNFSVVLKNETKLCSTKSFVSVNGKFPGPTLYAREDDTLIVRVTNLVQHNVTIHWHGIKQLRTCWSDGPAYVTQCPIQTGQSFVYNFTITGQRGTLLWHAHITWLRATMHGAIVILPKRGTPYPFPKPDKEKIIILGEWWKSDVEAVVNQATSSGMPPNISDAHTINGHPGPVPGCISQGYTLHVESGKTYLLRIINAALNDELFFKIAGHKLIVVEADASYLKPFEIDTIFLSPGQTTNVLLTANQPIGKYLIAITPFMDAPIGFDNLSSIATLRYKGIPPYTKTILTNIPPLNATPITKTFTDSLRSLNSKTYPTRVSLTIDHSLLFAITVGLNPCDTCITDNKLVSAINNITFLMPTVSLLQANYYNIKGVFTDDFPSKPPMVFDYTGTDQPANLHTDNGTKVYRLNFNSSVQIVLQGTAMIAPENHPFHLHGFNFFVVGQGLGNFDPEKDPLRFNLVDPIERNTLSVPNNGWIAIRFRADNPGVWFLHCHLEVHTTWGLKMAFIVDNGRGPSESILPPPKDLPIC >RHN63317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50338238:50338870:-1 gene:gene26003 transcript:rna26003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative N-methylcoclaurine 3'-monooxygenase MIPLTISLFENTNMKDWYKGDTTTLLITLLTISTILWYLYIFFFKSKSQNLPQGPPGLPIFGNLLSLDPELHTYFAWLAQAHGPIFKLRLGSKYRGGKITKPNVIGLNLSPFCIGMSSPIPISTNPTYRDMLETFYLIHTESTNVSPVTSREGLLVYTIYNFLRVGFNQHVGPSKLFDQLYSKLYAQNFRHARVRRCLHRILSSDIDTSF >RHN65922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6554364:6560029:-1 gene:gene13818 transcript:rna13818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAFLSPIIQEICERLSSTDFGGYVREELGKKLEITLVSINQVLDDAETKKYENQNVKNWVDDASNEVYELDQLLDIIASDSANQKGKIQRFLSGSINRFESRIKVLLKRLVGFAEQTERLGLHEGGASRFSAASLGHEYVIYGREHEQEEMIDFLLSDSHGENQLPIISIVGLTGIGKTALAQLVYNDHRIQEQFEFKAWVHVSETFNYDHLIKSILRSISSAEVGDEGTEILNSQLQQQLAGKKYLLVLDDVGIKNGNMLEHLLLPLNRGSSRGKMIVTTHDSEVALVMRSTRLLHLKQLEESDSWSLFVRYAFQGKNVFEYPNLELIGKKIVAKCGGLPLTLKTLGILFQRKFSVTEWVEILETDLWCLPEGDNCINFALRMHYLSLPPNLKRCFACWSNLPKGYEFEEGELIRLWMAEGLLNCCGRNKSKEELGNEFFDQLVSMSFFQQSVLMPLWTGKCYFIMHDLVNDLAKSVSGEFRLRIRIEGDNMKDIPKRTRHVWCCLDLEDGDRKLENVKKIKGLHSLMVEAQGYGDQRFKVRTDVQLNLFLRLKYLRMLSFSGCNLLELADEIRNLKLLRYLDLSYTEITSLPNSICKLYSLHTLLLEECFKLTELPSNFCKLVNLRHLNLKGTHIKKMPKEMRGLINLEMLTDFVVGEQHGFDIKQLAELNHLKGRLQISGLKNVADPADAMAANLKHKKHLEELSLSYDEWREMDGSVTEACFSVLEALRPNRNLTRLSINDYRGSSFPNWLGDHHHLANLLSLELLGCTHCSQLPPLGQFPSLKKLSISGCHGVEIIGSEFCRYNSANVPFRSLETLCFKNMSEWKEWLCLDGFPLVKELSLNHCPKLKSTLPYHLPSLLKLEIIDCQELEASIPNAANISDIELKRCDGIFINKLPSSLERAILCGTHVIETTLEKILVSSAFLEELEVEDFFGPNLEWSSLNMCSCNSLRTLTITGWHSSSFPFALHLFTNLNSLVLYNCPWLESFFERQLPSNLSSLRIERCRNLMATIEEWGLFQLKSLKQFSLSDDFEILESFPEESMLPSSINSFELTNCPNLRKINCKGLLHLTSLKSLYIEDCPCLESLPEEGLPSSLSTLSIHDCPLIKQLYQTEQGERWHTISHIPYVTIS >RHN56702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33009717:33013922:-1 gene:gene32140 transcript:rna32140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial tRNA pseudouridine(27/28) synthase MLFTSLQSPSPLFQTRNPNLLFHILPPKTLFKCFCSSSTTDSLPSLPPEKWVPYLKKKVVMRVGYIGTDFRGLQIQRNDHKLSTIEKELETAIFKVGGIRDSNYGDLDKINWGRSSRTDKGVHSLSTMISFKMEIPENAWKGDDYGIEMANHINSYLPNSIRVFSVLPSTKFDPRKECSMRKYSYLLPADIIGVQSHFSEDETDFHISEFNSILGAFEGDHPFHNYTVRSVYRKKHHARKSPGNGGMSNMTGSSSLVSACDSENEESDTDDSMSGNGEQSHKSQECSESNSLKARWLHEPDAADRLNASHFRKILRCSCGKLETLLGYNYIEIDVWGDSFMLHQIRKMVGTAVAVKRNSIPKDIFLLSLIKFSRIVLPIAPPEVLILRGNAFRMWSSGGSYTRPEMVSMVESEQILKSVDEFYTSVMLPELSKFLDSSKSPWADWVEKLDKYSSIPNDQLEEVREAWRTWKENFRAKPASEA >RHN40419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16079656:16086638:-1 gene:gene46567 transcript:rna46567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-oxo-beta-amyrin 30-oxidase MLSLVFSCSRKLIMFHDTVVIKGFKNLKLYIEKKEICCTGVIDVVGVLLLLALLWLMYVIRVINEIYMFLKVLLYINIYNIFKSGKTKQCLTFLKKNIVYRINAINGASLIFVCVSISKLTKMEVFVFPTTTTIIIFVLTVLLAVIPWHLFNNFWLKPKRFEKLLKAQGLQGEPYKLPFFVDNSKQNYMLRLQHEDKSKFIGLSKEAAPSIFSPFHQTLHKYGNNSFLWEGTIPRVIITDPDQIKDVFNKTEDFPKQKLRPIALYLSVGIVHHEGEKWATHRKIVNPAFHIEKLKGMLPAFSHSCNEMISKWNGLLSSDGTCEIDVWPFLQNLTCDVISRTAFGSSYAEGTKLFQLLKKQGVLLMKELQTNTPLWPLPTTNERMMKEIERDIRDLLEGIIRKREKELRNGETTNDDLLGMLLQSNHAENQGHGNSKSIGMTTQEVIDECKLFYLAGQETTSSLLVWTMVLLGRYPEWQERARQEVLQVFGNQNPNFEGLSQLKIVTMILYEVLRLYPPIIGLVRALRKDLKLGNLLLPGGTQVSLPVHLIHQDQDLWGDDAKKFNPERFSEGIAKATKGQVSYIPFGWGPRICLGQNFALLEAKIAISLLLQNFSFELSPNYVHVPITVLTLQPKNGASIILHKL >RHN58526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2483507:2484612:-1 gene:gene20447 transcript:rna20447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MIHTILFFFVLLSSYTSYADDLCVADLLLPNTPSGYPCKSETNVTVNDFVFSGLVPGSTINPFNFAITSAFVTSLPGLNGLGISAARADFGINGSVPVHTHDATELLIVVEGQITAGFITRTKVYSKTIKPGDLIVFPKGLLHFVVNSGVGKAVAFVAFSSSNPSTQILDTLLFGNNLSTSIIAETTLLDVSQILKLKAQFNGTG >RHN82388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53372703:53373779:1 gene:gene6551 transcript:rna6551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MLFACCTKTKIFPPTFHLFKKESSTHQIIEEFLKEHGPLPAARYSYADVKKITNSFRNKLGQGGFGSVYKGRLRDERVVAVKVLSESKGDGDDFINEVASINRTSHVNVLRLLGFCLDGSKKALIYEFMLNGSLEKFIYEKNPLKDDGQLECKMLYDIAVGVARGLEYMHRGCNTRILHFDIKPHNILLDDDLCPKISDFGLAKICPRKESIVSIFGARGTPGYIAPELFSRHFGGVSHKSDVYSYGMMVLEMVGRRKNIKVEVDCSSELYFPHWIYKRLELNQDLGLKCIKNEIDEEMVRKMTMVSLWCIPTDPSHRPAMNKVVEMLEGSLQVLEIPPKPFLSSPSRSLINLSSETL >RHN70623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52823151:52824258:-1 gene:gene19227 transcript:rna19227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP synthase, F0 complex, subunit B/B' protein MASMIMASSKPIIRVPTNSLPSTPKLPSLQTITPNLKLQLSKLKSMTLAATSLSFVFAPPSLAFEKAALFDFNLTLPIIVVEFLFLMFALDKLYFTPLGTFMDNRDAEIRGKLNSVTNTSEEVKELEEQANAVLRAARAEIAVALNQMKKETQAEVEEKIAEGRKKVDAELQEALANLEKQKEETVKALDSQIAALSQDIVNKVLPVSR >RHN58707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4188496:4189967:1 gene:gene20640 transcript:rna20640 gene_biotype:protein_coding transcript_biotype:protein_coding MVHIDNSNMYTGWQVNVSQSYSMLCRSKHIASSIYEIKLKHISTKQSISGH >RHN42767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40819608:40821908:1 gene:gene49254 transcript:rna49254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MEERKYSNMHVLMIPYPSQGHINPMLQFSKRLSTKGVKVTMVTTIFISKTMHLQSSSLPSSLQFDFISDGYDEGGFTQVGNISTYLSHMQAIGSKNLKELIQKHNVSDHPIDCVVYDPFLQWVLDVAKEFNIIGAAFFTQMCAVNYMYYYVYHGLLKLPISSMPISIPGLPLLELKDTPSFVYDPGFYPAYYEMVMNQYSNIHKADIILVNSFYKLEDQVVDSMSKLCPILTIGPTVPSFYLDKGVPNDKDNDLNLFQLDSSPINWLNSKPEGSAIYVSFGSMVCFSIEQMKEIALGLLGSGSNFLWVIPNMEKKNISKELVEEMSSSGKGLVVNWIPQLEVLSNKAIGCFLTHSGWNSTLEALCLGVPMVAIPQWTDQPLNAKYVEDVWKVGMRVKVNENGIVTKEEIESCIMKVMENDIGREMKINAKKWRELAIEAVSHSGTSDNNINEFVNKLKRS >RHN40896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23920350:23921855:1 gene:gene47140 transcript:rna47140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MMAQSYSLVQLGFFSMLLAKSMAYIPIDSNWYDAHATFYGDMQGGETMQGACGYGDLFQQGYGLETTALSTALFNEGYTCGACFEVKCVNDPQWCKTDVKSITVTATNFCPPDYSKPDGNWCNPPQKHFDLSMKMFTTIAIYQAGIVPVQYRRVPCIKNGGVRFELRGNPYFLMVLVYNVANAGDVLSVRIKGSSTYWISMAHNWGQFWDTGLNLVGQDLSFLVTTSDGKALEFNFVAPSNWQFGQTYEGSLNF >RHN49537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55486623:55492554:1 gene:gene44379 transcript:rna44379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactolipase MAYTAVGIPTSPTTSSTKDITKERYGLRRSRSSIDLCRRSIMQRSYSDSYLCCSFNRIQATSVQPKLKDNGSMGTSPFQFSGSILPNSLRSFLFDQQNGKDMNKREKDVNIEENMVETSNEERVNRANWIERLVEIKKHWRNRLPKESVDMDVMCDDYTSGECDCDDDSVCIADYDDEEEGGQEVTHDRDSFSKFLVQVSLSDTKLYSQLAFLCNMAYVIPQIKAQELRRYYSLQFITSSLEKKAAVAKLKAKLTQDSPNSPIDDLVVSQNSLEEGKDKEQNPQIRLAYDIAASAASYVQLRAKNLLTLAAKSQQSKNEDSSGRKDSPEQEAEGTSRDYKSEVAAYMVASTVTSVVASGERERQEAATSLQSLHSSPCEWFVCDDFSNYTRCFVIQGSDSLASWQANLFFEPTKFENTDVLVHRGIYEAAKGIYEQFMPEIMDHLKRHGDRAKLQFTGHSLGGSLSLLVHLMLLTRKVVSPSTLKPVVTFGSPFVFCGGQKLIDELGVDENQIHCVMMHRDIVPRAFSCNYPDHVAVILKRLNRTFRSHPCLTKNKLLYTPLGKIFIIQPDEMTSPPHPLLPSESAFYELDSTICGYSPRVLSSFLNQPHPIETLSDPTAYGAEGTILRDHDSSNYLKAVNGILRQHSKTLVRRVKKQRIDELWPLLTSPSPHSWSHEQNMERCILMTNEITTGV >RHN71233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57498976:57501740:1 gene:gene19889 transcript:rna19889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation HMG family MKGAKSKGESKKADAKLAVNKKGAAATKGGRKPAKGKEPKDPNKPKRPPSAFFVFMEDFRKQFKKDNPDNKAVSAVGKAAGAKWKSLSEAEKAPYAAKAEKRKAEYEKTMKAYNKKQAEGPAAVEEEESGKSESEVHDENEDDDEDGSEEEEDDE >RHN59638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12571265:12571510:1 gene:gene21704 transcript:rna21704 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpH MDPLISAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEDKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >RHN44948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10223941:10225430:-1 gene:gene39135 transcript:rna39135 gene_biotype:protein_coding transcript_biotype:protein_coding MADIIKFVYVIIIVLFIFFSGKNIDGKTIFFLSFSNYLLYFAHNILSHFSNILLFTFSSQQRIYALMMFIVKNISARLVYIQRV >RHN39474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7378230:7381915:-1 gene:gene45499 transcript:rna45499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonoid 3'-monooxygenase MIINKGPTHPSKSHHIHNPLNLTPKQSQNSPNMIVELFVLPNWVTFCTTFVILLLFIHRLRLHRRKYNLPPGPKPWPIIGNMNLIGSLPHQSIHGLTKKYGPIMRLWFGSKLVIVGSSPDIAKAFLKTNDIVLVGRPKFACGKYTTYNYSNITWNPYGPYFQQARKMFQRELFSVKSLESYEYMRKEELHIFLHKLFNSKNKTILLKDHLSTFSLNIISRMVLGKKYLEKSENVIISPEEFKEMLDELFLLNGVFNIGDFIPWIQFLDLQGYVKRMKACGKRFNRFMEHVLEEHIERRKDVKDYVAKDMVDVLLQLAENPNLEVKIKRNGVKAFTQDLIAGGTDTSSVIIEWAISELVKRPEIIKRATEELDRVIGRDRWVEEKDIVNLPYVFAIAKETMRLHPVTPMLVPREATENCNVDGYDIPKGTMILVNTWTIGRDSDSWDNPYEFIPERFINNDIDIIKGHDYKMLPLGAGRRMCPGYPLGLKVVQSSLANLLHGFNWRLPNDMKKEDLNMEEIFGLTTPKKIPLEVVLEPKLPYHLYCL >RHN58463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1897785:1898597:1 gene:gene20380 transcript:rna20380 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGGSCGISGGGRELSGVGFGMEKDGGSCGISGGGRELYGVGFGMEKDGGSFGISGGEGELSGVGFGVVKDGGCCGSSGTIGGGNKTPGVDGGGDNENENGSCGVMPGFDGGGDNENDVGTSGGGIEMPGWFVMGIGGGDSIENVGVSANGGGITRGGGTTAGSVGRDGDGGSGDDGDGGGGDATNGGGGGDGGGGGGDGGGGGGDGVGGIGQQGGGDGVGGNGQQGATGGGGQTGGGGDGGGGHAGGGGGDGAQGGVHAGGGEGGGG >RHN69913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47310222:47319654:1 gene:gene18446 transcript:rna18446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MSGTGRKHSSKWDLSDEHKFSPGSKQMRSGRSSADVAGSNSSEWAYLEGNDKLRPVTGFSSKESYYGGRGSNEDDAMHKDHRVFNSRREWDTDGSYSRNMRHSQSPKNDWSRNSRSRSRSPPRGFRWDSGVDGRKRTRVGGSTRPCRDFAVGKCRRGSHCNFLHHDNQNRENSWEGRPREDGAPRYSATHESVDHSLKRGRSNEACINFAKGSCRMGASCKFVHDYDSDGYGKVSMDEFTRERDDEALRYPATHESRDHSLKSGRSNEFIREREDGARRYPATHESRDHSLKSGRSNEFTRERENGGPRYPATYESRDHSPKSGRSNEFTSEREDGAPRYPTTHESRDYSLKSGRSNEFTSEREDGAPRYPTTHESRDYSLKSGRSNDEYGKVFMDEFTREREVGRRHRDNSFEHGGRHVPNRTIDAPCKFFASGNCRNGKHCRFSHDTQACRSPIRRLRDDRWTRNPSRDHQMLDRRKLSDSISPNKRLRDDRWGSDSDMADPDRVEDSPKRNDTVSGSDAAKLIENKNGNVGATEPEFTDLPITDGWGHGLDKSGLHAKPPILSDKKEADIWIAENTGANMHGSQSIGTTDIWPGDAEMSPDWNYRMGSSSHMEEHKQKEHDVSQGGTYLAISEHNGIQLAPGQNINQNTDNVNPLHTSSYHAVGQSQVDVPILSSREGIVDAIHSQEVSTEQKYTGEPNIMDSGLSQVTSLAHILGAGQQLPQLYAALNSHELKDSPSQAKTQVPAMPVSITCIKPDPAVELPKQYDPMNDSIEQKNADASGVPPAIPPSKTIAEVEILSQLSTPGRENFGNSIKGASSEHVKSDNLIHLQPGQNTVVNKDNNEEVARERKNSQDGHKSTKENGPQNMDQNAGPDDAKQTKEMKGSRAFKFALAEFVKELLKPTWKEGKITKEDYKTIVKKVVDKVTGTIQEANIPQTQEKIDQYLSFSKPKLNKLVQAYVEKVQKG >RHN40704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19760967:19764495:1 gene:gene46898 transcript:rna46898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MVYTEKGKPQVLLFFTYLSLWCITISTYVKAENTDSMKLGDILNASATSKLCSKQGRYCMHFNQKPDPENLTYLSIFGKGKDDWLVWISNRNQPVDINSATLSLNYSGVLKIESKIGKPIILYASPPPFNNSSYIVATLLDTGNFVLKDIQKNLVLWQSFDHPTDSLLPGMKLGVNHKTGENWSLVSSISDTILSPGPFRLEWEATRKELVIKRREKVYWTSGKLMKNNRFMHITGKDFVIKVVSDEYFTYTTLNKNGLTKWTLLQTGQLINREGGESGDIARADMCYGYNTNGGCQKWGEAGIPTCRNPGDKFDNKPVYGNDNIVNNIENASYGISDCQEMCWSNCSCFGFKYLYENGTGCVFLESTEGLNVASSGDDYFYILIKNADHKVFDKWIWICAGMGTLLLIIGLSILLRALMKRKQVLREEERIRMQIEIQDLEASRRYCNGDDLEGDLSNGDDLKVFSYSSIIVATSGFSSENKLGQGGFGPVFKGILPSGQEVAVKKLSKTSGQGMTEFRNELTLICKLQHTNLVQLIGHCIHEQERILIYEYMPNKSLDFFLFDSTRRKLLNWNKRFNIMDGIAQGLLYLHKYSRHKIIHRDLKASNILLDDNMNPKISDFGVARMFTKQETEANTNRIVGTYGYMSPEYAMEGVFSTKSDVYSFGVLLLEIISGEKCNSMYCEDRPLNLVGHAWELWKEGVVLQLVDPLLNDTFSEDEVLRCVHAGLLCVEENADDRPTISNVIAMLTNKIKVDVLPKKPAYYGRTRVFDEETYGEEVGVDSTHENSDSHVQSLLKCRDEIIKFTE >RHN62739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45555153:45562031:1 gene:gene25342 transcript:rna25342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynamin GTPase MEEEREHHQLKDKEENEWRLYEAYNELHALAQELHTPFDAPAVLVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPITLHMKYGPHCESPSCYLLSDDDPSLSHHMSLSQIQGYIEAENARLERDSCCQFSAKEIIIKVEYKYCPNLTIIDTPGLVAPAPGRKNRAIQAQARAVESLVRAKMQHKEFIILCLEDCSDWSNATTRRVVMQIDPELSRTVIVSTKFDTRIPQFSRPSDVEVFLSPPASTLDGCILGDSPFFTSVPSGRVGSGTHCLYSSNDDFKQAVSFREIEDVASLEEKLGRPLSKQERSRIGVSKLRLFLEEILQKRYINNVPLIIPLLEKEHRSVTRKLSDINQELSTLDEAKLKEKGRAFHDMFLTKLSLLLKGTVVAPPDKFGETLPDERINGGAFVGADGVQFPHKLIPNAGMRLYGGAQYHRAMAEFRFVVGGIKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVAKAHDTFEPFLHQLGSRLLHILKRLLPISFYLLQKDCEYLSGHQVFLRRVASAFDNFAESTEKSCREKCMEDLVSTTRYVSWSLHNKSRAGLRQFLDSFGGTEHSNVCNDPTATVLSQTNVQEKEDTKPQLEVKLSHVASGTDPSTSTQTAETKLADLLDSTLWNRRLAPSSERIVYGLVQQIFHGIREYFLVSTELKFNCFLLMPIVDKLPARLREDLESAFEDDLENVFDMTNLQLSLGQQKRDTEIELKRIKRLKDKFRMIHEQLILRQAA >RHN56351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30185568:30188562:1 gene:gene31736 transcript:rna31736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MKFQFFIVPFLLLSIISSLFNLTLADLISDKYSLLEFSSTLPHALRLNWNNSTPICTSWIGITCNQNETNVISIHLPGIGLKGAIPNNSSLGKLDSLRILSLHSNELSGNLPSNILSIPSLQYVNLQHNNFTGLIPSSISSKLIALDLSFNSFFGAIPVFNLTRLKYLNLSFNNLNGSIPFSINHFPLNSFVGNSLLCGSPLKNCSTISPSPSPSPSTTRNQKSTTSKKFFGVASILALSIGGIAFLSLIVLVIFVCFLKRKSNSSEDIPIGKTKNEDSISKSFESEVLEGERNKLLFFEGCSYSFDLEDLLKASAEVLGKGSYGTTYKAKLEEGMTVVVKRLREVLVGKKEFEQQMEVVGRIGRHPNVLPLRAYYYSKDEKLLVCDYMLGGSLFSLLHGNRGEGRTPLNWNSRMKIALGAAKGIASIHKEGGPKFIHGNVKSTNVLVTQELDGCIADVGLTPLMNTLSTMSRSNGYRAPEVIESRKIATQKSDVYSFGVILLEMLTGKIPLGYSGYEHDMVDLPRWVRSVVHEEWTAEVFDEEMIRGGEYVEEEMVQMLQIALACVAKVVDNRPTMDEVVRNMAEIRHPELKKSTSSESESNV >RHN41897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33969684:33972523:-1 gene:gene48262 transcript:rna48262 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDGPIAFASRSRVSVLNQVCFGIIILVIFGGSGIKRWWKSTKR >RHN76982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2918547:2920595:1 gene:gene383 transcript:rna383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CHIT5A MAVQKIIITPILVFLVTIFFNVSSSSSSNNSQYQFLNHGVRSAYWPAGDDFSPSLIDTNYFTHILLAFIQPEPISFKLEITKSGIKWGQNFIKALRHRSPPVKTLLSIGGGGSNSTLFSEIASTKQNREIFINSTIEVARKYRFDGVDLDWEFPETQQDMFNLGLLYEEWYNALFAEAKVRRKPRLLLTSAVYYNSTVRLIGKHGPRSYPTQAINKYLDWASPMCFDYHGTWDNNTDFNAALYDSKSEISTNFGLHSWIKSGVRPEKLVMGLALYGRAWELKDPNVNGVGAEAVGPATDTDGSMNYNEILKFNKQSGANVVYDKVAISFYSYAGTTWIGYDDGPSITTKVRFAKSLGLKGYFFWALGKDKDWSISKQASNAWGH >RHN56827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34049900:34050139:1 gene:gene32284 transcript:rna32284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin MQIFIKIIYENKRFPLMVKSSDTILNVKKKVQDKEGIPVHQQRLFFSKKQLENRQTLASYNIQEKSTTEISLIFRGMYD >RHN76963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2756841:2765314:1 gene:gene360 transcript:rna360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase transcription regulator SET family MEDILNYAEDHEHGLCNKRRKTFVQEHTNMSIINSDSFCCVCQNSSNDEDNRLFECGTCLIKVHQACYGISALPRRGPWCCRPCNKKSKNIACVLCGYGGGAMTIALSSHSMVKSLLKEWTSEKDGRSIRYIRRSDKRMSTNRKRKTASTVIILTENNSITEGFFDPTVKQWVHMVCGLWTPWARCRNKNTMSSMNVSRVSPPKADVVCSICNRWGGSCIECRIVDCSVKFHPWCAYQKNLLQNEIEGVNDEKVGFYGRCVLHGIGPECQSAYDPTDAMDSRKEKEFTCARTESRRWDGINNNHCSALKPWGGYRVPDEQLNAWIRMNEQKLRSQGIPKFPDSDIERDPQKEYAQYKQVKGWKNLGVFKSGIHGLGLYTSQLISRGSMVVEYVGEIVGQSVSNKRETEYISGKKLRYKNVCYFFTIDKEHIIDATRKGGIARFINHSCLPNCTSEVITVRHEKKVIFFAKRDILAGEEITYDYHFNREDEEKKIPCSCNSINCRRSLN >RHN51179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14845046:14845695:1 gene:gene35565 transcript:rna35565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MNLLTKNVFDMIIFLSPLIVTMSMKVLCGRDGTCPRFMCGPGIIPKCVGRYCEC >RHN41281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28841442:28842214:1 gene:gene47586 transcript:rna47586 gene_biotype:protein_coding transcript_biotype:protein_coding MCITGVSPLKLEIEVVDCSPDEGAYCNNCKTSIFAYHRYCTKCDFEICLICCRELRDRKLLGGDDYLHVGYENIEHKETASHDADKPEISELSRSGWHADSYGRIPCPKGSTECDHGFLELRSLKPKNYITELVSEAGKLAEKYQFLFAKEPICPCLKLARDSNNNYIFSPKAVDLHNGDLSHFRWHGSKGEPVIVSNVLDCTSGLSWEPTVMSRAFRAISETTSDTCFWI >RHN53427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1604400:1605101:-1 gene:gene28331 transcript:rna28331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative B3 domain-containing protein MYIYLKFAMTKEKIKEYMEIAKTAPFNPYHNFTWQCVIATLAPQFYTQEELAEIKKLYQRVLQETMERDHVCQETMARDHVRQETMEGDVVNKKKRCRSSDEGSSMFYAERSVKPKTTKKIKPKKHDKENLSSPPPILPIHVENKIKEFNGTDIKNIMCKKLSASDLRDDQNRLLMPLKEVKVDFLTDIEKDESDKPVGLEVIVLDPSFREFRMSFRENAVLVGYNFDGNFLN >RHN61768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38194064:38197117:1 gene:gene24263 transcript:rna24263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MDKFNEEVQEPVSPHGQYFNSSVICSYVFGFLELAIPIDDSQTMPLLKDVFLPINPRFSSIMVRDKDGKMRWQRVEVKLEEHIKIPKFPETTNSSSILYDNYLSDYVTSILTSRTPQDKPLWEIHLIKYPTSNAKGTLIFKLHHALGDGYSLMGALLSCLQRADDPSLPLSFPSRPQLNSKYAKKGLFKKLCLDISSFFSSISDFGSSLIKTRMIEDDKTPIRSGYEGTESQPFTLSNISLSLDQIKEIKSKLGVTINDVLSGVIFYGIRLYMEEMNEKTKKSNSTAVVMLNTRNIEGYQSLKEMQKPESKSLWGNQISFLQIPIPKLSQSDPLEFVWKARKLIKRKRRSFGVYLIGLLLNLEMKLKGSESVAKIIYNTMGNSSVVISNLVGPVEKMALANHPINGFYFTMTGGPENVDITIMSYVKILRITLRTLKGFIDERKFKFCMEKAVEVIFKAAMEISEIPVKN >RHN58490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2189529:2190932:-1 gene:gene20409 transcript:rna20409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterile alpha motif/pointed domain-containing protein MGPTESMSLILTTFFFSFSLPSPYPSLTFYIYIPLVKLKTILHSTHTHTNTSFNLPTMDWFSWLSKTNLDPSLVYEYGLTFAHNELEQEDMVYFNHEFLQSMGISIAKHRLEILKLARKEKAKRQPPRPMAKIMGAIKKTKKCLGNYIMRKLVTCEESNSALVVVPTSRASSSSYYGTRSSWKNSVVKRNKKLKVDKQERLLLTNGSPSPSMMPALALDSFCSTPMVYHFHEGKMKGDDHNNNGYWSAAVEDIRWDTMFQDLKPN >RHN68241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33951917:33952895:1 gene:gene16566 transcript:rna16566 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKLELEIQQLNASLGRLKDFENDELRMKVDALLMNLRDKEESLEELEEFNQKLIIKEHKSNDELQYARKILIHIFKEISNISDDEHIGVKRIRDLNTKPFINAMKKRYNADEAEIRASRLCSLWVENIKDTNWNPVKIVFVDGVAKLNRLKKRIGQAAYNDIVAALIEKNENDSSGEYPLFELWNYEKKRRATLQEGVEFLFQNRSNKRKRGNGDPADNDHFRFIPQRQRSKRNRIRKL >RHN51757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24565348:24570123:-1 gene:gene36275 transcript:rna36275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & lipid binding HD-SAD family MKMVSNLSLITNKKMEGNVGMGLIGENFDSGLLGRLRDDDYESRSGSENFDDLSGDDLDVADDQPKSKKKKKYHRHTPQQIQELETFFKECPHPDEKQRTDLSKKLGLANKQVKFWFQNRRTQMKTQLERHENMILRQENERLRAENSLLKDAMSNPTCSNCGGPAIPGQFSPEDHQLRVENARLKEELGRVSTLTHKFFGRPVSAFGSITMPNPNSGFELGMGRNGVVGPSNFNMSLPMGFGMGDGVMGAPALQSGLQSPMGMIGNTAQQERAMLIDLAQAAMDELISMSQPDSPLWIKSLDGVNEVLNYDEYAKVNSLFNDPKQNGFVTEATRQTGLLLINSAGLVETMLDADQWAEMFPCMIAGASTLDVISSGMNGTRSGSLQVMQAEVQLPSPLVPVRQYSFLRFAKQHVGGIWVVVDVSVNVGRNAANGNPYMSCKKLPSGCILEDLPNGFCKITWVDHSQYDESVVHQAYRPLVNSGMAFGAHRWVATLQRQCDSLAVSMSQSDDPTAMTPHGKKSMLKLAQRMSDYFWSGICPSTACKWDILPISNMGDSDLKIMYRQHPDANSSIVLSAATSVWIPLPRQRVFDFLREAQMRGGWDALSNGGPMQEVVHIAKGQALGNSISILSLNNAVNPNGNEGSNLYLQDSWTDSSGSMVVYSPIDSQSLDILISGGDSSVIPLLPSGFSILPDGHSNVNNIVGTSSDGSSGNGEGGDNGGCLLTFGLQMLLNNTPTSKLTMESVESVNAQISVTIQRIKEALGVVA >RHN82288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52595440:52597865:-1 gene:gene6439 transcript:rna6439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mediator complex, subunit Med21 MDIVSQLQEQVNLIAHLASNTVGTLQRDAPSSQLSPNYPEPPAHTTSMDSANFSEQPKLMASTLMKAAKQFDALVASLAISDAGEEAQLKS >RHN77298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5859119:5859571:1 gene:gene740 transcript:rna740 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDDVQKPLVLEETRKYVQGNDNLECRKDHNLHQQNNHHKKHKLKDTKTPKSEGSKIPLRREQPSHCQYEGGNRGRNNHPPLRLGNEGGGWGSYSPCGGPMFVIIVVPCSKGHINTHTYIFRILLFRRRPLQVWIITKRISEYPLPRSL >RHN55243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16380804:16381436:-1 gene:gene30390 transcript:rna30390 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMILSILLPWLDRMELDFVREYLIRGKDVDVPFTPFLMKKQKVNFIRTTLDPRGNPRVVEQYLLFYGHFSLIFLFIFLFHFLLFP >RHN46884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35562706:35564104:1 gene:gene41419 transcript:rna41419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH dehydrogenase-like complex, subunit S MVAFATLQGLHGSLLRSQFLGQDHHFTLMKSSTTHHRKPASQLQPRAEFNILKMMGGRGLCNGEKGLEQELKRQVDKEETTSSNEKVQENSDGSEKLTSDIVAIPEDGFEKEMMGLTGGFPGGEKGLIKFIEKNPPRNSSQPFTNEERNQSLVEESKAT >RHN50171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4796359:4800398:1 gene:gene34430 transcript:rna34430 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEKKKPLEIENLNPNEFSNRTPLQKSLTKGDNNCVNVVVVSPQKRIRQRKFVVAKKKKNDQSPRKTVLCKCGENDDGSKCVCEAYRNLRESQEGFFEKENFFDGEEKNDEEKGENVLEEVIEANLIIHDIGNEERKIDEEEGVEEENEEKGNCSSMVKRRREKVMEEARNSVPENGKVMHLVKAFERLLSIKKEKEKNEEEEENDKKNKVMKWALPGLQFQQPVKDGDEQSEVVSSCCDDDGSLFNCTLTSEQLGLDQRASVTSSWDCGSGRSVCSRNSSGGRRSRRNSLESSSTIGGRRWKKKQKLKVTSQKPFKLRTEQRGKMKEEQLMKKVKEVLTEEEKMRIPIAQGLPWTIDEPECLLKPPVKENTKPIDVKLHSDLRAIGRAEFDHQVAEKLSLIEQQKMEMERQQKLAEEEEIRRLRKELIPKAQPMPYFDRPFIPRRSMKNPTIPKEPKFHIPHHKKIKCLTLNEMRSYSSCFN >RHN44423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4484568:4486120:1 gene:gene38542 transcript:rna38542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MTAEMEALEKNATWELVSLPVGKSTVGCRWVFTIKHKADGSVERFKARLVAKGYTQSYGVDYEETFAPVAKLNTVRVLLSLAANQDWPLLQFDVKNAFLHGDLIEEVYMDPPPGIPRYSNISMVCKLKKALYGLKQSPRAWFGRFTKSMKFFGYTQSNSDHTLFLKHNHGKITALIIYVDDMIVTGNDPNEISSLQRYLASNFDMKQLGDLKYFLGIEVARSKHGIFLSQRKYVLDLLTETGMLGCKPIETPIEQNHKNFCCADAPSTDRQRYQRLVGKLIYLSHTRPDIAYAVNVVSQFMHDPRKPHMDAVERILRYLKSAPGKGLLFSNHGHLKVEGYTDADWAGSADDRKSTAGYLTFVGGNLVTWRSKKQQVVARSSAEAEFRGMAVGICELLWIKNLLKDLGCEQEDAMKLYCDNKSAIEIAHNPVQHDRTKHVEIDRHFIKEKIEAGIIAFPFVKSEQQLADMLTKAVTSRTLAGSLDKLGMCDIHAPT >RHN69627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45040603:45044642:-1 gene:gene18119 transcript:rna18119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol 4-kinase MACLVLHPMHENGEFTSSFSPHMRESILIYLTVGGSVIPMHILETDSIASVKLRIQTLEGFFVKKLKLVFEGKELAHNKSCVGDYGVADGNVLHLVLRLSDLKAITVRTLSGKEFGFYVEKTRNVGYVKQQIAKKGRGVFNLADQELVWEGEALEDQRLIEDICKDNDAVIHLLVRISDSKVRTKPVEKDLELSIEALFAHDTVPNSAVDQLGPVSITNKVLKRNQLTREFLLEPIFNNSNIKIPPVVHELIKVTLEGLEKGRKPIRSSEGSGGAYLMQDSSGLKYVSIFKPTDEEPMAFNNPRGLPISVDGEGLKKGTQVGQGALREVAAYILDHPRKGPRSYHNNEEKGFAGVPPTVMVRCMHEGFHHPEGYKNVSSNVKIGSLQMFMRNIGSCEDMGPRTFPVEEVHKISVLDMRLVNADRHAGNILVAKNGEDGPIVLIPIDHGYCLPKSFEDCTFDWLYWPQAQEPYSLDTTEYIKSLDAEEDIKLLKSHGWELPLECARILRISTMLLQKGAEKGLTPFTIGSIMCRETLKKKSVIEQIIHKAEEAALPGTSEAKFLDLVSVIMDNHLEGLFP >RHN49029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51885540:51887930:-1 gene:gene43812 transcript:rna43812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MDSRSPPPHINIEPEYCPQAVFEWYRLTGLKDRKESFRRKDLALKAKQHLFYLGWRFWYIDKKCRWELRYTSPNAKNYTTLRKACHVCIEQGGCSLKHSSTAAPISSSPLELKKRSRESHETAAATIFSSALQLKKRQRKSKEAVARAFTLSALQSKERPGESEETAATSIYLPPLQSKEQLRKSEEAATTTAISSSPLQLKVQPRESEEAVARAFSLSPLQSKERLKESKEEAAASIYSSQLHLKEQPRESEEEAEAIAIPSEFDESTSDEDSEASSTSSESTSDEDSETSCSSSVKKATVTMVSTTMENENHVSQSEPEVTNSVGSGKKLKVLKTIKMEKNLEGYGRRGKVLKMSIMKKNSEGFGKRGKVLKRGGIRESYSIVSWLIENKVLVSGTHVFCRGSENIVKRGSIFSDGIVCNCCRVNFTVSGFEAHAGCTRHRPSISILLEDGRSLFKCQREARDQKGSHCIGEANSEANNDNVCSICGFGGDLVLCDRCPSAFHLGCLGLDRVPDGDWFCPTCCCKICYRPKCKQECADGNENNFLVCVQCEQKFHFGCVKTTRFGSSHTESNIKKKNWFCSVVCGNMFLCLKKLLGKPIKVADNINWTLLKNVSSDDDGGDFTSNEFSQEKHKLNAALGVLYEGFNPTIDALSGRELIKDLVFSRDSEHKRLNFRGFYTVILEKMGEVISVATIRIFGQKVAEIVFVATKEQHRGRGMCRLLMDELEEQLTRLGVGRLVLHSSEDAINTWTKSFGFARMTSEDKCKLIDNTFLEFHNSIMCLKPLNIPIWPRIA >RHN60184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22750109:22752578:1 gene:gene22435 transcript:rna22435 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFTCFLFITSLLLLLPLFTHAGLLSQPVEPGSYPSSNTVPAFPVQTQTQICKLDLSNELFGGVKAACGKNLDRSRCCPVLAAWLFAAHARSALEITPDGAGANASAPSTGEQQQLPMMPDDSQKCVNSLQDSLMSRNIRIPQPNATCDAILCFCGIRLHQISSLSCSAAFNVSGSHKNIATPTVAVRNLENNCRNASYQGCTKCLSALQKVKSYKNATKGASERVKKMFNRDCELMGLTWLLAKNKTTYIPTVSAVLRAMMYSAHPHESKCSPDQENMPLAVDSLQFETAHASSWPSKLWATILTLIMLFCSFV >RHN49671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:210189:216173:1 gene:gene33875 transcript:rna33875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LisH family MTDYELRLAQEDITKLKAELQTKTEYSTIDDATTKSSGDVSVNNGGGELQTQQQKGNNHSPVAALGPVKENERRDLNCAVKEYLLIAGYRLTAMTFYEEVTDQNLDIRHNTNALVPDALRHYYYHYLSSTSQASEEKIAQVREIEALLKETQSLNEEKESLSKDKDFTDGQIRALTKSLGALQEDLKQKENTVVQVLKKSLENQRKELHDCRVQIRNLKKHNEGFGSGNSLVVGDVDNVLPESLDKYKEEINKLQMEVERLKEKNRGAAQHRNFSISENELLQTEDKFIEMHEDKGATSHSVDEALDVVHDEEAHSPALQTLDEFADKHTNSLLDLFNPVHTNTTFENIENVSEQNGGKQDGDNKEAIFEKMARTIQILADALPKIVPYVLINHREELLPLMMCAIEYHPDSRTRDSLTHTLFNLIKRPDEQQRWIIMDACVSLAKNVGEMRTETELLPQCWEQINHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLVEDSATIVREDAVHNLAKLLPLFPNMDKYFKVEELMFQLICDPSGVVVETTLKELVPAVIKWGNKLDHVLVVSLSHIVSSAQRCPTLSVVEGRLESHLHVLGERERWNIDVLLRMLVELLSLVHQRAIETCPFLSNFGTTNFVLSTTLLELYARENVEWNEFEWMHVECFPKLIQLACLLPWKEDNLRSRISKFLLSVSERFGDTYVKCIMLPVFLTAVGDDADLSFFPKAIHLRIKGLRPRSSIAERLSASCVLPLLLAGVLGGPRKRKELIDYSRKLLLEDNSKENPSTKHTPEIVNAIRFICIYEENHGMIFDILWEMVVSSNVSMKVTAAKLLKALVPYIDVKVASTNALPALVTLGSEQNLDVKCASIDAFGAVAQHFRNEMVSVASLYISSGLDDHDHKYDLLQIIDKIRVQMGAFLEDGSHEAMIAVIHALITQLTAVPITTSADLKPRQERANAFCEAIRALDATDLSANSVRDYLLPAIQNLLKDLDALDPAHKEALDIIMKERSGTGYKVGIAGSMSNFFGDGGLRGKKDITESPSERIVSPKGSASQSPPAEDTRFRRIMLGHFSDILRGKGKTPEETQN >RHN53318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:914877:921136:-1 gene:gene28211 transcript:rna28211 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALFDLEQLLISKRAKLTPDEANILQSCKTNAIKNFTVFSLVSGAAAWTVTGRLGKAFQVNLTAGAAAFCGLRIFSRSLYSSADHILSLDGSTLQKELANILVTKYQHDPSLMKLISKHFYSERLYDDSASNTPKLRWRYRNFFSDNVINGNKTQDHDSYNKSQGNSHNDSYASDSLEKSQGKSENITDSKRTTRGTKQSFINPGSEVLSEVDPLDCLFGYGAPMEENFHPNTPNKPSGTHHRGHRRHRRRHRMRDHDDLSNSEHAAAV >RHN71916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3552205:3557935:1 gene:gene7567 transcript:rna7567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin reductase ((2R,3R)-flavan-3-ol-forming) MMERRCKVCVTGGAGYIGSLLVKKLLEKGYTVHATLRNLKDESKVSFLRGFPHANTRLVLFEADIYKPDDFGTAIQGCEFVFHVATPYLHQTDSQFRSIEEAAIAGVKSIAATCIKSRTVRRLIYTGTVVAASPLKDDGSGYKDFIDETCWTPLQSLHLPLTDFHKGYVASKTLAERELLRSYGNDENGSGGFEVVSLVVGVVGGETPLSYLPGSVAVITSQLQDNEALYQSLKFLEDICGKIPIVHIDDVCEAHIFCAELPSINGRFLVVNSCASLSEIGNYYSQNYPEFKLKEKYLEGQNRGIKWDSNKLIDNGFVYKYDLKMILDDNIRCLRRVGDHSMCLVSILEDLK >RHN76075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47497695:47503319:1 gene:gene12351 transcript:rna12351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IXb family MKLLNPNPFPFSSQSQTQHMEQQSSPTNLKVHIAVGKSLHKTTTLLQWTFNHFQNAEIVLIHVYQPSPFIPTLLGKMPASQANPEVVSAFRREEREQTMRFTDKYLSICFAAKVKASVIVTEADKIQKGIVDLVVKHNIRKLAIGAASENFMKVKRNSGKANYTSKHAPLFCELWFIYKGRHIWTREASETPCSLSSHAQPDIAATESLRCRSFQYGKNELPHLERLQPNSARTTVCSGIRSLDLGEIIETEATNSSKSSSCSSYCSPQNSAEVCLDKYSEVMEERINSQLIETNREAEAATDESFAELLKCRRSEVKAMEAIRKVNLFESAHAHELELRKEVEDALRVTILEQQKLVEESEDISGNLQMTMRNVALLDTRVKETTRRRDEASHELLLIQTSISTLWQERQQIRRQKMEALRWLERWKSRGQVGAAHYNGVIGFAEELPELAEFSLSDIENATCNFSKSFEIAQGGFGCIYKGEMLGRTVAIKKFHQHNVQGPAEFHREVQILSSLQHPHLLTLLGVCPEAWSIVYEYLPNGTLQNYLFRKSNIIPLTWNIRARMIAEISSALCFLHSFKPDAIIHGDLKPETILLDSSLSCKICEFRYSRLVTEESLYSPSFHLSTEPKGAFTYTDPEFQRTGVLTPKSDIYSFGLIILQLLTGRTPVGLTVLVRHAVSCGKLSSILDSSAGEWPSSVASRLVELGLQCCAQNCRNRPELTPTLVRELEQLHVSEERPVPSFFLCPILQEIMHDPQIAADGFTYEGDAIREWLENGHDTSPMTNLKLSHLVLTPNHSIRLAIQDWLCKS >RHN61278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34405341:34409857:-1 gene:gene23721 transcript:rna23721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein Rab7 MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQANPSDPENFPFVVIGNKIDIDGGNSRVVSEKKARAWCAAKGNIPYFETSAKEGINVEEAFQTIAKDALKSGEEEELYLPDTIDVGNSNQPRSSGCEC >RHN39156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4554331:4560429:-1 gene:gene45156 transcript:rna45156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative quinol--cytochrome-c reductase, Mitochondrial processing peptidase MYRATASSLKRHLKGGVLGNLGATRFATSSAIAAKVSSGGLFSWLTGERSSSLPPLDTPISSFVLPDTLPDYIEPSKTKITTLSNGLKIASETSSNPAASIGLYLDCGSIYETPLTSGASHLLERMAFKSTVNRSHFRIVREIEAIGGNIGASASREQMGYTFDALKTYVPQMIELLVDCVRNPAFLDWEVNEELRKVKAELGELKNNPLGLLLEAIHSTGYSGALAYPLLAPEEALNRLDGPSLEEFVAENYTAPRMVLAASGVDHEEFLSVAEPLLADLPSVPRSEEPKSTYVGGDFRRHGEEGATHVAIAFEVPGGWQKEKDAIVLTVLQMLMGGGGSFSAGGPGKGMHSRLFLRVLNEYQQIQSFSAFNSIFNNTGLFGIYASTSSDFAPKAVELAAKELIAIATPEKVPEVQLDRAKKSTKTAVLMNLESRMIASEDIGRQILTYGERKPVEEFLKAVDEITLDDITKISQRIISSPLTMASYGDVINVPSYENVSSMFHAK >RHN53588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2714363:2718149:-1 gene:gene28512 transcript:rna28512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Nop domain-containing protein MLVLFETPAGFALFKVLNEGKLSEVQDLWKEFSSADAARKVVKLKGFQKFENISEATEAASLLIDGKASKGLRKFLRAHCDNETLAVADTKLGTIIKEKLKIDCVHSNAVMEIMRGIRYQLTELITGLAVQDMAPMSLGLSHSLSRYKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIIQDNIQYARSVKLMGDRINAAKLDFSEILTEEVEAELKEAAVISMGTEIGELDLANIRELCDQVLSLSEYRAQLYDYLKSRMNTIAPNLTAMVGELVGARLIAHGGSLINLAKQPGSTVQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKFKGKISRSLAAKTALAIRCDALGDGQDNTMGLENRAKLEARLRNLEGKELGRFAGSAKGKPKIEAYDKDRKKGAGGLITPAKTYNTAADSIIDKKSDSAMDEDTEELSAADKKKEKKEKKKKEKKEEEDTQKSNTAMDEDTQEPSAADKKKEKKEKKEKKKKEKKEEADVDGDKEPEHEVVKKEKKKRKEPTENTEVENRDTDLNGGEKKKKRKKSSEPEDAADMPSKKKEKRKKKNAD >RHN59173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8175128:8176450:1 gene:gene21166 transcript:rna21166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative quinate O-hydroxycinnamoyltransferase MGAFQVLSTNTIKALKSSNHKIDLTPWDLQFLLYPTNKKGLLYHHPLASDQIQRLTHSLSSALSFFQPLAGRLKITEHEDSIVSCSVTCNNAGVNFIHAAAKDTCVADILKPTYVPSVVRSFFPFNDVRNYEGTSKPLLAVQVTELVDGIFIGFNFNHVVADGQSTWHFINSWAEMSRGCHHQISNSPTLERWFPNGIQRPIRFPLTIEPQKNHSDGLSFSSSDDEKLFLSERIFHFTKKKIMQLKSKVNAEIDTKKISSLQALLTHLWCSVIRSKHLDPQEDALNTFIIGVRPRLVPPLPEDYFGNAIIGCGFKMKVGELLEEGGIGKGALLMNKLIALHSNEKLKNDYESWLRNPNFIRLDSLSTKNFLAISSSPKFDVYGNDFGWGKPVAVRSGNKTNGMVCAFAGIEEASIDLQVCLPYKILEAMGNDPEFMDMVN >RHN66099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8551722:8552340:-1 gene:gene14014 transcript:rna14014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MPSNATLRIGSGTGDEEMKDQMDSVDEEDEDAKLARLLQEEEYWKSKKQRKNPRSSSSSNKFYIKINEDEIANDYPLPAYYKTFLQETDEFIVFDNDYDVYDTDDLPRSMLHNWALYNSDSRLISLELLPMKPCSEIDVTIFGSGVMTSDDGSGFNLDKRLANLPVLLENRPLMEFQFI >RHN72179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5537786:5541005:1 gene:gene7862 transcript:rna7862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MISSGTMAITKIYEYFVISLFFLFASTQYVVSSNNVSTLCIKEERVALLKIKKDLKDPSNCLSSWVGEDCCNWKGIQCNNQTGHVLKLKLRPYLICIKTVSIFSLSPFGGKINPSLADLKHLSHLDLRYNDFEGVPIPEFIGSLNMLNYLDLSDSYFSGMVPPHLGNLSNLHYLDISTPFSSLWVRDFSWLSALSSLQFLSMNYVNITTSPHEWFQTMNKIPSLLELHLMYCNLAFLPPSSPFLNITSLSVLDLSGNPFNSSIPSWLFNISTLTYLSLSESSSLIGLVPSMLGRWKLCKLQVLDLSSNFITGDIADTIEAMSCSNQSLMLLDLSYNQLTGKLPHSLGKFTNLFRLDISRNTVNSHSGVSGPIPTSIGNLSNLRSLYLEGNMMNGTIPESIGQLTKLFSLHLLENDWKGIMTNIHFHNLTNLVSFSVSSKKSTLALKVTNNWVPPFKDLQYVEIRDCQIGPIFPNWLRNQIPLTEIILKNVGIFGEIPHWLYNMSSQIQNLDLSHNKLSGYLPKEMNFTSSKYPTVDFSYNRFMGSVQIWPGVSALYLRNNSLSGTLPTNIGKEISHFKDLDLSNNYLNGSIPLSLNKIQNLSYLDLSNNYLTGEIPEFWMGIQSLNIIDLSNNRLVGGIPTSICSLPYLSILELSNNNLSQDLSFSFHNCFWLKTLSLKNNKFFGTIPKEMSKNNPFLSELLLRGNTLTGSIPKELCNLTLYLLDLAENNFSGLIPTCLGDTYGFKLPQTYLTDSFETGDYVSYTKHTELVLNGRIVKYLKKMPVHPTIDLSKNDLSGEIPVKITQLIHLGALNLSWNQLTGNIPSDIGLLKDLENLDFSHNNLSGPIPPTMASMTFLSHLNLSYNNLSGRIPLANQFATYDASTYIGNPGLCGDHLLKNCSSLSPGHGEQERKHEDGVDGDDNNERWGLYASIAVGYITGFWIVCGSLMLKRSWRHAYFNSVYDMKDKLLVLIAVNLARIKELMP >RHN43695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47761364:47763343:-1 gene:gene50310 transcript:rna50310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trafficking protein particle complex subunit MDTNDSLRVASLWHSMHAISQQLSPVSGCTGIELLQADTFDLHCFQSLTGTKFFVVCEPGTQQMESLLKFVYELYTDYVLKNPFYEMEMPIRCELFDINLAQAVQKDRVALLGR >RHN44268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3036296:3042461:-1 gene:gene38366 transcript:rna38366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative defective-in-cullin neddylation protein MDASLCDIFNIYRRFCDIKSGHAFVVGEEGYRQDSDFQKAKFSREALTQLSKLVESRVCTGATIFDELSILMSRLGLMATFSEFSRFYEFVFFMCRENGQKNITVSKALTAWKLVLNGRFPLLQPWCDFVEKNQRYNISEDTWQQVLSFSICTRDSLDAYDPEGAWPVLIDDFVEHMYRQPGSYYENSNIHCNCGDPESLSIPGLKSFAGLKRKLAEEARKDDMEYSYSSEEMNTANCKKSRAYGVVDCEDNPQGNAAEECMETSRQSSPLCSSKSQCSVEGSLSKGFAGLLSTSSYLRFGRERRGFI >RHN50207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5114935:5124364:-1 gene:gene34471 transcript:rna34471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, heavy metal-associated domain, HMA, winged helix-turn-helix DNA-binding protein MDKLKAKEEPASFVLKVPLHCEGCVTKIKKLVYKKKGVERVEYDLSGNRLKVFGKADPEKVRDELQTQLKRKLGLSLENRLYEVFLSFRGKDTRASFTSHLYAALQNAGLNVFRDDDSLERGDQIPTSLLHSIEESRISVIVFSRNYADSRWCLNELVKVMECQLTIGQIVLPVFYDVDPSEVRHQKGKFGKAFQNLLNRISKEVDESLSQEEKDELLHRKLSWIEALQRATNIAGFVVLDSKNQNKVIKDIVEKITHLLDKTDLFIADNPVGVGSRVQDVIELLDIQHSNDVLLLGMWGMGGIGKTTIAKAIYNEIGRNFEGRSFLANVREVWDENAGKVNLQEQLLFDIYKDMTIKIQSIESGKIILKDRLCHKRVLILLDDVNTLDQLNALCGSHKWFGSGSRIIITTRDRHILSGNRVNKVFEMKHMDESESIELFSWHAFKQASPTNDFVEISRNVVKYSGGLPLALEVLGSHLFNREVTEWKSALDKLKRIPNNQVHKKLRISYDALNDDFEKEIFLDVACFFIGMDRNDVTLILNGCELFGEIGISILVERSLVTVDGKNKLGMHDLLRDMGREIIREKSPEEIEERCRLWFHDDVLHVLSEQTGTKTIKGLALKLQRANEKCFSTKAFKKMKRLRLLQLAGVKLVGDFKYISRSLRWLSWNRFSSTHIPTNFYIENLVSIELENSNIKLLWKEAQMMEKLKILNLSHSHCLTHTPDFSYMPNLEKLVLKDCPMLSEVSPSIGNLSEILLIDLEDCVSLRSLPRSIYKLKSLKILILSGCIMIDKLEEDIEQMESLTTLLAHDTAIKRVPFSVVRSKSIGYISLGGYEGFSRDVFPSIIWSWMSPTNNLPSPFQTSAAMSSLVPLDVPHSNSQELSSISQCLPSLRSLWVECSSELQLSHDAAIILDALYATNSKNLEPTTATSQLSNVTTSTSQVSKHSSKSLLIQIGMNCQATNILKDIILQNMDGNGSGGCFLPSDSYPNWLTYNSEGSSVKFEVPQVEGRNLKTMMCIVYTSTPDNVTCSGLKNMLLKNYTKATIQLYKSEALASFEDEEGQRVVSSLEPGNKVEVHFVFEINFIVKKTTVYLVYDEPVSNTMELYHVQDLNAISCSNDENELSVKRLASEGDPIDDCNQNRKKKGQVE >RHN58116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43842314:43842895:-1 gene:gene33725 transcript:rna33725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MALWFVLILTLLAVGESQSTTAAFNQTDLQTAMSDMQSRSYYGFVILLKILNSLPNQMQNNDLTFLMPNDEDLSHFSIAPEELHDFLLSHSIPTPLLLNHLLHFPNGSIVPSGLPSKVISITNNARAGLFVNNARIVTPNVCQNSLIKCHGISAALTFENSLPFQRVPEPKDPSKNSNDTHPNPSMRKMSIKA >RHN70560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52296372:52300282:-1 gene:gene19153 transcript:rna19153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MTVLLLCSSTTTCCNNGMSFCYTLTDTNFYVLTNHGGPTTNFVKIKTLSNGSLLNLKKHGTTRVGHRAFRTITRCNHDNDLVVVNNGKRKKNKTSVSEEEVMTILKSISDPNSAFSYFKIVSQLTNFVHTTDACNYMLEILREQRRIEDMVFVFDLMQKKVIYRNLTTYMTIFKALSIKGGIGRAPFALRKMTEVGFILNAYSYNGLIHLLLPGFCNEALKVYKRMISEGMKPSMKTYSALMVALGRRGDTRKIMNLLEEMKSIGLRPNIYTYTICIRALGRARRIDDAWGIFKEMDDEGCGPDVITYTVLIDALCAAGKLDKAKELYVKMRASSHSPDRVTYITLMDKFGKVGDLETVKRFWNEMEVDGYAPDVVTYTILIEALCKSGDVDRAFDMLDVMTTKGIFPNLHTYNTMICGLLKARRLDEALELLENMESLGVKPTAFSYVLFIDYYGKSGDPAKAIDTFETMKKRGIMPSIAACNASLYTLAETGRISEAEDIFNDLHKCGLSPDSVTYNMLMKCYSKAGQIDKATQLLSEMISKGCEPDVMIINSLINTLYKAGRVDAAWKMFGRLKNLKLAPTVVTYNILLTGLGKEGKILKALELFGSMTESGCPPNTITFNSLLDCLSKNDAVDLALKMFCRMTMMNCNPDVLTYNTIIYGLIREGRIDYAFWFFHQMKKFLSPDYVTLCTLIPGVVRHGRVEDAIKVVMEFVHQACLQTNSQFWGELMECILTEAEIEEAISFAEILVCNSVCQDDHVMLPLIKVLCKRKKALDAQNVFDKFTKNLGIHPTLESYNCLMDGLLGSNFTEKALELFEDMKSAGTHPNNFTYNLLLDAHGKSKRINKLYDLYSEMRSRGCEPNAITHNIIISALVKSNNLNKALDLYYELMSGDFSPTPCTYGPLIDGLLKAGRSEQAMKIFEEMLDYGCGPNSVIYNILINGFGKSGEIDFACELFKKMVKEGIRPDLKSYTILVECLCITGRIDEAVQYFEELKLTGLDPDTVSYNFIINGLGKSRRLDEALSLFSEMKNRGISPDLYTYNALILHLGIAGKVDVAVKMYEELQLVGLEPSVFTYNALIRGHSLSGNKDQAFSVFKKMMVVGCSPNTETFAQLPNKC >RHN62207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41725587:41726180:1 gene:gene24758 transcript:rna24758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MHAGYFLNCGGSNEVTVDSLKYTPDGSYTKLGTVATINKTDLLPTLSTLRYFPNTASKKFCYSFPVIKGNKYLVKTIYYYGEFDGGKKPPVFDQIVEGTTWSTVDTTEDYAKGLSSYYEVVVMPHGKKLSVCLGRNEHTGSLSPFISALEVKSLDGSLYNPTDFNKYALVSVSRHTFGSEDAIRYTNIFFYGFLFHF >RHN78896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19711127:19711546:1 gene:gene2564 transcript:rna2564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MKRILTLYKGFEGVSTLVDVGGGVGNALKQIISEYPSIKGINFDLPQVVQDAPTHPGIEHVEGNMFESVPSGDDILY >RHN77229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5215734:5220948:-1 gene:gene660 transcript:rna660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLCACSGEQHKFEEAPQSPDSLATRDFSASGLSSRTGDWDSKLNENQVEDAESSLKEAASLNYEEARALLGRLEYQRGNFEAALHVLQGIDISTLTPRMIRAIAERNKLRKPRSKAGTVLPNLMSMHSVSLLLEAILLKAKSLEEMKQYTEAAKECRIIVDTIESALPNGMPDGIGEECKLQEMFHKALELLPNLWIKAGFLDEAVTAYRRALVKPWNLEPRRLACLQKDLATTLLYGGVEVNLPSHLQVNGSTAPMSNIEEAILLLLILSGKMALQEIDWDAEITGHLTFSLSMTGMFQSLAECVEQILPGIFGRADRWYFLALCYSAAGQNEAALNLLRKACGSSESEHMPHFPSYLFGAKLCSQYPNHAHEGIKFSQQVIDLAKHQNEHFLVQGQQILGVCYGAAARTSVVDSERVQFQRESLNFLNEAALTGNNDLEVMFSLGLENAIQRNLDAAYQNIMRYSDMMVGSSTRGWQLLALIVSAQQRFKDAETIVEFGLDDTGSVDQLELLRLKAVLQIAQQQPKQAIETYRTLLAVIKAKKEILLQAESFEFDQAKIFRDEALTERKLEMEAWQDMATIYTDLNSFLDAKACVDKAQLLEFFSPRSWHITGMLFEAQSLYKEAFVSFSISLSIEPDYIQSINSTAKLLIKLGMQSLPLARSFLMNALRLEPANHDAWFNLGLVSKMEGSLEQAADCFQAAYELKLSAPVQQFE >RHN53436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1653689:1658431:-1 gene:gene28340 transcript:rna28340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 12-oxophytodienoate reductase MEMAGALTVDPIPLLTPYKMGKFNLSHRVVLAPLTRQRFYHNAPQPHTTLYYSQRTSQGGLLIAESTGVSETSLGYPNTPGIWRKDQVETWKPIIDAVHAKEGIFFCQIWHGGRASNSGYQPNGQAPISSTNMSLKPQTRSNGIDIAKFTPPRRLRTCEIPRIVNDFRLAARNAIAAGFDGVEIHGAHGYLLEQFMKDKVNDRTDKYGGTLENRCRFALEIVEAVVNEIGAERVGIRLSPFAEYGECGDSNPEELGLYMVNALSKYNILYCHMVEPRMKTITEKVECLHSLVPMRKAFNGTFIVAGGYSRQDGIDAIVENKTDLVAYGRLFISNPDLPKRFALDAPLNKYNRETFYTSDPVLGYTDYPFLG >RHN50629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8653701:8656118:-1 gene:gene34938 transcript:rna34938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-severing ATPase MINDCVDDLRGPDGQLPEKLRNVTPHHIEHVSNEIMIKNPNVHWDDIAGLAHAKKCVNEMAIYPLRRPDLFMGCRSPGKGLLLFGPPGTGKTMIGKAIAGEAKAAFFYISASSLSSKWYGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRMSNGNEHEASRRIKTQFLIEMEGFDGGDDQVLVIGATNRPQDLDEAARRRLTKRLYIPLPSSGSNHDSPSFYKPFIARSNLLFGLLEARAWIVRNLLEKDGLFKISNEEMEIICNLTEGYSGSDMKNLVKDASMGPLREAMEQGIDIMELKKEDMRPVTLQDFKNSLQEIRPSVSPNELGTFEQWNKQFGTLAV >RHN46327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30781415:30782602:1 gene:gene40807 transcript:rna40807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDTPPLQALFVPNELVSEILFLLSVKNIVRLKCVSKSWNALISDPLFVQKHHNLSLQNPHLMLSWKTITPAVKFVVPFPVHLLLKNPSITVSSNKSHDFEHNCRIVGSCNGLLCLLFNSETETSKPKNWLRFWNPATRTSSKNLGSFRYRTPRRYDNSYGLSCYKFSFGYDASTLTYKVVAFRVRRNNDSWESRVKIFNLGDNCWRNIQSFPIFPLNWLQNRRRLASNCRLNDGVHLSGTINWLAMYKPTTHVVQFVIVSLDLSTESYKKLLPPPGFNEMPCFQPLLRVLMDSLCLSHNPKKTELVLWQMKEYGVQESWTQLFKISYKNLQMQNIDAGFACLYVNSDTVIFANKYCNQPYIYNLKDKTVKRIKVGLNLDWFDQAMVYVESLVSVP >RHN43589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47055097:47055546:1 gene:gene50194 transcript:rna50194 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLFLIDEVRLVCRKMLNIFGEHVVHCKKFLGFKYIHDFVRDVLFDIFRREEVSVKKETPMNFLTYSLYRRLTLTYVDVMVYRWVGGKQTCVDLTGVSSNVRLMVRAFMVRHAAIKVASNKMVKFEKTCFDNQNAFKSFAFDTFGFLT >RHN80955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42178860:42181103:1 gene:gene4941 transcript:rna4941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MPMISFSNLVFRNPNLNPYLTTFTRQKHSLPIPFTTFLHHLKSPPNLLQTKKLHALLLIHGFFHPSSPHTPLCSHLVNAYVNFGSHHYAFLFFSQLPHKSNLAWNAILRALIGSNNFTLSIQFYHSMLRHGFAPDNYTYPLVLKACSSLQAIEIGRWVYHNILINEEKANLFVQCALIDMFVKCESLEDARKVFDEMNVRDLATWTALICGNVWNGEWDEAVLLFRKMRLEGLKADSVIVASVLPVCGRLMEGLKLGMAMHGCALRSGFDSDLYVSNAIIDMYCKCGYPDEACLVFSYMVFRDIVSWSTLIAGYSQNGMYKESFELYVRMVNMGLTTNEIVVSTVLPALGKLKLFKQGKEMHNFVLKQGLLTDVVVGSALVDMYANCGSIKEAESIFRNMLDMDIMVWNSLIAGYNLVGDFQSAFFTFREIWVAEHRPNHITLVSVLPICTQIGALRQGKEIHCYATRSGLGLNISVGNSLIDMYSKCGFLELGVKVFNQMMVKNTITYNTMISACGAHGLGEKGLKFYEQMNEAGMKPNKVTFISLLSACSHAGLVDRGWLLYNSMVNDYGIKPDMEHYSCMVDLIGRTGDLDGAYKFITTMPVTPDANVLGSLLGACRLHNKVELADQLTAEHIFQLNTEDSGHYVLLSNLYASGKRWEDMSKVRSLIKDKGLEKKPGSSWIQVGHSIFVFHATSIFYPELAKIEETLDSLFLVMKNEDYILANLGFCSHVNDPILT >RHN74494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33966520:33967074:1 gene:gene10579 transcript:rna10579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MVVSRRLVSSLIRLWKPSVSCSTLIRSSTSCASRHTYGGISQYASSASTPLSRQAKIEVPGGPQISDEFTGQDAIGHVCQVVDTLVDVKFKEGLPLPQIRTALEVLDHSSRLVLEVADHLGEGVVRTIAMSPTEGVARGWRVLNTGSPITVNNTQSLKNIIVVVAAAAAAFVVVVVKKESISTE >RHN62297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42430060:42435907:-1 gene:gene24855 transcript:rna24855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiolester hydrolase MQPFRKPKLCQQLHHLFRFTTTLNLHLPQIKSLSTDSNDPKSSSPLPNPIDAGSSNRKPISLWPGMHHSPATHALWEARSTIFENTINGNSNSNDPHPKSPSQSRTSILYNFSSDLILREQYRNPWNHIRMGKLVEDFDALAGTIALKHCCNEDGSTRPLLLVTAAVDKMVLKKPIRIDADFAIVGAVTWVGRSSMEIQLEMIQSPNGNPDTSDSPAIVANFTFVARDTNTGKAVPINQVSPETEKERLLWEEAEQGNKLRKKKKEEQKHGENADNARLRALLTEGRIFSDMPALADRDSILIKDTCLQNSFICQPQQRNIHGRIFGGFLMRRAFELAFSTAYVFAGAAPHFLEVDHVDFFKPVDVGSFLRLKSCVLYTELENPARPLVNVEVIAHVTKPELRSSEVSNRFYFTFGVDPEAVKNGLRIRNVVPGTEVEAQKVLERIDAENS >RHN57524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39771424:39776776:-1 gene:gene33079 transcript:rna33079 gene_biotype:protein_coding transcript_biotype:protein_coding MIFCKGNWLLEMTRVTRDFGDTMQKEAVPAVSSDVVFATSRFPNYRIGANNQIMEAKDDPKVLSMKEVIARETAMLLDQHNRLSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDSLESLKGRVAGRNKDDVEDAIAMVEALAVQLTQREGELLQEKAEVKKLANFLKQASEDAKKLVDEERAFARAEIDNARSAVQRVEESLQEHERMSQASGKQDVEQLMKEVQEARRIKMLHQPSKVMDMEHELQALRAQLAEKTRHYLRLQKEITRTKKGEENVPHLYELEGNETLGSYLQIQPCSDNAPDLSNCSIQWCRVSSDGAKKELISGAIKSVYAPEPFDVGRILHVDVISENQHIILSTTGPIDPAAGLGTYVEALVRKHDTEFNVVVTQMSGLHHPTESIHVLHVGKMRIKLCKGKTTIAKEYYSSSMQLCGVRGGGNAAAQALFWQPKQGLSFVLAFESERERNAAIMLARRFAFDCNIMLAGPDDRAPLGT >RHN69796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46318875:46322161:-1 gene:gene18310 transcript:rna18310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator IWS1 family MEKEKEKELVELYEAAKKAADASTSTDNSPSEETRCLDALEQLKNFPVNYKILVNTQVGKHLKTLTKHPRENIRAFAVDLIAIWKDVIIKETSKNKNGASDSKVESTNGERAKAGKLQKSPSVKVEKGESAKVEKVNGNGSSKLSSGNVKAQNVDVKIEKTDRTSNIKAKEEKPVSAAKKISSSAAAPPKLKTMIKSNDSARDKIRELLRDALAKVFEEADEDMMDEVNACDPIRVAVTVESVLFENWGPSNGAQKVKYRSLMFNLKDQKNPDFRRKVLLGTVEPQRLAVMSSAEMASEQRKQENEKIEQKALFDCERGLQPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTYVTCVNCNNRWKFC >RHN67359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26427923:26431051:-1 gene:gene15540 transcript:rna15540 gene_biotype:protein_coding transcript_biotype:protein_coding MEKITFLLCASAFKELICGSCSIPIPLINFVSLCRYMFNSPCVSGNRVVLLDTRYFFKGCL >RHN53170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42503274:42508754:-1 gene:gene37944 transcript:rna37944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol-polyphosphate 5-phosphatase transcription factor WD40-like family MDDRNIDDDEKESLAGLSSVPPRRKTHSYSQQLRDTSTHKRHHQVRKHSLDDSLISNNIVESSSFYEESDTDDDDFFANSNSVGAEDYIESGGISDDLSHYQPLQEFIGSGGGTGVFKAPIRMAVHPGRPPCLELRPHPLRETQVGKFLRNIACTETQLWAGQECGVRVWEFQKAYEHGCGLGGRVRRGDEDAAPFYESADTSPTFCLTVDNGNKMVWTGHKDGKIRSWKVDQQFSTPFKEGLSWQAHRGPVLAMIISSYGDLWSGSEGGVIKIWPWESIEKSLSMSPEEKHMAALLVERSFIDLRTQVTVNGVCSISSQEVKCFLSDHIRGRVWCATALSFSLWDARTKDLLKVFNIDGQAENRVDMSSVQQDQAVEDEMKVKFVSNSKKEKSQSTSFLQRSRNAIMGAADAVRRVATKGAGAFVDDTKRTEALVQTNDGIIWSGCTNGLLVQWDGSGNRLQDFNRHPCAVQCFCTFGTRIYVGYVSGIIQILDLEGNIIAGWVAHNSPVLKLAVGNGSVYSLATHGGIRGWNIASPGPVDNIIRTELASKELTYTRRHGIRILIGTWNVGQGRATQEALLSWLGSVVSDVGIVVVGLQEVEMGAGFLAMSAAKETVGLEGSAMGQWWLDTIGKALEEGKAFERMGSRQLAGLLISLWVRKNLRKHVGDIDAGAVPCGFGRAIGNKGGVGLRIRVYDRIMCFVNCHLAAHLEAVNRRNADFDHIYKNMVFSRSSTLLNTAAGMLPYLFLYCSLAFSTYLFWLLYSCGLPFILSVAAGVSTSAHMLRSTNAMGSSSEDAKPELSDADMVVFFGDFNYRLFGISYDEARDFVSQRCFDWLREKDQLREEMKNGKVFQGMREAVIKFPPTYKFERHLPGLGGYDSGEKKRIPAWCDRIIYRDTRPAAVSDCNLDCPVVSSILQYDACMDVTESDHKPVRCKFNVRISHADRSIRRKEFGEIMTSNEKIRSMLEESRYVPECNVSPDNLVLENQEASFLLITNRSTNDKAVYKITCDGQSTVKNDGEAPDYTPRGAFGFPRWLEVSPSIGIIKPEQTLEVSVRHEDVHASEELVDGIPQTWWSEDTRDKEVILVVHVQGSSSVQTSSHKIHVRHCFSGKPTRTDSKSNSARRSHVS >RHN62829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46090817:46095067:1 gene:gene25447 transcript:rna25447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MVLCLFLKLQLPQFQKNKNMASTVSGLLTNMLDKLLTTAFHNISMAKGLQREVTNLQNTLTIINAFILDAENKQTQNNSISLWLQQLKDALFDARDIFDEIEFESLRKHVVKKHGTFNTKVQRFLSSSTNPLTFRVQMAHKIKHMNTRIDQIASLRMKLGLIVSENHASGSSSTRVTRETHSYVRPSHVIGRDGEKEKIIKFLMDPLLVSDDDEIDVIPIVGIGGLGKTTLAQLVYNDDRVIELFDLRMWVFVSDVFDVKRLVLDILKAMHGMEVDAHKYSFDQLQNMLRERLWGKKFLLVLDDVWNEDYREWLELKNLLLGMHDGDDDKLGNKIITTTRSDRVASIMGNAYKHSLKYLPEEDCLQLFVKCAFPAGEAKHNPRLMEIGKDIARKCKGLPLAVVSLGCLLRARPGESEWKKVRDSEIWKVNPQDDDGILAALKLSYNHLSSGLKQCFSYCSIFPKGYEYTNLELISFWMAHGLLQTKNEDEEPEDLGEFYIQELVSRSFFECAAEEQLVIDGLISEELELLGINFFKMHDLVHDLAVFTMQSERAVVKFKSTNIKEKVQHLSFSDSGEGVPTFGKMPSKIRTIGFWHASQKELAITEPFVKWISNKFKYIRVLNIQGSNFQFLPDCFDKMKHLRSLDLSYCQRLEKLPDSICMLHNLEVLET >RHN68008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32091312:32094180:-1 gene:gene16283 transcript:rna16283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin synthase MELTGEPIRVQSIIQSGLSQVPPEYIQPPQNRPINTDPTATIPAIDLFNFNTNHRNSTLESISHACRGWGAFHVTNHGIPPSLLDAVRNSGLTFFNNCPMSEKLKYSCTAGTAASEGYGSRMLVSSNDHEVLDWRDYFDHHTFPLSRRNPINWPDFTSDYREIMVNYSDEMKILAQKLLALISESLGLQSSCIEDAVGDIYQNITVSYYPPCPQPDLTLGLQSHSDFGAVTLLIQDDVGGLQVLKDGDGGDKWVTVKPLSDAILVLLGDQTEIITNGKYRSCVHRAVTNPDRARLSVATFHDPAKTVKIFPVSELINDSSPAKYRGVVYGDYVSSWYTKGPDGKRNIDALLLES >RHN71846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3041770:3043193:-1 gene:gene7486 transcript:rna7486 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLKLLLISSAVIFTALGLKSSLPSATTNLTLLWNFFLMCFTPPYLFITVNTIIISIVASSRFHHSHTQPNHPIVDPTPPPPVFVVDTILVNNSPPDPKTEEEDIKQIDLGILQPPPKEEEEETKQIDVGIFPLKRMDSPVDKPLLSTRFTHRKPLKFGPEGGKSLKVVKQKRHETLENTWKTITEGRSIPLSRHMKKCDTWQNRYDDDPHQQEDSSTVSVNDFNFNNKTWKLRKEPSLSQDELNKRVEAFIRKFNQQMRLQRQESLNHYMHMINHDAS >RHN41580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31209581:31213320:1 gene:gene47914 transcript:rna47914 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVYEELDEAKSEIDKLKAELRAKSDSLENLKRSLNAQVNQTQEAKSKSEKLDQELLQKADEIAEAKSLYESLKGNLKEQESIIKHLKAANDKLRVDFDEKIKMWENEKRGLVLALEEANDKAENQDQQVCRYRKEIESLKSCLSVSKQKCSESQKKLKSSKELSERDGMFQKLEEEKVKLEDQLKWKKEQFKHLEEAYEKLKGQFKSSKKEWEMEKSTLLDEISSLETKLESQIRISEDLQHQLQTCHQALAHVESQKKRLEVEVSDFRLQLDNAGSEYHDARLQLDCLNSDRDKDIVDLRYSLKTKEAHIKEAKYQMEKLEQENQELRMSLRELQESQIQAGAYYSQSKLRTKLKNLEQTHKECALTLKAREAEWDSRIEQLTGQLNTCQSELEAKIAAVEELQMELESSHLIVVETRLLNEEMSVMLLVLKQGISEAQLRLANYKDEMDLLNKEKEREIFQLMKQLEMKDDSLISAQKGLNEEREKAECLMRKIESFGSSKELQRSLQNEPESYGCNKELQNELDRYKEMLEESTRCQRILEEKVLQIECESKEQLRETHEALDIAINELDERICERSEMEFELQIWKSILDRLKNDLEESHLMRKELEASLIAQVDVGESIKQEKDKAVEELQKEVFMLEQESFRREFESVVIAKSTMERACELTGNATELSLERENLLAFVQGLYDRIYELSNADTQLKDMLRSMEESFEIDGAGVNLKKDDNFFHVKENMSVQVSPASRIKKVEAISDARSPFKELNSSW >RHN52499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36160649:36164237:1 gene:gene37186 transcript:rna37186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSIFLKKFQNMKILTLDRCEYLTHIPDVSGLQNLEKFSFEYCENLITIHNSIGHLNKLERLSANGCSKLERFPPLGLASLNELNISYCESLKSFPKLLCKMTNMKMIWLQKTSIRELPSSFQNLNELFLLTLWECGMLRFPKQNDQMYSIVFSKVTNLILHDCKLSDECLPIFLKWCVNVTSLDLSYNNFKLIPECLSECHLLNILILDNCKSLEEIRGIPPNLEMLSAMGCKSLSSSSRRMLLSQLHEAGCILFRFPNFSDGIPDWFEHQSRGDTISFWFRKKIPSNISIILFPGCTCSPKVDVIVNGYKCFPCSYLFWGFDSIEILELEHSFLLNLKLKQQISLLGRMSEMEKALLKNEWIHVELNFVKNVWNKGIQVIEKLSSAQMGIHVRMEKSNAEENVIFTDPHREYRNTSLLQFEPPLKKQRLVEVVVSDTEI >RHN74402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32722721:32724860:-1 gene:gene10459 transcript:rna10459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGHHCCSKQKIKRGLWSPEEDDKLITYITTHGHKSWSSVPKFAGLQRCGKSCRLRWTNYLRPDLKRGSFTAEEEQIIIDIHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLISQGLDPQTHNLLSSSHPKRNNSNYKSSNSIFILSSQMPPNTNYLIETTNQTFPSLPKPLQNNILQTPTSVSPNQYQASFNVISNQLSVPEHELPRILTENISNICSTSCNENSIWVSRVDDCRGSMLEEGTQAHVEQEKEKICEKGMDEKEVNEKEDIEMNGCFENSNFDFGLLESVLNSEFISHDLDYMDQLAWNF >RHN64719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61254316:61257109:-1 gene:gene27568 transcript:rna27568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysine--tRNA ligase MNGTDRLLKFNLLDLTDLQLLMKIWTQRYEYRENRLKYLAALKTEGINPYPHKFSVSMPIVQYIDRYGGLSNGEHLEDMSISLAGRIMHKRKSGKKLFFYDLHGGAFKVQVMADVRKSDLNEVEFGKFHSNVKRGDIAGVTGFPGELSIFPKTFIVLSHCLHLMPNQKSAAAAAANNANLMGNQWVPGSARSPEKYILKDQVLLVLNVLGYFLHCCWLFIKIFRAQYYLIFWGLFSSIL >RHN65337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1289405:1291842:-1 gene:gene13163 transcript:rna13163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MILAFQAKELNIKPTDNQYCKFDSQTLTLFTSSLYLAALVASLGASTATRIFGRHLTMLSGGVLFLAGAAMNGFAEKVWMLYVGRMLLGFGIGCANQSVPIYLSEVAPYKYRGALNMMFQLSITIGIFVANILNYFFANMKNGEGWRYSLGFAVVPAIMIIIGAIFLPDSPSSLIERGQDDKAKKELIKIRGTSDVDDEFNDLLAASQASKAIKYPWACLLTRQYRPQLTMAIAIPLFQQLTGMNVITFYAPVLFKTIATLVSIATVDKFGRRTLFLQGGAQMFICQIIVAAAVQSKFGVDGNPGELPKWYALLVVIGICVYVMGFAWSWGPLGWLVPSEIFPLEVRSAAQSVNVSVNMIFTFAIAQVFTTMLCHMKFGLFIFFALLVVVMSLFIYKFLQETKGVPIEEMFVVWINHSYWRKFVKPAEEHGGGQA >RHN60069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:18120942:18121215:1 gene:gene22271 transcript:rna22271 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYLINIAIFDEGMVVHDTSASIQGLGGPMTRSRTKKAKEALIQLVAKVLESKPTLESMEDKMVMCIKPLEEGWGASLAAHFI >RHN77924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10557331:10561462:1 gene:gene1431 transcript:rna1431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MFLSCTQMEFGSRNMFHISSVTFFVVLSIATTTVIESSSNSECNFRAIFNFGDSNSDTGGLAASFVAPKPPYGETYFHRPNGRFSDGRLIVDFIAQSFGLPYLSAYLDSLGTNFSHGANFATTSSTIRPPPSIIPQGGFSPFYLDVQYTQFRDFKPRTQFIRQQGGLFASLMPKEEYFSKALYTFDIGQNDLGAGFFGNMTIQQVNASVPEIINSFSKNVKDIYNLGGRSFWIHNTGPIGCLPYILVNFPLAEKDENGCAKQYNEVAQYFNLKLKEAVVKLRDDLPLAAITYVDIYSVKYSLYNNPKKYGFEHPLIACCGYGGEYNYSSSVGCGGTIKVNGTQIFVGSCERPSARVNWDGVHYTEAASKIIFHEISSGAFSDPPISLNMACHRNSTKIY >RHN77809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9539797:9540443:-1 gene:gene1302 transcript:rna1302 gene_biotype:protein_coding transcript_biotype:protein_coding MISGVGVPVHSARSCVHIIRIVLHWLATVQDNSYLGSPQVVGSFLSRNGCFMFVSLPHEEGGQFVWLLLLLVASHV >RHN54902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13357177:13359372:-1 gene:gene30000 transcript:rna30000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamyl-alcohol dehydrogenase MAIDATKLVVFPKKAFGWAARDSSGVLSPFHFFRRETGEKDVAFKVLYCGICHSDLHIMQNEWGKTTYPLVPGHELTGVVTEVGSKVKKFKVGDKVGVGYMVDSCRSCENCADDIENYCTKYTQTFNGKSRDGTITYGGFSDSMVADEHFVIRIPDSLPLDGAGPLLCAGVTVYSPLRHFGLDKPGMNIGVVGLGGLGHMAVKFAKAFGAKVTVISTSPKKEKEAIEHLGADSFLVSRDPEQMQAATSTLNGIIDTVSASHPVVPLIGLLKSNGKLVMVGAVAKPLELPIFSLLGGRKSIAGSLIGGIKETQEMIDFAAKHNVTPEIEVVPIDYVNTAMERLVKGDVKYRFVIDIGNSLKPSP >RHN77625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8223856:8230134:-1 gene:gene1097 transcript:rna1097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator IWS1 family MTLEDFFTLTEMKDGLTTPSRVQELVSVMKKEQDSIVKNTGDAIRQWAAVASTIAATENKDCLDLFIQLDGPWFIDRWLNDAQKLGGGTNDSVMEESITAMLRAVEKLYQDSEKLISSGMWATVSNLLGHHSSKVQDRARALFDKWKEVGNGDAKSHDMDTGQRNHMIDKNLKEEGQLSSVSGASNDNVHVLRLEGGEKSVLRSSDTQIPDKAANVKKESSDNAHQSSASLNCEELKERSNHLTTVLTSVQESASASESELTSSGICNLPVPKQGSFKDQPDDLQLNDLSMKEEQELNDNGPPEKLGAPINPKPESVSVGASEAQVKPVPAPIVPESSLEHDVKSSEVGICDKVIVSGSMKTPASDKMSVVDGARATDSSNPQLSKASMEEEGNSQVSNHVDDTSNGSDSFKQRKDPTSPNIIDKSSDMELDYGIVDALDVARQVAEEVTQVSDQDDDTSNSSDSFKQSKVSRSANIVNKNSEIELDYGMVDALQVARQVAEEVEREINNSSSEKSSEGGTRQAGSPESVGKNDDLACALPEVSSRQSNSAEACPEERHMSVSDDVVAEPECIPDLESSQLTEAAQDPGGNSEKSLCTFDLNEEYGSDDMNVSANTISTTPIPVVSASKPAQTSGLPTAPLQFEGTLGWKGSAATSAFRPASPRKNADNQKNVSAGGNSDISKQRQDFLDFDLNVAGGEDELVKQIGESSGLPSGQSSVEHSPKRSKRFELDLNSIGDDGDTQPSDQRMEGQLFFGRNGYWSPSPASSSSSMQPSVRNIDLNDRPYFQTDLLDQGPTKSSSSIEVYGLSKSDAPAISILGAKVEVGRKEPVPQIWSLPNGKAVEPAIDLTMMPGSGGVSGMGPAVSYNHSTFLGYNGLTSMPPLSFSPAVYGSGGTIPYMVDSRGAPVVPQVGGSSSNVLSSYAQPPYIMSMAGPQLGLNGVGPSRPNFDLNSGFMIDGGNRDALTARPFFFPGQSRAMEDRTLQQSSSSGVGGKRKEPDGSGWETYPFGYKHQQQPPWK >RHN79380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28240497:28240739:1 gene:gene3164 transcript:rna3164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEMNPLYLPDELITQILLRLPVETLIRFKCVCKSWFSLISNPYFANSQFQITAATHNHQILFLTPNHQFQSIAFRFIVYI >RHN64018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55945988:55950428:1 gene:gene26792 transcript:rna26792 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDGGDPFKGVDWKAVGGEMQQNPNVKPTIAKRLPKKVRNIPDHYFLPRYPLSHTLLFCGACIAAGVGAGMLVETWIDKKVKEDGGVIWEFDK >RHN72703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9949826:9953042:1 gene:gene8452 transcript:rna8452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WEB family protein MSFRNRDSSPKETDSPVGEREIDTRAPFQSVKAAIGLFGEVRVNRDRNNSIKRRSSENVLEKETQLLLAQRELNKIKKKLESAESTKAKALIELDKANITLQELTKKLNTVRESKQSAMEESEVVKNQAKELEKALSQKAIGYEAWKQELEHARKEYTTTVKELDASKQELNKIRQDFDAALEAKLAAFQMAGEAQRSAKLNSEKINELSKEIATMKASREELKLATAQAQEEQIKVMGEREEKLNFYKTSKEEIDNKLMEVKNEYDPEETQSLEAKLAETSAEILVLQEKIKEFRESEMDSVEVITLEIKEATKKLQEISEEEASLRNLVDSLKTELEQVKKEQEELKEKEQAAEALAANLTGELQGSKEEENSMENKESNESHCQEIELKIKQLSFETENARKEEEEMRMKAQELKQEADNSKALSEEIEVKLEVLFKQAEEAKADEKRAVEEMKLLSDAQGSVSVSDSSGKIVLTVNEFAALSGKINECEDLIERTETTAMAQVEAINTRRNEVNKKVEANLQAIEEIKAATDLALRNAEMADSAKLAVEGELKKWRQEEQKGVPSLDISDNSSTPISIQS >RHN68190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33573532:33574792:-1 gene:gene16505 transcript:rna16505 gene_biotype:protein_coding transcript_biotype:protein_coding MKPCYLRCLLEVLFRPYHLLVCRTLYPHGSVLIEIVPSIKGHRDMTLNTVTPLKEAVQKLIHNKDLSFTDPNPVAPNNPLPPHGPTVNMVEDYQEGGLVTRSQDIKTPLVPLHVKMCKAAMFSHNHNSCEVCSVDPRGCVQVQNDVQGLMDREELVVTRENKSICVVIPVFKDSAKPIDGVTPVFKDNSKPVVTPLVICVPRPSPFFSQNAMPYNYEPTSIENGKEISLSPSTSVSNIAENSQILRSGRILTAVVQAKKKAPVIESMPVPDPSKGKSVVQPSGTDNDEILKLIKKSDYKIVDQLLQTPSKISIMSLLTSSDAHRDALMKVLNQAYVDHDVTLGQFGSIVGNVTACNNLSFSDEDLPAEGKNHNMAFAYLCYVQNRFFFQCLDRHRLFPQCDGEDNL >RHN60346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25671132:25671856:-1 gene:gene22650 transcript:rna22650 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEAWNTEEWRRDPSGQKDGSLSCPHFPYYQKKNRSSEAG >RHN46509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32386867:32387664:-1 gene:gene41002 transcript:rna41002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MCSKSMLCWQRIGLWSPLMVVFDINVSFPTNVFAILQHLDQQQKQIFNVTLWSIWKHRNNKAWNNITDTAQDICARAGSLLTSWRNAQNIRHPSPQNPSTPNDLKWVKPSPGRFTCNVDASFSQARNRVGIGVCIRDEEGRFVLAKTEWMTPLLDVELGEALGLLSAMHWVRDLQLGIVDFELDSKSVVDSLYGSTSGVSNFSAVINECRRLLASDLVTSDVRFIRRQANEVAHSFARVALRHASFHIHIRIPSCISTIILNEMQ >RHN82003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50514467:50517161:1 gene:gene6117 transcript:rna6117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zf-FLZ domain-containing protein MEDSSSKKITSSFFNSPKLFTSKGFHETETMMSPTSILDTKPFSCFKNPFWSETNSPITQHVCGEHKRCWDKLESKVVGLGLVDVLVDEKNSEVNSKPENKTVLFGSQLKIQIPPFLPTISTFSSSSESSPLSRGDFSINTRNSHLGSSSGSFSLSPVGKSSFGCANEIETSNSTHGVFKGCLSASEMELSEDYTRVISHGPNPRTTHIFDNCIIESSCFDIGCSAVKENGYCFPHPTSYPSESFLSVCFHCKKNLEQGKDIYMYRGEMAFCSHECRDQRMLLEDVMPI >RHN42138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35705629:35706447:-1 gene:gene48539 transcript:rna48539 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPSKKNKRTEDEEGETNGGDPNISESNVTDLPLIKRNDDQHLIKSNKSEDGEQGKKKKKKKKLSEESNHKKYNEFNSNECEDDDQGKKMKKKRKLIEGNALEEGNGFRSNEGDNGEQGKKKKKKKKLNDESKHKEYNEFKSNECEADDQGKKMKKKLIEGNTLMECNDFRSNDDEDGEREKKMKKKKKKPSEESTHKEYSEFKSNECEDDQGKKTKKKHKLIEGSTLTECNDFRSNGGEEGEQDKKMKKKKKKLSEESKRKEYSKFELTQ >RHN57032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35876659:35878677:1 gene:gene32518 transcript:rna32518 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTEKQEALVNSSFESFKQNPGYSVLFYTIILEKAPAAKGMFSFLKDSAGVQDSPKLQVHAGKVFGMVRDSAAQLRATGGVVLGDATLGAIHIQNGVVDPHFVVVKEALLKTIKESSGDKWSEELSTAWEVAYDALATAIKKAMS >RHN56231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29068275:29078425:1 gene:gene31599 transcript:rna31599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate isomerase MASISSIYSSSPTLNNQKNQNASSIPIRRRSQQHLPIYQTRPKLPPTRSVAREIPTGTDLSAVQSTNHHRLEKDPRALWRRYVDWLYQHKEIGLYLDVSRVGFTDEFVKEMEPRFQAALKAMEELEKGAIANPDEGRMVGHYWLRDSNRAPNIFLKTQIDKTLDAICGFADDIVSGKIKPPSSPEGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFREAGLDFPKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQTIDIREMLLGASLMDEANRSTVIRNNPAALLALSWYWATDGVGSKDMVILPYKDSLLLFSRYLQQLVMESLGKEYDLDGNRVNQGISVYGNKGSTDQHAYIQQLREGVHNFFATFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYSNDRESITVTVQEVTPRSVGALVALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLAVLNEASCKEPVEPLTIEEVAERCHAPEDIEVIYKIIAHMAANDRALIAEGNCGSPRSVKVFLGECNVDEMYA >RHN74994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38555959:38556564:-1 gene:gene11139 transcript:rna11139 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CLE33 MASWRMLCFVLLFTSILICHDARPLPSSLSSSNGSPAFVESVKQVVKEIMRRKQLLGTQYSTNRLSPSGPDPHHH >RHN41310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29038779:29040050:-1 gene:gene47618 transcript:rna47618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVSGDCLTTLFLQGLENHGWGDWRSISRYSVVTRTPTQVASHAQKYKIRQDSMKEKKERRRSSIHDVTFVKNGDISAPQGPITGQASNSAANSAGQSAEQAPPVPPAGIKTLDNPPSPPAGIHAAPRIGQPIGGPVVSAVGTTVNLTAPGDMDYGLGPVSETVMPGVPMNLGPMTYAMLHTYAQS >RHN47291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38526010:38533772:1 gene:gene41869 transcript:rna41869 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDKAPYVADAEKKKMEYVKAIHAYNKKVLMACNNTNLVAGKKSSSGKSFVKSNSELNDDDDDDDDNEEEEEEDDESGVC >RHN60985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32053815:32056515:-1 gene:gene23391 transcript:rna23391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MDHTFGFMDKPFLQPDPNNATEEEEDSPLDEIDFSSTVLRYINQMLMEEDMDMKPCMFHDSLALQAAEKSFYEVIGQTYPSSSVQSPDDSFNSNFSSSNSVEVESYLSKFDVSEHNPSILKTHFPVDFVFQASSINGSSNSSSDFGVTGGGFRDGFPDSNLISKNESVLQFERGVEEANKFLPKVNPFIIDLESNPFVPSFRREVQVQEVVVERESDEREHFSAESRGRKNHERGDELGLQDERSNKQSAFYTDDDSELSELFDKVLLGTGCRKEEKANGSDMSVQQKEEANNSGGGKSRGKKQGNKKGVVDLRTMLVLCAQYVSSDDRANANELLRQIRQYSSPLGDGSQRLAHCFANALEARMAGTGTQIYTALYSKRNSAADMVKAYQMYISACPFKKLAIIFANHTILNLAKEVETLHIVDFGIRYGFQWPALIYRLSKRPGGPPKLRLTGIELPQPGFRPAERVQETGLRLARYCERFNVPFEFNAIAQKWETIKVEDLKIKKNELLVVNSVCRLKNLLDETVVLNSPRDAVLKLIRDTNPNIFIHTTVNGSYNAPFFATRFKEALFNYSTMFDVLDINVAREDQTRLMFEKEFWGREVMNIIACEGSQRVERPETYRKWQVRNTRAGFRHLPLDKHLINKLRCKLKDVYHSDFMLVEDGNCMLQGWKGRIIYASSCWVPA >RHN60154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21905283:21906224:-1 gene:gene22392 transcript:rna22392 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEDAATLQKVLELAKEIKVLASNIAREDVSADAEEVIKAAEVLQEFVATEARSLLVIAGGLEEHTPSVGELVKDTVEGVQEENAGCSEADASEVSRGNPNSLHTAKVIEIESSSTFDSYSTSMSTSLTSSDIDDVPLNQIYATINKGLSTSSKHQRKPDDIPFKPMYLAILESIGEISQMRVNVCERLPANHPFQPPMIKPLSFVPDDAEVIGEQVGHESANFNESSSHPNSTTQTLEPSVLENLVNHYSGELPGCEPNLERASEVASGEVTLESPQQQAPNLQMASTTCPNIHVPEQSVPEKIVPEQPVP >RHN70068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48427752:48429412:-1 gene:gene18611 transcript:rna18611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rubredoxin-type MALQVQASLYTTRLSPTATPTLLRPLSNPSTLKTSFFSRSLNLLLHPNQLQLAYGPPRFTMRVASKQAYICRDCGYIYNERTAFDKLPDKYFCPVCGAPKRRFKPYATDVNKKANETDVRKARKAELQRDEAVGKALPIAVAVGVVVLAGLYFYLNSTF >RHN52341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34156912:34160473:1 gene:gene37005 transcript:rna37005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b-c1 complex, subunit 6, ubiquinol-cytochrome C reductase hinge MYMLADEEPVDQKRFFEDSCKPKCVRPLIEYQACMKRIHGDDSGQKHCTGQYFDYWYCVDKCVAPKLFTKLK >RHN73691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18930517:18934113:1 gene:gene9538 transcript:rna9538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative groES chaperonin family MASSQLTASSISTRSVASFEGLRPSSVQFHSARHVRIGNPARRSFKALIVKAATVVAPKYTAIKPLGDRVLVKIKEAEEKTQSGILLPSSAQTKPQGGEVVAVGEGKTLGKNQVEISVKPGAQVVYSKYAGTEVDFNGEKHLILKDDDIVGILETDDIKDLKPLNDRVLIKIEKAEEKTSGGLYLTEATKEKPSFGTVVAVGPGLVDEEGNRKPLTVASGNTVLYSKYAGNDFKGKDGFEYITLRSSDVMAVLS >RHN58371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1245309:1246283:-1 gene:gene20278 transcript:rna20278 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLLTLLFNDLTNECLVKKSNKHKAVFLGDQELEGVHQFQSFIDQYNMDYISLNDMEKQSSILDGTVDFVFTSNFPASSQFIDRTLKTNGIAAVVILNAAAFHKPSNYKVAYMRRFQKVVMAMKKITTSPVKLGSQRKLLGYATEAKRAALQKLEDVLLEPPRAASGKSRVYLKRIKYLPDLMGDTLESYPRRVFIDVGLPQKDGGSGIDWFSKNYPTRNKNFEMYKIETVVESSPTAQAEMSDWLMKNVKDEEYVVMKAEAEVVEEMMRSKSIMLVDELFLECKPQGLNLKRGTRGKRAYWECLALYGKLRDEGVAVHQWWG >RHN71795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2667303:2668454:-1 gene:gene7430 transcript:rna7430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MTSAAAEQLLVAEQLIEHLKCPISLEIMSDPVILSSGQTFDRSSIQQWLDLGHRKCPITKFPLLHTYLIPNHALRAIISSFAPPPPETLPHPPPLQTEAPTLTLTQTLVSALTSDEAPTKYKLESLNKLFFLSKHDPLFRRNITDAPVIPVVFSCLANETLRHKALALLLNISLEDENKVGLMAEGILDRLIPILSSEVSDCSAVAATLITSLALLELNRATIGAYPHAIESLVSLVRDGVGREKKEAATALYTLCRFPNNRVTVVACGAVPVLLRRLDAGLERCVEVIGLLAERKEAIEEMEKFGGCVEVLAGVLKNRTRTKRGVEFALLALKYLCCNSEESVTEAVRAGVFESCMELMQHDSVRVRENASYLILVLRSRKQ >RHN79660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30981485:30982128:-1 gene:gene3478 transcript:rna3478 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQQILLTKLSEGPIVEESYIVGTKSKLFFYKDQNRNSLILQGRKEVLTLISIAGAFVLTFYKGPSIMNSSSLHQPIGFLKSMDSVFKIYGQICHYLHMEYGQIWRFAKYMVGGCSCSVGICDIYLENERNDLGKEQNSTSSISAVVLVGTNMVAPAADERGQSPTLLGFEMMKVAL >RHN50851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11131812:11134112:-1 gene:gene35190 transcript:rna35190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MEKNIDDHSNTFPHKDEENNTNANNTPHSLSPKTEENAKEAKSDGENEKANDLDHVKGEPEEGDKEEKENEDVLTFEVTPETPPPNLEKVSEDIDQFLATPHENNNNNVSDNEEKIPEFVDKFLDLVEEKMARYKSCEKKWGETVEEDSSFLEDVNRVSKLMKLLSIMLTEFQSREEKEEEKEGEEEKKGEEENEKEKEKEKEKEKELQEKHAKKDNSILFNRVDAIQQRAMSYLEEEFHLLMEESRVENKPEPNGAHDSKGKNVATPESSENEPIETPMDFPGFKDEAITNLNKIAREMLFAGYDSECCHVYIVSRKHSFGDGLHKLGYERISIDEVQRMQWESLEREIPTWINTFKECATVWFPGERKLVASIFSEDPSMADTLYTNLTRVVVFQLLNFAESVAMAKRAAEKLFKSLDMYETLRDTIPNLEKLFPKDIVDEIKAEMTSAKNRLGEVAVLIFCELENSIKNDNGKTPVAGGAIHPLTRYIINYLRLSCEYKDTLEEVFREHSKIERSDSTSRPRYENENQHSNEKENESPFTGQLTRVMELLDTNLEGKAKLYKEVPLSCIFMMNNGRYIVQKIKSSTEFYQVMGETWYRKRSTELRTYHKNYQIETWGKILNCLSPKGLNDNNGKVPKPALKERFKTFNTLFDEIHKTQSTWVMHDEQLKSELRVSISSLVIPAYRSFLGRFSQYLDPGRQTEKYIKFQAEDIETYIDELFDGNPNHGTMARRRA >RHN67919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31436136:31454682:1 gene:gene16175 transcript:rna16175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MRLKYAVNVAKILCWKDQILHIGELFIQLEHLLKVLEISFLTDLAKPYVEKLINGAITGSSYICCLTCIAKDFEEQRARLEIERTTVKQRVDVATRRVEDVQANVLFWEKEADELIQEDTKTKQKCLFGFCPHIIWRYKRGKELTNKKEQIKRLIETGKELSIGLPAPLPGVERHSSQHYITFKSRESQYKELLEALKDDNNYVIGLIGMGGTGKTRMAIEVGKELMESKQFACVIDTTMSTSVDIRKIQNDIAGPLDVKFDDCTESDRPRKLWKRLTNGEKILIILDDVWGDINFVEIGIPQSGNHKGCRILVTTRSLLVCNTLRCNKTVQLEVLSVEEAWTMFQRYSEISTKSLLDKGRNISNECKGLPVAIVAIASSLKGEHRLEVWDATLNSLQMHDVEDDLIKVYKCLQVSYDNMKNEKAKKLFLLCSVFRDDEKIHTERLTRLGIGGGLFGEDYVSYKDARSQVIISIKKLLDSYLFLEADGSRVKMHDLVRDAAQWIANTEIQTVKLYDKNQKAMVERNMNIKYLFCEGKLKDVFSFKLGGSKLEILIVNMHKDEDYQYVKNEVPNSFFENSMSLRVFLLISVQYLELTVSLPQFRIPLLRNIRSLLFVQVDLGDISILGNLQSLETFDLDGCKIDELPHGITKLEKFRLLKLEYCEIARNNPFEVIEGCSSLEELYFTGSFNNFCREITFPKFQRFDIGECVSINESLSKCFCVVYKYDVFLSKTTLKDCMQEAEVLKINRMEGGGRNIIPEMIPMGHGMNDLVELDLRSISQLQCLIDTKHTGKVFSKLVVLELWNLDNLEELCNGPLSFDSLNSLEKLYIINCKHLKSLFKCKLNLFNLKSVLLEGCPMLISLFQLSTAVSLVLLERLVIKDCEGLENIIIDERKGKESRGEIINDNESTSQGSIFQKLEFLGIYNCPRIESILPFLYAHDLPALESIRIESCDKLKYIFGKDVKLGSLREIDLDDLPNMIDIFPECNRTMSLSIKKTSSISGDASNPQTQSEPIKCNIFSWTDIYCCGKKYGHNKLRSTTNTKVPLVSEDQQQENVIMESDSYCLPIWERAQCLSIPSHILCNIKEITLNNISKMKSVFILSIAPRMLLESLTISKCDELKHIIIDVDDHNNTGANNLVYVFPKLRDIDVEDCEKLEYIIGHFNDDHQNHTQIHLQLPALEFLYLENLPSLVANYPKQYHTTFPQLEILEVEKCPQFIGDFITHHSVTRSVDDTIIKESGGNVEHFRALESLKEINEQQMNLALKIIELLVLPMMTCLFMGPKNSFSLQNLTHLKIIKCEKLKIVFSTSIIRCLPQLNYMRIEECNELKHIIEDDLENTTKTCFPKLRILFVEKCNKLKYVFPISICKELPELNVLTIREADEVEEIFGSEGDDHKVEIPNLKFVVFENLRSLCHDQGIQFEAVKHRLILNCQKLSLTSASTADFENDISGLRSVWFDEDYELYVDLKNLFKQLHDESKGHDTCNEYPSSEITEVQASGNEFTSSQKEMEQTLETEHEFVENVPHQEMPSVAIKPTNSKEELMNEQEMEQKRLLGETDATVKPSQENNGIEKSVEDGITSANAKTIKSSPGHLSTSKEFMNEQQSLGAIDTTIKSSQGNNLEGSTSEKLVGETLSTISGTKNEPPIQLVSPEQKGIEKSVEEGTTSTCEKTITSSTHLEVGDGKIFVPSFSIVNTKPASTKDVDIEDSQETTHEFFDSAKLIEEDPLLALETLLTGVQSFPVRTLLEELKTLMDSSSDLDHLVSNQESKSK >RHN53927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5392231:5397165:-1 gene:gene28893 transcript:rna28893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylyl-sulfate kinase MCFVQALQPQCGGVTFQNIECGTSTVEKLGIVNFRHRFNAVELRRCRKSMLKPIMAQDERESSIADDDNRIPKYKGISVNKRNCVKNEPDSSFIDHSAAFSGKNLSNLTTNGNSTNILWHECSIQKLDRQQLLQQKGCVVWVTGLSGSGKSTLACALSRSLHSRGKLTYILDGDNIRHGLNRDLSFRAEDRSENIRRIGEVAKLLADAGVICITSLISPYQKDRDACRALLPKGDFIEVFLDVPLAVCEARDPKGLYKLARAGMIKGFTGIDDPYEPPCSCEIVLHQQKESDCMSPSNAAEKVISYLEKNGYLRA >RHN77300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5870006:5877518:1 gene:gene742 transcript:rna742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-NDR family MDGYDGTVRLGALNMKYDRGDFDSGTDVSVSSPVTKQKAAAAKQFIENHYKNYLQGLQDRKDRRRALQRKVQESQLPAEEQEVMMRNLERKETEYMRLQRRKIGIDDFEQLTVIGKGAFGEVRLCRAKSTGEIFAMKKLKKSEMLSRGQVEHVRSERNLLAEVDSRCIVKLHYSFQDSDFLYLIMEYLPGGDIMTLLMREDILSEHVARFYIAESILAIHSIHQHNYVHRDIKPDNLILDKNGHLKLSDFGLCKTLDDKYSTILLENDDFNGQESTSETEYNFVSPWLMPKEQLQAWKRNRRALAYSTVGTLDYMAPEVLLKKGYGIECDWWSLGAILYEMLVGYPPFCSDDPRMTCRKIVNWKTCLKFPEEPKISAEAKDLICRLLCDVDTRLGTRGVDEIKAHPWFRGVQWDMLYELEAAYKPTVIGDLDTQNFEKFPDVGPPSVTETVGPWRKMLTSKDTNFIGYTFKKSDILKSIESTDEDIRVNGSSKSPSLISLLGRIDLQDTAIPESEPKPET >RHN44599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6633773:6634842:-1 gene:gene38737 transcript:rna38737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor/ chromatin remodeling BED-type(Zn) family MGRKKNEDCRKHVTEVENKNTWICNYCSIEYSGGASRIEAHLGLNGKGGNIRRCSHYHEGIHNNMASTSSNPPEAVINRLYSTQYQVAEGVPQVIGTHTRSSVNHPNNAEIMNLFQGAGSNSLFLKKRKKKLLQ >RHN40638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18697484:18703974:-1 gene:gene46818 transcript:rna46818 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED6 MRSHHPLDSSQLTKMIGIEYVLSEVMEPHLFIMKKQKRDSPDKVTPMLAYYILDGSIYQAPQLSNVFAARIGRALYYIEKAFTTAASKLEKIGYVDSENETTIPEPKAAKETIDLKRN >RHN51574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20130087:20130467:1 gene:gene36038 transcript:rna36038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PUA-like domain, oxidoreductase-like protein MIEALRLCCQKGCPVCVFRSHREKRSSYAPKLGVRYDGEYRIEKCWCKIGKQVCFFFLIIIRCNLFN >RHN73542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17505076:17507711:-1 gene:gene9366 transcript:rna9366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator ABTB family MMYLRSGFSEYSNEISNNTSSELCCTTAPNSTITASQDVITFTQPLNRLSDNLASILDDTGFDFFSDAKIIAKDGREVSVHRCILSARSSFFKDVFKGKKETTLQLKEVAKDYDVGFDALNVVLRYLYSERVEDHHLSAKDVCVCVDDDCLHFGCWPVVDFMLQLLYASFTFQISELLALYQDHLLDILDKMAIDDMLVVLSIANICGKTCDKLLKRCTDIIVESNVDITTLEKSLPQSIVKLVTYKRKQLGLDMYETVNLLDKHVTRIHRALDSDDVELVRLLLKEGHTTLDEAHALHYAVAYCDVKTTTELLDLGLADVNHKNLRGYSVLHVAAKRKEPKIIVSLLTKGAQPSELTMDGRKALQISKRCTKAVDYYKSTEEGKVSSNDRLCIEILEQAERREPLHGEASLSLAKAGDDLRMKLLYLENRVGLAKLLFPMEAKVVMDITPIDGTSEFTPNLGGYQRTTMDLNEAPFKIKEEHLIRMKALSRAVELGKRFFPRCSEVLNKIMDADDLSQLACMGHDSPEDRQVKRRRYAELQEVLNKVFHEDKEEFDKSGMSSSSSSTSIGMPRANNSMIAMNH >RHN67865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31055783:31060657:-1 gene:gene16110 transcript:rna16110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thymidylate synthase (FAD) MMLSATLPISPITAKSSSSMQSLSFSHRVILPSGSRVTPAMFTGVSKGGSSSLSPVKLKASSMTTAAIAQEVDERKKFIEASKNGNLIPLYQCIFSDQLTPVLAYRSLVTENDREAPSFLFESAEPNFQGSNVGRYSVVGAQPTMEIVAKENKVTVMNHESGQLTEEIVDDPMEIPRKISQDWRPCLSDELPDAFCGGWAGYFSYDTVRYVEKKKLPFSDAPKDDRHLADIHLSLYETVIVFDHVEKKAYVILWVRTDQYSSVESAYVDGTIRLKKLVAKLQDNKLPRLAPGAVDLQTRQFGPPLKESNMTAEAYKDAVLQAKEHIKAGDIFQIVLSQRFERRTFADPFEVYRALRVVNPSPYMTYFQARGCILVASSPEILARIKNNKIVNRPLAGTSKRGNTAEEDESLSAKLLKDEKQCAEHVMLVDLGRNDVGKVAKSGSVKVEKLMNVERYSHVMHISSTVTGELQDHLTCWDALRAALPVGTVSGAPKVRAMQLIDELEVARRGPYSGGFGYISFSGDMDIALALRTIVFPTGTRYDTMYSYKDLNKRQEWIAYLQAGAGIVADSDPADEHQECQNKAAGLARSIDLAESAFVHK >RHN52223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32804517:32806973:-1 gene:gene36871 transcript:rna36871 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKISFYLHFLSVDNSPMFLSHTSWS >RHN52678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37648379:37649998:-1 gene:gene37376 transcript:rna37376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEKSISTEKVSNHIHNDIAFSILSKLPLKSLKRFSCVHKSWSRLFENPNFMNMYRNNVISSEDDGSCLLLQQTLPYPHYHSHMLYLLSGERFENKVKLGSPTPFRKSDNPGFILGPVINGIVCLYQSGTNVVLWNPTNGEFKVLPESPTELEPSVRYEIELERLHGFGYDSVSDDYKVIRHVQYELNLSDYENDDSDFEGDALSDYESDAVSLPTSMSRDDVWEIYSLRSNSWRKLDLDMPCGMRTSVGVYVYLNGACHWWDDDDDDDNDAYLVSFDLSKEVVCITPMPSTKIVNFDSGLEMRHLTVLNDHIALISYFELSATFHISILGEVGVKESWTKLFILTLPGIHHPIGEGRNGDLFFRRDDNKLVWFNLRTQMMEELGIKGQMHCCQVVIYKESHLSLGGLNG >RHN77975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11004950:11007723:-1 gene:gene1488 transcript:rna1488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MYLTSLNFEDDNNSNISCKDFRRMMYYGFEFSWLNSIYNHGNINFRTLLSGVVSFHYYIIIGQALGFLVGAKFVLGVPCVLLLLIYKWRQRHLSMYEGIEDFLHSNNDIMPIRYSYKDIKKITEQFKTKLGNGGYGSVYKGQLRSGRLAAVKLLDKAKSNGQDFINEVATIGRIHHVNVVQLIGFCVDGSKRALIYEFMPNGSLEKYIFSHTKDCFSLSCEKLYAISVEVARGIAYLHNGCNVKILHFDIKPHNILLDESFNPKVSDFGLARLSPTDKSIVSLTAARGTIGYAPELFYRNVGMISYKADVYSFGMLLMEMASRRKNLNALAEQSSQIYFPFWIYDRLHDGREVTIENDTDQEMKLAKKMMTVALWCIQTKPEDRPSMDKVLEMLEKEDGDLQIPNKPYFCPQDPPTADVGDDNNSNSWTSYGTSISDPKGPT >RHN54045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6479176:6502317:1 gene:gene29024 transcript:rna29024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Sec8 exocyst complex component specific domain-containing protein MGIFDDLPLPPEKAYLREELSRIDETWTVARFDSLPHVVHILTSKDRDAAAQYLKEQSDVVEEVVDEVVQSYHSGFNRAIQNYSQILRLFSESTESIGVLKVDLTEAKKHLSARNKQLHQLWYRSVTLRHIISLLDQIEDIAKVPARIEKLIAEKQYYAAVQLHLQSMMMLERGLQTVGALQDVRSDLTKLRGVLFYKILEDLHAHLYNKGEYSASCSTMLENDDDVPTTISVALTAHNSQPLSRRTKSFKGDNQNGLQIDGSYNRGSFDGNDEEGTLVSSEEATLDGDMENMKITGSDVTKDASGALRQMPTWLSNSTPDEFLETIRKSDAPLYVKYLQTMVECLCMLGKVSAAGAMICQRLRPTIHEIITSKIKAHADLLNSSRSSIVHGSRAGTGDLHFIKGQLESYQLPKQKRKNGISIAGTLLAVSPVSPLMAPGGKAQIATKELLDSILDAVVRIFENHVIVGELLEAKVSQHVDMNTPKSVPVDVSWNPDSETSQVTGGYSISFSLTVLQSECQQLICEILRATPEAASADAAVQTARLASKVPSKEKRDESENGLTFAFRFTDATISVPNQGVDLVRQGWNRKGPNVVQEGYGSAAVLPEEGIYLAASIYRPVLQFTDKVASMLPTKYSQLSNDGLLAFVENFVKDHFLPTMFVDYRKGVQQAISSPAAFRPRAHVVSTYTSSIEKGRPVLQGLLAIDYLTKEVLGWAQAMPKFANDLVKYVQTFLERTYERCRTSYMEAVLEKQSYMLIGRHDIEKLMRLDPSSGYLPNLQGPFNSESNSYDAETIEAELELSELLLNLRPIKQENLIHDDNKLILLASLSDSLEFVADSVERLGQTTQRATNHVGGKHHSHSDSAPTRSLASFAQDYRKLAVDCLKVLRIEMQLETIFHMQEMTNTEYLDDQDAEEPDDFIISLTAQITRRDEEMAPFISNAKRNYIFGGICGVAANASIKALADMKSINLFGVQQICRNSIALEQALAAIPSINSEVVQQRLDRVRTYYELLNMPFEALLAFITEHAHLFTAAEYAKLLNVLVPGREIPPDAHDRVSEILSL >RHN70510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51898264:51899742:1 gene:gene19094 transcript:rna19094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MTSFSCWRSIPTVDRISDMPDSILSHILSFLPTKLAVTTTILSKRWKPVWRSVFTLDFDDKTFPDFNSFRRFVDLAMFRLRDKKTDIYSFTFKLSHSSRFDQRQFDRILKFVMERGVKNLKFNMTDKQRSINLPPRILSCKTLQILTLGNLLIKKFDKVDFPLVKTLHLDRVFFTPPQCFVKFIYGFPILEDLNTKSFLLSSPELFDDPAVKLNALLNLAKVRICYGMDDMMTLFCKAKILHLEQCARRGKGFWKYPPTVPDCLSSQLKTCCVRSYIGTEYEFKFVKYIMQHSNVLETMTIQSTCLENDRMKLKLSSCTRGSTTCKLLFD >RHN54926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13623204:13624370:-1 gene:gene30026 transcript:rna30026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDAVMFSTYSHHLTLKSFHLSCMFELWENESDYFNRWVEAAKHRHIEVLRLHFSYFLYVPLAPTIFCCKTLVNLTLTLIRVATMFHCSINLPLLKTRYLSSVQFEDMKDFMNLLSGCPILEFLHTIGVRYYRGRLERIFNEEINSYYKGFPVFENLTNLHLKLFQARHVWYEVVKMLQSCPKLQTLRIVKCHLNSTTIEG >RHN43680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47670746:47675768:-1 gene:gene50294 transcript:rna50294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MDSSQKELRQYKNNQSKSSSNPEETCDESRCLICMGSNSCQTVISRTKLMSTLIRKGKPHEAVTIFNSLTEEGHKPTIITYTTLVAALTRLKRFNSIPSLLSKVEENGVKPDSILFNAMINAFSDSGKVHEAMKIFRKMKECGCKPTTSTFNTLIKGFGIVGRPHEAMKLLEMMIQDGNVKPNERTYNILIQAWCTKNELEEAWNVMHKMVNSGMQPDIVTYNTLARAFAQNGETDNAERLILKMQQYNNKVKPNERTCGIIIRGYCKEGNMTEALRFLYKMKELGVHPNPVVFNSLIKGYLDITDTDGVEEALTLMEEFGIKPDVVTYSTIMNAWSSSGLMDNCEEIFDDMVKAEIEPDIQAYSILAKGYVRAGQPDKAEALLNSMTKYGLQANVVIFTTIISGWCAAGKMDCALRLYEKMNEMGTPLNLKTYETLIWGYGEAKQPWKAEELLVTMEESGVAPEMSTIELVADAWRAIGFSKEANRILNGSEEESEFDESFDKIPGQSLDRIYKKQKLGASQSNNLLQMPDIVVAQPERTSNNANIKSQMIVKTYGTVRNATVSMVFVRKNSYGVQPLIVSRHQTQNQIIRPLLDCCRLV >RHN46171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29359035:29361357:-1 gene:gene40615 transcript:rna40615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MFLRHVFMLFFHVTLVASEDNSFIYNGFQSSHLYLDGIAELTTNGLLKLTNDTERDKGHGFYPNPIVFKNTSSESVSSFSTTFLFAIIPQYANIGGHGIVFVISPTKGLPDSLPSQYLGLFNDSNSGNSSNHVFGVELDTRQNFEFDDINDNHVGIDINDLKSADSTPAGYYDGYGQFKDLSLSSGYPMQVWIEYDGVKKKIDVTLAPMSVGASNKPTQPLLSLTKDLSSILNNRMYVGFSSSTGLMVASQYILGWSFKVNGQAQNLEISELPKLPAEKKKSKFLTVGLPLIFLSLVFMITLGVMYYVKLKKFDEVLEDWEHEYGPRRFKFKDLYSATKGFREKGLLGVGGFGRVYKGVIQSSKLEVAVKRVSHESRQGMREFVSEIVSIGRLRHRNLVQLHGYCRRKSELLLVYDYMPNGSLDNYLYNQPKVRLNWSQRFRIIKGVASGVVYLHEEWEKVVIHRDIKASNVLLDSGFNARLGDFGLSRLHDHGADPHTTHLAGTIGYLAPEHIRRGEILEAKDVHLGTNYVSEEVELVLKLGLLCSHSEPLARPSMRQVVQYLERDTPLPDFSSLSLSSSGLTFGYQLFVEDRRMPYTSALIAESVLSGGR >RHN79256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26740519:26744140:1 gene:gene3021 transcript:rna3021 gene_biotype:protein_coding transcript_biotype:protein_coding MENKLRNPNYTCISNSNQPNFLAMHKDSHKISKMKPKIRIIHVYAPEIIKTDAENFRELVQKLTGKPSEEKKNFKNKTRVIVDGSKHEESSRSTTSSGSGLSSEDCSRMIRIKNEELASASGQWDWSPRISRFLDRIPSFKKKKEYWTEFVSFF >RHN68317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34542880:34544179:1 gene:gene16653 transcript:rna16653 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVYVLVGADLQKLLIIFIFLHCSTFGAVWNHIFDWFGWSTAIPYEVVDHFTQFTFSGGDSKMRQSILHLIWFATVWETWKERNNRI >RHN80070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34782185:34785501:-1 gene:gene3946 transcript:rna3946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MSRIMKEQMSPFGEDVNYDRAKEVKEFDESKTGVKGLLDSGITKLPRFFIHPKESLPKHDATSSCLFHVPVIDFTGYEECRRLEILNEIKEASETWGFFQMVNHCVPVCVMDDMLKAIKEFHEQPSEVKKEWYSRDHKVKVRYFSNGDLLVAKAANWRDTIIFDFHDGPLDPQAYPLVCREAVIKYMKHILKLKEILSELMSEALGLKRDYLANIECMKSETVVCHYYPTCPEPELTFGATKHSDPSSLTILLQDTIGGLQVLHQNHWVDINPIHGALVANIGDFMQLISNDKFKSVEHRVLAGSVGPRASVACHVYPNASKKYRPIEEFTSDENPPKYRETDITEYLTLFRSKGLDGCKALPYFRL >RHN72841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11195111:11195704:-1 gene:gene8602 transcript:rna8602 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSNSEDFLLIKLHCFLISPFFPLLTMLMVSAPGVENNSWSL >RHN61391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35378641:35383643:-1 gene:gene23855 transcript:rna23855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor YEATS family MLNTSSSKNHDQDQSQLSGPTPNSHPIKMGKSEDNDKKNSGKKLKDVEISVPIVYGNVSFWLGKKASEYQSHKWTIYVRGASNEDLGVIVKRVVFQLHTSFNNPTRIVDAPPFELSEAGWGEFEIAITLYFHSDVCDKPVNLYHQLRLYPDDESSSYSTKKPVVVEFYDEVVFPDPSEAFLARLQNHPVVNMPRLPAGLTLPPPIPIEDASKRRRGDTKDNPLSQWFLNFSEADELLQLAAARQQVQAHISKLKRQISLIDGQHKQLKSSPDQ >RHN81633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47696460:47697183:1 gene:gene5704 transcript:rna5704 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCDEFLKLMNDLKALRIDTEGGDTTTLENLNKQFIDFKLRLNSSEEDHELHAEIDRLESMIKLYQLKIKLHQSFLGCFANMKECRDELCKLMAEPKALRIDPIGFKDKLQDLYERYKGYKLMFNSLLQEYQITYHERFQGESEFDDLEPLFQFVFDTVEKKLRKI >RHN39925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11732641:11733741:-1 gene:gene46009 transcript:rna46009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MNDLSSASFVAYIFIVDEENQYGPTVVRKRYEKDFFDKIENAGKIFIPINDQGVHWYLMVVDFVERKIYLLDSLPCANRKLLRQRDVLRVALFLEEMFIHNSFYDCGIKLENHLISNFPRQRYGS >RHN68510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36075086:36082581:1 gene:gene16873 transcript:rna16873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Homeobox domain, histone chaperone domain CHZ MAEDGTKKMNDDVDVVQSQIENAMRSRVSHFKQQADSLTFASVRRLLEKDLGFDEFSLDSHKKFIKQSLDKCLGEAGDDDDATKMSEEDGEKGESTQETEGQKEEHQSKDEKDLPEDEEKMEDSPVLGLLKEQKGGKQETKIAEGNGKKIVPNENVIKKAIKKRSSYLKANAENVTLGSLRRLLEEDLKLDKFSLDPFKKFISKELDEVLMSSEVPEPAERAKKIVKKKPDTKVTKKVSAEDNSDTSDEESEGEDNEEDEVKPRKKSVPKGKKQTPVGSKKRKGDDTNLPSKKKVKPDKAASEDNSDAGDHGKNSEDDQSHSSAENTTKKKQVSTPTPVYSKRVEHLKSVIKACGMSVPPVIYKKVKQVPENKRDGQLIKELEEILSREGLSSNPSEKEIKEVNRKKARAKELEGIDMSNIVSSTRRRATISFVAPSPPKPKIPVETSGKDTKGSDNDNDDEGKENEEEEEDEDEEEEDSSDDDGSESEEFNADEEDSD >RHN68463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35759537:35762095:-1 gene:gene16824 transcript:rna16824 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTHKGLFCVGLQTSNKPIMLCTLLSLCMQQIMLNSEFSGVFWIVLTPPSCILLTIVTREPCLYMFFIKVIIAEDKAICPSSVLIRLSSVKA >RHN49038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51993335:52001115:-1 gene:gene43822 transcript:rna43822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flowering time control protein FCA MLDIHQEQRVNFTGDPTNSQFPHDFVNVSGNGNIAAVDSGFPPPPRPPPNHNYPIPRKRQWGPPQDQVDVTGHVKVYVAPVPRTASEADVRLVFQGYGTIVEVVLLRDKATGVRQGSCLVKYSTFDEADMAIKALSNQYTFPGESSPVVVRFADRKRERFGLRDFCQNMERRDPPEVVGKVYVGCINNEASKQEIEEIFSPYGHIEDVVVLRNRGYGFVKFYNREMALAAIKGLDRTFTMRGCDQPLIVRFAEPKKPRMGELRGNYLPANASYGPSSQEPAAWPLPNFCDPNTGGSNMHIAPHHSRLPHQQVNAHIPNWQPVATVVQQQFPPQHVHSQLTSMPLRPLQAPNLSSQPFITEVQRQFHPPDSLVQNIEQQLSSQLPTQTERCNTVVGSTSPDLHTNPQDEEFPESDWSEHYCPDGNKYYYNCVTCESRWEKPGEYALYDKESQKQHEQDDHSLLQPQLSLSSSQEVSQKQQETNHDDHMQSETSPVVEQV >RHN78423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14963173:14963739:1 gene:gene1975 transcript:rna1975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MERKGHHVISSFFSNGRIFEFKNPQIPPFSIPISFIYSSSLNRISLSIRVHISLSFPYSSLSIRIHPFFSRNPTMGDYSSSTLSSATQQQRGNLVCYCSVDSPLVTAWTDENPGRRFYGCGRYFQRRKCKFFRRFDPEVPDRQKKIIRGLLKKNDALRKKERTHVSIIVILGVLLLLSFVVMCIKFAN >RHN67981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31912608:31914269:1 gene:gene16253 transcript:rna16253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVEIVKFIYVMIIFFSLFLVVTKVDAVYWCFDNSDCPQHLCHELIIPRCKIGVCVCLP >RHN43289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44655773:44659031:-1 gene:gene49837 transcript:rna49837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MSIICNILNVALPPLSLILLPIIMLPYIFFKLLIYIKRLVRTENMARKVVLPPLDHLVNNAGVIGVPRLVEDFSDLSKYTQIMETNFWGAAARGTLYAIPHLKNSKGRIIVVDSACGWFPASKAAIIISFFETLRIELGWSIGITIATTPGFIKTYLATLGRLPLGSSVECAKDIVKGFKFKKWIYNSFRGYVI >RHN42477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38657601:38657901:-1 gene:gene48924 transcript:rna48924 gene_biotype:protein_coding transcript_biotype:protein_coding MGFESNSFKFICCYCYWDRTENGSHRRRKHCCHHLLVFFLLMYLLFTFLLFFFDLFS >RHN58882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5682694:5683717:1 gene:gene20837 transcript:rna20837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSKNLIYISLLRNYLRANLPSNHFEGLINLVSINLGFNSFNGNVPSSILKLPCLRELKLPHNNLSGILGEFHNASSPLLEILDLSNNYLQGPIPLSIFNLPTLRFIQLSFNKFNGTIKLDAIRRLSNLTILGLSYNNLFIDVNFEDDHNMSSFPKLRGPGFEESFSNFSSNLNSVDLSFNNLQGPISLVSKYATYLDYSSNKFSSIIPPDIGNHLPYIIFLFLSINKFQGQIHDSFCNASSLRLLDLSHNNFVRTILKCFAALSSSLRMLNFEVIFQMVCFKIHVH >RHN82532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54554621:54559118:-1 gene:gene6703 transcript:rna6703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosome-associated, YjgA, PSPTO4464-like domain-containing protein MSLTQVVFRPLRHWPWLLHFHHHHHPTSSTKFSALYHHLLLPTSSPQESIKIRSFSLSASRGKLRRADSPLPTAPEEEEEDAAIKSRNQLKREAKRAVKWGMDLSSFSPPQIKRILRVVSLDQIVFEALMLVKRMGPDVREGRRRQFNYIGKLLRNVEPELMDRLIKATKDSDHKELQALTGLGPDDPEDDSENLVETEDEKDDEESKPYDDSQVTRWFDGLINKDIQITNEVYSVQGVEYDRQELRKLVRKVHFAQETKATDEDEEKKIEKAEIRAKKALTRFLRSLSENIVDEH >RHN45267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14008004:14010479:-1 gene:gene39510 transcript:rna39510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate dehydrogenase (NADP(+)) MNLLSKETATLSIAVLGASGDLAKKSYSALFHLYINRRLDLQELLPPNEVHFIGYARSKISDDELRNKLRSYLVPEKGASSKQLDDVSNWLAPAVYHLFSPSDSEDGFFLLDKEISEQEYLKNSKQPDHGGWTRVVAEKPFGRDLESAEELNTQIGELFEEQQIYRFRDDSTVPDESNTPTFATAIIRIHKESWEGKALESRKAEIEVQFKDVPGNIFRSMHYPYDVLVFSLYHAFIMHILLSVLYTDPESEIGVSYITVFTLEDKIIHTSVPAFLRVDISLTVQGRISRDLRYNIIIYVGIFIRIFLVFFSIAIIVLDLRR >RHN71944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3836011:3840988:1 gene:gene7605 transcript:rna7605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-Pl-4 family MEENTSSWLRRAKYSQTVCHRLDTSRLNNIPIREQSEQNSRPSSNVKNNLLANKQRSLSPLPETFLNDVFREARHEQKRFSTPGPRRDKRIMGKVSNKDTRESPKVSSTKFLSSSPNGQKMFKDISKDGSWGKYFENGGGGGGKVTALETAEEWTIDMSKLFLGHKFAHGAHSRLYHGVYKEESVAVKIIRVPDDDENGELASKLENQFVREVTLLSRLHHRNVIKFIAASRNPPVYCIITEYLSEGSLRAYLHKLEHKAIPLQKLIAFALDISRGMAYIHSQGVIHRDLKPENVLIDEDFRLKLADFGIACEEAVCDLLADDPGTYRWMAPEMIKRKSYGRKVDVYSFGLILWEMLTGTIPYEDMNPIQAAFAVVNKKLRPVIPSNCPPAMRALIEQCWSLQPDKRPDFWQIVKVLEQFESSLARDGTLTLVQNPRCPDQKKGLLHWIQKLGPVHQNNGPKLKPKFT >RHN49472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55011387:55011976:1 gene:gene44309 transcript:rna44309 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKLYVNTVKVDSGNRPVIIVIHSYLEGKKNNHLSIHLQHLSEVPGVLEISEDHSYDPIDEPDDRGYCEPVKRSMFYHVYTALV >RHN80531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38856049:38856709:1 gene:gene4469 transcript:rna4469 gene_biotype:protein_coding transcript_biotype:protein_coding MWVKQVTEVAFRIEDVIDDYMIHVGQQPRDPGCISLLNKIPHLLKTMTPLRQIASEIQDIKSVVCGIKERSERYGFQIQGSSSFRGIQNAKWHDPRMAALYINESEVVGFQEPKNRLIDWLVKGRVERTVSSL >RHN64075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56387594:56395505:1 gene:gene26855 transcript:rna26855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-Pl-6 family MAFDQNSVPKDLRVLNVAPIMMEEPLISPASVTSPPTPDSVSDYFYQPSVSSPATSGTWCVRPIGLNYGSSFGNRVVAGNVLNLGNWVGGANSNNHNNNNNNNNNNNNNNNSLHKVVNDGVTVNVNGYTYGGNGGTRSVDETSDDSNSGRKVKFLCSFGGKILPRPSDGVLRYVGGQTRIISVKKNVSFNDLVQKMVDTYGQPVAIKYQLPEEDLDALVSIACPDDVENMMEEYGKLVERSPDGSAKLRVFLFPFSEVDVTGGEQLGDLQDTGQKYFDAVNGLVDGNGVVCGGFKSKESVTSAASTQNSDLSGIDSIDSPVVGQGDSTPYVLSPRENVAGSPDTSAGFLVSESCTPVYSGASPVSFGMSVAKTGPNHNPYIHNEVELEKSVPVALSQQPYELHQSRMEIPPPSPYLNHTESRMEIPPPSPYPNHTESRMEIPPPSPYLNHAEYVQLPPQMGFPNHQLLGKTGPVFTQQQFHNNASGLAFHQAIPGAQMTMTQPSSRLGIRSNVIQPQPFMQPQHNHLNQYSDENTSGIRIVQLPAEHNYNTYQVPVNQVPSIIVGGNCSWVQVPPQEHVVFSDGLLPQQQVITPEQIHRAEGCSMCQKKLPHAHSDPVVQDQHDGSSSPVNDSSPSYNSFPMEDNIKAQATMRVEPMVASPLKEGVVEQGFSARPGAISKLEAHNGVRPSTDNTGISNNFEPQHEGGRIFIQNPKEFDHSMNSCIQETIGRIGEKHSSGDGLGAMGTTPPSYPGDVGHQHKAPGETWVKQDELVNKDVNNDIPIVDGTAIHTSECIAQVSPKEYTNELTGVVSKSDAVDSWIRQGYLRSVDGGNDTLKIHMAEGYVSNDQCMLPIDKPLEDNTQIAAEEEVILDNNFGTSKLIVDANKIKMIGVQPCSSKEISSRHSSRTGEYIDVAQPPVLDITQSKIVNHHKDDSTSSTSSPSYMFGDMQDSPNSVFGYQDLWNIQQGTFFPPYVLSKPTLEKETYFYKDYFGENPGTYKKSNLDAQLDGNLYESFKQNLNFENGWCDKGSNEDQQLKVVAEDVAASVLHPCAPSNPSLQAGDVSRHENIEEGDVQNNLIDAKCRDITQDVKSNPPEKGNFGFPTSGVGRLQIIKNCDLEELTELGSGTFGTVYHGKWRGTDVAIKRITDRCFAGKPSEQERMRDDFWNEAIKLADLHHPNVVAFYGVVLDGPGDSVATVTEYMTNGSLRTALQKSERNLDKRRRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGQEPYADLHYGAIIGGIVSNTLRPPIPQSCDPEWSLLMERCWSSEPSERPTFTDIADELRSMSMSTKRQNQQLQPSSLHAQLNK >RHN43144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43524673:43527364:1 gene:gene49675 transcript:rna49675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MLSPCPYPLDSSPLIHLPDDVFATVSRYLIPREVFNLSLCCKSLYALASSEKVWLTQCDIIDIVSLKDLVEWRVCVSSYKALCRFLLSVKSLLGIWVQQNPELGNLVYVMPGFVSIVACRVIPQEVVSLGIKDCSILWSPVFEIVCDFDGSNMFFLHGREEEGIDCVYHGSLKCVNRFCNLLLLEVESRKQDNNEVMIPFRKLGFRDRKKLLEITTSQVRIEVPNMGTGRLFPRSRDDSVNFQKDLVLLKERRAFLVQMTQMYKLGCIQIENKENSQGEVCSMELEVDEVRKSHDYSKALSFPPSNKDNHTQCIKRKSLGGYFWGGFKHILGRSSLVDGCAVNTDQQHNATTGARNHRRSSSIDGSVANIDQQRNMMAGKRDDQRSGTTDGIVVNIDQKHGTSYHRRSSTIDGSINNIDQQHKMIAGTRDDRKSGAIDGRVVNIDQQHNTTAGTGENYIGISSNHEIKNAKLQDFLRSSDTLMLTLSALNAKLSSFRGWPNMNKNWFALYKMPLQVPKEDQVYAGLWGGTLGWPPEKSSQNKPEKALFFLLLSYWESKGQQLLIGTKILEGARYALHPNGSAMFIVNINEPSSDPFPWETDRDSFPMNIQHAFAGEGIARGYGFKYPGAKSGSLFVLQNGDLAFVWKESRDVLTLQRLNLQELLKKGRRIPSLPPIDNFSYLMKSCLNVFTS >RHN44970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10380454:10382743:-1 gene:gene39160 transcript:rna39160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase WNK-NRBP family MMPSVKPNPSDKDSELFVENDPTGRYGRYDELLGSGACKKVYRAFDQEEGIEVAWNQVKLRNFSNDPAMIDRLYSEVRLLRSMTNRNIIALYNVWRDDDHNTLNFITEVCTSGNLREYRKKHKHVSLQALKKWSKQILKGLNYLHVHDPCIIHRDLNCSNVFVNGNTGQVKIGDLGLAIIVGKNHSAHSVLGTPEFMAPELYEENYTEMVDIYSFGMLVLEMVTREIPYSECDNVAKIYKKVSSGVRPQSLNKIKDAEVKAFIERCLAQPRARPSADELLKDPFFDVLDCDENGDVDDESVIL >RHN53373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1265196:1267516:1 gene:gene28274 transcript:rna28274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription repressor PLATZ family MGDGEGVVGVRSLNEEEIKWPPWLQPLLQARFFVQCKVHADSNKSECNMYCLDCMNGALCSSCLASHKDHRAIQIRRSSYHDVIRVSEIQKFLDITGVQTYVINSAKIVFLNERPQPKPGKGVTNICHVCERSLLDSFTYCSLGCKIVGTSKKFQKKLSERHRSEGEESYSNENDININKTQSFTPSTPPPYKIAKRRKGVPHRAPIGGGLIY >RHN57169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37057636:37059050:-1 gene:gene32673 transcript:rna32673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MWPPNWLASPSRHRNSPPPPSPLPLHCRTHSINFTCSSFKDIETLIQEKPEPNLPKSPSLFRRIRISTTFLRALSASRTTAPPPQTISLPPGLENRVVIYFTSLRVIRRTYNDCRAVRSILRNFRVITDERDVSIDDRFRDELNEILNRKNVTLPRVFVGGVYIGGVDEVKQLHESGELNRLIERLPKSNMNGCDCCGGFRFVVCDECNGSHKVYTEKNGFRSCLGCNVNGLIRCPACFFMLPRYTR >RHN46065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28239579:28240356:1 gene:gene40505 transcript:rna40505 gene_biotype:protein_coding transcript_biotype:protein_coding MMASETIKEKFVELQKSKQIPQNSRPKIQRVLKSLRNRKNFEKHYSPKCVSIGPIHHHNTNLILAEKYKLMWAAKYIENNGYIPEDLHKKIADNIDELKGHFDDDVLTSTRWSLAGFRSLEEKLSWMLFVDGCSLLYILEKANLNEPWHMNIKVDQLVLVMMDVLLLENQLPYQVLKLLWKMKTRVA >RHN65617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3815388:3815728:-1 gene:gene13479 transcript:rna13479 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIGVEDNGTDLENVQNVDVHYWKYNVTTSILDGNNVLHFSRRVAENGLYINQSKILLFDEETGKSYDCDVHTTSKSMH >RHN51176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14815560:14816168:1 gene:gene35562 transcript:rna35562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQREKYMDKTLKFMIFLLFLFIFLLITIFNSNQNNNPKNNNPWACISDKDCQKVHGVNIRCRKGFCTVI >RHN54478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9914690:9915031:1 gene:gene29528 transcript:rna29528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAGTKQTARNTGGKAPRKQLATKAARKSALAAGTVKKPHHFCHGTVALREIRNYQRSTSNKEASVSETCEGNCSGFKTGLRFQSSAVSAVQEVVEACFVSLFEDTNICAIHAK >RHN71500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:569264:570220:1 gene:gene7107 transcript:rna7107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MSLNQYHHQQQQQPSHVHPQIWQTCTGAAVQIPKLHSRVYYFPQGHLEHASSSSSNAYIHSLDLQRFRPFTICIISAVDLLADPHTDEVFAKLLLTPVTNNSCVQDPHEVPNCSNDDDVCDEVIDSFTRILALTNVSKHAFYIPRFCAENMFPPLGMEVSQHLLVTDVHGEVWKFHHVCHGFAKRNVFYTSEWASFVERKKLDVGDAVVFMKNSTGKLFVGIRRKDAAEQKKDELEKAVMEAVKLAEENKPFEIVYYPRGDDWCDFVVDGNIVDESMKIQWNPRMRVKMKTDKSSRIPYQGTITTVSRTSNLWRMLQV >RHN80875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41478990:41479415:-1 gene:gene4851 transcript:rna4851 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKKNSGDFFSCGLYEATGDSEADICDPYINMDCDIARSVYDDDNDDAMSCSNDGSAVNEHDHSWCDDDDEKRLEDDEEEKDVVYGTSYCSEDDDDDDDEKNEIHNQQKKKKWYVSFDLGHESMDEMEKNRRFWEACLAS >RHN45090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11662426:11662918:-1 gene:gene39293 transcript:rna39293 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFCCTYMAGEEDGLERCIREGRMRKGKVAMTNSHQKELQAENLYVLKTRKRRDARLGGEGSQSQMDYSQVVDPTQVHEYPGRGEEVSQYYETEKVAHGEDVDGHVHATTPEPEPRQCLRAEVAPAVPIVGPPFPGGPETTLL >RHN75412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42090602:42091999:-1 gene:gene11612 transcript:rna11612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phytosulfokine MEKKSCHPKLFEIKCQNIIPLLLYIAFCWYKHQTIPSTSTLHSPNLKTFFLIEVNMRLSFIFGAQIFFLFFLLSSSILFARELTNEQGPNTKIEVSGDSFVLDLEGDESLKMLGMEKCNIEDEDCMQRRMTLEAHLDYIYTQHHKP >RHN54518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10190236:10194225:1 gene:gene29579 transcript:rna29579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L4/L1e MAAAAAAARPLVTIQTLESEMSTDSPNTLPIPDVMRASIRPDIVNFVHSNISKNSRQPYAVSRKAGHQTSAESWGTGRAVSRIPRVAGGGTHRAGQAAFGNMCRGGRMFAPTRIWRKWHRKINVNQKRYAVVSAIAASAIPSLVLARGHRIETVPEFPLVVGDAAEGVEKTKEAIKVLKQVGAFADAEKAKDSLGIRPGKGKMRNRRYISRKGPLIVYGTDGAKAVKAFRNIPGVEITNVERLNLLKLAPGGHLGRFVIWTKSAFEKLDSIYGTFDKGSEKKKGYVLPRAKMVNSDLTRIINSDEVQSVVRPIKKDVKRATLKKNPLKNLNVMLRLNPYAKTAKRMALLAEAERVKSKKEKFDKKRKIVSKEEASAIKAAGKAWYNTMVSDSDYAEFDNFSKWLGVSQ >RHN38885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2629194:2632553:-1 gene:gene44860 transcript:rna44860 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGGGEAEEGRDLQFTPTWVVALVCTVIVAVSFAVERSLHYLGKVLKKKNQKPLFEALQKIKEELMILGFISLFLTVTQNGMIKICVPVEWTIHMLPCSINGDDEVKETKLPSKSHFQTFFSSKDVFGTAKRLLGDDHDHSSKDEEIGYCTAKGKVPLLSVEALHKLHIFIFVLAVVHVTSCVLTIVFGGLNIRRWKRWEDSIVADENNQSQTPERTDTVTHVHQHAFIKDHFTGFGKDSAIMGWLKSFFKQFYGSVTKLDYVTLRLGFIKTHCRGNPKFNFHKYMNRALEDDFKKVVGISWYLWIFVVIFLLLNVNGWHTYFWIAFIPVILLLAVGTKLEHVIIQLAHEVAEKHSAIQGELIVQPSDDHFWFHRPRIVLFLIHLILFQNSFEIAFFFWIWIQYGFNSCIMGQVKFIYPRLVMGVFIQVLCSYSTLPLYAIVTQMGTHFKRSIFDDQVQTRLIGWAQKAKKKGLRGDSNHSVQGSSHNGGSTNIQLGSMFRRGPAPENNAFVPKNDESV >RHN76024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47058321:47072013:1 gene:gene12295 transcript:rna12295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-RSK-2 family MERLKKSPSSSSQRPSFPRDPRGSLEVFNPTSNSTSPVRSPSHLKTWTETEEQHKDFISTDEVTNTSWMAIKEGETGAAAQRAAEWGLVLRTDAETGKPQGVGVRNSGDDEQNGKFSGKRNSNNSGRVSGDSSDGGDPRGFPRVSEDLKDALSAFQQTFVVSDATKPDYPIMYASAGFFNMTGYTSKEVIGRNCRFLQGADTDPQDVAKIREALEGGKSYCGRLLNYKKDGTPFWNLLTISPIKDDDGNVLKLIGMLVEVNKHTEGSKEKNLRPNGLPESLIRYDARQKEKASSSVSELLQAMKRPRALSESGQRPFIIKSGGCSEEDQEIEKVEHKSRRKSDSVASFRPKSQRKSRSSMERISELPENANKNSHRHSFMGFRRKSQSIDESIDNEVIVDMSSESEDDDRDDSFEFDDKEKLREKRKGLDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQTEVTVQLINYTRTGKKFWNLFHLQPMRDHKGEVQYFIGVQLDGSQHVEPLHNCIKEDTAKEGEQLVKQTAENVGEAVRELPDANQKPDDLWLNHSKVVHPKPHRKDNDAWRAIQKIIENGEQISLKHFRPIKPLGSGDTGSVHLVELEGTGQYFAMKAMDKGVMLNRNKVHRACTEREILDMLDHPFLPALYASFQTKTHVCLITDYYPGGELFLLLDQQPTKVLKEDAVRFYAAEVLIALEYLHCQGIIYRDLKPENVLIQRNGHVSLTDFDLSCLTSCKPQLIIPANEDKKKRKKKKKKGQQKTQQIPTFMAEPMRASNSFVGTEEYIAPEIITGSGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANILHKDLKFPKSKPVSPQAKQLIYWLLHRDPKNRLGSLEGANEIKSHPFFKNVNWALIRCMKPPELDAPILLENDEKKEAKDIDPGLDDLQKNIF >RHN73981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23023790:23024903:-1 gene:gene9897 transcript:rna9897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKMGRNMADIVKFIYVIIIFLSIFFFATNLEAGPICLEDFDCPKSMCWPSFKPRCSNGWCVCDKIMP >RHN59650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12588562:12588762:1 gene:gene21721 transcript:rna21721 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl33 MAKGKDIRITVILECSSCDKKSVNKESRGISRYITQKNRHNTPNRLELRKFCPFCCKHTIHVEIKK >RHN79117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23436509:23437512:-1 gene:gene2833 transcript:rna2833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrapyrrole biosynthesis, uroporphyrinogen III synthase MALTTMLNGGGNTIHNPSVAFTTPQNYASRLSHLLTVNAFKPLWCPTLTIQPTPSTFSPYLSPHSLDPFSAIAFTSRTAIQSFHQAISSLSHPPLSVDGPTFTVAALGKDSELLHKEFLSRICAGSDRVKVLVPPVATPSSLASELGDGGGRRVMCPVPLVVGLEEPPVVPSFLQELRDGGWVPVRVEAYETRWSGPRCAEGIVKAVEEEGLDAVVFTSSAEVEGLLKSLDGFGMGFGDLRRKCPGLVVAAHGPVTAAGAERLGVEVDVVSSKFHSFDGIIDVLNVKLATRFRI >RHN79994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34181911:34182808:1 gene:gene3861 transcript:rna3861 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLPSIVRVGCCNVGLLRLCFPTVCTPVSHGLLTTVVFFTWIGLSETTPIQQACKCSDIMKEMSNKKFEKLNVNLYVEKKGIGVSFFCCCYGDFLLLMYLGFRGKLKGLFVTLVDYFVIV >RHN78940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20141315:20146545:1 gene:gene2609 transcript:rna2609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spermine oxidase transcription regulator Homeodomain-LIKE family MDPHFYFTPPSLSPPSPQLNPNPNPNNIQSDSSPNLDQNPNPNLTNYLGLPVPKKRRRGRSQRNPSSSFRSPLNNDLSSSAVAPPPPSTEDFSDDIIMINKEAKAEAMIALTAGFPADSLSEEEIEAGVIPVIGGIEQVNYTLVRNHIIAKWRENVSVWVTKAMFIDYIPQHYHSLLDSAYNYLVSHGYINFGVASAIKDKMPTEPSKPGVIIIGAGLAGLAAARQLMRFGFKVTVLEGRKRAGGRVYTKKMEVGSRVGAVAELGGSVLTGTLGNPLGIVARQLGDVLHKVRDKCPLYSVDGKPVDPDMDAKVESAFNRLLDKISRLRQSMGEVSNDVSLGAALQTFSQVYKDAVSDEEMKLFNWHLANLEYANAGLLSHLSLAFWDQDDPYDMGGDHCFLPGGNVKLVQALAENVPILYEKTVNTIRYGSDGVQVIAGSQVFEGDMALCTVPLGVLKKGSIKFIPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWEMDLDTFGHLSDDPSRRGEFFLFYSYATVAGGPLLIALVAGEAAHKFESMPPTDAVTKVLQILKGIYEPKGINVPEPIQTVCTRWGSDPFCFGSYSNVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFLSGLREAANMAHHANIRSMNVKVEKTPSSAYSCASLLADLFREPDVEFGSFSIIFAQKNGDPKSPAILRVTFGEHKKKYHEVSRQDQQQHSNKLLFQQLQSHFNQQQQQQLHIYTLLSRQQALDLREVRGGDEIRLNYLSEKLGVKLVGRKGLGPNADSIIASIKAERENRKPVSTSMAHKPGVSNLKTDIMKRKIIRKAKVVKKSNGSIPHASMNVRSASKVPEENQIIDQVLPDVPVSGNNQNDLSKSNP >RHN78017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11277029:11289142:-1 gene:gene1531 transcript:rna1531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase II-associated protein MLHTPSKQAQVMEKPNGEAKKKVKILNTSSIQLSQQQQQQQQQIAFQLVGSIVEKGIDDSGTQKKTTTAYSFPKPTVVPFPVARHRSHGPHWRPLNSKGGYDHDNDDGDNDVEDEDDTDFMGFEKAAAFANPVQRKKTKGMDFGKWREFTQDDKSFLGKDLEKDVSSYGPTTGRKKNENGGKNTSKKISSHSDGSVFASTEVDAKPQLVKLDGGFINSATSMELDTSNKDDKKEVFAAERDKIFSNRMTDHSSTSEKNYFMHEQESTSLEREIDSENRARIQQMSTEEIEEAKADIMEKISPALLKVLQKRGKEKLKKPNSLKSEVGAVTESVNQQVQSTQGAKHLQTEDDISHTIMAPPSKKQLDDKNVSGKTSTTTSSSSWNAWSNRVEAIRELRFSLAGDVVDTEQEPVYDNIAERDYLRTEGDPGAAGYTIKEALEITRSVIPGQRALGLHLLSSVLDKALCCICKDRTGNMTKKGNKVDKSVDWEAVWTYALGPQPELALSLRMCLDDNHNSVVLACAKVVQSALSCDVNENYFDISENMATYDKDICTAPVFRSRPDISLGFLQGGYWKYSAKPSNIQPFSEDSMDNESDDKHTIQDDVFVAGQDFTAGLVRMGILPRLRYLLETDPTAALEECIVSILIAIVRHSPSCANAVLKCERLIQTIVQRFTVGNFEIRSSMIKSVKLLKVLARLDRKTCLEFIKNGYFNAMTWNLYQLPLSIDDWLKLGKEKCKLKSALTIEQLRFWRVCIRYGYCVSHFSQIFPALCFWLDLPSFEKLTKNNVLNESTCISREAYLVLESLAERLPNLFSQQCLTNQHPESTDDAEFWSWSYVGPMVDLAIKWIATRSDPEVYKLFEGQEEGVNHFTLGDLSATPLLWVYAAVTHMLFRVLEKVTLGDDISLQEANGHVPWLPEFVPKIGLELINYWHLGFSVASVTKSGRDSGDESFMKELIHLRQKGDIEMSLASTCCLNGIINVITKIDNLIRSAKTGICNPPGTEQSQSKEGKVLEEGIVSRCLVELRSMLDVFTFSASSGWQRMQSIEIFGRGGPAPGMGVGWGAHGGGFWSKTVLPVQTDARLLVCLLQIFENTSNDAPETEQMTFSMQRVNTALGLCLTAGPADMVVIEKTLDLLFHVSILKYLDLCIQNFLLNRRGKAFGWKYEDDDYMHFSRMLSSHFRSRWLSVRVKSKAVDGSSSSGVKATPKADVRLDTIYEDSDMSSTTSPCCNSLMIEWARQNLPLPVHFYLSPISTIPLTKRAGPQKVGSVHNPHDPANLLEVAKCGLFFVLGIETMSSFIGTGIPSPIQRVSLTWKLHSLSVNFLVGMEILEQDQGRETFEALQDLYGELLDKERFNQNKEAISDDKKHIEFLRFKSDIHESYSTFIEELVEQFSSISYGDLIFGRQVSVYLHRCVESSIRLATWNTLSNARVLELLPPLEKCFSGAEGYLEPAEDNEEILEAYAKSWVSDALDRAEIRGSVSYTMAVHHLSSFIFNACPVDKLLLRNRLVRSLLRDYAGKQQHEGMLMNLISHNKQSTSNMDEQLDGLLHEESWLESRMKVLNEACEGNSSLLTQVKKLKDAAEKNSL >RHN68635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37315798:37318071:1 gene:gene17011 transcript:rna17011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphate-induced protein MTSSTSFSVLLSLFLFISLLHLSSAARKLTQNDQQLKFQYHKGPLLTGKISINLIWYGKFNPTQRAIISDFIASISSPTIKPQPSVAMWWKLTDKYYQLANSQNLVLTTGSHVLDENYSFGKSLTNDQIIKLASKGSQTNAINVVLTSADVVVDGFCSGRCGKHGSSVNHKFAYVWVGNSETQCPGQCAWPFHQPIYGPQSPPLVAPNNDVGLDGMVINVASLLAGALTNPFGNGYYQGPKEAPLEAASACTGVYGKGAYPGYAGNLLVDPTSGASYNANGINGRKYLLPALFDPTTSVCSTLV >RHN43000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42485863:42486854:1 gene:gene49518 transcript:rna49518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain, hAT-like transposase, RNase-H MAERMMSKFDKFWNEYSVVLALGAVLDPRIKFSTLAYCYSKLDASTCERKLQQVRTKLYMLFGKYSSQRTSSGMQRTVQGQSSTMSLQKNSKSLSHGIFGELKMHHQQLVTGKSQLDVYLDESSLDFRCYEDMDVLEWWKSNYN >RHN40203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14189161:14198032:1 gene:gene46325 transcript:rna46325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative folate-sensitive fragile site protein Fra10Ac1 MTSLGSLKSTIFDREERKQQYQAHILGLNAYDRHKKFINDYVTFYGKEEKPSTLKLPIKTDKDTLREGYRFIRSEEDDMDPSWEQRLVKRYYAKLFKEYCLADMSQYKSGKIGLRWRTEKEVMSGKGQFLCGNKHCNEKDGLASYEVNFCYFEAGENKQALVKLVACERCAGKLNYKRQKEKEQLEKRQQKHDRRKRSRSKSDDDDLDESQESEERRRKGKRALVSSSDHKIDEDDDNIDEFLEGMFP >RHN61502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35983926:35988248:1 gene:gene23975 transcript:rna23975 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LAX5 MEMANDKVAETVIVGNYVEMESEGKPPQDIKSKLSNFLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGILGSWTAYLISILYVEYRTRKEREKVNFRSHVIQWFEVLDGLLGKHWRNVGLGFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLTIAAVLHGQVEGVKHSGPNKIILYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLLATLYVLTLTIPSATAVYWAFGDMLLNHSNAFALLPKSPFRDMAVILMLIHQFITFGFACTPLYFVWEKTVGMHECKSLCKRALVRLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHIFTFKSSSARQNAVEQPPKFVGRWVGTFVINVFIVVWVLIVGFGFGGWASMVNFVHQIDTFGLFTKCYQCPPPTPSVPTMPPHQMNATAPSPHHHHH >RHN46274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30325658:30326794:-1 gene:gene40743 transcript:rna40743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MEGLMKMLCLVGFVVLVAGIHSVESAGECGRGTTPDMEAFKLAPCASAAQDENASVSQTCCAQTKKLGQNPSCLCAVLLSNVAKMSGVNPQIAVTIPKRCNFANRPVGYKCGPYTLP >RHN68629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37288732:37291873:1 gene:gene17005 transcript:rna17005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphate-induced protein MASSFQSLLKFFLLISIFYLSSAARNLNELVQDQSQLLHYHNGPLLYGKISVNLIWYGNFKPSQKAIITDFFTSLSSPSSSKPNQPSVSAWWKTTEKYYHLTSKKKSTQLSLSLNKQILDENYSLGKSLTNKNIIQLASKGEHKDSINVVLTSSDVSVERFCMDRCGTHGSSSSLVPRKGKVAKFAYIWVGNSETQCPGLCAWPFHQPIYGPQSAPLVAPNNDVGLDGMVINLASLLAGTATNPFGNGYFQGPSEAPLEAASACPGVYGKGAYPGYAGDLLVDSTTGASFNAHGDNGRKYLLPALYDPSTLSCSTLV >RHN75785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45022487:45024171:-1 gene:gene12034 transcript:rna12034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MALTTTTPLALILLTLIPFLTPQIQAQAPAPAPSGPINITTIFEKAGQYNFLIRLLNETQQLTQIQTQLNSTSEGFTIFAPTDNAFQNLPSGAINDLSDQQKVQLILYHVTPKYYSLSDFLTVSNPVRTQASGKEGNWGLHFTSQGNQVNVSTGVVTVPINNALRQQFPLAVYQLDKVLLPSELFGAKSPSSSPAPKSSKTPSKTPSSSDVEGDAPSPASSKKDDSAAGRNVGFGFVAGLGLICMGVLL >RHN49092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52341595:52344386:-1 gene:gene43882 transcript:rna43882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Two pore domain potassium channel, EF-hand domain pair MGPHKTQLKTKSMTLFPKHFNIHTHNTRHQISSFIFFSLVQFHVLFFFSSMEKTEPFLLINRQNLQPFISSKSFNDIATLQQQQQQPPPQSSEIQSINFTPQQQSFSHHKQKKLSRCKTAPAMFILPHLKPINDQPQLPKPQTNSIIRQGIWLLLIYLSVGVIIYSFNTKNFSGIETHPVVDALYFCIVTMCTIGYGDIAPLTPMTKLFACVFVLVGFGFIDILLSGLVNFVLDLQENTILTGLQMGAREGFTARDYIVDVAKGRMRIRLKVGLALGVVVLCIGVGSLVLCFVEGLDWVDSIYLAVMSVTTVGYGDRAFKTLPGRLFAAIWLLFSTLMVARAFLYLAEARIDRRHRRLAKKVLHREITIEDWLAADINNTGFISKSEYVIFKLKEMGKIQDKDVMQICDQFRKLDPSNCGKITLPHLLEGRS >RHN81698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48231415:48239285:1 gene:gene5778 transcript:rna5778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin interacting, EF-hand domain pair MADQGEDEDLQMAIRMSMTPEPKRNKPRDEVAGVVSGLPEDSPESKTRRRELMAAAAEKRMAAVVRVSPLPSRLPASPSPAVKEEKKGGEVGIVKREEELVFKGESFSKELSAEEANKLFVMVFGSEVSKGILAQWCNQGIRFSSDPETSMGLVQHEGGPCGVLATIQAFVLKYIIFFSDELKELSRMPQNRGLGVSSKSHPAPSYNISSLTDGVKVRALVRSMGEILFSCGNNKRAVIATLSIPGNDSQRFEGISEEEVIASSLKALSIDSALDLLKVLRVETHTSETTALQRLEANIPLFQSRMGALLFLISALLSRGLDLVQSDRDDPSLPLVTAPFGHASQEIVNLLLCGQAVPNVFDGRMDLGGGMFLKGISRTVEVGFLTLLESLNFCKVGQFLKTPKWPIWVVGSESHYTVLFALDPTVQNENELEGRETQIRKAFDAQDQSGGGGFISVEGFHQVIRETNIKLPADKLDNLCSAGFIVWSEFWQVILDLDKSLGGLKDSSGLMGKKVFDLFHFNGIAKSDLNGSQVSFGGETPLQRPRLTKLSVSVPPRWTPEEFMTNVQGPSASGASDSAGKDTEVSKPEPTQHAPLVDCIRTRWARAVCSWSGDPPSIV >RHN57553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39987852:39989916:1 gene:gene33117 transcript:rna33117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MTTISLRKGNTRLPPEVNRVLYVRNLPFNITSEEMYDIFGKYGAIRQIRIGTNKDTRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMSKKFDQKKKEDEIARMQEKYGVSTKDK >RHN46474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32068167:32074352:-1 gene:gene40963 transcript:rna40963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-lipid Delta(12)-acetylenase MATWVLSECGLKSLAPVFPKPRTGFAVSNNSDAKFLSTNKEVTNLKFQFQPKSFDFKEKRKWGLNVSTPLRVDSIEQEHEHEQEQELPEFDPGSPPPFSLADIRAAIPKHCWVKDSWKSMSYVLRDVVIVFGLAAAAAFLNNWMVWPLYWAAQGTMFWALFVLGHDCGHGSFSSDPNLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLTEKIFKSLDNVTRSLRFTAPFPLLAYPVYLWARSPGKTGSHFHPSSELFLPSEKKDIITSTACWTAMVALLVGLGFTMGPIPLLMLYGVPYAIFVMWLDLVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGWLNNIHHDIGTHVVHHLFPQIPHYHLVEATEAARPVFGEYYKEPKKSAPLPFHLIGEFIRSLKKDHYVSDTGDIVYYQTDSEISGSSKSE >RHN42254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36739424:36740394:-1 gene:gene48671 transcript:rna48671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MYAKCGDVGSAGKVFDEMPQRDAFSWNSMMACYVCNGFYEKGLGMLDCLGMFEPDVDPIVISWTTLISGYTGVGKHVVALELFREMVNVGMILPDVGALSGILVSCRFLGDLSSGREIHGYGIRNMLWNNAFYKSAGPALLTLYARCSRLHDAEKVFRMMDKSDVVTWNAMILGYIDMGLGHLALEHFREMQGKGVRIDNTTISTILPVCDLRCGKQIHAYIRKSNFNCTVEVYNALVHMYSIRGCIEYSYSVFSTMVKKDLVSWNTIIGGFAMHGLGQTSVKLLQEMSDSGISPD >RHN45204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12889294:12892474:1 gene:gene39433 transcript:rna39433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MKSLMVYSNWSKQSFITQQISTFHLVGFVTQIFYSQSWCPYRYKVPTFLNLNRMKIVFQLTNDWLGKWKWLIEVLQHCPKLQNLIIHERSTYENEVGDDDWMDPPIVSKCLLSELRTCSLIGYKGMKSEFQFAEYILKNAKVLHTMTITASPVDINIKHQMLTKLYLCLRGSHLIEEG >RHN82145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51522364:51523026:-1 gene:gene6271 transcript:rna6271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MSNESNLQVTFSKRRSGLFKKASELCTICGADVALVVFSPGEKVFSFGQPNVDTLIDRYLSQVPPKNNGTMQFIKAHRRSNVRGLNSQLTQINQLLHNEKKRAEELRHLRKATETQFWWAGPVNGMSRDQLEFFKKALEALSKLVAYHADRLVIQSAPTQIFPFFVGNGSSFNMPLDHQSNPPQTQMFPTQFFQNPMLQPHLFGFNNVRGGGGYGPFRFF >RHN80121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35296941:35297096:-1 gene:gene4008 transcript:rna4008 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S11 MQRAEVIIKGPGLGRDAALRAIRRSGILLRFIGDVTPMPHNGCRAPKKRRV >RHN66409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11986145:11987332:1 gene:gene14380 transcript:rna14380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAWSRNPLPTLTSPPPSLSFGNSIHAPPLPTLPFDVIPEILCFLPVKFLLRSRCVCKSWNSLISDPKFAKKHFCMSSRQIYFISYKRLPTKYKFMSYTLDSLFTERATLQDVFEYSPNNCLGDRHVMNIYRSVCSCNGILCIADANDKGLIILLNPSIRKFKELPLLETPQSAMYGEFQTTLGFGYDSCTDNYKVVVLMRYEMRVGSGDYVYKTEVKIHILGTDFWKNIQEFPFGVVPIGQSKFVSGTINWLTSIDLDPKSPRFIVSFDLGKESFRKVLPPDNGGADIFLALGVLRDCLCLTSRDDTYFVKDVWVMREYGNRESWTKLFNVSYRGDPILSVIGEPLYIFEDNKVLLKFPGYFILKLIDSSNGTITSTEFYDSPEVCTKSLISPCS >RHN72168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5515430:5517095:1 gene:gene7850 transcript:rna7850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Oil body-associated protein MASTDKSPNPTPAKGSDPTPGEAMTMEQHVVDKSAMMVQSFKPINQMSQHVCTFAMYSHDMSRQIQTHHYCSRLTEQFLQCAVYDSDDPNKGRLIGVEYIISDDIFETLPPEEQKLWHSHAYEIKSGLWVNPRVPEMIGMPELESLAKTYGKFWCTWQVDRGDRLPLGAPALMMSPQAVSPGLVRPDILHERDTKYKISSESLKSSRIEIPEPEMIHPMADYWKQHGKGFVIDFEETEMKKRAPFP >RHN47681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41515541:41526858:-1 gene:gene42306 transcript:rna42306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MTEVSMCYINSSELSPDEERIMIRDIALSSQTTPKEGDTFFIITQRWWQHWIEYVNQDQANPSYDGSSFPEHSDLVSSSAIKRPAGIDNYDLIDNTGSEDSSTGIEIHDTLLEGRDYVLLPREVWDQLFKWYGGGPTLERKVISSGLSQTEFAVEVYPLRLQLLVLTRNVRSTIRISKKETIGQLHKRACEIFDLLLDQVRIWDYYGHRKHALMNDMDKTLDDVNLQMDQDILVEVVNNTSSAQENGSVHREANSVLVEPTKSSVSTAGGLSTSKGASRGNNVEYYSSQKLNSPVRDSENPYGTLGVTTRGSFGGLIGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYHQEINFQNPLGMVGELALAFGELLRKLWAPGRTPIAPRPFKAKLARFAPQFSGHNQHDSQELLAFLLDGLHEDLNRVKHKPYIKSRDADGRPDEEVADEYWANHISRNDSIIVDVCQGQYKSTLVCPVCNKVSVTFDPFMYLSLPLQSTTNRTMTVTVFSCDSITLPSPCTVTVPKQGRCRDLIQALSNSCSLKHNERIVLVEIRNHLIHRYFEDPLQLLSSIKDDDRLAAYKITKMDKNTKYLQLIHRRREQSSDSHTISGWKPYGTPIVSLISSDDKITRGDIQVMVNRILSPLLLKGDNAQQAASAETNVVSNSINKDDTVSKATHLPTLPLLLVDDNNACIDLSMGEEKVVKLSPSSARVLVYIDWSQKLLEKYDTRPLETLPEVLKYGPVTKKARTEPLSLYTCLEAFLREEPLVPEDMWYCPKCKERRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVNFPIHDFDLTNYIANKNNSRRQVYELYALTNHYGSMGSGHYTAHIKLLDENRWYNFDDSHISLISEDDVNTAAAYVLFYRRVKTDDDIVSNGV >RHN52916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40329455:40334133:1 gene:gene37653 transcript:rna37653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-PERK-1 family MSTAPAPSSPPAGNATAPPPATPTAPPPATPSSPPPATPSSPPPATPSSPPPSTPANPPPVTPSAPPPSTPATPSSPPPSTTPSAPPPSTPSNSPPSPPTTPAISPPSGGGTTPSPPSRTPPSSDDSPSPPSSKTPPPPSPPSSSSISTGTVIGIAVGAVVVLVFFSICCICFRKKKRRRRDEEYYGQQNYQQPPPAQRPKVEPYGGPPQQWQNNAHPPSDHVVSKPPPPAPIPPRPPSHVAPPPPPPAFISSSGGSGSNYSGGELLPPPSPGIAFSSGKSTFTYEELARATDGFSDANLLGQGGFGYVHRGILPNGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHKHLVSLVGYCSTGFQRLLVYEFVPNNTLEFHLHGKGRPTMDWSTRLRIALGSAKGLAYLHEDCHPKIIHRDIKAANILLDFKFEAKVADFGLAKIASDLNTHVSTRVMGTFGYLAPEYAASGKLTDKSDVFSYGVMLLELLTGRRPVDKDQTYMDDSLVEWARPLLMRALEEDNLDSLIDPRLQNDFDPNEMTRMVACAAACTRHSAKRRPKMSQVVRALEGDVSLADLNEGVRPGHSSVYNSHESSDYDTQQYKEDMIKFRKMALGTQEYAGSSEYSGATSEYGLNPSGSSSENQSRQTTREMGQTTREMEMRKMKNGQGFSGSS >RHN73261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14891141:14891833:-1 gene:gene9058 transcript:rna9058 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MSKAFLCNNAFRISTTTTLYNAPFLFSLKFLTTTSNPFTVSYLIDKFGFSHEFALKASKELYFKTSQKPDSVLNFFKNHGFNDLDIRRIVKIEPWLLSCNTHLTILPKFQFLISKGASSSDIVRMVVGNPKFLQFSLKTHEIKFEYFLSKGS >RHN71236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57516206:57519256:1 gene:gene19892 transcript:rna19892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MEAEHENSGGGGGLYVKVIMTDEQMELLRQQISVYTTISDQLVEMHKSITTQHDLAGLRLGNLYCDPLMMACSGHKITSRQRWTPMPIQLQILERIFDEGNGTPTKQKIKDITIELGQHGQISETNVYNWFQNRRARSKRKQSSVPAGNHAEPEADTEVESPKENKTCEESDQVQFFESLSPHRVKDMYLQSPDIGFEHLLGKIEVAGCYNSYFL >RHN49058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52144734:52145319:1 gene:gene43845 transcript:rna43845 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKVEITDGNLHLSWRNYGVKRTTDDPDLISQFSKRHNLKITIDDDEDCGEDVALTHAAVVSENVKMIEGGDSNKTELDQKEGEKTPCSKSGEKRSADADVSAEVGIDILGERSINKPRKLKSVKIEKAA >RHN76421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50110733:50113132:-1 gene:gene12744 transcript:rna12744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB family MDDIDDDAIARYPSNQYGVGYPNNQGYGYLKHPLRNSSYSHPAANESTRDYDHVDDEDRLGGNDDEDRLGGDDDEDRLGGDDDDDDDVVDEDRLGGDDDVVVHDDDDDDQHSLKQADDDDSDGGGDENDDNDRLSEEYGYLKDSARNSPYSQPFVNEGNNDEDEEDEERLVEEDDDVVDIDQNNNGQLSLKHADEEDSNDEDGDRDENIDNNDGGNDNKQESYAMMNEDELEWRPKKQKLKSLMSTYEFAARVPTPSAAAAKRSFGVRNSLTEWTEKETFVLLDAWGDRFLQHRRKSLRSEEWQQVAEKVSVVSKVERTGTQCRNRLDTLKKKYKKDKIKFQDMGGGDRKWVYFQKMDKLMSSPPQQARLSCRSNSRERIFMKPGVYVNHANEMDDMKKDSPPENTEYVRVEGFEGPRPKKRRKGRGSDEASSFKLLADSIQNFGKIYEKIENSKRQQMMELEKMRMDFLNDLEAQKRQIFERLQSEILKIEQRDD >RHN74876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37373754:37392959:-1 gene:gene11006 transcript:rna11006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MFDLTRNYLGGTIPKEWGSMMNINKISLIGNRLTGSIPVEIANITTLQDLELWNNQLSGNLPPELGYLSQIRRLQISSNNFTGELPATLAKLTTLIDFKISDNQFSGKIPDFIQNWTNISELVIQGSGLSGPIPSGISLLRNLTDLRISDLKGSDHAPLPQLNNMTLLETLILRNCNINGTLPEYLGNMTTLIRLDVSFNNISGTIPSIYATNNSLRYIFLTGNNLTGLVPSWRKNIYVDLSYNNFNISQESQICHDEKVNLFSTSSARNDLETACLRFECPKPSYSLYINCGGSRAKVNKTSYDDDSDSPGPARFYRSPTGNWALSTTGIYIDSDQLQINYSPKNITRLTMVDAELYTNARVSPISLTYYGFCLANGRYTVYLHFAEIMFTDDDSYGSLGRRVFDIYLQGKPVKKEFNIAEEAGGVGKKVMKQFKDVVVTSNTLEIRLYWAGKGTQSLPNRSVYGPLISAISIESGGLYLAHF >RHN53891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5069598:5071745:-1 gene:gene28852 transcript:rna28852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MGLNDQDSLHLVLGLSLNTSTTPKEITTTTPMNPYSTSNEPSLTLGLSGESYNLISHKQATKGYGEELCRQTSSPHSVVNSSFSSGRVLQVKRERDEEEEEVEEERVSSRVSDEDEDATNARKKLRLTKEQSLLLEESFKLHSTLNPKQKQALARQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENRRLKKELQELKSLKVAQPLYMPMPAATLSICPSCERLGRVADGGGGSNKITAFTMAPNTHFYNPFNNPSAAC >RHN39560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8202917:8203927:1 gene:gene45591 transcript:rna45591 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVLKELFTGIQQTLSERFWVKNCKNKTSLLNHMKTTRR >RHN64798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61965858:61967117:1 gene:gene27657 transcript:rna27657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MALFWFLHLYLSQFKWITALLQKHSEIRMRGIDLPDDLIVEVLSLLPVKSLLQLKCVNKSWNSLISDPKFVKLHLQLSTPNRNLALVQYDRPDCRVLTFPLNHLLHNPSTTIPTHQFICKDNIQFQVIGSCHGLICLLRKSYTSDHTNIHFRFWNPATRVISKELGSFQQSNYHAHDRHRYIFGYDNFTGSYKVVLMCSGKVKIFNIGDNIWTEISSFPRFDHDVSLGSDRVNNGVYLNGTVNWIAFQDDLSCSTYSWMQRDTTLEQYMIILLDLGTETYKQLQPPRGDGVNLVVPRFEPTIAVLMDCLCFSHYVKRTHFIIWKMTKFGFEQSWTQFLKISFQNLQVDNNFSDWNQYQTFMFPLCLSENGETLILASSLPGLCQVILYNLRRNRVERTKDLGRIWSFAKDYVESLASIR >RHN70204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49643360:49648226:1 gene:gene18760 transcript:rna18760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PWWP domain-containing protein MESGKNQITEAGSLGHGDMIHVKLPGGSWWPAQVVNDEAILQSFQPRKCRTGEVLVRIYGSQEFLNVNPVRSCSEFELILKNNNADLRKILEEGLQKDLPSSKNKPASKAKGMPSEKTDSKSKSNKKVEEQTPAKRQKQNKESKDGDLASPSCETTASGKLQELSSRRIRVMQSLGLVAPLGSPFTKDRRNCNKIP >RHN50746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10067276:10073120:1 gene:gene35071 transcript:rna35071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA polymerase III, clamp loader complex, gamma/delta/delta subunit MLWVDKYRPKTLDNALVHNDTAQNLKKLVSEHDCPHLLFYGPSGSGKKTLIMALLRQMFGPGAEKVKVENRAWKVDAGSRSIDLELTTLSSANHIEMTPSDAGFQDRYIVQEIIKEMAKNRPIDTKGKKGFKVLVLNDVDKLSREAQHSLRRTMEKYSAYCRLILCCNSSSRVTEAIRSRCLNVRINAPSEEQIVEVLQFIGKKEGLQLPSSFAARIAEKSNRNLRRAILSFETCRVQQYPFTDKQTIPPMDWEEYISEIASDIMKEQSPKRLFQVRGKLYELLINCIPPGIILKRLLYELLRKLDAELKHEVCHWAAYYEHRMRLGQKAIFHIEAFVAKFMSIYKSFLIATFG >RHN59517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11613134:11615210:1 gene:gene21558 transcript:rna21558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MGENSGLGADNWEFASCSSMSTEAKTLVLFGRTGNGKSATGNSILGKKVFKSRTSSSGVTTSCEMKTTELNDGQIVNVIDTPGLFDFSVGIELLGKEIVKCIDLAKDGIHALIVVFSVRTRFTEEEESALRSVQKLFGSKIVDYMIIVFTGGDELEATNETLDQYLGRDCPEPLKAILSLCGNRCVLFDNKTKDEKKQSEQVQQLLSFVNVVISQNGGRPYTDELFKELKKREMELQKQQREADALKGCTKEDILEHKKQSEQAYNDQLHRITEMVESKLRAATTRLEEQLAKEQAARLEAEKYAHAAQMKSDEEILKLRRNLERAHEELRLRAQDTSFKKLIRHFEQAQEELRRRVPEDRCAIL >RHN45087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11625203:11629339:1 gene:gene39290 transcript:rna39290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MYYITKKKYQVPTFLNLTNLKIVFQVTHKYWPKKWKWLTEVLHHCPKLQNLTIHEGSSDRNKIEDVYRMDTPIVPECLSSQLKTCSLKGYRGVNCDFQFAKYILKNAKVLQIMTINASSMDINIKHQILIKLSLCQRGSTTCKISFD >RHN62359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42906079:42906639:-1 gene:gene24925 transcript:rna24925 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRRDLPSEEEKTGGKSRILAKESNRSNLLSTVNKKTTLVSTVTLQSF >RHN54502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10109790:10110137:-1 gene:gene29557 transcript:rna29557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-heme dioxygenase domain, isopenicillin N synthase MTGETIPYIDLEKISEQFECNKLRNACEKWGCFRIINHSIPLTLMDEMKMVVVALHDLPMDIKKNNKDVIPGSGYFGPSVFNPLIESVGLYDMGSSQAIQDFCSQLNATPHQRYA >RHN77928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10580926:10583074:1 gene:gene1435 transcript:rna1435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MKFMEKFELLRHMSLVSLIVLILCIITPPIFATRNCDFPAIFSFGASNVDTGGLAAAFQAPPSPYGETYFHRSTGRFSDGRIILDFIAQSFGLPYLSPYLNSLGSNFTHGANFATGGSTINIPNSIIPNGIFSPFSLQIQYIQFKDFISKTNLIRDQGGVFATLIPKEDYFSKALYTFDIGQNDLIGGYFGNKTIKQVNATVPDIVNNFIVNIKNIYNLGARSFWIHSTVPSGCTPTILANFPSAIKDSYGCAKQYNEVSQYFNLKLKKALAQLRVDLPLAAITYVDIYSPKYSLFQNPKKYGFELPHVACCGYGGKYNIRVGCGETININGTKIVAGSCKNPSTRIIWDGSHFTEAANKIVFDQISTGAFSDPPISLNRACTSFVVY >RHN70401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51189676:51192326:1 gene:gene18978 transcript:rna18978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MRFIHYKMINSYSICQMQREKTNMTKTLKFFYAMILFLSLFLVAKEIEGCEDDSDCPQIFNFHPFICKCINNECEKVILQKGYMSMKPKILHKRYTRKNEFLH >RHN55761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22732875:22734269:-1 gene:gene31004 transcript:rna31004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative magnesium transporter NIPA MYCILLFAGGYVHRVSDLTFGVDLKQIRLMGILQRENIVNSGSYMLYAETCYPPLVQYVTYNRLGPIRTMGCPSYYVPPLPCMCTGFDRDPYQFQSTPLIPFGFFGDENASGCSVMCFIVVLSGTIMLHLTKDFERSHSFRGGGLPSSPTLSVRFYTGNEDSLLKEDEENKSPEDMCSRRQDLC >RHN50917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11789770:11790390:-1 gene:gene35270 transcript:rna35270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MSSSRGGNSNSRGLHSFSISRNVARVAAARNLELMESMLPACGCYLPMKMYISTTYENQGRRFWKCRNWNMRSAHTCELFIWDDDIIPGVTPMIHVETVMDVSVEQERSGRSGVEAINSQQACSKCSNIEEVMKTFESIEVDKWKTKYSVERNKVMWMALALMISFFFFFFVCLC >RHN64400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58764455:58765272:-1 gene:gene27216 transcript:rna27216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain, Cell wall/vacuolar inhibitor of fructosidase MMKMNALALAIFLCIIVSCHCTNINQKTNNATLIQQTCKQTPNYALCIKYLKSDPRTSDADLVNYALYMVDRIKTTTITAYNKVTQLLKGGHELYQTEALSSCAGRYRSILVDVVPKSIAALKQGDPKVAEDGANDAANDATTCENGFKGKSPISDENIDMHDVAVITAAIYVM >RHN51271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15618827:15619102:1 gene:gene35683 transcript:rna35683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S15 MIKNSFIPVISQKKKKEENPESVEFQVFNFHNKILRLTSHLELHPKDYLSQRGLHIIIGKRQRLLSYLSKKNKIRYKKLINQLGIRDSQIR >RHN48279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46264944:46266386:1 gene:gene42980 transcript:rna42980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MFSILSLNRLPKMSSSSHKKRRLRKSSKVDRISDLPDSILCHILYFLPTKLAATTSVLSKRWKLLWLSVLAFDFDSSRFKTSDLFLRVVYSTIYRRDIALPIHSFHLKSRSWDIQKDVNQFVYLVGQRGILNLCLDLSKICRYIIELPTTILSSGTLKVLKLRNLIVGDNSQVDLHLPSLKTLHLNRVDFECHEHLMKILLSCPILEDLETKLCCVMDFQSRFSDEFAAFPNLIKARITEFYIPLSMVCKAKTLHIEVPMFTNCKHLPMFESLTYLKLSLSFKVWYPKWKWLMRMLKLSPKLQNLIIKDNEDLEEKIDECWKDPPNIPECLSSQLKTCRIRVFKGTQYDLQFAIYIMENSKVLETMRINSIRSLDINEKYQLLAKLSSSTRGSTTCKLLFN >RHN65777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5241515:5247761:1 gene:gene13666 transcript:rna13666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MESFVSETALSTCVKVLLNKIVSSEFMDTYRKTNLDIPLLEKLQTELLNFEVVPNDDAVSVHVWLNRLKNAVSNVNYLFGYIKYALRCKLEARYETVLTSTSQVLNDLSSQHRSVINIIKELKGLRSGCVSVSNSSSVLLKTSTTSVVVDESCIYGRDNDINKLKHLLLSNDGDEYDSKIRVIFIVGMAGIGKSALARVLYNDPQVKEKFRVMPKHDFYVFRESKHYHTLWVLENILESLPSQTVSGDNLNAVYPHFLLVLDDMIDTRSVNWTLLMDIFNAEKTRRTIIITTRDERVPKSMQNFSYVHYMRPLESEDCWSIVARHALGECNNQQRSNLEEIGRKIAIKCDGLPLAAVALGYVLRNELSLDYWNNGLRRDIWDYVLQPSLRLSYNYFSVPLKLCFKYCSIFPKKSILEKNVVVQLWIAEGWVVSSADKEKVGEEYFDELVSRSWIHRQSIGNEEANFEMHSLIHYFATMVSSSYCTRLDEQNLHEKIRHLSYNRGLYDSFNKFDKLFGVKDLHTFLALPLQKRLPFSLLSNKVVHDLLPTMKQLRVLSLSNYRSITEVPNSIEKMLYLRYLNLSHTEIERLPSATCKLYNLQFLLLAGCRRLIELPEDMGKLINLRHLDVSDTALSEMPVQIAKLENLHSLSDFIVNKHNDGLKLAELGKFHNLHGKLSISQLQNVNDTFEADQAKIKKKKRIKDLSLEWDHGTSVPDSPIQCVVLQHLQPSTNLKSLTIKGYGGISFPNWLGDFSFTNLMYLKISNCDDCLWLPPLGQLGNLKKLIIEGMQSVQTIGTEFYGSDGFPSFQPFPSLETLHFENMQEWEKWNLIGGTAIEFPCLKSLSLSKCPKLRVGNIPAKFLSLVEFELRECPLLVQSMPSSDHVFRQPVFPLNSLQQLTIDVFPSPMSFPTVSLPKTLKSLIISNCDNLEFLPHEYWHHYTSLEELKISYSCNSMISFTLGALPVLKSLFIEGCKNLKSILIAEDGSQKSLSFLRSIKIWDCNELETFPPGGLHTPNLIHFVVWKCQKLQSLPEAMNTLTDLQEMEIDDLPNLQSFVVDDLPISLRELTVGSVGGIMWNTEPAWEHLTCLSVLRINGNATVNTLMVPVLPASLVTLCISGLNDKSIDGKWLQHLTSLQDLEIVNAPKLKSLPKKGLPSSLLVLNMTRCPLLKASLGRKRGKEWRKIAHIPSIIIDDELIT >RHN49588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55819235:55824070:1 gene:gene44434 transcript:rna44434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MIMQNMNLFKLCSALRVLGYFMILLFAAIVILTYYAVVFVTWGPLLFPLSSSSSFFSAFFILLLFHTLLLLLTWSYFMAVFNDPGSVPLNWTPLPQLPAVAVPPPSNVEFELEEAASTTTPSTGRYCTRCQNAKPPRCHHCSICQRCVLKMDHHCIWVVNCVGARTYKYFLLFLLYTFLETTLVCLALIPSFLRFFGVGGAKNHKLSPGGFSAIFLASILNLAFALSLLCFIVMHLSLLLSNTTSVEVHEKKKGVRWRYDVGRKKNFEQVFGTKKALWLFPLFSEEDLENIPALRGIEFPTRSDVDV >RHN68962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39891408:39894516:-1 gene:gene17387 transcript:rna17387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MNPMQERSTTKAAAKLLRRAKGKKKRGLRVNDVQVAETPSEFSFAIAKTAVSQICRSVGYKRSKFNALEALTNVTTKYIEAIARSAASFANASNRTESNFFDLINGIHDLCSVRGFTGGSKTHKSNLLKSAALKEIVDFVKFSNQVPFSKPIPSKNVCGSQNPEITIESGTPIYCSENTKTQGLHIPRWLPDFPSESLYKKRDLVSVKERKCGEKLWEHSLAMEDYSSYSENSVMLKNNGIAEKEEKDTRMELAKGRERVKFKIGREEEKQIGLGVNMMNGVCKGRKRVSWSHYKINNCDNMADENEDEMRALKRERR >RHN62175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41416053:41417185:1 gene:gene24722 transcript:rna24722 gene_biotype:protein_coding transcript_biotype:protein_coding MMKILERQLFLCIFCTVVVSDSCRSILPCRAFIIVTSNLLWSSLVYSSPRVLHLVINVEC >RHN74248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31054297:31055256:-1 gene:gene10280 transcript:rna10280 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQSRTRIWERRLEMLENGMVFIVLITRISLSPPIFPTTNTFFQNQFRPTRSKSFCTIVFLVILVKIKSSRSSYI >RHN64937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63129118:63130381:1 gene:gene27818 transcript:rna27818 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVELEDDLYFADLSKQISLLIMDEDEDPLTSHPSHSLQSFSGAIHPPPQSNFLYEQMALRRQSKGTGVFIPQSTTQPRRKHRKGRSSSYAKCQKQSQDTTRTVSQNSFKPINNG >RHN64282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57893329:57894663:-1 gene:gene27085 transcript:rna27085 gene_biotype:protein_coding transcript_biotype:protein_coding MYPDRQQGGGGAPHGILLAVVVAIVVIVPFLLGDQGEAITEAISELLSPLGLLLLPIILLLTIQFLSSERGSFVSAIFSTGEPDTIHRVSGSPFGVALFLVLILVLLYNRFSIFGGDDSDD >RHN44196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2353144:2354146:-1 gene:gene38286 transcript:rna38286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MIGNSLQQIDLSNNNLQGQLPRALVNNRRLEFFDVSYNNINDSFPFWMGELPELKVLSLSNNEFHGDIRCSGNMTCTFSILHILDLSHNDFSGSFPTEMIQSWKAMNTSNASQLQYRLQKFYSLIAIDISSNKISGEIPQMIGELKGLVLLNLSNNMLIGSIPSSLGKLSNLEALDLSLNSLSGKIPQQLAQITFLEYLNVSFNNLTGPIPQNNQFSTFKGGDQLLKKCIDPAGPSTSDDDDDDSKSFIELYWTVVLIGYGGGLVAGVALGNTYFLQVFAWCCEFVEKHTNINLMCGAN >RHN71494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:528853:533651:1 gene:gene7101 transcript:rna7101 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAVEQGRSLAETPTYSVASVVTVMVFVCFLVERSIYRFGKWLKKTRRKALFASLEKIKEELMLLGLISLLLAQSARWISEICVNSSLFSSRFYTCSEQDLPMIEHVMIVNSSSFSDETTIPKGLYSGALHQCGEGHEPFVSYEGLEQLHRFLFVLGITHVLYSCLAVGLAMSKIYSWCRWENQATMALIDGNLQGKNNKVMRRQTTFVFHHASHPWSRNPILIWMLCFLRQFRSSIQKSDYLALRLGFITEHKLPLSYDFHKYMVRSMEDEFHGILGISWPLWVYTIVCIFVNIHGLNIYFWLSFIPAILVMLIGTKLQHVVSILALEIWQQQGPSARTQVKPRDGLFWFKKPDILLWIIQFVIFQNAFEMASFIWTLWGFKEKSCFMRHHYMIIIRLTSGVLVQFWCSYMTVPLNVIVSQMGSRCKKALVTESVRDSLHSWCKRVKQKSKHDHSLHSHTARSICSLESTIDERDEITVVSGTLTRTTSLDLESLNQITVTSVDQLNFSNNLQDSTDLSEPVHINSHYNDVINGEEAKVETLLDLFHKT >RHN44668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7364304:7365371:1 gene:gene38813 transcript:rna38813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MKFRFLCLFFLHIMDLPETLHDFLLVFLGSGIILGSLGVVLLTNPIFSAFSLGLVLVCISLLYILSNSHFVAASQLLIYVGAINILITFAVMFMNSSEYYQDFNLWTVGDGITLIVCTSIFVSLITIISDTSWYGIIWTTRPNQIIEQDLISTSQQIGIHLSTDFFLPFELISIILLVALIGAIVVARHWSMMLEHVLVLSAYLFSIGIYGLITSRNMVRALMCLELILNAVNINLVTFSDFFDNRQLKGNIFSIFVIAIAAAEAAIGLAIVSAIARNRKSTRINQSNLLNK >RHN49115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52551300:52556439:-1 gene:gene43909 transcript:rna43909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cathepsin B MNIIIYISKIIIFYVSALKIKLFLFEKIVNKKYKLSVLDSFSFYLIKMTPTILSLATLFLVFFAPYLRFGEAKTYELSEVKLNSHILQESIARQINENPEAGWEATINPRFSNFTVGQFKRLLGVKQTPRSELSSAPVVTHPKSLKLPKDFDARTAWSQCSTIGRILDQGHCGSCWAFGAVESLSDRFCIHFDMNVSLSVNDILACCGLLCGAGCAGGTPFSAWIYLAHHGVVTEECDPYFDQIGCSHPGCEPTYRTPKCVKKCVNGNQLWETSKHYSVKAYTVNSDPQDIMAEVYKNGPVEVAFTVYEDFAHYKSGVYKHITGFALGGHAVKLVGWGTSHEGEDYWLLANQWNTNWGDDGYFKIKRGTNECGIENAVTAGLPSTKNIVREVTDMDVDADVSF >RHN68140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33166179:33166520:-1 gene:gene16445 transcript:rna16445 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRLYTTQARMSVSMSRKPGRAVHAKMRLKQKASNYIWPTTVPLGASAAVNAYLHQKLLYL >RHN73593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17950236:17950757:1 gene:gene9420 transcript:rna9420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSSKMQTLILLLILTIFSITIKASSSDGNIAIYWGQNLEDGNLTSTCDTGNFKIVLLAFLNVFGGGRVPSWNFAGHCGDWSPCTKLEPEIKHCQQKGVKVFLSLGGDSRNYSLSSPDDAKNVADYLNAKFLSGQSGPLGSVTLDGIDFAIIYGSNLYWDDLVKHLHNIRHRNR >RHN68410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35247778:35250720:-1 gene:gene16758 transcript:rna16758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CR4L family MKNLITHLIFVTAIIIFYLSPSAHTLGSGTTLSVTDSPATVCGIISGETTQHIQCYREGEVFNILPNVSFSSISGGRSYFCGLRSGNYSLHCWDSSSFQTKRLYSNDSFLLENLSVGDFQVCATVVGVGTVRCWRTFDGFEPSGLDHFGSISSGSNFSCGILKTGLQVRCWGEKTVAERLQNEFRNMSMLSIVAGGSNVCGLNSTGFLVCKGSNSSGQLDVPQGGAFEYGDIALGDEHGCAVRRLNGSVVCWGGKGEFSADSIEGVSFELIVSGSNFTCGLTTTNFSVVCWGPGWSNGSNGSESILPLSRILPGPCVQSSCSECGIYPDSQSLCSNFGHICNPNICNTQITSPPPPPPAPSPPRSSRSKTLTTGLLVFAIVGSVGGFIGICTIIYCLWTGVCFGKKKVHSSVQPTVTRGSSNSSNSSASSIKSVIMRQGSRIMRRQRSGPSSTKHQERAEEFSLSELMAATNNFSFQNKIGAGSFGIVYFGKLTDGREVAIKRGEPGTKLKKYQEKESAFESELAFLSRLHHKHLVRLVGYCEEKDERLLVYDYMKNGSLHSHLHDKNNVEKGSNLLNSWKMRIKIALDASRGIEYLHNYAVPSIIHRDIKSSNILLDSTWTARVSDFGLSLMSPESDIDYKPTKTAGTVGYIDPEYYGLNLLTAKSDVYGLGVVLLELLTGKRAIFRNGEDGGNPLSIVDFAVPAILAGELMKILDSRVGTPPTESNESEAVELMAYTAVHCVNLEGKDRPTMADIVANLERALLICEGGSNHEIESISSVTISVSD >RHN61875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39028281:39034712:-1 gene:gene24383 transcript:rna24383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartate transaminase MAIRNLLNGQFLNRSSVTGARFMSSWFRNIEPAPKDPILGVTEAFLADQSPNKVNVGVGAYRDDNGKPVVLECVREAERRIAGNQFMEYLPMGGSIHMVQESLKLAYGDDSEFIKDKRIAAVQALSGTGACRLFAVFQQRFHPNTQIYIPVPTWSNHHNIWRDAGVPIKTYRYYHPESKGLDFSGLMDDIKNAPDGSFFLLHACAHNPTGVDPSEEQWREISSQFKAKGHFPLFDMAYQGFASGNPERDVKAIRIFVDDGHLLGLAQSYAKNMGLYGQRVGCLSLLCEDQKQAVAVKSQLQLISRPMYSNPPLHGALVVSTVLSDPDLKKLWLKEVKVMADRIIGMRTTLRENLENLGSPLPWNHITNQIGMFCYSGMTPEQVDRLTSEFHIYMTRNGRISMAGLNTGNVGYVANAINEVTKSA >RHN41824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33356504:33357502:-1 gene:gene48186 transcript:rna48186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MTRILLQSISSAAPPPDSAASLESDFVVILAALLCALISVVGLTAIARCAWLRRTPVAGASPSAAVANKGLKKKVLNSLPKFTYLDDTPGKWVVSSECAICISEFTAGEEVRVLPQCGHGFHVACVDTWLGSHSSCPSCRAPFAVARCQKCGLYQPTAAGEVAGETEQKTAGGENVEVVVVNANCNGNSVDRHRHNVHNGFLP >RHN45414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20284939:20285547:-1 gene:gene39743 transcript:rna39743 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIKAHFALTGTKIRLEDVPESMYGGALPLAKSRKSKRKALTKDDYLDDASEQPFKKAKKAKKEKASSKVNEVGPGVPTIQEEVQDLDADKVLNKRTRSGKAVSTSQIQPPQPSIPKKKRKTAIKKLKIAEYASEDEKHIVAATNLVTRELNKKKVAEESTFQKDAEIVANLQKALEIAKNIEVPASNIAREDVGADALEV >RHN41630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31629263:31637611:-1 gene:gene47972 transcript:rna47972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MMAATPKVLVWLRHCSSSNHYCALLKLCCETRNFTKAKNLHSHIIKTLPYPETFLLNNLISSYAKLGSIPYARKVFDQMPHPNLYSWNTILSAYSKLGRVSEMEYLFDAMPRRDGVSWNSLISGYAGCGLIYQSVKAYNLMLKNDGSINLNRITFSTLLILASKRGCVKLGRQIHGHVVKFGFMSYVFVGSPLVDMYSKMGMISCARKVFDELPEKNVVMYNTLIMGLMRCGRVEDSKRLFFEMRERDSISWTSMITGFTQNGLDRDAIDIFREMKLENLQMDQYTFGSVLTACGSVMALQEGKQVHAYIIRTDYKDNIFVASALVAMYCKCKNIKSAEAVFKKMTCKNVVSWTAMLVGYGQNGYSEEAVKTFSDMQKYGIEPDDFTLGSVISSCANLASLEEGAQFHARALTSGLISFITVSNALVTLYGKCGSIEDSHRLFNEISFKDEVTWTALVSGYAQFGKANETIGLFESMLAHGLKPDKVTFIGVLSACSRAGLVEKGNQIFESMINEHGIVPIQDHYTCMIDLFSRAGRIEEARNFINKMPFSPDAISWATLLSSCRFYGNMDIGKWAAEFLMELDPHNTASYVLLSSVYAAKGKWEEVARLRKDMRDKGLRKEPGCSWIKYKNQVHVFSADDKSNPFSDQIYSELEKLNYKMIKEGYVPDMNSVLHDVGDSEKIKMLNHHSEKLAIAFGLLFIPPGLPIRVVKNLRVCSDCHNATKYISKITHREILVRDTARFHLFKDGTCSYGDFW >RHN81227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44212454:44217708:-1 gene:gene5240 transcript:rna5240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperonin Cpn60/TCP-1 family, groEL-like apical domain, groEL-like equatorial MYRFASSLASKARIARSNANQIGSRVAWSRNYAAKEIKFGVEARALMLKGVEELAEAVKVTMGPKGRNVVIEQSFGAPKVTKDGVTVAKSIEFKDKVKNIGASLVKQVANATNDVAGDGTTCATVLTRAIFTEGCKSVAAGMNAMDLRRGINMAVDAVVTNLKSRARMISTSEEIAQVGTISANGDREIGELIAKAMEKVGKEGVITIADGKTLQNELEVVEGMKLDRGYISPYFITNQKNQKCELEDPLIIIHEKKISNINSIVKVLELALKKQRPLLIVAEDVESDALATLILNKLRAGIKVCAIKAPGFGENRKSGLQDLAVLTGGQLITEELGMNLEKVDLEMFGSCKKITISKDDTVILDGAGDKKSIEERCEQIRSAVENSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASNELSKLPTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDNPDLGYDAAKGEYVDMVKSGIIDPLKVIRTALVDAASVSSLMTTTEAVVSELPKEDKDTPAMPGMGGMDY >RHN57191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37217174:37217392:-1 gene:gene32700 transcript:rna32700 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGLATGREAAAAAARTGKGISTMAREVEVGGDAGGKLVHFDRPLTFTADDLLCATAGLMGISTYGTVNSV >RHN80599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39318499:39329478:1 gene:gene4541 transcript:rna4541 gene_biotype:protein_coding transcript_biotype:protein_coding MADVASNTMSPSSQFDLGAFVGDLTIEEDLNGDDISLDGLQQELEECKNDEVVANILSKGPKLRDYTKGVENDLRKVELDSIQDYIKESDNLVSLHDQIHDCDNILSHMETLLSGFQAEIGSISSDIKILQEKSMDMGLRLKNRKVAESKLAKFVEDIIIPPRMVDILVDGEVNEEYMRTLEILSKKLKFVEVDTMVKASKALKDVQPELEKLRQKAVSKVFDFIVQKLYALRKPKTNIQILQQSVLLKYKYVVNFLKEHGKEVYNEVRAAYIDTMNKVLSAHFRAYIQALEKLQLDIATSYDLIGVETRSNSGLFATAWQPLKNRSAVFALGDRINILKEIDEPALIPHIAEASSMKYPYEVLFRSLQKLLMDTATSEYNFCEDFYGEQHMFYEIFSGPFGVMDEHFNTILPNCYDAIGLMLMIRIIHQHQLIMSRRRIPCLDSYLDKVNISLWPRFKMVFDMHLNSLRNANVKTLWEDDVHPHYVMRRYAEFTASLIHLNSEFGDGQLELNLERLRMAIDDLLIKLAKNFTKPKLQTVFLINNYDMTIAVLKEAGPEAGKIQMHFEELLKSNTALFVEELLQEHFNDLIKFVKAKASEDPTSSPDKPITVAEVEPLVKDFASRWKAAIELMHKDVITSFSNFLCGMEILRAALTQLLLYYTRLSDSIKRIPGGSALNKDLVSISSIMYEIRKYSRTF >RHN67436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27235970:27240849:-1 gene:gene15625 transcript:rna15625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MSSSGDESMASEIDEQEQNGGRGIPDYIMNLEDVPSTLPTHLELQKTRVFCNLDAPQHTDTIQYSGAYAALGVDNSVRLDNFYQNFKVEVKRITDEEMEFDMIGIDPAVANAFRRILIAEVPTMAIERVYIANNTSLVQDEVLSHRLGLIPIDADPKLFEYPDDAGGENNEKNTIVFKQHVRCEKGQPRLTVKSDTLKWLPNGSELIAEGTKSAADPAPKTFTTFNQKSLPKFSKDPAPYNLDVIVAKLGPGQEIELEAHAVKGIGKTHAKWSPVATAWYRMLPEVVLTKDVKDELAEELVSKCPAKVFDIEDIGRGRKKAVVKNARACTLCRECIREADEGEEGGEGEKWTDRVSLRRVKDHFIFTIESTGAIPPDVLFTEAVKILEDKCERVITELS >RHN63739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53636516:53643024:-1 gene:gene26480 transcript:rna26480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase/kelch, beta-propeller, kelch-type beta propeller MWWEKVEVKTLNGKEDSGGDGPGKRWGHTCNSINGGRHIYVFGGYGKDNCQTNQVHIFDTVNQTWSQPAIKGTPPTPRDSHTCSVVGHRLFVFGGTDGTNPLKDLHILDTSLQTWDSPIIRGEGPEAREGHSAAVVGKRLYIFGGCGKSADNNNEVYYNDLYILNTETLVWMRPTTSGTPPSPRDSHSCSTWKNRIIVIGGEDGHDYYLSDVHIFDTDTLNWRELSTSGQLLPPRAGHSTVSFGKNLFVFGGFTDAQNLYNDLYTLDVDTGIWRNNTTTTNCPSARFSVAGDCLDPSMGGVLVFIGGCNKSLEALDDMYYLHTGISRESELRPEKLSMKKILKLKCQEDQNLIPGQNQDMGRYGVGNDAGQVTTMMNYSQPSRPNIPANQSLPLHGKKTFEAKVTENISEGYTIETVIDGKPLRGILFLNKQNSLHSSAHAFNRKRTAGEIDGVISNGTHSNKLKTHKVNQMENRHSESLYHSSEAVVALAARNPMTANASVNHKVPTNPGLEAASLNRNAEKIETPTLGVNLKNDRANDVTNSRVEAQSNMPISNSEVSRLDKNSDPSNCNTEFMKPATAESAVNLSNQGALVDSTIPRTGECSEPAK >RHN43048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42814070:42816218:-1 gene:gene49569 transcript:rna49569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MPLPMMFPGLNREGEMSAMVSALTHVICGDQNNDGGSDGYNVLNQNMNHNAFEGDGIDIKPFGSSSTSLSYGGNSALKRRREDGGFFDNSSSPIPQIKHQGVECSSNWTNTVTTTTGRSQVEEQIYEYRTDNNNVKNEDQPKRKYRGVRQRPWGKWAAEIRDPFKATRVWLGTFETAEDAAKAYDQASLRFRGNKAKLNFPENVKLKQQQSTPTHLNISHSNSALLSHQPRTDPDPIVHNETLHTLQSSNKYYDYFNGQNFPMASLQTSVSPSASYSSSYTTTTFASSFSSPQTTSSIPSGLTAWPSGSSPSG >RHN51820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25960556:25962366:1 gene:gene36356 transcript:rna36356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSSCCARFRSSTNPISLFPFLRIRLYSHSSFNSNNLDNVVSSFNHMLHMNPTPSIIEFNKILGSLVKSNNNHYPTAISLFHQLEFHGITPDIFTFNILINCYCHLREMDFAFSLFGKILKVGFQPDTITFNTLIKGLCVNGKVKEALHFHDHVISLGFHLDQVSYGTLINGLCKKGKTTEALQVLRKIDGKLVNTDVVMYSTIIDSLCKEKLVTEAYELYSQMIVKKISPDVVTLSSLIYGFCIVGQLKEAFCLFHEMLLTNIHPNVYTFNILVDALCKEGKIKEAKNVIAVMMKEGVEPTVVTYNTLMDGYCLANEVNKAKNVFNVIGKRRMTPNVRSYNIIINGLCKIKMVDEALNFFKDMHCEPNRVTYSSLIDALCKSGRISHAWELLDQMHDRGQPADVITYTSFLHALCKNHQVDKAIALVKKIKDQGIQPNINTYNILIDGLCKEGRLENAQVIFQDLLIKGYNLTVWTYTIMINGLCLEGLFDEAVTLLSKMEDNGCIPDAVTYETIIRALFKNDENDKAEKLLREMIARGLL >RHN55206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16109430:16113931:1 gene:gene30351 transcript:rna30351 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSNSPIFLPFLFFFAISSFLALGQQTEDSENGHGFGRRVLMSFKEKPSGSNLTFDCAPSGPCVPCIYSEKGDEKYRCSETGYRIPFKCEELKDSTKDAKKTKPQKNRSTLEISNSSAKPHIVEHVYGELITSQSQRTLLDDSSASDNKSQAYVTYRSCIPADTEEKLSVLGFESVVIFLLLISGSFIYLRKKKAAAMSGYVAAGRGQTNSRY >RHN44502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5478914:5484291:1 gene:gene38630 transcript:rna38630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSTRGKLYEFCSTSNMLKTLDRYQKCSYGAVEVSKPAKELESSYREYLKLKQRFENLQRAQRNLLGEDLGPLSSKDLEQLERQLDSSLKQVRSTKTQFMLDQLADLQNKEHMLVEANRSLSIKLEEINSRNHYRQSWEASDQSMQYEAQQNAHSQSFFQQLECNPTLQIGSDYRYNNVASDQIASTSQAQQQVNGFVPGWML >RHN44707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7532157:7538364:-1 gene:gene38857 transcript:rna38857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSYHDMYPFDHMEEDDFFDDVDEQEEFDGAAEDVPLDEYEMLTKVTDTSAAHARKGKDIQGIPWEMLNITRESYRLTRLEQYRNFENILTSGETADKDCKQVQKGDKYYEFFYNTRTVKPTILHFQLRNLVWATSKHDVYLVSNYSIKHWSSLSGNLSEIINFLGHVAPTERCAGNQMEGFSQTQISTLAVKDNFLVAGGFQGELTCKRLDKKGVSFCTRTTHDDNAITNAVEIYESLSGATHFIAANNDSGVREYDIEKFQLLNQLHFPWPVNHTSISPDRKLMTVVGDNLEGLLVDPQNGKTVATLSGHQDYSFASAWHPNGLAFATGNQDKTCRVWDARNVSSPIAILKNNLGASRSIRFSSDGQFMVVAEPADFVHVYSTTANYEKSQEIDFFGEISGVSVSPDDECMYIGIWDRTYASLLQYNRRHSYHYLDSYF >RHN81570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47117631:47121120:-1 gene:gene5636 transcript:rna5636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MQKHNLGSSKSSSKCTAVLPYQTPRLRDHYLLGKKLGQGQFGTTYLCTHKSTGKLYACKSIPKRKLLCKEDYDDVWREIQIMHHLSEHPNVVQIQGTYEDSVFVHLVMELCAGGELFDKIIQKGHYSEKEAAKLMKTIVGVVETCHSLGVMHRDLKPENFLFDTPGEDAEMKATDFGLSVFYKPGQTFHDVVGSPYYIAPELLCKIYGPQVDVWSAGVILYILLSGVPPFWAETESGIFRQILHGDLDFESEPWPTISESAKDLVKKMLERDPKQRISAHEVLCHPWILDDTVAPDQPLDSAVLTRLKHFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITYEELKDGLKQVGSNLMESEIKSLMESADIDNNGTIDYGEFLAATLHLNKMEREENLVAAFAYFDKDGSGYITIDELQQACKDFGLGEVHLDDMIKEIDQDNDGRIDYGEFVAMMKKGDDDQVGRSRTKKGNINFNIADAFGVKEESS >RHN68533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36280385:36284254:-1 gene:gene16899 transcript:rna16899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyribonucleotide nucleotidyltransferase, PNPase/RNase PH domain-containing protein MEERILTHLMPRSQIDIYVQVLQADGGTRSACINAATLALADAGIPVRDLVTSCSAGYLNSTALLDLNYVEDSAGVPDVTVGIFPKLDKVTLLQILLENTKQLEYRQGT >RHN71365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58526842:58530903:-1 gene:gene20040 transcript:rna20040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-fructofuranosidase MSTPPIDWAHHNGNGNLKSQDSLCAVAEFEEFDFSKVLDKPRTLNIERQRSCDERSMSELSIGLSPRLLASKLENFPRPGEHLDHAFSPVHKSGLNTPRSLLLDSHSILPEAWEALRRSLVHFRGEPVGTIAALDNSDENLNYDQVFVRDFVPSALAFLMHGEPDIVKNFLLKTLRLQSWEKKIDRFHLAEGVMPASFKVFHDPVRNRETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLADQPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCAMLLLKPDAEGKEFMERIAKRLHALSYHMRSHFWLDLKQLNDIYRFKTEEYSHTAVNKFNVIPDSLPDWIFDFMPHHGGYFIGNVSPARMDFRWFCLGNCIAILSCLATPEQSVAIMDLIESRWEELIGEMPVKVCYPALENHEWRIITGCDPKNTRWSYHNGGSWPVMLWFLSAAAIKTGRPQIAKRALQIAEARLLKDNWPEYYDGTHGRYIGKQARKCQTWSIAGYLVARMMLDDPSHLGIIALEEDRQLKDPVLKRSNSWTL >RHN78141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12228275:12229155:1 gene:gene1663 transcript:rna1663 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKEVMVALPSIDFNFNFNTNFSSTPYIKDIDEHEPINNNDENDFEFNFNTHLPRSSLSADELFHAGKILPLNLIDSDIHHHQQETKKKLVEDLAQQEKKLACKERSSSFSILSCRSNNTKYSKLSHDVFSHEKVKGSSNNIKQSETSTFSSFLSTISFTKGYRKWRFKDFLLFRSASEGRGSDKDPLRKYRVLSKTTVYEDVGNSSFRSVENSGSVSKRRKPVSAHELHYTLNRAASEELKRKTMLPYKQGLLGCLGFNHGMSEISGRFGSFERS >RHN80468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38334139:38335011:1 gene:gene4399 transcript:rna4399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MRIVVSCLVDAKMDTSSVHDAVLVGGSSRIPKVQQLLRDFFNGKDLCNSINPDEAVAYVAAVQAALLSKGFNNVPNLVLRDVTPLSLGSMIEDDVLVVVIPRNTSIPVRKTKVFCTVEDKQSFVLIDVYEGERTRASDNHFLWSFILDNLPRRCRGLPFNVCFNIDKNGILTVSAKEKRSGTKNKITITNDKGRLSTKEIEEMIREAEDYRVEDKKFLRKAELMNELVDCVNRMRNDLKHSSEENEKINSLITIATNFLDEDDPQIEIDILEDTLKKIQSMFEHIIGKTV >RHN54279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8231124:8232929:1 gene:gene29286 transcript:rna29286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MNPLIFFLFSLILASTNTESKTHWLDTKSLKQLKQNINRNSITPGSCISSWDFTFDPCDNLFSEKFTCGFRCDTIISNLSRVTELTLDQAGYSGSLSIDNFPYLNTLDVSNNYFTGNIPESLSSLTRLSRLILSSNSFTGEIPSSIGSLTNLEELYLDNNNLQGTVPSSFNYLKSLTRLDLQQNKLSGKIPNLGSLENLYYMDLSNNGFSGDPFGFPASLVQISMRNNNLSGSLASESFKNLNYLQVVDFSSNKINGYVPSIFFQLPSLQQLTLSFNEFSSIEAPSYGTESSQSELIAVDLSNNQLEGFLPAFLATMPKLSSLSLENNRFTGLIPVQFALKTVFPEIGMAPFGRLLLGGNYLLGGIPRPLLVLKQDSANVSLVDNCLFRCPHVFFFCQGGTQKSSFECSSVIIP >RHN73900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21388423:21389516:1 gene:gene9792 transcript:rna9792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEKMSVYLPEEVIKEILLRLPVKTLLRCRCVCKLWLSIISHPHFSTSHFQLAASPTHKIMVFKAASAYTQPLSIDFNDDDSSYRSLSLDFKPRPTFPEIIKGSCRGFLLLLNRYDCLYLWNPTTRLKQQIPDSPKTRFCNNHVINFLYALAYEPSMITCSIDLKIFSLRAHKWKQIEVASHLPYIITDVYEFRPRVGSFLNGAIHWLVHNSETNRDVIIALDLKETTMSEIALPDDYNILYASRLEFDVLVLNGLIGVWVANRVTIKIWMMQEYAVHSSWTFYMHSAPQHNDFSLITCFTNYNGNGLKKFNDKGQLLEHQFYSSSYKKNVAMYTESMLSLPSGIDQA >RHN82186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51801915:51804863:-1 gene:gene6325 transcript:rna6325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endo-1,4-beta-xylanase MMISAKMKVTAKCCNLLFITTSFLLFISGLGVHSLDYDYFATIECMKEPQRAQYGGGIIVNSGFDHNIEGWTVFGNGTIEERISNDGNRFIVASKRTQSLDSFSQTVQLEKGLIYTFSAWLQLSEGSDTISVVFKTNGSDQLVHGGHVIAKHGCWSLLKGGIVANFSSPAEILFESNNSSVEIWADSVSLQPFTKKEWRSHQDNNIERVRKSRVRFHVSNVNETALEGATVVITQTKADFPFGCGMNHHILTNIDYQEWFVSRFKYTTFTNEMKWYSTEIIPGQENYTISDAMLEFAKDNGISVRGHNIFWDDEKYQPEWVKYLSPDELRKAAKKRIESVVKRYKGELIAWDVVNENVHFHFYEDKLGENASELYYLKAHELDPETKLFMNEYNTIEYSGDKVVSPPNYLKKLEEIMQSGEATEILFAIGLQGHFASGQPNLAYMRSGLDFLGNIGFPIWLTEASLDPQPNQAEYFEEVLREAYSHPAVEGIIMFAGPAQAGFNSTLLADTNFQTTPTGQVVDNLILEWGSGPYTAIADSRGIVDISLHHGDYDVTFTHPLTQNSKKLNISVRKGFSQENIHVKMHA >RHN72606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9093759:9096023:1 gene:gene8348 transcript:rna8348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAADVLPPEILAEIFSRLPVQSLLRFRSTSKSLKSLIDSHNFTNLYLKNNPLNRFIILRHKSDLYQLQVDDDDFSKSMIPLNHPLSTNIMLSLFSLKGNRTFPLIGSCNGLLALSDGEIVFKHPHGVLETTIWNPNTRKDRTIPFIPLPIPNIEDSDNPNRGGICVHGFGFDPFTADYKLLRITWLFARQNIFYDSHVSLFSLKTNSWKTIPSMPYALQYVQAMGVFVQNSLHWVMAKKLDGSYPWLIVAFNLTLEIFNEVPLPVELEGEEVNSNSNGSFKIRVAVLGGCLCMSVNYEATKIDVWVMKDYGSRDSWCKLFTLVKSCFNSPLDFLRPLCYSSDGGKVLLEANPNLDKTLRKLFWYDLKSEQVSYVEGIPNFDEAMFYVGSLVSPFFPVDTCKKENRTSKTKRRDDFLSRGFKLKL >RHN41267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28749989:28750489:-1 gene:gene47571 transcript:rna47571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative allene-oxide cyclase MASSSYALRTIPSSFVKPTAFATTPKSFLTPSSAFTKNLRMNTAFSQSCFSSLPMKSFTCKSQANEHADTEKVQEMHVYEFNESDRGSPAYSRLSNKSVNSLGDLVSFSNKVNFFLLILFYIFVIKIIGSFLSV >RHN41006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25669080:25670516:1 gene:gene47275 transcript:rna47275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MEEQKTCIAMIPCPGLSHLIPFVEFAKLLVLHHNNFHVTFLIPTLGSPTPSTKSILNSLPPNIDFTFLPHINIQDLPPNIHIATQMKLTVKHSIPYLHQEVNKMVTCSKTNFVCLVFDLFSSDVIDIAKKFNLMSYIFATSSVISLQFCLNLPKLDESVSFEFMDTTKTFDIPVSNVSFKVKDFPDPVLFGRSSETYKAFLCACQKLSLVDGVIINSFTYLEHDAIKSIQDIICVYPVGPIIQRESKSKENKLECITWLNNKPSKSVLFISFGSGGALTHEQINEIAFGLESSGCNFLWVIRVPNKHSNSAYFSGSSKKDDPLNYLPLGFLERTKDQGLVVPSWAPQVEILSHSSTGGFLTHCGWSSSLEGLVYGVPMIAWPLFAEQRMNAATLTDVFKVAVRPKIDDEDGIVKGEEVARVIKIIMNQYSRDGEGLQLRKRIEDLRVEAAAAVSEDGSSRRALSSLVLKWEERVTLIN >RHN78670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17333851:17335521:-1 gene:gene2307 transcript:rna2307 gene_biotype:protein_coding transcript_biotype:protein_coding MATSISATGCVGSSFYGSWGTSIVGEDYTMLAKSVSSQLRIGRVSKPVRLQPMMKNVNEGKGIFAPLVVVTRDIVGKKRFNQLRGKAIALHSQVITEFCKSIGADAKQRQGLIRLAKKNGEWLGFLA >RHN68234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33902146:33902553:1 gene:gene16554 transcript:rna16554 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWRALRDQPRYGSQVGGNVDSGSSGSKRSYEDSVGSSARPMGRDAAKKKGKKKSKGETLEKVEKEWVQFKELKEQEIEQLKELTLVKQQKNKLLQEKTQAKKMKMYLKLRDEEHLDDRKNELLGKLERELFEN >RHN64619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60484485:60488030:-1 gene:gene27450 transcript:rna27450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MICQGAHTILVSIILIFHQSMRLPSNNESLRTLYQLELYGSRISGCFPTNPSLRVLRVRKFKLSSLGSLVHLRYLELYHIKTKKLPNSIYNLHKLEILKLKHLPDLLCLPERLNCLKHLRHLIIEDCFSLSCMFPYIGRLSSLRTVSVYIVRSKTGHNLAELRDLKLEGKLSIEGLENVSSLSDVREANLMGKKDLRELCLSWSNSGKTKTRANNAIEVLHLLQPHSNLKCLRILHYEGLYFPSWIEIHNCLVYLELRSCKNCVQFPPFGKLPFLKQLILSNMDEVRYVDVDESHDGVGVRVFPSLEKVSLLGLPNFERLLKMERREMFRLSDLTICGCPKLVLQFLPSLKDLIVIGCNSQLLRPISSFHCLTTLLLSEGNKMTSFPEGMLRNLTCLQTLILTNFPKLKELPNEPLSLALERLQISRCGDLESSPEQMLEGLQSLRTIEISSCGLRSLPECIRNLTSLEVLTICDCPTLKERCNEGTGEDYDKIAHIPKFGIE >RHN40185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14004415:14008913:1 gene:gene46302 transcript:rna46302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MVDQVQRPNLVQNVARQTGLSMYQKRSFGSYSNPAFQYPMMPACNAATTASPIFVAAPAEKGNFLVDFLMGGVSAAVSKTAAAPIERIKLLIQNQDEMIKAGRLSEPYKGIGDCFKRTTAEEGVVALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKKGAGGRQFNGLIDVYKKTLATDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSLQDSFFASFGLGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSFDAFQQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIMLGKKYGSGGA >RHN76097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47654153:47659648:1 gene:gene12374 transcript:rna12374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVAQKRIDYGFVGYQVPTKPRATRSPRKRDTFQRSVEHNQMCAIDLLATVAAGTLLQEKQNPITPGDGSSKKDQHGFVKEEGQDTNKPFKAELPNEASCDRRLQHGFVKEGCPDANKSLKAELSDDVSSDRKCFSAFSSQVYGQNHCSKENPHLEIDGHSCMASIVTSYSCSERLVADVLVDRKSHSEMKNITSKVELGSSGYPDCSDCNLDVDVSKVKDELQKSEKVPIGTATGACCVEELMDEKPQAPIGLGGNAKLSGYNDSVPSSSLFKGCKNVTVVSRDDDENFSGCAHPSSKTKSFRSKTCIGDQRIRKRLASKYRKVARESKHETLSNNAVDKNFNRVYNSQKNYHKRQISQMNIPFKKRKIFDCNSTSNSNGNIRSGCTYYLPNNDTNQGVSCSSSRMRKDPGKSSLEAYRHSAVRSRDSHVKLRIKSFRVPELFIEISETATVASLKKAVMEAVTTLLQGGLRVGMILHGKKLRDDSKTLLQTGVSHDNQLDALGFTLEPNSSQSLPLTCAKDSLHVPTADNMPLSLIGHTSSPSVIYPTPMIHGFSDAEHQVTSLGNIVESDHDSAPSSINTLGEKRLIGSTELVTIPEMDTEGLAMLPVNQKPKRTEISQRRRIRRPFSVAEVEALVEAVERLGTGRWRDVKLHAFDDAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSQQQQTKQQQLKHHPETCLLL >RHN57687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41029721:41030779:-1 gene:gene33266 transcript:rna33266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin carboxyl-terminal hydrolase 7, ICP0-binding domain-containing protein MQLDNGDIICFQKASAIDTKKHIRYPNVLSYMEYVYNRQVPFCPSDIESEDEASLEEQNENITDEEMNDMIDEEINDMIDEDELLDLDDMVDVDVIGAIDKVISEGLSIIDFRYLQLDLPDQLLQELRDIAFKKDLVVKFKLGLAREVNFNVVKDKIEANADMLYSRELKQVHVVVNFLNKIEWMFEKLEKLEKERYAIKKSTDQDNEELKATRQKILLSKTSLDIHQTELNSLDTQIADLKAMLEKLQGERAKIVEIEAQEKAKITSLNKEVKSIFHRLAIDQVKLKIADQIPEAETELEGHEKVYKTLRAIPPF >RHN60323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25214527:25220502:-1 gene:gene22622 transcript:rna22622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative succinate dehydrogenase (quinone) MSWLLRSTKSKLFLSSSSSLSSTFSSIPRSTGSLSPAAPAAEQFHRTTTTLPYADQNSTKGHFSGLPNNLENKLAGHANQFNSLRYQALGLDTNVLAGARYGTQVSGTKSPMLLGLNTLMARNIERGVGADTLGLAGHRRFMSDIPSKTSEAKQSGFRPLSPHLPVYQPQLSSTLSICNRIAGAFLAAVTLLFYMIYMKVGLVGLTFDPFYQFVFYSSKLHLLAIEISALAMSYHLYSAIRHLFI >RHN61731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37892309:37898741:-1 gene:gene24221 transcript:rna24221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,4-beta-D-xylan synthase transcription factor C2H2 family MKPKSLQMSRSSLSASSDVSEAQKPPLHPTVTFGRRTSSGRYISYSRDDLDSELGSNDFMNYTVHLPPTPDNQPMDTSISQKVEEQYVSSSLFTGGFNSITRAHLMDKVTESEVNHPQMAGAKGSKCAIPGCDSKVMSDERGDDILPCECDYKICRDCYIDAVKIGDGMCPGCKEPYKNTELDEVAVNNGGPLPLPPPNGGSKMERRLSLMKSTKSALMRSQTGDFDHNRWLFETKGTYGYGNAIWPKEGDFGNGKDGDVSEPTELMSRPWRPLTRKLKIPAAVLSPYRLIIFIRLVALVLFLHWRVTHKNTDAVWLWGMSIVCELWFAFSWLLDQLPKLCPVNRSTDLNVLKEKFESPSPNNPTGKSDLPGIDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANNWVPFCRKHDIEPRNPESYFNLKRDPYKNKVKPDFVKDRRRLKREYDEFKVRINGLPDSIRRRSDAFHAREEIKAMKHQRQNRGDEPVEPIKVQKATWMADGSHWPGTWLNTSPEHSRGDHAGIIQVMLKPPSDEPLIGNADDAKLIDLTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAVMSNGPFILNLDCDHYIYNSKAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRFALYGFDPPRAKEDRASFCSCCFGRNKKKHANTSEENRALRMGDDSDDEEMNLSQFSKKFGNSNILIDSIPVAQFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNAIMATRRMKFLQRIAYLNVGIYPFTSFFLIVYCFLPALSLFSGQFIVQTLNVTFLAYLLAITVTLCILAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSGGDDVDDEYADLYIVKWSSLMIPPIVIMMVNLIGIAVGVSRTIYSTIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAIIISLLWVAINPPAGTDQIGGSFQFP >RHN49416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54658792:54662622:-1 gene:gene44243 transcript:rna44243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAD/NAD(P)-binding domain-containing protein MKIKKCLNYLLDNVFYREKKNGYIHNRTTKLDLNEKISKTKTMFGLNMMKTWKLLPSTVPYLINRPSSSTAVATVKMEKPPSSNSKPRRRSSYGTSRKSILKKTFKQEQVTFTAPFSDEPHVAIIGGGISGLICAIFLDKRGIRSTVFDTGLHGLGGRLGTRIIDDEYDSLVFDHAAQFFTVNDSRFAEIVNVWLDKGLVREWKGTVGELKNGGEFLPFLPSPPRYIATRGMRFLADSLLSQTSLVNVERPCWISKLEPFNGMWHLSENGKPRGKFDAIVIAHNGKCANRLLMTSGLPLIAKQMKRLELSSIWALLAAFEETLPFLENTEVAFEGAFVRGIDSVSWMANNTKKLLASQSDGPHCWTFLSTAAYGKQNKVPQENIPTATATRVKEGMLEGVETALGLSKGSLPKPFYTKLQLWGAALPTNTPGVPCIFDPFGRAGICGDWLLGSNIEAAVLSGIALANHIADYIQSLGTDPGEFAVGLNHEFQPLEGHDIGQFPGLGSEEKITEAQVYELAK >RHN44993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10516048:10520181:1 gene:gene39186 transcript:rna39186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative development/cell death domain-containing protein MDNMHSFWQLGDELRGHSKASEDHKWLMVASKLAEQRSKAERFNNLDLSKGPLETRPRDKFGFQEENKFDTLNLSMLNLDSKFTENMSKSSLRNGVYNMNAVYQKSNVNFAGNMNSNKYSGNVQLNKDPHNNNSNNNNNNNENNSNATDKRFKTLPAAETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGVFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRVRNICKALEEDSFRPVLHHYDGPKFRLELSVPETLDLMDLCEQAGSVA >RHN42829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41339700:41341040:-1 gene:gene49322 transcript:rna49322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MKITLTKPFILRCFLISIFLSLPFLFLHLFSPTQPINTTTTSKTSKKDLTIRPGYKSYEAYIQRQLNKTLNTKLRKIWTTRDWNRKIPVFAKFFSELKTKNLLQETSKALCIGARVGQEVEALRRINVVDSIGMDLVPHPPLVVEGDFHNQPFGNDTFDFEFSNVFDHALYPHKFVGEIERTLKPNGVCVLHVALSRRADKYSANDLYSVEPLVELFKNSVLVHVRKVDGFGLDTEVAFRKKPPPTHRRF >RHN47381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39317876:39318211:-1 gene:gene41974 transcript:rna41974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MIQYSQKIKDMGMKIFELLSKALGPETSSYLKNLTCAEGPFIQGHYYLSCSESELTMGTNKHTDSNVMTLLLQDQLGGLQVLHEVMGRRSFSTRSSCCKYRGPSTGKNINK >RHN42366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37754867:37756107:1 gene:gene48799 transcript:rna48799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MEEEKNMEGKNTFDLVSDDSDHRFRNNNITGNCFSDTKSAVYKRIMKEWKILEKNLPDSIYVKAYERRIDLLRAVIIGAAGTPYHDGLFFFDIQFPSDYPNNPPKIKYHSHGYRLNPNLYPNGAVCLSLLNTWNGRKCEKWDPSNSTLLQVLVSIQALVLNEKPLFNEPVYRVLKRSLHEKKSRAYIEEAFVLTCYTSINLIRKPPKNFEDFVKEHFRERGRVLLAACKEYANGRVRVGYYGYNINNIKNKNKNIVKVRESLKVSLRNVYCAMYKPLLECGASLECLHEDLEFEVQEKKKKKSKRSNGGDGILKKAMDKIKLVFGLKKKKKKST >RHN72911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11782983:11783519:1 gene:gene8677 transcript:rna8677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MLGNSVCKDPKLVEANDFLFSGLHIAGNTTNAVGSRVTPVFASQLRGLNTLGISMARVDIAPWGVNSPHSHPRATEICTVLEGTLEVGFITSNPENRHFCKVLHKGDVFVFLPIGLIHYQRNIGYNNVVAIAALTSKNPGAITIGNAVFGATPEIASEVLIKAFQLDKNAINYLQSKF >RHN68035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32289978:32290960:-1 gene:gene16321 transcript:rna16321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nitric-oxide synthase (NADPH) MIDRKQLKAGDHIYSWRQAYIYAHHGIYVGDGMVIHFTDGAIQQTETPTISNRFCSSSVPSCRSSVPSCRSSVPSSRSSVPSCRSSVPSCRSSVPSLDTDIPCPRCGDCCQTKMHGVILSCLDCFLSEGGLHRYLYGVSTLHFIVQARGGTCTRASSDPTKEVLFRALYLLENGFGDYHFYKNNCEDFAIYCKTGFLSSEGGSGQAASYWAGAISIASTALGYFVPIYKPLIGCATYSCDRLVSDIGYRSSREINQIVPCAKFVSCKKSLFW >RHN43743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48139947:48140563:-1 gene:gene50365 transcript:rna50365 gene_biotype:protein_coding transcript_biotype:protein_coding MVFESFGLFGNIDYIGGIIDVVSHICIGFWSCCIWNLVVVLLVSTAPPAPPQSELPYPPPSCNNNYPYNPTPPYGSGGGDDGNNNNNNGGGVYGAPPPPDPIIPYYPYY >RHN67616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28694984:28699517:-1 gene:gene15822 transcript:rna15822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MAEKLAPEKRHIFLHNGQKVFEWDQTLDEVNIYINLPPNVHSKLFYCTIQSKHIELGIKGNPPFLNHDLTSPVKTDSSFWTLEDDIMHITLNKRDKGQTWPSPILGQGQLDAYSTDLEQKRLMLQRFQEENPGFDFSQAQFSGNCPDPRTFMGGIRSD >RHN66495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13037868:13040682:-1 gene:gene14497 transcript:rna14497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLSPHFFTQFCQELLSFSASSNAMLKLLRRKNILITFNKNLSSITKSTSFSSNSFIKNTNTKNNITKTTSLKKQHLHISNKPTTLPSLRECNALLHSLVKSKKFDSVWSVYNNLLSRGLLPTLITYGILLNCCCNQGDFSNARKVFDEMIQRGIVPNVVVYTTLIRVFCNEGDMDEAERFLKLMRESGVEPNLYTYVTLIDGYCKTDVLCKVGDLEAARNCFVYMDKFGVFPNLYVYNCLIDGYCKAGDLVEAMRLRDEMERNGVFGDVFTCSILVKGLCDSGRFEEAKNLMEEMEKAGVFANAVTYNAVIDGYCKMGDMEKAIEVCSQMTERKIEPNVITFSTLIDGFCKKGNMKAAMGLYIEMVIKGLVPDVVTYTALIDGHCKVENSKVAFELHKEMMEAGLTPNVVTVTSLIDGLLKEGKTYGAIKLFLEKTEVGSPGGKTDHSGVCSPNEVMYAALIQGLCKDGRIFKATKFFKDMRCSGFKPDMVLYVIMLEAHFRFKHMFDVMMLHADMLKTGVLRNTSVCRVLTRGYEENGGLKLDRYKK >RHN47509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40219496:40229127:1 gene:gene42120 transcript:rna42120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative initiation factor eIF-4 gamma, MA3 MEQTAEKSRRERRKESRLAKNASKYQSWLQHHQKSEAIKRTNNQIPELKSETKLDQPVIPSLINETQVVKRSKSSSNKKEASEECALSEDEIDAPVVRKVKKGSQKSSKKKNRVEMGLSDISMAAQMDLELERKLSKKLKVKEGKLRGFDDGLNMLFEGMPSADDLFGDMEGFDSDELPSRKTKKSSSSKKRKLSKEEMETEGPVEARIQDAVFEEVPDSGTSRKKKKDKKRKLSIQEQEDGAEDYAVCIDKPVESSGADVTSGDVAADVSEKKVIGKYIAPHLRGRAGNEPEEHTQIRRRVRGLLNRISESNVESITGELSLIFQSVARSVASQIMIEETLASCSGGPRGNKQYAAVFAAFVAGLACTVGIDFGAKFMASFAKCFEDEYHKQDNLSLRNIALLLSYLCIFGVCSSDLIFDFLIMLSKRLTEVDVAIILTVLQSCGMKIRADDPAAMKTFIVNVQDTSNKMKASSGDGPEKNNSKRMEFMLETIYDIKNNKKKAEEVNPRIKKWLQKLRVDDISIRGLTWSKLLDPDKKGQWWLSGDMVSATDNVEEVANKIDKDVAETQRMLQLAAAQRMNTDSRRAIFCIIMSGEDYIDAFEKLLRLELPGKQDRDIMRVLVECCLQEKVFNKYYTVLASKLCEHDKNHKFTLQFCLWDHFKELESMALLRSMHLAKFVAEMAASFTLSLAVLKTVDLSDITQLTPKRIMHFRILFEAIFEYPETVVWKIFTRIAGTPELEGFRQGIEFFIKEYIVKANKAASQKFKLVKRALNNIEGVLMQE >RHN72376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7170218:7177948:-1 gene:gene8080 transcript:rna8080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKGHDWINTCLPDELIVEIFRRLDSKPTRDAASLVCNRWLRLERLTRSSIRIGATGSPDLFVQLLASRFFNITAVHIDERLSISLPVQLGRRRENSSPSSSLKLHYVNKRIGSSSSSEENEFDSLCLSDNGLIALADGFPKLEKLKLIWCSNVTSFGLSSLASKCASLKSLDLQGCYVGDQGLAAVGQRCKQLEDLNLRFCEGLTDTGLVELALGVGKSLKSLGVAACAKITDISMEAVASHCGSLETLSLDSEFVHNQGVLAVAKGCPHLKSLKLQCINLTDDALKAVGVSCLSLELLALYSFQRFTDKGLRAIGNGCKKLKNLTLSDCYFLSDKGLEAIATGCKELTHLEVNGCHNIGTLGLDSVGKSCLHLSELALLYCQRIGDLGLLQVGKGCQFLQALHLVDCSSIGDEAMCGIATGCRNLKKLHIRRCYEIGNKGIIAVGENCKSLTDLSIRFCDRVGDGALIAIAEGCSLHYLNVSGCHQIGDVGLIAIARGSPQLCYLDVSVLQNLGDMAMAELGENCSLLKEIVLSHCRQISDVGLAHLVKSCTMLESCHMVYCSSITSAGVATVVSSCPNIKKVLVEKWKVSNRTKRRAGSVISYLCVDL >RHN47983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44038476:44042782:-1 gene:gene42648 transcript:rna42648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative activator of Hsp90 ATPase 1, START-like domain-containing protein MAKYGEGDKRWIVEERPDGTNVHNWHWSETNCLEWSRNFFTNLLSNLTILNGEGNLFIKTTTLRSLTGEAYINIRKGKIIPGYEVNLTVSWEGEAKDNEGNSLLKVNGSVEIPYISDENADEDPDVRVIVEDESPIGRRIKDAMFQKGKGVILEKVRVWVESMSRGGPVKEDLEVKKPAAQAVKQNNVNVPATVTATAATNASVKKEEKKKEIKKGRKNIVMTEKFNCRAKDLFEILMDENRWKGFTQSNARISKEVGGEFSIFDGSVTGSNVELQEGKLIVQRWRFGSWIDGAQSQVRLVFEEPEPGVTVVNLTHTDVPEEDRYGNATVVENTERGWRELIFQRIRAVFGFGI >RHN41340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29282860:29284053:-1 gene:gene47650 transcript:rna47650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MSSEPKVVIKEEEDNNTEHNHHDNRVYDHSHFSTQKRDSLDMESRSLPSSSHASKGPSMAVIMQLAFQSMGIVYGDLGTSPLYVYTSIFANGVKDNDDILGVFSLIFYTITLVTLVKYVFIVLRATDNGDGGTFALYSLICRYAKVGILPNQQIEDAEVSNYKLESPKDSSMKRASWLKSIIEKSYTIKILLLLVTMLGTSMVIGDGILTPCISVLSAMGGIKVAAPSITDSRFTTLFNLFISI >RHN70522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51992823:51995949:-1 gene:gene19107 transcript:rna19107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MIPSFLSFAGLYNGYLRRCFTGAGLLSQEIDIDIDNETSLHFWGPTNKSTQKPSLVLIHGFGPMAMWQWRQQVQFLAPHFNLYVPDLIFFGESTTKSKERTENFQAESVGKLLEKIGVKKCHVVGTSYGGIVAYNLAKMLGEEKIEKVVIASSGVNMTKNHNIALLKRAGLDKIEDLMLPSSPQQLKNLMSLAVAKQIPFVPNFFLRDFLRRLYSDNRKEKMELLNGLSIGKVDTSNISPLQQEVLVLWGEDDNIFPVQMAHELKEVISKKARLELIKEASHVPQIEKPEEFNNIILNFLQPKS >RHN70597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52639485:52642354:-1 gene:gene19195 transcript:rna19195 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FLOT2 MKIYRVAKASEYLVITGIFIKDIKLKKKAWIFPGQSCTVLDLSPVNYTFEVQAMSAEKLPFVLPAVFTIGPRVDDQESLLKYAKLISPHDRHSNHVNELVQGIIEGETRVLAASMTMEEVFRGTKQFKQEVFDKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAKNQARVDVSEAKMKGEIGSKLREGQTLQNAAKIDAETKVIAMQRAGEGEKEGIKVRTEVKVFENQREAEVAQANSELAKKKAAWTKAAQVAEVEAKKAVALREAELQGEVERMNALTTTEKLKADLLSKASVQYETKVQEANWELYKKQKETEAILYEKKAEAEAQKASADATFYASKQAAEAELYAKKKEAEGIVTLGQAQGAYVSTLLNALGNDYTAVRDYLMINGDMFQEIAKINAEAIRGLEPKISIWTNGGDNSGGITDGAMGMKEVAGVYKMLPPLFKTVHEQTGMLPPAWMGALSEKSS >RHN82693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55741820:55742595:1 gene:gene6873 transcript:rna6873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MHWMPFKDINRFVPVAVQRGLFDGHGIGDKMCMKPRNLFAPDCLSYKLKTCSLIGFKGSTVRILFIAYILANAKLLNTDD >RHN44938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10172145:10174871:-1 gene:gene39120 transcript:rna39120 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKSKPIQHSKLAVNILDLDVKLYVIDKDKALYQDQGKIMWKSTLYGSSIWNGILCTK >RHN46719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34317967:34321054:1 gene:gene41239 transcript:rna41239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MSSSILRGDSRRFNNKQRGKHHLTQQKRQEIKEAFELFDTDGSGTIDAKELNVAMRALGFEMTEEQIEQMIADVDKDGSGAIDYDEFEHMMTAKIGERDTKEELMKAFHIIDKDKNGKISASDIKRIAKELGQNFTDREIQEMVDEADQNNDREVDPEEFIMMMNTTGYRH >RHN53812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4333047:4334718:1 gene:gene28762 transcript:rna28762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MGCYHSRGRIFPEEEDPIILASETAFGVNDIEALFELFKSISGSVINDGLISKEEFQLAIFNNKTKENLFTSRVFVLFDVKRKGVIDFGDFVRALNVFHPNAPQEVKIDCKLKIQYSI >RHN50925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11871940:11872914:-1 gene:gene35279 transcript:rna35279 gene_biotype:protein_coding transcript_biotype:protein_coding MINRHTKTNTNTTPYTVFYCSLIPFLFSSFLTHPLPLSLLLSHLPVLCHSPATSLSPSSFSGGVKEITVYVVIEGITMGEGPGSESDPNKGVPLNFDPNCSGGVKVVQEYRSEGQ >RHN47072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36921066:36924720:-1 gene:gene41627 transcript:rna41627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MAMEWGETILTLNFTIIGSGYCKHILKDNSKAYSKGILAEILDFVMGKGLIPADGEIWRVRRRTIVPALHLKFVAAMIGLFGQATDRLCQKLDTAASDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSNDTGIIEAVYTVLREAEDRSISPIPVWDLPIWKDISPRQRKVTAALKLVNDTLNNLIAICKRMVDEEELQFHEEYMNEQDPSILHFLLASGDDVTSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKEPSVMSKLQEEVDSVLGDRFPTIEDMKKLKYTTRVINESLRLYPQPPVLIRRSIEDDVLGEYPIKRGEDIFISVWNLHRSPTLWNDADKFEPERWPLDGPNPNETNQGFKYNTSI >RHN73291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15150586:15154959:1 gene:gene9089 transcript:rna9089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdenum cofactor sulfurtransferase MGAAPSTSIAEAKISAIFIYPIKSCRGISLSHSPLTPSGLRWDRQWVVVNSKGRACTQRVEPKLALVEVELPPEAFDEHWEPTTDSFMVLKAPGMEPLKVFLNKQYEVADDITVWEWTGSAWDEGAEAAQWFSDYLGNPTKLVRFNTASEVRKVDPDYVEGQQQTFFTDGYPFLLVSQESLDALNAHLEEPIPMNRFRPNILVEGCEPYSEDLWRDIKISRFSFQGVKLCARCKVPTINQETAVGGSEPTETLMKVRSGKVLRPNSDKNKNKVYFGQNLVWNWSDSSAKGDGKVLKLGDPVCVIKKLSSPAEAAA >RHN63676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53120095:53120914:-1 gene:gene26407 transcript:rna26407 gene_biotype:protein_coding transcript_biotype:protein_coding MVIELVLCDFTNPFFNSYPKTQSALAPSPCIHHPQKQHDISSPTQQQFFTITTIFAERWEVNAMATTDAEITASEGDWRGRTKEDRE >RHN50618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8597603:8600383:1 gene:gene34926 transcript:rna34926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MASLGSMNIVTLTFCVIILTTCNHQAHASSRVFLNKKNDKSPIQGLCASSVTIHGFKCEEHEVITKDGYILSIQRIPEGRSEAKSNVTKKKEPVIVQHGVFVDGATWFLNSPKQNLPMILANNGFDVWIPNTRGTKFSRKHTSLDPSNKTYWDWSWDELVTYEMPAIFDFISKQTGGQKIHYVGHSLGTLTALASLAEGKWENQVKSVALLSPVAYLSQMKSILGQIAARSLLSKVYNLINSTNYSWTFYGRNFL >RHN40921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24345880:24350905:-1 gene:gene47167 transcript:rna47167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MADVKMIGVIGGGQMGSGIAQLTAMHGIDVCLLDVNPQVLSTASSSISSSINRLVSKSQLSQSTASDALKRLRFTTNLNDLSVADFIIEAIVESEEVKKSLFVQLDKIAKSSAILASNTSSISITRLASSTTRPQQVIGMHFMNPPPVMKLIEIVRGADTSDATFAATKALSQRLGKTVITSQDYSGFIVNRILMPMINEAFYTLYTNVATKEDIDTGMKLGTNHPMGPLELADFIGLDVCLSIMKVLHAGLGDNKYSPCPLLVQYVDAGRLGRKRGIGVYDYSKEQKSSKSSSRL >RHN53599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2775043:2775560:-1 gene:gene28523 transcript:rna28523 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTITASLILILLIVATLNDYSVEGAGKEKDVGVDDISKKFGQETDCYILYLKCVVFRILIFCPAYSTFCLHHETTAATTTTTPKAENLP >RHN53221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:195553:198029:-1 gene:gene28109 transcript:rna28109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 23S rRNA pseudouridine(955/2504/2580) synthase MYVRVGAAVRSWSRRRQLSSSAVAEDGKWLTLPPVSTTVNTSSSSTSTPALKWVIRCCPELPKTLIHKLFRLKQVRMLPRQQDDNKFKRVTPKDTLNSGDRIFLPNSVKQQIPLTPTPPKHESPLTLTAKQINFIRSLVIYKDPHILVLNKPPGMPVQGGINIKLSLDAVAAQSLNYDYSQPPRLVHRLDRDCSGILVMGRTKTSTALLHSIFREKTSRASNEENDTEKIILQRRYWALVLGCPRRSGGVVNAPLGKVVVDNGKSDRITIVDNSALLSSQHAVTEYRVISSSSHGFTWLELSPLTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHKKWGQFDFSNLEDSTEEHLKEEALPFGLNLNKGSISDKHPRLHLHCKQIVLPNISQALQNVQSVSDSSYDLSAVESLELEADLPPYMKKSWEVTNS >RHN68526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36218430:36220505:-1 gene:gene16889 transcript:rna16889 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NSP2 MDLMDMDAINDLHFSGHSSLTNTPTSDEDYGCTWNHWSPIVNWDTFTGAPDDFHHLMDTIIEDRTTVLEQLSPSITTTTTTTTTTDEEEEEMETTTTTTTTAIKTHEVGDDSKGLKLVHLLMAGAEALTGSTKNRDLARVILIRLKELVSQHANGSNMERLAAHFTEALHGLLEGAGGAHNNHHHHNNNKHYLTTNGPHDNQNDTLAAFQLLQDMSPYVKFGHFTANQAIIEAVAHERRVHVIDYDIMEGVQWASLIQSLASNNNGPHLRITALSRTGTGRRSIATVQETGRRLTSFAASLGQPFSFHHCRLDSDETFRPSALKLVRGEALVFNCMLNLPHLSYRAPESVASFLNGAKTLNPKLVTLVEEEVGSVIGGFVERFMDSLHHYSAVFDSLEAGFPMQNRARTLVERVFFGPRIAGSLGRIYRTGGEEERRSWGEWLGEVGFRGVPVSFANHCQAKLLLGLFNDGYRVEEVGVGSNKLVLDWKSRRLLSASLWTCSSSDSDL >RHN58582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2997961:2999266:-1 gene:gene20505 transcript:rna20505 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEIWKGHENHAEEVTCIDEGNGTTSFEGVERKEQHKKEKRLVFKYF >RHN45362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17864830:17868124:1 gene:gene39650 transcript:rna39650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SUF system FeS cluster assembly, SufBD MASLLNGSVSSLHPQPTQTSFSKKALLHQTHFPISKTPKQKSPLSKTVKIRSDVSYEKRNTSPTSSTDDKIREILRNRDYDKKFGFNINIDSFTIPKGLSTETIRLISALKSEPDWMLNFRLNAFEKFVKMKEPNWSDNTYPTIDFQDICYYSAPKNKPSINSLEEADPELLRYFDKLGVPLNEQNRLANVAVDAVLDSVSIATTHRKTLEKAGVIFCSISDAVKEYPDLVRSYLGQVVPSEDNYYAALNAAVFSDGSFCYIPKGVKCPMQISTYFRINALETGQFERTLIVADDRSMVEYLEGCTAPSYDKNQLHAAVVELYCGEGAEIKYSTVQNWYAGDENGKGGIYNFVTKRGLCAGKKSKISWTQVETGSAITWKYPSVVLEGDESVGEFYSVALTNNYQQADTGTKMIHKGKNTKSRIISKGISAGHSRNCYRGLVQVQSKAENAKNSSQCDSMLIGDSAAANTYPYIQVKNPSARIEHEASTSKIGEDQLFYFQQRGIDYEKAMAAMISGFCRDVFNELPDEFGSEVNQLMSLKLEGSVG >RHN40687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19493810:19494022:-1 gene:gene46876 transcript:rna46876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stigma-specific protein Stig1 MDISKDVNNCRICGRRCPTIGNWRCCNGFCANINFDPLNCGGCGRICPIMVCLMGECRYTKSSSPTTFLP >RHN46091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28423415:28425417:1 gene:gene40531 transcript:rna40531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MRSLIPSHHHRFSTAISAAIVANTTTTPSLPQSYKIQPPIKPWPHRLNPKLLSSLISRQHDPHFSLQIFLHAQNHHKPPFSHNPQTYQAIFLKLSKFRCFSEIESLLAGLRSSPPHCCGEEPIVTVIRGYGLAGKPVRALKTFLRIESFGIRPSVRSINALLNSLVQNKRYRLAFLVFKNCGERFRVLPNVVSCNILLKALCKGNEVEVAVKVLDEMPGMGLVPNVVSYTTVLGGFVWRGDMDGAMKVFREVLDRGWSPDVTSYTVLVDGFCRLGKLVDAIRVMDIMEDNGVEPNEVTYGVMIQAYCKEKKSGEAVNLIEDMIAKDLVVGSELCCKVVDLLCEEGNVEKACEMWRMVSRKNCGLNGAVVVSTLIHWLCKKGKVLEARNVFDEFGKGSVASLLTYNTLIAGLCEGGELCEAARLWDDMVEKGVAPNAFTYNMLIKGFCKVGNAKEGIRVLEEMLENRCLPNKSTYTILIDGILLLGGMKQEINKVVSLAMSTGVDADLWNIFVKPVVGNDNGGEAELDRILLENAP >RHN72192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5637937:5643571:-1 gene:gene7876 transcript:rna7876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PRELI/MSF1 domain-containing protein MVKSYKQEHVYNHPWERVTSASWRKFTDAENKRVLPHILDCNTLNTSLDSSSGKLYATRAITVRCPWLVRRIIGEDICHCVESTIVDAKLRSMQICYRNISMEKFIEVEEKTRYDPHPDNPNGWTVCRQETRIRIKPLSALASMAEKVEQRCADRFLQNSAKSRDVMERICKYLEAESSSFSL >RHN51143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14412298:14412790:-1 gene:gene35528 transcript:rna35528 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLPLISLFIEESQAGIKISPQDSSYYGQNDRKFMNRSDGAIARGAWTCECREPKAEGNAE >RHN58117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43856491:43863919:1 gene:gene33726 transcript:rna33726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase response regulator and transcription factor RR-A-type family MSVIVNGRCSSPKIKSWKGNESLHVSNSSTTCRRKLFLLLFWVFGVAVVIGSVWFVFSTFNFKHLIKETACEKSAQTLLQRYNVSKKQLHVLASLFSGSDQILSNCIDERRLQMLLSSDIINTPQLMCPKNLDLQKEHRYVAESVEQCPILDDFVHMRLGLSFPWKAYVTLVSALLSDLVSVLLRGKVMRVCAVVDNVNLSSLIKGCCLVLIGIILSHKLSGFCLLRRNQKQKVVKEHPTAPQKRIQHCMLGHSKATGWRRKKLLVIFMSVGILGSAWLFRYMSSDIAQRREEMLATMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKHPSAVDQKIFGEYTESSAFERPLTSGVAYALKVLHSDRMHFEKQHGWTIKKMETENEALVQECIPENLDPAPIQDEYAPVVFAQETVSHIVSIDMMSGKEDRENILRARASGKGVLTSPFKLLKSNYLGVVLTFAVYDSKLPPDATPEQRIEATVGYLGASYDVPSLVDKLLHQLASQQTIAVNVYDTTNASDPITMYGTDVLDTGLLHISGLDFGDPLRKHEMHCRFKHTPPFPWTAFWASVGVFVITFLLGYIFYAAINRIAKVEDDYCQMRELKVRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDDNQMDFAQTAHTSGKDLISVISEVLYQAKIEAGKLELEAVAFHPHAILDEVLSLFSEKSDEKGIELSVYASNQVPEVVIGDPKRFRQIITNLVGNSLKFIHNKGHVFVSIHLANEVKNPLHIMDAVLRECLNLNQDMSERTCNTLSGFPVGNRWKSWEKFQKLNLAHESETIQLLVTVEDTGIGIPTDAQSRIFTPFMQADSSTSRTYGGTGIGLSISKCLVDLMGGEIGFVSEPEIGSTFSFTGNFRKGETESVDEKWQKNNPLASEFQGLRALVIDRRKIRAEVTRYHLQRLGMSVDVNSSLQSACFSLSDTCNSSMLTQLAMILIDKDSWDKESSILYAIKKQGQNGVKGDSLNFPKIFLLATHLSPNERKELKSVGIIDDVLMKPLWQSVLIRYYRESLGTGRKQIDRKKVSQLENLLIHKRILVVDDNAVNRKVAEGVLRKYGAVVTCVDGGKAALKVLKPPHNFDACFMDLQMPEMDGFEVTRRIRYMENEVNEKIACGEELAEMFGNISYWHIPILAMTADVTQASNEECKKCGMDDFVSKPFEEEQLYTAVACVFSFGS >RHN42762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40740141:40740623:1 gene:gene49248 transcript:rna49248 gene_biotype:protein_coding transcript_biotype:protein_coding MCVTVYDRNSEIDPPTIPHRHFPLCTASINCHLHNRNRHVPPSSSMLMNDQNESSNTRLKRVGQWEMSKFLSEIPSIVLPTSVTNWFVMLGFMGEPSCLTPHKD >RHN53201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42791517:42809342:-1 gene:gene37981 transcript:rna37981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloroplast envelope membrane protein, CemA MFFMSSSILLSPNNFILFKHHKFALPSSNSNNFLSHHHHQLSFQNQRFTTFISKAKNHKNKKNSTTWWQKFFQQDDGNWLGLREDDMVEEDVEFEVEEEQELSENEKFEAWKQRAEAIIDIREAQEDRKNQDHRKWEDWLLEDEDVSSSSSSSSSSWESGMKDYREELRGEDDDFNENNGVVQSVKYLIFGRENGDNDDDMLYEDRVFNYASSNSAKFLGVLIVIPWAMDFLVHDYLLMPFLDRYVKTVPLAAQMLDVRRYQKLEMIEELKIDKRRFELEVEIGKSPPLSDNEVWWELRNNALELRDEWRLENRRAFANIWSDTVFGISLFILLYFNKSKVALLKFTGYKIINNISDTGKAFLIILITDIFLGYHSESGWQTLIEIIVEHYGLEVDQAAITIFVCFVPVIVDACVKLWLFKFLPRLSPKVMSIFQEMKRH >RHN48732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49804446:49805286:-1 gene:gene43480 transcript:rna43480 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGKGWIGPVDRPQRINTIDLLPEYKAWASFILTVIEQTSSSSEMIRDRVIILLAMIADKDIDENYDRKLRAAEEHQAMEQDHVPQVPTQQVPLPQVQQQGLPPYFVEYTYAMANWAQDIYSRDRMPPPALPQPFYEAAELYRQSSVARTNAYDRFASPLEMENYFAEERQRGARAEERIRAEYYRIQAERHPDADTQPMYYLFPPSGPGGSSEAQQ >RHN39119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4244732:4245716:1 gene:gene45115 transcript:rna45115 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVPIITKRVWSMIRVALFMLRKGISKGKLMMDLNMMVKHRGKLAGKAITNLMFHHHHGGSTSSRRNDTRLSTTREYEFSCSNTPKYKFSFNNKRHRNNHFFTCAHAPLTQDDDIVTVNAVKAVLEKMVNNNEVIGEASPVLPGSRRTPMTRQLMVNDLDTDTDAEVDKAAEAFIKRFYLQLK >RHN62415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43267791:43270268:-1 gene:gene24990 transcript:rna24990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pistil-specific extensin-like protein MAKTLAMLLLLLQLISFTTFAEELETLTPTYPPHTTPAPLHPPANAPHHHHHHHIHSPTPAPTPTPSPSPIHTPLHPPYHSAPVPAKPPTHGHHHHHPHPPAPTPVHTPVAPAHPPLHPPVHTPVVPTHPPLHPPAPAHPPLHPTPLPRSFIAVQGVVYVKSCKYAGVDTLLGATQILGAVVKLQCNNTKYKLVQKVQTTDKNGYFFIEGPKNITSYAAHKCNIVLISAPNGLKPSNLHGGLTGAGLRPEKPFVAKGLPFILYTVGPLAFEPKCPR >RHN47252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38314173:38314455:1 gene:gene41827 transcript:rna41827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type MKILVSLQLCLLFSLLFVVTINAQDEANYMSQLLKALTPTPSGWSNNTHHCNWTGIVCQSSQVVAIKLTQWYTP >RHN74279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31428760:31434359:-1 gene:gene10315 transcript:rna10315 gene_biotype:protein_coding transcript_biotype:protein_coding MISNFNCFTFEIMCDVHPSQILCELRNKYLKDGVLEQKKGELKLIHVKNMKISYKTIFYKDSFQKYEDLIQKYLV >RHN46690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34061227:34065463:-1 gene:gene41209 transcript:rna41209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VII-1 family MFHSTKMMKFSSIYLLFVIFFGSVMLQVFSVDDPVFNDDILGLIVFKAGLQDPKHKLISWNEDDYTPCNWEGVKCDSSNNRVTSVILDGFSLSGHIDRGLLRLQFLQTLSLSGNNFTGFINPDLPKLGSLQVVDFSDNNLKGTIPEGFFQQCGSLKTVNFAKNNLTGNIPVSLGTCNTLANVNFSYNQIDGKLPSEVWFLRGLQSLDVSNNLLDGEIPEGIQNLYDMRELSLKKNRFSGRIPQDIGGCIVLKSLDLSGNLLSGGIPQSMQRLNSCNSLSLQGNSFTGNIPDWIGELKDLENLDLSANRFSGWIPKSLGNLNMLQRLNFSRNQLTGNLPDSMMNCTKLLALDISNNQLNGYLPSWIFRNGNYHGLEVLDLSSNSFSGEIPSDIGGLSSLKIWNMSTNYFSGSVPVGIGELKSLCIVDLSDNKLNGSIPFELEGAISLGELRLQKNSIGGRIPDQIAKCSALTSLDLSHNKLTGSIPGAIANLTNLQHVDLSWNELSGTLPKELTNLSNLLSFDVSYNHLQGELPVGGFFNTIPSSSVTGNSLLCGSVVNHSCPSVHPKPIVLNPNSSAPNSSVPSNYHRHKIILSISALVAIGAAALIAVGVVAITFLNMRARSAMERSAVPFAFSGGEDYSNSPANDPNYGKLVMFSGDADFADGAHNLLNKDSEIGRGGFGVVYRTFLRDGHAVAIKKLTVSSLIKSQDEFEKEVKRFGKIRHQNLVALEGYYWTSSLQLLIYEYLSSGSLHKLLHDANNKNVLSWRQRFKVILGMAKGLSHLHETNIIHYNLKSTNVLIDCSGEAKIGDFGLVKLLPMLDHCVLSSKIQSALGYMAPEFACRTVKITEKCDVYGFGILILEIVTGKRPVEYMEDDVVVLCDMVRGSLEEGNVEHCVDERLLGNFAAEEAIPVIKLGLICASQVPSNRPDMSEVINILELIQCPSEGQEELLE >RHN62933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46824522:46826992:-1 gene:gene25571 transcript:rna25571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MSSGSGDKQNMHEQNGCFDPNTKDEGVENSPNDNNTNNNNSLEENFKPSVEELPYHNHQNSQHLDDVSTYTNGFTPSSVDIEQLQNLGLNIGNTYNNMDNHLVQEVYQNSTWDPSVQDMDYVNHQEHRQLSEQQYQQFIEAQNHNQSYNPSTILDPHYPSPDVLNLLNLPRCSSSLLTNSSTICMTNPTQNPPNFHNSMTFLGDLPIGSSDNTSGSSVLYDPLYPLNLPPQPPALRELFQSLPRGYSMPTNSRNGSLFGGGDEMEGDGDMGVLEFNRVTASVGKGRGGKATKHFATEKQRREQLNGKYKILRDLIPSPTKTDRASVVGDAIEYIRELIRTVNELKLLVEKKRHGREMCKRLKTEDDAAESCNIKPFGDPDGSIRTSWLQRKSKDSEVDVRIIDDDVTIKLFQRKKVNCLLFVSKVLDELQLELHHVAGGHVGEYCSFLFNSKVNEGSSVYASAIANRVIDVMDTQYAAGLPHISRL >RHN68350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34789435:34791126:1 gene:gene16691 transcript:rna16691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVPFSFFLFLFFSLILFVHSSSISNIPNFDPDTDLYGDAKLFPDKTGGGSHVKLTHHSSLTAGLLLRRQPLTFTDPISFSVEFTFSISHDAGDGLILLLIPGDLAAAFPGNGSYGLGFSPPTPTNSYLGVEFDTSKDDNVGDLNANHVGINLGSLVSVAVANVSKSNLVLNSGEKLKSWVDYDNGLHRLEVRLSKLNEAKSEKPIVSHNIDLFKIWGNQPIFLGLSSSNDAHSIQVVRVYSWKVNLKNGTNSLHSQPVNPNDEQEQSKLDAANRRKYRPLTFLAGVIFGTVCVVLVTFVVLFMWVIFFHKHEEESLAKLPEHPSDVRYERIDVAVEKNAEDHDEQH >RHN76753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:995369:996808:1 gene:gene126 transcript:rna126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MFFIPSQLEVLLLNLSLLEFQLHLSNMEFHLFHIITFLMLVLVGTNAAMLPPQLYWQSMLPNSPMPKAFTNLLHPAGYWSKEKARDASNGGLDVGVRKGYEGGGTYLNDEKIIPLIYFYPIPIPLNESQIQLDDKQNVTLFFLKKDLHHGTKLNLQFKETTSNNNGTKFLPREVANSIPFSSNKMENILNKFSIKEGSKEAEIVKRTISECEANGIKGEEKLCVTSLESMVDFTISKLGNNVEAVSTEVDKNSNGLQQYVIAKGVKKLGEKNKTIVCHKENYPYAVFYCHKTDSTEVYSVPLEGVDGNMVKTIAVCHTDTSEWNPKHLAFYVLKVQPGTVPICHILPQDHVVWVSK >RHN46817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35119213:35119969:1 gene:gene41348 transcript:rna41348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphopantothenoylcysteine decarboxylase MIMNFDAKRKPRIVLGACGSVAAMKFGLVLRALSEWAEVKAVVTKTALQFLANEKAESMFEVIFCDDHDWKNRKKIGDSVLHIELAKWADIIVIAPLSAHTAAKIAGGLCDNLLTSIVRAWDYEKPMFVAPSMDGCMWRNPFTEQNFMSIEELGVTLIPPVQHMQTNMREMADPSTISSTVKSFYDSKILKDK >RHN59996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16256649:16262089:-1 gene:gene22176 transcript:rna22176 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKSKLFKGQTKKKSIPANRHGKVPQTRKGKRFVKPTKVTKDMDADREVSKFINHCNEVKAATVATKDGGYLSIVKTAPESASGADK >RHN74561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34762847:34767690:1 gene:gene10649 transcript:rna10649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor, GTP-binding domain, tr-type G domain-containing protein MVKFTADELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDDSLKNPLEAGSQAATLVTDIRKRKGLKEQMTPLSEFEDKL >RHN64349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58388470:58396120:1 gene:gene27157 transcript:rna27157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor CG1-CAMTA family MMMANNLPGQLVGSEIHGFHTLQDLDVASITEEARTRWLRPNEIHAMLSNHKYFTINVKPMNLPKSGTIVLFDRKKLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGLDNPNFVRRCYWLLDKSLEHIVLVHYRETQEFQGSPITPVNSNSTTASDPSAPWLLSEEIDSGTKTAYAGEINENITVKSHELRLHELNTLEWDDLVVANDLNTSVVPNGGKVPYFDQQNQILLNDSFSNVVNNASAEIRTFNNLTQPIAVSNSIPYNFSESVTLQTISNQGYQNEQRNHPVSSSGVDSLNTLVNDRLQSQDSFGMWVNQFMSDSPCSVDDSALGSSVSSVNEPYSSLVVDNQQLSLPEQVFNLTDVSPAWVSSTEKSKILVTGLFHKDYLHLPKSNLICVCGDASVPAEIVQDGVYRCWVPPHSPGFVNLYLSFDGHNPISQVVTFEYRTPVLHDPVASMEEKNNWDEFQLQMRLSYLLFAKQQSLDVISSKVSLSRLKEAREFSLKTSFISNTWQYLMKSTLDNKIPFSQAKDALFGIALKNRLKEWLSEKIVLGCKTTEYDAQGQSVIHLCAILEYTWAITLFSWSGLSLDFRDKFGWTALHWAAYYGREKMVATLLSAGAKPNLVTDPTHQNPGGCTAADLAYTRGYHGLAAYLSEKSLVEQFNDMSLAGNISGSLETSTDDPVNSENLTEEQLYVKDTLAAYRTAADAAARIQEAYRQHSLKLQTEAVEFSSPEAEARKIVAAMKIQHAFRNFETKKVMAAAARIQHRFRTWKIRRDFLNMRRQAIKIQAAFRCFQQRKQYCKIIWSVGVVEKAVLRWRLKRKGFRGLRLNTEEESGDQNQQSDAEEEFFRTGRKQAEERVERSVIRVQAMFRSKKAQEDYRRMKLALNQAKLEREYEKMLSNEVDMKMKR >RHN45852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26299373:26299678:-1 gene:gene40273 transcript:rna40273 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDVTYAKLYLTNGTGSKFSGFCRVSLADQIWHTNLGFFSAAALTFVFPWPCVADRSEPVPVQQQVTAPKKSFAEALGGKSITADHQRGCPLYQDHADCL >RHN60562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28228924:28230141:1 gene:gene22888 transcript:rna22888 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVKNHRNSHVETHRRGRLTEKSSSFHGHTSATLSSAKLRRPKTMPDLVQDRKRAAMTTLPPEFFPRQPTKLLIKVMMMGSLGPVQVLMTMESTVGDLIAEAVRLYVKEGRRPILPSKDASCFDLHYSQFSLESLDRNEKLREIGSRNFFMCPKKLEESDNGGDRNVTASFSSCSRQVEKVSKGFGLLKCIDFLL >RHN55425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18195254:18197566:1 gene:gene30603 transcript:rna30603 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTENQEALVNSSWEAFKQNLPKYSVLFYTIILDKAPAAKGMFSFLKDSAGVQDSPKLQAHAEKVFGMVRDSAVQLRVKGEVVLGDATLGAIHIQKGVVDPHFVVVKEALLKTIKEVSEDKWSEELNTAWEIAYDGLASAIKKAMN >RHN45226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13237984:13246998:1 gene:gene39460 transcript:rna39460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PDZ domain, immunoglobulin E-set, atypical dual specificity phosphatase, subfamily B MLFVDPNLPVPCLLFCLPQNSHASLLHFHNQRLTVKSTFSLLFSSLLFSSTTMLSLQFNIHHLPSPQLLRTSNISSFSPTSLSLFYNKHHLFRYNVRTLKNYRVFAMSGDNDSAFKMNLNEYLVTLDKPLGIRFALTSDGKIIVHSLAKGGNAEKSRIIMVGDTLKKAGDSSQNSLVEIKDVGDTQKVLKEQTSSFSLVLERPASTFPIQLLHNKTNDLEIVYNRGRVPVVTWNKTLLASNLQPSSDSCGNSGFSTFNSKFLNSNGSKLLSNQNQHAITHGERNSLTEQTIQLACVFTDEASRDGDWAHGSFPLEEYIQALDRAKDEMYYNHSLGMRYSKITEQIYVGSCIQTGDDVETLAKVEGVTAVLNFQSGTEAENWGINVKSINDYCQRNNILIINYPIRDGDSYDMRKKLPFCVGLLLRLLRKNLRVFVTCTSGFDRSPACVIAYLHWMTDVSLHAAHTWVTGMHTCRPDRPAIAWATWDLIAMVEGGQHDAPTHAVTFVWNGHEGEDVNLVGDFTGNWKEPLKAKHRGGSRHEVEVKLPQGKYYYKFIVNGQWKHSTSSPAERDDSGNVNNIIMIGETASVRPSVQHQQKDANVVKVIERPLNEKERFMLAKAARCIAFSICPVRLAPK >RHN77807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9535122:9536606:1 gene:gene1300 transcript:rna1300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase STE-STE7 family MLLKWLVFLIFLKGLSYLHAVRYLVHRDIKPANLLVNLKGEPKIIDFVISVGLENSVAMVNWKLSEHILMSLSLQDTSVNICIGSLFHEIKSANLPGAKALATSFAMSRFAGDSAPDKRSFAKAFCILPVFPPLENATFLVMQWDLISNSCTLTVYEYWLYHSNG >RHN64755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61570063:61572738:1 gene:gene27610 transcript:rna27610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactosylxylosylprotein 3-beta-galactosyltransferase MKSPKPNRKRFMLLCFLSIFFLCILASINEVRFENLLRFGRCTLSNNTTNTSTSVSINEQQQQQEIRILIGVLTLPDQYLRRHFLRLVYGTQNPEGAKIDVKFVFCNITKEDQKTIIALEIMRYNDIIILNCTENMNKGKTSTFFTSLPEIFNETVNGPDYPPYHYVMKADDDTYVRLNSLVKSLKPLPKEDLYYGFVIPCGSMDPFKHYMSGMGFLVSWDIVEWIHGSDIPKKHVEGPEDKVFGDWMRWGRRGLNRFNAKWSMYNYPDPVSVCSHELVNDTIAVHLLKNQEKWIKTLNFFNHTGPLKESKMYHIA >RHN41867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33706120:33706512:1 gene:gene48231 transcript:rna48231 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLMTKSFLSHVELKKQAQKDFEDVDLELGNLNPTQDPNLSQFFQQVEAIKVEMEEITNLLLDLQQLNEETKSTHIAKVLRGLRDRMDSDMVAVLRKANIIKARLEALEKSNIANRSISECYKEGINPI >RHN56749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33377839:33378094:1 gene:gene32190 transcript:rna32190 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAAMKRAKRVFSRRRRSIVECRGFDDDDDDDDVYGVLVRWIYCRGLGGVCGLGVCCLFLCVD >RHN79183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25320045:25320407:-1 gene:gene2916 transcript:rna2916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MGFQSLVLIVIVLLVSAATNQAIPVDINDPHMIKVATFAVTNYNKHNTEANLIFEKVINGVSDVTENGTNYRLTLSANDGSTSNNFSAIVLENPADNFTLTAFALIPHAYFFFRNSFLNY >RHN77623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8203351:8204687:-1 gene:gene1095 transcript:rna1095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MKPQKTMMIILAFTTFITLISGSSSESFPCLVDDNLSPEFLSSKTIYFNMSLDQIPKSVDWRERGVVTSIKDQTSWCGSCWAFTTMATLEGLWQIRTGNLISLSAQHLVDCDMGSKGCKNGSVFSAIKFETEQHVGGVPSEVDYPYKAFQGQCHNDITPSA >RHN80205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36099785:36101336:1 gene:gene4104 transcript:rna4104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MSISLSMCRLIRQPLTSNVQCSGKKLNMRQIQKENVVLVMGATGTGKSKLSIDLANYFPSEIINSDKIQIYEGLDIVTNKITLEEQKGIPHHLLGTHNPNIEFTSNDFREKSTSAIDLIMSGGNLPIIVGGSNSYLEALVDDDDYNFRSRYNFCCLWVDVSMPILRSYIDQRVDQMLNSGMVNELRPFYNPNGDYSKGIRKAIGVPEFDEYFRRESYVDDETRKMLLDKAVSEMKINTWKLAKKQLGKIDWLKNVKRWNIHRLDATPVFKKHGKEADETWKKIVAEPSAMIVAQFLYNSRNPANVVKSSSNLRVPISQGDLMATATC >RHN54595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10679566:10683531:-1 gene:gene29660 transcript:rna29660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MHNRKTMKGGRTFCVPTQLSKQFIITTQHIQISQFKIVPCSSKPLFVMFPTFSFWLYLLFALNFVQNTITSTLRNETDYLALLKFKESISNDPYEILSSWNTSTHYCNWHGIACSLMQQRVIELDLDGYNLHGFISPHVGNLSFLISLNLANNSFFGKIPHELGRLFRLQELLINNNSMTGEIPTNLSSCSDLEVLYLQRNHLVGKIPIGISSLHKLQMLGISNNNLTGRIPPFIGNLSSLIVLSVGNNHLEGEIPVEICSLKNLTGLALAVNKLRGSFPSCLYNMSSLTGISVGPNDFNGSLPSNMFNTLSNLQYFAIGRNEFSGTIPISIANASSLLQLDLSRNNFVGQVPSLGKLHNLQRLNLGSNKLGDNSTKDLEFLKTLTNFTKLRVISISSNHFGGNLPNFVGNLSTQLSQLYVGGNPISGKIPAELGNLIGLIHLSMDNSNFEGIIPNTFGKFERMQQLLLNGNKLSGEVPSIIGNLSQLYLLSIRDNMLGGNIPSSIGHCQKLQSLDLSQNILRGTIPKKVFSLSSLTNLLNLSKNSLSGSLPIEVGKLISINKLDVSDNYLSGEIPVTIGECIVLDSLYLQGNSFNGTIPSSLASLKGLQYLDLSGNRLSGPIPNVLQNISVLKHLNVSFNMLEGEVPMEGVFGNVSRLVVTGNNKLCGGISELHLQPCPAKYINFAKHHNIKLTVVIVSVAAILLTVTIVLTIYQMRKKVEKKNSDPPIIDPLARVSYQDLHQGTDGFSARNLVGLGGFGSVYKGNLASEDKFVAIKVLNLQNKGAHKSFIVECNALKNMRHRNLVKVLTCCSSTDYKGQEFKALVFEYMNNGSLEQWLHPGIMNAGIQRLLDLDQRLNIIVDIASVLHYLHHECEQAVIHCDLKPSNVLLDDDMVAHVSDFGIARLVSAIDDTSHKEFSTIGIKGTVGYAPPEYGMGSEISTHGDMYSFGVLLLEMLTGRRPTDEMFEEGQNLHIFVEISFPNNILQILDPHLVPRNEEAKIEEGKSGNFPPIVEKCLVSLFRIGLACSVKSPKERMNIVDVTRELSIIKKAFLSGGIDLEF >RHN63652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52947645:52949852:-1 gene:gene26377 transcript:rna26377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TCP family MDGGDDLHHHHHHQHHQHHHQHQQQQRQNFPFQLLEKKEDNQEAASCSTSSPYPSLAISPTEPSTSNSNRSNQLVPASTPTTSDPANKKPPPKRTSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSSYFNPNFSLQQRRTLFPGIGLSPSDNNSNNNTSMLLNFQSNNLTNMLQAKQEVRDGNTNSNANANAPSSSTTLDLSETSGEESMGGRKRRTSSGGSEQDLSSLQHQMGSYLMQSSAGSIPASHTQIPANIWMVANSNNQIMSGGDPIWTFPSVNNSAAALYRGAVSTSGLHFMNFPQPMALLPGQQLGNSSGGGGGGGNINMNMNMNEGHLSMLAGLSPYRPVSDHHQQHHQPSGSQSHHHRSGSHEHDDRHDN >RHN64604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60347823:60353500:1 gene:gene27433 transcript:rna27433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase STE-STE7 family MKKGNLGLGLKLSVPQTDQVAFAKFLTESGTFKDGDLLVNRDGVRIVSETEVEAPPPIKATDNQLSLADIDIVKVVGKGNGGVVQLVQHKWTNQFFALKIIQMNIEESVRKQIAKELKINQAAQCPYVVVCYQSFYDNGVISIILEYMDGGSMADLLKKVKTIPEPYLSAICKQVLKGLIYLHHERHIIHRDLKPSNLLINHTGEVKITDFGVSAIMESTSGQANTFIGTYNYMSPERINGSQRGYNYKSDIWSLGLILLECAMGRFPYTPPDQSERWESIFELIETIVDKPPPSAPSEQFSSEFCSFISACLQKDPGSRLSAQELMELPFISMYDDLHVDLSAYFSDAGSPLATL >RHN50440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7154769:7160556:1 gene:gene34723 transcript:rna34723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MILITLLAILVTLLFKVAYGTISSYVLTPIRIKKIMEEQGVCGPKSRFLTGNLNDISTFVSKATSQDMEAINHDIVGRLLPHFVAWSSQYGKRFIYWNGIEPRMCLTEAALIKEFLSKYSTVSGKSLQQQQGSKHFIGKGLLMANGEDWNHQRHIVSPAFMGEKLKSYAGHMVECTKEMLESLQNAILEGDKYEVEIGEYFTKLTADIISKTEFSTSYKKGKQIFHLLTQLQGLCAQATRQHSLPGSRYFPSTYNKEIKSLKMEVERLLMEIIQSRKDCVEIGRSKSYGNDLLGMLLDEIQKSGSLNLQLVMDECKTFFFAGHETTALLLTWTAMLLASNPSWQEKVRIEVKEIFNQGTPSIDQFSKLNVLHMVINESMRLYPPATLLPRMAFQDIVLGDLYIPKGLSVWIPVLAIHHSEELWGKDANEFNPQRFASKSFMPGRFIPFASGPRNCVGQSFAMMEAKIILAMLISKFSFTISENYKHAPITVLTIKPKYGVQICLKPLDP >RHN47860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43082898:43086428:1 gene:gene42507 transcript:rna42507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEMVESFVFDVANSLLGKLASYAYEEASRAYGVYEDLQRIKDTLSIVRGLLLDAEEKKNRQHALCEWLRQIQNICYDAEDVLDGFELQDRRKQFVEASGSTRLKVCHFFSSSNPLAFRFRMSRQIKEIRDRLDKVAADGTKFGLVRIHVEPGLSVHNREITYSHIDVSSVIGRENDKEAIIELLMDSDPQGYGNGHRSLCVIPIVGIGGLGKTTLAKLVYNDKRIDEVFQLKMWACVSVDFDIRQIVIKIINSVFASAAPSVPIAPQEDSNHCDIEQLQIHLRRKLSGQKFILVLDDVWNDDRAKWIELEDLIKVGAVGSKIIVTTRSNSVASMMGTVPSYVLEGLSLEDCLSLFVKWAFKDGEEEKYPNLVEIGKEIVKKCAGVPLAVRTVGSSLFSKFDLDKWLFVRDHEIWNLEQKKDDILPALKLSYDQMPSYLRQCFACFSLYPKDYTFNIVEMTILWIPLGLVQPINGSEKLEHIAREYVHELHSRSLLQDFKDFGYICEFRVHDLIHDLAMYVAKEEFVMVVSRTRNISEQAKHLSIVEKDSLDKVLFPKSKSVRTILFPVEGVGLDNETLVHTWVSKYKYLRYVDFNDSLFETLPKSIAKVEHLRVLGLKNNSKIKKLPHSIFKLYNLQVLILSGCTELETLPRGLGKMVSLQHLFITTKQSVLSLTEFINLKHLQVLAFNHCKNMKFLFSRAHQLISLETLSLYKCGSLETLPLYIFPNLQTLIIIDCKMLDLSLNNESPIQKLRLKHLFIRDFPGLLTLPGWIEGAAETLETLLIYELPNLKTLSQRLTTLTHLKRLHIVGCPQLISLPSDMYHLTALEDLVIEDCPELCRKFKPQSGEYWPMISHIKSVFIGK >RHN74787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36692020:36692668:1 gene:gene10903 transcript:rna10903 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKLPLSLSPIRFSPFGLVAFSVPFLSFSGSVSSLESGPAQVFFFCCDCVMLFVIVVLCDLFIDDFCEKVFLLVYYYEEFWFLEWKRIMFMMHGYEYS >RHN75755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44791660:44792378:1 gene:gene11999 transcript:rna11999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton pump-interactor MKNKKTKTIDGNLGHVSKKFHRFYFVKLSSIDPESISIIKKEEKVIQKMNQDICEITEMITKNGSKRDYLDSVLNRLKFSQHYRIYCMENREKVLRGLYMALDELNFRSMKKANGGCLGEKLDENSLSYLKLHGSKSLAEEKQILRDIKIQQKEKEKEKDVASFKSLEVLKETVI >RHN78632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16925478:16927267:1 gene:gene2259 transcript:rna2259 gene_biotype:protein_coding transcript_biotype:protein_coding MADTQRNRNRPTAVTNHRQPNRLQSRAPSSLQINRTVEWNVAIPLLSPVASSPPPPPQGKKEEMKPQQQRRQIGEQEKIVFKKWQHPAQPFCYEHASVVPPFC >RHN73587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17906626:17908752:-1 gene:gene9414 transcript:rna9414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MDTKRQALLLLLVLTIFPFTIKASSSGGIAIYWGQNLGDGTLTSTCDTGNYEIVLLAFLNVFGGGRVPSWNFAGHCGDWSPCTKLEPEIKHCQQKGVKVLLSLGGAIGSYSLSSPEDAKNVADYLNAKFLSGQSGPLGSVTLDGIDFDIEGGTNLYWDDLARELDNLRQQNSYFYLSAAPQCPRPDHYLDKAIKTGLFDYVLVQFYNNPSCQYNQANGDATDLLKSWNDWTSSVLPNNTVFMGLPASPDAAGNGYIPPNDLISKVLPIIKQTSNYGGVMLWDRFHDVGNDYSNQIKKYVKRPVLRFVTKVSEAIVGSISASLNSMFPN >RHN79339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27737305:27744980:-1 gene:gene3116 transcript:rna3116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative homogentisate solanesyltransferase MELSTSSSSSLHSHSIIPTWNSKNYYSFKPPISAKSTTPKSSKRFGSIGLHHHHHTSFSAHVSKPKRQCKPISIRACSEVGAAGSDRPFADKVLDFKDAFWRFLRPHTIRGTALGSFALVSRALIENSNLIKWSLLLKALSGLFALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWYLVIFFAAAGLLTVGLNFGSFIFSLYSFGLFLGTIYSVPPLRMKRFPVAAFLIIATVRGFLLNFGVYYATRAALGLAFEWSSPVVFITTFVTFFALVIAITKDLPDVEGDRRYQISTFATKLGVRNISFLGSGILLMNYVVTILAAIYMPQAFRRWLLIPAHAIFASSLIYQVQILEKANYTKEAISGFYRFIWNLFYAEYALFPFI >RHN73315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15304891:15305410:1 gene:gene9114 transcript:rna9114 gene_biotype:protein_coding transcript_biotype:protein_coding MQENSPCPPVPRFGEWDQKSPIRDYSMDFSKIQEARKLEKSLGNEEELKASFRHIQRQRSERDSSPTKEELHEVVQLLYQVLILSFLVSSKDH >RHN71318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58193568:58194462:1 gene:gene19985 transcript:rna19985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MASSSGTSSGYSTLPNSGSEEDLMLLMDQRKRKRMISNRESARRSRMRKQKHLDDLAVQLSQLRNENQQILTSVNLTTQRFLAVESENSVLRAQLNELNSRFESLNEIINFMNVANGVFEPVDNNINENYFNNPLNMGYLNQPIMASADMNMIHY >RHN47108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37186868:37191419:-1 gene:gene41664 transcript:rna41664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isopentenyl-diphosphate Delta-isomerase MAQSSIVATLSRHFKRNIIPALFAHSSFRSRSSSLSFRRKAPLSASLSTMGETPVAAVDAGMDAVQRRLMFDDECILVDENDRAVGHESKYNCHLWEKIESENLLHRAFSVFLFNSKYELLLQQRSSTKVTFPLVWTNTCCSHPLYRESELIEENALGVRNAAQRKLLDELGILAQDVPVDQFTPLGRILYKAPSDGKWGEHEVDYLLFIVRDVNVNPNPDEVADIKYVNRDQLKELLRKSDAGEEGLKLSPWFRLIVDNFLFKWWDHLEQGTLKEVIDMKTIHKLT >RHN39367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6506537:6509921:-1 gene:gene45383 transcript:rna45383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MHSQVEELQNFLIDKSFITSEMGRICMHDLFKELGKSIVREKSMKEPRKWNWLWDYKDVHNVISENMVSGPETTVELNVTMHCEACAEQLKRKILQMRGVQTAVAEFSTRKVTVTGTMDANKLVDYVYKKTKKQAKIVPKPEPEPEKKEEENKEGEKPADEEEAKPEENEGETAAEEDVKPEENESEKPADEEEAKPEQNEGEKAAAEEETKPEEKPTEEPKKEEEGENKEEMGGEESKEETKKKESGAVVNIDEGMMYYYQYPPLYVIERTPPPQLFSDENPNACSIS >RHN76047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47275499:47277481:1 gene:gene12321 transcript:rna12321 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFDAWPVFFKREWNRNWPFLVGFAVTGALVTKFSLGLSEEDAKNSKFVQAHKR >RHN76652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:147854:149659:-1 gene:gene14 transcript:rna14 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MDGQLGIINEEDAHNPKYTDGYYSVVPCLLNKFLELHPPDSSTLLLEEVEGKAQLKIISIKAGGMMSLSIDNLGALWMWGNCPHQSKEGIFSIVSNFTPTPVWDFHGHTVVKVACGNEHIIALVSVGESYNGENDDLICYSWGNNNHGQLGLGDTEDRPNPEMVKTFDQDSHWSIYEIACGAFHTALLTHRKRPNDDTLESVCWTFGLGENGQLGHGTTQSTSIPELVQELPQFVNLVSVDCGLFHTCVVSSDGDVWSWGMEKGLGLYPDARVVGEYSSDALSPLVVSNPYEAKFLEPVQVACGAAHTIIVAQEGYKVWSLGRGRSGVLGNGNVVDCYIPTLVLWPPLVEDFHFKQEEPSSFRELDKGKEED >RHN44233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2630295:2632904:-1 gene:gene38325 transcript:rna38325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MSSRRSRQQSGGSTRISDDQIIDLVCKLRQLVPEIRNRRSDKVPASKVLQETCNYIRNLQREVDDLSLRLSQLLATIDSDSAEASIIRSLLNQ >RHN67050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23256716:23257227:-1 gene:gene15182 transcript:rna15182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MKNKQPLTEDTIIEEREDFMLSSVVHETHPTLRTAHFLKPISNSIQEQPPFKFNPSFLNPNDNPLKINFRGWHITQTKWVSWVHKLKQNYESVWKKVGIFDAIMATKCYIHKDQNLLYGVVEKWCSKTNTFVFSFGEATVILEDIMVLGGYPVLD >RHN79648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30876941:30880205:-1 gene:gene3466 transcript:rna3466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L24e MVLKTELCRFSGAKIYPGRGIRFIRSDSQVFLFVNSKCKRYFHNKLKPSKLTWTAMYRKQHKKDIAQEAVKKRRRATKKPYSRSIVGATLEVIQKKRSEKPEVRDAAREAALREIKERVKKTKDEKKAKKAESQAKAQKSVGKGNVSKGASKGPKLGGGGGKR >RHN52345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34173504:34176631:-1 gene:gene37009 transcript:rna37009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine decarboxylase MVGSADVLVNGLSTNGAVELLPDDFDVSAIIKDPVPPVVADNGIDKEEAKINGGKEKREIVLGRNIHTTCLEVTEPEADDEITGDRDAHMASVLARYRKSLTERTKYHLGYPYNLDFDYGALSQLQHFSINNLGDPFNF >RHN44984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10465110:10467049:1 gene:gene39174 transcript:rna39174 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSCQTVEGCGLKFKSHKSRQQHLVNKHKFPTYHSSFFKKSQPSKKQRVKCQRKKLSHKEDTSGMIEVENAAIDNLVSVSRMNTLDSTPSSISSGCRNTKGMSFVPRAFQYGKGSN >RHN45337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17033981:17034843:1 gene:gene39616 transcript:rna39616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MFNIIFCEISPLLLGNVALRMSNLQVNESRSLCLLLDNLLFLQGWFSRFPMYQNSDFFITGESYAGHFAPQLAELILQTKANIRLSSVWIDGT >RHN60762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30249032:30250285:1 gene:gene23120 transcript:rna23120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MADQVKIHGFWYSPFTLRVVLTLKLKGIPYENIEEDRFNKSPQLLQYNPVHKKTPVLVHDGKPLCESMIIVEYIDEIWPQYPLLPVDPFERAQARFWVKYVDDIIPVVGALFRSGGDEERKNIIAKIWEHLKVVEEQCIDYEKKLFGGDTVNIVDIALGSTIKFLVTIEDIIEFKILQAEKFPHLHTWFDNFKNVPVIGENLPDHEKLIAFLKALIQK >RHN53216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:169116:170552:-1 gene:gene28104 transcript:rna28104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MSQTQLVCTQSQSSSQFITDDDLLSNPLIMPLADILQLQEISYCVTVAEVEKVNSTKFGWYYFACFKCGKIAKGDNPPYTCESGHNTETEIVRYKLDLNVSYENTKGTFVMWDREATQLLGISAAQLRTNMIQAGITNRLEYPMLLDGIGEKTYVFKVKWQPKWKTTSVVCYRDADALVNLVKAKFPNAKVIPLLNESVGVDKPNDNTSLTEEVTMSAQELSGTAENDPDTLSQLTPISDKLQLKLNKDPSFDIPTPMTLVQPVMLPNPPLFEEIIPAKQSSSKRVKVIKTEKK >RHN60784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30380060:30380564:1 gene:gene23159 transcript:rna23159 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFLPEIVSFINYPPEINKTSRYKHPVSDSNIRSNHNLST >RHN74152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29349476:29351376:1 gene:gene10153 transcript:rna10153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MHGILAIKTDQIICLFVRCKRKKSMAKTLKFVCVVVILFLFLILVAAEMDELRWSCTEDKHCPMCKSPQFMACIDYKCSCW >RHN66533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13486519:13490667:-1 gene:gene14537 transcript:rna14537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MKFYLVIVILAVRIFEGCDCKMVQFIFGDSLSDVGNNKYLSKSLAQASLPWYGIDIGNGLPNGRFSNGRTVADIIGDNMGLPRPPAFLDPSLSEDVILENGVNYASGGGGILNETGSYFIQRFSLYKQIELFEGTQELIRSKIGKFEAEKFFQEARYVVALGSNDFINNYLMPLYSDSWTYNDETFIDYLVGTLQEQLKVLHSLGARQLMVFGLGPMGCIPLQRVLSTSGNCQEKTNKLALSFNKASSKVVNDLGKQLPNASYRFGDAYDVVNDVISNPSKYGFQNADSPCCSFGRIRPALTCIPASTLCKDRSKYVFWDEYHPSDKANELIATELIKKFGFKRVDQAETPSPSPEVAPSPSDD >RHN78086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11780629:11781828:-1 gene:gene1601 transcript:rna1601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin 11-oxidase MEIEYWVWMCAILLASYFCLINFMWRLNEWYYNMKLKKKQYPLPPGHLGWPLIGNMLAFVKDFSSGHPDSFITNLVSKSLSFSSLNIHLHTHTHARAHTNMLFYIFYTHSLSLVFRNGRTGIYKTHLFGSPSIIICEADMCRRVLLDYETFKIGYPKSTMEVIGFKSFWSSVEKEHKGLKRLVSALTMGHNTLEMYLPRIEDIVINSMEEISSMNHPVEFLKEMKSISFDIIISIIMGSYNKNILTKIENSFSDVFAALYCMPIDLPGFAFHKGLKARKKLVKLIQPIVEERRLMIKNGEKTKDKDLLDILLEARDEDGFILRMRILLTCG >RHN47365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39181457:39190311:-1 gene:gene41957 transcript:rna41957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MVAQSLEIRDSVANDCVVPKLLKVSMTPKVKIKVKYGGKKLRDESKDATTTEVTPMSLQRRSSSRIQAKQKEVEEIQLRRKAEGVEAEEKSDEVEVSPVKSRKRVRRSPSRESVVKEVVEEKETTEKKKEDVVVEGKPTKKRKSASDEKRELMEVWNEKMAAETENAGGALSDKSSTAKVKETIRTFNKHYLHLVQEEEARVAKDNAEIEKRGGGKKSASKKKSPKKSPEKKSPKKSPGKKSTKKDAEPKEKQHSAKRPDLKAITKMMQNKEILYPDKRIGSIPGIEVGYQFYSRAEMVAVGFHSHWLNGIDFIGQSYSKTYPKLKLPVAVAIVISGMYEDDLDNAEDVVYTGQGGHNLTGNKRQIQDQKLERGNLALKNCWDQKVPVRVIRGHDSRSSYTRKVYTYDGLYKVDRYWAEKGISGFTVYKFRLRRVEGQPTLTTNQVYFTMGRVPQSTAEIRGLICDDITNGQEAVPIPATNLVDDPPVPPPPGFTYLKSLKVAKGVKIPESASGCKCKDKCTDPNTCECAKRNGSEFPYVSKDGGRLIEAKDVVFECGPNCGCGPECVNRTSQRGLHYRLEVFRTAKKGWAVRSWDFIPSGAPVCEYTGILGRTEDVDSVLENNYIFEIDCLQTIKGLGQRERRSKNVAYASSLLEKYDDQDSESAPEFCIDAGSTGNVARFINHCCEPNLFVQCVLSTHHDLRLARVVLFAADNIPPLQELTYDYGYALDSVLDSDGKVKQMACYCGATGCRKRLF >RHN66672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16059744:16068217:1 gene:gene14713 transcript:rna14713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdopterin synthase MAAEDNKDLIEIVENLNSIDVAKYMNFVSAPQAGAIATFSGTTRDTFDGKIVVELIYEAYIPMAIRCIKSVCSSARESWNLHSIAVAHRLGTVPVGGTSVFIAVSSVHRADALEACRYLIDEIKATVPIWKKEVYSNGEVWKENTEFLERRSELGKKDVVADCSVKKSEIKEHSKKLCCGNKVRVDDEGRKE >RHN44002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:664102:664740:-1 gene:gene38046 transcript:rna38046 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKTRPLSRERLKELFKFCGVNLFVIGVVISCRSQAQSDTFFQDAEVQYYFELFHFGFEILFNVALTFAILDNPPMQYLIPSLFIILGHGFLAHCMVNLSTLRLAIGEEKDQPPCPASSRSGDSFRTDLLRWLPAILCVFSVVAVSFWRYQYGDVANVGKGPNGAGADSGEPDDAVKGPNGAGADSGEGPNGAGSDSRKPDAGGSQAWKT >RHN66966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22260291:22261736:1 gene:gene15093 transcript:rna15093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative receptor protein-tyrosine kinase MAFRVDLSYNSFSGTIPYSWKNLGLNYINLWSNRLSGEVSLHFSNLNQLENMNLGENEFSGTIPILISQDLEVVILRANQFEGTIPPQLFDLHILFHLDLANNKLSGSLPHCVYNLTQMDTDHVYGWYPTTIDLFTKGQDYMYRVSPRRRTIDLPANSLSGEVPLELFRLVQVQTLNLSHNKHGSLNFLEVLNLSCNNFDGKIPIGTQLQSFNVSSYIGNPKLCGAPLNNCTITEENPKTALPSTENEDDESIKESLYLRTGVGFAAGFWGICGSLFLIRKWRHAYFRFIYGIGDKLYVTLTVKLNSFRRN >RHN58335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:900468:902885:-1 gene:gene20238 transcript:rna20238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MDQQNKKSFQNPRNVIFNKYEMGKVLGQGNFAKVYHCKNLSENESVAIKVIKKERLKKERLVKQIKREVSVMRLVRHPHIVELKEVMATKGKIFLVVEYVKGGELFNKVAKGKLNEDVARKYFQQLISAVDFCHSRGVTHRDLKPENLLLDENEDLKVSDFGLSALPEQRREDGMLLTPCGTPAYVAPEVLKKKGYDGSKADLWSCGVILYALLCGYLPFQGENVMRIYRKTFKGEYEFPEWISPHAKMLISNLLVPDPKKRYSIVDIMNHPWFRVGFVRPIAFSMKDLSIEDNNKDINIDFSEIGEDDVDIPELVMVKPSRPFYNAFEIISSLSHGFDLRSLFETRKRLPSMFISKFSASTMLEKLEVMGKKLNLKITGKKEFVVRMEGAREGRKGKVAMTMEVFEVAPEVAVVEFSKCGGDTLEYVKMCEEQLRPSLKDIVWSWQGDNHNDNNPQ >RHN66160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9306014:9306358:-1 gene:gene14088 transcript:rna14088 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQYARDLIGSLFTFLFFSFGYKELKVGREYMVIVFNGFINA >RHN42296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37199405:37203829:1 gene:gene48720 transcript:rna48720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MDETNEQQYTGDGSVDHKHRPALKANTGKWKACPFILGNECCERLAFFGIATNLVTYLTTKLHENNTSAARNVSIWQGTCYLTPLIAAILADGYLGRYWTIAVFSMIYFVGMSILTLSVSVPPLKPAECLGSLCPPAAPMQYFVFYLGLYIIALGTGGVKACVLSFGADQFDDTDSKESAKKASYFNWYYFSIYLGAIVSCSLIVWIQDNAGWGLGFGIPALFMGLSVGSFILGTPLYRFQKPKGSPITRLCQVVLASVRKQNLVVPEDSSLLYETPDQKSGVEKSRKLKHHDDLRFFDRAAVVSDSEKRSGDYSNPWRLCTVTQVEELKILIRMFPIWATGIIYSSVYAQMSTLFVEQGTMMNTRIGSFKLSPASLSTFEVGSVVLWVLVYDRIFVPIAKKFTGKKRGISVFQRIGTGLFISGLCMLAAAVVEIKRLQLARELDLVDKPVAVPLSVLWQIPQYLILAAAEIFQFVGQLEFFYEESPDAMRTLCGALPLLNFSLGSYLSSFILTIVTYFTTRGGRLGWIPDNLNKGHLDYYFLLLFGLSLLNLFVFIVSAKIYKPKKAS >RHN71109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56527725:56529396:1 gene:gene19753 transcript:rna19753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthoxin dehydrogenase MYNNAGIPCKTPPNIVDLDLESFDKVMKINVRGVMAGIKHAARVMILRGTGSILCTASVTGVIGGMAQHTYSVSKFVVIGIVKSMASELYRHGIRVNCIPPFAIPTPFVMSEMEQIYPHLDSQRLVEIVRNVGVS >RHN45078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11456224:11464629:-1 gene:gene39278 transcript:rna39278 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSGVLASLWSFILFLPFFIGLLLLGIIKGVIICPLACLIISIGNFGIIIGLWPVHVIWSYYCVLRSKQLGPSLKAVICIFVLPVLLTLWPILGIFGSIVGGGAYGLLQPIFSTIQGVDEGKEDKLRHCLTDGTRSTLERSCMVVQDLKDVCYVSYFSVMDDLRNNGPPDSKYYEIRLLYLPVAVLAALIGIIIDVPVISTVALFKCPYMLFKGWHRLFHDLIGREGPFLETICVPFAGLAILLWPLAVAGAVVVSMFSGYFIGAYAGVVAYQESSFSFGINYAIAALSIYDEYINDILDMPEGTCFPRPQYRRNVDSALRTSDSASVSRPSSFRKAPSRSSSIKNNNIVELKSLELLDGLFKECCIVGGKMISEGLITGKDIEEAKSSKGSNVITIGLPAYCLLQGLLRSAKVNSEGILINDDTELTPSNRPREKFFEWFLNPLLIIKEQIKAENLSASEEDYLCKLVLLRGDAERIKNSCIGPGPESEVKRAELDALARRLQGITRSMSRFPTFKRRFDELVRTLYDDHVEKHGLPSPTMNRSQSAFGRLVSFKSVRGARTYGSGQGSEHIVRDEEKS >RHN79298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27244834:27245553:1 gene:gene3068 transcript:rna3068 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIQYVSVFDIDICSSIQLLLFFECIGTVSLLKLCNFNKLFFFFMFYNVTFSGRMDSKSVKSLPERRSNRKRKVDHVAESPQETSTNVSPATSAKTGDGTSIWDDNFPFGDFVDKQFIAEKDCEAFDVWGLEKCSQVMLEDSVRSVFLVRSMGKMVRDLEKKNKACIEENTELKKLSEYEKDVGRLKENLEELSSEKSQLMEKEENLIEENSKIQIKLLVKEDIIGSGEFNNQITLSV >RHN44267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3035793:3036086:-1 gene:gene38365 transcript:rna38365 gene_biotype:protein_coding transcript_biotype:protein_coding MTYRCYSRWSKFHEFAIGIWKQEAPPRTVEQHCRNYDLESPLYVETLSSSYAPYRLISFSIMHALAYQNLHYQESKQDLIHQEAAQYLSSSQPRCIT >RHN71830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2886719:2888236:-1 gene:gene7470 transcript:rna7470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRTPCCEKNNGLKKGPWTTEEDQKLIDYIQKHGYGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIASRLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHTPRLDLLDLSSILCSSLYASSSNSSSQINNFQNQQPLLNPDLLNLASSFFQHQQDYNNPQIQNQVPLSHFLQFQDQLPQVNSNVITTTFTTPCVSSLPNVDTYTSNFTDLSNYQQYSVHDIDCHQNNGFGLSNLKEEDYIPQLSNYNNYYGSDNQNLMYYQTSNQLLSTPSSSSPTPLNSNSTCIIGSNTEDERESYESCNRLNFEIPAHILEANDFM >RHN81948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50038738:50041333:1 gene:gene6050 transcript:rna6050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAUS augmin-like complex subunit 2 MSDALSIATDLGYSVSTPSSKEGRQNSSPATGEKGEVLIKVLRELTTVQRKIADLQVELQGRKDDKTVAHLTHVSEMEKKIETLSRITTILKDVIQNKDRIIARLQQPYSLDCIPVEAGYQKQFSELLMKAANDYGALTASVADFQWSQNFKEPPSVWGEMLRPIPVALASCTWYFEAMSATRESLAALQKLRVGNFDSPLPKTPTRDSSQIVPGVSDYLTSLPSQ >RHN69628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45050282:45057148:-1 gene:gene18120 transcript:rna18120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dipeptidyl-peptidase IV transcription factor WD40-like family MNEKRNPKRQKPLPFKMPVTDFNDVQNLDDGILFPVEEIAQYPLPGYVSPTSISFSPDDSLISYLFSPDNTLNRKIFTFDLKTNKQELLFSPPDGGLDESNISPEEKLRRERLRERGLGVTRYEWVKTNSKRKAVLVPLPAGIYVHDISHSKTELKLPSIPASPIIDPHLSPDGSMLAYVRDCELHVMNLLSDESKQLTHGAKENGFTHGLAEYIAQEEMDRKTGYWWSLDSKYIAFTEVDYSEIPLFRIMHQGRSSVGTDAQEDHPYPFAGASNAKIRLGVVSVAGGSTTWMDLVCGGVKELDNEDEYLARVNWMHGNILTAQIINRHQTKIKIVKFDIRTGQRRDILVEENKTWINIHDCFTPFDKGVTKFSGGFIWASEKSGFRHLYLHDANGICLGPITEGEWMVEQIAGVNEATGLVYFTGTLDSPLESNLYCAKLFVDGTQPLQAPTRLTHSKGKHIVVLDHHMRTFVDIHDSLSCPPRVLLCSLEDGTIIMPLYEQQIPIPKSKKLQLEPPEIVEIQSDDGTTLYGALYKPDPSRFGPPPYKTMINVYGGPSVQLVSNSWLNTVDLRAQYLRNKGILVWKLDNRGTSRRGLKFEGYLKQKLGQIDADDQFTGAEWLVKNGLAEFGHIGLYGWSYGGYLSAMTLSRYPDFFKCAVAGAPVTSWDGYDTFYTEKYMGLPSEYKSGYARASVMNHVHKMRGRLLIVHGMIDENVHFRHTARLINALVAAGKTYELIIFPDERHMPRRYSDRVYMEERMWEFIDRNL >RHN53043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41463161:41464759:1 gene:gene37792 transcript:rna37792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate carboxypeptidase MPHQNLTHYIFQIGSTEWVEENREILASRTVAYLNVDSAVGGPGFRASATPQLEELIIKATQKVKDPDNSSQSIYDSWTDSNSSPQFGRLGGRVSDYAPFLQHVGIPAADIAFGKGYPVYHSQYDDFVWMTKFGDPVFQRHVAAASVWGLVALWLADEEFLPFNYSSYAKELQLSMESLKNEISNEDIINLSPMYKSIKELEKAATKINEQIKVCIKYLNTWPLIII >RHN48449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47540896:47542210:1 gene:gene43165 transcript:rna43165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydrofolate synthase MASPKARLAFVVAMASDKDHAGFAREILSDAYVKTVILTEAAIAGAVTRTAPASLLRDSWIKASEELGTDICHDGMTEYRELFKEQPVSSESNLTDGKTILATESSLKDCLRMANEILNRRRDEKGVIVITGSLHIVSSVLASLAE >RHN59973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15514575:15519897:-1 gene:gene22148 transcript:rna22148 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSSFSTIHPRSFSLTTHNHHSSSTSLPLPSLSIISRRRPHSHSNSLFTPRPPITAIYASTNSGGGSGGEGGGGGDGGGDDGEESEDRDRNREEALLVLTEVGKSLESLPADLAEAVKAGRVPGSIVKRFFELQKSSVFRWLLNFGGFRERLLADDLFLAKVAMECGVGIFTKTAAELEKRKENFTKELDFVCADVVMAIVADFMLVWLPAPTVSLRPPPSVSAGLIAKFFFGCPENAFQVALAGTSYSLIQRIGAIVRNGAKLFAVGTGASLIGTGVTNALINARKVVDKSFADEAEEVPVLSTSVAYGVYMAVSSNLRYQILAGIIEQRILDPLLHQNKLMLSAICFAVRTGNTFLGSLLWVDYARWVGVQKIRD >RHN66914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21582899:21585141:-1 gene:gene15036 transcript:rna15036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MNLCILELEFLSYLDLSFNKFDAIRIPSIHHNITRSSNLLYTDLSLNQGPTLHMDSLHWLSPLSSLQYLNLNGIDLHKETNWLQVLNTLPSLLELQLSGNIHGEIPSSLVNLQNLTHLGLSENQLQGSVPYDIGRLVHIQHLDLSENQLRGSIPDGICNLSNIQILDLSHNQLQGPIPYGIGQLAHIQDLDLSKNQLQGSIPSTLGNLSSLIFLSIGSNNFSGEISNLTFSKLSSLDSLQLSNSNFAFQFDLDWVPPFQLSNLYLAHTNPGSNFPSWIYTQKSLQNLDLSNSGITLVDTKKFSRLIERIPGFLILSNNSIVEDISNLTLICSYLLLDHNNFTGGLPNLSPMALTVDLSYNSFSGSIPDSWKNLRELGLLNLWSNRLSGEVLGHLSDLKQLQHIILGENEFSGNIPVEMSQHLEMVILGGNHFEGPIPAQLFNLSSLLHLDLAHNKLSGSMPKCVYNLTDMVTNHFTPSLGITIELFTKGQDYKYRLRPERRTIDLSANSLSGEVTSELFRLVQVQTLNLSHNNFIGTIPKTIGGMKNLESLDLSNNNFSGEIPQSMSSLHFLGYLNLSYNKFDGKIPIGTQLQSFNASSYIGNHYLCGAPLNNCTIEEENPKNSKLSTKNEDDDSIKESLYLGMGVGFAVGFWGICGSLFLIRKWRHAYFWFIDGVGDKLYVTLIVKLNSFLQK >RHN49937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2466391:2467794:-1 gene:gene34174 transcript:rna34174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MSNRRRRNVVSCFLRNGVLVEGVENVCNAVYIHFSSHFQARLVERPSMAGLQFHSLSYGEGVSLVKPFSVEEVKATVWDCDNYKCPGPDGISFGFIKDFLEILKEDVMSFLVEFHMNGRLAKGINSTFIALIPKVDSLQRLNDFRPIYLVGSSMYKILSKVISNRLRSIIGLVISDSQSAFIKGRQILEGILVANEIVDEARQCNKEILLFKVDFEKAYDSIDWAYLDEIMILMGFPTLWRKWIKECIITTTTSLLVNGSPTAEFPLERGLRQGDLLSPFLFLLAVEGFHVLMELFSANNLFTGYQMGRGDSIVVSHLQFADDTLILGEKSWVNVKGMCAAFIPFESLSGLKVTFSKSQLVGVNVERSWLLEAAKVLNCRVDALPFVYLGLPICGNARRLSFWVPVIDRIKSRLSEWKSKHLSLGGRLVLLKSVLSSLPVYALSFFKAPTCIVSSIESILNCFFFLE >RHN41053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26436233:26436688:1 gene:gene47329 transcript:rna47329 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGKARRPKIYVVLAKMKNEDSRVLRRHYKGRNFVPKFQDILHIRKHPKRQRNMHFSTQNKLTCAWCTKWGSRRLHHHPHAPGAWC >RHN46443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31771377:31775343:1 gene:gene40928 transcript:rna40928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation HMG family MTKGKGTAKTSRDALKPVDDRKVGKRKAAAKPEKVPKTKKEKKAKKDPNKPKRPPSAFFVFLEDFRKTFKAENPNVKAVSAVGKAGGEKWKSLTKAEKAPYEAKAAKRKVEYEKLMNAYNNKPSSADDDEEESDKDNSEVNNEDEASGEDDHQDDEEEDDEDEDDDEDDE >RHN43637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47390065:47391705:1 gene:gene50246 transcript:rna50246 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQSFGRYQKPKGSNVKQVLKMMLILAVCAWLLYQIKKTEIEKYGLQIKLVAGCGDKFFGRKGMLSHPDERAFPDSGKVDSVGEVTNEFKSNDKEKEVQLGVEEKGNKMQKNEVESAANTGVIDEVQSFHDENGVPPDGGEENLSTFTIVNWLKKISIYEVTYGEDNDVEMNLEGSMNVTTAEEETNTGTTAHVDTSGLLSRNRWR >RHN71504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:614090:619925:1 gene:gene7112 transcript:rna7112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine hydroxymethyltransferase MDLSHHQSNLSLNFSSSHASPPPRPPIPDDSIALHLESSFRDPSNPVPTVPLQLMEPQTEKENGNAIDIESNNDEDDREVEEFRILGHSMCIKRRRDSESSTITTKRASVESDRGDRLLDLESRKAAVRSWGDQPLQVADPDIYEIIEKEKKRQFKGIELIASENFVCRAVMEALGSHLTNKYSEGMPGARYYGGNQYIDEIETLCCERALAAFNLDPKCWGVNVQPYSCTSANFAVYTGLLAPGDRIMGLDTPSGGNTSHGYYTPNGKKVSGASIFFESLAYKINPQSGFIDYDKLEERALDFRPKILICGGSSYPREWDYARFRHVADKCGAVLLCDMAQISGIIAAKECVNPFDYCDVVTSTTHKSLRGPRGGIIFYRKGTKPRKRGILLTQGHESDQYDFEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKAYMQQVKKNAQALASALLRRKCRLVTGGTDNHLILWDLRPLGLTGKFYEKVCEACHITLNKIAIFGDNGIIIPGGVRIGTPAMTSRGCLEADFETMADFLFRAAQIANMLQREHGKLQKTISKVLESNRDILELRARVEAFATQFALPGFDI >RHN67911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31337845:31339455:-1 gene:gene16163 transcript:rna16163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein Networked (NET), actin-binding (NAB) MSFKKSTKRSLTSTMDRYVRQMLKLIEENGDSFAQKAEMYYQKRPELISVVEEFYRGYRSLAERYEHVTGELWKNIPSDLQSQASNVSDNGSEPHSWPHSPRKMVRKISSSRAAGFDFFLGSGGNSYGYDSPYQKDGDGSSTLTDSDDEFDDASSINSASGLFGNASDHGLNKRVIELEIELREAKEKIFMYEQQEQEHCEDFGVKINAYEQELRNVNEKLRLSEEEINKLKIEVEKYKSNQSSTHFDDSNCSGMSQGQSIQDLGTISTNELPSILEEDIKVKNEEMDLKFFEDELRMAKERVENFEMQISTLKNEASKSHETIQQLQDQLHLSHKETATWKTKFNSETKDNTKLQEKVARLKINVADKEHEIKDLKSVLSDTEQKSFFEKARLKTEVCKLFEQQTQMEETFKDEIEILKEEIKDKKNSIEDLNMSLDGLILESDNLNGEFGLLKEEMNLRGKKIEEAHRHVVELESRAKELENEIEKQKIEILERAEEKREAIRQLCFSLEHYRNGYNVLRKAFIDHKRFPLLAS >RHN63711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53429164:53432798:-1 gene:gene26447 transcript:rna26447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target SNARE coiled-coil domain-containing protein MFNSKNSPVKVGPVNDVKSWSNPFDSDDEENDSRKTSSSKKTSSSERALVTLEVNTNPFDDVDDGKKTPSSTYAHRSADRNRYKNHFRDSGGVENQSMQELENYAVYKAEETTKSVHNCLKIAENIREDAAKTLVTLHHQGEQITRSHHVATDIDRDLSRGEKLLGSLGGLFSKTWKPKKTRTITGPVIFGDDAVRRKGNHLEQREKLGLTSASEGQSRLRTPPQEPTDALTKVEFEKGKQDDALSNLSDLLGELKDMAVDMGSEIERHNKALGHLDDDVDELNFRVKGANQRARRLMGK >RHN52458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35540328:35552656:1 gene:gene37141 transcript:rna37141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ion transport domain, predicted ion channel, cNMP-binding protein MNHFDKDEILILSETHVQRSHEPQDSTFRRNISRTRSASISIPMASLEPYERQPNLVRTTRKTPISQMSGPLYATAATGNPFQNTMIVTENFSTFDGMDKNEHLLRSGQLGMCNDPYFTTCPTHFKVPQQRKPRASTIFDPKFHNSLYGDTKGYGRKLFSFCSSCIPGVMNPHAKVVQQWNKFLAIFCIVAIYVDPLFFFLFYVNKDNKCIRIDMPMATTLVVLRSITDVVYLLNILFQFRLAYVSPESRGAGAGDLVYHPKKIAANYFKSYLFFDVFVVLPLPQIMILIVLPNSLGSSQAIYAKNLLSLVIFVQLIAKLFRFLPWVIGRSSTRIIYESAWANLAVGLLVFLLSAHVVGSCWYLFGLERVNQCLQHACHLAKLPGCMNLIDCNSRWWNISATWSDDKGADACLNSTSGAINYGIYANAVQLTIETTVAKKYMYAVFWGFQQIITLAGNQTPSNSSWEILFTMSIMVLGLLYLAHLIGTIQTSNQSLAQRKVEMQLRGRDVEQWMSHRRLPEDLKRRVRQAEQYSWAATRGVSEKMVLENLPEDLQTDIRRHLFKFVKNIRFFSLMDEDEPILDAIRERLVQTTYIEGSIVFSQGGLIQKMVFIVRGKMESIGKDEIPVLLSEGDASGEELLTWYLEQSAKSKDGKKVKIRGHGLTSDRTVKCLTNVEAFSLDAKDIEEVTTLFSRFLRSPHVQQVIRYQSPYWRSLAANRIQVAWSNRKKRLSQANTTQNNYQTSWHESPYGKSDRPNRIQIAWRNRKKRLRRANTTQ >RHN47281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38465766:38466522:-1 gene:gene41858 transcript:rna41858 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSTTDSSWPWNPNNNQQNQFSITKILGTKPQKPQTSLLGPGFGAGFGCGAGIGFGLLGGFGYGGGGGPFNHLNLVFGLGMGCGLGIGYGFGQGIGYSFDYQTRKSAKSRKSFSDTNKTIVFQL >RHN55310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17122869:17123177:1 gene:gene30475 transcript:rna30475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein TIC214 MMVISIYYVPLYLALIRPHTIIVITLPYLFFNFIYKNDKHYNLDSGYKLDSGYKNSNSCVNFVFTEYSLTIFLIFSYQTPFFSFPKFNLTKNNEYLSLSIQQ >RHN53980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5945493:5945900:1 gene:gene28955 transcript:rna28955 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQAKNEAMNVRSSIEKKVETVDHRSSAGQGQGQEERNVQVIHQPHSKTSGGVLTGAAAAMASTLQSAKDAISKK >RHN44186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2301822:2302124:-1 gene:gene38276 transcript:rna38276 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGHGITSSFIVDAFDNIPKISSSSQILAIQHFDERFIIAFKFNSAKLQSIAHLRRFIEHHSFRHGWIFKLSHSPGSRCQHLSVAVTVDCAQALGAAAS >RHN82529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54515647:54524365:1 gene:gene6700 transcript:rna6700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubulin/FtsZ, GTPase domain-containing protein MELTALPTTFKAISNFTLNQFQCRCSLSLLRHCDYGFRRNRNSKPFLHITMCTEDKLPSSNGYSCGIDIGEEAKSDLVEVIAIGGRKDAVIDFCLNSPFQLSSLRFWNIIVKDSQEPQLQQRSTKQEPCQGIVKAPVFMKSCSKTIVLVASAGYGSDHTVAFDIFETVRSTNGLTIAIVLKPFSFEGLRRKDEVKALMGKLKENTNLLIEIDIDALLKKDLLTLDEAMKTANDAVLLAIKAISVLKSEMHRKFIDRLHNSMKETCNSEITKILECYKEARIGFGAAYNIKTSILQSIFDCPFLGVSLKDPNSVVICIIACSEPINDSDVAVFLRTFRQATEYTRDIIISTVLEPDVEPNLLITTVLTLGLTVQQPSQSGGILSKLALHFPLVFGFWGKHSQQQIVSVKEDAVSSHETMRSYNIDKGENRIAPSIIDDRFDKHCAELQPDTINNSSKLSASRDSEKNEDLFDTLANDPVPYEPVNEEGDSAFPREQLGNWNLGPGFEVAKEWAQEREADDTPMMDNLSIFHLPVGVRPSEESKDCLEISFMSKKHEPEAGVEAVMEFTSSFLKAKHANSNKPKKHGVLSVRAASMLEAERDLSTKWSPVVEIQYRGGRYKGRCQGGLPEGKGRLVLGDGNIYDGLWHSGKRSGPGTFYFKNGDMFQGSWRDDVMHGKGWFYFHTGDRWFANFWKGKANGEGRFYTKSGDAFFGNFKDGWRHGQFFCVNANGERYTEIWDHGVLLDSKRSDG >RHN38466.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000011.1:21810:23990:-1 gene:gene50699 transcript:rna50699 gene_biotype:protein_coding transcript_biotype:protein_coding MWAGSGSDSSFVDWKKYLNPSSEEEGNAESESSTGHNPGHQAQETGANAPGIAGEAGQGPVDMGPTAEVPTAEYLTKRIPAVLHTNRRAQTRILNDVFDTLQLERASPEKLLIISKVLNAIEEDKDNLRNNKNERLDIFLTVKVTEMLNNKS >RHN72360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7058919:7062496:-1 gene:gene8063 transcript:rna8063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VI family MNVPYMLLDLSVLETENGDQSEYSPRSQESDTPSSRASTSDSEGGTRQWRGFFKLIKKGPQMPFHTFHPLKNVPKLTRRKSKRIREEFIPSLNSPALQSSFDSEFMCFKSSWKNFTLAEIQAATNDFSQDNLIGEGGYAEVYLGKLEDGNFVAIKRLTRGCQEEMTADFLSELGIIVHVDHPNIARLIGYGVEGGMFLVLQLSPHGSLSSLLYGPREKLNWSLRYRITLGTAEGLHYLHEGCQRRIIHKDIKASNILLSEDFEPQISDFGLAKWLPDQWTHHTVSKVEGTFGYLPPEFFMHGIVDEKTDVYAYGVLLLELITGRQALDSSQKSLVMWAKPLLTSNNIKELVDPVLDDAYDAEQMKLVILAASMCIDQSSIQRPHMSQVVQILRGEEESLRILKERQKSKLHRTYSEELYAAEDYNSTKFLSDRDRYMETILGPSNPN >RHN58032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43225395:43228036:-1 gene:gene33637 transcript:rna33637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Red chlorophyll catabolite reductase MVVMICSHFQHSSLSILSSSSSSFSSSRLYLSTSRSSVSCSIMDTQKKQGRSKFLEFPFVSAPHKNLMLDLVSTVEDRFESHLLPCSLPPDVQYYKSKNGTSQVSLHIRPSNTDSPIDFVMGSWVHAELPTGGSLDITSLSAYLNSSNDAPNFVFELIRSSPTMLILVLDLPPRKDLVLWPDYLKTFYEDTKLDTHKQALEKIPEVQPYITSSLFIRSVASPTAIFVRIQTENDEGERIDEIIKNHIDPVSKQVLGIWLDHCACAEREVGEEDKAYLIKRDGVIRNKTIEVDLGSSFPRLFGPEAAKQILEAIKEYFTV >RHN58224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62801:69340:1 gene:gene20111 transcript:rna20111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone oxidoreductase intermediate-associated protein MSTSPSATTIAAAVYSKYNNINTTLSVSGQINTTFLSYTKKQHTRSSSVQRLPPIAAAPNTRDGKDSKSNTQNNNNDDDDDNDDKQSVSLSLDDVNPVGLGRKSRQIFDEVWRKFSGLGQISRTIRTDDVEALDALLVREGPMCEFAIPGAQNTTVLVVGATSRIGRIVVRKLMLRGYSVKALVRKADEEVVELLPRSVEIVIGDVGDPNTVKAAVEGCNKIIYCATARSTITGDLFRVDQQGVYNLSKAFQDHNNQLAQLRAGKSSKSKLTIAKFKSESSLDGWEVRQGTYFEDVVTSKYDGGMDAKFEFTENGAAVFSGYVFNRGGYVELSKKLSLPLGSTLDRYEGLVLSVGGNGRPYVLILEAGPSGDPSQSKLYFARISTKVGFCRVRVPFSSFRPVKPDHPVLDPFLVHTLTIRFEPRRQRSDMVNASKNQDSRSFQLILEYIKALPTGQETDFVLVSCSGLGIEPSRREQVLKAKRAGEDSLRRSGLGYTIVRPGPLQEEPGGQRALIFDQGDRISRGISCADVADICVKALHDSTARNKSFDVCYEYVAEDGNELYELVAHLPDKANNYLTPALSVLEKNT >RHN81884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49575555:49576599:1 gene:gene5980 transcript:rna5980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MKLPQIKAAIAALSKVDNLPIPIIHSRPDNDGSTMPMERVKNVNDILDWIASIFGFQKGNVANQREHLILLLANTDVRNRPASDEIREETVEKLMATTFKNYESWCHYVRCKSNIRYFHALL >RHN64256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57696609:57699362:-1 gene:gene27053 transcript:rna27053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MIHCHRLRALLNSPKCCCYLLHLHPRRSLNNLFFSTAISDSDQPSFTVSYLTNNFGLSSQDALKASKRLRFNTPDKPDTVIAFFKTHGFSIDQIQSIIRRDPLVFVSNPIKSILPKLQFLASKGVSPEHIIVTVARNPRFLRVSLNKHIIPTFELVRSFCPSDKKAIDCVIAFPATISDGRMKPNLKFLLDTGVTRSSIYRLLTSRPSVIFSSVLRTAVEEIKELGFHPSSYNFCVALLAKKAITKSQWDSKVDALKSWGYSEDAILTAFKRGPNLMLRSLDKLNAVMRFWIQQLGWDPLLLLAAPELFGLSIEKRLSPRASVIRYLLSKGLMKKDASLTAPFYLTDEVFLQRYVNRFEEEAYCLLELYRGEDASIC >RHN43230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44190238:44191798:1 gene:gene49774 transcript:rna49774 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLCLLRDTLYMLECQGNENPLFADFSSLKPIYKLSQITTRIWRKKEMKRMKTNVFSS >RHN68335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34704068:34707123:-1 gene:gene16672 transcript:rna16672 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSPNLDREDGLETVLEVPIPEEIFTHKSGTMKAWQNVKFWMKPNAAEAKAASMTAVFGGRNSEIQLLLGVVGAPLIPSPIINSSDNYQLITPSIKDQRIEVSMAKYIMKQYVAAVGGERALNSVDSMYAMGQVKMATSEFSSGERGVNSNNNNKKMVKVKNVEMKGEMGGFVVWQKRPELWCLELVVSGNKISAGSDGKVAWRQTPWHHSHASRGPPRPLRRFLQGLDPRSTANLFSTSVCIGEKTVNKEDCFILKLEAESSALRARSNSNVEIVRHTVWGYFSQRTGLLVQLEDSHLLKLKSSETDCVYWETNMESLIQDYRTVDGIQIAHAGKTKVSLFRFGEGPETRSRTRMEEVWQVEEVDFNIKGLSIDCFLPPSDLKREQEETGQCGGGVVTGTNAKLPYKIRSASFRISTSKVAAVNLDDSCTTSESDEDF >RHN47939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43722195:43723336:1 gene:gene42597 transcript:rna42597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MLRLYKNLQASGWSIILLSRESGTHQNVTINHLVEAGFRGWSSLMMSAEDEDSTKANEYFSRQRNVIQTKGFRIKSIISSHVDILTVTDADTGMRKYHM >RHN80772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40759398:40760944:1 gene:gene4737 transcript:rna4737 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAMLVGETLGEILQASQFAREIVSTVGDKTPSKEDPKTPMSQRPSKKINLENTQLKSRRKKEK >RHN77116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4201110:4204578:-1 gene:gene538 transcript:rna538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MENIREDASPNNINSEQPYRTWYHFQPLKNWMNDPNGPMYYKGVYHLFYQYNPDAATFGHEKMVWGHSISNDLINWTHLNDAIVPTIPGDINSCWSGSATILPGEKPAMLYTGIDQNRHQVQNLAMPKNLSDPYLREWEKHPQNPLMTPPSGVEVGEFRDPSTAWQGKDGKWRVIIGAQNGDEGKIILYKSEDFVKWIVDPIPFFATDDTGVCECPDFFPVYINNTNGVDTTMENSSVRHVLKISYLRRRHDYYFIGKYVSDKEEFVPDVKYTGTWKELRFDYGKVYASKSFFDHAKNRRILWAWAEESDTSEDDIQKGWAGLQTIPRKFWLDKNGKQLMQWPIEELEKLRGKQINITGETLQSGSTLEVKGITASQADVEVLFELPNLQSAELLEPSEVDPQELCKEQYASRNGMIGPFGLQALASKDQTERTTISFRIYRAGDGFKCLMISDQTRSSLREDVEKTSYATIFDIDPNLKTISLRSLIDRSIIESFGDGGRACITSRAYPLFATDKDAHLFVFNDGSQSVVISQLNAWSMKQAQFGTESII >RHN64972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63379361:63379606:-1 gene:gene27856 transcript:rna27856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative coatomer beta subunit (COPB1) protein MVDMVMDVLRAFSKARNYVVGRKIIDVALELVTPENIHEVVVMLEKEVVKTKTGEHLKKKYRKMLVEAIHSVTQTKSLHFV >RHN70357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50852099:50852626:-1 gene:gene18927 transcript:rna18927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MANGSSIKVPFMTNMKDQFISVFHDFKVLRLSYRQGEDKRHFSMYIFLPNAKDGLTDLVENMASKFELLEHNLPVIQKKVRNFKIPRFKFSIWLETSDMLKELGVILPFSLGGLTKMMDFLAGQNLFVSNIFQKYFIEVNEKGTKVASASAAVVTLCMCMGDCLLKNLYPTIETN >RHN65381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1613246:1618418:1 gene:gene13213 transcript:rna13213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trehalose-phosphatase MDLKTNHTPRIADTATLTRTRSRLGAGAPSSLSCSPTNTSTFLHGPSLTIPRKKIGILDDVRSNGWLDAMRSSSPTHKKISMDIGHGVASSEADAAYLTWLLEFPSAIGAFEQITNLAKGKKIALFLDYDGTLSPIVDNPERAFMSENMRAAVKNVAEYFPTAIISGRSREKVHEFVGLTDLHYAGSHGMDIIGPLRQSISDNHPNCIRSTDKKGKEVNLFQPAAEFLPMIDEVRKLLTESTKDIKGAKIENNKFCVSVHYRNVEEESWDLVAQRVFDIMKDYPHLRLTHGRKVLEVRPVIDWDKGKAVTFLLESLGLNDDDDVLAIYIGDDRTDEDAFKVLSEVNKGFGILVSSAPKESNAVYSLCDPSEVMEFLKSLVVWKSSSTV >RHN77121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4251351:4252436:1 gene:gene545 transcript:rna545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-lipid (11-3)-desaturase MARNELLGKPTYISLQELQKHNKRVAIDGKIYDVSKWANHHPGGEFPLISLAGQEVTEAFLAFHPPTAYNRLNTFFTGSYLCDCAVSDVSRDYQNLFSELTEMGFFEYKGCGVLLMISLIIVMLCVSVCGVLFSDSSCVCVCCGGLMGFLWIQSGWISHDSCHYQIMANCNWNWFVQILSGNFLSSISMEWWKWNHNAHHLACNSLDFDPDLQHLPFFVVSSKFFTSLTSCFHDRKMNFDSFARFLVSYQHWSYCPGICLARFNLFARSFFLLLSNRKVPNRKIELLGLLVFWIWYPLLVSCLPNWGERVLFVIASFSVTGIQHVQFTFNHFSASVYIGQPTSHDWFEKQTSGTLDVESPS >RHN55876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25003510:25015283:-1 gene:gene31155 transcript:rna31155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MAGTSSVVLAPSVQQLEKEGIEKVPEQYLQPNQDPIFVSNTTSLPKLPVIDLSKLLCEDSVELEKLDHACKEWGFFQLINHGVNPSLVENVKIGIQQFFNIPIEEKKKLWQTQEEMQGFGQAYVSLEDEKLRWGDMFSVRTFPLHIRHPNLIPLIPQPLRSLVDNLESYYLEMKRLCVTLIEYMRKALKVQPNELVDLFEEIDQSIRMNYYPPCPQPEQVIGLNPHSDGVALTILLEVNEIQGLQIKKDGMWIPIKSLSNAFMVNIGDMLEILSNGTYQSIEHRATVNSEKERISVGAFHSPHRGDISPAPSLVTPESPALFKTISIADYVNGYLSSKINGKSYLDGVRIQNS >RHN45376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:18225576:18226098:-1 gene:gene39668 transcript:rna39668 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGDWAWGAMGLAFLYEHISLISDSYVASCGGYITLDEFLRTSGISFPGFVMMSTTLLLPRFSHVIWRLYERRRDIMPFQDICWYSGWIMAAKDRRVCHLPERVLRQYGYV >RHN63653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52958124:52958669:-1 gene:gene26378 transcript:rna26378 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVMTGTQPNKISSQLPNEITNSLKNPELLHFCFSCSSSTRKAEYNEF >RHN51155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14581371:14581730:-1 gene:gene35541 transcript:rna35541 gene_biotype:protein_coding transcript_biotype:protein_coding MMINNQRRKTWLEAKETLHVPHELTIEFHPSLLVKSLLGFKCVCKFWLSLIFDLHMQIIHILISVPQYTHVDFEPSIDDDIANENTNPSFIHPQSDCLIEIISSCRCFVFLHRNSIFYQ >RHN64960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63302277:63303160:-1 gene:gene27842 transcript:rna27842 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRAAPSMEFGGEDWGSLKSSKPKACRSSSSKVFDEAHLDHPQKENDLLERLRASGDASGKVTLKISKSELAELLGAIQNSSNNQEPQKQMKKKTTNELGSAEQVLYRLIKARDHEIANKHDHWKPVLETIPECC >RHN41589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31296782:31298778:1 gene:gene47925 transcript:rna47925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MEETDDNSDLSSLMCFENISECLDNYESDVDDESSLSFNNPCLSYNNIGSENLLAFRELINETVLSLVKRESEHLPRDDYLERLRGEDINLKFRDLNLNMNLNLNGIRREAIEWMWKAAACYGFGPCIFSLAVNYVDRFLSVYKFERGHLWSEKLLALACLSIAAKLEEGKKLPKSIDFKLGELVFVFETKGITTMELLILDHLNWEMQSSTPCSFVDYFLSKITSEQQFPSGSSMLNSIDLILKMPKYIDFLEFKPSEIAAATAICVSKELETNGIDEVLTRFAIVEKDKTLKCLELMKNLGWMEVSSDLSSIDFGTCVPESPVGVLDSSWENSKCDEKTTDELYPNSSPESSHASKRHKSFHDDEAFLVMKF >RHN65346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1353689:1355479:-1 gene:gene13173 transcript:rna13173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MVETRRQIEEDRMSELSDHLLNHILSFLNAKEAVQTCILSKRWINLWKTLSTLTLSVDHFSTEESFEQFISMLLSLRDHSTDIHSLVFHFQWTHVLSRDLYLKTIEYAFSHNVQHFQILYTAVKHLPSCFFSSHTLTSLNLTGKDLMVPSGYYQIFPSSHSFNLPALTTLYLKHLSFSCNDDDDGSVVDPFSTFNMLNTLIIDRCVLRGNAQNLRISCTKLLNLTIRMYGCYSTITKPDFKIFFGLELYAPTLHSFVFNGADYIPKFVGSKTVLSSIKHLTIHLQYCSCFEENPVNLFNLLVELANIESLTITHCVLKVLSRFHSLFEVEFPSLCYLKSLNVRAFGSSWIIAEMVDFLLQNSPSAKFRFIERPTALVITGCWVADYWNLKVLQTIITNKDTLLLISTC >RHN62736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45535420:45540301:1 gene:gene25339 transcript:rna25339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MNNSKMKKVSKLRTSNENVSPLMVELDDNRGLRYLQSTTVSPGFQPYAAENFDVTGSPSQLAKYFYAVSPVSAKSGDSFTSPIYGSSKYRLAKTPPYSGTSNSSGGNSSFSSRGRLSLSPLASIENLERKQLSSPRMYQTPVKGGEEVIVMDDIQVRSMSGGKNRRSSSSSSSSGRGSSSSSSSSSKSVLFKKEVCRAWEESGNCRYNSKCQFAHGREELHLSRLSMKSKSEAQMGRLSMRAGLCLYGPDSRILPESAEVAESGPVVTRLARSQPASPEPHRTHASLDSRIVPESAEVAEPGPAVTRLARSQPTSPEPHRTPVNPNTISDWSPLDDGIEAVLPNGSDRVPSREEVDAYIFGILNQPTTKRRLPVFAALCEGQ >RHN51505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19057692:19059617:-1 gene:gene35952 transcript:rna35952 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAGGSSSNMDIDTPAYEIKGRTMSIEEWELIIQAGNPVDFASLTHHGCDLVKFYKKQKLMSYFSLLNGPTYEVLVRQFWVRASVFDIEAARQEEAQLILVDPTLKGKTREEMGLLSFTGTEIRSNVMGIPVTINEQIIAQAMGRDTSGKYFGEEIPNPRTSSWKEIVNETIYGSKVAQPYSTLSIEKKMLLKIQNENIFPKGGGNDQPSLGHKVFLHHTISQETTMNVPKYMFKYMIKELKKSQMENRKFVPYGRLLSVIFQEGGLLSALKDVGIYDNQKLGAVTGKIINASTLVKMKLIPTNAHKKLDSDMRESDAISDLVTHHIPICKKDPLDVQRAYILDFYKTYNKKISLKDVPEEMYGGDLPVAKGRKSKKKQITKEEYLAEDATEEGAQKHKKAKKEKSAMSTILEEVEDLDDVPLISKRTRNAQETAEQPASEQTGSEQAASEEPLSPKKKREAALKTIKRKRSSRNLKTAEGRRAEMLEELEENWDEDSSPKKAKRTITSEPIVMPSFEMTEEIKQYAKEYSASKIAEKKRLKEVFEKERDERLKAAGYVPTPNIAALASELETVQYGATLLSQALKNKQASGATSSEPVSKAPEAVHPEAQSSGNSSKPDIYTQIPSLPSSPSSSST >RHN67209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24937467:24943239:-1 gene:gene15369 transcript:rna15369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADIVITTVAKVSEYIIGPVIREGKYFLCVGKIIKDIENEINELIFERDNLLDRVEQAKQRTEIIEKPVEKWLHDVQSLLEEVEELEQRMRANTSCFRGEFPAWRRYRIRRKMVKKGEALGKLRCKSDIQPFSHYAPLPGIQYQSSENFTYFQSTKAAYNQLLELLNDDCIYMIGVYGMGGCGKTTLVTEVGKKAQESNMFDKVISITVSQTQNIRDIQGKMADMLNLKLKEESEEGRAQRLWLSLKENKRILVIIDDLWKEFNLMNIGIHIDNVNKGAWKILVTTRNQQVCTLMDCQKNIHLALLSKDESWTLFQKHAKITDKFSKSMDGVPRELCDKCKGLPLAIVTMASCLKGKHKSEWDVALHKMRNSSAFDDHDEGVRNALSCLELSYKYLQNKEAELLFLLCSMFPEDCNISIDDLILYAIGLGVGGRSPLKLSRSLVQVGINKLLESCLLMPAKDMQCVKMHDLVREVAIWIAKRSGNQKILLNVDKPLNTLAGDDSMQNYFAVSSWWHNEIPIIGSLQAANLEMLLLHINTSISQSSFVLSNLTFEGIEGLKVFSLTNDSNSEVLFSLPPSIQMLTNVRTLRLNGLKLGNISFIASLTRLEVLDLRHCDFNELPCEIGSLTRLKLLDLSRCHFYQQTYNGAVGRCSQLEALYVLPRNTVQFVLEIIPEIVVDIGCLSKLQCFSIHDSLVLPYFSKRTRSLGLRDFNISTLRESKGNILQISENVAFTRLHGGCKNIIPDMVEVVGGMNDLTSLWLDECPEIECIFDITSNGKIDDLIPKFVELRLRFMDNLTVLCQGPILQVQCFFDKLEELVIYHCKNLRITFPRECNLQNLKILSLEYCKSGEVLFPKSVAQSLQQLEQLKIRNCHELKLIIAAGGREHGCCNPTSTHFLMSSLREVTILDCPMLESIFPICYVEGLAELKRIHIAKGHELKYIFGECDHEHHSSHQYLNHTMLSQLEVLKLSSLDNLIGMCPEYCHAKWPSHSLRDLVVEDCPKLDMSWIALMIRSGHSQHRLNENLPLKLELYLHVLPQLKSISWQDPTAPRQIWSLQCLQYLKVGDCENLKSLFSMKESRSLPELMSISIYNSQELEHIVAENEELVQQPNAEVYFPKLAHVEVKRCNKLKSLFPVAMVKMLPQLSTLHIFDATQFEEVFRNGGGDRTVNEMEVVLILPNLTEITLNFLPSFVHICQGCKLQAVKLQQINIYECPKIAPSVKEIQVRRRSINAQ >RHN64290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57947999:57951318:1 gene:gene27093 transcript:rna27093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynein ATPase MSEEAKKHSAGGGAALMAKSLTEDRKPLVTSPLSASASPATPATKKVIIKSADMLPDMQKEAVDIAVVAFERHNVEKDVAETIKKEFDKRHGPTWHCIVGRNFGSYVTHETNHFVYFYLDQKAVLLFKSG >RHN68546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36375218:36382380:1 gene:gene16913 transcript:rna16913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxysterol-binding protein MHPFCCVSAISDQASPVKPPSPFTDFTMPPPPPPSVAVRSDSPAPIHSHSHSNGCQREQPAVVVDLKINDLVGNGISGILYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDKIIVNHETEKGSKVIGDESIRRINRNRNSYPYQHRRKPFGEIHLKVSTIRESKSDDKRFSVFTGMKRLHLRAESREDRVAWMEALQAVKDMFPRISNSELMAPVDNVTISTEKLRNRLLEEGTSEAAIQDSEQIMKTEFAALQNQLVLLKQKHSILIDTLRHLETDKVDLENTVVDESQRQWNDQEDSSGLQEKFSEGSASESEDDNERNDVAEEETDDDDNVFFDTRDILSSSSFKSNGSDYRVSSFSSDNDAFESEDDVDSSNRYIGTNHPRVKRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEEMEYSYLLDQAYEWGKRGDSLMRILYVAAFAVSAYASTEGRICKPFNPLLGETYEANYPDKGLRFFSEKVSHHPMIVACHCEGTGWKFSGDSNLKSKFWGRSIQLDPVGTLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIEGNQEYSCKLKFKEQSIIDRNPHQVHGIVQDRNGKTVSTLFGKWDESMHYVNGDYTGKGKGHESLSEAHLLWKRSKAPMFPTRYNFTRFAITLNELTPGLKEKLPPTDSRLRPDQRYLENGEYEMANSEKLRLEQRQRQARKMQESGWRPRWFAKDKASGTYRYMGGYWEAREKRNWDTCPDIFGQISPDHLSDEVQITS >RHN81910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49735677:49738280:-1 gene:gene6007 transcript:rna6007 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQWVLLFQDAASVREILSHLIYLSFVLKDSPPLFVKLNVAIILEVLRYAQMHQLFPTFSLQTIVFFSLELVRVTTYEEALGQAINLQKSKLFCSRNTLDDLKNLIATTLGVRQVLGTCKYLGLPSMIGHSKHATFKFVKDRIWNKINSWSSRCLSQAGREVLIKSVLQSIPSYVMSIFLLPGSIINEIEKMLNFFWWGHNSANSRGLHWLSWERLSVPKVFGGMGVKGLKAFNMAMVGKHAWKLVSSLESLITRLLKAKYFPRSDYFGASIGHNPSYVWRSIWSAKDVIRRGFQWSIGTGEHIPVWDHPWISNVARILPSTHHHLEWPSITISDLLITPEKQWNMELINTFFDTVTARNIFNTRCFLW >RHN53570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2624343:2626488:1 gene:gene28491 transcript:rna28491 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLVAFLLLRAKNRFKPVYQVNGSQGILAPKKQEKDESGEMGLATKEMNDPIVAHSRPPPLPPVIGSLVALSLLDTWWNRSTDEDSK >RHN79355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27940418:27943482:1 gene:gene3134 transcript:rna3134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative APO domain-containing protein MLPSLVQRITQFLIPQQTYTTTRFHHLYSTLSIYDNIPKKLKKFERKPLVTSINELKRRGRQRRKERQNVKEIILQSPENGLLVQKLIPVAKQVYAARSEVLSSVSRLVNFIAIYSCSICGDVHVGDPPHKIKTCNVRGSLSSKEHSWVKGGIEHVLPLVESFHLYDRIGRAVSHNEMLLVDRIPAIVELCIQAGVDIPEYPTRRRTFPVYCVAGRIIDFEKRFPKDIYLEKDIEEYGFPYKKKRSDQDTNSMEMHCDDIQAVAVRGMKAWKKMCTGASKLMEKYAVQTCGYCPEVQVGPKGHKVRNCQAYKHQMRDGQHEWQEATINDFVPPVYVYHIRDQQPGKPLVNELKRYYGMLPAVVELFSQAGAPVEKIYGHTMRDVVVPDMDEEKWVV >RHN63005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47528488:47537427:1 gene:gene25653 transcript:rna25653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative all-trans-nonaprenyl-diphosphate synthase (geranylgeranyl-diphosphate specific) MLFSRISRNLRGAFNGYRRFLSIGENNRRFLFSHSPADSTEQVMRSLVFSKGMPALYSSRYHIHHHTSSSLVEDELDPFSLVADELSFIGNKLREMVVAKVPKLASAAEYFFKMGVEGKRFRPTVLLLMSTALNLPIPKAPPPMELGGTTTTDLRSRQQRIAEITEMIHVASLLHDDVLDDADTRRGIGSLNFVMGNKLAVLAGDFLLSRACVALASLKNTEVVSLLAEVVEHLVTGEIMQMTTTSDQRCSMEYYMEKTYYKTASLISNSCKAIAILAGQTAEVAVLAFEYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDIQHGIVTAPILFAMEEFPQLRAIVEEGFENPENVNIALDYLGKSRGIQKTKELAMKHASLAAEAIDSLPENDNEEVRKSRKALVELTQIVITRTK >RHN56258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29333922:29335606:-1 gene:gene31630 transcript:rna31630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-fructofuranosidase MLNALFACELGPMRYKGLYHMFYQHNPKGATWSNNSIVWGHSVSKDLVNWFPLQHALTPSQPYDINGCWSGSTTFVSNDKPTILYTGIDIHQHQTQNLAIPKNVSDPFLREWIKSPKNPIMLPNIVNKINATSFRDPTTAWIGHHDGLWRVLVGSQQKDNRGITLLFKSKDFINWIQAKYPFYSAKKIGMLECPDFFPVLINGTFGLDTSIKYDHDSIRYVLKVSLIDVSHDYYLIGTYDTIKDVYIPKNGFEQNNNELTLVIRYDYGKFYASKTFYDDAKKRRVLWGWINESSIREDDVQKGWSGIQAIPRTLWLDKSGKQLIQWPIVEIEKLRTNPINFNSKVLKGGTLLEIVGVTAAQVKSETPCFFQHKNFIINIRVFNI >RHN66828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19681074:19689103:1 gene:gene14927 transcript:rna14927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-hydroxyisobutyryl-CoA hydrolase MASGASIPNEKDVLGEEIDHVRVITLNRPKQLNAISPDLVFLLAEYLEKWEKDDKTDLIILKGAGRAFCAGGDLRVFYGGKTTRDSCLEVVYRFYWLCHHISTYKKTQVALVHGISMGGGAALMIPLKFSVVTDKTVFATPEASFGFHTDCGFSYYHSRLPGYLGEYLALTGARLNGKELVAAGLATHFVTSEKIVELEKRLINLNSGDENAVRSVIEEFSSEVKLDEESILNKKSIIDECFSKDSVEEIIKSLEAESSKEGNGWLGPALKGLKRSSPTALKIALRSIREGRNQSLSECLKKEFRLTMNILRTTISEDMYEGIRALTIDKDNAPKWDPPSLDKVDDEKLDIIFKPLDKELELQIPESVECRWDGKYENSAYAVPNRETQISA >RHN44416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4435414:4436448:1 gene:gene38535 transcript:rna38535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone-7-O-beta-glucoside 6''-O-malonyltransferase MDSIDKSNIKIHEHIKVTPPSSTQKTTTPLTYFDIFWLRFHPVERVFFYTLPNSHSHTSFFFQKLVPILKSSFSLTLQHFLPLAGKIVWPSDSPQPFIQFNPNDDGVSFIIAESDLDFNHAIEKSPHEASLSRSFIPHLESTDSFASIISIQITFFPESGFSIGISTHHAILDGKSSIMFIKAWAYLCNKTIETEEPPTLLRELQPLFDRNIVKDLDELGDKFTNNWREFITNMFPNEKGNERCLKILAFEPNLEDSLRATFKLTREDLNKIKQMVLTKWELLDTNELNSKPPCYTLFLDLKILGPISFQTLSIIKFQLHSSNCLCLAVFSITILPMFFLHKTF >RHN73050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13028144:13030311:1 gene:gene8827 transcript:rna8827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MVSFSSSCLSLSFSTFTMKLLIFILFFVPYCALAFDVKTVIDSPMLTQKIGTNRTIKVDINGNGEFKSVQAAIDSIPEGNSNWVIVHIRKGVYREKVHIPKNKRYIFMRGNGRGKTAIVWSESSSDNIASATFKVEAPDFIAFGISFKNDAPTGVAYTSQNQSVAAFVAAEKAAFYHCAFYSTHNTLFDYKGRHYYESCYIQGSIDFIFGRGRTIFQNCEIFVVDDKRISIRGSITAANRENESEMSGFIFIKGKVYGIGGVYLGRAKGPYSRVIFAKTYLSKTIVPEGWTNWSYDGSTEHLYHAEYKCHGPGAIAEKRASWSRQLSDEEAAPFISIDYIDGKNWLPAWL >RHN79382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28265117:28267344:1 gene:gene3166 transcript:rna3166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEAEVHPPPYLIEAEDQPPYLPDELITQILLRLPVKSLIRFKCVHVEGSYFFTLIQTFTYGFSPSKDDYLVVSVSYIPNSDDVLSRLRIFSLRANVWNEIVSPTHLPCIEVPCSGSDYPVVESVFNGAIHWLAFRHEIDFFVAAFHLTERKLLEIPLPSDIEFWSTDYSLWVFRGFLSLWVLRFKDRVDIWVMKEYKGQSSWTKTLVLNMDTIPRISPICCTKSGDIVGTSCRIVLIRYDNEGEFLEHTYYCKCSTYVSIYTSLCFHSLVSVSKIEKMVQTRKQQEEQASYIFSLTVFWIFIIMLNYELDSDKQDERG >RHN66704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16826096:16827074:-1 gene:gene14760 transcript:rna14760 gene_biotype:protein_coding transcript_biotype:protein_coding MLILETPMKLLVTIEDIDKLIKEDSLLAFEMFLTGVPSLSIKTLLQELKTLLDSSSDLDHLVSNKESKSKLISLLHGLNQHQGLLPSDVKEFVEKVNTFFNNIINKHATYQQLLTKHKQLLDLKPGLLEKLLIAKSKQFHIVSEASTANAQIHKRSLEIDELRKHSKQM >RHN59397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10256990:10257662:1 gene:gene21423 transcript:rna21423 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCVFVYISSSTTIWKTITNMDEIAMIRKRFGDEQSTLLDQFERLSFESQLNKAMLSRSLSEPRFLRSVSQSRLVNAAPTIPLVTQEVKQGRRCRGSGFRKVFKKLFKPFLGRKNGARKSDVEFQNPLSFKAFSRSLRF >RHN78364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14432726:14434224:-1 gene:gene1909 transcript:rna1909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nicotinamidase MSSSVKKSSSSSYETYEVRKRNPNPQTCALLVIDMQNYFSSMAALILANLNTTITLCRRASIPVIFTRHCHKSPSDHGMLEEWWFGDLIMDGSIEAELMTALDRKGEDMVVEKSTYSAFRNTGLEEKLKEMGVDEVIVTGVMTNLCCETTAREAFIRGFRVFFSTDATATSDLDLHEATLKNMAYGFAYFLDCHRLKHALTGE >RHN58404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1502105:1505148:-1 gene:gene20313 transcript:rna20313 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVAFWIISSLAIVEIKRRRTEVCNGSTMSPSSDKKKEQFFQGRPFVNLVPDSEEDINMDSNRGKEISEDWNPTCEYEELKQRMTKMELSFEEMKGILKNLMHQPNPMSCQS >RHN75289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40952314:40952883:-1 gene:gene11465 transcript:rna11465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 2-beta-dioxygenase MEMDFEPPFLKIYNTLLEKNLGDNSENDLYSKVEGSEELPLIDLEKLNLEDPKREECMKEISEAASKWGFFQIINHGISNEILNKMISEQKKLFYQPFVNKLSAETVFNLSPKTYRWGNPCATNLRQLSWSEAFHFALTDIPNMDQHITLRLVFFTSIIFFVFEGIYFCFYFYFFALYTHTQNFSTFTY >RHN81785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48872742:48878012:-1 gene:gene5873 transcript:rna5873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA reductase MWPFSKKGISGFSGSSTAEQVTHGIDAIGLTAIVTGASSGIGVETMRVLALRGVHVIVAVRNKVAANDIKEAILKEIPSAKIDVMELDLSSLESVKKFASEFNSSGLPLNILINNAGIMLCPFMLSKDNIELHFATNHLGHFLLTNLLLDTMKKTAHQSKKEGRIVNVSAKAYIFAYPEGIRFDKINDQSSYSKWGAYGQSKLANILHANELARRLKDDGVDIIVNSLHPGIILTNLSRHMSVIDGIIKVIGKLVMKNVQQGAATTCYVALHPQVKGVSGEYFSESNVAKLRSQGRDADLANNLWDFSMNLIKDK >RHN56119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27932014:27936293:1 gene:gene31466 transcript:rna31466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo-like helical, pumilio, RNA binding domain-containing protein MNNRKDHELVGKRTRFMMNNINNSNSNNGSSHSHDVTVPSSLMSHLQNQSIFNPQAHSNGFPFWSSDEIINNNDHHHHQLSLDLSAMTLLDEDHHQQHSQPSNVVNNMSRIRGNNSNNNLVEPFTNMPCFWESHAYNNNNVVDDETVTVTHPRYQNMGGGGGSPKASTFGVSQNPQGLVSENIKWGMQKGHTFTGISQMGSSIDLGNNVPYGNGVCYGRGRGRVVPVQLQNPSFSSPYNPYEQHVVYPSPRGSSFGMENDLGSWDVTTNPIMYTTTPNVNNVPSTPLYFPSNRGLQQLPQSLYPTRPIEEPVAFKCDNSFIFQENDMKNECNNSLLAGSGVGGGGRKFSVSHSQEAVIPQVSHLPSRSENSSRSFVFDDDDVQQNLMNFGSCLPELQSYMFHMAKDQNGGRFLQGMVEKGTVEDMEMVFNGVIDNVVELMMDPFGNYLVQKLLEFCRDDQRLQIVLMLTKVPGQLVRTSFNTHGTRSVQKLISTLKSRRQIALVRSAILPGFLDLVKDLNGNHVIQRCLSCWSVQDNEFIFDAATKFLTDVATHQHGCCVLQRCIDFSKGKSLEKLVKEICKHGFSLAQDPYGNYVIQYIIELQIPSAMAKLTPQFKGNYVILSTQKFSSHVVEKCLIYIVETRARIVQELLSVPHFERLLQDPYANYVVQKALEYTKGSLHASLVEAVRAHKILRTSPYCKRIFSKTLPKK >RHN73324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15397750:15398370:-1 gene:gene9125 transcript:rna9125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like jelly roll MKIIHILFLFTVFSFTVSYASINDFCVADLKTPNTPSGYACKPLASVTSDDFSFHGLVAANTNNSFKIGVATTTVTNFPALNGLGISACRIDLDQGGLAPMHTHPDATELLSVVKGEITAGFLTPTSFYSKVLKPGDVFVFPQGMLHFAVNSGKGKATAFGAFSSENPTTHILDVLLFGNKLPSSLVSQTTLLDLAQVKKLKAKFG >RHN50547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8051502:8052610:-1 gene:gene34845 transcript:rna34845 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYNVLKKLSISKAVTPRQPKKKVKGTHQTYYHLKDVSFLYREPLLEEHRTIRVHDRKIKKAETKKNYERTDSTPPPYLSPFVNYDEEGYIPENAKTINHLQAAARKEFLPLPSVGKEDSLHGALAYTSADFNVDTDISLLVMFRKLFQSPYACLSCLLLYPLPK >RHN43423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45740922:45745470:-1 gene:gene49994 transcript:rna49994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CAAX amino terminal protease MLFTSSSSHSLFARPIKPFSRPPTLRPFPTTSFRIPNSRFNPSNNKLSILCFRHEEDNSSETPKPDFIDHCFHEELVQSESRDPNVVKRDWKSIVPKVAANEVFNGIGYRWVVPWSATTILKVMLLWTSAFWFIGSWMIPFAAHITGFSKDSLTFRGQALFSLVTDVTEGLAGIAILVRCLSRFRPLPPDWFKFSPEGKWHFDVVMGCLMFPLVNRLSQFNLDLLPILPSTQVTLSSVEQSIKARDPVAMLLYAIVVSVCAPVWEEIVFRGFLLPSLTKYMPVWCAILVSSVAFALAHFNIQRMLPLIFLGMVMGVIFTRSRNLLPSMLLHSLWNGFVFLDLMK >RHN42698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40298121:40298959:1 gene:gene49178 transcript:rna49178 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSITNSKPLFFILFIFLLLCQPFQMVVAEDGSSRKPFSTSKRPFLINCGELVSKSKCSQNSKCSWCTSQDLDDTCFSKSEALRLPHQVFSCGPTRL >RHN65118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64330230:64332371:1 gene:gene28019 transcript:rna28019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MNKTLYVMPTKHDIKDVECLHYYDDEISSCGCGFIGFKKSQVFDYNFGDDDDDGDSEELFEINMKKESRLDSIREEEWCESSTVFSLDIHNYLDDVVYVAVGTNINGGSSSMEALSWTLKHAVTPETTTVYLLHVFPQVKLIPTPLGKIPRSHVNVEHVNIHLTQVKSKRKLLLQKFMKLCIESEVKVEMMLIEGDNVGEAIVDLVGNLNIRKLVIGTTQSNLSKKGPGKQNSIADMVLKTVQESCDVKIICEGKEVIDQMINGFSTSKHTRNRNSSSSTISHQVKESHGFVPLKLFMPNLSWLFRSRFLSPR >RHN40091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13149151:13149825:1 gene:gene46192 transcript:rna46192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative meiosis arrest female protein MGIPGMTKLFIEAIVSISEVQLLYIGDDNFCVRLIPSTTSTVKKKKQRDADHSEMPVLFSSDSFGDDVETFVLSSRGSRLISRSRSREDLAHRLQRHGPMFFMSLTENEILQLVELLITKLKWLKESPSEAFPFSLTQSVRLTRSTLLVKELLIDGTWRKNLEIRFSKALFSKPDKLED >RHN74765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36539345:36540005:1 gene:gene10878 transcript:rna10878 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSAAAPEFFFCFFSKIFAPKQTSSLPLLKSKLIISETCTKNPRSTILASNKTSYKITKNTSNTTQEVERIKSYL >RHN40559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17568599:17571696:1 gene:gene46721 transcript:rna46721 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MAFNSQRTFRAPTFRSQLSVRRVYETLQPPSEMKETPEAYLLHVYLPGFTKDQMKIELLDMSRKLRITGERPIPGNKWRKFDQTYPVPENSEAEKLEAKFEQGTLILKMQKKPISQSSQVAPQQEVEKSPSNNKDLDEAKVEKAQETNIPPPQSTNLEESTKDMKSDSPQTSQSIEKKTQNDDDTSSQIPQKGQQEFEPKPTSKDTTNDQIDEKSQKGQEEFETRPTSIERAKTQIDEKPQNGQQVLESKPTSIERTKAQTNEKAQKGEEEVEPKTIEKLVTKENLEEKIVGMSAEDAEKERNSKKEEVEEKNEKTYESSKNQNLEEKEVEKAEPSAPNVPEKEKESCCRIPPNQEGKNGIRKFAATSSQFVTRIAEGKLSGEEKHLVENVGAAVLVIAAFGAYVSYWFSS >RHN40395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15930398:15931111:-1 gene:gene46543 transcript:rna46543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MQTWKLWCERKSLEIIDPIHKESYIESEVLRCIHNGLLCIQEDAADRPTMSTVVLMLGSDTMPLPKPMKAAFSVGRMSNLEDSNSKSSKDNYVDEVPISSVSPR >RHN47847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43004313:43004977:1 gene:gene42493 transcript:rna42493 gene_biotype:protein_coding transcript_biotype:protein_coding MMDAVKQYQDAEKNIAALKMKLAKSLKEVDSLNSQHSYIEKQFGSLEVASKPQENELDRLEELKNSIFPEEREINRLADGSKKLKEKVSELQTKIENAGGEKLKSQKLKVQKIQSVSILDIEKNFKFNMMLCLY >RHN71583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1169493:1170160:1 gene:gene7197 transcript:rna7197 gene_biotype:protein_coding transcript_biotype:protein_coding MELVICYVFNSARFLWKTIPSSIKESNPEVNAVWKIGQQLWLRNYGGVHEAVRGFEWSPELQCFISAFSGKHLRIFLFCFVSRALKFM >RHN44805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8676434:8678070:-1 gene:gene38970 transcript:rna38970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MDHQHTLKLNDSGAPSFMCSGCKELGFGSSYSCGNSNCNYILHEECAEPITHAVHPFFNNCTFEFYDKVQEGGFCDACGKDVLGFFYHCSRTGYALHPCCLKLQDKISDKDGNVIMTLCHEVPSDCVHCKQKYVARNQFEGWSYVYSYEKSCVHVSCFNDMILEILNNKNRSRGRKRAFMKFIGKLVVDVLIDIVLMDPTNSITTIAEAIASGFS >RHN63848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54435630:54437625:1 gene:gene26602 transcript:rna26602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MSSSGAIWSYEEEKAFENAIAMHWIEKEDSKEQWEKIASSVPNKSMEQVKQHYQVLVDDVSAIEEGHVSLPNYANELETINSSNKDSSKATTSSDKRSSCNFGSGFSGLGHDSTHHSSGKGGLSRSSSSSEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVNNGDVASNHQAPITGQHGNTLPSNTMGLGQSMKHRVQPHHPPGGLGMYGAPVGHPFVAPPAHMASAVGTPVMLPHHPHHHPPYVVPLAYPMAPPTMHQ >RHN38404.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000027.1:12853:14436:-1 gene:gene50778 transcript:rna50778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MEVEVKLRLPNADSYHRVTTLLSPFHVITHRQHNLFFDGAGSELSSRRAILRLRFYGDDERCVVSLKAKAVLVDGVSRVEEDEEDLDPKIGRDCVDEPGKLGLVESRIMGRVKEEFGVVGENGFVGLGGFKNVRNVYDWKGLKLEVDETHFDFGTLFEIECESSDPEEAKRILEEFLKENGIDYSYSVASKFAIFRAGKLP >RHN54010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6190772:6197108:1 gene:gene28985 transcript:rna28985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nicotinate phosphoribosyltransferase MIMFLFFRFDLYFRKNPFGGEYTIFAGLEECVRFISNYKISEEEIHFLKDNLPGSCEDGFFDYLRGIDCSDVEVYAIPEGTVVFPKVPLMRIEGPVAVVQLLETPFVNLINYASLVATNAARHRFVAGKSKTLLEFGLRRAQGPDGGVSASKYCYIGGFDGTSNVAAGRLFGIPLRGTHSHAFVSSYMSLGDISDKSLLKKDGSSTCKDFVVLVQNWLNKIKWSNSLCGIFSETNQSELTAFISYALAFPNNFLALVDTYDVMRSGIPNFCAVALALSDLGYKAVGIRLDSGDLAYLSCESRKFFRCIEEEFGLPGFGKMSITASNDLNEETLDALNKQGHEVDAYGIGTYLVTCYAQAALGVVFKLVEIDNHPRIKLSEDVSKVSIPCKKRIYRLYGKETYPLVDIMTRENEPPPKVGQRILCRHPFQESKRAYVVPQHVEELLKCYCDGSSGKKSETLPPLKDIRERCIKQLEQMRCDHMRRLNPTPYKVSVSAKLYDFIHHLWLNEAPVGELQ >RHN72596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8987945:8988732:1 gene:gene8325 transcript:rna8325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RIN4, pathogenic type III effector avirulence factor Avr cleavage MENPEIFNKHVNVDEVKPSHTYSHKASSTEKGSHEVPKNNSTHHLHRRRSRGSKGSFTSEFGSEKSHIDHSVINKTSQSEHKRSVSKGIGSNTGSFSSSNHRSESRSFNDHGDHRAVAIPEFGKWDVTDPKSGEGYTVMFSKIKEEKQIMSSRISGLRTTPHNNGSNIKNQHDGSSFNLSKVSLICFTTSSIYMRSVYFQFHC >RHN50428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7070949:7072276:1 gene:gene34710 transcript:rna34710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative O-acyltransferase WSD1 MEQMALANHPIKGLYFMVVGPPESLTITIMSYMGKLRIAFGLEKDFIDKQKFISCMESSLEMIITAARKISIKENIFPLHYC >RHN44487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5303347:5308855:-1 gene:gene38611 transcript:rna38611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 85A MAIFIAILGFFFVFCFCSALLKWNEVRYRRKGLPQGTMGWPVFGETTEFLKQGPNFMKNQRSRYGNFFKSHILGCPTIVSMDPELNRYILMNEAKGFVPGYPQSMLDILGKCNIAAVHGSTHKYMRGTLLSIISPTLIRNQLLPKIDEFMRTHVSDWDNKIINIQDKTKEMAFLSSLKQIAGMESSSISQPFMTEFFKLVLGTLSLPINLPGTNYRRGLQARKSIINILSKLLEERRASKEIYEDMLSCLMRGNDNKSKLNDEELIDLIITIMYSGYETISTTSMMAVKYLHDHPKVLDEMRKEHFAIRERKKPEDPIDCNDLKSMRFTRAVIFETSRLATIVNGVLRKTTHDMELNGYLVPKGWRIYVYTREINYDPILYHDPLTFNPWRWLGNNLESHSHFLIFGGGTRQCPGKELGIAEISTFLHYFVTRYRWEEVGGDKLMKFPRVVAPNGLHIRVSSY >RHN73616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18194525:18194737:1 gene:gene9449 transcript:rna9449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiol oxidase MVYKVQKNNYTKTLVSFYKERGTIGNEGTKGAAFEDLMVATNAAVVPVGAASCAFRVFACYWRSQQNNRK >RHN74295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31616468:31616602:-1 gene:gene10333 transcript:rna10333 gene_biotype:protein_coding transcript_biotype:protein_coding MTREIVAKWPSNHVGAPHWLEHSPVESPFISCGADRSIRFWKEV >RHN41998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34649167:34653529:1 gene:gene48380 transcript:rna48380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-methionine (S)-S-oxide reductase MKLSEKTNNYYYILSIFIILIAFLINNANCIRFPDRVAQPAREQPDQQRLQTAVFALGSFWRSEAVFGCLPGVVRTTVGYSGGSKPNPEYRSFGDHAESVQVEYDPRLISFGELLDIFWSSHDPRQVFGQGPDVGNQYRSIIFVNGTEESRMASVSKEQEQTRSRSSIVTTQILQLGTFHPAEPEHQKFELKQNTFLLQLIGNLPEEELERSNLATKLNGYVAELCPPNIQKQIDAKINEILKKGWPILREL >RHN67905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31303106:31303875:-1 gene:gene16155 transcript:rna16155 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRDHECEQDFDCPKSTCLFYWKPTCVKPYFLMINQHRHNYCACT >RHN66028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7752090:7754328:-1 gene:gene13938 transcript:rna13938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKIEIAQFNILGVIDSLILEVFVVSTMEEDQEVMKLKHVCKFCRKSFASGKSLGGHMRSHDHDHDDDYDDDHDDDGDEYVVAARRRMKKEEGYGLRENPKKTWRVLTDSTNEQEIQDPFDVVLDKLCKECGKGFQSMKALFGHMKCHKKKNSFELDEEEEEEDDDSNATVLPKRKRRSKRKLRTRYNKSNTSTSVVNFGDNSLDSIAESSDNSDEKEQEEVAMSLIMLSRDVRPWFGINSIAEFSDNNDDNDNDENSLEFEAPLTHLVSKIEGKKFITNSSEIVKMNKKPKKLDFGNVVSSDVMSKGKSLEVFDNDDGLKMKKARVSVVENGKDEKKKMEIEVDSDLAFEVNVVDSETKVTSEGEFRKRKYQKRGKFECATCNKKFQSYQALGGHRASHKRTKGFSASRNDQSSENGNSAELELEVDAEGRMVESERVMLNEEVAEMRDYLDLDLNLPAASIDDERNGHSEIYKPWWLVGSSLKQEAMVGLLSN >RHN38503.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:138776:142784:1 gene:gene50612 transcript:rna50612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc-or iron-chelating domain containing protein MISLTTTTLVLTPLSLVTCSAARHPHTTTVKTDRKQRQNNAGFSGEKKELSWHCVEGCAACCKLQKGPSYPSPEEIFTDPSDVELYNSLIGPDGWCIHYEKSSRKCSIYSERPYFCRAEAEVFESLFGVKQKNFNKEASRFTFLRFDFLWSQFRWAKSIQSLLWL >RHN65799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5507564:5511337:1 gene:gene13689 transcript:rna13689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative annexin MATIVVHSQTSPVQDAEALRLAFKGWGADNKAIIAILGHRNVHQRQQIRKAYEELFEEDLIKRLESEISGDFERAVYRWMLDPADRDAVLINVAIRNGNKDYHVVAEIASVLSTEELLAVRRAYHNRYKRSIEEDVSAHTTGHLRQLLVGLVSSFRYEGDEINAKLAQTEANIIHESVKEKKGNNEEVIRILTTRSKTQLVATFNRYRDEHGISISKKLLDQTSDDFQKTLHTAIRCINDHKKYYEKVLRNAIKKFGTDEDGLSRVIVTRAEKDLRDIKELYYKRNSVHLEDEVSKETSGDYKKFILTLLGKHD >RHN44361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3859092:3859842:-1 gene:gene38472 transcript:rna38472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MKSFCPKVSFLLLITLVLTSFVFSEAQKCRPNGRIRGKKAPSGQCNKENDSDCCVQGKMYTTYECSPSVSTHTKAYLTLNSFQKGGDGGGPSACDNQYHSDDTPVVALSTGWFNDKSRCLNKITISANGKSVVATVVDECDSTMGCDEEHDYQPPCPNNIVDASKAVWKALGVPQDQWGGLDITWSDA >RHN52009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30191577:30192246:1 gene:gene36616 transcript:rna36616 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDHHRQTAPQGTQQQNPRQSMEEILSQSLKNTQGQLDRLAKEVDEMQKRHEVTMKNMEVQMGKLSSDLANATRFVRTLFASGGGIARGEEHPPVAEEAKVVLMPSNRGWHSLPQDSLVRESELFDFGSLNAVPERWKNY >RHN74272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31315032:31316413:-1 gene:gene10308 transcript:rna10308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MCLQKESRVLDAPKIKNDYYTNLVDWGKNSILAVALGPEIYFWNSVTTDVSRLFKVNGNNYPTSVSWSEDAKYVATGFVHSQLQIWDAETSKLVRNLEGHAQRIATLAWNNNRILTSGGHDKSIINHDVRARRNEVLRIKTHRAEICGLKWSKRGNLLASGGNENHVYVWDSNKMNSSNFLHCFKEHTAAVKALDWCPYDSDVLASGGGTDDRCIKLWNVQRGTNICSIDTKAQVCGLQWNRHYKEILSGHGFSTSPEHNQLCLWQYPSMTKVGGLDPHTSRVLHLSQSPDGLTVVSAGGDETLRFWDIFGPAVNDTRESSVLGNLLSMKASQIR >RHN42929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42019320:42021373:-1 gene:gene49437 transcript:rna49437 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLMYLRYRKFKDLPNRFHRTLLPDLERISTTSKAYITEANYQMTKGFKPYVGKKYAPTIATLVSSIFVLIPLLLVSLLCNKIKTYFSLQKILIFIQIYLSLYFTTLCISTLITGVEPLKFLFTTSQSTYICFQVLQTLGYVFYLLLLVMYLVLVFSTECGLGSKFLSLGQIFVGFSIGLHYYVTVFHKVVLRQPPKSNWKIHGIYATCFLLICLFAGADRRKKAYVENDGEEGKKN >RHN78647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17157750:17170109:1 gene:gene2279 transcript:rna2279 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVASEESGAGKSVEGSYSGHRGEELAEWRSSEQVENGIPSTSPPYWDTDEDDDGPKPSELYGKYTWKIENFSKITKRELRSNAFEVGNYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDAKKSKYSDTLHRFWKKEHDWGWKKFMEISKVRDGFVDESDNLIIKAQVQVIREKADRPFRCLDCQYRRELVRVYLTNVEQICRRFVEERRSKLGKLIEDKAKWSSFCKFWREIDQTSRRRMSREKTDVILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEGQTKSKKGRVKLLDAEEMPAPIVRAEKDMFVLVDDVLLLLERAAIEPLPPKDEKGPQNRTKDGNSGDDFNKESIERDERRLTELGRRTLEIFVLAHVFSNKIEVSYQEAVALKRQEELIREEEAAWLAETEQKAKRGVSEREKKAKKKQAKQKRNNRKGKDKSKDERPTVAVHDKQQDNGSYEKKDSNLDEVQTLDEKLDALEVVSDLSDSVVGVDEVIQPDSEERDASPVNWDTDASEAHPSTEAISNGIDGLAPVQNGMAEKRSSSVIDDSSSTCSTDSLPSVVMNDPYKGNSFSNYKVQKSPSRGKNQVKASCNGSNWTAEMDSQASGSASNAVDINESGSGKVGESESEGAICLQDRLKWLNKPVARKEEEVLLPQKKQNIKEQVHVEKPVDNGSPQKEMTSVGPSSPRSPSRNLPSPVNVRKTSFSVTQQTGKDTSSSLTSASQPTIVPKTEIQKTSPPRPTEKPIAQVTMMSRPSSAPLVPGGPRPTTSVSVVQTAPPLARSASATGRLGPDPSPATHSNVPQSYRNAMMGNQIASTTTSFTHSTSSSGVNPSSGYSQQSLVSSPMFLSQSSENMGSMAGQASVPFGMLTRDVLQNGLHWMESSQREASRSMHYEPSSRLNDVQNLDLFQPVDSRSFDQLPNEFQACTSRRQNQGLLADEFQACTSRRQNQGLLADEFPHLDIINDLLDDEHGIGNAAGTSSVFQSFNDGSHMLNRQFTFPGNLDTNDDLGSSTSSCRFERSRSYHDPGFQQGYNPSRGHFDSMRDYHPQASTLYGNGKVDGLVPNQWQMAGSDLSYLGLRNPDIDGYSYYQDYSNLTGVNGYTVFRPSNGQ >RHN69006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40231691:40241131:-1 gene:gene17432 transcript:rna17432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MARLLTKLTLIVSILAFLFSPSHSAVFSVDLGSESLKVAVVNLKPGQSPISVAINEMSKRKSPVLVSFHDGDRLLGEEAAGLVARYPQKVYSQMRDLIGKPYASAKKFLDSMYLPFEAKENSSRGTVSFVVDENGTEYSPEELTAMILTYAANLAEFHSKIPIKDAVIAVPPYFGQAERRGLLQAAELAGINVLSLINEYSGAALQYGIDKDFSNESRHVVFYDMGSSSTYAALVYFSSYKSKEYGKTVSVNQFQVKDVRWNPELGGQHMELRLVEYFANEFNAKVGGGIDVRKFPKAMAKLKKQVKRTKEILSANTAAPISVESLHGDVDFRSTITREKFEELCEDIWEKSLLPLKELLEHSGLSTDQIYAVELIGGATRVPKLQAKLQEFLGRKELDRHLDADEAIVLGAALHAANISDGIKLNRKLGMVDGSLYEFVVELNGPDLLKSESSRQLLVPRMKKLPSKMFRSINHDKDFEVSLAYESEHHLPPGVTSPLIAQYQISGLTETSGKYSSRNLSSPIKANIHFSLSRSGILSLDRADAVIEITEWVEVPKKNLTIENSTISSNVSDDSGAKNNSEENNESVQSDSGINKTSNTSAEEQAAAEPATERKLKKRTFRVPLKIVEKLTGPGMSLSKDFLAEAKTKLQALDKKDEERKRTAEFKNNLEGYIYTTKEKIEILEEFEKVSTSEERQSFVEKLDEVQDWLYTDGEDANATEFQERLDKLKAVGDPIFFRLKELTARPAAVEHARKYIDELKQIVEEWKAKKSWLPKERVDEVIDVAEKLKNWLDEKETEQKKTSGFSKPAFTSEEVILKVLDLQNKVASINRIPKPKPKIQKPAKNETESNEQNTDNSNSDQSSNSEGQNEEKVDEQPETRDEL >RHN70935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55210745:55214641:-1 gene:gene19571 transcript:rna19571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MNHHQPFFFFGLIVIISMTNMSHVISTNQSEFFSLMKESLSGNYPFDWGVSKVDKPICDFTGITCDNKGDIISLDFSGWSSLSGNFPSNICSYLPNLRVLNLGNTKFKFPTNSIINCSHLELLNMNKMHLSGTLPDFSSLKYLRVLDLSYNSFTGDFPMSVFNLTNLEILNFNENSKLNLWELPKSFVRLRSLKSMILSTCMLHGQIPPSISNITTLIDLELSGNFLTGQIPKELGLLKNLQQLELYYNYFLVGSIPEELGNLTELVDLDMSVNKLTGTIPSSVCKLPKLQVLQFYNNSLTGEIPKSIENSKTLRILSLYDNFLSGHVPAKLGQSSGMVVLDLSENKLSGPLPEHVCQGGKLLYFLVLDNFFSGVIPESYSNCMFLLRFRVSNNRLEGSVPKGLLSLSHVSIIDLSSNNLTGPIPEINGNSRNLSELFLQRNKISGQITPTISSAYNLVKIDFSYNFLSGPIPSEIGNLRKLNLLMLQANKLNSSIPDSFSSLESLNLLDLSSNLLTGNIPESLSVLLPNSINFSHNLLSGPIPPKLIKGGLVESFAGNPGLCVMMPVNANSSDQRNFPLCSHGYKSKKMNTIWVAGVSVILIFVGAALFLKKRCGKNVSAVEHEYTLSSSFFSYDVKSFHMISFDQREIVESLVDKNIMGHGGSGTVYKIELKTGDVVAVKRLWSRSSKDSSPEDALFVDKALKAEVETLGSIRHKNIVKLYCCFSSLDCSLLVYEYMPNGTLYDSLHKGWIHLDWPTRYRIALGIAQGVAYLHHDLVFPIIHRDIKSTNILLDEDYHPKVADFGIAKVLQARGAKDSTTTVIAGTYGYLAPEYAYSPRATTKCDVYSFGVILLELLTGRKPIESEFGENRNIVFWVANKVEGKEGARPSEVFDPKLSCSFKDDMVKVLRIAIRCSYKAPASRPTMKEVVQLLIEAEPRKSDSCKLSTKDVSTNVTLVKKSFEL >RHN55314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17216549:17217120:-1 gene:gene30479 transcript:rna30479 gene_biotype:protein_coding transcript_biotype:protein_coding MHASLILLPFSLSSPGRNLPLFCLFEVQSEDVHMCVWCCIWLSLVIRGKKWRKESRQ >RHN51449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17934508:17936272:1 gene:gene35884 transcript:rna35884 gene_biotype:protein_coding transcript_biotype:protein_coding MNILGLNVTRITRFGNRLRLIGLGPGESQLEPSECIRPFVLRCNPVVMGLVDSRRSRLTEEKVKGCLKKEDNLSWVDVPKSFFKDKKSGGIMFAWNEILRYQKLYQIRDGSWLKVI >RHN75017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38784218:38788603:-1 gene:gene11164 transcript:rna11164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDQMTDSDRDQQRQGYFHSEPCVVLRGPSVGHPNIRSVVTASGNTSNVDSHYLPDAYDNARVYGIAQFNGIPPQHNLEMGVATAGNIYYSGMNPSSSTGVFPLPHRASDQLPGSSTFAISGVSLDNFGRSNGFMDDARGPYKRKVAEGIRGNHQHFNASTSSSIAPPNARHADGVAMMDTAPLPFHVPSLVGVGPHGGAWSRSGESIMVHDHNHLIHGNYLGQHYPPAAPPWLDQQVNSNSNDGHTTAWNPSVPIPYIQAPNINGSSLESANMGLQRYHDTAGNRNVLRFPPPPPPVNQQHPNYHHPTLPMQGIRGHNISFHPPVTAASFRVPPNPPRGSVIPPQTGFEVGPRHIVPAPSTGFRIFRPHRLMPEIPLGHRSLPPVGFFQVDDVALIDEVGNLIDHHRDMRLDIEDMSYEDLLALGERIGSVNTGLSEETIETQLKSKVYSTKATDINLEEAASDDQESDSCIICQEEFKNKEKIGILRCEHEYHAECLTKWLLVKNVCPICKSEALAPGSKDA >RHN44286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3189309:3191530:-1 gene:gene38386 transcript:rna38386 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKTINRIHFPNVYLPFEYLLGSITPKFDFPSIFNHLFILVLQIQYPRTLKTEGSRYWKLYYITCGLYTSL >RHN65934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6660160:6661044:1 gene:gene13832 transcript:rna13832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MATSLRFFFLFTLTLIFAQFFTINGLFSEQSNIMLPTNQQSTEKLTHLHFFYHDILEGKNPTVVQIIDPSTSSPSGFGTTFMMDNLLTEEQELTSKPVGRAQGMFGLASLHDRGMVMLINLAFTEGDFAGSTLSMLGRNPVQDTVRELPIVGGTGVFRFARGYAVAKSLWEISTSEHFVVEYDVTVSHP >RHN77554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7675849:7677844:-1 gene:gene1020 transcript:rna1020 gene_biotype:protein_coding transcript_biotype:protein_coding MWIGGCCWLTCLGLGAAAVSAAVVASFCFPFGGEISLSVLLCLHVLSVIVLAVMQEYSWVCYCFDDYIVVVMFGLLFSFIPQHHVILSLAGLLFCLNFLAAFSLGCWKVCSLLSGDPLYTTPYLYFALLALSAIVFYVLPFRETKIATIFFFVYNIIILFNVKLKSLNNFSYCRNIKIIVFKEIFQNL >RHN55305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17072843:17073250:1 gene:gene30470 transcript:rna30470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MIMKYLTIVYTHIKWVLDILTYYPFYKLHGSNFPIFGEMYDICNYEHNHGSNEDVECAVCLCKIEEGDEISVLRCDHMYHKYCLDKWISFKNHTCPLCRESLRPERAITELGAEILSFNFCVIHSDRDRDDWWLR >RHN54974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14109705:14111076:-1 gene:gene30088 transcript:rna30088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain-containing protein MIGLSEPASGSPKSTIKFLCSYGGKILPRYPDGKLRYLGGHNRVLSVDRSIQFSELLLKLKELCSSSVTQLRCQLPAEDLDALVSITSDEDLINLIEEYDRTASPQSQLKIKAFISPPRSSNKASKPPLPSLSKSASVSSSSSASSTSSSSSYCSVNGGGSSQKYTTEQPVVYRCVHHMSQQTVNHGNMERSSGRNVPLPRSGYQSQRNTDQNYLIHNGNHWQ >RHN62840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46149159:46149916:-1 gene:gene25460 transcript:rna25460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MNEIVDNIHLHKSGRWDLIWNIKSPPKIKNLLWRVSGLFRFPTRAQRSSRGVSCPTECVICRNNYEDIIHVLLECLSAVQVWHAVNLWDKIDRQQLTPIQSENFAAILWSLWEHRNLKLWQQTNETNVQVIERAK >RHN62558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44340799:44341451:1 gene:gene25149 transcript:rna25149 gene_biotype:protein_coding transcript_biotype:protein_coding MVEELNSGFDFTNVNKAAIQSLVVSPKLTSYSENMVIGTSIADLTMESLQQPMPQPITTNYISLTCDKLPVFPVLKPVNSRLEAAAASSAAAQNSVAILPKSWGDLIDEETDTTLEKELAFHLVENSSICLDSQVLNLCPDRSVHTSNLMDMNAEMLVTVPKDTSIVPTHSDAA >RHN74389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32555063:32559654:-1 gene:gene10446 transcript:rna10446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGNLLGKNKKHEHQDTRNDPKGRDERISSSNFPIHKPVPLPLPRQVISGQSSNTKKPLKKYDLIQDNFTTLEQVIVALRKEGLESSNLILGIDFTKSNEWTGQISFNKKSLHAIGDTPNPYEKAISIVGKTLAPFDEDNLIPCFGFGDATTHDQEVFSFHSDHSPCHGFEEVLACYKNIVPNLKLSGPTSYAPVIEAAIDIVEKSHGQFHVLVIIADGQVTKGVDNEVSPQEKKTIKAIADASKYPLAIVLVGVGDGPWEDMEKFDDKIPSRDFDNFQFVNFTKIMSKNTSAAEKEAAFAVNALMEIPFQYKACVELQKLGRVTGRAKRIVPKPSPVPYSRPAHSNSTTDDQNQSACCPVCLTNAKDLAFGCGHMTCRDCGSRLRHCPICRHRITSRLRVYSG >RHN50452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7230444:7233084:-1 gene:gene34735 transcript:rna34735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein METVDWSKLPTDLLNLISQRIDDDELDLIRFRSVCSTWRSSSVPNHHYILPYKFPVFKLPFISEQNDKNPPFCHLSKQNIFLIKPPQQQQEQQTLLRPWLIRVSQNTRGKTRFFHPLFCDSPLPRINLILDFRKLSLLHLGSNTFTRDFDTYSQHQPFNSDYMFPEKVIAVTCHGKNPLIVATISSHPEPLLSKCGNEKWKVIPDMSMEFGDICLFKGRAYAVDKIGKTIMVGSDSNVHLVAEPLDGGENKKLLVESDGDLLLACVHAFPVISVDLFKLNEKEKKWVKLTSLGDKVLFLGIKCSFSASFSDLYVAKGNCVIISADIFRRLSRLGSQASYVLDLDQGWLPLLCDSPEYSNLFWPPPKWI >RHN74967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38279777:38280007:-1 gene:gene11110 transcript:rna11110 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCKGNHKEDVCMVKPENKKGTCTHLMENKSAMIVPTKNVGPDSVVRILNTLIFREKNIAPDCERLENQFGVDKL >RHN72038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4563068:4563985:-1 gene:gene7706 transcript:rna7706 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGPPDHSTCNLVCIVSRTRLTKVCLLFPCCFGVWALLPCSRRWILRLGYAQLGLVRNWYLWQCL >RHN43143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43517747:43524068:1 gene:gene49674 transcript:rna49674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MKKKVVQQKWKKKVFALILVVVLCFGSLLFMQMRYTHVLGLVSLQHQLVSQVQKPKIAFLFIARNRLPLELVWDAFFRGGDNNFSIFVHPRPGFVLNEATTRSSYFLNRQVNDSIQIDWGEASMIEAERILLRHALDDPLNDRFVFLSDSCIPLYNFSYTYDYIMSTPTSFVDSFADTKGGRYNPKMDPVIPVYNWRKGSQWAVLTRKHAKVVVEDDTVFPMFQKFCKKKPLPEFWRDQVIPADTSKIHNCIPDEHYVQTLLAQKDLEKELTRRSVTHTAWDISNSRDRERRGWHPVTYKFSDATPMLIKFIKEIDNIYYETEYRREWCTSKGKPSTCFLFARKFTRTAALRLLNMSVLGDFS >RHN70802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54100136:54114082:-1 gene:gene19419 transcript:rna19419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BAH domain, nucleotide-binding alpha-beta plait domain-containing protein MVEDANDKDPEFKWGKHKCFGGKKREVNFYESFTYDGVHYTLYDSVYLYKEGEREPFIGKVIKIWENANKSKKVKILWFFRPCEIFNFLQGYEPVENELFLASGEGLGLTNINPLEAIAGKCNVVCISKDNRNPPPPDKDLQNADFVCYRFFDVGQHKILDKVDDKIAGIEVKNIFNNLYSQKLGGFSKLGLDEKQVGVKVTESNEAVALSSKKNNKHLIEKLDGKCFDNVDFKPSLGEKRTSSLGLKDTSKSNGGLHSISRDKTLPQAKEKENGVNKASLVKQKSSSKLSHCSSDGLEIVGMSKIGGNVSIDKTVLKSKFDSEMGGRNVVGVSDRHINKRLGEGNTSEKDKYNFSSAKTTNNVKNRRDVDVKEVPSKKLKIDTTSVKLSSDKLADMQINKRLEERKASFKEKYGVSSRTNHVQNQRNHDDDVKEAPSKKLKIDTMHTKLSSGKLRKESSTTSPNLEHKQDYSVTDVTQRPDVDRSKWFKPMPWEERMKDAYEQGRLVLLENLDPSLTSSEVQDIILDGFKERCTAKLIQKTAYSSPNSGQAFAIFKRKEAAESVIRNLEEGCFLMSNGRPLVGSFGRLPCIPEKKPTFYGHHVIDQLRLQTQREMKDAISTSHCSQPNNIEYDMAVEWCLLQERADKSWRKLYQRQGGELSKLKAKLKSKL >RHN46785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34876483:34879645:1 gene:gene41313 transcript:rna41313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-hydroxy-tetrahydrodipicolinate synthase MATFKTYTGVCFTGSAFPLHPSNVATNKTIRNSSWKPTQATVKSTFHLPMRSYEMKNRTCTEDIKCLRLITAIKTPYLPDGRFDLESYDALVNMQIENGVEGVIVGGTTGEGQLMNWEEHIMLIAHTVNCFGGNIKVIGNTGSNSTREAIHATEQGFAVGMHGALHINPYYGKTSLEGMVAHFQSVLSMGPTIIYNVPSRTGQDIPPHVIQNLAQSSCLAGVKECMGNDRVKEYTDNRIAVWSGNDDECHDARWGYGATGVISVASNLIPGLMRELMFRGKNPTLNSKLLPLIDWLFHMPNPIGLNTALAQLGVVRPVFRLPFVPLPLEKRIEFANLVKEIGRQHFVGTEDVQVLDDDDFFLVSRY >RHN62054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40342847:40344571:-1 gene:gene24584 transcript:rna24584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate oxidase MTRGAIIALMVCLMATAVRSEDPYVYYTWKVTYGNIAPLGTPQKGILINGQFPGPEINGTSNNNIVVNVFNNLDEPLLFTWSGIQQRKNSWADGTSGVQCPIAPGTNYTYKFQVKDQIGSYFYYPTTGLMRAAGGIGGIRVYSRLLIPVPYADPADEYWVLMGDWYGKSHTQLQKFLDSGRSMGRPDGVHIMGKSNSAEPLYKMEPGKTYKYRLCNVGLKDSLNFRIQDHPMKLVEMEGSHTVQNVYDSLDVHVGQCFSVLVTADKEPKQYKMVASTRFTKTVLTTTAVISYTNGNGPASPVLPPAPVGWAWSLNQVRSFRWNLTASAARPNPQGSYHYGQINITRTIKLVNNPFNIDGKLRYTLNGVSHEDDETPLKLAEYYGVANKVFQYDLTSDNPPAVIGNLRVEANVLNVTFRTFVEIIFENPTKVIQSYNLDGYSFFAVAVEPGKWTPEKRKNYNLLDAVSRHTVQVFPKSWAAIMLTFDNAGMWNLRSEMAENRYLGQQMYMSVLSPEKSNRDEYNLPDTHQVCGIVKDMPRPALQYT >RHN53388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1386099:1390655:-1 gene:gene28290 transcript:rna28290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target of Myb protein MSDNLMEKVNAFGEKLKIGGVEVGRKVTEGMSSMSFKVKEFFNGPNQVDKLVEDATSEAHEEPDWAMNLDLCDLINTEKVNSVELIRAIKKRIMIKIPRVQYLALVLLETVVKNCEKAFSEVAAERVLDEMVRVIDDPQTVVNNRNKALVMIEAWGESTGELRYLPVFEETYKSLRSRGIRFPGRDNESLAPIFTPPRSATVPEPPHVDDIPRQFQQDVPVQGYTEEQTKEAFDIARNSIELLSTVLSSSPQQDVLQDDLTATLVQQCRRSQITVQRIVETAGDNEAILFEALNVNDEILKVLTKYEELKPPPVAPLQPEPAMIPVAVEPDESPRHLDDAKEDSLIRKPAGSRPGVQGGNNDDMMDDLDEMIFGSKVGGASDGGHDTKKQSSKDDLISF >RHN51653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21664595:21665575:1 gene:gene36135 transcript:rna36135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MCCFFLQISNPKKLMAFTTSILAEKKNKILFILGATGTGKTKLSINLGTRYPAEIINSDKIQVYKGLVIVTNKVPESERCSIPHHLLGIIDDPEYDFNMNDFCKNVLESIDLIIGNGRLPIIVGGSNSYIKKLVEEPTIAFLSKYDCFFIWVDVSLPTLFQYVGKRVDEMVESGMVDEIREYYTPGADNSKGIRRAIGVPELDSFFQIEKKNDIDDAQKEKILAEAIRKTKQNTCILVQNQLLKIKNMAQILGSMVYKIDSTEVFEALLKGEDYKHLHQENVVKPSIEIVKRFLEETPVGFEYEKYSNENGKHALNGVSNIWAKII >RHN64683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60978222:60981066:1 gene:gene27525 transcript:rna27525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MGTKAKKAMHKSLKRINAKPSHSQPAADFLPLDCGPGRKLPELKPLENTSPVLYIGRIPHGFYETEMEAYFGQFGTVKRLRIARNKKTGKSRHFGFIEFESPEVAKIVADTMHNYLLFEHLLQVFVVASEDVHPNLWRGFNYRCKPLDSLQIERERHDKERTLEEHKKLVDRVMKRDKKVGK >RHN61819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38572019:38578853:-1 gene:gene24318 transcript:rna24318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA oxidase MDNHRITRRTTILTNHLRHDPPTAPTILHNHACLSHSPPELSESNPTTFDTFEMRKLLDGHNLEDRDWLFGLMIQSGLFNRREVDGRVFVNPDYNQSMEQQREMTMKRIAYLLDRGVFRGWLTGDGPQEELRKLALHEVIGMYDHSLAVKLGVHIFLWGGAVKFLGTKRHHDKWLRATENYDIKGCFAMSELGHGSNVRGIETVTTYDPISGEFVINTPCESAQKYWIGGAANHATHTIVFSQLNINGSNQGVHAFIAQIRDSDGNICPNIRIAECGHKIGLNGVDNGRIWFDNVRIPRENLLNSMADVSPSGEYLSAIKNTDQRFAAFLAPLTSGRVTIAVSSVYISKISLAIAIRYALTRRAFSITPNGPEVLLLDYPSHQQRLLPLLAKIYAMSFSAIELKKLYVNRTPESNKAIHIVSSAYKATFTWNNMRTLQECREACGGQGVKTENRIGQFKGEYDVHSTFEGDNNVLMQQISKALLAEYIACQTKNKPFKGLGLEHMNGSCPVIPSQLTSSTIKSSEFQIDLFHLRERDLLKRFAAEVSEHQSQGNSKESAFILSYQLAEDLGRAFSERAILKTFMEAESALPAGSLKDVLGLLRSLYALISVDEDAAFLRYGYLSTENASAVRKEVPKLCAELRPHALALVNSFGIPDAFLSPIAYNWVESNSWSTAQH >RHN43944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49655236:49661922:-1 gene:gene50584 transcript:rna50584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative D-cysteine desulfhydrase MYGHLKKQVSTVMAGFEFLSVKPYTAPSWASYLDPIPSHIVSLAHLPTPIHRWNLPNLPANTEVWLKRDDLSGMQLSGNKVRKLEFLMADAIAESADSVITIGGIQSNHCRATAVAAKYLNLDPFLILRTSKLLVDQDPTLTGNLLVERLIGAHLQLISKEEYSQIGSVTLANLLKEKLINQGRRPYVIPVGGSNSLGTWGYLEAVREIELQIQSGTSNVKFDDIVVACGSGGTIAGLALGSSLSTLKARVHAFSVCDDPDYFHNFVQGLLDGLKAGVSSRDIVHIQNAKGLGYAMNTSEELNFVKEVAEATGVVLDPVYSGKAAYAMLKDMNENPKKWEGRKILFVHTGGLLGLYDKVDQLGSFVGNWQRMDVNESVPRQDGIGKMF >RHN57443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39191782:39198008:-1 gene:gene32988 transcript:rna32988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MDVHVITIGEGSGSMEHNVDAEFGQVNDAGNSGSHAEGMVSEPYLGMVFDSEDSAKSFYSDYARRSGFSSKAGLHGQFNADGTNMFREFVCSREGLKRRMTESCEAMIRIEQTGQNKWVVTKFVKEHSHSLAVPDKVEKLQLVKHFSSVGRTMPETYKGLGLVPTGVMYISIDAHHVSSDNSQKIRNSPAAAAKTSHPVKNSTSMPYNARQPIKKKTLGRDARDLLEYFMKVQAENPGFFYAIQLDEDNRMSNVFWADARSRTAYSHFGDAVTLDTTHRANQYNVPYAPFTGINHHGQMILFGCALIFDDSEASFCWLFKTFLAAMNDRHPLSITTDQDRCIQTAVSQVFPQARHCINKRYVLREGHEKLGHVCRMHPYFHYELYNCINLTETIEEFDFSWNSIIDKYELRGHDWLQSLYSARDQWVPAYFRDSFFAVISPNQGFEASFLDGVVNRHTTLPMFFRQYELALEKWFEKEIESDFETISTNPVLKTPSPMENQVAKLYTQRIFLKFQEELVETFAYTANRIEEDGVNSIFGVAKFDDVEKSYTVTLNQSELRANCTCQMFEFSGILCRHILTVFTVSNVFTIPSHYILKRWTMEAKNSNVLDECGGESLAYESLTSRYANLCREAIRYAEEGAVAMETYDAAMSGLREGGKKVDVMKRNIAKVSSTNHRLSGNDCSDPDKKTPTSTSDTSTAPLLWPLNDETSTFNLNDVDAPVQPMADLNLPQTTPVPRKQVDVPSPVPRQRVDSPSSVPRQRVDGPRPVPRRRVDGPSPMPRQRDDGPPPAPLQQDDGPPENTVALPSLKSMTWVMENKTSNPENRIAVVNLKLQDYNKSPSTEYEVKFHLSRVTVGPVLKSMAEVGEQLSTTANKVAVINLKLDNARVSGESEVKFQVSRDALGAVLRSMAYIREQLSCAGDANSEPMSKKRRN >RHN41405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29737828:29738423:1 gene:gene47723 transcript:rna47723 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYNKYWIFQPKFPTKLFRQISNENFVGKHLEVKAQGPHAERRWNQIAWQLVVGWSYRGNFRRKTRGPHAQRGVVVTGAGCVYINTSALILTQSTNSKSFPSHFLHLILTQIFINITQILFFIIYTILHHFIIIVEVSNTVLLVPIHFEVGVCIVAVDFAASA >RHN63980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55623764:55626661:1 gene:gene26749 transcript:rna26749 gene_biotype:protein_coding transcript_biotype:protein_coding MVTACMKSNVAQGSTIGLNSLHFSFYIFFTFCPLHFIRIFLPPPTFNQDIIFHSFSYKEPNP >RHN62635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44854288:44856255:1 gene:gene25230 transcript:rna25230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MAPPIFCYARGTIMLFLIIPLAHAHLVSFDYPMFNHNCKELPELDGDATIEDSDNIIQLTGYTDDPDKASSVGRVTSPKLIKLYNRSTNEVYDFRTKFSFTIFSNHSSYGDGLAFFLASSNLTKANRIGGGGGFGLVPANEVALNSTEYSIVLVEFDTHKNIWDPGFPHVGVNINSVVSDTNIEWFSNVSERMVYNCSIEYISRNNVLNVSFTGYRLNAWQEPQNFSHIINLREHLPEYVRVGISASTGKVDEEHMLLSWSFSTSQPSYFVVDPRKTKLWEGLAVGGVCLSWSLVAILIIFLWKKNKGKEDEPTSETTSDQDMDDEFQMGAGPKKISYYELLNATNNFEETQKLGQGGFGGVYKGYFKDSNSVAAIKRISADSRQGVKQYSAEVKIISQLRHRNLVKLTGWCHKKNELILIYEYMPNGSLDFHLFRGGSILPWNLRYNIALGLASALLYLQEEWEKCVIHRDIKSSNIMLDSDFNTKLGDFGLARLMDHEKGSETTVVAGTRGYLAPEYIDTSKARKESDIFSFGVVLLEIACGKKAIHHQELEGEVSLVEWVWELYGLRNLIVAADPKLCGIFDVKQLECLLVVGLWCANPDITSRPSIKKVIKVLNFEAPLPILPLNMPFLASLSPTTNEQFFSVPSFFRSTG >RHN76557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51211971:51213056:-1 gene:gene12902 transcript:rna12902 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAFRSSRSETSSYSHFASSSVSTFSPRASPVMMQSSSYGHRSTPPSPPSPSSTVRFSTEQRPESPGPKSMTAVSSTNTKPARRCMCSPTTHAGSFRCAYHKRMAEQEQQRQQKQDKVHQQHQQQKEVQQKQHQQQQRQQITAMSRSRTLNLRRSAMKNSLIRIGVEGEILKRTLTNLIRPSPQQLRRREAFQAKPSRLSVMSKAA >RHN57270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37727798:37730102:-1 gene:gene32792 transcript:rna32792 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSCRRSKRIKDKHRGRWQSTLVRRKSNMAKRKWKSKRGSQFYHKLF >RHN64427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59008549:59016314:-1 gene:gene27243 transcript:rna27243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MGPTNLYLTYTHVGTVSIQFTLYTLTFYCPVKCFNCSTAAVLLSPLHFFCSCVFLDAPNPVSLSLFLFWSECRPRASTLSPLRSGEMTGTSNGRGGKNPVFPKTEDFDYELSPYTALSRDFRETAASSSGSKVRGFFIGMGFLPGLVDKVIEENGEENSDALLEILLRCSTNGDSSDSLEGSLNTNEHRSIPNFFPNAHSKEALQISNLESSDSLDSLFDDKDSPEVSMINQPKEEVDEIFEDIEDSRGTLLMMNFSAEEVEFAIRKLGNKAPVPELVDFIFAAQIAKKMKKEEAEEDDIKCYVREKEISNEQLFGIMAKTLQLFEMGFSENEISSAVDKLGPDVPISELANFIFAEQNGIEYVMEYKYPSGPSYSWIKEEEQSDMYGTAEVKVEDFSHEPSQSRQVNLGETGTGERVKEEDYIDEFPDDVKPGFMENDRNKRPKYEYDDNSNSSLDPYWVEEMVDTVVATMSRRNKSTPSRSLSSVAAKPPFFLFGNVSNITYDSWKKMSQFLYCIEPEFVNTELFSALNRIEGYIHNLPTENRFQILPKPPMTIEDAIPRTKKWWPPWDSRKKLNCNYCETGGITQLCDRLGRALANSGGILTSQQQKDILRYCRGLNLVWTGKYKLGPIEPEHLDHILGYPLNHTRTDECDLTERLQLLKHSFQTDTLGYHLSVLKPIFPTGVTLLSIFSGIGGAEVALHRLGIKIKALVSVETSATKRKILEKWWRSSGQSGTLVQIEEIQKLTSKKFENLINNFGVFDLVIYQNPCSQPIVRPHQVGGLSAVEFSAFCESVRILQRVRALCERR >RHN39947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11875254:11878473:-1 gene:gene46031 transcript:rna46031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MMQNPELGSASVLNQWENEGRNLTKWELCRVVKELRKYRKHDRALQVYDWINNRPERFRISASDVAIQLDLIARVHGVSSAEGFFLNLTNDLKDKRTYGALLNAYVHSRSREKAESLLEVMRSKRDQ >RHN80591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39252643:39253312:-1 gene:gene4531 transcript:rna4531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MASSVVFRCCLIVITNLFMAFDFAHAQPTRAFFVFGDSLADNGNNHLLFTTLRADFPPYGIDYPTHKPTGRFSNGLNIPDIISEQLGLEQTLPYLSPLLLGEKLLVGANFASAGVGILNDTGIQFDDEIASDVNQTLIILFNIPSVSQEADP >RHN76589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51487337:51493440:1 gene:gene12939 transcript:rna12939 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKDEDTDPWLGADKFYHIIMCFTLTLLFYALASFTSHPFLRRHAISIGSVVSLLAGAAKEAADHLGYFRSSGASFKDAIADIVGVVIASFALTLFRSKTSGHRSHPQGISLV >RHN78813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18648763:18648912:1 gene:gene2468 transcript:rna2468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L35 MNDGTFGRWREGKRHNAHLKSKIAKRRLRMSALVPVAYAKVMKKLDFCG >RHN49659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:122403:123274:1 gene:gene33862 transcript:rna33862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferredoxin:thioredoxin reductase MNMNMTSITSSIATLVTPLPLFMNTKNKIQSRSSKMTRIRCDVGEEDSSSSTAKIGSRVRVKVPLKVYHVPKVPEVDLTGREGQIKQNVTFWKDNKRISANLPYKVEFVADDIQGPRGPLKFVAHLKDDEFEFLE >RHN72661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9515518:9519710:-1 gene:gene8406 transcript:rna8406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif domain, nucleotide-binding alpha-beta plait domain-containing protein MDPGKLFIGGISWDTNEDRLRQYFQNFGDVVEAVIMKDRTTGRARGFGFVVFADPSVAERVVMEKHVIDGRTVEAKKAVPRDDQNVFTRSNSSSHGSPAPTPIRTKKIFVGGLASTVTESDFKNYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVEKVLHKTFHELNGKMVEVKRAVPKDLSPSPSRGQLGGFSYGTMSRVGSFSNGFAQGYNPSLIGGHGLRLDGRLSPANVGRSGYSLFSPSYGTGLNFEPPLNQNENENFTSNFIMGRALSSPYSASPSRYNNAMAYAAGSNVGNSSAISSTNQSLWGNGNLNYANNPASSDAFLGYGSENSSIGSFGNIGSLWSTSVGAANQAGTIGSGYGKSSLNYRLGDVILGSKAVGYGKNSESNVESDSSYAFSNGSYGEAYKSTFEAGPFYQDHTWRPTTSELEDSGPLSFGLENAVSDLMRKSSSSGHIGAYAAVANRQSNRGIAA >RHN41764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32739884:32740631:-1 gene:gene48121 transcript:rna48121 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLVSLAQLVCKVWVQTSTTIKKNYALSFHPFILACNLKSYFKYMS >RHN78636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16982090:16987381:1 gene:gene2265 transcript:rna2265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target SNARE coiled-coil domain-containing protein MNYRRDNRSSRSSLLDGLDSLEEGGLRASSSYSSEINEHDNDNAIDTLHDRVSFLKRLTGDIHEEVESHNSMLDRVGNKMDGSRGMMLGTMDRFKKVFEKKSTRKTCSLVGYFTLAFIFIYYLIRMLGYFTLG >RHN58774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4744431:4747573:-1 gene:gene20718 transcript:rna20718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin PIP1.1 MENRDEDVRVGANKYRENQPIGTAAQSQDNDAKDYKEAPSAPLFEHGELSSWSFYRAGIAEFVATFLFLYITVLTVMGVNKSSNKCSSVGVQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRTLFYIIMQCLGAICGAAVVKGFQPHQYERLGGGANTLNKGYSIGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAALIYNKDQAWDDHWIFWVGPFTGAALAALYHQIVIRAIPFKSK >RHN75502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42770080:42771902:1 gene:gene11720 transcript:rna11720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MTRSMLKMKKMPNTFWGEAVRTAAYILNRCPTKKLNQIPEEIWLGCKQSAKHLRVFGSLCYMHIPDAKRRKLGDKSEPMILVGYHETGAYRLYHPLNHSIVISRDVKICENEAWDWTKKEKSSSHTIPTIIEDDDQVEQVQLDTEVQPEVHVEENQVTRTSVRQRFASTRLAGHEVIPDNVVNEEGEFVHFALLADAEPINYEAALNEDVWKNAMIEELNSINRNNTWKLIELPASKKPIDVKWVFKLKLKPNGEVAKYKARLVARGFMQKAGMDYFEVYAPVARLETVRLIVAIACGRNWPMHHLDVKSAFLNGPLDEEVYVTQPPGFKIKGKEDMVYRLHKALYGLKQAPRAWNKRIDSFLVKQEFVKCKSEYGVYVKKGSEGNQLLICLYVDDLIVTGSDMNEIEAFKSQMMSEFEMSDLGKLTYFLGMEFTEVAEGLVMHQKKYASDILKRFNMMSCNPSSSPAETNVKLVMNEDEEPVNPTLFKQIVGSLRYLCNSRPDIAYAVGIISRFMSEPRVSHLLAAKRVMRYIKGTLQYGILFPKCLNENTIELTAYSDADWCGDRQDRKSTSGNQL >RHN64962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63319239:63320473:1 gene:gene27846 transcript:rna27846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative senescence regulator S40 MAKGRKFTTNRNERLLGTNYIQSSNTTHEVTDFREEDVWSIVEDRDHNVNFTPGDWDSRAPSRRFNHGGLSLPFENPVSNVATTSSRNVHHQYRNVATSAPVNVPDWSKILRVESVESLHDMDDDNESEMVPPHEYLARGRKMAANSVFEGVGRTLKGRDLRRVRDAVWNQTGFDG >RHN51611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21001760:21002717:-1 gene:gene36086 transcript:rna36086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MKLSCNGCRVLRKGCNDDCIIKPCLEWISSSESQGRATLFLTKFYGRIGLLNLLTNATNQNQNPQVVFKSLLYEASGRLVNPTYGVLGLFWTGDWSRCEAAVEAVLTGSNINDNFTMIDGHTSSGTVNAENHVLPKTYDIRHVAKGTNVDVKGKTQFKRVGKILKPKPRVGSVNSTTMLKSLLKNTNMEIGERSSRVQTQKINEAVENQVNLELTLGFDCQSTKSKKILDK >RHN44838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9020129:9022071:-1 gene:gene39004 transcript:rna39004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAKKFKGPAIGIDLGTTYSCVAVWQDQNNRAEIIHNDQGNRTTPSFVAFTDDKRLIGDAAKNQAASNPVNTIFDAKRLIGRKYSDSVIQNDIRLWPFKVVPGNDDKPEIIVKYKGGEKHFCAEEISSMVLTKMREIAEKFLESPVKNAVVTVPAYFNDSQRKATKDAGAIAGLNVMRIINEPTAAALAYGLQKRANCVEERNIFIFDLGGGTFDVSLLTIKNNLFEVKATSGDTHLGGEDFDNRMVNHFVMEFNRKYMKDISGSPRVLRRLRTACERTKRTLSFDTNATIDIDSLFEGIDFHSSITRAKFEQLNVDLFKKCIETVESCLSDAQIEKSSVDDAVLVGGSSRIPKVQQLLKEFFNWKDICVSINPDEAVAYGAAVQAALLCEATEKSLNLVLRDVTPLSLGTLVRGDLLSVVIPRNTPIPVKKTKNYYTTKDDLSYVSVRVYEGERLKASENNLLGKFRFSIPPAPRGQIPIKVCFSIDFDGILNVSATEDTCGNRQEITITNEKGRLSTEEIERMIQEAENFKDEDMKFKKKVKAMNDLDDYLYTMRKVMKDDSVSSMLTSIERMKINSAMIKGMKLIDEKQHHEAFLRTF >RHN59296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9194757:9195753:-1 gene:gene21301 transcript:rna21301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3'-hydroxy-N-methyl-(S)-coclaurine 4'-O-methyltransferase MEEIQSEVITWKKDENEKEEAEAQVEIWKYIFGFADQAVVKCAIELGIAEAIEKHGKPMSLLELSSTLGCNPSYLNRIMRFLIHRKIFKTISTNHENYPYYVQTPLSLTSSTTGDLKTNLVEKSYSLHRFNNWWKKNNRILHDWGDEECIQILKKCKEAIPNDNGRVIIVEAVIEEGGNHKYKDVGLVLDMVMMAHTNYGKERTLKEWEYVIKMAGFKGCTVKSINAVQCVILAFC >RHN46256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30083680:30085992:1 gene:gene40723 transcript:rna40723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MMHESHSPQRQDALTLEGFSSSTRKKKGGWKSVKYILGNETFEKLASMSLISNLVVYMHTQYNMETTFSVEVFNIWSGFTNFLPLVAAYLADAYIGKFRMLIFGCIASFLGMGFMSLGAGVPSLRPPTCSTLSDCIPIKPTALQLAILYTGLGFFAIGSGSLRPCNIAFGADQFDIKTEKGRAQLESFCNWWYFLFTVALLVSLTAVVYIQTNVSWFLGFIIPTGCFAVSLTIFVFGHGTYIRLKPKGSIICDLLKVILAAIRKHHVDMKEDSELSFYDPPLSSNESEDSRHVKLSHTNRFRFFDKAAVITNQSEIDNNGKSIDNWRLCSLQQVEELKSILTTLPIWLAGIICFLSMGQANSYGILQALQTNKSIGQHFIVPSAWMGLVPMIFISLWILLYEKIYVPLTKKKTLKGKRLSIEQRLGIGIIFSIASMVVAGLVEVRRRSNALKHKTFESHTRIWWLVPQFGLSGLVEAFAAIPMMELLTSYWPESMKTLGGAVFFLSLSIASSLSNVLIKIIVVVTKRNGGTPWLGGNDLNKNRLEYYYYTIAVLGGLNLLYFLFFARFFLCSEVLQRQGQSEAGDEENNYQQSM >RHN82428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53706272:53707113:1 gene:gene6594 transcript:rna6594 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRGASVNGVNNPKNLPNVEDVVAMGKKKGKQEGEQKMEKEERKENRDISEGTTTIREGVNWNWEEYMPWLGGVVDEQMSWGSTWFPGWDMDFMGEAFNNALYNDVVWEDDIWNLKNQIPIPLHGKRFELE >RHN74899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37639915:37641078:-1 gene:gene11033 transcript:rna11033 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAGSFTHMPVLPTQNYVSKKSMAITIKCANNNKNKTKVVGKKPTGRKGRRGLGTGPKTENAQGITIPPKTSDDDQHDKNINKTKTKVGRRKPPGSTGGGGLGTGPHTNITKLPKNPPKDSDDDEDQLDNTFAFNLTGNEWVVIYLFSILFVGSTLFNAH >RHN51326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16248928:16251105:1 gene:gene35750 transcript:rna35750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MGEIGKIQLGVIGALFLSVASSVSIVICNKALMSKLGFPFATTLTSWHLMVTFCTLHVAQRLNLFVTKSIDMKTIMLFGFLNGVSIGFLNLSLGFNSVGFYQMTKLAIIPFTLMLETIFLKKQFSQKIKFSLFLLLVGVGVASITDLQLNFVGTIISLLAIITTCVSQILTNTIQKKLNVSSTQLLYHSAPFQAAILFVSGPIVDQLLTNQSVFAYKYSSTVLAFIILSCLIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVIGFGYTLLHDPFNGRNIIGILIAIFGMVLYSYFCLEENKKKQLLGDLSLASQSSG >RHN55613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20066277:20067191:-1 gene:gene30816 transcript:rna30816 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MQTRRNRSRECCSPKVSGAQRKASENGQVADLIKSSGRKNNESSCAFEDKDAEQWPLNLGTEAVDGGSIDIEGNQQTVTPATMFSPCLYDFDAYSFIKTLPELSEVVPTFRQMLLPRQTRGCPPTTLVLGLDGTLVHSTLVKPKEDHDLTFTVSFNSVKEDVYVRYRPHLKEFLDEVSGIFEIIVFTAGQRIYADKLLNKLDPSRKIFRHRLFRESCVNVDEKYVKDLSILGRDLARVTMIDSSPHSFGFQVENGIPIETWFADPSDNKLLSLIPFLESLVEVDDVRTEIKNRFNLQEKIADAY >RHN40720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19962066:19962531:1 gene:gene46914 transcript:rna46914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSLEYAMEGVFSTKSDVYSFGVMLLEIISDGKNNSFYSDDNPLNLVGHLWKKGKVLQLVDSALKGSFSEEEVLRCVHIGILCVEENADDRPTMVEQVRARKKRLLKKSAWNLRIKIRVPV >RHN58579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2984861:2986745:-1 gene:gene20502 transcript:rna20502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zein-binding domain-containing protein MALDKIHSWSLGGIIGSFIDLFLAYVLLCVSTITFFASKLLRFFGLHLPCPCKGIFGYRNRNLCFHDILFEWPLKNVCSIQVMAAKRFPFDLVWVKKGHSLDNTNGNKMVVNFNEKTCDNTNGIGELEDENSCSGPRLLSLIDIESGCDAKGKRVMSLKRRSGIRRRKRGSYDYGKNDSVIPCDTFRSDVVAFCSCLPYDGSNNSIEDRSSQSIIPASEKEVSVNYDGDDRTCYDPDEKSCHSYELNASTVDSPGQGIYSPSLELHYMNTTAKDNVQIVENEDNRIKMLEKAIEEEKAAYAALYLELEKEITAAATAADDAMAMILRLQEEKASMEMEMRQYERLIEERAAYDEEEMNIMQEILIRREKENLFLEKELESYRPSLSFETCDDPSQAESIVSNVKKDCADADHGEEVEENSQHKDQACNDMHRSFYDTESDVLDDNIELAGCPGANSKCKSLPRF >RHN78092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11824703:11827851:1 gene:gene1607 transcript:rna1607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-IX family MSFGVSYVLHLVLLFFMMFIAAWSQDDVVMQKLKSSITSSSNDLDWSDKDCCKWKGVSCSGTTVTEINLGQLNIQGSLPKELMQLTALTRFDCSENEFSGGFPYMPNSLQNLYIRNNSFTSMPSDFFTNMSNLIEVVIDSNPFSQWQIPSSLKNCLALKLFSANSAGLVGVIPEFFGNDTFPSLTDLKVSFNSLGGNLPNSLSGSSIENLWVNNQNGNKLNGTLSVLQNMTSLKVIWVQSNAFTGPIPDFSNHDQLSEVSFRDNQLTGVVPQSLISLQSLKAVALTNNRLQGSPPKFKDDVKVDNDMDKGINSFCTKVVGQPCSPLVNALLSVVEPFGYPLKLAQSWQGNDPCQGGWLGIVCSSGNISVIAFEKMGFSGSISSSFASLSSVTKLLLDNNHLTGTIPKELASMPALKELDVSNNALYGQIPSFRGDVVVKTGGNPDIGKDKPPGSPGSSSGGEYKKKISVGAIVGIVMGIVCLLGVVGVLVFVMRGRRHNKCAGKVQTPNAIVVHPRHSGDGNGVKISVAAAGSSSVGVARGTGGFSPSSSVRNVEAGNMVISIQVLREVTNNFSEKNILGKGGFATVYKGELDDGTKIAVKRMKSEMVGDEGLNEIKSEIAVLTRVRHRHLVALHGYCLDDNEKLLVFEYMPQGTLSQHIFDWKDDGSKPLGWKCRLSIALDVARGVEYLHGLAQQIFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGQTSFATRLAGTFGYLAPEYAVTGRVTTKVDVYSYGVILMEMITGRKVIENSQSDENIHLVTWFRRMLLNKDSFEKVIDPAMDIDEEGLESFRTMAGLASQCCAREPHQRPDMGHVVNVLAPLVEIWKPAEPEADDMYGIDLDISFPQGLSKWPNLEGTSNTLDVSKSSSMAAGCEYTQSSIPPRSPGFANSFTSADAR >RHN47841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42962406:42962842:1 gene:gene42487 transcript:rna42487 gene_biotype:protein_coding transcript_biotype:protein_coding MIALSPKMKKYANTSVLSLYLNILSIVQAVMTAEEIANCKEKIIEE >RHN82732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55951695:55953495:1 gene:gene6916 transcript:rna6916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA synthase MEYFLKIFCLFSLFYSILKLFNLILKRRSQSCYMLAYECFRPKEDTKLNTDSAAKIVMRNKNLGLEEFRFLLKTMVSSGIGENTYCPRNVLEGRETCPTLKDTYEEIDEIMFDTLDNLFKKTCFSPSEIDILVVNVSLFSPAPSLTARIINRYKMREDVKVFNLAGMGCSASVVAIDLVQQLFKTYENSLGIVVSTEDLGSHWYCGKDKKMMLSNCLFRAGGCSMLFTNKTELKDRAILKLKHMERTQYGSDDEAYNCCIQMEDEEGFAGFRLTKSLVKSAAKALTVNLQSMVPKILPLWELVRFFIISLRNSMKKVEFGNIFILFFNLGKMVIKPKFNMLGGGLNLKSGIEHFCVHPGGRAVIDGVGKGLKLNEYDLEPARMALHRWGNTSAGGLWYVLGYMEAKKRLKKGDRILMISLGAGFKCNNCVWEVMKDLSDTNVWKDCIQSYPPDTLNNPFKEKYNWINDEYLSFVRLDFSTMVFN >RHN42348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37589711:37590356:1 gene:gene48779 transcript:rna48779 gene_biotype:protein_coding transcript_biotype:protein_coding MCGITAVVLNWCSNSFLATAMFILLFQFNCNGIFVFFLLLRFKVAVPFDSNCLQLLIPIVSEFTCPHFRYCSR >RHN82817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56581456:56586168:1 gene:gene7007 transcript:rna7007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative presenilin/signal peptide peptidase MHNCIVTLTLSKRPNFGQKTVNLPMFGEISIFSLVVLLFSVAFAIIWAATRRESFSWFGQDVLGICLMITVLQLARLPNIKVATVLLCCAFVYDIFWVFISPVIFQKSVMITVARGDKAGGEAIPMLLRFPRPSDPWGGYDMIGFGDILFPGLLVSFTRRFDKDNKKGVFEGYFIWLVIGYGFGLFLTYLGLYMMNGHGQPALLYLVPCTLGVTVILGCIRGEMKSLWNCNADSSSSSEPTKV >RHN61776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38274737:38280791:1 gene:gene24271 transcript:rna24271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MICTRIIREASLEMTCEGLGKTIQTIAFLAAIFGKEGDSILSETRVEKRDPVLIICPSSIIQNWESEFSKWSNFSVAIYHGANRDLIYDKLEANGVEVLITSFDTYRIHGNSSLSDIQWNTVIIDEAHRLKNEKSKLYKACLEIKTLRRYGLTGTVMQNKILELFNIFDLVAPGSLGTREHFREFYDEPLKHGQRSTAPDRFVQIANKRKQHLVSVLNKYMLRRTKEETIGHLMMGKEDNIVFCAMSDLQKRIYRRMIQLPDIQCLINKDLPCSCGSPLTQVECCKRTVPDGAIWPYLHKDNLDDGCDSCPYCIVLPCLVKLQQISNHLELIKPNPKDDPDKQVKDAKFAAAVYGPDIDLVGGSMQNESFLGLSDAEHCGKMRALEKLLLSWFSHGDKVLLFSYSVRMLDILEKFIIRKGYCFSRLDGSTPTNLRQSLVDDFNSSPSKQVFLISTRAGGLGLNLVSANRVVIFDPNWNPSQDLQAQDRSFRYGQKRHVVVFRLLSAGSLEELVYSRQVYKQQLSNIAVSGKMEKRYFEGVQDCKAFQGELFGICNLFRDLSDKLFTSEIVESHETNKKDGLETEQQKKTYISEETNLLVSESETRSCSESVRTTSKPDIEFEDVGIVYAHRNEDIVNSRPVIQRQLSTSSTSSSDGQSEPSSTLVHERKKSDCVPKKQKILLIDERKRAQFSLLAESMGMEDLAFSKWLLSATPVEREKVLIDYKRKKLKG >RHN53529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2301216:2307887:-1 gene:gene28445 transcript:rna28445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSSSKDADPTLGYLTRKDTEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDSTELGEYRLRKRKEFEDLIRRVRWNVSVWIKYAQWEESQKDFTRARSVWERALEVDYKNHTLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARQVFERWMKWMPDQQGWLSYIKFELRYNEIERARGIFERFVLCHPRVGAWIRYAKFEMKNGEVPKARNVYERAVEKLADDEEAELLFVAFAEFEERCKEAERARCIYKFALDHIPKGRAEDLYRKFVAFEKQYGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKERTREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAGDMERTRDVYKECLNQIPHQKFSFAKIWLLAAQFEIRQLNLTGARQILGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLEWSPENCYAWSKYAELERSLAETERARAIFELAIAQPALDMPELLWKAYIDFETAECEFERARALYERLLDRTKHLKVWQSYAEFEATAIDESLELSEQEQKEQCLQRARKVFEDALNHFRSSAPDLKEERAMLLEKWLNLEASSGELGDVSLVQSKLPKKLKKRRQVSTEDGSSRIEEFIDYLFPEETHTTNLKIMEAAYKWKKQKLSNE >RHN52663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37496475:37501439:-1 gene:gene37358 transcript:rna37358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MMMLDSQQHQCFYSMFFFFLVVLVSSVNGVTVPETVHLDTGGLSRDVFPKGFVFGVATSAYQVEGMASKEGRGPSIWDVFIKKPGIVANNGTGEVSVDQYHRYKEDIDLIAKLNFDQYRFSISWSRIFPNGTGKVNWKGVAYYNRLIDYLLEKGITPYANLYHYDLPLALELKYNGLLSRNVVKDFADYADFCFKTFGDRVKNWMTFNEPRVVAALGYDNGFFAPGRCSKEYGNCTAGNSGTEPYTVAHNLILSHAAAAQRYREKYQEKQKGRIGILLDFVWYEPLTRSKADNYAAQRARDFHIGWFIHPLVYGEYPRTMQNIVGNRLPKFTKEEVKLVKGSIDFVGINQYTTYYMYDPHNQKPKVPGYQSDWNAGFAYAKNGVPVGPRAYSYWLYNVPWGLYKSIMYIKEHYKNPTMFLSENGMDDPGNVTFSKGLHDTTRINYYKGYLTQLKKAIDDGANVFGYHAWSLLDNFEWRLGYTSRFGIVYVDFKTLKRTPKMSAYWFKQLLTKKK >RHN64823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62237708:62241453:-1 gene:gene27687 transcript:rna27687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome-c oxidase MAEIELKTAPVDFRFPTTNQTRHCFTRYIEFHRCMTVKGENSGECEKFAKYYRSLCPGEWVDKWNEQRDNGTFPGPL >RHN51733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23827106:23828094:-1 gene:gene36242 transcript:rna36242 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIREHFAITGTKIRLEDVPETMYGGALPVAKSRKTKRKALTKEEYLDDAPKQPAKKARRAKKKRVAVQENIVAPAIPTIQEEVEHLEADKILPKRTGNGKLAASSQAAPDQPLIPKKKRRTTIRKLKKKKAEDAVALAKIRELPKGIEVPVSSIAREDAGISAQQVVKATEDVQDLVTSKGGSLLLIDGELEKTTEEVQEGNAGCFEALNSEASRGNPDSPHSTDVINIESSSIFVSLSTTLSSSSTSSDYDDIPLGKIYTTINKGLSPSTKLHKKPVDSSTLSSLFFLRLNCTFGPLSFQKLRL >RHN38407.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000026.1:8436:10172:-1 gene:gene50773 transcript:rna50773 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLETMERSSSFNQYDSICDQDFPEDDSDTDSCVSSSSSLGRNSDSSEDDSSDREEVEKNSFKGPLDTMKDLEKDLPVK >RHN68261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34056326:34063517:-1 gene:gene16592 transcript:rna16592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Actin family MPFISKIQRQFDYNRFSSTTPIVIDNGASYFRIGWAGESEPRVVFRNIVQRPRHKATGETVTIVGDHDPALLKYFDCTRSGPRSAFESDVVFQFEIMEYILDFAFDRMGATGSGIDHPILITECVCNPVQSRSKMAELLFETYGVPSIAFGVDAAFSYKYNQQRSVCDKDGLAMCPGFATTHVIPFVDGEPIYKGSCRTNIGGFHVTDYFQRLLSLKYPYHMARFTWEKVEDLKMEHCYVAQDYVSEARLFLKGAKEAEEKTKMWQLPWVPPPVEEPPSEEEIARKAAIREKQGQRLREMAEAKRSSKINELENELRGLEFLLNQLGHVQESDVRSFLAETGYVSRQEVESARSKVVQSLRKQKGEQTEIEKPDAASNEKYTLINIPDDMLTAEQLVEKRKQLSIKSMSDGRQRLKQKRYEEELERERKQQLEEERRLENPELYLEQLRAKYKDLSEKIDQRKRLKTNGGSSTNGNGNSLTGSVGRGERLSAAQRERMRLLTTAAFDRGKGEDTFGAKDEDWQLYKLMSKDNDDDDDEPDEDNTELARISSRLQDLDPTFVPKVESSSQPAEAPRIRPLTKEDFQIVFGVERFRCPEILFSPNWIGVDQVGLDEMAGVSMRRLSCKDEKLEQRMTNSILVTGGSSLFPGIIERLEAGIRMIRPCGSPIKIVRALDPVMDAWRGASAYASAPGFHTQTFTRMDYYEKGEDWLRSYQLKYSL >RHN71508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:627450:636002:-1 gene:gene7117 transcript:rna7117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydrolipoyllysine-residue succinyltransferase MFGVVRRRVASSSLLKIRSGTTRVSPVLEKEIALSSGGCGNIRNFCHITPGRWISSKPIRDIFHQEASVQTWKRSFSSDNGDNVDVVVPPLAESIEDGTLAKFLKRPGDRVNVDEPIAQIETDKVTIDVPSPESGVILKLLANEGDTVAPGNKIAIISRSADATTHVAPSETAPEKPAPKPTPKASEPEEKKTPKVETTTTEKPKAPTPQSSPTEPQLPPKERERRVPMTRLRKRVAQRLKDSQNTFAMLTTFNEVDMTNLMKLRADYKDAFVEKHGVKLGLMSGFIKAAVNALQYQPIVNAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIRDADKMNFADIEKQINVFAKKANAGTLSIDEMAGGTLTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPAVVGGNVVPRPLMYIALTYDHRIIDGREAVFFLRRIKDTVEDPRRLLLDI >RHN73012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12599321:12599935:-1 gene:gene8782 transcript:rna8782 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDDWDLFTIVRNCNTTTFTTPATISENLTPPQILTTTTISNIISPQSIISSCHDGFTFIQENNAFSFAPLKPNDFIELDKLMINFNPTTIIPNPASTSIPKIITITISSPTTTTTVTHITNNINTSVDTPNQNSTFFHFPKLIEQQQMQSNEFTEVENVMLKFNPTTAIHIPTITTLTTPTIINPITTTTTFANPTTTIIAP >RHN79715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31487264:31488521:1 gene:gene3543 transcript:rna3543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQIVKLVYVMIIFISLFFVAINVDAIPFRVCFHNRDCPRNMCLPSIPYCRFREKNVRFGSPLGLCSCD >RHN57599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40438038:40443628:-1 gene:gene33172 transcript:rna33172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexokinase MMPEKGQLILDSEMKRYRNGETWEFENDINNVSVSDGVLLGLDGGTTSTVCICMPMIIHFSHSQLQSLPILSRAVAGCSNHNSVGEIAARETLEQVMADALSKCGSKRSSVRAVCLAVSGVNHPTDQQRILSWLRDIFPSNVRLYVQNDAVAALASGTIGKLHGCVLIAGTGTIAYGFTEDGREARAAGAGPVLGDWGSGYGISAQALTAVIEAHDGRGPSTMLTSSILQTLGLSSAEELIGWTYADPSWARIAALVPVVVSCAEAGDEVANKILLKSAQELASSVKAVVGRLGLCGQDEKGAFPLVMVGGVLEANTKWDVGKEVIKCISKYFPRVLPIRPKVEPAVGAAWLAWNFFMKEYNKELCSR >RHN62199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41657140:41660107:1 gene:gene24750 transcript:rna24750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (+)-abscisic acid 8'-hydroxylase MYMDIIFILFLIFLSSFLSYPFIKKYNKHETIAKPKLPPGSLGWPYIGETFQLYSQHPNIFFASKQKRYGEIFKTHILGCPCVMLTSPEAARFVLVTHSHLFKPTYPKSKEKLIGSSALFFHQGDYHTRIRKLVQNSLAPESIKKLIPDIENEVISSLESWVSIGQVINAFHEMKKFSFNVGILSVFGNLEGNYREQLKENYCIVEKGYNSFPNKIPGTSYSKALLARRRIQEIISEIICKRKEQRLNEKDLLGHLLNYKDEKGKMLSDEEVADNVIGVLFAAQDTTASVLTWILKYLHDHQKLLEAIKAEQMAVCDTNDGGKIPLTWGQIKNMPLTHKVILESLRMSSIISFTFREAVVDVVYKGYLIPKGWKVMPLFRNIHHNPEFYPAPHNFDPSRFEFAPKPNTFMPFGNGVHSCPGNELAKLNMLILIHHLVTKFRWEVVGYQSGIQYSPFPIPQQGLPTRFWRIK >RHN64844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62428104:62429392:-1 gene:gene27710 transcript:rna27710 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVYRWKKLKLKHKYKMEMSLPVVATAYEIQQCFIFYCRFLLWQMGLLRFFLCQRWGTQLPNVYLVFFFFFLCCCFAF >RHN47518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40273350:40276575:1 gene:gene42130 transcript:rna42130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MQGFPGENEHALSVVGSKPMEWSTVPYNAPRAPGPNGKQRTSSLESPIMLLTGHQSAVYTMKFNPTGSVVASGSHDKEIFLWNVHGDCKNFMVLKGHKNAVLDLHWTSDGTQIISASPDKTLRLWDTETGKQIKKMVEHLSYVNSCCPTRRGPPLVVSGSDDGTAKLWDMRQRGSIQTFPDKYQITAVSFSDASDKIYTGGIDNDVKIWDLRKGEVTMTLQGHQDMITSMQLSPDGSYLLTNGMDCKLCIWDMRPYAPQNRCVKILEGHQHNFEKNLLKCGWSPDGSKVTAGSSDRMVYIWDTTSRRILYKLPGHNGSVNECVFHPNEPIVGSCSSDKQIYLGEI >RHN76168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48193342:48195319:1 gene:gene12453 transcript:rna12453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MAEKQSIILFPFMAQGHIIPFLALALNLEQKSKNYNITIINTPHNIQKLKTSLPPNSSINLLTIPFISSDHNLPPNTENTDTVPYNLVIKLIQASLSLKPSFKYIIQNILTQQPNHKLCIISDIFFGWTSTVAKELGVFHVVFSGASGYGLACYYSLWMNLPHRFTDSDEFPLSDFPEARLIQRNQLPNNISQADGFDDWSIFQRKNNLCDWVNSDGIIFNSVSDFDSVGLNYFTRKFNIPVWSIGPVVLSTGSRGKVGGINPKVCKEWLDTKPSNSVLFVCFGSMNTISATQMMQLGTALEKSGKNFIWVVRPPIGFDINSEFKYEEWLPLGFMEKIVETKRGIIVNDWAPQVEILSHGSVSAFLSHCGWNSVLESLSHGVPILGWPMAAEQFFNCKLLEEEMGVCVEVARGKSCEVKYEDIVEKIELVMGESSESGVKIRENACKIKDMIRNAVKDGEEDGVKGSSVRGIDEFLSAAGKSNKTTLNDRE >RHN80222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36233458:36251800:1 gene:gene4121 transcript:rna4121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MQNQQNGRISAYFSASKPLLPQKRASDSSISSPYQIKSLCDVDGAKGRVRVGKRVPLAEVPLNRLHSSGNGGEASSDVICCSSSARTVVVPAVSAVKENLCQSNFETPRKESGGFESESLDYFYASGLLDDDFDDSILEQIDIMCEEKSAVKTAGVEGLGHSCHEKVSSEGNVVGNVDMGLGTNVSKDIGNDDLNESILEQIDILFEQKSAEKEAGMGIEHNCRERVSSESSVVSNVDMDLGTSAFPKDIGNGEIDDFDESILEQIDILCEQKSAVKAAGQGLDQSCQENVSSESGLVCTVDMSLATSAVSEGIGSGDMLCFGIDLDHKEEHVDSETTRKSLPSGTMPEEYSKYLKSLNERQREAACTDVSTPLMIVAGPGSGKTSTMVGRVLMLLNEGISPLNILAMTFTAAAAAEMRKRIGAIAGKQTAKELTISTFHSFCLQLCRSHAEKLGRTSEFLIYGQWQQRNAIIEATRLLENETSKHKNGELLIGEASDGLKTPKQFKDKAKKWQNFVTQAKASGRTSAEYRDMGNQIGAEILENYSNILKSCNAVDYHDLISYSVKLLTDFPEVFRESQDSWKAVVIDEFQDTSAMQYKLLRILASHNKITIIGDDDQSIFSFNGADISGFISFRNDFPNYKEIRLNKNYRSTRYIVEAAASLIQNNAKRCQLKNVLTDNSSGSKIIMKECHNEDAQCAFIVDKISEISSNHSADNCSYGNIAILYRRRVSGKAFQMAFRDRKIPFNIHGVAFYRKKVVKTIISMLQTALPGCDDDSYSRVFKALLPFEKDMKKRVIDHISKISTIRKCSFLSAACDIFSAKISGTYKRSDLTHGRKVLTTIEIISKLVHREKSISAIITSVANMIPEKYLLEQRAIVDVDGGTLLNEDCDIRSVLQYLLDDISEFLSTKFIEVRGEKEMSEDKGCIFTLKAFIDYLFEREKENFRARRKDNENSVTLTTIHQAKGLEWDIVFIVKANESEIPLLHDFKGVVKDTAAIVEEERRLLYVAMTRARQKLFMLYVMVDSNWQMLQPSRFLKEIPHHLLEFQGDINMQELQIKREGHQKETTCCTTDLLIKKQQSEADLDPVPNNLLNNHFSEASSELAEFAEANNGNDFIRRFDVEDRSVVSHIFHKWAKKKAFQDPKRLLDKVGFVIDERLRQKKNKHKDLLNSLKSSLSCDEAMQYAQYVLRWEQIPADKRAHLMREKQEHFLKLKIENAMGSATPTDKQISYLKKLGCTMTPTSRLHASHLIEQYKSL >RHN65406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1885309:1887920:1 gene:gene13241 transcript:rna13241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MAGFCSLPNSCHNKITVGMINLIKDLLRSIYFLCSIQFLRMALLWTFSVAFSHYQLFKDSLFSHKIVSYPRSSPSTFPNKPVCVITGATSGLGLSTACKLSKEGYVVVIGNSLYANNPLFSTTLFNSK >RHN41541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30912234:30913250:1 gene:gene47875 transcript:rna47875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MVAPNHKNTQDHENQQGSSSETKITDQQKVVIKCPRCDSPNTKFCYYNNYSLTQPRHFCKTCRRYWTNGGSLRNVPIGGGCRKKQKLKHSSTPSQAINKYSTSPSLDFHLGSLPLPLSLPFSSKPFYYNQFSSIGGVSSSNTCVSTTSASSFHLDPNGLNLYPFSSSNGGGFINGGNENAAIQGMNFMNVSTSANNTNCTSLASSIESLSCRNQDLHLKLQQQRLATLFEDNNRKESGTVLLSQVSIEKPQPILFQNLEISKAEMFQVGGGGDSRKEGASEWFFGMNSYSSVMAPTTTTDLSVCINGDHDPNGNNNWINNGVHAWGDVQQQQPYTALP >RHN79678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31177268:31178443:-1 gene:gene3501 transcript:rna3501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MVEGDSTMDLWHKRLGHPSEKVLKFIPHVSQHSRSKNNRPCDVCPRAKQHRDSFPLSENNAASLFELVHCDLWGSYRTRSSCGAQYYLTIVDDYSRAVWVYLLCNKTEIETMFLNFVAFVDRQFDKKIKKVRSDNGTEFNCLRDYFFNNGIVFETSCVGTPQQNGRVERKHQHIMNVARALRFQGHLPMQFWGECVLTACYLINRTPSSVLNYKTPYEKLFGKVPKFHNMKIFGCLCYAHNQRRDGDKFASRSRKCIFVGYPYGKKGWKLYDLESKEYIVSRDVKFYEHEFPFDVQLDTTHSTPFIDSEYVTEDIGFETYDASFEGGGASMALQDNEQTQLQGGLHGDCNGADVAANEGLSVSG >RHN44468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5102786:5103166:-1 gene:gene38591 transcript:rna38591 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQFNIKFGKYDVDVLYNRYKRFTKTIYEIILMVADGRYNLSCFDENNSTLFLFDPQIQFELGFGFEREDQLVSLCYCGASVDVENSFKFFLGMIMVPYWPLMHPLLGSNPKKSSTSGPVRSRSG >RHN46714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34273380:34277540:-1 gene:gene41234 transcript:rna41234 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGRNGRDSLYGSINHNRRSHTFNHHSNNHHDDNTLDLFSNNRRSLSLPSSDDSSDVSVKLGRLSVGGAKQARNGIEDMLSSVEGGKHDYDWLLTPPGTPVFPSSENEPQSTLAPPRRSLSRSTSTAKASRLSVSQSESNNHSNRPARSSSVTRSSISTSHSQYNNNNYSSNRSSSTNILNTSSASVSSYIRPSSPVTRSTSSTRPSTPTSRTTASRSSTPSRIRSVSTSSAAEKPRTTSQSSRPSTPSSRPQVPANLHSPSAPSTRSLSRPSTPTRRSSIPSLSPSSTTSSSISAGRVSLNGRSSAPSSRPSSPSPRVRPPPQPVVPPDFPLDTPPNLRTTLPDRPISAGRSRPGAGTLRGNSSETQASVNMPRRHSSPIVSRGRSTEPVSKSRGFASANGHHADVHEPRKVSHAPEVVSRRSVKASTTTTDNTGFGRNISKKSLDMAIKHMDIRNGSGNNRTLSSTTLFPQSIRNSTPKIQSHRASSTPPTSIETNGNMLSKNNGSHFDVVNGINRNMMRGREVDERQYSAKLSEIDIYESSGINRNMMRGREVDERQYSAKLSEIDIYESSRYDALLLKEDLKNTNWLHSGDDKCDQGSLLFDNGFENLPEPFGLL >RHN78490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15570232:15570528:-1 gene:gene2062 transcript:rna2062 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCSLLTWLEILDRSSVFPRNTTARDLPISQMTCSTFLATYRHFTSKNGYGLSTKLLAFSTSDSRDSRATLALVTHSTLEAGIHLGPVQYQGVLKGQ >RHN45160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12490988:12494957:-1 gene:gene39378 transcript:rna39378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-RSK-2 family MESPFDGVGSLLEVQKSVSGVDHNPPSTSRPPLGASRNQGPSFNHHSQGKMKDTYEEAKNVEHEGTTKDVYASQTETFESCLVKNISTIETKLCISDDSTDHNSVDILESDNGILGSSKRVIDQKNSEITFCSSPQNSLYSTTDFLEAKESFTNTGITECVSVDKSVESGEVTNSGESRKTSICRGSTGSDVSDESSISSLSSSLYKPHKANDVRWEAIQAIRVRDGGLEMRHFRLLKKLGCGDIGSVYLAELSSTRTCFAMKVMNKTELSSRKKLPRAQTEREILQSLDHPFLPSLYTHFETESFSCLVMEFCPGGDLHALRQRQPGKYFSEHAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKSANSNLETKGSGYCAQPACIEPTCVIKPDCIQPSCFTPRFLSGKSKKKEKKLKPKNDVHNQVTPLPELMAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFKGSANRATLFNVVGQPLRFPESPSVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQNINWALIRCATPPEVPRQAMKAALTPEKKAPGVKPSGNYLDIDFF >RHN40219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14334704:14335245:-1 gene:gene46342 transcript:rna46342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GH3 family protein MDKFIQEFEALSTDAEKVQMNTLKRILEDNASAQYLQNLGLNGKTDPESFKACVPLVTHKDSEPYINRIIDGDASPILTTKPITAMSLSSGTTQRKPKYIPWNDEVFETTVQIHQAFFAYLKQVHFIY >RHN75971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46659855:46662545:-1 gene:gene12236 transcript:rna12236 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGIDVGRGHKTHYKTLHLQNNDKIKGKAKEHMNISNNNVDDQPSSKSGIKADKSQGNDVESEKNGRVHNESRGLHVSLKPQMTKLCEVLLLPDNVKTMVDNFLEYVMNNHHFNMESVSILQAFQISLIWTAASLLRHKVEHKASLTLAKQYLNFDCNQTDVDHSGTGG >RHN43261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44415084:44417337:-1 gene:gene49806 transcript:rna49806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acyl carrier protein (ACP) MASLSATSLTFQPSFKFSTSTNQVSFRTSSFGAISVGCTRRSFPSLRSSRFRICAIQAQPETVEKVSKIVRKQLALTPETKLTPETKFSELGADSLDTVEIVMGLEEEFNLNIEDDNAEDITTIQQAADLIEKLIQKKD >RHN76460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50488365:50493502:1 gene:gene12787 transcript:rna12787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bicarbonate transporter MNADMKSFKTPFKGIVGDFRGRAVHYKDDWISGLTSGTGILAPTMYIFFASALPVIAFGAQLSRETDGSLSTVETLASTAICGIIHSIFGGQPLLILGVAEPTILMYTYLYNYAKNKEGLGRELFLAWVGWVCVWTALLLFLLAIFNAAIIINRFTRIAGELFGMLITVLFIQEAIKGMVSEFEVPKEGDPTLDKYQFHWLYANGLLGIIFTFCLLYTSLKSRKARSWLYGTGWLRSFIADYGVPFLVVVWTALSFTVVASKVPSGVPRRLVAPLAWESASLHHWTVIKDMGKVSLEHIFAAFVPALMVAGLYFFDHSVASQLAQQKEFNLKKSSAYHYDILLLGFMTLLCGLIGLPPSNGVLPQSPMHTKSLAVLKKQLIRRKMVKSAKESIWKKASNSEIYGMMQALCIEMDNDPNNHSVVKELEDLKDFVLNGEDKGDNNKSTFDPEKHIDTYLPVRVKEQRVSNLLQSLFVGVAVFAMPAIKMIPTSVLWGYFAYMAIDSLPGNQFWERILLLFVRPSRWYKLLEGDHASFVESVPFKHIVLFTLFQCVYFLVCFGVTWIPIAGMLFPLPFFLLITLRQYILPKLFSPNHLMELDAAEYEEIPGAPRLSFNISYKEVESPKVGSKEIGNAEILDELTTNRGELKVRTMSFREERNNQVTIIQMLFLWMNLSQIVLFFL >RHN77327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6017958:6018550:1 gene:gene771 transcript:rna771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MPILRPDNKKTISQDQIKAERKIMEVLEKADSNKDGCYTKSEIKKAVKDLRSYVPGWRAMRCMVNVDANNDGQISGEEIDTLVDYLLVKGFGK >RHN65444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2307828:2310369:1 gene:gene13283 transcript:rna13283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MTNHIVLLFFLMSLVLVSPSLVCDAAESDIETNKLYIVYMGSLPNEESYSPTSHHLSLLQQVIDDSDIENRLVRSYKRSFNGFAAILNNQQRENLANMTGVISVFPSSDYRLQTTRSWDFLGLPKSIKRGQTVESDLVIGVIDSGIWPESESFNDQGLGPIPKKWRGVCLGGGNFSCNNKIIGARFYDVRELSARDSAGHGTHTSSIAGGREVKGVSFFGLAEGTARGAVPSSRIAVYKVCILGGICSGDLILAAFDDAIADGVDVITVSLGVPYAAEFFNDPVAIGAFHAMEKGILTLQAAGNFGPEPSSVISVAPWLFSVAATTIDRKFITKLILGNGKTLIGKSINTIPSNGTKFPIAVRNALKCPNGGNASPEKCDCFDENMVKGKLVLCGSPMGELFSPANGTIGSIVNVSHSIFDISVISDKPSINLEQNDFVQVQSYTNSTKYPTAEISKSKIFHDNNAPIVDMQSSRGPNPRILEILKPDISAPGLDILAAYSPIAPIDDVDKRKTKYTILSGTSMACPYVAGVVAYVKSFHKDWSPAAIKSAIMTTAKPVKGSYDDLAGEFAYGSGNINPQQALHPGLVYDITKQDYVQMLCNYGYDANKIKQISGENLSCHEASRRALVKDINYPAMVIPVEPYHKSFHAKIHRTVTNVGFPNSTYKAILINHNLKIKITVKPKLLSFTSLNEKQSFIVTIVGGEKLNQTVFSSSLVWSDGTHNVKSFIIVQILSL >RHN76920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2408974:2409573:1 gene:gene313 transcript:rna313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative MULE transposase domain, FHY3/FAR1 family MGGKKPKTMMTDQDVAMAKAISLVMPETFHGLCTWHIRQNALRHVNHLYQKSSRFGLDFEACIDLHEDEGEFLNAWNSLLVEHNILEGSWLHTIFQLKEKWAWTYVRKTFTVSHPGFFKYIH >RHN47669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41398960:41406746:1 gene:gene42293 transcript:rna42293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-alpha-glucanotransferase MKMSGYYFHIYCKYGRSGNASIENGPNREVSISASRREAKYIFLSDGMIRETPWRGAGVAIPMFSIRSESDLGVGEFLDLKLLVDWAVASGFHLVQLLPINDTSVHQMWWDSYPYSSLSVFALHPLYLRVQALSENIPEEIKQEIEKAKQQLDGKEVDYEAAVATKLSIAKKVFNQEKDLILNSSSFQQFFSENEGWLKPYAAFCFLRDFFETSERSQWGRFAQYSEDKLEKLVSTESLHYEIICFHYYVQYHLHLQLSEASEYARKKGVILKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMGKYFTAYRIDHILGFFRIWELPDHAVTGLVGKFRPSIPLSQEELEKEGIWDFNRLSRPYIRQEILQEKFGSAWAFIATAFLNEYDKNCYEFKEDSNTEKKIVSKLKTSGESSLLLESEDKMRRNLIDLLQNIVLIRDPENPKDFYPRFNLEDTSSFQALDDHSKNVLKRLYYDYYFHRQENLWRQNALKTLPALLNSSEMLACGEDLGLIPSCVHPVMQELGLVGLRIQRMPNESDLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEERRQRFFKNVMESDELPPDQCVPEVAHFIIRQHIESPSMWAIFPLQDLLALKEEYTARPAIEETINDPTNPKHYWRYRVHVTLESLNKDNELKTIIKDLVRWGGRSVPLEDSQAEANLISTSSVADTVSEKQQFAGTGEKIRHPSEYNGIPSLTAR >RHN46394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31306432:31308878:-1 gene:gene40876 transcript:rna40876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-transporting ATPase MAEEDIYTQDGTIDIYRKPANKKKTGNWKACWFILGNECCERLAYYGMSTNLVNYLGERFNQGNAAAAKSVTTWSGTCYITPLLGAFLADSYLGRYWTIASFSVIYVIGMVLLTLSATVPGLKPSCDGNVCHPTSAQTAAMYIALYLIALGTGGIKPCVSSFGADQFDETDEKERKKKSSFFNWFYFSINIGALIASSVLVWIQMNVGWGWGFGVPAVAMVLAVIFFFGGSVLYRLQIPGGSPLTRICQVLVAACRKLRLQVPEDKNLLHETIDVESVIKGSRKLDHTNNLRCLDKAAIETQTDRTKELTNPWRLCTVTQVEELKSIINLLPVWATLIAFATVYSQMNTMFVLQGNTMDQHIGPHFQIPSASLSLFDTLSVIFWAPVYDRIIVPYARKFTGHEHGFTQLQRMGIGLVLSIFSMIIAGILEVVRLDMIRKNNYYDAKTIPMSIFWQVPQYFLVGCAEVFTNIGQLEFFYGQAPDAMRSLGVALSLTTNAIGNYISTLLVTIVTKVTTRNGNLGWIPDNLNRGHLDYFYWLLTILSFLNFLVFLWIAKRYKYKKVVGNAH >RHN78777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18245357:18248984:-1 gene:gene2426 transcript:rna2426 gene_biotype:protein_coding transcript_biotype:protein_coding MICECGILERLVYKNKNQHCRCSYFQHLMKGIAQRNARNRKKQKNPYILGTKLLVRKRDELVWFFGYKFFLVLVLFFSLQIYFPKKAII >RHN82630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55289922:55292265:-1 gene:gene6805 transcript:rna6805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein disulfide-isomerase MDTVTSTSASLTLTLPTLPVRTITASISVSSSLPLPRSHRQQRLYSQKRSFSNSSSSSVAVPKFTVSCGITEINESQFNVTVLKSERPVLVEFVATWCGPCRLITPAMESIAQEYADRLTVVKIDHDANPQLIEEYKVYGLPTLILFKNGEEFPGSRKEGAITKVKLKQHLDALLESISVT >RHN69949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47516198:47518896:-1 gene:gene18482 transcript:rna18482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MADKRNKTGDYIVCYAPAMITTNGIWQGDSPLEFSLPLFILQLTLVVAATRIFVFVLKPFRQPRVIAEILGGVILGPSVLGKNEIFANAVFPLRSVMVIETMANVGLLYFLFLVGVEMDITVLRSVGRKAVAAAIAGMVLPFILGGAFIFFLKRESHCDTNRGTYVLFLGVALSVTAFPVLARILAELKLINTDIGKLALSAALISDVCAWILLALAIAMAENQATSFASLWVLLSAAAFVAICIYAVRPAASWIVQKTPEGESFSEFYISLILAGVMVSGFITDAIGTHSVFGAFVFGLAIPNGPLGVSLVEKLEDFVSGLLLPLFFAISGLKTNIGLIKGSFTWVILILVIFLACIGKIVGTLAVAIYYRMPIREGATLGLLMNTKGLVEMIVLNVGKDQKVFDEESFAVMVIITVIMTGIIVPAVSIIYRPSRRNIYYKRRTIQKSKPDAEFKVLVCVHSPRNVPTMISLLGASNPTKRSPICAYVLHLVELCGRTSAMLIVHNTNKPEHQALNRTEAQSDHIISAFKNYEKHSSFVTVQPLSAVSPYSTMHEDICNLAEDKRVSLIIVPFHKQQTVDGAMEATNMAFRTINQNVLANAPCSVGILVDRGLSGSNRLASDQVSHHVAVMFFGGPDDREALCYGWRMLEHSGTSLTIMRFVPGERVSEPVRQQHRLNSDEPSVLTVETDIEKQLDDKLIHEFRTKYGNDDSVDYFEKVVNNGEETVAAIRAMDDIHDLFIVGRGRGMISPLTAGLTDWSECPEMGAIGDLLAASDFAASASVLVVQQHVGIGSNGDGLETPNGGEEYNNSSDTDHNSTSSRGHTVFYTERTSPHTL >RHN58074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43540352:43545340:1 gene:gene33681 transcript:rna33681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-galactosidase MTVGAGISVGDGNLMVLGKKVLSQVHENVLVTPASGGSLINGAFIGVSSDQKGSRRVFPIGKLEELRFMSLFRFKMWWMTQRMGNCGQEIPFETQFLLIEAHKGCDIEGGIDNGEQDQDGSTYAVLLPLLEGDFRAVLQGNDQNEIEICVESGCPDVEEFDGTHLVFIGAGSDPYKVITNAVKTVEKHLKTFCHRERKKMPDMLNWFGWCTWDAFYTNVTSENVKEGLQSFEEGGIPAKFVIIDDGWQSVSMDPNGVEWKHDCAANFANRLTHIKENHKFQKDGKEGQRIEDPAMGLHHITNEIKKEHAIKHVYVWHAITGYWGGVKPGISGMEHYESKMAFPISSPGVKSNQPDEALDTIAINGLGLVNPEKVFHFYDELHSYLASAGIDGVKVDVQNILETLGAGHGGRVKLARKYHQALEASISRNFPDNGIICCMSHNTDGLYSSKRSAVIRASDDFWPRDPASHTIHIASVAYNTIFLGEFMQPDWDMFHSLHPMAEYHAAARAVGGCPIYVSDKPGHHDFNLLKKLVLPDGSILRAKLPGRPTKDCLFSDPARDGKSLLKIWNMNDYSGVVGVFNCQGAGWCKVGKKNLIHDENPGTVTDIIRAKDIDHLSTVADDKWTGDAVIFSHLRGEVVYLPKDVSIPITMKSREYELFTIVPVKELPNGVKFAPIGLIKMFNSGGAVKEFSSGFNGVANVSMKVRGCGLFGAYSSAQPKLITVDSEEVEFSYEEESGLVTIDLSVPEKELYQWNISIDL >RHN67240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25096324:25101885:-1 gene:gene15408 transcript:rna15408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger, cation/H+ exchanger, CPA1 family MGMMSLMVALNLMQSDADKNLAHDHAQVVPMTLFVAVLCLCLVIGHLLEESRWINESIVAIFVGLIAGMILLLVTKGKSSHILTFDEELFFIYLLPPIIFNAGFQVKKKQFFHNFLTIMLFGVIGVFISTFIITSGSWLLFPKLNFHNLTVRDYLALGTIFSSTDTVCTLQVLHQDETPLLYSLVFGEGVVNDATSVVLFNAVQKLDVSRLDSKTLRVIGDFLYLFSTSTVLGVITGLLTAYILKALSFGRHSSVREISLMMLMAYLSYMLAELLELSGILTVFFCGISMSHYAWHNVTEISRITTRHVFATMSFIAETFIFLYVGMDALDIEKWRMTHLKFGNLLGIYSCLIFLILLGRAAFVFPLSALANYMNRRAEETPSITFGHQIIIWWAGLMRGAVSIALAFKQFTYSGVTSDPVNATMITITIIVVLFTTLVFGCLTKPLVRYLLPHHATRVNTNHEESTSPVEDMNLPLLSFEESAATNISRAKESLSMLFESPVYTIHYYWRRFDDSYMRPLFGGPRANHSEC >RHN58618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3374120:3382305:1 gene:gene20544 transcript:rna20544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MGEEKQQSIPALPWMRDPVDVTLTQQLPLHSVPSLHPKLKSALEDMGISNLFPVQVAVWHETVGPGNFERDICVNSPTGSGKTLAYALPLVQMLSGRVTKCLHALVVVPTRDLALQVKQVFDAVASPLGLRVGLAVGQSSLADEISELVEMPARDIGTCYDPHCISLPRFQSKVDILVATPGRLMDHINTTIGFTLEHLYYLVVDETDRLLREAYQSWLPTVLELIQSNDDGFSLPSASFFPCSASALRTRRRCGVERGFKDKPYPRLAKMVLSATLTQDPGRLIQLNLHHPLLLKAGQMRYRLPENLESYKLICEKKVKPLYLIALLKSLGEEKCLVFTKSVDSTHRLCQLLNCFEDLRIDIKEYSSLQHQRVRSKTLNEFRKGVFQVLLSSDALTRGMDVEGVRNVINYDVPKFIKTYVHRAGRTARAGQTGRCFTLMSEDEVRRFKKLIGKAEGGSFLDHIVSSSQIEALNTTYQSALTKYKEIISKTRKKPKN >RHN60247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24223434:24224365:-1 gene:gene22518 transcript:rna22518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRVKNMAETLKFVHVLVLLISIFFVIIVSSFIFLPCITDKDCPSLEKNKGKGRCRKGYCVHGLIG >RHN70773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53923506:53924724:-1 gene:gene19390 transcript:rna19390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rossmann-like alpha/beta/alpha sandwich protein MSLPKKKDGVNGLIAVAVNNEKESHRAFKWAIDNLLTRNANVILIHVKILPPDAEINANDNDDDSLLICKEPDADALYMFLPYCVFCTRKYIQCKRVLLEDADVSKALIEYASQVGIEHLILGSSAKTSLHKYSFDVDAPGRSWDGIYPFGLRSPLAFTGTGYNTR >RHN80989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42429330:42432894:-1 gene:gene4977 transcript:rna4977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase transcription factor DBP family MVAEAEVVVCQQSVDVKYFPNKGSNNHEIGDVDSNFDRVRVSESVSAELLTTKEDVRTTDKISEAALDSAVLQFVPSIRSGSFADIGPRRYMEDEHIRIDDLSSHLGSLYNFPKPSAFYGVFDGHGGPEAAAYIRKNVLKFFFEDVNFPQISEVDNVFLQEVENSLRKAFLLADSALADDSNVNTSSGTTALTALIFGRLLMVANAGDCRAVLSRKGEAIDMSQDHRPIYPSERRRVEELGGYVEDGYLNGVLSVTRALGDWDMKLPRGTPSPLIADPEFRQVVLTEDDEFLIIGCDGIWDVMTSQHAVSLVRKGLRRHDDPEKCARDLVMEALRLNTFDNLTVIIICLSSLDHGESEPSPPPQRKLRCCSLSAEALCSLRSLLEGNASN >RHN58067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43486455:43488322:-1 gene:gene33673 transcript:rna33673 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSKLTYKSDYLSNNIIFSVNGISGVFIYDFVYRVYQVSVRNYMIHSFHHIFTFIPKITGELFHCVIPKL >RHN67369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26501402:26505380:-1 gene:gene15550 transcript:rna15550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RanBP2-type, WLM domain-containing protein MNSGDLHKVWEIRALKRMPETEKAHKMLEKIAKQVQPIMHKHKWRVKLLSEMNPTNPALLGLNVGAGIHVKLRLRRPNRESDFYPFDQVLDTMLHELCHNAHGPHNASFYKLWDELRKECEELMAKGITGSGEGFDLPGKRLGGNSRQPPLLSLRKTALAAAEKRSQLGTLLPSGPNRIGGDSVIMKALTPVQAAAMAAERRLQDDLWCGSQSCDNSDHEDVNRESAENLVNKQIIVGTSRLTHNSTLPLDPTSRKRSRDKDSSLPAHSSSNSNFVDLTMDTPKKGCVNGHQTGSQQRSSGLENILHSQAGSTSRNLPSSSGSLSGDSRPLHSEETAMWQCLMCTLLNKSLAPICELCGTQQPKDVTTKHNTWSCKFCTLENSAKLERCSACDEWRYSNGPNLGP >RHN59176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8199959:8202950:-1 gene:gene21170 transcript:rna21170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ENT domain, protein EMSY-LIKE, plant MDYEPYDSSGTDDDLPPTHQNRIPRGGRVSGNGRSAVGSTPYPRVYGEIDMETQIHQFEQEAYSSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLGRVNADDTIRRIREWRQTGGHQTGMLSTGQALHDSIPSPTVSASRKKQKITPPVPSRSFGGPSPSFHPKR >RHN44708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7551308:7560815:-1 gene:gene38858 transcript:rna38858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphodiesterase I MVLSGRESLIRSIGKRRRFLPNRHSILSDPIPLSHQQPEQPTTAGSDSLENDVVICPVCSLSLPDDNRLINSHLDSCLSEPKRSGTKRKLTQRTLLQLNFTRPDNLTHEPSHVLDTTCQEQLVFSENHSVDVDLVDSAIVATATSSSLSLNFNEIDTDHHDVIEKNDVDDDDRCDIFGVKFETLIVGRRYADKEDVCIGDNLSLLRDTQNVKDPNAIKVVSADSASSKFLGYLPREPAQYLSPLIGNYNVVFQGHVTSVPKQPTDAVPIQIMCHRTPDGEIEYEDETFRCLWKKARHVVESAIKNPSSVKYQMNFCLMLQEVLRNNIHLLTEDEKTYTESFTSFSNDSQRLFLRLYTRKGPWFRMSSISYPEIFDAQKAVKELAEKEYICSLEDGNQLCESDMNDILDVLTVSELREIWSFLLKKSCGHGMKKQDLISSILSTYAGLWTHLSTMILDRTGFCVKISSKAESLIWRIERLFFLNGEQDLSSFLLVDIGKVKYPTYTCTVLEPIFSSRTNLLAYEEAIELAQIMDEALDANKTDVVLRCIKIAESRVSTVLPIEYLTSESVSTFHHLFTAPWVYSKVITLGISFLEQERRYSDAVDLLKWLQNVYTCDVKRGYWTLRLSVDLEHLGYIDESLQVAENGLLDPWVRAGSRMALQRRVLRLGKPPRRWKVPSFSRSVLRKIPEVYVQGRPLNSELGAKNRFYNEEGTQCGVEELALHYYAGEGWQGVHTESGIWLTVFGLLMWDVIYADVPNVFYTRFQNAPLDLGTDSFYTARKSIVESHMQQIRDGMAEEFLIKSWETHNGTSCRGVNWDRHSLDELRAAVTCVGGSCLASFCLLLCEDYRSWSSGMPDLLLWRFCGEYSGEAKLVEVKGPKDRLSEQQRAWLLMLMDCGFMVEVCKVKPL >RHN74921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37805618:37809663:1 gene:gene11055 transcript:rna11055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MAGYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFNLESKSTIGVEFATRTLNVDSKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHATFENVDRWLKELRNHTDSNIVVMLVGNKADLRHLVAVSTEDGKSYAEKESLYFMETSALEATNVENAFAEVLTQIYRIVSKKAVEGAENGNASVPAKGEKIDLKNDVSALKRVGCCSS >RHN40747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20187285:20190693:1 gene:gene46944 transcript:rna46944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MHYKKSKRKDLADSTESYNIKDLEDDFKGHDIKVFNYTSILEATMDFSPENKLGQGGYGPVYKGVLATGQEVAVKRLSKTSGQGIMEFKNELVLICELQHKNLVELLGCCIHEEERILIYEYMPNKSLDFYLFDCTKKNLLDWKKRFNIIEGIAQGLLYLHKYSRLKIIHRDLKASNILLDENMNPKIADFGMARMFTQQESVVNTNRIVGTYGYMSPEYAMEGICSTKSDVYSFGVLLLEIICGRKNNSFYDVDRPLNLIGHAWELWNDEQYANNRPTMSEVISVLTNKYELTNLPRRPAFYVRREIFEGETTSKGQDTDTYSTTAISTSCEVEGKI >RHN73659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18649649:18650620:1 gene:gene9503 transcript:rna9503 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKSIIFVSFICELIFISVVTIEPSKDEKEFCEIEEFKLKVSWRPPGGSWTSHGVKGKGGKGGSKGGSGTGGNGSEGGAQGGGEQIEGGNDKESELDGGGGGGDQIIK >RHN45030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10937764:10938471:-1 gene:gene39225 transcript:rna39225 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRFLSIFSIVFYPSAYFISLNRRHKSPFPYPFLTKSKF >RHN61481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35777198:35782149:1 gene:gene23952 transcript:rna23952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate peroxidase MAFPVVVDSEYLKEIEKARRDLRALIANRNCAPLMLRLAWHDAGTYDAKTKTGGPNASIRNEEEFSHGANNGLKKAIDFCEEVKAKHPKISYADLYQLAGVVAVEVTGGPTVNFVPGRRDSKVCTRDGRLPDAKQGVSHLRDIFYRMGLTDKDIVALSGAHTLGRAHPERSGFDGPWTEDPLKFDNSYFQILLEEDSAALLKLPTDRALLDDPEFRRYVELYAKDEDAFFRDYAESHKKLSELGFAPSSKATAPVDATVLAQSAFGVVAAAAVVILGYLYETHKRGK >RHN58909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5866481:5867907:1 gene:gene20865 transcript:rna20865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLTGFDGPLHNLTSNLISLDLHNNQLQGPIPVFSEFSSYLDYSMNKFDSVIPQDIGNYLSFTTFLSFSNNTLHGTIPRSLCNASNLQVLDISINSISGTIPSCLMKMTQTLVVLNMKMNNLIGTIPDVFPPYCALRTLDLQKNNLDGQIPKSLVKCSALEVLNLANNIIIGTFPCLLKNISTIRVIVLRSNKFNGHIGCPNTSGTWQMLQIVDLAFNNFSGKLPGKFFTTWEAMRSDENQADLKVKRVQFEVLQFGQIYYHDSVTVTSKGQQMDLVKILTVFTSIDFSSNHFEGPIPYSIGNFKALYILNISNNRLSESLSFLSYLNLSFNHLVGKIPTGTQLQSFQSSSFEGNDGLYGPPLTEKPDGKRNDELLSCSTDWKFLSVELGFVFGLGIVIGPLMFWKQWRIRYWKLVDKILCWIFSRIHLEYVTHRGQTYIVLRWH >RHN64173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57087355:57089403:-1 gene:gene26960 transcript:rna26960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MEGVEVDRPMKLHFIPYPAPGHMIPMCDIATLFASHGQQVTIITTPSNSHFFTKSLSSVDPFFLRLHLVNFPSQQVGLPDGVESMSSTSDGDTSGKIYYGAMLLREPIHDFMEKDPPDCIIADCINPWVYDLAHKLQIPTIAFTGFSLFTVSLMESLRINPSFCSHTDSSPGSFTVPNFPHSITLCTKPPKIFTGFMEMMLETILKSKGLIINNFIELDGEECIEHYKKITGHKVWHLGPASLIRQTIQEKSERGNENVVSVHGCLSWLNLKRHNSVLYICFGSICYLSDKQLYEIACGIEASGHEFVWVVPEKKGKEDESEEEKGKWLPKGFEERNIGKKKGLIIRGWAPQVLILSHIAVGAFMTHCGWNSTVEAVSAGVPMITWPVHGEQFYNEKLITNVRGIGVEVGATEWCVNGFEEKQKIVSKDSIEKAVKRLMDGGDEAEEIKQRAQEFGEKATRAVQEGGSSHNNLLSLIDDLKQMRNCKTLD >RHN49569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55719163:55720531:-1 gene:gene44414 transcript:rna44414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRTPCCSKVGLHRGPWTSREDALLTKYIQAHGEGQWRSLPKRAGLLRCGKSCRLRWMNYLRPDIKRGNITPEEDDLIIRMHSLIGNRWSLIAGRLPGRTDNEIKNYWNTHLSKKLKNQEQIEKKKKKQKKNDKNKVITKVPEDSEKKTLVYLPKPIRVKKTLSSIIPRTDITLNLEYKSVTTSQEEEQRTETKERDSDGFGLLFEEQYHADLVNNDDIECQSGFLEKMYEEYLQLLKNEENHEKILDSFAQSLLA >RHN45932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26950604:26951540:1 gene:gene40362 transcript:rna40362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MANAKLAPLAVFLLVTFLMISMKKVEAQSCGGACAVFDSNPKCGSSKCKCVYSIIPFIAGHCDVRSSTDVETDEEHPNLCRSHVECTEKGIGSFCARYLDPDNQFGWCFASYSEAEEYFKIASKYKFTKEFLKLPITA >RHN80828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41123247:41123981:-1 gene:gene4795 transcript:rna4795 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHPKLRINVSRVPVSIMMQRKFHFQVKDKDIASDSEEGSIRTKPKTSLGSVFSSVLGKKRYSLPSKVKKNGKEKHNKAAFSNEFQPKPVVGALSQLLLLEQALEQDEGRHSRMDKQRLKILLGFLEKKKGLNNFITAKWRASKKDFHGVVTSFRKVRRKVSSWRFVRIPSKLVVIRLKLKMIKSFMKKKVTVNFEHEDDDRELCKKRILMGQRCKPLCSLSPYYLGYETDRLLLPGAIVFEK >RHN41259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28679013:28681316:-1 gene:gene47562 transcript:rna47562 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYGFVLLFSSIVVSGLHPVVQPLSNKIIKKSDNTCSVSASADVLRKRNSGFDNVHKAKVTIPNFPHEDVDPENKACDRNEGCMQDRLGSPRCDLEKEMIKFPSGELCMPTLSWINGDGTINSIVFKGLRCRVLGIVMQNPRMMESCKTLLELMVLDKHLTVRKMCQSRFGGGPSMLQNLIGSKSCQQK >RHN69769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46145165:46151414:1 gene:gene18282 transcript:rna18282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase C78, ubiquitin modifier-specific peptidase 1/ 2 MDPDSCSTCPFCDLPVPSSQLQWHANAHFHDPALPQQSEQSVSGSELEAVSGDCNNWHGGETSRDNGECKMDEKISCLIGLQTRSNFYKVEAGLIALLRNCLESETENSKSILSCCIDHFQSLNSEDAGWGCGWRNIQMLSSHLLAQRPEAREVLFGGSGFVPDILSLQRWLEIAWEKGFDAPGAAQLDHAVYGSKKWIGTTECSALLRSFALRARIVDFGPKESQSVVGISVDNTVDKTRNDKMANSKVKSEAYQVLMDFVWNYFSDENSIQFGQQHVVICEKTPLYFQHDGHSRTIVGVQAKHQQNGILPYNLLVLDPAHSTATLERSLKQKIGWQKLIKKDRNTLKKPQYQLCYVDPGIASEEEMEDLKTIDSIFLEL >RHN74090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27386523:27387416:-1 gene:gene10064 transcript:rna10064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MFASLCANFEGSKKVKEAKALMLVHQYELFRMKDDESIEEMYSRFQTLVSGLQILKKSYVASDHVSKILRSLPSRWRPKVTAIEEAKDLNTLSVEDLVSSLKVHEMSLNEHETSKKSKSIALPSKGKTSKSSKAYKASESEEESPDGDSDEDQSVKMAMLSNKLEYLARKQKKFLSKRGSYKNFKKEDQKGCFNCKKPGHFIADCPDLQKEKFKGKSKKSSFNSSKFRKQIKKSLMATWEDLDSESGSDKEEADDDAKAAVGLVATVSSEAVSEAESDSEDENEVYSKSLDKNLLIL >RHN45573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23041739:23043798:1 gene:gene39944 transcript:rna39944 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQMSVTLTDINIILVLNKYHRVNHESNKVLIIQKALYRISSHFHVEICVLYYTYHKWY >RHN39126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4304061:4304624:1 gene:gene45124 transcript:rna45124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MTQPTSKLLHLATILWMFCQKKKVTETEEEEDGSMAETKEDMISSLPDCVLSHILSFLPIKNSIATSLLSRRWRYIWKKHLSVLDFSDDSFELTEERSELLEHFQTFAAFVNNVFYNRMSSIIRKMRLSCTKSLIQEEICTDSINRWVSYGIGPHLLELDLTLFSMDVYQFKFPISLSSCPNLVSLR >RHN66987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22440891:22444120:-1 gene:gene15116 transcript:rna15116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase II transcription factor SIII, subunit A MMRRGQISARKTPSLVDLCVQTAIDNLRYLGNVGPVDHHLLERILPHCTLDQLTHIEKASEGMDLSPVTDKLWKKFFEKQFGISCTNEIIRRMTEKRVSFRWLQLYEAKGKEVAQAENEAIDRLRERYKNADAKKQSRQVKTCTKLPPSSKRRFWGDNGPGYNVSNVKSNIMKKSKIEFLKSREVKNIAAMKKNSIPRSSSSSSSMKIGSMSGVGSSSKGPNLQKGCFRGRQ >RHN81856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49353515:49354843:-1 gene:gene5949 transcript:rna5949 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLRKKKKKDVYSWEIGEENMGILVTIHVIVIKTEFHKSRS >RHN81174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43839115:43842206:-1 gene:gene5180 transcript:rna5180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEEARVSKNFPVKPKLKTKPRTQSQTSESKYWSSFKTQQIPKQFSIPSITFSPNPPYSFAAANSASLSIFSSQTLSQTATISSFSDTVSSVSFRNDARLVAASDLSGFIQIFDVKTRTRLRRLSSNNRPVRFVHYPRHDKLHLVSGSDDAVVRYWDVAEETPFMVFRGHKDYVRCGDSSPVSSDSFVTGSYDHFVKVWDVRVRGETNSVMDFNHGCPVEDVVYLPGGGMIATAGGNAVKIWDLIGGGRLVYSMESHNKTVTKICVGRIGKDSGDESDQYRIMSVGLDGYFKVFDYGNMKVTYSMRYPAPLLSVGYSPDCSTRVIGTSNGIIYAAKRKVKVDEKEVGVSEASSFWRIRPVEDTEKKVLKTTNFRYFQRGQGEKPSEGDYLVMKPKKVKLTAHDKLLNKFRHGEALVCVLEGKNPGHVVAVMEELVSRKKLLRCVSDLDLEKLELLLAFLHKYCTVPKYSSLLMGLANKVIEMRADDIKNSEVLKKHIRNLKSTVEAEIRIQQSLQEIQGIISPLLRIAGRR >RHN68738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38175754:38181832:-1 gene:gene17126 transcript:rna17126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transaminase MAKIPNTVFSLRHFLVLSLALNVSFILRMFYDGEVGHNNSSLNRAKANNNVHKSRVVMSSTSSLKNSTCKDLTGSKNRIINLDHGDPTVYERFWRQTGDKSTIIIPGWQSMSYFSDVSNICWFLEAEFAKEVVRLHRVVGNAVTEGRHIVVGTGSSQLFLAALYALSPNHASQPINVVCASPYYSSYPSMTDYLKSGLYKWAGDADSYEKDGPYIELVTSPNNPDGHVRKSKVNRSQGLLVHDLAYYWPQYTPISSPSDHDLTLFTVSKSTGHAGMRIGWALVKDKEVAKKMTKFIELNTIGVSKDSQLRAAKILSAVSDSCEQENSKENESFFKFSHKVMANRWKQLREVVHHSELFSLSQFSPAFCNFFNRVLEPQPAFVWLKCEGNVEDCESFLRAHNIITRSGKHFGVSPKYVRISLLDTDENFTQFLDRLSTIQSL >RHN81419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45760185:45760595:1 gene:gene5458 transcript:rna5458 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSRFRDHPSTPTISINITCVSPMASSLINSPKMIINKINKAIISYIISLKTKKLEELSTSSKNIIKKIYKAIHCKILKMKKQEDDKCLWKKTILMGEKCQPLEFPGAIFYDSEGNQLSEPPRTPRSSPSSSFE >RHN39475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7385138:7393331:-1 gene:gene45500 transcript:rna45500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 13S-lipoxygenase MALTKEFMGSSLVERPIFPSSSPCFQQKNGSFLISPVFVPYGNKRVVRLRKSAKFPVAAISEDLMKSSSSSSSISSSSSSVPAEKPVKFKVRAVVTVRNKIKEDFKETFVKHLDAFTDRIGRNVVLELFSTEIDPKTNAAKKTNEAVLKDWSKKTNIKAERVNYTAEFTVDSNFGEPGAITVINNHQQEFYLENITIEGFATGAFHFPCNSWVQARKDLPGKRIFFSNKPYLPDDTPAGIKLLREKDLKNLRGDGKGVRKLSDRIYDYDTYNDLGNPDRGIDLARPTLGGSEMYPYPRRCRTGREPSDTDITCESRVEKPLPMYIPRDERFEESKMNTFSVKRLKGVLHNLLPGLKSSLSAQNKDFNEFSDVDGLYSVGLLIKLGLQDDILKKLPLPHIVSKIQESTSQGILKYDIPKIISKDKFAWLRDDEFARQAIAGVNPVTIERLTVFPPVSKLDPEIYGPQESALKKEHILNQLNGMTVQEAIDQNKLFIIDYHDIYLPFLERINALDGRKSYATRTIYYLTPLGTLKPVAIELSLPPSGPNTRSKRVVTPALDATTNWMWMLAKAHVCSNDAGVHQLAHHWLRTHACMEPFILSAHRQLSAMHPIFKLLDPHMRYTLEINALARQSLINADGVIESCFTPGRYAMEISSAAYKTNWRFDQDSLPQDLIRRGMAVPDPTQPHGLKLIMKDYPYAEDGLLIWSAIENWVRTYVNYYYPNPSLIINDRELQAWYSESINVGHADMKDESWWPRLNDSDNLVQVLTILIWNASAQHAALNFGQYPYGGYVPNRPPLMRRLIPEENDPEYTSFISDPQKYFLNALPSLLQATKYMAVVDTLSTHSSDEEYLGERQQPSIWTGDAEIVEAFYQFSAEIGKIEKVIDSRNCDRNLRNRCGAGVLPYELLAPSSGPGVTCRGVPNSVST >RHN65407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1887948:1891423:1 gene:gene13242 transcript:rna13242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADP-retinol dehydrogenase MAITKIKGWNEDAHLKAFQADLSSVESIIKFSTSLRQWLLDSDLHCSVQILINNAGILATSPRVTTEGYDKMIATNYIGPFVMTKLLLPLLESSPVSSKIVNVTSFTHRAVTNMQVDEGTVSGKRFLKSKQYPYAQIYEYSKLCLLLFSYELHRQLCQMGKSHQIFVNVADPGVVQTNIMREVPASLSWVAFFVLKRLRLLESFESGNDSIIDAALTPPGTSGVYFFGGKGRTINSSALSQDTKLAHELWETTSDLLSVTPFGNKRNNF >RHN63322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50382151:50389223:-1 gene:gene26009 transcript:rna26009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 43kDa postsynaptic protein MEPSKLKELKHFIEQVKSNPSTLADPSLSFFRDYLESLGANLPESAYSKSRSVESDDDIEDVEEEKVKVEEVEEEDEIIESDVELEGDTVEPDDDPPQKMGDSSVEVTEESRESSQLAKAKAMEAISEGKLEEAIENLTEAIILNPTSAIMYANRASVYIKMKKPNAAIRDANAALEINPDSAKGYKSRGIARAMLGQWAEAAKDLHVASNIDYDEEINAVLKKVEPNAHKLEEHRRKYDRLRKEREEKKAERERQRRRAEAQAAYEKAKKQEQSSSSRNPGGMPGGFPGGMPGGFPGGMPGGFPGAGGMPGGFPGAGGMPGGFPGAGGMPGGFPGAGGMPGGFPGAGGMPGGVPGNVDFSKILNDPDLMTAFSDPEVMAALQDVMKNPANLAKHQANPKVAPVIAKMMSKFGGAGAGAQ >RHN49249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53555544:53557917:-1 gene:gene44061 transcript:rna44061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MEGIEHRRVEVNGIKMHIAEKGKEGPVVLFLHGFPELWYSWRHQIVALSSLGYRAVAPDLRGYGDTDAPSSVSSYTGFHIVGDLVALIDFLGVDQVFLVAHDWGAIIGWYLCMFRPERIKAYVCLSVPLLRRNPKIRTVDAMHAAYGDDYYICRFQEPGKMEAEMAEVGTAYVMKSTLTTRKTGPPIFPKGEFGTGFNSDTPDTLPSWLTEDDLTYFVSKFEKTGFTGALNYYRNFNLNWELMAPWNGVKIKVPVKFITGDLDMVYTSLNMKEYIHGGGFKEDVPNLEEVIIQKGVAHFNNQEAAEEISNHIYEFIKKF >RHN46095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28436051:28438966:-1 gene:gene40535 transcript:rna40535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGNKISNLCLCSAGTGDISGRLQNTFTLLSKKHDKAICNSISYVRPNPPRISNDTFSDDDDTTLMTFRSVAGATVSANSSSTPSISLDDSLQHSTVLDSSASFESFGSFTSTMMHPQYQNPHNPRTSSVCTSIEEGLSSSPFDRVFNSNSIEKCLEQMKVNKPNKISLKKVLGRVFSNAVSFGKGSFFKKNDNVNANANARVSCSTSLSDELRLHDNNYLDDDGDGCGSDNLLMVCENLHMAQGKGGEDRMHIVICEDHGWVYVGIYDGFNGPDATDYLLHNMFYVVHDELKRFLCNQNSKNVKSEDFSHSDVLEALSEAMRKTENAFLKIIDEMIAHNPVLAMMGSCVLVMLMKGQDVYLMNVGDSRAVLATRIGNPLQLTMDHSTHVKEEVYRIRQEHPDDPSAITKGRVKGYLNVTRAFGAGFLKHPKQNDAMLETFKVNYIGESPYITCSPSLYHHRLNSSDKFLILSSDGLYQYFTNEEAMAKVESFITMFPDKNPAQLLTEEALSHAAKKAGIEFHELLDIPQGERRLYHDDISIVIISLEGKIWRSLL >RHN46113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28760254:28763713:-1 gene:gene40553 transcript:rna40553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MAGILLLTIASLASLFAATTARIPGVYSGGPWTSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFELKCDQDPRWCNPGNPSILITATNFCPPNFAQPSDNGGWCNPPRPHFDLAMPMFLKIAQYRAGIVPVAYRRVPCRKAGGIRFTINGFRYFNLVLVTNVAGAGDIIRVSVKGTNTAWMPMSRNWGQNWQSNAVFVGQALSFRVTGSDRRTSTSMNIAPPHWQFGQTFTGKNFRV >RHN56890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34493793:34494188:1 gene:gene32354 transcript:rna32354 gene_biotype:protein_coding transcript_biotype:protein_coding MNMFKLGVLVSSCLPLLSCFVGSIVQLFYTSQSHFTSATKVNMLHMKKEDASTIANVAAQKHFRQIQHIC >RHN82596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55027058:55029442:-1 gene:gene6771 transcript:rna6771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MSNPNSITIPSSAPEDGTYKRCISMAAATGDWAIASSYDKTHPNWISTPLTVDGDTALHIAVRMEETKFVEKLVERTSKKDMEIRRTDGNTVFCLAAVSGNVKIARILCEKNPELVWIKGHEEQLPIQLASLAGQLHMVKFLFQRIEQDNNINLPFQDIIKLFFLTLTNNIYAAAWSLVIQNSELAHTENENGLNALQLLAQSPFEENAPCYKDLVRVLFERMEEEEDEFLQYTKTSKAMFDAAQLGNILILEFIFNYNPNIFMEVNSEGQSLLHVAILHRQELVYHLILSKGSYKNVLVQIVDQEGNNVLHLAGKFVSKGRFGSPHIHQDLLIHSDESWFKKVEKIVPPTLKSMENKNGMTPTEIFYKEHKESYEKAVTEVNGTANTFIVVAALFLSLSITAALSIRNNKISENTHFLRYKKWFYLFILSIGYGVSLCATSMLLLNSIILPSTWTKKRGYVNSRLSRMSLGYFSLYSSFLVLVIISIFSGVILVYSFFPNWVFYVIDLLCAIPITLNFFIFFYPLYFYLVLKLAFYTRRVTMIMSNM >RHN64008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55872871:55880206:-1 gene:gene26781 transcript:rna26781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose-phosphate synthase MAGNDWLNSYLEAILDVGPGLDDAKSSLLLRERGRFSPTRYFVEEVIGFDETDLYRSWVRASSSRSPQERNTRLENMCWRIWNLARQKKQLESEAVQRVTKRRLERERGRREATADMSEDLSEGERGDPVSDVSAHGGESTKARLPRISSADAMETWAINHKGKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVASPDVDWSYGEPTEMLAPRNTDEFGDDMGESSGAYIIRIPFGPRNKYIPKEELWPYIPEFVDGAIGHIIQMSKALGEQIGSGHAVWPVAIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQLLKQGRLSRDEINTTYKIMRRIEGEELALDGSEIVITSTKQEVEEQWRLYDGFDPVLERKIRARIRRNVSCYGRYMPRVAVIPPGMEFHHIVPLDGDIETEPEGILDHPAPQDPPIWSEIMRFFTNPRKPVILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDGIDEMSSTSSSVLLSVLKLIDKYDLYGQVAYPKHHKQSDVPEIYRLAAKTKGVFVNPAIIEPFGLTLIEAAAYGLPMVATKNGGPVDIHRVLDNGLLVDPHDQQSIADALLKLVSNKQLWAKCRLNGLKNIHLFSWPEHCKTYLSKIATCKPRHPQWQRSEDGGESSESEESPGDSLRDIHDLSLNLKFSMDGERSGDSGNDNSLDPDGNATDRSAKLENAVLSWSKGISKDVRKGGTAEKSGQNSNAGKFPPLRSRNRLFVIAVDCDTTSGLLEMIKVIFKAAGAERADGSVGFILSTSMTISEIQSFLISGGLSPNDFDAYICNSGSDLYYPSLNSEDRLFVGDLYFHSHIEYRWGGEGLRKTLVRWAASTTDKKGESNEQIVSPVEQLSTDYCYAFKVRKPGMAPPLKELRKLMRIQALRCHPIYCQNGTRLNVIPVLASRSQALRYLYVRWGFELSKMVVFVGECGDTDYEGLVGGLHKSVILKGVGSSAISQLHNNRNYPLSDVMPMDSPNIAEATEGSSSADIQALLEKVGYLKG >RHN80188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35974445:35976856:-1 gene:gene4086 transcript:rna4086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MAMLASSSPTLLFTSTSSNLLPLSHSCTLRLSFSSSLQSTSLSISSTFLSHPSLTSKRLANHATLSISASAAEKKKVLIINTNSGGHAVIGFYFAKELLGAGHSVTILTVGDESSDKMKKPPFNRFSEIVSAGGSTVWGNPADVGSVVGGEAFDVVLDNNGKDLESVRPVIDWAKSAGAKQFLFISSAGIYKTTDEPPHVEGDAVKADAGHVGVEKYIEETFDSWAVFRPQYMTGSGNNKDCEEWFFDRIVRDRPVLIPGSGLQLTNISHVKDLSSMLTLAVENPDAANHSIFNCVSDRAVTLNGIAKLCAQAAGRPVNIVHYDPKAIGIDAKKAFPFRNVHFYAEPRAAKTKLGWSSTTNLPEDLKERFEEYIKIGRDKKPIKFELDDKILEALKVPVSV >RHN54857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12943954:12954631:-1 gene:gene29951 transcript:rna29951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MVPKSGQPPNPSWFTPKRLLIIFCIINLINYVDRGAIASNGVNGTLETCTESGVCTAGTGIQGDFNLSNFQDGVLSSAFMVGLLIASPIFASLAKSHNPFRLIGVGLSVWTFAVAGCGSSFDFWSIAICRMLVGVGEASFISLAAPFIDDNAPVAQKTAWLATFYMCIPAGTALGYVYGGLVGSQFNWRVAFWGEAIFMLPFPILGFLIKPLQLKGFGPMESKQTRTSNETNVSENGDDGILAEDQAFIRGSKLTSKLGNQFTRFLNDMQELLHERVYVINVLGYIAYNFVIGAYSYWGPKAGYSIYHMSNADLLFGGITIVCGIFGTLAGGLILDKMSSTISNAFKILSGATFLGAIFCLVAFLFKGLFGFIILFSVGELLIFATQAPVNYVSLRCVKPSLRPLSMAISTVSIHIFGDVPSAPLVGVLQDHINDWRKTSICLTSIFFLAAGVWFIGTFLKSDDLFNKDDEEDESTTTLRGVRKPLLEGINDASSQA >RHN78257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13309891:13315403:-1 gene:gene1791 transcript:rna1791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MSESNAIANGLAGAGGGIIAQILTYPLQTVNTRQQTERTLKRNKQSSSSNTITTASSSSSSSGIFLQIFQVIGNEGWGGLYSGLKPSLLGTAASQGIYYFFYQVFKNKAVAIAAARKVKGHGDGTVGMFGWLIVAAIAGSLNVLFTNPIWVLVTRMQTHTQAERKILEEKKEALRKAASESSLAGSTLEEKLEKLNSTKPKPFGTIHAANEVYNEAGIVGFWKGVIPALIMVCNPSIQFMIYESSLKHLRAKRSAKKQGDTSVTALEVFLVGAIAKLGATVTTYPLLVVKSRLQAKQEIGGNNSLRYSGTFDAILKMIRYEGFPGFYKGMSTKIVQSVFAASVLFMVKEELVKAITVIANKSKKVVLNSSS >RHN52456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35529615:35530462:1 gene:gene37139 transcript:rna37139 gene_biotype:protein_coding transcript_biotype:protein_coding MDCQTKFLSIFRAYYAKNFLSIIILGQYIAKLFRFLPRIIGQSPTQMVSSESTFGNFIAYLLSFMFFSHVVGSGWYLFALQRVHRCLQDACHHSNLHGCMELINCDSKTRMNISAIVWRIDKGAETCMNATSGAFSYGIYANAIPLTKETRWIKKYVYSLFWGFQVCILFVYCLSSVVIKILKNCLLRSKRLRQKGIVNKYHGNGY >RHN56065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27316088:27317955:-1 gene:gene31391 transcript:rna31391 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLCFRRRLTNDEIRIRNDKLQQKIDKLNAQRQQQETDPCDERIKDPIAENKEEDISQGFPTKQVEASSH >RHN61123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33160264:33161415:1 gene:gene23554 transcript:rna23554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQMTENIVEAFKSVYVMIIFLSLFLLSTNIDAECYQDSDCPEDMCSYLAKPTCIFTEYFPIFWMAVCGCD >RHN66706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16918259:16920312:1 gene:gene14762 transcript:rna14762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MLEISCASDVLQVVKWRCPNQTLPPNSDTGFQKKVLYLESIGIDSFSLIENHPKLVTASLDDIKSTVKYITGMDFSAIEFRRLVGMCPEILTTKVSDIIPVFTFLHREVRVNGSNIKHVINRRPRLIICNVDKQLRPTMYFLQSIGIEEVNKHTHLLSCSVEDKFIPRIEYFENIGFSCRDTTSMFRRFPQLFCYSIKNNLVFLIIWHRRGKQAHPFTLFFVTFFFYG >RHN70025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48106182:48106968:1 gene:gene18565 transcript:rna18565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative defensin, plant MNKTRFGFFFILLILLASQMMVQTEGRHCESKSHRFKGMCMSDHNCASVCHVEGFPGGNCRGFRRRCFCKKRC >RHN73265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14926748:14931489:-1 gene:gene9062 transcript:rna9062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MKVSILFLLCFFSCVLLVLCHDNDKTTLNVLLEVKSSFTEDPENVLSTWSENNTDYCTWRGISCDSVSRDIVRLVLSNSKLTGSISPSIGLLQNLTHLDLSSNHIVGPIPPSLSKLTKLESLLLFSNQLTSQIPAEFGSLVNLRFLRLGDNQLSGEIPSSLGNLAKLVTLGLASCKLNGSIPSELGRLTELKNLIIQDNKLTGSIPSELGNCSSLINFTGAENELNGTIPSQLSRLRNLEILSLAKNTLTGELPSQLGEMSKLEYLNVMENKLEGHIPISLSQLGNLVDLDLSTNKFSGEIPREFTNMSRLQYLVLSDNPLYGNIPKTLCYKSKSLEHLIISRSGLHGEIPSELSQCKSLKQIDLSNNYLNGTIPLEIYGLVNLTNILLHNNSLVGSISPFIGNLSNMHLLALYHNKLHGALPKEIGRLGKLEILYLYENQFSGEIPMEIGNCSELQMVDFFGNHFGGRIPITIGRLRELSFLHLRQNDLVGEIPATLGNCHKLSVLDLADNNLSGGIPATFGYLKDLKQFMLYNNSLEGGIPQQMVNVANLTRVNLSKNRLNGSLAPLCSSRDFLSFDVTGNVFDGEIPSNLGNSFSLNRLRLGGNKFSGEIPRTLGKITELSLLDLSGNSLIGPIPDELSLCNKLASIDLSNNLLVGQVPAWLGNLPKLGKVNLAFNQFSGPFPLGLFKLPMLLVLSLNNNSLDGSLPDGLDELESLNVLRLDQNNFSGPIPHAIGNLRNLYELNLSRNVFSGDIPDEVGSLQNLQVALDLSYNNLSGQVPFSVGTLAKLEALDLSHNQLTGEVPSNIGEMISLEKLDISYNNFQGALNKRFSRWPYEAFVGNLHLCGASLGSCGASRNRLSRLSEKSVIIISALSTLAAIALLVLAVKIFLRNRQELLKKGSELECVFSSTSSQVQKRPLFPLSTGGRREYRWQEIMDATNNLSDEFIIGSGGSGTVYRVELPTGETVAVKKISLKDEYLLHKSFIREVKTLGRIKHRHLVKLVGCCSNRHKGNGCNLLIYEFMENGSVWDWLHGNALKLRRSLDWDTRFKIALGLAQGMEYLHHDCVPKIIHRDIKSSNILLDSNMDAHLGDFGLAKAIVENLDSNTESTSCFAGSYGYIAPEFGYSLKATEKSDVYSMGVVLMELVSGKLPTDAAFRGSVDMVRWVEMRINMKGTEREELVDPELKPLLPYEEFAAFQVLEIAIQCTKTTPMKRPSSRQVCDLLLHVSKNKKVEFEKMKMDSYN >RHN62860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46298937:46299528:1 gene:gene25486 transcript:rna25486 gene_biotype:protein_coding transcript_biotype:protein_coding MERLMPLTTRVANKISLRLNSKLVQKLPRVTLLIVTMEPKMLSTTQMEELRNLERPSSTLGHILEIKPLGASQTYIQQSTYINICMCMASNYKIKCFNFCVYTVYYMLDVLNMK >RHN44666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7362982:7363490:1 gene:gene38811 transcript:rna38811 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 1 MVFGECERLPFDLPEAEEELVAGYQTEYSGIRFGLFYVASYLNLLISSLFVTVLYLGGSNISIPYIFVSEFFEINKTYGVFGTTIDLFITIDLFITLAKTYFFLFVSIITRWSLPRLRMDQLLNLGWKFLLPISLGNLLLTTSSQLFSL >RHN44347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3763503:3765972:-1 gene:gene38457 transcript:rna38457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MMGGKPLSLILLLAFSIFASNSNSLPLSTNNRWIVDETGKRVKLHCVNWSSHMNAMVAEGLETIPLKDIITQLKGLGFDCVRYTWATKMFTRYSNYKVGENLDKLNLTSSRLGIRFYNPSFENITVVEAFDNVIDEFGRQGMMVLADNHVSDPKWCCDNNDGNGFFGDQYFNPEEWLQGLSNVSNRVKGKSQVVAIGLRNELRGPSQNISSWQKYMSQGATTVHKENPNVLVFVSGFNYDIDLSFLKTNPLNTSIGDKLVYEVHSYAWSTGDRSDWDKQPLNKKCANVMNNLNDKAGFLMSGSNPNPLVMSEFGADLTAIDDKNQTFNQRFLSCMLAYLAGVDLDWALWTAQGSYYIRDKESNASEPFGIWNIDFKSLRYPDFSQRFQLVQKKLLDPSLNSSKSYIIYHPLSGQCVKVNTNNELELGDCEWASKWNQEGQQIKLVGNGTYIEAVSDGSQVKVSNDCKSKQSFWKTLSATNLHLGTLDEQGQKLCLQRESPTSPKIVTKRCICIDDNPACLEDPQSQWFQLVTTNV >RHN49356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54238748:54250048:-1 gene:gene44176 transcript:rna44176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MHRVASTGNANNSTRPRKEKRLTYVLNDSDDTKHCAGINCLALLTSTISDGSDYLFTGSRDGRLKRWALAEDAATCSATFESHVDWVNDAVLVGDNTLVSCSSDTTLKTWNALSAGTCVRTHRQHTDYVTCLAAAGKNSNIVASGGLGGEVFVWDIEAALAPVSKCNDAMVDESSNGINGSANLLPSTNLRTISSSHSISLHTAQTQGYIPIAAKGHKESVYALAMDEGGSILVSGGTEKVVRVWDTRSGSKILKLKGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDIGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDFSLYLTDLQTRESSLLCTGEHPIRQLALHNDSIWVASTDSSVHRWPAEGCDPQKIFQRGNSFLAGNLSFSRARVSLEGSTPVPIYKEPTLTIRGTPGIVQHEVLNNKRHVLTKDTSDSVKLWEITKGVVVEDYGKVSFKEKKEELFEMVSVPGWFTVDTRLGTLSVHLDTQHCFNAEMYSADLNIVGKPEDDKVNLGRETLKGLLTHWLRKRKQRMGSPAPANGELSGKDVASRSLIHSRAEVDVSSENDATVYPPFEFSVVSPPSIVTEGTHGGPWRKKITDLDGTEDEKDFPWWCLDCVLNNRLTPRENTKCSFYLQPCEGSSVQILTQGKLSAPRILRIHKVINYVVEKLVLDNKPLDNLHADGNFAPGVAGSQSLLPVVGDGSFRSGSGLKPWQKLRPSIEILCCNQVLSPDMSLATVRAYIWKKGDDLILNYRVVQSR >RHN38455.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000012.1:231618:231836:1 gene:gene50722 transcript:rna50722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II PsbH, phosphoprotein MAKQTVEGSSRSGPRRTVVGDLLKPLNSEYGKVDPGWGTTPLMGIAMALFAVFLSIILEIYNSSILLDQISN >RHN59825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13673707:13678858:1 gene:gene21984 transcript:rna21984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAMQQMSSASSSSAVAPLKKSDVFISFRGEDTRRSFTSHLYDALSKKVITFIDDNELQKGDEISSALIKAIEESYASIVIFSIDYASSKWCLNELVKILECKKDHGQIVIPVFYEIDPSHVRNQTGRYSQAFAKHEQDLKHGKDMLQKWKDALIEAANLAGWHSQNYRTESNFIKDIVEDVLKKLNRKHPFEVNKELVGIDKKCEEVESLMKIGSNDVKTLGFWGMGGIGKTTLAKDLYAKLCSQFERHCFIENVREESTRRGLNVLRNKLFSTLLELRLDAPYVETPMFIRRLACEKSFIVLDDVATLEQAEYLNIGKNCLGPGSRVIVTTRDKQICSQFDECAIYEVKGLNIGDSLQLFCRNAFGEKHPKDGYEDLSKSAIGYCRGNPLALKVLGANFRTKSKEAWASELEKIKKIPYGRIHDVLKLSFDDLDRTQEDIFLDIACFSYSKLTDFDYFSDRDYLTVLLNACNFFALSGIEVLLHKALINLTFTFNNEIEMHDLLVEMGREIVKQESPKNPGRRSRLWDPEEVYDVLKNNMGSEVIEVIIFNISKTRDLYLSSDSFKNMTNLRYLHITDKIKLSNGRKCYNVHLLEGLEWLSDKLRHLYWEAFPLESLPSTFCAEWLVQLSMRHSKLKKLWNEIQKLDNLMIIMLDYSKDLIEIPDLSKAPKLELVSLSYCESLCQLHPSIFTAPKLRELYLKGCKKIESLKTNIHSKSLRTLELTDCSSLKEFSVTSEEMMSLSLCGTAIHELPSSIWRNWKLTYRLDLSECKKLNIVGKKLTNDPGLESLTVLDLSGCTQINTSNLWFILDGIQSLKRLNLRKCCNLETLPNNIQSNSMLQRLNLDECRKLKSLPKLPASLQNLRAINCHYLDTNSIQRPMLENILHRLHINYGHRSNNLDDFSFLPGTKVPCEFDFQTIEASIVIPPIPKHGLGCFVFCIVLSKGLNVKYDSVCCTIYEHRKKVHQWDIDCGSTGTLFLDHVLLICWCGNKKLVEVRSESGGEHYNLSFEFKFKHYVDDKETWSTKGIKGCGIFPVYGLEHNLGLNGRSNRRVEIVELQSNAQVSDESDQHSQFDIDELQHRTTIGGEVRVSTHENDKTTLY >RHN52990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40885354:40887223:1 gene:gene37732 transcript:rna37732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase MLKILVQVTADPWCSGGGLAPDGTLISTGGFLDGAKTIRYYGGPAGCKGANCDWREYNNALQEARWYGTQVILANGDFIVVGGRRAFSYEYLPRIEGQRPLKPYFFPFLYETSDLEENNLYPFVHLSTDGNLFIFSNNRSLLLNPATNKVVRTFPVLNGGSRNYPASGMSALLPINLATNEPIKAEVIVCGGNSHDAFYLAEQKQKVFQPASIDCNRMVITDQIARWETEDMPSRRIMGDCIILPNGQLLFINGAQRGTAGWWDADTPNLTPALYNPEKLKGQRFTQLNPTQISRMYHSTSALLPNGKIWVAGSNTHDTYKDVDQFPTETRVEGFSPPYLDPALDKFRPIIDEVFSTKNLKYGHKLETIFKLPQDINNNVAKNDIKITMYFPPFTTHGYSMSQRLVVIKSRTMFKNVQGLFSIESLAPPSGEVAPPGYYILYVVHRGVPSKGMWVNIEE >RHN40173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13847056:13852003:-1 gene:gene46287 transcript:rna46287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MSIVYTQAFNYSEGGSMKNTEMDSKFGYSQTQQHCLQNNSGLTRYRSAPSSLLTSLVDRSIGFFNEETFRNENHQQQHYSTSTSSEMETMFTNSESFSVKQEEKDPFSHGIQYNDYSYGSQNQINYQTQHNQGFPNGSLGVEDNVFDGSSNNCSNLIRQKSSPAEFFSNYSVDSGLGCANGQATTSTSGLHGTLNFSSRTSSCSIKMPLIVENEHEVLQANCIKSRNMGNDNCITKSYMPSFTNDYWDSSTFSAPKNASNKGEFMFSTSNALETQNVDFGYQKLGLTHHLSLPSSSSKMATMEKFLHLQGSVPCKIRAKRGFATHPRSIAERERRIRISARIKKLQDLFPNADKQNSTADMLDEAVEYIKDLRKQLKILTETKAKCSCTSN >RHN65350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1386540:1388199:-1 gene:gene13178 transcript:rna13178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eugenol synthase MELYLFNKCIYSLQRFLPSDFGVEEDRVHPLPPFQAFLDKKIKIRREIEAAGIPYTFVSANCFGAYFVNFLLRPYENKKDIVVYGSGESKAILNYEEDIAMYTIKVANYPRAHNRIVVYRPLKNIISQNELISLWELKSGQNFNKVFVPEEDIIKLSQTLPPPEDIPISIVHSIFVKGDMYFELEENDLEASQLYPNYNYTSIDQLLDKFLVDPPPPVSAAFE >RHN44241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2749958:2758495:-1 gene:gene38336 transcript:rna38336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain, type 1 protein MCVYTAIFILFLLPESNHNENQVLHNLLSFSIFKLISLSLSLLHNLMAEEEVIVAAPDCKRKFEDQHSDDGENKRPCLYDDNQNYLANTNIHQEKKVVEPEENAHSEEIQDESKDNSEETAEPTDTKEIHVEEPSKSIEQLDSSSIDPTFQHDASFGQKQPISGSDTTTTQEIEVPSNKEFNLKDLLESIYEFENLMDAMFTEADDVDSPAREVPNEKFNLNDFLESIDKAEKLLNAMSAEDDDGGSPTLVARGLSPAQAIMGSDQIQIQVPNEKLIPQHLPDDSKERTVQVTGDKRQIEIAQEMIKETLNQPVKSSSGVFSQQAYRPPQGSGGPPLWDQQGSHYGHPPSYYYQHHWPYPSHNQSYAPTPYGNYPQHMAPRSSYGSGWEQRPHQSLQGPPSHNWGYDHYGGQRGHSSEVSSSAPHPSSIPQHCTGPYPLPSIGPSPVQMNYNYENLAPAQYPYGRHSTYPQAWAQANHAPPQQYGKPPLYGVPPSQGQHPQAYVHPRATQPGEIPYQGSTPAQSYGRPPLFGMPPSQGQHPESYGPPRATQPGEIPYHGSTPAQIYCRPPFYGMPPSQGQHPQSYGPPRATQPGKIPYQGSTPAQSHGRPPSYGVPPSQGQHPVLWRPP >RHN69136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41280179:41280848:1 gene:gene17566 transcript:rna17566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylinositol-specific phospholipase C, X domain, von Willebrand factor, type A MSLSNKCGSIAYFLSTLHYTTWTNTACSKAGITVAIEDIHSTYNFKSRNRSTGVAFSAALGLLECCFVNTGSRIMVFASGPGLVLDSDFWQSMRTHNDIYICNGHLAVYTSKLLRGCRCVDLWFWLNPINLGNVEDTCLKMNFDATVEIMMSKSMELLDLAHLLGKRTVTDQTCIAFFFQASDKQTIEPDSASKHCCFSEG >RHN65745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4897471:4898120:1 gene:gene13627 transcript:rna13627 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLRRPHQTWKISTRLGRPPDSKDLKGPRVHVRFFHFYSCHFQSLMVQFVFLLPLE >RHN73032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12874937:12878457:-1 gene:gene8807 transcript:rna8807 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRSGREKDIEVDLESGLPLIEDNSRRISIISGGFVGGSVNGEARPSSYLNESNLSEVSVNMMKVVNKAPAKEKRKKASGKKAAKPPRPPQGPSLDAADHKLIKEMSELAMLKRARIERMKALKKMRTAKSSTPSSNSSSIVAMVFTVIFFIVIICQGMSSTGKSSVSSFQGSPVSAGGTEEGLISVQYQLNPSSDSNAPGSESINFVQRVSGSDLHEKLRRD >RHN60370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25918666:25923689:-1 gene:gene22675 transcript:rna22675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxymethylenebutenolidase MLRFITSSSAPKFFPTKPFHIISPFSSRLSVRSMADSAAPFSKIQIQRDDNTTFDAYVIGKHDAPGIVVLQEWWGVDFEIKNHAAMISQLGRGFKALIPDLYRGKVGLDVAEAQHLFDGLDWPGAVKDIHASVDWLKANGSKKAGVTGFCMGGALAIASSVLVPHVDAVVAFYGVPSSELADPAQAKAPVQAHFGELDNFVGFSDVTAAKALEEKLKASGIPHEVHIYPGNSHAFMNRSTEGINRRKNMGLPDEDEASVQLAWSRFQSWMTQYLSS >RHN60072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:18385457:18387138:-1 gene:gene22276 transcript:rna22276 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKFLEFTIINYKNCTEPISILQDFQLSLTISSELSSEAAVGMPSTVRSTDYPENATLNSSLSVNSTESQEHVQVQPLSLVESPPSLIISLPATQSDHFSMVTKLQEQAQKLPSSGFLSSNKGFSTLPSLTGVEDRVVTNAGALSSKIPVTSTVIQNPAVEQPASNLEVGSQSRQVVSNMAIDSLVPGGIRARSSETRNPGLRNHPIEAAPQSSVMNLPPLYHKPLCHELERIQKIRLLLKCKFQKEIDELRLKYAELHKECERRLPLKWVFENQIKILCNRYGIELNNIEVEFQRASESYDAQYKIVHVHKILADAMSARSKLEFFSAPQMLQGILQYPDCCSC >RHN41841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33496244:33496674:-1 gene:gene48204 transcript:rna48204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MSKFLKFVYFLMSLISTFFVVRHGNSASPKYCMHGDDCNILCPNPLMGICTDYQCICI >RHN54844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12829664:12832799:1 gene:gene29937 transcript:rna29937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MKMTLISLKCFNMDGLRHANLAPIFNCFPFLQELDLSHSIDLFDFKLKEVSLALPKLRKINLSGNNISDQSLFYLCKNCEFLEEIEMISVYHITVAGVASAIRERPGLRNLVDGVAVINEVVDFARRANRECLILKVDFEKAYDTVDWGFLEYMLKRVGFCTKWINWMKACVFGGNMSILVNGSPTEEISIERGLKQGDPLAPFLFLLVAEGFSGLMRNAVNSNSFKGFDLRSNGLVVSHLQYADDTLCIGEASVDNLWTLKALLRGFEMASGLRVNFAKSCLIGVNVGREFMDAACNFMNCREGALPFKYLGLPVGANPRRLSTWEPLLDCLNIRLNSWGNKYVSLGGRVVLLNAVLNAIPIFYLSFFKLPVKVWKKVVSIQRQFLWGGVKGGNKGLVVNLIDSLEGWEKVEEVDSWWWKLEEDGIFSVSSSYVSLESSLLPIEPLERTKKVVFELVWKSPAPSKVVAFSWQLLLNRIPTKDNLLSRRILAPVSLGRCEFCEQVAETATHLFLHCEWTFKVWSKVGGWLGINFITPQSLFQHFECWNGEIGRRKLRKGYWLIWHAVLWTIWKARNDRIFNNILKDFDKIVEVIKVISWNWANSRLKSPPCLYYEWCVWDFFLSRLLFWCVFGLLVSAVAAAGWCCLEQYYNSSSSFVLFWRCCRHRVFVLLVYFGVNNISSFKKKSLASSFGAMLFEMNFVVPKLEVLNLSRSATDDETLYAISKSCRGLLQLDLENCYAVTGKGVRQVVEKCTKLREINLRDCQNVADNVVSLMVLSRPSLRKITIPPFYHPSDHERELFLHHGCLVS >RHN76079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47524914:47528540:-1 gene:gene12355 transcript:rna12355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope pore protein 16 MPWSRISGSVSSPQVDVVIDMGNPFLNLAVDGFLKIGTVAATRALAEDTFHIVRKGSVSSNDFEKTLKKMCKEGAYWGAIGGVYVGTEYGVERIRGTRDWKNAMIGGAVTGALVSAVNNNKKDKIAVDAITGAAIATAAEFINHFT >RHN44982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10448934:10465108:1 gene:gene39172 transcript:rna39172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M1, membrane alanine aminopeptidase MAKPRKPKPEDPKPENSGAVVQHQKLCLSIDMDKRLVYGYTELKIAVPEIGIVGLHAENLGIESVWVDGEPTEFEYYPHQYSQNDDEKRWSSVTSPSSAADAAVSVYLSSLEKELVPNLLINCFKPSKTETEQQQKEHEKEQPVTENGFHSAAAAEPPKQNVRLVRINYSIEKAETGVHFRDSVLHTDNQIRRARCWFPCIDDNIQQCCYDLEFTVAHNLVAVSTGSLLYQVLSKDNPPRKTYVYKLDVPVSARWISLAVAPFEVLPDHQFGLISHMCLPPNLAKMRHTVEFFHSAFSCYKDYLSVDFPFDSYTQVFVEPEMVVSSLSLGASMSIFSSQVLYDEKVIDQTIDTRVKLAYALARQWFGVYITPESTNDEWLLEGLAGCLADFFIKKHLGNNEARYQRYKANCAVCKADDSGATILSCPASCKDLYGTQCIGLYGRIRAWKSVAVVQMLEKQMGPESFRRILQAIVTRAQDKTRCVKTLSTKEFRHFANKVGNLERPFLKDFFPRWVSSCGCPDLRMGFSYNKRKNIVELAVLRGCTALQTSSTAVLDINPDSENRDGDSGWPGMMSIRVYELDGMYDHPILPMAGEAWQLLEIQCHSRLAARRLPKSKKGVKHDGSDDNGDVPPVDTRSSTESPLLWIRADPDMEYLAEVHFNQPVQMWINQLEKDKDVIAQAQAIAALEASPQLSFSVVNALNGFLTDSKAFWRVRIEAAFALANLASKETDFSGLLHLVKFYKSQRFDPDIGLPKPNDFHDFAEYFVLEAIPHAVATIRAADKKSPREAIEFVLQLLKYNDNTGNPYSDVFWLAALVQSIGEFEFGQQSILLLSSLLKRIDRLLQFDSLMPSYNGVLTVSCIRTLAQIALKLAGFIPLDRVYELVKPFRDQKAIWQVRIEASRALLDLEFHCKGIDSALLLFTKYVEEEPSLRGKLKLATHVMKLCQMRDGLNSNDEITSQTLVSLLSLLEGRMAFNNVFLRHYLFCILQILAKRPPTLHGIPRESRTLHMSLTGASNYQRNLFVIDSDSKPLELPSSTQNLTQDLIITEGLRDALNEAPKDQTVEAPKEVHVEVLKDVPLETSKEDLTGLPPEAPIEAPNEISKETDTVSNSHERKRLFKIKVKQSSATSRADTDNQLVERSLGGRNETDHGASSSVSVDAPQRNFAETVSISNHNIEEVNSCYDPGSRMTASIGSAKILSDGDELVKELQCTADSSVVYSQVQPEDPSPSSIIQDNNIDVDARRFASLQTLSVTRFDQAGESCGKEVPARGKHKHKDKDKKRKRESHKGQQNDPEYLERKRLKKEKKRKEKELAKLLSNEAKRSSIDLSCKKEEPEVNDAKQLKSVEPSCYNSVSEIGRVDPKPVPPEVTGVLII >RHN73941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21892473:21898557:1 gene:gene9841 transcript:rna9841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFRSSLFKKPILLSLTQRSFHSSIPLQWKLRQETTNLPHPELLDRITRLLILNRPQSLHNLTFKYSDHLTDSLLRRLRLHPSACLSFFHLATQNSHYRPHSLSYSILLHILTHARLFPEATTVLRQLMDLHCTNNYRAYAVCNHVFSVYKEFGFCSGVFDMLMKAFAERGMTKHALYVFDEMSRIGRVPGLRSCSFLLGKLVQKGEGRVAVMVFDQIVGTGIVPDVYMCSIVVNAHCQVGRVDVAMEVLEKMVKEGLEPNVVTYNGLVNGYVGRGDFEGVERVLRLMSERGVSRNVVTCTMLMRGYCKKGKMDEAEKLLREVEEDELLVVDERVYGVLVDGYCQMGRMEDAVRIRDEMLRVGLKVNMVICNTLIKGYCKLGQVCEAERVFVGMVDWNLKPDCYSYNTLLDGYCREGKVSKAFMLCEEMLGDGITPTVVTYNTVIKGLVDVGSYDDALHLWHLMVERGVTPNEISCCTMLDCFFKMGDSDRAMMLWKEILGRGFTKSTVAFNTMISGLCKMGKLMEAGAVFDRMKELGLSPDEITYRTLSDGYCKNGNVQEAFQIKGVMERQAMSASIEMYNSLIDGLFKIRKLNDVTDLLVEMQTRGLSPNVVTYGTLISGWCDEQKLDKAFHLYFEMIERGFTPNVVVCSKIVSSLYRDDRISEATVILDKMLDFDILAVHNKCSDKLVKNDLTLEAQKIADSLDKSDICNSLSNNIVYNIAIDGLCKSGKLDEARSVLSVLMSRGFLPDNFTYCTLIHACSVSGNVDEAFKLRDEMLEKGLIPNITIYNALINGLCKLGNIDRAQRLFYKLYQKGLVPNAVTYNILIGCYCRIGDLDKASRLREKMTEEGISTRIIP >RHN74268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31302416:31308139:1 gene:gene10304 transcript:rna10304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FCP1 domain, HAD-like domain-containing protein MGPKRRGRKTSRKVQIESDANGCGTPENTFPSKTSTSALDMPIEHPIQCLSTDALMSPPCVQADICLRGNEEEGQNILSQSAEKGNGESDIKDEGGNCSSVVADAELFAKESVLEVVQMDMEQSINQNGSNPKETSDSLNNKSLTMNNDEDLEVHDLSDKSDCIKTYSRKNLNNVHCIKEPPIQDHHNDDSHEVSDGPLTDGLMERETEHSTNQNKVEAEEMELTAENSSEQRSTTTSYIPVTPLVETNARQVDHAEATRNGGNSKISQCSVERPIISNSKNKLLILDVNGLLADCVSDVPNGYYQPEPDFWVRRRKVYKRPFCDDFLRFCFDRFHVGIWSSRAKCNVDDVIKHLMGKSASRLLFCWNQSHCTTTKFSTVENKEKPLVLKELRKLWEKLEPGLPWEKGEFHESNTLLVDDSPYKALVNPMHTAIFPYSYRYHYTKDSSLGPKGDLRGYLERLAMADNVQEFVSRNEFGQRPIRPANPSWGYYLKVIESVQENDIPSAPDGGANCLKKKVG >RHN64379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58610067:58615919:-1 gene:gene27195 transcript:rna27195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MDPENPASTPDSPTSPSAGFNTDQLPHTHTSRASEDDEASVDPDIIRDEPDPEEEEDGEDLYNDNFLDDYQRMGEADQFESVGLDDSVEDERDFDQIMEDRRAAEIELDTRDGRASNRSKLPQLLHDGDTDDDSYRPSKRARADHRSSAPSDDDLDGMNSSPGRSQRHSREDNPTTDQNEDDQYEEDFDDEAGYEMYRVQGTLREWVTRDEVRRFIARKFKDFLLSYVNPKNEHGDFEYVRLISEMVSANKCSLEIDYKQFIYVHPNIAIWLADAPHSVLEVMEDVAKSVVFNLHANYKHIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCGKCGAVLGPFFQNSYSEVKVGSCPECQSKGPFTVNIEQTIYRNFQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGVYTNNFDLSLNTKNGFPVFSTVVEANYVTKKQDLFSAYKLTQEDKEEIENLGKDPRIGERIIKSIAPSIYGHDDIKTAIALAMFGGQEKNVEGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKLFTQNVELTDPIISRFDILCVVKDVVDPVTDEMLAKFVVDSHFKSQPKGANNDSVSESQDASGMPIDPEILPQDLLKKYVTYAKLNVFPRLHDADLNKLSHVYAELRKESSHGQGVPIAVRHIESMIRMSEAHARMHLRQHVIQEDVDMAIRVLLDSFISTQKFGVQKALQKSFRKYMTFKKDYNDMLIYILRGLVTNALHLEDILTGSTSSLTHVDIKVDDLSFKAQEHEIYDLTPFFNSSIFSNANFELDKERGVIRHYLTR >RHN74980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38406339:38412472:1 gene:gene11124 transcript:rna11124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGA >RHN73889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21185931:21187163:1 gene:gene9778 transcript:rna9778 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKCFPKQNRPMQFQIKIGVPNNDFTAQKVQRKSTLSQR >RHN43849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48950906:48951462:-1 gene:gene50479 transcript:rna50479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dehydrin MDNFQNQYGAVPISNNDLIHRELDTTGVVDGGGSTQAMADTTTAVDTTTTDDGVFVDGNKTNNHHNKKGIIDKIKEKLPGTHHHK >RHN53248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:378238:380644:-1 gene:gene28138 transcript:rna28138 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSGVLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSVAGILLFKVPTSLENSASILFGAFGRSTFR >RHN55582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19720632:19723043:-1 gene:gene30784 transcript:rna30784 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVALVGPPEIYSLKSNPNPTTTTTAQTTETTVTTTTTTNDVFLDQMVANFNSLGRNRNPPMGLTENMSPTFLSTGNPCLDFFFHVVPDTPSETLVERLKLAWSQNPLTALKLVCNLRGVRGTGKSNKEGFYAAALWFHENHPKTLATNVPSLADFGYFKDLPEILYRLLEGSEVRKTQKEEWRERKSGSKRKSSSGSTPFLRRGMKKKQRHHHNNKNNNKDNKGWKGTEKDSIVTEEVAARAKVEKEGAHVLKEEKRIALAKKLVDRYTTDPNFKFLHDCISDHFADCLKKDLEFLKSGSPNKISLAAKWCPSVDSSFDRSTLLCETIAKKIFPREEYEGVEEAHYAYRVRDRLRKDVLVPLRKVLELPEVFIGANQWGLIPYNRVASVAMKFYKEKFLKHDKERFEKYLEDVKAGKTTIAAGALLPHEIIESLDDEDGGEVAELQWKRIVDDLLKKGKMRNCLAVCDVSGSMHGTPMEVCVALGLLVSELNEEPWKGKVITFSREPQLHVIKGDNLKSKTQFVRNMDWGMNTDFQKVFDRILDVAVNGNLKEDQMIKRIFVFSDMEFDQASANSWETDYQAITRKYREKGYGSAVPQIVFWNLRDSKATPVPSTQKGVALVSGFSKNLLTLFFDNDGDISPVEAMEAAIAGPEYQKLVVLD >RHN71378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58599288:58600810:-1 gene:gene20055 transcript:rna20055 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWLLVKCEGCFDAAIRVVLQSPKRKKKKRKGQNACSMAVATSWWSSSYHITIFNQVEHIEVPS >RHN45156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12421738:12423228:-1 gene:gene39373 transcript:rna39373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MYVFGIEELFWAVLVFVAIHYWRLNRNTPMTKWPVLGMLPGLLHNVSNIHGYVDLTLKQNGGTFIFEGPWLTNMNILCTSDPMNVQHITSTKFENYGKGNDFREIFEVLGEGIFRSDSHIWKYNRKLLHSIFKQGNFQVFIQKTVEKKICNYLLVFLDHASKNGVQVDLQDIFQRLTFDNICSVVLGFDPKCLSIDLPEIPCERAFTQAEDTLFIRHVKPKLLWKLQNWFQVGEEKQFIKNNKFIDEMLYSEIKSKREMRGEQKVDLLDIIINEVGDGENKIDDKFLRDTSINLLAAGRDTISSGLTWFFWLVATHPLIEAKILEEIKENLPSREHSWKDLGAKGLSKLVYLHGALCEALRLYPPIPFEHKSAQKSDVLPSGHRVESNTMIVYSLYSVGRVEEIWGEDCLEFKPERWISQKGGIVYVPSYKFIAFNAGPRSCLGKDISFIEMKMVAIAILLNYHIQVVEDHPIIPSLSVVLHMKHGLKVNLKKRSI >RHN77817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9583294:9585514:-1 gene:gene1310 transcript:rna1310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-phosphogluconolactonase MATSTFLSLSCTPQSMLHSKHKSQTQSSTMSLVSPQLVQKHLYSPLRHNVSSLKPKRIHVGGLVKASMNNNKDVHVLSKEHLAVSLAKYVADLSEKYIREKGRFTVVLSPGPVKYLRKLVEPPYCDTIDWSKWHIFLVDERVVPKTHVDSNYKLANDSFISKVPIPPLNVSTIDDALPADGAADVYETTLRRLVTSNVISTSSLTGFPKFDLMLLDMGPDGHVASLFPGYPAVNETSKWVTYLKNAPKPPPERITFTLPVINATSNIAMVVTGAGKADAVYSALEKGPNDNKLPIQKVNPEGDIKWFLDKGAASRLYR >RHN71783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2589622:2591854:1 gene:gene7418 transcript:rna7418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSTPPAQSHARLDAESPVSLSYDLIVEILSFSNVKSLMRMRCVCKSWRSIISDPKFIKLHLKQSARNPYLTLSRNIDNIADNGIPRPFDEKKVISFPMRRLILENPSILLPDNLRIECQDKECKYAIGSCNRLFCLIGYSYYPEEFWFRLWNPVIHTMSKKLGHFAATKNLRHIFGPYISIHTYYKFAFVYDNSSETYKLVMLMLDVVENKTHVRVLNVTDNVWKTISNFPAVPLPNIYTGQGGSDGVYLNGRLNWLAIQDRPVSDDVDGWENIKANEFVVVSLDLGTKSYTQLMPPCGFDEMSSVKPPSLCILKDSLCFSHDVRRTELVIWQMKIIGVDESWTQLLKISYQILRTRYHAFDDLENCQLLPLHLSDHNDTLILANNQEQRAILYNLRNNTAKRTRIIHEIEWFFVKVYVESLVSDI >RHN60694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29601409:29608413:1 gene:gene23048 transcript:rna23048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MNKRDRIRKHGFVVIIFVVFVGPQLVSCQKSNDDGDVGGSGAGIEIFAQEMYNSMSGFTSVFKGAIKKELGYCILDVDADWDGAFNFTKDLTFLTICAQEMKGDITQRMCTAGEIEAYARSFVSGDKSAGSGKAKANFLKPNINCNLSSWVNGCEPGWGCKANQKVDLESKKKEIPVRSADCQPCCEGFFCPRGITCMIPCPLGAYCPRGELNKTSGICQPYRYQLPPGKDNHSCGGADIWADITSSGEVFCSAGSYCPSTTIKSPCSRGSYCRKGSTAQERCFKLASCEPKSANQNITAYGLLVFAGLCFMLIIIYNCSDQVLATRERRQAKSRERAAASVRETQAREKWKTAKDMAKKHASGLQSQLSRTFSRKKTSKMPDFKGVLPPVGAGASKAKKKDKNNLSKIINDIEQDPDSQEGFNVQIGDKNVKKQAPRGKALHTQSQIFKYAYGQIEKEKAMQEQNKNLTFSGVISMASDIDIRKRPTIEVAFKDLTLTLKGKNKHLLRCVTGKLHPGRVSAVMGPSGAGKTTFLSALTGKAAGCYTTGQVLVNGQESSIRSFKRIIGFVPQDDIVHGNLTVEENLWFSARCRLSADLPKEEKVLVVERVIESLGLQAIRDSLVGTVERRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLRALRREALEGVNICMVLHQPSYTLFRMFDDFILLAKGGLTVYHGPVNKVEEYFSGIGIVVPDRVNPPDYYIDILEGIIKPSAGSGVTHKQLPVRWMLHNGYSVPMDMLHLIEGMSAQTGNIPTQGAPNPNAKLTVAPSFAGELWQDVKCNVEMKRDNLQLNLYNSNDLSNRNTPGSFTQYRYFLGRQVTRLRIFQLIRLREARTQAVDFLILLLAGVCLGTLAKVSDESFGATGYTYTVIAVSLLSKIAALRSFSLDKLHYWRESASGMSSLAYFLSKDTVDHFSTIIKPLVYLSMFYFFNNPRSSFTDNYIVLLCLVYCVTGIAYVLAIFLQPGPAQLWSVLLPVVLTLLATYDNEEDSKYVKFLSDLCYTKWSLEAFVISNAKRYSGVWLISRCGALHSYGYDLKHWYQCLVLLIVTGIISRMLAFFCMITFQKK >RHN77577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7832741:7834777:1 gene:gene1044 transcript:rna1044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MGSVSLKIGDGTARFKRATLCSSAVNILMIFCVITTNLFALYAFTSSSSKNHTTTNTNNSNLNLHKNISLVSEQVSLILREIDLSQKKLAQMEKQLLGYESIDLSRPNIANELKIFLQRHQLPLGKDSRTGITEMVSSVGHSCEKSADLLSQFMSYKASGACPDDWSVAQKLILKGCEPLPRRRCFSKTVSKSGFFPFPVSLWKPLGNNTFNWSGLNCKSFECLKGKKLSRDCVNCFDLVSGGNENQRFVKSKSKNDFLVDDVLALGNGGIRMGFDIGGGSGSFAARMFDRNVTVITNTLNVDAPFSEFIAARGLFPLYLSLDHRFPFYDNVFDLIHASSALDVGGKAEKLEFLMFDIDRVLRAGGLFWLDNFFCFSEEKKQTLTRLIERFGYKKLKWVVGEKVDSFGSGKSEVVLSAVLQKPVRG >RHN62649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44921460:44923368:-1 gene:gene25244 transcript:rna25244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLINTTLLLFPSFLSRPLLALVYPMCASIQAIETDSSAKTKDLISYWMLLSLIYLFEYAFMDFLLWFQLWPYIKLMIVLWLIIPDFGRTTYVYNNLIRSTIPQIVTWRLNSYWRDCFAEKDNFLLHAERYMKENGTETLQKLISSKNTMCMPDAEVTNETIDTNSEEILKRNGERLQIEYKDIKDLEATEKIDFPPTKQTTYADIVASQKSSSSIEETKGTAESDTASGELPQSSATQKEVPKKWTCALCIVTTSSEKTLNSHLNGRKHKANCELALKAINLHEEPFRRINSKIICIVCNVLISSEEYMASHLIGKKHLSKIQT >RHN41406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29744136:29745011:-1 gene:gene47724 transcript:rna47724 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQAQQQQQPQQPVQVYPTTNTNQPSSHHSNGSFGTVFIVLAIILVISVVACFLGRLCNRRYNKNNDNQNRQHNSLQRRPVKPSNRQQQIHDFQTREDDIELGVDKRMPPPIITRPRGLAFEPPRTGSQPHGNMKGSQPHGNMKDFEMKSDHESDQHRAGL >RHN45464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21165059:21165300:-1 gene:gene39809 transcript:rna39809 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARARRVNDALVHFIIKSIEGSAQVEEGVAQVEEKEPKFIIIIQECDSGIIKA >RHN59836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13872264:13873052:1 gene:gene21997 transcript:rna21997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAT/LH2 domain-containing protein MKNHSLEFFLLLTLFLHANTGDSNECVYTIYVKTGSIIKGGTDSKISIRFDDAKNQSVWIPNLKSWGAMGEGHNYFERGNVDAFTGRGPCINSRVCRLNLASDGSGYHHGWYCDYVEVTSTGPHKPCSQTIFYVDQWLAKDVAPYNLSVIIDRCRLTAPHSSSSA >RHN80042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34567242:34568045:1 gene:gene3918 transcript:rna3918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MEGDHKLVSNSTNGNGNGNGNSDQIKYRGIRRRPWGKFAAEIRDPTRKGTRIWLGTFDTAEQAARAYDAAAFHFRGHRAILNFPNEYQAPNSSSSLPMPLTMPPPPSSNPPPSSSSSSSFSSYTVDDGFDELEFLDNKLLQELLQDGTQ >RHN46183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29444096:29444422:-1 gene:gene40627 transcript:rna40627 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTHLLFIACHVYWRPNGKLTPGLFWTYGLICKKVNMRTNLQ >RHN81310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44745045:44746919:1 gene:gene5328 transcript:rna5328 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTLFIFLKRNTYVKISPSLSSLTREPTSLHPFPQILSSPLGSLSLSHSESLLHLHEISHTLSTLSSLQQSPLILSLLHFSVHDSLSREIECLFDGVTYRLNIYHYFLLWMSNFWCSALFI >RHN46574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32896118:32898133:1 gene:gene41075 transcript:rna41075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MESQQSHNQLHVTFLPHPSPGHMNPMIDTARLFAKHGVNVTIITTHANASTFQKSIDSDFNSGYPIKTHLIKFPSAQVGLPDGVENMKDGTSFEILGKIGLGISMLQDPIEALFQDLQPDCIVTDMMFPWTVEAAARLGIPRIHYYSSSYFSNCAAHLIMKYRPHDNLVSDTHKFTIPGLPHTIEMTPLQLPFWIRTQSFATAYFEAIYESQKRSYGTLYNSFHELESDYEKLSNTTMGIKTWSVGPVSSWANKDDEKKGNTLGKEAEWLNWLNTKQNESVLYVSFGSLTRLDNAQIVEIAHGLENSGHNFIWVVRKKESDESENTFLQDFEERMKESKKGYIIWNWAPQLLILDHPATGGIVTHCGWNSTLESLNSGLPMITWPMFGDQFYNEKLLVDVLKIAVPVGAKENKLWTSTSSEDVVVKREEIAKAVEILMGSDQESKAMRVRAKKLGDAAKRTIEEGGDSYNNLIQLIDDLKSLKKSKPLDEKVIR >RHN42909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41866030:41866646:-1 gene:gene49415 transcript:rna49415 gene_biotype:protein_coding transcript_biotype:protein_coding MFMRDSAMWWWTKKKTMEKKDVVSASGCRRVEEGNCIRVVTCNEGFENIADAVAALS >RHN56503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31383344:31384693:-1 gene:gene31911 transcript:rna31911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative costunolide synthase MSFALTTTMFAFLLFTFMYFLFKLYLHPKQKTLNHKKPPGPPSLPIIGNLHILGKLPHRTLQSLSKKYGPIMSLQLGQVPTIIISSSKAAESFLKTHDIVFASRPKSQGSELMLYGSKGIVFSDYGPYWRSVRKFCTLKLLSASKVEMSGPIRKEELSILVNTLKKAALVGEVVNVSEVVENLIEDIVYKLMFGRSKYEQFDLKNLVQQELVLIGAFNLADYVPWLKIF >RHN56082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27491366:27492644:1 gene:gene31411 transcript:rna31411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDKTLKYMYTLISFISLFFIAKNDAVYIKCKTDADCPKSESTIFAMKCNNYRCIYDYIHKRNSYAT >RHN60287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24686057:24692576:1 gene:gene22572 transcript:rna22572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pheromone shutdown, TraB MTHHLFTRTQLPQLAPFIHHHLKKTVIIPQFFSTTLRRTHTHTLPPMDAHPPDSSSATPAAAVEDFIHIHDLKMESLSDSMVRIDDHSSDSVDAAASSAPPDAGEDAVAAVDSDRQKVTLPEELSRNVIVLSCESSAEGGVCDVYLVGTAHVSEESSKEVQAIVSLLKPEAVFLELCSSRVQVLTLQNLKVPTMREMIELLKKNRNMFEVLYGWFLAKIASKLEVFPGSEFRVAYEEAIKYGGRVILGDRPVQITLKRTWSKMPLWYKTKLVYSLLFQAVFLPSSDDLNNMLKEMDDSDMLTLVIQEMSKEFPTLMETLVHERDQYMSSTLLKVASESRSVVAVVGKGHLQGMKKNWKQPVVIKDLMTVPSPKPAISAMRVFTSVGVGVAGVAIISGIYLSCKK >RHN58033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43231621:43232702:1 gene:gene33638 transcript:rna33638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MKIRDGVNGDTGGWFGERVSKVVGCGNNTLFLCDNWLGGVPLCRRFGRLFELATNKLSTVADMCVHGWEDGGEAWSWRRRLWVWEEEMLEECRQLLDVVFVRVNVLDRWQWDPDVHDGYTVRGAYQILTTPIQSTFDVTRNLIWHKQVPLKVFIVAWRLLKDRLPTRINLFRQSIVQVAGVMCVAGCGHEESATHLFIHCDVYSSLWQHIRAWSGGSRPVEHH >RHN75309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41134762:41135597:1 gene:gene11490 transcript:rna11490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucoside 2''-O-glucosyltransferase MPIPTLWSCFITEGLVSKCQLVFLPRVSSDHIINARMMSKKFKVGVEVEKGDEDGLFTKENVCKAVKIVMHEGNEVGREVRKNHNELRKFLLSDNLESSCNRRTPHTRLLHILSDAKAWNPTKSPEQLNNKLRQIRKYLK >RHN63422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51175531:51177105:1 gene:gene26119 transcript:rna26119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKNDFTNTITTFLHLQQKQNPISILNYQYLTSIKTLTPHITCLAVHRNLLYAASLNLINVFDLSSHHTLIDTFNETSTSGFVKSITFSGSRVFTAHQDCKVRVWLITSSKTHRLLSSLPTVKDRLRRCIVPKNYVSVRRHRKSLWIQHNDTVSGLAVNKKEKLMYSVSWDKTFKIWDLSSADGCIKVWKMMDDQVKRYTLVSMVGKQKPAVNALALNSDGTVLFSGGSDGTICQWQDKQNDIVLKEKLRGHSGAILCLVNVDDLLASGSADLTVRIWQREKGGSSYCCRGVLEGHEKPVKSLVAISGGGEDDDEVVTLFSGSLDGDIRVWEVFGLV >RHN78510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15836429:15845154:1 gene:gene2085 transcript:rna2085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CCR4-NOT transcription complex subunit 11 MSIRKLSFEESRSLYSLIKQDQRPLNEILSEFKSTIPHSRHYTFCSYLLILLQDNKVLTTTERLIVFALLVEAYSSQNPASNPFISFIINASCHEGSTKIEKAFILQLLGVDVSHNGKEFLKQSASDYVTRFDPSLNDFPSLDQLKQQFSDKVNQEPYHHLFKDGFVKNVVPDPDVPPNCDTDSSEFDQRPGTQPKLGTGNKDEAVVGLLSNISLEGLNPHWIRPLPPRLPILDGELVWVNPNGKPFPSMVNAFQLVGVNPNDYPELMWDNGMCVDTSRGAAVRDLIAKAQKGALAPVQQEQFLLELARDPKVVYHCGLTPRKLPELVENNPLIAVEILTNLIKSPDISEYFTVLVNMDMSLHSMEVVNRLTTAVELPSEFIHMYITNCISSCVNIKDKYMQNRLVRLVCVFLQSLIRNNIINVKDLFIEVQAFCIEFSRIREAAALFRLLKSLE >RHN48418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47326352:47333748:-1 gene:gene43132 transcript:rna43132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MRDFVILGSSIITPRSSSSSSSTPQHRHTIIKSHKSSLPNLSPRNNSTSLLQTPLILKEFETVLHGELDSDVDVELLANAVLIGIQDRNVRTVIDSLNKVEGLSEISLSTHLDASAIANECCHMVTCGHIEEAVELMEVLSRFQLSIAKLVQPSDIIKRCILSRKPTLAVRYASLLPQAPVLFCSIISEFSKRRDLVSALKAYDALKKNLKGPNMYIYRAIIDACGLCGDFMKSRYIYEDLLNQKITPNIYVFNSLMNVNAHDLSYSLNLYQNMQLGLKPDMASYNILLKACCVAGRVDVAQDMYRDLKHLESVGQLKLDVFTYSTIIKVFADAKLWQMALKIKRDMLSAGVSLNTIAWSSLINACAHAGLVEQAIQLFEEMLLAGCEPNTQCFNIILHACVEGCQYDRAFRLFHSWKGSKMLVPLDESCNSNSEQGGMHNVTTVPTGISSSHILSFTERFPFTPTTTTYNILLKACGTNYYHAKALINEMRTVGLSPNQISWSILINICGASENVDGVIEILRTMVDAGIKPDVISYTTAIKVCVESKNFTQALTLYREMKSYGTHPNLVTYNTLLRARSKYGSLREVQQGLAIYQDMRKAGYKSNDYYLEELIEEWCEGVIQDNEEYEGEEFSSSKKSEIERPQSLLLEKIASHLLKRVADILAIDVQGLTKVEARLVILAVLRMIKENYAIGHSVKDDILIIIGATKADENPSKEILVVQEAMVKLLTNELGLEALPATTRFAPSHTPKLQNPEFSNLTIEALPGENALPTSMGFHTRRPAVLQRLKVTKKSLHRWLQRKSNVK >RHN60017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16636604:16641411:1 gene:gene22205 transcript:rna22205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-transporting ATPase MISWMYTTGTRGTGDYMLSKEIQEAGFGIVPDELASIVRSHDTKCLEHHEGVEGLAKAVRVSFQGGVSSSDVKHRQDIYGHNRHTEKPSRSFWMFVWDAMQDLTLVILILCSVVSIGVGILTEGFPKGMYDGVGIILCIILVVFVTSISDYKQSLQFKDLDKEKKNVSIHVTRDSRRQKVSIHDLVVGDIVHLAIGDIVPADGLYISGFSLLIDESSLSGESEAVNVDQQKPFLLCGTTVQDGSAKMLVTSVGMKTEWGRLMETLNEGGDDETPLQVKLNGVATLIGKIGLGFALVTFLVLTGRFLVVKISHNSITKWDLNDASMLLNFFATAVIIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGCICTDKTGTLTTNQMVVDKIWICEQTKPIKTGNRDDGNLLKNSISEEIFDLFLQSIFQNTASEVVKGEDGKNKVMGTPTESALLGFGLILGGDTKFYNDKYKIVKVEPFNSTRKKMSVLVSLPDNNNKTRAFCKGASEIVVKMCDKVVNSEGKVVDLNEQQRNSINEVINGFASDALRTLCVAFKDIEASSEDGNSIPEDEYTLIAIIGIKDPVRPGVKEAVKTCLDAGITVRMVTGDNINTAKAIARECGILTDGLAIEGPDFRNKTQREMEEIIPKLQVMARSLPLDKHTLVKHLRNDFNEVVAVTGDGTNDAPALHEADIGFAMGIAGTEVAKENADVIVMDDNFTTIVNVTRWGRSVYINIQKFVQFQLTVNVVALMLNFVSACVSGSAPLTAVQMLWVNMIMDTLGALALATEPPHDGLMKRPPIGRNAKFITGVMWRNIIGQSLYQTIVLLVLKFRGEKILKLNGPDATSILNTVIFNTFVFCQVFHFLTSALHFLFEQ >RHN59617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12540003:12540202:1 gene:gene21673 transcript:rna21673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf3 MTRSRINGNFIDKTFTIVANILLRIIPTTSGEKEAFTYYRDGAI >RHN39016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3571332:3573710:1 gene:gene45001 transcript:rna45001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDNFYTQPATIVLAILLVLLYNIWRVKKPSNKFKKGMKPPEPSYALPLIGHLHLLGNQIPLARIFASFSDKYGPIFQIHFGAYPALIISNKEAIKECFTTNDKILASRPKSSHGIHLGYNYAGFGFAPYGPYWTKLRKLTMLELLSSRRLESLRHVYESEIDTLIKDLSLYVKVGKVKVVISEWLERLTFNIMTKMICGKRYFEYLKDVDDVEAHGNIVKLIKEFMHISGEFVPKDLIPILGWFGFEGKVLKSMKRIARDLDAVVGSWVEEHDVEIESKSNEKYDFIDVMLSVIEDDPTSGHNRDTIIKANVMNLMLAGSDTTSTTMTWILAILMNNMNALKHAQEEIDHHIGRDRRVESSDIKNLVYLQAIVKETLRLYPPGPLLVPHEVTEDCYIQDYYVPKGTRVFANVWKLHRDPSIWLEPEKFLPERFINENGEIDHESHHFEYLPFGLGRRACPGSLFATQVILITLARLLHGFDLEVPMDEVVDMREGLGITLPKLTPLQVVLTPRLSCELYRL >RHN52119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31664524:31664856:-1 gene:gene36747 transcript:rna36747 gene_biotype:protein_coding transcript_biotype:protein_coding MSELQKLKAVFGTPFFKKIIKVMITPTDTLDDLKAQLNTYFEYLCENQYTRHLLDRIPCMVLRAGKDEDMWKMDIYEPWLIRDDSDVSFMFRYMLKIIYYICVFVTFANV >RHN42255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36741480:36756706:-1 gene:gene48672 transcript:rna48672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CTLH/CRA to LisH motif domain-containing protein MESTPVNWEALDSLVIEFAKLENLIEHSSSAPSPSSPSSSSYHSRLIIRQIRRSLETGEIDTAVDLLRLHAPSILNDHRILFRLHKQKFIELLRKGTNEDRDSAIECLRTSLAPCALDAYPEAYEEFKHVLLAFIYDKEDKTSPVANEWSEKRRLDLAGFMSSMLRAHLNAYDPVFSMALRYLISIHRAYCLRQGITSPISDLTERLLLEERDPPATPQDILYEVPPFDEVDIQALAHAVELTRQGAIDSLRFAKGDLFLAFQNELCRMRLDVPFLDQLVREYCVYRGIVDSASGKQHMPEPVKSNQQDPGCCSSRDCSIEVDCNAGKQSDGETSVTNAHMDGSPENNADVTSMQGIDFEVRCPSELASIHEDCSTSGSLHLEDPSVLHRSRLPGSGERATRKRWRGRYEDDSYMANASLEEHNKQERSISTAVSTLTKEKQGSEKLSVLDVSNVEDKYEILLGMKELASKGMAAEAVEEVNTIDPNFFGQNSVLLFQLKQVEFLKLVSSGDYNAALKVACSHLGPLASSDPALLKPLKETLVALLRPNEDALGNALPLHALAASLQVAVGRRLGIEEPQLMKIMRATLYSHNEWFKLQMCKDRFDGLMKIDSLKEVITPVYTSKSYGDSCTNGSSQVTVSSGTKISEDGSSPTQESSRDVICDENAILKVMEFLALPRADAIHLLAQYNGNAETVIQQIFA >RHN53164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42469808:42472011:-1 gene:gene37937 transcript:rna37937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDAPLQSVPVVIPSDLIAIILTFLPVKTITQLKLVSKSWNTLITSPSFIKIHLNQSSQNPNFILTPSRKQYSINNVLSVPIPRLLTGNTVSGDTYHNILNNDHHFRVVGSCNGLLCLLFKSEFITHLKFRFRIWNPATRTISEELGFFRKYKPLFGGVSRFTFGCDYLRGTYKLVALHTVEDGDVMRSNVRVFNLGNDDSDKCWRNIPNPFVCADGVHLSGTVNWLSLREDARYIEGSMEPLTPHVDHFLIASLDLSTETYKYLLLPKGFKELPCAEPYLRVLLDCLCFLHDFRKTEFVIWQMKEFGVRESWTRLFKIPYVDLQMLNLPIDVQYLNEYPMLPLYISKNGDKVILTNEKDDATIIYNKRDKRVDRARISNEIHWFSAMDYVESLVPTPWKSGYIEAEAEAEEEEEEEKDEDEDENEDEDEDEDEDEEVAGGFFFMMIK >RHN63537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52016102:52022523:-1 gene:gene26244 transcript:rna26244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase Do MGDNKRKRGRKPKTPETLETTATTTTTTTATTTVADNPSTIIDDDFSVGNVELIDNGPPSQLGRGRRGRPKKHPAIPEKPPAGRRLTRSDSNGAVPAVPPPVEAGGATPMDADPIWERLSTRVLPSMDSVVKVFCVHTEPNFSLPWQRKRQYSSSSTGFVISGKRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVDDDEFWQGMSPVDFGELPTLQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNGKGTCVGIAFQSLKHEDVENIGYVIPTPVIMHFIQDYEKNGGYTGFPILGVEWQKMENPDLRMAMGMKSDQKGVRIRRIDPTSPESNVLKPSDVILSFDGVDIANDGTVPFRHGERIGFSYLISQKYTGDDAAIKVLRSSNVLKFNIKLDGHRRLIPAHSKGKPPSYYIIAGFVFSTVSVPYLRSEYGKDYEYEAPVKILDKLLYAMPQSPDEQLVVISQVLVADINIGYEEIVNTQVVGFNGKPVKNLKSLAAMVESCDDEYLKFDLDYEQIVVLRTKTAKAATLDILATHCIPSAMSDDLKS >RHN82150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51557927:51560845:1 gene:gene6276 transcript:rna6276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionyl aminopeptidase MAEENSHSEILSQENGTQEVPPTLVEEGVAKLSLSPENEEKEDETKEVSKKKKKKTKSKKKKGPIEQTDPPSIPVLDLYPSGDFPEGEIQQYKDDLQRIRNS >RHN65999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7425624:7426381:-1 gene:gene13908 transcript:rna13908 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVSCPVSVSVSVLHRSLLCLLASLYVVAIGLGLKVGKLTVFFYVMVSFGFGDDDEELVGCFCRFVFLLLSACGDLKFGSIPVATGVERSVGISHCLVDSNAAIRS >RHN58489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2177220:2177928:-1 gene:gene20408 transcript:rna20408 gene_biotype:protein_coding transcript_biotype:protein_coding MIDHLLSLPIFTLSLGPVLPEYFAFANRAIDSMKMMRAKCMDASTYLLMFLEELFEIDIVVFADQEHFAVEVRTLMLGVFAAYLVAKDFAAAATPVAVASVQAGYESATSIAAEKPVSGTAATEMATILMDCVAIVAALKPLVVAASVQNDGLFGCLGCQTQFQTAVAVVTPIHEIILLWMQPLGFLYVTNIVLLSKHLSMLAIRCI >RHN72715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10131045:10133055:1 gene:gene8464 transcript:rna8464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine/threonine-protein phosphatase with EF-hands MNLINAVTVSEVEALYELFKKISSAVVDDGLITKEEFQLALFKTSNKRSLFAERVFDMFDTNSHGALDFKEFASALSVFHPIAPIDDKIEFLFRVYDLKQQGYIERDELKQLVVATLSESDMELSDEMINGIIDKTFEEVDTNHDGKIDKEEWKNLAMQQPSLLTNMTLPYLTEITTAFPSFIFHTQVDDN >RHN79005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20853511:20855145:-1 gene:gene2681 transcript:rna2681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MGIVGFGSFKHLKLSEYPELKEFWYGQLEHNAFKSLKHLVVHKCGFLSDVLFQPNLLEVLMNLEELDVEDCYSLEAVFDLNDEFAKEIVVQNSSQLKKLKLSNLPNLKHVWKDDPHYTIRFENLIDISVEECESLTSLFPLSVARDMMQLQSLQVSQCGIQEIVGKEEGTNEMVKFVFPHLTFIKLLNLQELEAFYVGVHSLHCKSLKTINFCGCPKIQLFKAEPLRYKENSVNDELNISTSQPLFVLEEVRVALNIILALNIYAQI >RHN77640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8361391:8362143:1 gene:gene1113 transcript:rna1113 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSKLYLPSNSSVPGNRISRLKKLVASSKPNCDGSDCYLVGLLSDFCHIVIYKLFDQSWTIVEPDRDSKTYFMDVEIIGTKLYASNPSSYSILVYDLKDSTNGPPKAKVLVKLPKRPSELSIIGNHCLCFLAKDEALRELYFIYTFCNAEFETQDLVSDSLIITSAFVKPPRVTGFELFKLVTNKDHIEWKNVKLEDRVVFVSNYKSMIMSRDELDWNKKLIKGNSTYFVITYTHTKILASLMFFVICY >RHN52562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36652561:36653693:1 gene:gene37254 transcript:rna37254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MTMFCSNFLSNSLRRSYYDGASLILTESKGNESDVFYSLAGEKFEKKVKLDFSNPFEENYDIGIFGFGSISGILCLHQYDEEDHDQILLWNPATQTIKLLPPSEVEEAESYIPDLLDIYVMSRLHGFGYDLVKNDYKNFGPSWEMYSLMSDSWKVLDVDMPYSSDRTEGTQVYMDGVCHWLCEKDEKHSPAGPCLVSFYLSNEVFLITPISSDEDDCFDVGAKWINLAVLNGSIALISFQKKTTTFHISILGELSIKESWTNLFNVGPLACVQRPIIMGTKGEIFFIKKDMEVAWFDLSTQMIEQLGYKAESLRCRIVNYKESILPSLKKEKKRKHSSITGISS >RHN82645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55429569:55432872:1 gene:gene6821 transcript:rna6821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAD synthetase MVLFCVNRISHHFRDCELLHFGVGFRFHSFHLSFPRSLKPCLPGNAISVTNRSNENKCYSSKYSGGAGEIPLLFDSSFSQQEDDREIISDGISAVAGGIVALGKFDALHIGHRELAIQASRAGPPFLLSFVGMAKVFGWEHRAPIVAKCDRKRVLSSWFPYCGNMVPEEFEIEFSSVRHLSPRQFVEKLAKELRVRGVVAGENYRFGYKAAGDASELQKLCEEYEMEAYIIKSVMDKNQYSTNINSSIGSKERGQVSSTRVREALAVGDMRYVSELLGRQHRLVLMATDRETFSFSQYKVSAPKSCLLNLAPKEGLYEKCSLLLGQENVMLCRVIIDSKFVHIETDYGGSSDIFGTHDLQYLLIEFGDSST >RHN45653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24152235:24152737:1 gene:gene40034 transcript:rna40034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MARRRMMMQNLIGKSFTNLTNISMNITKHLLSNQKLKEENVVFSPLSLNTVLSMIATGSEGPTQKQLLSFLQSESTGDLKSLCSQLVSSVLSDGAPAGGPCLSYVNGVWVEQTIPLQPSFKQLMNTDFKAAFAAVDFVNKSK >RHN50839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10993855:10995130:1 gene:gene35177 transcript:rna35177 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMQKVAKKYEENGTVGIAEVITGIDLYVCPRSDTIITILAKYGGETFTENKDSLIGCVVWRKNQINLVNSFHQKSLGSSRKKDPILVWSPIRTPLESSRKNDYSPVWSPIRMSFESSTKKDSSPVWSPIRTPFESSTKNEPVLDITKQNLRLFGYYSDIVKSEDTPNISQSVCAEAETSNLNTTNLKYVRPVLVPDVTTTVTKQSLPSVILQKEPEFETSTICSETLLEQEMVQDMDISPPESPQKNQYDNISNSTTLFAAAPQPQRNPFNACWYPPAPVSTYGGPMFHSMQTNFTQPSIHPPFPTHKPDFTYLGPTLRREHAHEYPHSHNFMRPHGQF >RHN57580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40264995:40266982:-1 gene:gene33149 transcript:rna33149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MPKLPSPPSQLIQTIASTSPNPSIIATLIHFLVQSKKLPEAQSLLLRIIRKSGVSRAKVIDSLISSSSSNLNSNQNVVVFDLLIRTYVQARKLREGFEAFQLLRKRGFCVSINACNALLGSIVKVRVYLSEMEAKGFYADLVTYNTVINAYFGKG >RHN64476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59405785:59409446:-1 gene:gene27295 transcript:rna27295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanine deaminase MEEVNVVETKDGTVSVATAFAGHQEAVQDRDHKFLRRAVEEAYKGVDCGDGGPFGAVIVHNDEVVASCHNMVLNFTDPTAHAEVTAIREACKKLKQIELSDCEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKATLEIKRADGNEAVIAEEVFEKTKAKFQMY >RHN41620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31559072:31568177:-1 gene:gene47962 transcript:rna47962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MEENDTQTYPLLTPLNNQQHDQINTAVFTAKSDDISPITGAGDFAREFLNESKKLWYLAGPAIFTSISQYSLGAVTQVFAGQVGTLQLAAVSVENSVIAGFCLGITMGMGSALETLCGQAFGAGKLDMLGIYMQRSWLILNATAIILCFLYIFASPLLKLIGQTTAISEAAGVFALWMIPQLFAYAMNFPIQKFLQAQSKIMAMAWISAAALVGHTFFSWFLMLHLGWGLVGAAVVLNSSWWFIVLAQIVYVLSGSCGEAWSGFSFQAFQNLWGFVRLSLASAVMMCLEVWYFMALILFAGYLENAEVSVDALSICTNILGWTVMASFGVNAAVSVRVSNELGASHPRAAKFSLVVAVITSFALGLILSMILIIFRKQYPVLFSNDPEVREVVIELTPMLALCIVINNIQPVLSGVAIGAGWQSAVAYVNIACYYLFGIPLGLFFGYYLDFGVLGIWSGMLSGTVLQTLVLFFMVYRTDWNNEASLAEERISKWGGQKVIKMNDNGKDLQET >RHN79753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31877099:31877928:1 gene:gene3585 transcript:rna3585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MVLSFVGKIQKGISHFVHKRHDFSEELMAEDVREGYFAVVAMKDGETKRFIIGLEYLSDPEFLGLLDQAQEEYGFIQKGAIAVPCLPQQLQNILDGHR >RHN67740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29911355:29916119:1 gene:gene15963 transcript:rna15963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MSTVSSFITFTFFFFTIFNIASISEAIGVNWGTMASHPLPPIKVVKLLKSNNINKVKLFDAKPDVLQALSGSNIGVTVGIPNAFLKSLNSSRKVADTWVHDNVTRYVSNGAKIEYVAVGDEPFLKSYGEQFHPFTVGAAMNIQAALKKAKLDNKMKVVVPCSFDSFESGSNSSTEVHFRSDINKTMIELLTFLDKHESPFFVTISPFLTFLQTKNISLDFSLFKETAHPHKLGHKTYKNSFDMSYDTVITVLSKVGFPNMEIVVSKIGWPTDGAANATSYLAETFMKGLMNHLHSNLGTPHRPPHETYIYSLLDEDQRSITAGDFERHWGLFTFDGQSKYHVDLGQGSKSLVNAQNVEYLSSKWCVVNNNEDLSNATAKALEACANADCTALSSGGSCFNITWPSNISYAFNSYYQEHDQKAESCDFGGLD >RHN78931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20083958:20086560:1 gene:gene2599 transcript:rna2599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MDTFEPQIDFESLFAEYEVADFLQEDDINPSVSVADTPSPLSEIENLLMSDAEGIASSSSDSDYHKLLQDILVDPIPLSDDQGFVLSDEARVDPVTPQEVPPEPVSKKQIRQMRNRDAAVKSRERKKVYVKNLETKSRYFEGECRRLEHLLQCCYAENHALRLCLQSRGGFGAPMTMQESAVLLLESLLLGSLLWFLGIMCQLSLPLPLSLTTVLPPRENMDHKGLRRVALKGPNSNNISDYFLTRSFVKSRRCQASRTKMKFDFIML >RHN59350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9775064:9776115:-1 gene:gene21369 transcript:rna21369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major sperm protein (MSP) MVLLQVRSTCGNAQKYYVDPSCIGVVLPRSTCDIIVTMLGQKEAPLDMQCKDMLRIQSIVANTKLFLYIV >RHN67510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27843303:27844148:1 gene:gene15705 transcript:rna15705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin D MNMLGLHDYEKLICNEKKFTDYDDDLYKFYHLEESQIRANSKGFKVDFQMRMNVVDWLIQTHYEQKLMPETLYLCVNILDRVLSKIKFEVTTMDKLKLIGLSSLLLASKYEQRSAVGVYDVEYMADYIYMPEEICQMEKLILQELGWILTVPTPYVFLVRNMRACLLSDQDKIMENMVFFFSELSLTNQSIVCDYKPSMIAACSVYCARFVVGRYPFWSNDLKMCTGYSEEKLLSCANVMIKSCSQICGDGIMEVFKKFSSLYQSRVSCIAQEYLDLRNLY >RHN39471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7364134:7366901:-1 gene:gene45495 transcript:rna45495 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP92A29 MEPVQLLELPSWVTLFATFVILLLFSRRLRRHKYNLPPGPKPWPIIGNLNLIGSLPHQSLHGLTQKYGPIMHLYFGSKPVIVGATVELAKSFLKTHDATLAGRPKLSAGKYTTYNYSDITWSQYGPYWRQARRMCLLELFSAKRLESYEYIRKQEMHDFLHKLFNSKNKTILVKDHLSTLSLNVISRMVLGKKYLEKTDNAVISPDEFKKMLDELFLLNGILNIGDFIPWIHFLDLQGYVKRMKTLSKKFDRFMEHVLEEHIERRKNVKDYVAKDMVDVLLQLAEDPNLEVKLERHGVKAFTQDLIAGGTESSAVTVEWAISELVRKPEIFKKATEELDRVIGKDRWVEEKDIANLPYVYAIAKETMRLHPVAPFLVPREAREDCKVDGYDIPKGTIVLVNTWTIARDSEVWENPYEFMPERFLGKDIDVKGHDFELLPFGAGRRMCPGYPLGIKVIQTSLANLLHGFNWTLPNNVKKEDLNMEEIFGLSTPKKIPLEIVVEPRLADHLYSL >RHN65844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5758427:5763212:1 gene:gene13736 transcript:rna13736 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKENMEKREFSELVSTMNFLADEVVSFGKKTEIEVDAFSEFSMLVEKLPPILNELSDNSVVLDKPSIRKSLESLENELRRAKALTKSSNLRHPIKQIEDMTHDIGRSLGVLLVASLEVSIDFREKIGTLQRQMMNARFDGSSSMTSSPKSEFFMSETRMVGEIEEEIVHVSIDDVILQLKNGNDEEFAVSLMRLKEYMRSGKLDGGLINEEATIAFLFKRLVSCKADNRLSIIQLLRSIAFGNDEKKEKMVEVEFLSAVVKSLTRDSEERREAVGLLLDLSNLQSVRRRIGRIQGCIVMLVAILNGDDPVASHDAAKLLDILSSNNQNALHMAEAGYFRPLVQYLKEGSDMNKILMATSLSRLELTDHSKLTLGEDGAIEPLVKMFITGKLESKLSSLNALQNLSSLTENVQRLIRSGITGSLLQLLFSVTSVLMTLREPASAILARIAQSESILVNEDVAQQMLSLLNLSSPIIQGHLLEALNSMSSHLGASKVRRKMKEKGALQLLLPFLKENNIKIRCKVLNLLYTLSKDMTDELTEYLDESHIFNIVNIVSSSTSDSEKAAAVGILSNLPASDKKVTDILKRASLLQLLISILYSSNASKSPSTNNLIENATGVINRFTNSSDKKLQLVSVQHGVIPLLVKLLSTSSPITKSRAANSLAQLSQNSLSLRKCRKSRWLCVQPSTNAYCEVHDGYCFVNSTFCLVKAGAVSQLIEMLEDKEKEAVEASLVALSTLLQDEIWENGVNFIAKLSGVQAIIKSLEVGDAKVQEKALWMLEKIFKVEEHRVKYGESAQVVLIDLAQKSDSRLKSTVAKVLAELELLQAQSSYF >RHN49198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53219159:53223483:-1 gene:gene44004 transcript:rna44004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MVKGRKVSSKRDLRKRVRSKGSGSDDSDEDYVVSDDAGNVSDCPEDDYFSLDGCAAEDSFDGFIEDGDSEKEVIQRVTKFNRSRAKTGVRRQRTNVSKASRKRQRVTYAEQPEEEEEEQDEEKGEEEEEVEEEEEEEEEDDDDYNDVDEEEDEEEEEEEEEVEVEVEEEEEEEEKDEVEVEEVGENDEDDEFKPEEEDFTDEEEEETRGRKKKKDNSIYEDEEFKPEDEDYTDEEEEETRRIKKKNNGTKMGKKKALKKRVSATSSRGRKRSGSGVSKKPKRKRRRKNGGSTRKVQCDDADDFLDNVPAIRTNSRKRSVLKRRRVILEDANSGSDCESRPSDYEFTISEEEKEQVREAVQLCGNIRRNLRRASLRMENEVVGLYEDLHQQWKPPARKGKEKIEESQGRKGKEKVEDLKSEVGKQVCGICLSEENKRRVRGVLNSCTHYFCFACIMEWSKVESRCPLCKQRFQTISKPARSTTAEEAVIQVPERDQVYQPTEEELRSYIDPYESVMCSECHLGGDDGLMLLCDICDSPAHTYCVGLGREVPEGNWYCDGCRPVALASLNSQAQESDLRAATQSLPSRPSPVHIRESIDLNLMSSPHTSFSQGFGHLSSSRFSGRSTEGASPMSGGGAPTLSERRWIHRQVQQLQIQQLRSIDRMASTTGRTNGVSATGTLSNLNSSEINREPSSQHIRTQDVGTSYHTFFEERLGNNISPFMQNGDPFSIRMSNSRGPVVQDSTMFSNGPVNAAIWPEILGTSTLSDYGPIHPCSSRSNIVTEVGIPATVKEEDNLHIVKERLQSMVKSHLKRLSQDADLGYSTFKDIARSSTHTILAACGLEHNTSEVCTVPPPSVCPHIELIAGGQMSMIKGCCSSCFDSFVGDVVKSILDTRMSSQWLRLGL >RHN57344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38263360:38264281:1 gene:gene32872 transcript:rna32872 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIQLLLSSTVSQVLQVENVTENLRGFMDPNLRDKYPLDLTYSMDEIAKRCVDHDLNSRTNVSGGFHGYVHDSILNIVFEIHLTSLNGPYLLPKFLIADS >RHN42404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38071628:38073890:-1 gene:gene48841 transcript:rna48841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (S)-2-hydroxy-acid oxidase MNITNVNEYEAIAKEKLPKMIYEYFASGAEDQWTLKANRDSFSRILFRPRILRDVSEIDLTTTVLGLKISMPIMIAPTAFQKMAHPEGEYATAKAASAAGTIMVASTGPGIRFLQLYVFKDRNVVIQLVRRAESAGFKAIIGRPVVFSLAADGEAGVRKVLKMLHDELEITMALCGCRSIKEITRDHVVTKLDRPRIAPRL >RHN49414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54651682:54653253:-1 gene:gene44241 transcript:rna44241 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEIVLQGGGVCENEFSDQEEELQQEHSDQKAEALAFKKRKRLTKQLSMCGTPGDMAWERRRRQTQRRRNSMHDCNDEDLNELRGCIELGFGFNEEDGQKLCNTLPALDLYFAVNRNLSPSPVSTPTTHRTTHSRSSSSIASPTGSIVDSDSWKICNPGDDPALIKTKLRHWAQAVACSVMQSHS >RHN81943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49988474:49992828:-1 gene:gene6043 transcript:rna6043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate adenylyltransferase MASMATLLSKTSFPSHSLFKTFDTHFAPPIRVNVAIGTKARTNQRLRVSSGLIEPDGGKLVELVVEESKRDLKKGEALSLPRIKLSKIDVEWVHVLSEGWATPLGGFMREREFLQTLHFNSLRLNDGSFVNMSVPIVLAIDDDQKHRIGESTKVALFDSKGNPVAILKDIEIYKHPKEERIARTWGTTAPGLPYAEETITNAGNWLIGGDLEVIEPIKYHDGLDHFRLSPSELRDEFTRRSADAVFAFQLRNPVHNGHALLMTDTRKRLLDMGYKNPVLLLHPLGGYTKADDVPLDWRMKQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPVEKRDLYDADHGKKVLSMAPGLERLNILPFRVAAYDKTQGKMAFFDPSRPQDFVFISGTKMRTLARNKESPPDGFMCPGGWKVLVDYYDSLALSSNGKVPEPVPV >RHN62497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43870223:43874660:-1 gene:gene25079 transcript:rna25079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclease S(1) MLPAVEGESEKMSPFSGLDELMCYYLLGLTRVLSFSRTVRNTLNMITLLVPLLLSLALPNVLAWGKDGHYAICKISQEYLSEDALFAVKQLLPDSAQADLASVCSWPDEIRHNYHYRWSSPLHYIDTPDFKCNYQYCRDCHDSYGHKHRCVTGAIYNYTMQLKLANADASSELKYNLTEALMFLSHFVGDVHQPLHVGFTGDLGGNSITVRWYRRKTNLHHVWDNMIIESALKKFYGSDLSTMIQAIQRNISDIWSNDVSIWEHCAHNHTACPDRYASESISLACKFAYKNATPGSTLEDDYFLSRLPIVEKRLAQGGVRLAAILNHIFTPKTRIAQA >RHN54792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12317300:12323643:1 gene:gene29878 transcript:rna29878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & lipid binding HD-SAD family MYGDCQVMSTMGGNVVVNSESLFSSPIHNSNFNFMSTMPFQPFSSSMKEEEGILRGKEEIMDQSGSGSEQVEDKSGNEQEINNEQQATKKKRYHRHTARQIQEMEALFKECPHPDDKQRLKLSHDLGLKPRQVKFWFQNRRTQMKAQQDRSDNVILRAENESLKNENYRLQSALRNILCPNCGGPCIMGPDMGFDDHQLRFENARLKEELERVCCITSRYTGRPLQTMAPPSSLMPPSLDLDMNIYPRHFDPMPPCTEMIPVPMLPPEPSQFQEGGLLLMEDEKSLAMELAASSMAELVKMCQMNEPLWIRSENDREVLNFDEHARVFQWPLNLKQRNELRNEATRDSAVVIMNSVTLVDAFLDAQKWMELFPTIVARARTVQIIASGASGHASGTLQLMHAEFQVLSPLVSTRETHFLRYCQQNAEEGTWAIVDFPVDSFQQNFHNSCPKYCRRSSGCVIQDMPNGYSRVTWVEHAKVVEKPVHQIFSNYVYSGMAFGAQRWLGVLQRQCERVASLMARNISDLGVIPSPEARKSLMKLANRMIKTFSLNMTTCGGQSWTAISDSPEDTVRITTRKITEPGQPSGVILAAVSTTWLPYTHTKVFDLLRDERHRSQMDALSNGNSLNEVAHIANGSHPGNCISLLRINVASNSSQNVELMLQESCTDQSGSLVVYTTVDVDSVQLAMSGQDPSCIALLPQGFMIVPMVSSNADTSSEQGVTGTPSSTASANAANSGCLLIMGMQVLASTIPSAKLNLSSVTAINNHLCNTLHQIESALCSSSNNNCFVGSCNEPTTSAPSK >RHN78142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12240189:12240639:1 gene:gene1664 transcript:rna1664 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRNGKHVGPKRKKGGSKKVANQVIEGNTSSSVIDEMNYVNQQNFILQRIAEAMSQLEKKRKENRENELNLLMMGCMHNPNMLANLKTAEDSNDFAEVVDKKLNEVDTKIDELNRSDVI >RHN54693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11337325:11337594:1 gene:gene29767 transcript:rna29767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 2-beta-dioxygenase MQVWSNGRFCNVKHRVLCKEPTTRYSIATFMLGPRKGNVEAPKELVDHDHPRLYQPFTYEEYKTLRVSIKKARDKSLHDCEVLKLLHLV >RHN60496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27363535:27364199:1 gene:gene22813 transcript:rna22813 gene_biotype:protein_coding transcript_biotype:protein_coding MKANNLSYINITKRRETICLGENKHTQVTTKTYADTYHIQGRGERGINIIFVPPIGLFRLFDPMTTARVALGG >RHN42905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41838547:41841895:-1 gene:gene49411 transcript:rna49411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA-splicing endonuclease, subunit Sen54 MEGNKWGYSSNEDTSNDDDDVNLPNASDEEEDLHFTSHSLPKSQFRNIKSKARWNEEMGMAEVIEKNGKMWVSTGIVRSGKIYTSFEETLYFMELGALHLVDSENRSISIIEMYEKVSSRMTGCCWELFEAYRHLKSLGYIIGRHNFAWSLKSIRSSQKQVALEGTEESKQIVDMGSKVEHSISKLFGELKINELKPDFDIYLPDSRFRKSSPGDPNFLLYLSRGHLPSRAEIETLEKQCEGIPLKICLVTEGRVSFFSFDKVELPALP >RHN39800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10602422:10606488:-1 gene:gene45868 transcript:rna45868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, kelch-type beta propeller MAGEIAWISHYDDDIMQKETEDFDLELGEEIDEEVSVVSVDIILPDDLLERILSYLPIVSIFRASCVCKRWHTVFERFLSNPSHLLPQKPWYFMFTSSDEPSGHAYDPNLRKWNCIELPFIGTSNWFISSSYGLVCFMDNENRTELCMCNPITKSFRMLQKPPGMNSDYSALAISVDKESHGYTVAIVKSNQVPGNFFQWDISIHVYNSKEEAWLTPLTEVLTGWRGGDESVICNGVLYFVVYYTGGVPLENRHALIAYCISNRFSQASLSSIPVPCSLTCARLMNMKEKLVMVGGIGKPDRPGIIKGIGIWVLRDRRWEEIVRMPNKYFQGFGEFDDVFASSGIDDLIYIQSYGSPALLTFDMNIKQWKWSHKCPVTKRFPLQLFTGFCFEPRLEIAP >RHN66379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11606518:11608165:-1 gene:gene14349 transcript:rna14349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein METKTGLYLPHELIIQILLRLPVKSLIRFKCVCKSWLTLISDPHFAKSHFDLSTRTHTNRIVFMSTPALEIRSIDFEASLNDDSASTSLNFNFILPESYSNLEIKSSCRGFIVLTYSLHIYLWNPSTGRLRQIPFPPSNLDAKYVCCLYGFGYDHSRDDYLVVSVSYDKSVDPIEDNISSRLKFFSLRANTWKEIECHGLVKYTHFPYYMNANDDPKVGTLFNGTIHWFSFRHDLSMDVIVGFDLVERKLLEMPFPYGFDHEPIDCDLWIFGEFLSLWAMGDETIEIWVMKEYKVHSSWTKALVLSIDGICIQYDPPICFTKCGHIIGTNGGTGLVKYDGNGQLLENRSYYNEPCGPLLAMYRVPAFTPR >RHN80521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38783416:38784412:1 gene:gene4458 transcript:rna4458 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRCRKQRNLKMGKVLNKVTYKYAKFNHKIINIYIQVNQSLGSISNYAEFTYH >RHN77470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7077790:7078818:-1 gene:gene933 transcript:rna933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MASPYEVEVKLLSAKNLKNVNWRYGPNLPYAVVWVDPAQKRSTNVDKSGDTQPNWNEKLITTMPPSTTIKNCFVFIDVLHLESNNKPPLIIGSSQLPLDGIKIDDLSGVSRTLKLKRPSGRPQGTVDIKVIIKNLAYHAPYGVPHPYGSPYGAPPAPGASLSVTYGNPYNVAPPLPAGYGYPVAAPTYVAASRPPVGYPASAPYAYGQSIQQGTSQGESEKGSQFGLGTGLAVGAGAGLAVGVVVGGIGALALGKDEEAEKVEKDSYYEHEVYHHEVESDAYYEHVEEASHVEEASNVEEANAYYEEYATEVEEANAYYEEYAPEVEEESYVEEANAYYEEY >RHN66440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12312459:12323903:-1 gene:gene14427 transcript:rna14427 gene_biotype:protein_coding transcript_biotype:protein_coding MTFCRFLIQSFPRRLGNLQTSFKLNPVSCLPTEKTVNVCRLLSPTICIGISFIFVSLISRCRKLINFPKCSGNSVRFSHCVKFKVCRL >RHN78693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17599425:17608274:1 gene:gene2331 transcript:rna2331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-isopropylmalate dehydratase MASSLVPSTSFFHTKKDLSLSAFSSPSSQRCHKHASKRITCSVAAPQQTQRQPSSTGSVKTAMTMTEKIMAKASEKGHVTPGDNIWVDVDVLMTHDVCGPGSIGIFKKEFGQDAKVWDREKVVIIPDHYIFTSDERANRNVDILRDFCHEQDIKYFYDIKDLSNFKVNPDYKGVCHIALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGAGKLLLKVPPTLRFVMDGEMPNYLLAKDLILQIIGEISVAGATYKSMEFVGSTVESLNMEERMTLCNMVVEAGGKNGVIPPDSITYKYLEGKTSVPYEPVYSDQQARFLSEYRFDVSKLEPLVAKPHSPDNRALARECKDVKINRVYIGSCTGGKTEDFMAAAKVFLASGKKVKVPTFLVPATQKVWMDVYSLPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPKDTYARMNEPQVCVSTTNRNFPGRMGHREGEIYLASPYTAAASALTGYVTDPREFLQ >RHN76908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2274059:2278378:1 gene:gene297 transcript:rna297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bicarbonate transporter MNSSIVHISQEIILYSAMGPFRGITEDFKGRLEFYKQDWICAICTGVRILAPTFYIFFASALPVIAFGEQLNRETGGILSTVETLTSTAICGIIHSIFGGQPLLIVGIAEPTVIMYTILYSFCTKRPELGAKLFLAWAGWVCVWTSLLLMLLAIFNACSIITRFTRVAEELFAMLITVLFMQQAIEGILSEFKIPKAENPLLEEYKFHWCYTNGLLAIIFSFGLLFTAMVSRSARKWRYLTGCIRGVIADYGVPIMVVCWTALSYTIPSKVPSGVPRRLLCPLPWEPESLYHWTVVKDMGKIPLPYIFGAFIPAVMIAGLYFFDHSVASKMAQQKEFNLQKPSAYHYDVFLLGIMVHYCVTLICGILGLPPVNGVLPQSPMHTKSLATLRRQLIRRKLVKSAKECIKQQGSNSELYGKMRAVFIEMDAAPTVKELETLKEAVMKTNEKNGTNEKFDPIKHIDAYFPVRVNEQRMSNLLQSLLIALSLLAVPIIKRIPTSVLWGYFAYMAIDSLPGNQFWERILLLFISPRRHYKILEGSHASFVESVPYKTIATFTVLQFLYFAICYGLTWIPIGGILFPLPFFLLIAIRERLLPKLFDANYLQELDASEYEEIIGAPHNIPLMEKDVRTSTDNDTSEEDYYDAELLDEMTTNRGELKCRTVNQNDSRS >RHN52887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39966941:39967246:-1 gene:gene37619 transcript:rna37619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannose-6-phosphate 6-reductase MAWKVHSIKVHNIKSLPTFVDGDVNLTRTGDVKITLPTPKEAFDTGLVKKEDLFITTKLWNSDHGHVVEACKDGFKKLQLDYLDSYLAQAYSKIKPACKLD >RHN51011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12883557:12884169:1 gene:gene35372 transcript:rna35372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative squalene monooxygenase MLSIYLEIYLIDNFGLKICCENFLLEWMLWKRIFLIFDGRRVHVIERDLSEPDRIVGELLQPGGYLKLLELGLEDCVDGIDAQRVFGYALYKDGKNIKLSYPLENFNVDVSGRSFHNGRFIKKMREKASYLPKYKFLHLFILTKK >RHN61560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36385214:36386887:1 gene:gene24036 transcript:rna24036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MILDKKNIEETHYEVLNVKEDANYEEIRASYRSAALNLHPDKLLKTSDASRSNQTTSERFLKVHKAWEILSNSTSRLIYDKELKSSRREDFLASEVAEELRLQDMTVEDAGETLELFYQCRCGDYFSVDSLEL >RHN38842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2400013:2402115:1 gene:gene44811 transcript:rna44811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MVQVLSFHSCNDLSVRKLKITNSPGGHIAINGCYGAKFSNVVIDSPAHSPNTDGFDISASKNIVIEDSTIQAGDDCIALNGGSSYINVTRVFCGPGHGISIGSLGKHNSHEIVEEVYVRNCSFIDTTNGARIKTFPGGSGYARKIIFEQIQLKNVYNPIIIDQHYGSQVATNSALQVNDVTYRGFNGTCAGDIAIDLDCMDCFNIVLDQINIVSSQKRNKVSAICKNFHGKIDDSVVPKVSCK >RHN41225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28399945:28400394:-1 gene:gene47524 transcript:rna47524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MARRKSAKDRLSSLPDSLLCHIMSFLPTRTSVATMTLVSRRWRYLWKYLQVFNFSDESLCYRTVSDELFRKFAFFVNAVLALRKARDIQKFNLTITYPFGGMFLLDCIQLWIHAATGPHLQELSLTVKDSAITLPPFFLLNCTNLVSLR >RHN60487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27297408:27297907:-1 gene:gene22802 transcript:rna22802 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKLLKKLYHVQFLLLFNLISGSSTLHHHTNNCGNIKTQPPFLTSNSSISSQIRITVNVPTHVPEFCEGCENPNGNCNAGLKCLCHLKECNGHLQGWIHKVYWWCVFLFAFFHWYISLPHVCLSLTRSSKD >RHN48236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46004415:46006562:-1 gene:gene42928 transcript:rna42928 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKIEIWCFGNYLGRIQQQRPCRSLLNCVCGLLLIWGGKKMLAAVSEITNKLKAKTFTIVAWEWECPVKCAGTNSGIQEPLFPDRTCTNSGTTSNVSVRDA >RHN49762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:924089:926618:1 gene:gene33970 transcript:rna33970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MYLHLSVLDTYMYRTLGTPLISSKARICEWSLHNNAVFDVNWIKVRVWDVQEKKCLGVLTGHTGSVKSISSHPTNPDISVSGSRDGSFRLWDLRCNSNSNRRGEVSICVLKFWDTRNLKSIVTQACPEPGKVTWNGTEMCSGRFLVSIQRFWLKSLQIEQRLHGISSLSQDDSGPLLSASCMDSRVYLYDTLQLEKGALRYFGGGRIESFFIKVRIWPVAQNFLHQVSFMTPDFFPVSCVQFQAAISPDASNIVCGSSNGKAYVWQVDKPQVEPTILKSHYEEVTAVDWCRSDN >RHN54513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10166490:10166780:-1 gene:gene29573 transcript:rna29573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-heme dioxygenase domain, isopenicillin N synthase MTDETIPVIDIEKISEQFECKKLRDACEKWGCFRIINHSIPLTLMAEMRMVVEALHDLPMDIKKNNKDVISGRGYFAPSALNPLLESFGLYDMDAS >RHN74575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34827394:34828127:1 gene:gene10666 transcript:rna10666 gene_biotype:protein_coding transcript_biotype:protein_coding MCTASHRKFALHLPTLIFFFVTHTQITVPHPSSSSIRTWLFHITKQKGFVPNSDIQIDLYF >RHN43858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49037857:49038828:-1 gene:gene50491 transcript:rna50491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MLNTSKVVGKAQGFIIPVEQFQQSEFNVLYLTFDTPDHSGSLSVQAIKVAHKEREEFRVVGGTGSFAFAHGVAVFTQTDEQTSDEAITYHVKLQLEFPNHSTKLL >RHN55740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22434855:22435909:-1 gene:gene30979 transcript:rna30979 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKERETQTLLANVTDVTQLYVSQMLSRTVYKFIFSHLINMSFDISFHLI >RHN59115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7618961:7622714:-1 gene:gene21105 transcript:rna21105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEGLLFNMIDKLIGKLGSVVVESWNMRDDLQKLVENMSEIKAVVLDAEEQQGANNHQVQLWLEKLKDALDDADDLLDDFNTEDLRRQVMTNHKKAKKVRIFFSSSNQLLFSYKMVQKIKELSKRIEALNFDKRVFNFTNRAPEQRVLRERETHSFIREEEVIGRDEEKKKLIELLFNTGNNVKENVSIISIIGIGGLGKTALAQLVYNDKEVQQHFELKKWVCVSDDFDVKGIAAKIIESKNNVEMDKMQSKLREKVDGRRYLLVLDDNWNEDRDLWLQLMTLLKDGAEGSKIIITTRSEKVAKASGSSSILFLKGLSEKQSWTLFSQLAFENDRELENEELVSIGKEIVKKCSGVPLAIRSIGSLMYSMQKEDWSTFKNIDLMKIDEQGDNKILQLIKLSYDHLPFHLKKCFAFCSLFPKDYLIPKTTLIRVWIAQGFVQSSSDESTSLEDIGDKYFMDLVHKSFFQNITKHVFYGENEMFQMHDIVHDLATFVSRDDYLLVNKKEQNIDEQTRHVSFGFILDSSWQVPTSLLNAHKLRTFLLPLQWIRITYHEGSIELSASNSILASSRRFRVLNLSFMNLTNIPSCIGRMKQLRYLDLSCCFMVEELPRSITELVNLETLLLNRCSKLKELPKDLWKLVSLRHLELDDCDNLTSMPRGIGKMTNLQTLTHFVLDTTSKDSAKTSELGGLHNLRGRLVIKGLEHLRHCPTEAKHMNLIGKSHLHRLTLNWKQHTVGDENEFEKDDIILHDIRHSNIKDLAINGFGGVTLSSLVNLNLVELKLSKCKRLQYFELSLLHVKRLYMIDLPCLEWIVNDNSIDSSSTFSTSLKKIQLDRIPTLKGWCKCSEEEISRGCCHQFQSLERLSIEYCPNLVSIPQHKHVRNVILSNVTEKILQQAVNHSKVEYLKINDILNLKSLSGLFQHLSRLCALRIHNCKEFDPCNDEDGCYSMKWKELTNLEMLEFYEIPKMKYLPEGLQHITTLQILRIVNCKNLTSIPEWATSLQVLDIKDYPNLPPPMMIKT >RHN53188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42680714:42684357:1 gene:gene37966 transcript:rna37966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling CW-Zn family MHRASFSLTPKNQVKDQTGSSLPNNQHRSLPDPIYVSSSDDENNLSNDDASKQLVLYDPETNVDNANQLTPDPIQCRPPRPRSRPPGSAPRVLPAVGAFTVQCANCFKWRLIPTKEKYEEIREYILQEPFVCDKAREWRPDVSCDDPEDISQDGSRIWAIDKPSIAQPPDGWQRLLRIRGEGSSKFADIYYEAPSGKKLRSMVEVHKFLAEHPEYMTAGVTPARFSFQIPKPLQENYVRKRSHAKSIEPEQVSPLAWVGPEDGNSNEETLGLPDPRMESHVSDPASRPAKKQATESSLYKDAV >RHN57026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35843947:35845260:1 gene:gene32509 transcript:rna32509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MATMNTLSFLTLSLFSLCFIASFSHALSNGFSVELIHRDSPKSPYYKPTENKYQHFVDAARRSINRANHFFKDSDTSTPESTVIPDRGGYLMTYSVGTPPTKIYGIADTGSDIVWLQCEPCEQCYNQTTPIFNPSKSSSYKNIPCSSKLCHSVRDTSCSDQNSCQYKISYGDSSHSQGDLSVDTLSLESTSGSPVSFPKIVIGCGTDNAGTFGGASSGIVGLGGGPVSLITQLGSSIGGKFSYCLVPLLNKESNASSILSFGDAAVVSGDGVVSTPLIKKDPVFYFLTLQAFSVGNKRVEFGGSSEGGDDEGNIIIDSGTTLTLIPSDVYTNLESAVVDLVKLDRVDDPNQQFSLCYSLKSNEYDFPIITVHFKGADVELHSISTFVPITDGIVCFAFQPSPQLGSIFGNLAQQNLLVGYDLQQKTVSFKPTDCTKV >RHN59743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12737835:12738215:-1 gene:gene21853 transcript:rna21853 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S8 MLTLIRNADMNRKRTVQIPLTNITENIVKILLREGFVENVRKHGESNKYFLVLTLRYRRNRKESYKTFLNLKRISTPGLRIYSNYQQIPRVLGGMGIVILSTSRGIMTDREARLERIGGEVLCYIW >RHN58416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1571851:1574986:1 gene:gene20326 transcript:rna20326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronosyltransferase MRTSKFACLLIVSVCLLLFATVSRLNIPNVSYYATVTNLQKQYNDPKKVLVSKGKGYPPVFAYWIFGTKGESKKMMRLLKAIYHPRNQHLLQLDDCSSDSERMDLALYIKSHMVFEEFGNVNVVGKSYAINKMGSSSLSASLHAASLLLKVNSDWDWFFTLSASDYPLMTQDDILHAFTSLPKNLNFIHYTNKTLRNEQRNMNQIVVDPSLHEEKSSSLYFAVEARDTPDAFKIFRGSPWMILTRSFMEYCVNGWDNLPRKLLMFFSNVAYPMETYFHTVLCNSHEFKNTTVDNNLIFSFFDIDPSEYQLLDMSHYDTMMETGAVFARPFGEGDLVLEKIDDLVLNRTLNGFVQGEWCSSSNLEINKTTNLVSGNIDVVKPGMFGIKLRTLLGEIVNSGRYRDCQCQLQLV >RHN75104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39423751:39426141:-1 gene:gene11263 transcript:rna11263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MTILTTQISFLLLLLLYVTTFHKITCTNHTVVRCNEKDRETLLTFKQDINDSLGGISTWSTEKDCCAWEGVYCDSITNKVTKLDMQFKKLEGEMNLCILELEFLSYLDLSYNDFDVIRVPITQHNITRSSKLVYLDLAPLIFDKTLHMDNLHWLSSLSSLKYLILSGIDLRKETNWLQAVSTLPSLLELQLSYCKLNNFMIKPSIEYFNLSSLVTLYLSGNNFTSNLPNGFFNLTKDITSLDLAQNNIYGEIPSSMLNLQNLRHLDLSENQLQGSVSHGIGQLANIQHLDLSINMLGGFIPVTLGNLSSLHSLSTGSNNFSGEISNLTFSKLSSLDELYLSNSNIVFRFDLDWVPPFRLHALSLANTNQGPNFSAWIYTQTSLQDLYLSSSGISLVDRNKFSSLIESVSNELNLSNNSIAEDISNLTLNCFFLRLDHNNFKGGLPNISSMALIVDLSYNSFSGSIPHSWKNLLELTYIILWSNKLSGEVLGHLSDWKQLQFMNLEENEFSGTIPINMPQYLEVVILRANQFEGTIPSQLFNLSYLFHLDLAHNKLSGSMPNCIYNLSQMVTLYVDALPSDTTIELFQKGQDYMYEVRPDRRTIDLSVNSLSGKVSMELFRLVQVQTLNLSHNHFTGTIPKMIGGMKNMESLDLSNNKFCGEIPQSMSHLNFLGYLNLSCNNFNGTIPMGTQLQSFNASSYIANPELCGTPLKNCTTEENPITAKPYTENEDDDSAKESLYLGMGIGFAVGFWGIFGSLFLITKWRHAYYRFIDRVGDKLYVTSIVKLNNFDRLWSG >RHN58786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4888416:4896812:-1 gene:gene20731 transcript:rna20731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MRNVIRPPPPKRKRPHSEISSSTVQSGAEPVIVSTYADSFELNRSSFPEGFVFGTGSSNYQYEGAVSEDGRGKGTWDIFAHTPGMVKDGKNADVAIDHYHRYKEDVQIMKNMNTDAYRFSISWPRIVPTGKISDGVNQAGIIFYKNLIYELLANGQIPYVTLFHWDLPQALQDDYGGFVSENIRKDFKDFVDICFKEFGDSVKHWVTFNEPFSYTLSTSDWYKSTHNQLLAHADVFELYKTTYQAQNGVIGIGLNSHWFKPYSTDPLDQKAAEDALDFMFGWFIQPLTTGEYPASLVSYVGDKLPKFTAEQSKSLIGSYDFIGINYYTSMYAANATKPIPIQSPSGGADGVNSVFKIVNVTLTDKNKDGTYIGAWAATWLYVCPKGIQDLLLYTKEKYNNPTIIITENGMNEVNDPTLSLEEALMDTNRIDYFYRHLYYLLSAMRQGVKVQGYFAWSLLDNFEWNDGYTVRFGINFVDYENGHLTRHPKLSARWFRKFLQHNRIIG >RHN59611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12501333:12502553:-1 gene:gene21664 transcript:rna21664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, kelch-type beta propeller MLPVNFDMDLLPPSQPCPPPNASPAILPDELIAEVLSFLPVKSLMQLRSVCKSWKSIVEDPTFVKFHLYRSSQKPDLAFVTSIYATEALTFTICRLFENPSDVINLPNNDSYYQLKDKGCLGIVGSCNGLLCLLGGISFDGSCYYKDMFLRFWNPATKTLSDKLGCLGDGDSDISLFNFHRLKFAFGYDNSSNTYKAVYFILDTTIVRVFSIGNNVWRSIQNSPVASRHYSAVVHLSGSVILVAIRNYTTKYYDCKDITIKQFVIISLDFGTEKYTQLRPPQGFDQVPFLMPNLSVLEDCLCFSHDFEQTHFVIWQMKEFGVEESWTQFLRISYHNLQIDIDSISPNMSSHWLPLCVSKKSGTLLLTNHDELEAILFNLRDKRVERINGHWLSNGKEYVESLVLYC >RHN56736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33302833:33309028:1 gene:gene32177 transcript:rna32177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, peptidase, FtsH MAAWKRFITHHLTSSIHQARMQVERRQSEFRKFKELLSRNDASLNKFEKFEGCTRNRLFFSQSRYLGNLARPLRDSSEAEEASSLKELYDEIDPEAVIRAYESNPSLHKNSLAFSEYVKALIKVGRLSESEFLNTLLRAISHSARKEESSIGGVAALINVGEPTKDGILGTTSAPVDKVAALEGENFNEQLWHTIWFVAGAFLLICGVGAHIVYKGINTELQPSVETNTKFSDVKGVDEAKAELEEIVDYLKDPKRLTCLGGKLPKGVLLYGPPGTGKTMLASAIAGEAGVPFFSTNGREFEETIVGVGAQRVRNLFAAAKKRAPCIIFLDEIDAIGGKPNSNDQMYTKLTLNQLLVELDGFKQNEGIIVIGATNSPESIDKALLRHGRFDRHVVVPNPDVKGRREILESHMSKVLKADNVDLMIIARCTPGFSGADLANLVDVAALRAAKDGAKAVSTHDLEFAREKIIMGSQRKSAVISEESRKKTAFHECGHALVAIYTDGANPVHKATIVPRGMALGMVSQLPPRNDQTSLSRKQMLARLDICMGGRVAEELIFGQSGVTSGASSDLFKATSLARQMVTRYGMSTEVGPVSHNYFDNGRSMSSETRLLIEKEVKNLLERAYNNAKTILTTHQKELHVLAKALLKHETLTGSQIKDLLAKVKSQQKQQQSCPVKAQGSPRSNPATDKAADATTNAAAPSAATDATTNAVAASDAATNAAAPSATTDATTNAAAASDAATNAAANVAAPSDAASKASAPSAAANAATNAAAPSDAASKSSAPSAAANTASKSSAPSAAANAATNAAAPSAAADAATNAAAASDAATDAGAPSASAKAQGIATVAGPEVHRDQQGFPPLQPS >RHN53281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:650937:652738:1 gene:gene28172 transcript:rna28172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MAAYEVFGVKIEKNPSKSKLIELSVSTWPKWEGGPLKIPRSFKEEETMYLVEGKVKVTVEEKIGSFEIGGGDLVVFPKGMTITWEITEPVKKHSSWKKE >RHN59467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11015799:11024322:1 gene:gene21498 transcript:rna21498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SUI1 domain, SWIB/MDM2 domain, PUA-like domain-containing protein MFKKAVEAKSQQRLSGADRKKLKRTVKDKFPRASDSDLDILLPPKTEITVAKFQTRVHVYAVEGGFPVFFDIDGRGSDIFPTVYALWMVPELLPAFILKGGEVSRYVIGGADLMFPGILVPPEGLPSFAAGETWAVKVPENPAPIAVGSTTMSSAEALKAGLRGKALRITHHYRDLLWESVEGRYVPNAGFLEDAVFGHPSLLSSPSDDSGLTEAACETSIDQQNNTKSDEAEGSRVANDENNDENTADEATAGVRDLKLTDSGSPNDPNDQHTLSTSDVDLLLDKCLLQALHTTLKDKDLPIPGSTLWSNHVLPCRPSGITLDIKKSSYKKLAKWLQAKASSGLISVKEDKHKKEVVLFSVNRKHADYSSFKPEKRPAEKPDQSSVQSVNETRSSKTLEVTEIYKPSVHVNPIFSSVGADTGKLYTVSEATDIVFAYIEKQNLVKPTNKSLVVLDAILCDALFKGAIKKGTTYPTEIHKKDLGQTFVSRMQPHHVVTRGNESVVRKGALKTIQILTERRQGNKKVTKLSGMESFLIDADALASELQKKFACSTTVGELPGKKGFEVMVQGGVIDDLGRHLVEQYGVPKRFIEVFDKTKR >RHN41976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34457732:34458319:-1 gene:gene48357 transcript:rna48357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase (putative), gypsy type MANEEVVALDSSWLGEEALETESIFANDGVGEIPFGPIGNMEDWELMLPSTSDRVCSKYENYVFPMYEVVFKDMGFRLPFSEVQREMLRWTKLSPSQIHPNSYAFMRVFELLCEYLRLPASKYVFFSFFTLQRGTDWVSFRQTQKMFEVFAGKVQSFMKRFFLVRPRSAAALDTLFKAAKDDVQERCRFFPLCWS >RHN81963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50152835:50156529:-1 gene:gene6069 transcript:rna6069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MVSSNKDSREEVLQAWYMDDSKEDQRLPHHKEPKEFVSLDQLAELGVLSWKLDADNPETDPELKKIREERGYSYMDVCEVCPEKLPNYEEKIKNFFEEHLHTDEEIRYCVAGSGYFDVRDRNEAWIRVWVKKGGMIILPAGIYHRFTLDESNYIKALRFFVGEPIWTPYNRPHDHLPARGQYIKTFVEKDVASHAVDATA >RHN45934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26968019:26968564:-1 gene:gene40364 transcript:rna40364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTSLPILPSDLLIEILSWLPAKSLVRFRRVSKYWKSLISNTTFAKLHLQRSPKHTHTLLTSSKKVYLNGYKDYYRVLTPYKVRPLLEHPSSILIKDECRRFNTRSDPIGSANGLVCMISDKYREFWVLFWNPTLRLTSKNSPSLIIPDVPHLGFGYDDSNDTYKVSQISTSSSVISHISVL >RHN65561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3222959:3226871:1 gene:gene13408 transcript:rna13408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MTDAVVSFVLEELSKFVKEEGNSLTGIEREFKDIKYELESIQVSLKDADTKAADEGGGGANEGIKTWVKQLREASFRIEDVIDEYEYSKYMAENNNHSGFIASLQMIPNIIKTMNSRHQIASEIQDIKLSLGEIKERSQWYAVKSESGLESYRGVKAPRIDDTRMAPYFIQETQVVGFESPKDELVRCLVGGTSELMLVSVVGMGGIGKTTLASHVFQNQVVKNYFDCRYFVTVSQSYTLREMLIDMVKKFFMDNNEPIPKFLWKMDNNTLITHVRQHLQSKRYLLLFDDVWKESFSDEIEDALISNDKGSRIIVTSRMMHVAEYFKKSFLVHIHHLQPLPPNKAWELFCNKAFRGQCPAELEDISNDVVQKCGGLPMAIVATAGLLSTKAKTVFEWKKASKNLRMELERNVHLTSLIKILSLSYDDLPSHLKSCMLYFGIYPEDYTITTKRLTRQWMAEGFVRCEERRNPENVAEEYLTELIQRSLIHVSKVGFDGKIKSCQVHNLLREVILRKMQDLSFCHLMHEGDEQVTVGITRRFSIASISKNVLRSTSNSGIRAIFVFDKDELSKDFMDGLSAKFKLLKVLDFENSLLNFVPDNLGNLFHLKYLNLSRTKVTVLPRSIGNLVNLESLDLRHTTVDELPREINKLTKLRLLPVYYRKYDEHYSMLNFTTGVQIQEGIGCLKSLQQLYFLDADHGGIDLIQELKMLRKLRKLGIRRVRQEYGNELCATIQKMNHLESLYITAIDEEVILDLDFVSAPPNLKVLNLEGRLTKLPNWIPKLQYLVKLRLSLSNFEHDPLDTLKNLPNLLRLNLWDDAFSGDSLHFSVGGFPKLKELDLTRLNRLSFVSIDKDALVDLEHFRFNNNPQLKVLPKDLQNLKNLQFLGFADMPATLVDSINPEKGGPCHWIINHIPLVRIRQTVGSKFHDYKLYSIPTQFKV >RHN65870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6096160:6097541:1 gene:gene13762 transcript:rna13762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MIPKISDFGLARSFMGDQAEANTNRVMGTYGYMPPEYAVHGSFSIKSDVFSFGVVVLEIISGRKNRGFSDPQHHLNLLGHAWRLWIEQRPEELLADILYDNDISSKIIRFIHVGLLCVQQKPENRPNMSSVVFMLKGENLLPKPSKPGFYAGGDDTNSVGSPSIYEASMSFLEAR >RHN78663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17275590:17285895:1 gene:gene2297 transcript:rna2297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MNCSISGEVPDEPVVSKSSGLLFEKRLIERHIMDFGKCPVTGEPLTMDDIVPIKTGKIVKPRPVQAASIPGMLGMFQNEWDGLMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARSILAQAERQFPISTPNSVTANAPVHSNGKRAADDEELAPNAKKLHPGISSSIISELTDCNAALSGKRKKREIPATLASVDALETYTQISSHPLNKTSKQGIIALDILHSKDLIATGNIDTNAVIFDRPSGQVLATLTGHSKKVTSVKFVGQGESIITGSADKTVRLWQGSDDGHYNCKQILKDHSAEVEAVTVHATNNYFVTASLDGSWCFYELSSGTCLTQVSDSSEGYTSAAFHPDGLILGTGTTDSLVKIWDVKSQANVAKFDGHVGHVTAISFSENGYYLATAAHDGVKLWDLRKLKNFRDYGPYDSATPTNSVEFDHSGSYLAVGGSDVRILQVANVKSEWNLIKTLPDLSGTGKSTCVKFGPDSKYLAVASMDRNLRIFGQPGEDISDK >RHN66552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13670220:13675122:1 gene:gene14558 transcript:rna14558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MSEKSSMLPSRFIFYVMLICMFLLVLSSIFLVQFSSHSFIPRSVLELIIVNNSSFYFTPNFKKEQIILPTLPSEDLKFQTLKPRESDWKVSDSSEKGMSVGKQVNVVCDPTKALLKVFMYDLPPEFHFGLLGWKGSVNQTWPEVDNPSRVPRYPGGLNLQHSIEYWLTLDLLSSNTKKHGQPCTAVRVRNSSQADIIFVPFFSSLSYNRHSKIQGEGQVSVNKMLQDRLVIFLKGRKEWKRSGGKNHLIVAHHPNSLLDARSKLASAMLVLADFGRYPVELANIKKDIIAPYRHLVATIPRGESPSYGERSTLVYFQGAIYRKDGGVIRQELYYLLKDEKDVHFTFGSIGGNGVNQASQGMSMSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDEIELPFEDVLDYSEFSVFVRAADAVKKGYLLNLLHSIKREEWTKMWERLKEITNHFEYQYPSQPGDAVNMIWQEVARKISSMQFNSHRKNRYNRSQLLVKSN >RHN60197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23341142:23341459:-1 gene:gene22452 transcript:rna22452 gene_biotype:protein_coding transcript_biotype:protein_coding MFISGDNFHLTSRFCGVVLGPTTISKMVSEPLQDPLDYLLSGFHIEPRTIYVHEPSPIVLVVRGCVKSPTSDMTWPDNVYINGGNPQITNRFCGVVLGPTTISKS >RHN79977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34019050:34022884:1 gene:gene3841 transcript:rna3841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MQLKTQSFIFFFFCCLCCAGAAADNEAFALLSIKAGLIDPLNSLHDWKDGGAAQAHCNWTGVQCNSAGAVEKLNLSHMNLSGSVSNEIQSLKSLTFLNLCCNGFESSLSKHITNLTSLKSLDVSQNFFTGGFPLGLGKASELLTLNASSNNFSGFLPEDLGNISSLETLDLRGSFFEGSIPKSISNLSNLKYLGLSGNNLTGKIPAEIGKLSSLEYMIIGYNEFEGGIPKEFGNLTKLKYLDLAEGNVGGEIPDELGKLKLLNTVFLYKNSFEGKIPTNIGNMTSLVLLDLSDNMLSGNIPAEISQLKNLQLLNFMRNKLSGPVPSGLGDLPQLEVLELWNNSLSGPLPRDLGKNSPLQWLDVSSNSLSGEIPETLCTKGNLTKLILFNNAFKGPIPTSLSKCPSLVRVRIQNNFFSGTIPVGFGKLEKLQRLELANNSLTGGIPEDIASSTSLSFIDFSRNNLHSSLPSTIISISNLQTFIVSENNLEGDIPDQFQDCPSLGVLDLSSNFFSGVIPESIASCQKLVKLSLQNNLLTGGIPKAIASMPTLSILDLANNSLTGQIPNNFGMSPALETFNVSYNKLEGPVPENGMLRAINPNDLVGNAGLCGGFFPPCAKTSAYTMRHGSSHTKHIIVGWIIGISSILAIGVAALVARSIYMKWYTEGLCFRGRFYGGRKGWPWRLMAFQRLDFTSTDILSCIKETNVIGMGGTGVVYKAEIAQSSTVVAVKKLWRTESDIEVGSGDDLVGEVNLLGRLRHRNIVRLLGFLYNDTDVMIVYEFMVNGNLGDAMHGKQSERLLVDWVSRYNIALGIAQGLAYLHHDCHPPVIHRDIKSNNILLDANLEARIADFGLAKMMVRKNETVSMIAGSYGYIAPEYGYSLKVDEKIDIYSFGIVLLELITGKRPIDPDFGESVDIVGWIRRKIDKNSPEEALDPSVGNCKHVQEEMLLVLRIALLCTAKLPKERPSMRDVIMMLGEAKPRRKGGKKNETLTANKESM >RHN71607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1334273:1336916:1 gene:gene7223 transcript:rna7223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MARFVFLSLLFLFLFLIDGSKSQLHVGFYSNTCPQVESTVHDVVREAVLFDRTKAAVLLRLHFHDCFVEGCDGSILINTTQNPEKTAFPHAGVKGFEVIERAKAQLEASCPGVVSCADIVALAARDAIVMANGPAYQVPTGRRDGFVSDKSLAGNMPDVNDSIQQLKTKFLNKGLTEKDLVLLSAAHTIGTTACFFMRKRLYEFFPFGSDPTINLNFLPELKARCPKDGDVNIRLAMDEGSDLKFDKSILKNIREGFAVLASDARLNDDFVTKSVIDSYFNPINPTFGPSFENDFVQSMVKMGQIGVKTGSVGNIRRVCSAFN >RHN60392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26131168:26132564:1 gene:gene22700 transcript:rna22700 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPLPPPPRPLPPDGCAEAPLPPRPLPPDLAAEATSFSSSSSFSSIATDFWAVPLTPPRPLPPDAGVDAPLPPRPLPPDLIGVTASLTSSSSLSSKSTDFWGIPLPDPLFNFLVGVEPATFF >RHN43078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43012350:43013199:1 gene:gene49601 transcript:rna49601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MYTSTSLTSQLLHELLVESHTRRLLFQNPIDFQSPTSSPVLTNNHNSTDSNFGAREFDSNVVMIVAVLLCAFICSLALNSIIRCALRVSNVAINNNSPQLVNKGIKKKALKKFPTMSYSTELNLPSLDTDCMICLSEFTKGEKLRILPKCNHGFHVRCIDKWLKEHSSCPKCRQCLLETCRKIGGSQVQPIVLPVPETIITIQPLEPQALERNYREI >RHN78011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11226546:11229400:1 gene:gene1525 transcript:rna1525 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLCNNLSINKPIVVTNATLPQNPDSSPPPPPSSSTSPKQTNLPQNKKPSLGSKNSTTPLPPNKLRRRPSPSSLMQIERALGAGSFRDGEPDFKMKNDSDVKKTTMDLFLGQVFEGTVQKKLRETGEWLGENGETRFRSTSSRRGILVFAFQWMMPIWAISFLIASGVIKLPFSVPFLDDLLL >RHN59428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10643927:10646339:-1 gene:gene21457 transcript:rna21457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MGVFTRSMVTFCVLICLIGIVSASSVIPSATTYVSNLIPGPSSELRPSFYLLHGCPLAVQTIRTAITTAVLKDPRLGASLLRLHFHDCFVQGCDASVLLKDTPTFKGEQNARPNANSLRGYEIIESVKAQLELLCPNVVSCADILALAARDSVVALGGPNWLVRLGRRDSTTADFNAANSDLPSPFLDLDGLIAAFKKKGFTAEEMVALSGAHTIGKAKCGLIRNRIYNESNIDPNYAKSLQAFLPCPKSGGDNNLASLDATTPNFFDNAYYRNLLNKKGLLHSDQQLYNGGSTDYKVSAYANNPLLFSIDFANAMIKMGNLSPLTGDQGQIRKYCSRVNY >RHN71217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57300190:57304768:1 gene:gene19870 transcript:rna19870 gene_biotype:protein_coding transcript_biotype:protein_coding MMYLLTAKPSLRPQFILSSSLSSSSSTSTSRFSFRTRSPSSIAFSRNSNHKGALSFRIQASKNDAGESKSPNGTLSKSRREILLEYVKTVQPEFMELFVKRAPPPVVDAMRQTVTNMIGTLPPQFFSITIATVAENLAQLMYSVMMTGYMFRNAQYRLELQESLEQVALPDVQDKKDIPDYAPGTQKNVSGEVIRWNNISGPERMDAKKYIEILEAEIEELNRQVGRQSSNAQNELLEYLKSLEPRNLKDLTSSAGEDVVLAMNTFIKRLLAVADPTQMKTSVTETSASELGKLLYWLMVVGYSIRNIEVRYDMERVLGTTAKLAELPPGENV >RHN61344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34960883:34961721:1 gene:gene23799 transcript:rna23799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MDASTKTTKKGAGGRKGGGPRKKSVTRSIRAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHLLLAVRNDEELGKLLAGVTIAHGGVLPNINPILLPKKTERANTGGKEPKTTKAGKSPKKA >RHN77164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4561586:4571612:1 gene:gene590 transcript:rna590 gene_biotype:protein_coding transcript_biotype:protein_coding MDKESHNNHDSSNNIASLRDDNRDKNEGGDGSSSLLLRASSDGFRQSSTSDLVLQWGNRKRLRCMKVQVKQDSLNPVQRTTVRVDRRVIRTEKDTSNRFGTSHTDNNIVNGHSHNNQNQSNGYPSLRQRAPSPQQPPPRILRNTEGSGAMRGTQTNGPERGGHEKRGTHTHHNEHNNNNNKSAASSDNALDGKKGGGSSSGSGDATPAVWPPKFVIALTNKEKEEDFMAIKGSKLPQRPKKRAKLIQRTLNLVSPGTWLSDLTLERYEVREKKITKKRPRGLKAMGNMDSDSE >RHN79941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33707464:33712274:-1 gene:gene3799 transcript:rna3799 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKNSKHSPSNVVAKLMGLETLSKGEPNLSVIRSQTKDYYQDMYGHLGWPLKHWKVEDRFMMDKGMLHEFHHPSTEQVDYKDGYETWMQSQRGRWREDVDEGKMALVRQKFIEAKYLSTDETLRQSKQFEDALDILSSNNELLVRFLDSQNIYQIPSTPQDDANHITLIKPLKMFGNDKSSGKGKKKDRLIKKPENYDQAAVWENRNYGYSPESTRIVVLKPSPGRTNDLKALVSPTNPSPQSFYQGNGDANVLESIEVAKEITLQMHEGLRSYQKNKILHSSVFSSGYSSDENSFDKSYHDLEAMSPMPRHSWDCIHVCGSPYSTQSLGRTTCSPESSVCIEAKKRLSERWNVMASNGKGHQEQRHVSRNSTLGEMLSLSLVKKSATSVIESTNKHQEEERKSVSCTESFNEKISINDSPQNDCGLNPDPASSTVYEPSLGIDAVDKEHGSKVVAKSKSKKSSFKGKVASFLFSMSKKSTKKKSSSSHSNDESETIVNETSVPSVNSSGFLGDNVSQSFNVGGFEESLAALCESVSSEPQEDMITLEPGLNESKPLVPEIPNEKQDRPSPISVLESPFEEYNTPHESLESTKDGHMGSLVPMKSNLIDKSPHIESVARTLSWDEDSCGELASPYYPLKRACSLDTKLEDHEWLILVQKLLSASGLDDDQQQYDSFHTRWYSLESPLDPSLRDTYVNINENKDSTQPLNEAKRRKMRSNQKLVFDCVNAALLEVVGYDGSENYFKMCSGTHRRPFFVQEGSCLMDHIVAQMNELIANGMKFVWGGDSHSLVVENVVRKEVVESGLVEVMRMEIDALGREIEGKIIEELVENLVLDFTGR >RHN70757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53783792:53785270:1 gene:gene19372 transcript:rna19372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Calmodulin-binding domain, plant MATKTKDSVGSKEKKSTSSKAPIATTKRTSRPSSASSVERSTTLSEKQVPNYLKPTLSSQPRSQSFKISRNDNAPNKPNLDRRRSFDKPPSPSRLAKQIQQSPSRLQQKSTVRNTFPSSKPISERTSSKTPKQGTTKKSSSKNLATKSSTNEETTETINVVETKTEPKVKEAITNNDNAGEVEEIENIQEHDQVESVHEINQPHDQVVEVEKIENIQERDQVENIPEINQSHQAEEVEKIENIQERDQVDNVPEINQSHEAGEVEKIENILERDQVENVPEINQSHQVGEVEKIENIQEHDQVENVPKINQSHDHGDFELEQNQGNDQNIVHIQSDNENEKEVIPIVSEEVAKEDQNKDEHEDNQDQNENTDTNQEEGNINESDKKEMNDSTNEGEVIEAKEKVEDQKNEEELEKEEVKRATNEEKEEIEEAKVDSPKEKEEESGVVQGKKESSQVSNDVIEETASKLREERKNKVRALAGAFQTVIDHQTK >RHN49637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:56132196:56153828:-1 gene:gene44486 transcript:rna44486 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKGILIMFDESGAIDDELKNQAKTYCTDVKQKPSVCRLCIQKLCSSNLVQVQFWCLQTLHELIQTRYSAIPPEEKHAIRTTVFSIACLVVVEDNNNNTPIRVLEAAPAFIKNKLAQVLIALIYYEYPLICSSFFLDFLPHLRKGNVVIDMFCRVLNALDDELISMDYPRTPEEVTVANRVKDAMREQCVSQIVRAWYDILSMYRNSDQHLCITVLDSIRRFISWIDIAFIFNDTFLPFLFDFILVTTTSDHLRAAAVRCLLAIVSKRMESQSKLSLLQTLQITRVFRLLTDDANADLVPDIAALLSGYATEALDCFKRITSEDAKGISMELLNEVLPSVFYAMKNFELDTTTLNIVQFLSGYASTLKTLAPLSEKHILHVGQILEVILVLIRYDPVYRTNLDVMDKIGKEEEDRMTEFRKDLFVLLRTVGRVAPDVTQLFIRNSLASAISRSSDSNVEEVEGALSLLYALGESLSEEAVRNGNGLLSELLFMLLSTKFPCHSNRLVALVYLETVTRYVKLIQDNAQCIPIVLAPFLDERGIHHPNISVSRRASYLFMRVVKLLKVKLVPFIAVILQSLPDTVARFTATNYTTEELSGSEDGSHIFEAIGLLIGMEDVPPEKQSDYLSSLLSPLCQQVEALLRNAKLLSYEETNARIAVIQQIIMAINSLSKGFSERLVTASRPAIGNMFKQTLDVLLQVLVIFPRIEPLQSKVTSFIHRMVDTLGASVLPYLPKAIEQLLAETEPKQMSGFLLLLNQLICKFNILVRDILEEIFPSVADRIFSIIPREGLPSGLDAATEEIRELQELQRTLYTFLHVIATHDLSTVFISPKCKAYLDPVMQLLFYSSCNHKDILLRKTCVQIFIRLIKDWCAQPYGEKVPGFRSFVIETFATNCCLYSVLDRSFDFRDANTLVLFGEIVVAQKVMYDKFGDDFLVHFISKGFSVAHCPPEMAEQYRQKLQGSDIKALKSFYQSLIENLRLQQNGSLVFR >RHN67655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28988230:28988779:1 gene:gene15863 transcript:rna15863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MLRKKIISFSMVVMVLGMLVATLDARQIDDVSCPSALFSLLPCLPFLQGVGPATPTSYCCAGITDLNQKANTTQIRRDVCNCLKPAASRFGVNPDRSKQLPTLCNITLNVPFDPSVDCNTVQ >RHN69004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40220953:40222370:-1 gene:gene17430 transcript:rna17430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine-rich transmembrane CYSTM domain-containing protein MNSGQSTVYPPPPIAPPPPVGYPTNQENPQYPQNLAGETKSRGDGFWKGCCAAICCCCVLDACF >RHN42414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38151305:38151705:-1 gene:gene48852 transcript:rna48852 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVHKPDISAFRECLSLSWKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFKAVDTKVWLQVNFFHMI >RHN80538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38878088:38885373:-1 gene:gene4476 transcript:rna4476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GYF domain, nucleotide-binding alpha-beta plait domain-containing protein MNSENGYENHNQPQPQPQPTGENVAEVGWYVLGENQQQLGPYIFSEVQEHFLNGYLSENTLLWSEGRTEWQPLSSISELWDEISRREPDSSSTAVYAEAEDVDEFEKWQKEIKEAEAQVEASEFGGGGVGEDVERPSTPPDGEEEFTDDDGTYKWDKSLRAYKQVPQDEATSGSALPYGVEEMTFLKEEEVFPIVTDSDASKIVEDSSKSSVSATSIKEETTEAKNTSVVAVGKRKHSDKPEEKKEANKPPDSWFELKVNTHVYVTGLPEDVTIDEVVETFSKYGIIKEDPETKKPRVKLYVDKETGRNKGDALVTYLKEPSVALAIQLLDGAPFRPGDKIPMSVSLAKFQQKGEKFVSKQVDKDNRRKKKTKKLEDKMLGWGGRDDSKVLIPTTVVLRYMFSPAEMRADENLHSELEEDVKEECTKLGPIDAVKVCDNHPQGVVLVKFKDRKGAQACIELMNGRWFGGRQIHASEDDGLINHATVRDLEEDAKRLEQFGEELEGE >RHN74843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37109110:37109739:-1 gene:gene10968 transcript:rna10968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MISKSRTSTINVAIIVALFTANVVLSDDLTPIPANRAQLDAWFNTNVGPLAQRKATLDPSLVAAEAAPKVITVMQDGKGDFKTITDAINSIPTGNNKRVIVKIGGGNYNEKIKIERTKPFVTLYAAPGTMPNITFGGTAAKYGTVDSATLIVESDYFVAANIMLSVCLLSPLYDAFCLYSQFHPSLFVLSSDLVKFVVKKKIEKIIAYI >RHN65448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2321533:2321980:1 gene:gene13287 transcript:rna13287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MLCFVSTLLSLFASYLSKKVWKKNMGALYYLISNFCTPSTKSKRKPMQTVEIKVKMDCDGCERRVRNAVATMKGFKFHNYFSKVYAINFASVLDLAS >RHN55217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16207675:16213167:1 gene:gene30362 transcript:rna30362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein ENHANCED DISEASE RESISTANCE 2 MGACVSTQQGCVGGRFKKKNRKRKRIIGFRRRVSSQFSSLDNNKVDLPSLPQHSFTNPTFQGSIEEAWFDSVTVFDSDCDDDYQSVPDDVVSLNGIEGGSVSSFPHSRDANQSQKPNISEAARSSDVQHVGVDGNMIEVTEPVFLDDISSVDANSNKDEGILDNCGIIPNNCLPCLASTIPSLEKRRSCSSPPNSKKKAPPKLSSRFSSKTLQRPIAGSQVPFCPIDKKMLDCWSHIEPGTFKVRSVNYFKDRKKEFAPNYSAYYPFGVDVFLSPRKVDHIARFVELPNVSSTAKFPPILVVNVQIPLYPTTLFQGEIDGEGASFVLYFKLSESYAKELPQHFQENIRKLMDDEVEKVKGFPVDTIAPFRERLKILGRVGNIEDLHLSAAERKLMQAYNEKPVLSRPQHEFYSGENYFEIDIDMHRFGYISRKGFEAFMDRLKICTLDIGLTIQGNKVEELPEQVLCCIRLNGIDHLNYHQLGLTQDPL >RHN58321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:763743:764414:-1 gene:gene20222 transcript:rna20222 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFKPNVPFSLSEAQFNFFPFLHPHFTSHTPPASHFFNFFF >RHN43344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45047082:45051665:-1 gene:gene49902 transcript:rna49902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine-nucleoside phosphorylase MREILHVQAGQCGNQIGGKFWEVMCDEHGIDPSGSYVGKSHLQLERVNVYYNEASGGRYVPRAVLMDLEPGTMDSLRSGPFGKIFRPDNFVFGQNGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQICHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISTTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYSSLTIPELTQQMWDARNMMCAADPRHGRYLTASAMFRGKMSTKEVDQQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLSMSSTFMGNSTSIQEMFRRVSEQFTVMFKRKAFLHWYTAEGMDEMEFTEAESNMNDLVSEYQQYQDAAGVEEGEFDEDDEEEIA >RHN74202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30118856:30119137:-1 gene:gene10219 transcript:rna10219 gene_biotype:protein_coding transcript_biotype:protein_coding MKNATFWEVPKTGPAMNDTDCFTWKNKENKLCYDCNSCKGEVLANIRNQWRYLTIFNVCVLILLTSIYVLGCYAIRNNRRNQHLVYHPYGINR >RHN43556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46826480:46828254:1 gene:gene50157 transcript:rna50157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGNDFKILKPQPTSPSKTPLHNSQNDTVSTPSVPKTDTENPLPELPEELIINEILLRLPVRSLLQFKCVCKSWKTLISDPQFSKTHRKASTADPLLVTSVIHSGKCEIIAYPVKPPPENLSTPVESFSIFGTRRKYHIIDSFNGLLCLYDVSQFNFTLWNPSINLKSETSPTNVLSDYKFMTYHGFGYDHVNDKYKVLVVMRNAAVVDYREIVTRIYTFGENSWKTVPNFPGKSHVWSGKFVSGTLNWLVNKRAGGNSSQRGILSFDLGKETFREVLLPQHDGYDVRNCGLYVLSNCLCVCFDHSNKNHWGVWMMNQYGVTESWTKLMIIPCKKLMRHLVDPLFISENGVLILMMNSIYSKFVRYNLNNGRIGYPKILGKLGREMHIYHESLVSPQW >RHN67656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28991113:28991662:1 gene:gene15864 transcript:rna15864 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MLRKKIISFSMVVMVLGMLVATLDARQIDDVSCPSALFSLLPCLPFLQGVGPATPTSYCCAGITDLNQKANTTQIRRDVCNCLKPAASRFGVNPDRSKQLPTLCNITLNVPFDPSVDCNTVQ >RHN72276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6383044:6383470:-1 gene:gene7970 transcript:rna7970 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATAAVWRRKVWAMERREERVGCCVGRESARFAEEERELCWFSF >RHN65257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:600209:605647:-1 gene:gene13077 transcript:rna13077 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWRLPIGSRRKSLILLFPFFTAEPNQLAFSAALGITLGVFPICGVTVFLCGMAIALLGSYCHAPTMMLTNFMATPIELSLIVPFLRFGEFMSGGPHFPLTSDALKKVLTGQASHEVILSVVHALYGWLAASPFILGALYIVFLPLFRILVQKFSSVPLSPKKPLLSHSELRLKVRDV >RHN75862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45604790:45608201:-1 gene:gene12117 transcript:rna12117 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKGSDKSKFCGVGGGSDKRHTSSSEKSTWPSEVRNPVSYDDEDVPLSQRLSRMLSSGTWSTDRDVTLKKRHNTALMSLPVKRPLFDNRSSLNTSVKKSKVSPYVNQHKDKDDTPLSRRLAMPVGKSDYKSLSSLKKELALVEKSFHECKKKRHEEEERLQSIKRDIEERSKELGNIKKEMSFVEITNESHKKMQEKIEECVKDFAAKQAQLCLMDDLIGERKQELKTKETELRPVMDNGDKVCEGKEQELKALSQKIAQCSVELKAKEKECDTMKKLIDEQAERLELERIKLLRIMQLSKNDPRAQVKDFESMKKQFDAQVKELELKEKRYIERAVELESKEKLFEGRVKKLKSKKKQLKSQVKEFEPMLEKFHGQIKELEYEKQHFDSRVKELESNERQLERRARQLVLKEEQLKGLVKEFDSKEEQFKDQVKDLKSKQNQLDVQVKELESEKEQFKGQLKEFQTKEKLLEDRVKEFESKEEEFKARMQNLKGFVSQMEDFKSEEKQFEGRGKEPESKDKKFKAHVKELKPKEKQFDGRMKGFESMPCKFDGKLKRPELREKKYDALIEPELGNQLSPVIDERSLMLLSSEQTDELELFDDDILGYLQGSSDPSKVVLDIIQNPIIKKCKIGDDAAIIDDSHILLLEELRKISPDIRPHVKEEAMKLALDMKANISQNTENSVAVLGFLLLLSIYGLVPSFDEDEVLKLFGLVSQHNIVVELFGAMGFVKNLIKQRQYDEAVRFSRVYNFSDNNQLVDLFEEHVQNLKLISESICKETNSIEIKDKARDQEVASLKAVLQCIIDNNLEFKDLLNKIDNRILELQRGKECFYWKQRVLSS >RHN66254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10092130:10095244:-1 gene:gene14201 transcript:rna14201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MAQGSDAVSASHPFTVETTTTQRKRSTGTLTCPPPELPTLPFDLLPEILCRLPVKLLVQLRCLCEFFNSLISNPKFAKKHLQLSTKRHHLLVTSWNISRGEFVQHDFPIPLVFSTSTAVTQTQLYAPNILTNPRNFPTVMCCSEGILCGQLNLGSYFLYNPSIRKFKLLPPFENPCEHVPLYINFGYDHFIDNYKVIVVSTKNEVSVYTLGTDYWKRIEDIPYNIFGEGVFVSGTVNWLASDDSFILSLDVEKESYQQVLLPDTENDLWILGVLRNCLCILATSNLFLDVWIMNEYGNQESWTKLYSVPNMQDHGLEAYTVLYSSEDDQLLLEFNEMRSDKVKLVVYDSKTGTLNIPEFQNNYDQICQNFYIESLISP >RHN50122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4239333:4249040:-1 gene:gene34377 transcript:rna34377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MATATATKPQRTPQEVEDIIIRKIFLVSITGESTTTTGATDSRIVYLELTAAEILSEGKDLLLSRDVMERVLIDRLSGDFTVTGVESSTFHYLVGCYNRAHDESKKIVNMKDKNLRSEIETVIKQAKKLCVSYCRIHLANPELFSGARDSNFSASGTGAGASRSPLLPLIISECGGGGGMGVFGGETRIAGVKSPPGFLDEFFRDSDFETLDKILKGLYEELRGSVMKVSVLGNFQDSLRVLLFLVRLPIGAKSLVSHEWWIPKGVYMNGRAIEMTSILGPFFHVSALPDQNFFKSSPDIGQQCFSDASTRRPADLLSSFTTIKTVMNTLYDGLSEALRILLKSTDTRENVLEYLAEVINLNASRAQMQVDPITSASSGMFVSLSAVMLRLCEPFLDANLTKRDKIDAKYVHHSNRLNLSGLTALHASSEEVAEWLKSKNPATAVDINQYNDGGKRLQESQEASSSGSNNASPKYSFICECFFMTARVLNLGLLKAFSDYKHLAQDISRSEDTLSTLKTMQEQSPSPQLALDITRLEKELELYSQEKLCYEAQILRDNTLIQNALSFYRLMIVWLVGLVGGFKMPLPNPCPMEFSTMPEHFVEDALELLIFASRIPKALDGVVLDEFMNFIIMFMGSPDFIKNPYLRAKMVEVLNNWMPRRSGSSATSTLFEGHQLSLQYLVRNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWNVPSHRSAWRQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKELEAEMSNTAEWERRPVQERQERTRLFHSQENIIRIDMKLANEDVSMLAFTTEQITAPFLLPEMVDRVASMLNYFLLQLVGPQRKSLSLKDPEKYEFRPKHLLKQIVHVYVHLARGDTNSIFPSAISKDGRSYNDQLFSSAADVLRRIGEDGRIIQEFIQLGAKAKVAASEAMEAEDTLGEIPDEFLDPIQYTLMKDPVILPSSRITVDRPVIQRHLLSDSSDPFNRSHLTADMLIPDVELKAKIEEFIRSQEMKKHGESINIQSSKATIQTTDTSEMLID >RHN42078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35250650:35251955:-1 gene:gene48469 transcript:rna48469 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSMTTRRGLGNGRYERLGKESATTTLLHEEFKRSTSMPSRATNTSRKMTLGSTFGEINLKRNPTKKANSNSSKKSHPLLNFLDFRRKKKTTARPEFARYIEYLKEGGMWDLNSNKPVIYYK >RHN60925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31618271:31623784:-1 gene:gene23321 transcript:rna23321 gene_biotype:protein_coding transcript_biotype:protein_coding MSDANSLPRNSGYLDALSQAIHKKLQRALANSSQRRNLLQELFADVALEVDDRAKDVIFNKEEDVISPVNYAMDGPLCFYDVLADYFVQVPESGKPVLDMIVQLWSQSFASHIFSLLFHKWMFEVHLDNPEVLLRYSSALVQGATNVFWIDIQTNTRRFQSIFRYLLDDVALDHTRLNKIPLQAQRDMYLLLSRFILFYNSAGKVDSFLKQCPVFQTAFLVGGPADIFVNELTDQLQKLKVEPVLLHYLSEIKVLQGMELRMTTSTRLKTCLYSFTSPGGPMYPTRAVRHAAWESLDFLFPVGQYPRHLISLFFRLLYPWYWPSSCWNFVISCVRTIFYSLLRLIFSTWEKVSKPKTQ >RHN65573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3332518:3342243:-1 gene:gene13426 transcript:rna13426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDIFQFAWKIDSILSLLFSECVALVISKPWYRNAKEPKQCISTFLDCKQWLAKPNLEKKRKNSNEMAETAVSFAVQLCQFVVEERTLVTGVESDFNDIKDDLESIQSFLKDADRKADDDDEGGGTNEGVKTWVKQVREVSFRIEDVIDEYIMCEAQRMNHSIFTATLQMFPGLLRIMNPHHQIASQIQDIKSTLGKIKERRTRFPFQSDNESGSYRGSKAPRIGDPRMAPYFIEETQVVGFESPTNELIRRLVGGDNEHTLVSVVVGMGGLGKTTLAKHVFDNDLVKSHFDCRSFITVSQSYTMRELFTEMIKKLCKDKNDPIPKGLQKMDDKTLIIQVRQYLETKRYLVLFDDVWKEKFSDEIKHALISNNKGSRIIVTTRNMKVAEYFTKDFPVHIHELQPLSSEKAWELFCNKAFIFEPGKQCPKDLEDMSDEIVQKCGGLPLAIVAIGGLLSTKPKTIFEWEKVSRNLRVELERNVHLTSLIRILSLSYDDLPYHLKSCMLYFGIYPEDYSIKRKRLTRQWMAEGFVKKEGTKLLEEVAEEYLTELIQRSLVNASVLGFDGKVRSCQVHDVLREVIIKKMKDQSFCHLMHEDDEQVTVGITRRFSIAAISNNELRNTSNSGIRAVFVFDKGELPKQFMDVLSVKFKLLKVLDFEKSSLNFIPDNLGNLFHLRYLNLSHTKVTVLPRSIGNLINLETLDLRQTQVHELPKEINKLTKLRLLPAYYRKYEGQYSMLNFTIGVKMHQGIECLKSLQKLYFLEANHGGIKLIQELKMLKQLRKLGIKSVRQEYRTALSAAIQEMKQLESLNIGAITKDEILDLDLASAPSRLRVLNLKCRLTKLPNWIPNLKSLVKLRLGLSNFEDDPLDSLKNLPSLLRLNLWDDAFSGEILHFKKGGFPKLKELDLTRLSKLSSISIHEDALVNLEHFRFKNNPQLKVLPQDLQNLKNLQFLGFAEMPVELVDSIDPEKGGAHHRIINHIPLVQIRQNFGEKFHDYKLHRIPTQINI >RHN63310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50299467:50302237:1 gene:gene25996 transcript:rna25996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S15 MGRMHSGGKGISSSALPYKRSAPGWLKTSTQDVEETICKFAKKGLTPSQIGVILRDSHGIAQVKFITGSKILRILKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >RHN40772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20692349:20695978:-1 gene:gene46973 transcript:rna46973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate dehydrogenase (NADP(+)) MVSYRVEDFQTGCFISSSKNGWTRVIVEKPFGRDSESSSELTRRLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFRTEGRGGYFDNYGIIRDIMQNHLVQILALFAIEPPVSLDAEDIRNEKVKVLRSMRPIQLEDVVVGQYKGHSKGGRSYPAYIDDSTVPMGSLTPTFAAAALFIGNAR >RHN78518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15924074:15924529:1 gene:gene2095 transcript:rna2095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MVTISKVVSVISMLLTILIVLQFEEGKSFNFDLVNVYVTNNITNYQLGVHCKDKNHDKGFKSLKFGETYTFAFYPEFPFPRSLYFCGFTWSNIRHRFDIYDQRRDVKDCGNYCRWIVNESGPCDVSDGVKCFPWGPDVVTSGRILDHTPNV >RHN82264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52419355:52421623:1 gene:gene6413 transcript:rna6413 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLNLKKDYSIKDIEHQFIRASESGRDVSRLLEANKIKVGFSDAKGKSSKLALITTIQPVCCRKKTSPVFQEPVQKNY >RHN45300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:15448380:15450463:1 gene:gene39559 transcript:rna39559 gene_biotype:protein_coding transcript_biotype:protein_coding MYARGQNDVAFFTSIHTHFPVCCSSLKTTIPYRFVFAALPLKSILLLLSSCPKSISEFIHLMPKLISFSIVFTPKSICLQTL >RHN77078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3829670:3830501:1 gene:gene489 transcript:rna489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II MASASVSMSLPLTQATHKNLHNSSSTPFLKPLPFPQAKKTLVNKSTSKSNVVGIQASLKDKTVKGLTAFALTASMVLPDVAHAAGNDFSPSLKNFLLSIAAGGVVLTAILGAVVGVSNFDPVKRT >RHN67262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25280671:25283123:1 gene:gene15434 transcript:rna15434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MIPRRRIASEIQDFKTSVRGSTERSERYGFQRSFEQGTSNSRGSRNAKWHDPRVAALYVEEAEVVGFEAPRQRLIDWMVKGREERTVLSVVGMGGQGKTTLSKKVFDSKDIIGHFDCRVWITVSQSYEVEGLLRDMLPKIYKQEGDNPPSSIYQMDRGLLTDEVRKYLQKKRYVVVFDDVWNVHFWDDIEFSVIDSKNGSKIFITTRNMDVVLSCKKSSFIELFNKKAFKFDYGGSCPKELIGIANEIVKKCKGLPLAIVAIGGLLSTREKNVFEWQRFRENLNFELMTDTHLIGIKEILGLSYDDLPYYLKSCLLYFGVYPEDFEVKSKRITRQWIAEGFVKEERGKTMDEVAEGYITELIHRSMVQVSSLRIDGKAKGCRVHDQIRDMILQKNKYFYFCKHISDDGQTSLGGIVRRLSITTIDDVFRECINGSHVRSLFCFGNKEISTSFSREIPTKYRLFKVLDFEDFLMKNIPNNLGNFIHLKYLSFKSSNSGVKVPKPIGMLQNLETLVVRGEYFMELPKEISKLRKLRHLIGHRLSLIQLKDGIGEMKSLQTLRRVSLDMDGAAEVIKGLGKLKLIRDLGLLEVHKENERIFSSSINEMQHLEKLRVINFKYNNFVDLNLISPPTMLQKLILNGGLKEFPEWMLALQNLTVLRLVCPYSVKDSLQSLKSMQHLLILLLDLSMYKGLHLHFQDGWFQKLKELRVDHSYKLREIIIDKGSMPSLKTLSLMRLFNLKNIPTGIQHLEKLEELWIAGVDDEFGERSSTEDWNWIMDHGANIYSKDFNKIKKSRT >RHN68227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33869514:33888983:1 gene:gene16547 transcript:rna16547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MDGCISSRVKTERKSHSYNGLNSKVDGREDDTMIGLISNATSSWALKCFTLKELKTATGNLVPDSQVTEDEFYVKGWIDEHTLGPTKPGSGLTVAVKKLNNASHQEPSEWLRKINNMGKLCHPNLVRLIGYCLEDVYPILVYEYLDKGSFDKCLFKRGSDFQPLSWKMRIKIALDAAKGLAFLHSEKVNVIHGDFNTSNILIDSNHNAKLSDFGLDKYDDPNNYARLNPITYAAPEYSTTGHLTKKSDIYGFGVLLLEIMSGKRAWDYDRPRREHNLVVWSQPLLVNKDKIYQVMDSHIEGQYSPHEAMEVARIAIQCLSFSSKNRPNIDDVVRSLEKL >RHN75548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43193762:43197163:-1 gene:gene11772 transcript:rna11772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MKYSMRLGFLSFLLVVLLVVTSSNGEDPYRFYTWNVTYGDIYPLGVKQQGILINGQFPGPQIESVTNDNLIVSVFNSLDQPFLISWNGVQQRRNSWQDGVYGTNCPIPPGQNFTYVLQVKDQIGSYFYFPSLALHKAAGGYGGIKINSRPMIPVPFPPPSNDFTILAGDWYNRNHTDLKAILDNGSDLPLPDGLIINGRGSNAYTFTVDQGNTYRFRISNVGLTTSINFRIQGHKMKLVEVEGIHTLQNTYDSLDIHLGQSYSVLVTADQPPQDYYIVASTRFTSQILTATSILHYSNSAKTVSGPPPGGLTSQIDWSLEQARSLRRNLTASGPRPNPQGSYHYGLINTTRTIRLENSAPVINGKQRYAVNSVSFIPADTPLKLADYFKISGVFSLGSIPDNPTGGGGYLQTSVMAADFRGYVEIVFENPEDSVQSWHIDGHHFFVVGMDGGQWSAASRSNYNLGDTISRCTVQVYPKSWSAVYMPLDNVGMWNVRSENWARQYLGQQFYLRVYSPANSWRDEYPIPSNALRCGRAVGH >RHN47585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40810519:40810885:1 gene:gene42203 transcript:rna42203 gene_biotype:protein_coding transcript_biotype:protein_coding MCVNCKSLYMVSSNLQGLGSKNSLGLLKNKDICRLNQIIPYSCDFPMMVRLPF >RHN72051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4636781:4640370:1 gene:gene7720 transcript:rna7720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MRRRATDFRRPVRRKVPDALWWALCCAVILLFIYILTRGTQIESRPPLSKRTYKNDRIMEGLNITEEMLSSDSVTRQLNDQISLAKAFVVIAKESNNLQFAWELSAQIRNSQILLSNAATRRSPLTTRESDSAIRDMALLLYQAQQLHYDSATMIMRFKAKIQALEEQMNSVSEKSSKYGQIAAEEVPKSLYCLGVRLTTEWFKNLNLQKKLKDKRQVEMKIKDKNLYHFCVFSDNIIATSVVINSTAKTSKNPNMIVFHLVTDEINYASMKAWFAMNDFRGVTVEVQKYEDFSWLNASYVPVLKQLQDSEIQSYYFSGNSDGGKTPIKFRNPKYLSMLNHLRFYIPEVFPALKKIVFLDDDVVVQKDLSDLFSIDLNGNVNGAVETCMETFHRYHKYLNYSHPLIRAHFDPDACGWAFGMNVFDLVEWRKKNVTGIYHYWQEKNVDRTLWKLGTLPPGLLTFYGLTEPLDPSWHVLGFGYTNVDPQLIERGAVLHFNGNSKPWLKIGIEKYKPLWEKHIDYSHTFLQQCNFH >RHN52011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30220386:30222414:-1 gene:gene36619 transcript:rna36619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEILISVVAKIAEYTVVPIGRQASYLIFYKGNFKTLKDHVEDLEAARARMIHSVERERGNGKEIEKDVLNRLEKVNEVIEKANGLQNDPRNANVSCSAWPFPNLILRHQLSRKATKILKDVVQVQGKGIFDQVGYLPPLDVVASSSTRDREKYDTRESLKEDIVKALADSTSCNIGVYGLGGVGKTTLVEKVAQIAKEHKLFDRVVEIEVSKNPDFKKIQGEIADFLGLRFEEETILGRAHRLRQRIKMEKSILIILDNIWTILDWKEVGIPVGDEHNGCKLLMTSRDQGLLLQMGVPKDFTFKVEVMSENETWRLFQLMAGDVVNDSTLKDVAIQIAQKCEGLPLMVVTVAHGMENKRDVQYWKYALRKLQSNDHSEMNAKTYSAFELSYNSLESDEIRDLFLLFALLPDTVVEYSLRVAMGLDILNHTIDDARIILYRVIESLEATCLLLGVKKRGKIQMHDLVRDFAIFIACRDKHVFLKKQSYEGWPTKDFLKRCTQIVLDECHMHGLPQIIDCPNIKLFYLRGRNRSLEIPDNFFEDMGSLRVLDLTSLNFSSLPTPFWFLTDLKTLCLDHCVLENMHAIEALQNLEILSLWNSSMTKFPIEIGKLTQLRMLYLSHLGIEVVPPNIISNLTKLEELYMGNTSINWEDVNSTL >RHN63323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50392725:50395352:1 gene:gene26010 transcript:rna26010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MYLFRAHFFHSSIKQKVKFLLNMDYFLFIALTFVLSFHIHFTQGSELHPTARSTETSTSKIYIIHVNKPEGKLFTQSEEDLESWHHSFMPTTVMSSDEQPRMIYSYRNVLSGFAARLTQEELRAVQQKNGFVSAHPERTLRRQTTHTPDFLGLQQNIGSWKESNFGKGIIIGVLDSGITPDDHPSFSDAGIPPPPSKWKGRCKLNGTVCNNKLIGARSFNNAAVKGEKAEAPIDEDGHGTHTASTAAGAFVENAEVLGNAKGTAAGMAPHAHLAIYKVCFGEDCAESNILAALDAAVEDGVDVISISLGLSEPPPFFNDSTAIGAFAAIQKGIFVSIAAGNFGPSDASLVNGAPWMLTVGASTIDRTIVATAVLGNGEEFEGESVFQPSDFSPTLLPLAYAGINGKVESSFCANGSLSDIDFRGKVVLCERGGGIGRIAKGQEVQRAGGAAMILMNDELNGFSLSADVHVLPATHVSYAAGLKIKAYINSTSPTATISFKGTIIGNSLAPSVASFSSRGPNLPSPGILKPDIIGPGVNILAAWPFPLDNNTNSKLNFNIMSGTSMSCPHLSGIAALLKSSHPDWSPAAIKSAIMTSADTLNLENKLIVDETLQPANLFATGSGHVNPPRADDPGLVYDIQPDDYIPYLCGLGYSDREVGIIAHRKINCTETSSIPEGELNYPSFSVVLGSSQTFTRTVTNVGEAQSSYFAIVAAPKGVDVSVHPNKLYFSEANQKQTYSVTFNRIGSGHKTVEHGQGFLKWVSAKHTVRSPILVNFT >RHN46460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31904604:31908470:-1 gene:gene40948 transcript:rna40948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MVGPSRPQFVLFGSSIVQLSFLKEGWGAILSHLYSRKADIVLRGYSGWNTRRAVQVLDTIFPKNATEQPSLIIVYFGGNDSVLSHPSGLGQHVPLQEYIDNMKKIANHLKSLSKKTRLIFLSAPPVNEAQIYGNSCVKRPPRNNESCRIYSEACLELCREMNIKAIDLWSAIQKRDNWRNVCFTDGIHLTSEGSKIVVKEILNILKEADWEPCLHWKSLPDEFGEDSPYDPLGPDEKTLNVSSLTFMETMEYD >RHN56170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28478246:28478534:-1 gene:gene31530 transcript:rna31530 gene_biotype:protein_coding transcript_biotype:protein_coding MERPCQFIGDVTDKSDFWKLTVRVKDKWTVVKDGKEHLEMIIVDVKGHTSCYSYDIQSYL >RHN79286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27130083:27133465:-1 gene:gene3056 transcript:rna3056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MAESTKHVTHLNIKSFSSVLKTRKTIAFTYAFMLAFVSLTVFLAFSPSSNASSPWFTNIFTTKTSTFDSSIFSFFFHNTTTSSSTFSNTFGNTSRSNSNTFQSSKSNTTTFKATHVGNKTQNSLNTSKDAVSTTNQTSSHVSDEFKHQKKMNQTASMKDEIHMMESLMKCDFFDGKWIKDESYPLYKPGSCNLIDEQFSCINNGRPDKDYQKLKWKPKGCSLPRLDGHKMLDLLRGKRLVFVGDSINRNMWESLICILRNSVKDKSKVFEANGRVHFKGESSYSFIFKDYNFSVELFVSPFLVQEWEMLVKNGTKKETLRLDLVGRSSNQYKDADIIIFNTGHWWTHDKTSKGKDYYQEGSHVYNELNVLEAFRKAITTWSRWVDANINTSKSMVFFRGYSSSHFSGGQWNSGGQCNSEIVPIKNEKYLRQYPPKMRVLEKVLRNMKTRVTYLNVTKMTDFRKDGHPSIYRKQNLSPDERKSPLMYQDCSHWCLPGVPDTWNEILYAELLMKQYQYRNQNQNQNQH >RHN60894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31415548:31417862:-1 gene:gene23287 transcript:rna23287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-S seed storage protein, plant MDINLSPQMAKEVYGGDGGSYYAWSPSELPMLRQGNIGAAKLALHKNGFAVPRYSDSSKVAYVLQGSGVAGIVLPESEEKVVAIKEGDALALPFGVVTWWYNKEDTELVVLFLGDTSKAHKAGEFTDFFLTGPNGIFTGFSTEFVGRAWDLDENNVKTLVGKQSAKGIVKLDGKISLPQPKEEHKKGMALNCLEAPLDVDIKNGGRVVVLNTKNLPLVGEVGLGADLVRIDGRSMCSPGFSCDSALQVTYIVRGSGRVQVVGVDGKRVLETTLKAGDLFIVPRFFVVSKIANNDGMEWFSIITTPNPVFTHMAGSSSVWKALSPTVLQAAFNVDPEVEKLFRSKRTADAIFFPPPN >RHN76165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48156706:48157466:-1 gene:gene12450 transcript:rna12450 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSPSNHPFLARSRHSWWWCDEIRLRITSLVTLRQFLGPFPPVVVAHSPAYLFAAATRVVMVVWSLFFPLGSDPILIGSFSGFKLLLSKPVVLALFSGVDGSSATRVFVLAVVVAGSSLFRRSCSNGWVVGGVVVLFVLVGFGSLLGFCRPDWSSCATGFVGSVVMTAQLSATASPSRLILLLRNFRIVPRRKDRGGLWLSCWWL >RHN46803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35005939:35006286:-1 gene:gene41334 transcript:rna41334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MKSKEFENIIWGIMEKAGKPNVSDFFPILRPFDPQGVHAKMTSYMKKLCDIFYGIIEERICSRASKADEVCNDGLDSLLNNNNIGETTSQLSRNKMLCLFLVSVGFPMSNYYFFH >RHN44510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5551362:5564546:-1 gene:gene38638 transcript:rna38638 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLSGAIVCAVQTISNIGFSISGTGSEPTIRAAAPSPNSACPTRLSK >RHN54318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8610917:8638732:1 gene:gene29329 transcript:rna29329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSPVQNFEQHSRHLVEPDLTIQARLQMVMEVRDSLEIAHTAEYLNFLKCYFRAFSAILLQITKPQFVDNPEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFKLTVSHFFENMAMTGEDVKPMDTLSDQSINPATATGSQLNPSTRSFKIVTESPLVVMFLFQLYSHFVQANIPQLLPLMVAAISVPGPERVPPYLRTHFTELKGAQVKTVSFLTYLLKSYADYIRPHEESICKSIVNLLVTCTDSVTIRKELLISLKHVLGTDFRRGLFPLIDTLLEQRNLVGTGRACFETLRPLAYSLLAEIVHHVRQDLSLSQLSRIIYLFSSNMHDASLSLSIHTTCARLMLNLVEPIFEKGVDQQSTDEARILLGRILDAFVGKFSTFKRTIPQLLEEGEEGKDRSTLRSKLELPVQAVFNIVGPPEYSKEVNDYKHLIKTLVMGMKTIIWSITHAHSPRSQVLVSPSSNLPPPQASRGMREDEVYKASGVLKSGVHCLALFKEKDEEREMLHLFSQILAIMEPRDLMDMFSLCMPELFECMISNTQLVHIFSTLLAAPKVYRPFADVLVNFLVNSKLDVLKTPDSPAAKLVLHLFRFIFGAVAKAPSDFERILQPHVPVIMEYCMKNSTEVERPLGYMQLLRTMFRALSGCKFELLLRDLIPMLQPCLNLLLAMLEGPTVEDMRDLLLELCMTLPARLSSLLPYLSRLMKPLVLCLKGSDELVSLGLRTLEFWVDSLNPDFLEPSMANVMSDVILALWSHLRPAPYPWGAKALQLLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFEPATPFLVPLDRCINLAVEAVMNRNCGMDAFYRKQALRFLRVCLSSQLNLPGTVADEGCTSKQLSAMLASTVDQPSRRSESMDAKADLGVKTKTQLMAEKSVFKILLMTIIAANGEPDLTDSADDFVVNICRHFAMIFHMDSSFSSVSVAAIGGSSLSVHVGSRTKSSICSNLKELDPLVFLDALVDVLADENRLHAKAALDALNMFAETLVFLARSKHTDFIMSRGPGTPMIVSSPSMNPVYSPPPSVRVPVFEQLLPRLLHCCYGLKWQAQIGGVMGLGALVGKVTVETLCLFQVRIVRGLIYVLKKLPIYASKEQEETSQVLTQVLRVVNNADEANSEARRQSFQGVVDFLAQELFNQNASIIVRKNVQSCLALLASRTGSEVSELLEPLYQQFLQPLIMRQLKLKTVDQQVGTVTALNFCLALRPPLLKLTPELVNFLQDALQIAESDDNAWVAKFINPKVVTSLTKLRTACIELLCTTMAWADFKTPNHSELRAKIIAMFFKSLTCRTPDIVAVAKEGLRQVINQRMPKELLQSSLRPILVNLAHTKNLSMPLLLGLARLLELLSNWFNVTLGGKLLEHLRRWLEPEKLAQCQKSWKAGEEPKIAAAIIDLFHLLPPAASKFLDELVTLTIDLEGALPPGQVYSEINSPYRLPITKFLNRYAPVAVDYFLSRLSEPKYFRRFMYIICSEAGQPLRDELSKSPQKILSSAFSEFMPKSEVAMASSSTIANATLSGEENHVSASSDGSNVLVPTPNATSDAYFQGLALIKTLVKLMPGWLQSNRAVFDTLVLVWKSPARISRLQNEQELNLVQIKESKWLIKCFLNYLRHDKNEVNVLFDILTIFLFHSRIDYTFLKEFYIIEVAEGYPSSMKKALLLHFLNLFQSKQLGHDHLVIVMQMLILPMLAHAFQNGQSWEVVDPAIIKTIVDKLLDPPEEISAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDTASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENRMLVRQALDILMPALPKRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTTENRRLAIELAGLVVNWERQRQNEMKVVTDSDAPNQINDVFNPSSAESKRSVEGSTFPDDTTKRVKAEPGLQPLCVMSPGGPSSIPNIETPGSSSQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEASAMYKQALELLSQALEVWPNANVKFNYLEKLLSSIQPSQAKDPSTALAQGLDVMNKVLEKQPHMFIRNNINQISQILEPCFKHKLLDAGKSFCSLLRMICVAFPQEAASTPADVKLLYQKVDDLIQKHVTTVTAPQTSSDDNNAGAISFLLLVIKTLTEVQRNFIDPLVLVRLLQRLQRDMGSSAGSHIRQGQRTDPDSAVTSSRQGVDVGAVISNVKSILKLITERVMVVPECKRSVSQILNALLSEKGIDASVLLCILDVIKGWIEDDSKQGTSITSSAFLSPKEIVSFLQKLSQVDKQNFSPTHLDEWDQKYLELLFGLCADSNKYPLTLRQEVFLKVERTFMLGLRARDPEIRMKFFSLYHESLAKTLFTRLQFIIQVQDWAALSDVFWLKQGLDLLLAILVDDKPITLAPNSARVQPLLVSSSLLETSGMQHKVNDASEGAEDAPLTFETLVLKHTQFLNNMSKLEVADLLIPLRELAHTDANVAYHLWVLVFPIVWVTLHKEEQVTLAKPMITLLSKDYHKRQQASRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFPNDSKCCESLAELYRLLSEEDMRCGLWKKRSITAETRAGLSLVQHGYWHRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLYCASQLSQWDALADFGKSVENYEILLDSLWKLPDWTYMKEHVIPKAQVEETPKLRLIKAYFALHEKNTNGVGDAENMVVKGIDLALEQWWQLPEMSVHSRIPLLQQFQQLVEVQESAKVLIDISNGNKLSGNSAVGVQGNLYADLKDILETWRLRTPNEWDNMSVWYDLLQWRNDTYNSVIEAFKDFGSTNSALHHLGYRDKAWTVNRLAHIARKQGLFDVCVNVLEKLYGYSTMEVQEAFVKIVEQAKAYLETKGEVTAGLNLINNTNLEYFPPKHKAEIFRLKGDFFLKLNDSENANLAYSNAISLFKNLPKGWISWGNYCDMAYKETHEEIWLEYAVSCFLQGIKFGVSNSRSHLARVLYLLSFDTPNEPVGRAFDKYYEHVPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKIATLYPQALYYWLRTYLLERRDVANKSELGRIAMAQQRAQQSVSGTGGGSHGGIADGNARTQVPGDIQAHQGSQSAGGIGSHDGGNSHGQEPERSTSAESNIHNANDQPLQQGSANLNEGGQNTLRRAGALGFVASAASAFDAAKDIMEALRGKHANLASELEVLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCRACFSADAVNKHVDFVREYKQDFERDLDPESTATFPSTLSQLTERLKHWKNVLQSNVEDRFPAVLKLEEESRVLRDFHVIDVEVPGQYFTDQEIAPDHTVKLDRVAADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRMMNQMFEKHKESRRRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCSRNDREADLPITYFKEQLNQAITGQISPEAVGDLRLQAYNEITKNLVNDNIFSQYMYKTLPSGNHTWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGLIEFNEPVPFRLTRNMQAFFSHGVEGLIVSSMCAAAQAVASPKQSQHLWHHLAMFFRDELLSWSWRRPLGMPMAPMAAGGTMSPVDFKQKVITNVEHVVGRVKGIAPQNFSDEENVMEPPQSVQRGVTELVEAALNPRNLCMMDPTWHPWF >RHN73319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15339543:15343638:-1 gene:gene9120 transcript:rna9120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative D-aminoacyl-tRNA deacylase MMMMQAQSLWPHRFCHTGLNNKNRSRTTIVNNTNSKKRISKAVTVRAMRAVVQRVASASVEVDGRIVSEIGPGLLVLVGIHDSDSDADADYICRKVLNMRLFTNEDTGKAWDHSVMQKNYQVLLVSQFTLYGFLKGNKPDFHVAMAPQRAKPFYASLVDRFRNAYNSDALKDGVFGAKMKVSLVNDGPVTMQLDSQSPKNTIDAAES >RHN47361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39154839:39164519:-1 gene:gene41953 transcript:rna41953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-mannosidase MMINTVVLFTVLVAVIHVVNSEYIEYNITQRIIPDKINVHLVPHSHDDVGWLKTVDQYYVGSNNSIRGACVQNVLDSVISSLLEDPNRKFIYVEMAFFQRWWRQQSKAKKLKVKDLVNSGQLEFINGGMCMHDEATPHYIDLIDQTTLGHQFIKDEFGKNPRVGWQIDPFGHSAVQAYLLGAELGFDSLFFARIDYQDRAKRLKEKTLEVVWQGSRSLGSSSQIFTGIFPRHYDPPDGFTFEINDVSQPIQDDVLLFDYNVEERVNDFVSAALAQANVTRTNHIMWAMGTDFRYQYANSWFRQMDKFIHYVNQDGRVNALYSTPSIYTDAKYAANEQWPLKIDDFFPYADHPNAYWTGYFTSRPALKGYVRTMSGYYQAARQLEFFKGRNESGPNTDALADALALAQHHDAVSGTERQHVAADYAKRISIGYDEAESLVASVLALLVNQKSSSHVINPVTGFQQCPLLNISYCPPSEATLANGKSMVIVVYNPLAWKREEVIRIPVSTAEVFVQDSSGKEIESQLLPISNITLSIRKKYVKAYVGTAPAGDLKYWLAFPVSVPPIGFGTYVVSSPKHTGHISTISTEFRSEESTNNSIEVGQGNLKLLYSADEGKLTQYVNNRNLVTTSVEQSYSFYSGYVGDDKDSQASGAYVFRPNGSFPIKSDQQASFTVLRGPILDEVHQQINPWASQIVRIYKEKEHAEVEFTIGPIPVDDGLGKEVITQFSTTMTTNKTFYTDSNGRDFIKRIRDFRTDWDLEVNQPVAGNYYPVNLGVYLQDSDIELSVLVDRSVGGSSLVDGQIELMLHRRMLHDDVRGVGEILNETVCIADKCEGLTIQGKLFLRIDRKGEGAKWRRTLGQELYSPLLLAFTEQDEDNWLHSKKPTFSGIDSSYSLPNNTALLTLQEFGNGKVLLRLAHLYEVGEDKDYSVTANVELKKLFPNKKISKVTEMSLSANQERAEMEKKRLVWKVEGSSEESKVVRGGPVDPAKLVVELVPMEIRTFFVDFNPLQTVPAAEKHVAI >RHN80326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37203714:37205711:-1 gene:gene4236 transcript:rna4236 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAASFDGLIAAAAASRYRKQESTVVTDEKIIPHVDRTESGRLEKREKFTHYVAPRFWRSTVRVDSIEALLFAWL >RHN68182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33471542:33472479:-1 gene:gene16497 transcript:rna16497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MASVKLAPFAVFLLAAFLMFPMKKVEGVDCTGVYCDQFTDCAEECFCEVIYFIGSEGICYPYNTMKKKVEENPNLCQTHTECKKKGSGNFCARHINSDVKYGFCFASFSEAQDAYKMAITSNIKKDFLKIPGTTAY >RHN77396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6569665:6570516:-1 gene:gene843 transcript:rna843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MSSFLCSFLLIFIFTSQLTTANINNDNKLQYFCDQNNDGGNYTTNSTYHKNLNTLLSTLTSNKDINYGFYNSSYGINTDKVNAIGLCRGDVKPNDCQNCIKNSSVFLTQLCQNRKEAIGWYDEDTCMLRYSYRSIFGLNETRPFYLGWSLNNATNEDEFDKVLKNLLDNLRNRASSGDSDLKYAVGSDEVGQNNNQTIYALVQCTPDLSKSLCDDCLVKSIKAIPRCCNNRLGARIVRPSCYLRYETDSLFYQQTPDPPSSLQVPPFSTPPFAQNTSSPGIYQ >RHN80765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40715260:40720448:1 gene:gene4730 transcript:rna4730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine--tRNA ligase MLDINLFRVEKGHNPEIIRESQRRRFASVEVVDEVINLDKEWRKRQFEFENLRKEVNKINKEVSKLKRGGEDATEFIAKSEEIKKKIAEKEVEAQETLNLLNSKLETIGNLVHDSVPISNDEANNLVVRTWGEKRDEPKLKNHVDLVDLLEIADLKKGADVAGGRGFYLKGDGVFLNQALIMFGLNFLAERGYTALHTPFFIKKDIMSKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHSDEWIHPTRLPLRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCMTTPNDNDSWDMHEEMLKNSEDFYKALNIPYQIVSIVSGALNDAAAKKYDLEAWFPASKTYRELVSCSNCTDYQARKLEIRYGQKKSNEQLKQYVHLLNSTLTATERTICCILENNQKEDGVEIPEVLRPFMFGKTFLPFKNQPNNEAKGKKSKA >RHN46044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28044777:28045622:1 gene:gene40484 transcript:rna40484 gene_biotype:protein_coding transcript_biotype:protein_coding MANHISQYFLLGLLCIALVQASGGPMPYLVDGCYSYLSRYCDSPSSCEATCTHEGFDKGVCFGTICCCQYN >RHN78943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20159914:20165030:-1 gene:gene2612 transcript:rna2612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase C MGSIFVLFFFFWTSICSATSGDLGLKLEAKKLVRDLNLFPDVNLNIASSAANSSSRGKIVENPLNFRNLISDDDDVSVEDFGHYAGYYPIQHSHAAKMFYFFFESRNHKEDDPVVIWLTGGPGCSSELALFYENGPFKIKDDLSLTWNHYGWDKVSNLLYVDQPTGTGFSYSTDLRDIRHNEKGVSNDLYDFLQAFFAEHPQYAKNDFFITGESYAGHYIPALASRIHQGNQANEGIHINLKGLAIGNGLTNPAIQYKAYPDYALEMGLITKVTHALLGKVLVPACELAIKLCGTDGKVACLSANVACNLIFSDILLHAGGVNYYDIRKKCEGSLCYDFSNMEKFLNQESVRDSLGVGKIRFVSCSTEVYMAMLVDWMRNLEVGIPILLEDGIDLLVYAGEYDLICNWLGNSRWVHAMKWSGQKEFVASPDVPFVVNGSEAGILKSYGPLSFLKVHDAGHMVPMDQPKAALDMLKKWTRGTLAESIVGEEKLVADM >RHN76715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:651250:654763:-1 gene:gene85 transcript:rna85 gene_biotype:protein_coding transcript_biotype:protein_coding MTSENNKKPDHDDELRSNYDTPKKLSLPTILTRPGFSSEAPTPPPRTTVISIPFKWEEAPGKPRSCHTRPELREREVNNVVRALELPPRLLSLERESTGNIYAPSPTTVLEGPYVGRAVSFTTSYRDNNNKDSVNFGSSRWGGLKKNNRIDREGSFDFSSWSVEGGDKVKITRVKRRGSFSHGTSHFWASIYGSFKQVVPWRRKQEKQQN >RHN65513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2804844:2805749:1 gene:gene13359 transcript:rna13359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAETAVLFVLGEVLEFLKEETNLLSGVHKDFLDIKDELESIQVFLKDADIRAADEADTNDGIRTWVKQLREASFRIEDIIDEYLRLMHRAKSNPSGCRQSLFCKIASLIKTLIPHHQIASEIKNIKISIRGIKERSERYNFQISQTPGSSSSSNSSRETDNRRWHDPRLSSLFIEETAIVGFEGPREELSGWLLEGTAERTVISVVGMGGLGKTTLACLHHRFSIIHREGDIDQHDGGIL >RHN82798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56476878:56477069:-1 gene:gene6986 transcript:rna6986 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTSSTMVQSTPGSSGYLDLYPERKMSFFKNPYILGLAAVAGIGGLLFGYDTGTLLFLLNTN >RHN73113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13561810:13564771:1 gene:gene8896 transcript:rna8896 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PR10-1 MGVFNFEDETTSIVAPARLYKALVTDSDNLIPKVIDAIQSIEIVEGNGGAGTIKKLTFVEGGETKYDLHKVDLVDDVNFAYNYSIVGGGGLPDTVEKISFESKLSAGPDGGSIAKLTVKYFTKGDAAPSEEEIKGGKARGDGLFKALEGYVLANPDY >RHN82397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53404688:53405008:1 gene:gene6560 transcript:rna6560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase MVGRRKNIKVEVDCSSELYFPHWIYKRLELNQDLELRCIKNEIDEEMVRKMTVVSLWCIRTDPSHRPGMHKVVEMLEGSLQVLEIPPKPFLSSPSTSSAHLSSEIE >RHN53505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2139232:2143352:-1 gene:gene28417 transcript:rna28417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucose 4-epimerase MNNKTVLVTGGAGYIGSHTVLQLLLGGFKSIVVDNLDNSSEVAIHRVKELAGEFGNNLSFHKVDLRDRAALEQIFGSTTFDAVIHFAGLKAVGESAQKPLLYYNNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLSAANPYGRTKLTIEEICRDVHRAEPDWKIILSRYFNPVGAHPSGYIGEDPRGVPNNLMPFIQQVAVGRRPALTIFGNDYNTVDGTGVRDFIHVVDLADGHIAALLKLEEADIGCEVYNLGTGKGTSVSEIVRAFEHASGKKIPLVKAGRRPGDAEIVYASTEKAERELKWKAKYGIDDMCRDQWNWASKNPYGYGPPDSTD >RHN71942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3780546:3782647:1 gene:gene7603 transcript:rna7603 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTSLARKSTRFLTISRQDSLESEGQSSDTSVGDVEFEFLSTDEVIMSGQSVSSDDQCHSLEMDFDDDDKEERDPSDTIEKNRSFWESQHLGVQTNIYRTSSLETKIRSATKEAIQEIKSCEAVCGCNRKMMGAITTCRNCLMREVSMRLQKAGFNSAICKTKWRTSSDIPSGEHIFLDVIDNTNPKKGEVRVMIELNFQAEFEMARGSDEYNKLVQKLPEVFVGKVERLGNLIKILCNAAKKCMKDKKMHMGPWRKHRYMQAKWLGPCERNTSTTPLPMGNSERIITKPKSKASMLTIDLLEKLPTLHCTAVKVV >RHN51148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14451352:14451999:-1 gene:gene35534 transcript:rna35534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MTTMSIMNPFCFKLIQIIFLMCLVLQADQLVCSKEVVRCIQSERQALLQFKSGLIDEFDMLSFWTTEDCCQWYGIGCSNITGHVLMLDLHGDYNYYYYGGGNRFYIRGDIHNSLMELQQLKYLNLRGNYFTDISIPGFIGSLRNLRYHDLSGFDNRDHNGGQWLSNLTSLTHLHMSSILNLDRFNSLLEMVGKLPKLGELNLTDCDLSDHFSFYP >RHN78623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16843470:16846502:1 gene:gene2250 transcript:rna2250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MTNSERIKTLKAFDETKLGVKGLVDAGITKIPRMFYHPPDHTNESGDATNYTIPFIDLANIDKDPCVRKRVVESVRDASETFGFFQIVNHGIPVSTLNEMKDGVVSFFEQDSEVKKEFYTREQRPFMYNSNFNLYTSAPTSWKDTFLCNMAPNPPKPEDLPAVIRDILVEYLNQVMKLGTILLELLSEALGLNPSYLIDIGCTESLSAFGHYYLACPEPELTLGTIKHADVDFITVLLQDHTGGLQVLHKDMWIDVPPLHEALVVNIGDLLQLMTNDKFKSAQHRVKANLVGPRVSIGSFFSTSLHPSTRIYGPIKELLSEENSAKYRETLISDCIVYFKSKGMNGISPLQHFKI >RHN49724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:645966:646562:1 gene:gene33931 transcript:rna33931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MCPLPPSFFCISDGLTLPPSFRHAAAVLQVHVVQHVSTILKTTIFLIPIFSHSLHFRIAMETTAAITTTTTKGVGGIKGGKGKKAVSKSSKVGLQFPIGRIARFMKKGHYSQRIGTGAPIYLAEVLELAGNVARDNKKNIINPPRHVCLAARNVDELGKLLQGVAIASGGVLPNINPVLLPKKNGSAATEKSTKSPKK >RHN74703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36025541:36026935:1 gene:gene10811 transcript:rna10811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSEGEQKKVKVVEEEEVDLISSFPDELLGSIICLLPYMEVVRTSVLSKRWESLWKNTPDLSFDQRQMLKSLIEDYIQNSQLADRLTMAMERKVAPKNEEYFDVITEAAMMITSNIDSHIGPLKSCTIRHLPESCASADVVGWLRKLMEKRVIKVSIERESCDYRNGGILDRDVKLAASTIDLPFEVFSNFKVLELKNYRFNTTPSPYSEQTLKTLTLNKVRIILNTFHDILSYCSSLENLTVENCDFLRDELKIVSPSLKYIKICNMNVLRILVSAFNVEVIEVDSIICRHQDLVFEAPKLQVLRAYNDFQIHGQIVFIHGRKLLTARDIIEICGGILVCLSSLRKFINFKFRFLVQAYIIHFFLVNIGPSYWNINQ >RHN42161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35971605:35973573:-1 gene:gene48566 transcript:rna48566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase transcription factor C2H2 family MEILYTGCSETNQGAAYGPNEEHICVENCEYDISNLDGELSDSSFVMEGLHMDSFSLLAATKGQQCQAYIKDKGRQCVRTTIGNNRYCCVHFLSKKERRVKSITPICGGTTAADTRCKNHSLPRLSFCKKHLHKAEMGQTSNSISRTLKRKAEVHCSGSQSLICKDLVFVHLESPLEINPESVINDDDDDSFFAKNIFGETLKLSGNDQWTGSPSYGNDHNEDFCIDNENAVKCKVCFEEFSDNQTLGNHWMESHEKEAQWLFRGYACAFCFDSFANKKLLESHVQKRHYAQFGEHCLLFLCIPCGCHFGNMEELWLHVKSVHPVEFELLSKTPEQLMLSTGDDSPKMMEQGNEASLGNDNSENRSGSRKFSCRFCDLKFDLLPDLGRHHQAAHMERNLANRRLANSGVRYYAHRLKSGRLTRPNPKFERGLEEASNRTRRRAEANFKKRNQANKLLEMGEISIQPPHADELEKSQCSEAANTLFRCSEK >RHN63945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55249885:55251522:1 gene:gene26707 transcript:rna26707 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYHFLTFLNFHQRPNLSSFISSFLQNMSSMITSQGAVFATAMAVSGTVIILALRLQKSQFSLHHVSSSSSSTPILRSCLSSDEKKTKKLKKRVSFAKDVVDSCKDGEEYRKQQHQQQHGTSTMNNTKHSLKLNSRSKVQKNCNGGNENRGMPANRAALYNGILRDRVNQRLAYC >RHN49590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55830248:55830562:-1 gene:gene44437 transcript:rna44437 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACFGKKMSNKGVVHPVVVHRIRDQAVTIKVKMTKGQLKDLIGKVDTNNDNLELGHLIVQECSKGRLRARVVAAAVGDHHDHSYKFSKGQSLRPIQEHSEDV >RHN80286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36841538:36843770:1 gene:gene4191 transcript:rna4191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MEQKIFSPLLGFKKFKPHLIMVLAQVGYTFLYFISEASFNHGMSPYVYVTYRHIFAGVVMLPFAYFLERKVRPKLTFALFMEISVLSLLGITMALNLYFASLKYTSPTFLASMFNTIASLTFIIAVALRFEVIDIKNPRGIAKVLGTLTSLTGVMTMTLYKGPIMRNLWSPLIHIQPKSASVSESGLKGSLITVLCCVTFSIWYIMQASTLKRYPAQLSLTTWMCFMGAAQSAIFTIIVEHNNPSAWIIGFNIDLWSIIYGGIVAGGLLIYIQLWCTEKKGPVFVTMFNPLCTIFVTILAYFVFGEKLYLGSIIGGFIVIVGLYLLLWGKEGDKDVDFKTKQCNSEDPECIV >RHN73475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16808394:16827989:1 gene:gene9291 transcript:rna9291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-transporting ATPase MSFMNGSSPHRNPPPPPENDIEAGPLSRRNSDVDDDGDVFDIARTKHASIDRLRRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFKAAGRGQGHGQVQGQVTGTDTIKPPPTSTGEFPIGPEQLASISREHDTASLQQYGGVAGVSNLLKTDLEKGINGDDADLLRRRNAFGSNNYPRKKGRSFFMFMWDACKDLTLVILMVAAAASLALGIKSEGIKEGWYDGGSIAFAVILVIVVTAVSDYKQSLQFRDLNEEKRNIHLEVIRGGRRVEISIYDLVVGDVIPLNIGNQVPADGVVITGHSLSIDESSMTGESKIVHKDSKDPFMMSGCKVADGSGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAVLVLIVLLARYFSGHTRNSDGTKQFIAGKTKAGHAIDGAIKIITVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTMNQMTVVEVYAGGSKVDPPHELERSPKLRTLLIEGVAQNTNGSVYVPEGANDIEVSGSPTEKAILNWGLQVGMNFVTARSESSILHVFPFNSEKKRGGVAIQTADSDVHIHWKGAAEIVLACCTGYIDANDQLVEIDEEKMTFFKKAIEDMASDSLRCVAIAYRPYEKEKVPDNEEQLADWSLPEEELVLLAIVGIKDPCRPGVKNSVQLCQKAGVKVKMVTGDNVKTAKAIALECGILSSLADVTERSVIEGKTFRALSDSEREEIAESISVMGRSSPNDKLLLVQALRRKGHVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMDRSPVGRREPLITNIMWRNLLIQAMYQVSVLLVLNFRGISILGLEHQPTEHAIKVKNTLIFNAFVICQIFNEFNARKPDEYNIFKGVTRNYLFMGIVGFTVVLQVIIVEFLGKFTTTTRLNWKQWLISVAIGFIGWPLAVVGKLIPVPATPINNVFTKLKLRRHRQPEPSQ >RHN52188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32510241:32511105:1 gene:gene36830 transcript:rna36830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MSPVLSLTLSFFLFTFITNLSPNNAVQPVFDKHGNPLTPGNQYYILPASDNPSSGGLTLDKVGDSVCPLTVLQNNAVTGLPVKFTILENSTSNIVTGTDLEIEFINKPDCAESSKWLMVVDHVTQLSFVGIGGPANYPGVELISGKFLILKHGSGNAYRVGFCLDTTGDCAYLGLQEFNSGEGGSRLILTAINAYSVVFVDAASIKSGNSANSALPI >RHN60112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20628781:20629489:-1 gene:gene22336 transcript:rna22336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQSVENMVEVIKFVNVRKIHVIIIFISLFAFAMTVDANIVSCTQDFDCQTKICPFHLQPKCIVLEILPHSLSGGICGCD >RHN64391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58699504:58711122:-1 gene:gene27207 transcript:rna27207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flowering time control protein FCA MNYNHRRSPGGFRPAPLHRSNFDSPPRWSPGRVGGGLRPPPAGDGFRPVGGDEFGFNLPPPPLSGQKRGFPFSGRGASPDHSDGGNFAKLFVGSVPRTATEEDIRPLFEEHGNVVEVALIKDRKTGQHQGCCFIKYATSEEADQAIRALHNRHTLPGGVGPIQVRYADGERERLGAVEYKLFVGSLNKQASVKEVEEVFSKYGRIEDVYLMRDDQKQSRGCGFVKYSHRDMALAAINALNGIYTMRGCEQPLIVRFADPKRPRQGDSRGPAFGSAGFGPRLDSPGTRLPSNNSDPMGDHIPPPNAWRPIPQPNTGTSFNAGFHGMGPPLIPRSGDMALPINAGGPMTGMGVSIDGRFQTQSPSAMPQQNFNQPQPQIPPVNQQIPPSQKPIQSSQEFPPSHQLYPQAPIPYPQTSTRPSFRQHGQPQPLPAGPQGLGINAQFSVSQPQSQQGVLSATFPQTSLDTSMQSNTALTTPSQQQIPPSMQQQQHPLQPLPQSPSQLAQLLSQQTQTLQASFHSSQQAFSQLQQQLQMMQPSSQASPLQHHAEATKTQSQWGGTVSQAATGTHVAAPAAGVPSSTPATSSVQPISQNTTLGNCNWTEHLSPEGFKYYYNSVTGESRWEKPEELTSSEQQKQLLNQSVQQSQIQGQPSIPPTQQVAQNQQVQPQSHFRGQVHHQQIQQPSSFQAYGVTGHQNVQELGYKQSQTSFVSAGDPGRYSQGINNTQELMWKNRPTGV >RHN76939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2521244:2521930:-1 gene:gene333 transcript:rna333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MVQRNKFRGVRQRQWGSWVSEIRHPLLKRRVWLGTFETAEAAARAYDQAAILMNGKNAKTNFPIPKDQTEDANSLTPNCDDNNNSFHTSNALSHLLKQKLTKCCQKQSQSLTCLRLDADNSHIGVWQKGAGSHSDSNWILRVELGKKHEDSHESNYVSSSEKSAPNNSTIVGDCAEKNGIEHEEDIVTMQMIEELLN >RHN81345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45044856:45045585:-1 gene:gene5367 transcript:rna5367 gene_biotype:protein_coding transcript_biotype:protein_coding MFESITDHVVLTLVALLLSLFHVLRHVPLQSKKPSMIHMLKLLLNEEHILGKNKMNPFFQNHFEVLKFPTFNSIMLLPHNTLN >RHN52863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39666787:39671905:-1 gene:gene37588 transcript:rna37588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MRKKQHYSSPSYVIVLFSFFLSLTTTLSSSIVSDGSHLTEAETQYIKHRQLLYYRDEFNDRGENVTVDPTLVFSNNRIKNAYVALQAFKQAILSDPRNCTVDWVGSDVCSYTNVFCAPALDNPKINTVAGIDLNHCDIAGYLPEELGLLTDLALFHVNTNRFCGTVPHKFEKLKILFELDLSNNRFAGKFPEVVLRLPELKFLDLRFNEFEGTVPKELFDKDLDAIFINHNRFVFNLPENFGNSPVSVIVLANNRFHGCLPAGIGNMTRLNEIIAMNNGFQACLPEEVGLLKNLTVFDVSFNKFLGPLPEKYGNAVGLEVLNVAHNYLSGQIPASICALPNLVNFTYSYNFFTGEPPQCLVLPAADDRQNCLPARPRQRPAKTCKAFASHHVNCNAFRCKAFVPSLPSPPPSPVFPPPVVTPPTPVFNPPPSPVLSPPPPSPPPPVFSPPPPVYSPPPPPPPVYSPPPPPPSPPPPSPPPPPPPVYSPPPPPPSPPPPSPPPPPPPVYSPPPPPPVYSPPPPPPVYSPPPPPPSPPPPSPPPPVYLPPPPPPPPPPPSPTPPYCVRSPPPPPPNSPPPPPPPAPVFSPPPPVPYYYSSPPPPPAHSPPPPPHSPPPPPHSPPPPVYPYLSPPPPPPVHSPPPPVYSPPPPSPPPCVEPPPPPPPPCVEPPPPSSPAPHQTPYHPPPSPSPPPSPVYAYPSPPPPVYTSPPPSPVYAYPSPPPPVYSSPPPPPVYEGPIPPVFGISYASPPPPPFY >RHN57701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41142468:41143038:1 gene:gene33282 transcript:rna33282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MASEPHRRDVETSDEQLDEISIEKGFEGKSVPSWQKQVTARAIVVSIMLSVMFTFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKLLEKAGWLNQPFTRQENTVIQTCVVAASGIAFSVFHGWVGGSHCQ >RHN66771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18517399:18518937:-1 gene:gene14850 transcript:rna14850 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIVVGMNPLMRFDLKSRYSNIDKLEIEEFLQQNHCSSSLISSVSADEVTLVETHHGNYCLVGQE >RHN66006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7477052:7478614:-1 gene:gene13915 transcript:rna13915 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDSLLLMLNLKLSLYFMFNSSTCLLSFKFALAASSGLMTYSNPEIQSFP >RHN75752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44761641:44763240:-1 gene:gene11995 transcript:rna11995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH dehydrogenase (quinone) MEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNGPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELIYDLFEAATGMRMMHNYFRIGGVAADLPYGWIDKCFDFCNYFLTRVIEYQKLITRNPIFLERVEAVGVVGREEVINWGLSGPMLRASGIQWDLRKVDNYECYEEFDWEVQWQKEGDSLARYLVRIGEMMESIKIIQQALEGIPGGPYENLEIRSFDREKEPEWNDFEYQFIGKKSSPTFELPKQELYVRVEAPKGELGIFLLGDQNGFPWRWKIRPPGFINLQILPQLISLWEKLIVEMIIDTTEVQDINYFSGLESFKEVYGILWILVPILILVLGITISVLAIVWLEREISAGIQQRIGPEYAGPFGILQALADGTKLLFKENLIPSRGDIRLFSIGPSISVISILISYSVIPFSYNFVLSDFNIGVFLWIAISSIAPIGLLMSGYGSNNKYSFLGGLRAAAQSISYEIPLTLCVLSISLRAIR >RHN64990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63491740:63493514:-1 gene:gene27879 transcript:rna27879 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTRLMEGPSASCFKITSAPRKPPSALLLLPIAHVSPASIGVMCCSMSLP >RHN79716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31489338:31489915:1 gene:gene3546 transcript:rna3546 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKDTQTLKSYEVLASRRRTWLFELFNPSRSPCWLQFSLFRFSPVDYKVNLDI >RHN67593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28442128:28444214:1 gene:gene15796 transcript:rna15796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MMVEVDTNTLPLFFTLAAALFAYLLWFHLLARTLTGPKVFPFFGSLPVLFMNRNRVHDWMTSNLNRTGGSNTYQTCILPFPFLARKQGFYTVTSHPKNIEHILRTRFDNYPKGPTWQTAFHDLLGHGIFNSDGDTWLVQRKTAALEFTTRTLRQAMARWVNRTIKNRLWCILDKAVKEKVTVDLQDLLLRLTFDNICGLTFGKDPETLSPDLPDNPFSLSFDYATEATLQRLLYPGFFWRIQKLLDFGAEKKLRESLSIVENYMNDAVSAREKSPSDDLLSRFLKKRDGNGKPFDAGKLRHIALNFVLAGRDTSSVALSWFFWLVMNHPSVEEKILAELTAVLAETRGGDSRRWTEEAVDFEEAEKLVYLKAALAETLRLYPSVPEDFKYAVDDDVLPDGTVVPAGSTVTYSIYSVGRMKSVWGEDCMEFKPDRWLSVHEGQTRFEPPKDGYKFVAFNAGPRTCLGKDLAYLQMKSVAAAVLIRYRLLPVPGHKVQQKMSLTLFMKYGLRVFLCPRQLQQPNSA >RHN73231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14559485:14562603:1 gene:gene9025 transcript:rna9025 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSIFKTAWGDLVDEETSMSDEQEQNLEGDFDEGSSFTPFMSRRKKKYNKKHANKPSDKGVQSTSSDHIQTRSKKGVIKSNPKYM >RHN74640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35388607:35391200:1 gene:gene10744 transcript:rna10744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MTCFPCLFSKTSSSSRQNPDNDEGIHNVKIYTYKELSNATDNFSLANKIGEGGFGSVYMGRLKNGKLAAIKVLSAESKQGVKEFLTEINVISEVEHENLVKLYGCCVEKNNRILVYNYLENNSLSQTLLGGGHSSIYFDWRTRCRICVGIARGLAFLHEEVRPPIIHRDIKASNILLDKDLTPKISDFGLAKLMPANATHVSTRVAGTLGYLAPEYAIGGRLTRKADIYSFGVLLVEIVSGRRNTNSRLPTEEQFILERTWELYERKELVGLIDTSLNGEFDAEQACKFLKIGLLCTQESPKRRPSMSSVVKMLTGEMEVDDSMMTKPALISDLMDLKVKHKQESIIDMKTSYNTSSASEHEDTTITSAATTTFYNALSLN >RHN77791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9452562:9455749:-1 gene:gene1282 transcript:rna1282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MMKKQASCSSSSYVQTLVLLFASILLISHQNCLAKHHQPCPTSSCGKITNITYPFRLKTDPNHCGDKRYELDCNENGPSLTMFSGKYYVQHIDYKRFIIRLSDAGAVEDANCSFIPRYFLYPQSFSNSLSFNKADFVFEPYSLRFRDQTQVAYFNCSNPIKDHMYVNVDMSCCNIHGNSSTNHVYAVLEQSSSFSRYSFGDIKVGCDFMVATLGRPLLKIEVKEKISYDVIHGMIIDGFELSWLPVICEDRCGKETSCEVVDEDSGEVQCDKRFCHYVYQTTEKCGLQDQIFGYARGYLRGIFIGLRSRITFSTRQLDNPVGLEYFDLGIVIGRNVIPLFLATRFIFGVIALLVLFIYKWKRRHLSMYDNIENFLLESNLNPIRYEYKEIKKMTAGLRVKLGQGGFGAVYKGKLRSGPDVAIKMLTKSNVNNGQDFINEVATIGRIHHVNVVRLVGYCVEGKKSALVYEFMPNGSLDKYIFPKEGINALSYEKTYEISLGIARGIEYLHQGCDMQILHFDIKPHNILLDEEFVPKVSDFGLAKLYPVNDSIVTLTAARGTLGYMAPELFYKNIGGVSYKADVYSFGMLLMEMAGKRKNSNPNAEHSSQHYFPFWIYDQFKEERDIEIMEDVSEEEMAVAKKMFMVAFWCIQLKPSDRPTMNKVVEMLEGKTESLEFPPRPSFYPNENYKYHDEINSDRTSWGASTSYSSDNCS >RHN80086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35000083:35002153:1 gene:gene3965 transcript:rna3965 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP94A14 MELETLLSLLLFSAPLVWFLFLATKKQSKFQKTPSSTAIPKTYPIIGSVFSIYANFHRRVHWISDILQTIPSSTFILHRSFGSRQVFTANPAVVQHILKTNFPCYRKGLTLKRSVGDFLGDGIFSADGETWKFQRQISSHEFNTKSLRKFVETVVEVELNDRLLPILSEASKNQTVLLDFQDILQRFTFDSICRIAFGFDPEYLHSSLPKTMFVKAFDDSSLISSVRFNAAIPLIWKVKKILNIGIERRLKEAVAEVRGLATRIVREKKKELKEKATLESVDLLSRFLSSGHSDESFVVDIVISFILAGKDTTSAALTWFFWLLSKHSHVENEILKEITGKSEIVSYDEVKDMVYTHASLCESMRLYPPVPVDTKEAAYNDVLPDGTFVKKGWRVAYHIYAMGRSEKIWGLDWAEFRPERWLSQDEDGKWSFIGMDPYSYAVFQAGPRVCLGKEMAFLQMKRVVAGIMRQFRVVPAMDKGVEPEYIAHLTSLMKGGFSVRIEKRSHKDQ >RHN47482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40072368:40073857:-1 gene:gene42092 transcript:rna42092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger, cation/H(+) exchanger CHX21/CHX23 MNSSNVTYSIIKNPDKTTLVCFNKTLSYGSLLWNENSLEETLPVFVLQFVLILLLNRIFLFVSELCNVPRIVPNIFTGFLIGPSALGKWNNVFKSIFPYSNMLPLETVGALTLVYYVFLVGLEVDLKPITRFYYNKKAMVVAIAGVGFTLPVGAGLYYLLVTDMGHKSLPHSDSDKHMRGAIFWGMTLSCSSEFPEVAKILSDLKLLLTENGQLALTSSLINDLLSWTLLLMVLTQLYYASFWSLLVVLAFELVCFYLVHPFANWLIKKVGNGDREFVETQVVILLHMVLVIGSISHGLGAHSITGAFFLGVIIPKGALNNAVQDKVFDFVSAFMMPLFFLIVGERTIIQDLALDTHWLTVVIVIVLAFLVKMVFVFVVSWLYQMPLLEGLSLALLMNTKGTMPLIILYTAMDSLVSYQTTLTYIVIIIYYRLQFISKYGN >RHN56930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34933301:34933886:1 gene:gene32399 transcript:rna32399 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLVGFINYCFCAAHYLKIRDLLDLSCEAVMTENATTPEEEEEYQGTTIGKEKGKNIISEVLVQQCSMEKRGGIDTVNLIKGGSSKWGNARAYLKFYVENISVKRLSDEEVANLAEDYRACKIQQCLATVLKPMKYKTRHSRGGVQTVTNHNKEQGIYRFVKAARF >RHN64790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61841907:61845765:-1 gene:gene27647 transcript:rna27647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VI family MELPSSSTILIGLSLDPNDSKELLSWAWAIRVLANPNDTIVAVHVLVAENMKKRVSVRRRQSQLRQAKAYVISVLGEFAQTCWSKQVNLEAKVALSSTVGGGLVEEAKSISADFLLLLGGTRNKTIKIGTSKGITNYCFEHVHEGCTIVSVGRNTKTEQNINSTDFQEMNQQNSSPRTVLLDGLLEGQSNSTEDDTFSTRASSLTYTPSSGPKIKRKSQLSFRFIISFLGSPFRIKTFNMSKIEKHQPLLNCFSYENISNATNDFHQDNLVGRGGYSEVYKGDLCNGETIAVKRLAKDNKDPNKEKEFLMELGIIGHVCHPNTASLLGYCFENGLYLIFNYSQNGNLSTALHGYGKAGNSLDWPIRYKIAIGVARGLHYLHKCCKHRIIHRDIKASNVLLGPDYEPQITDFGLAKWLPNKWTHHAVIPVEGTFGYLAPEVFMHGIVDEKTDIFAFGVLLLEIVTGRRPVDSSKQNILLWAKPLMESGNIAELADPRMEGRYDVEQLYRVVLTASYCVRQTAIWRPAMTEVLELLTNGQDYEVGKSWRIPKFTSDELDDYSMIFGYDVPSDISLEDYL >RHN67195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24805494:24806109:-1 gene:gene15353 transcript:rna15353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >RHN49153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52832120:52838372:-1 gene:gene43951 transcript:rna43951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSSSSMSSSSKSTASNFENPPPPPTSLDLWSQIVAEENQHYDNQIDIVFRRRKPRNDPNNVNSKNSHDIPRRPSRVSFADPIKRVSWKRSLSTRGRTSIAVGASLVYRPELKKDRRKGKPPTHPKGNNAKPANFDKERLYFQEVDAFELMEESPSPKKVGSWIAGNVTDDVPLPSICSRLEKWLHARRLNPRSGPSSTLSKILDTPTMKLETIYDRNDSDFRLRSLDRSEMEFETIYDLDHSSSDFRLRSMDQSELSNSQLQNLKTRETRSSNDAILIRSESCEDIEAGVKKLSLTSTSSSFDDHNNSFAVLLETCGQSAPSMLEDLFSRYSGSETVVKVGEGTYGEAFKVGNCVCKIVPFDGDFRVNGEVQKRSVELLEEVFLSQTLNQLRGSDGVSNNLCRTFIESIEFRVCQGLYDADLIRAWEDWDRKHNSENDHPKEFPEQQCYMVFVQQHGGKDLESFVLLNIDEARTLLVQVAAGLAVAESAFEFEHRDLHWGNILVSRSDDSATLQFTLDGKNLLVETYGLIISIIDFTLSRISTGGSILFLDLSSDPDLFKGPKGDKQSETYRRMKAVTEDWWEGSFPKTNVLWLVYLVDILLTKKSFERTSKDERDLRSLKKRLDKYASAKEAILDPFFTNMFVESDMA >RHN59232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8645578:8651391:-1 gene:gene21235 transcript:rna21235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MDGNEQDFTSQVVEEEGINSCTKDGSVDFYGKPALKNSSGRWRSATLLLVNQGLVAVAFAGVEANLVIFCKLVLKQTNVEAANTFSIWMGTTYFFSLIGAFLSDSYLGRYLTCIIFQLVFIIGLVALSLSTHLFLLKPHRCEQIGELCESDTQNQFPLFYLSIYLIALGSGVSDPALPTLGADQFDEEEPKEQRSKASIYGYFYVALNLGSLVAETILAYIETTGHWVLGFWICTCCAGVSFLVLLSGTLRYRHYKTFGNPFSKFSQLIVPFLKKMKFQIQSIGEDLYHDGIQGDDTRVRRIHHANGLRFFDRAGIVSDEATEMLLGKGQKSYKWNFNSLTQSEAVTYILRVLPIWICTIFSSSVFIQLLSLFVEQGSTMDRTFFKFQIPPSSMTAFDIISTSTFIILLDVLIIPLYIKVMKRPPKLPSELQSIGIGLCITIITLIVAGFVEQRRLSFASNDGKEMSSLSIFWLIPQYMLLGIAEAFVYVAQMNFFTSQTPDGLESLGMGLSMFSSALGCYVGNFFLTVVNKITSSGQGQHGWVSPNLNDGHLDRFFFLTAFLIAIDLIVYIVCAKRYKGI >RHN42320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37383948:37389650:-1 gene:gene48748 transcript:rna48748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thebaine 6-O-demethylase MELTYSPVPFVQELAKEALTKVPDRYVRPHHDRPILSSTTTSTPLPQLPVIDLSKLLSSHDLNEPELKKLHYACKEWGFFQLINHGVSESLMENVKKGAEEFFNLPMEEKKKFGQTEGDVEGYGQAFVVSEEQKLDWADMFFLFTLPPHKRKPHLFSNIPLPFRVDLENYCEKMRTLAIQIMDLMAHSLAVDPMEIREFFGQATQSTRMNYYPPCPQPEFVIGLNSHSDGGGLTILLQGNEMDGLQIKKDGLWIPVKPLPNAFIINLGDMLEMMTNGIFRSIEHRATVNSEKERFSIASFYSPAFNTILSPAPSLVTPNTPAVFKRISAGEYFKGYLAQELCGKSFLDSIRIQAENDQSPRNFTIDENIKV >RHN60964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31920430:31922710:-1 gene:gene23369 transcript:rna23369 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPFFEFKKQASFFLKEKIKTARLALTDVTPAELMIEEATNGNPWAPTTVTLRSISKAAFELDDYRRIIEILHKRLAKFEKKNWRASYNSLIVLEHLLTHGPESVAEEFQSDKDVISQLKGFQYIDENGFNWGLTVRKKSERVMKLLEEGNLLKEERNQCRRLSRGIQGFGSFTQRSTPTQAILREKSLPTTFGRCNSDSNNYEDQENNSSFSTLGSMKTTIYKDDDIGNNQLLQKSESSSKENMEPSREVWNLDGESESLLDCEEEDSSRLGRFKIEDDHPFNSTTEMNSTASLLSARDGILQGC >RHN79157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24714446:24717137:1 gene:gene2883 transcript:rna2883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MHRDLNEGMIKIVSKALEVHQLCQGNRKKAKWFRPKPRKQPNGNDCGYYVMKNMLDIISANITKSWMEVFNDPTALTEDDLYDLRNQWATCFLDLYNT >RHN65511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2799862:2803079:-1 gene:gene13357 transcript:rna13357 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRRANSAWKVKGEGVPESKGPFFSMANNLLARRVRAAKTPQS >RHN65510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2797253:2797836:-1 gene:gene13356 transcript:rna13356 gene_biotype:protein_coding transcript_biotype:protein_coding MQLWWIQSLDGWWFISFTMKGGTLSSLLLVRDRCASGVCVWFLWISSGVVGVRHGGLKVVQMVALYRCSWWCFWWLVVVFVGLVMMLCCLGDVQVVKVSALVVCELRGALMICVESLVGRSLLILDREPVF >RHN70128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48942253:48948306:-1 gene:gene18680 transcript:rna18680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfur carrier protein ThiS adenylyltransferase MEIELNDLITDLQSLNQSLPDPSLRDAFHKIQSHVEHIADLVRAQPVRRTKVKDMSAEVVDSNPYSRLMALQRMGIVENYERIRDFSIAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPDQAGMTKTDAALQTLSDINPDVVLESFTLNITTVDGFETFMSSLKNKSFRPDKQGSGVDLVLSCVDNYEARMAVNQACNELSQTWMESGVSEDAVSGHIQLLIPGETACFACAPPLVVASGIDERTLKREGVCAASLPTTMGVIAGLLVQNTLKFLLGFGQVSPYLGYNSLKDFFPTMQMKPNPQCSNAACLKRQGEYILAKPTRDAAAKAKLEAEGPLIEEGPLHDDNEWNISVVDDCEPDGANTKSSDALPEGLTHELPTADEFQNLVTREAPSNDNDDLDELRKQLEAINSA >RHN60280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24606421:24606813:1 gene:gene22565 transcript:rna22565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator Znf-B family MCKATIEEKKRGGFCKRFPPKQVASLCETRSCSISCELCGLQASLYCQADNAYLCRKCDNLVHKANFLALRHVRCFLCNTCQNLTRRCLIGASLEIILPATVSTIDNLPNNNSMHRNCSKQKSGTHFQFL >RHN73280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15088971:15092715:-1 gene:gene9078 transcript:rna9078 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKTHNRASSFYFSFSSHNHFIFSPSMRQGKHSSSSNPLSSQVGYLSASIRKVADARVGDTITNHFRKADNSLPGYEEATPMVFCGLFPIDADRNRWDCLLLDWKHLCVPQRFSEGANIKPGVRRPGQTVCFRINRNNVLSHLC >RHN53955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5722552:5729029:-1 gene:gene28930 transcript:rna28930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MTYLTGYSTTIIRNNNQRPISSISIITPNTTTVSSRYVVVFAFSTSSESVWTDFPIENAYELLEVSQTSSFDEIKASFRKLAKETHPDVAESRNDSTASKRFVQILAAYEILSDARRRAHYDMYLFSQKKLTQKRSEQGSKLRIYESQATAFKEMEVVEWLKWYRLAINDILSEKKVVVGTGYFDVLERDFYSAIHAAYYGPEIDSIPMEFLPDCFEAEERSSCETPEVLHLVSGRDLFGMVCLVNKIPEISSTENEKLTSYRSFHSGLCQSVMDVNTSRNVERPDDFGTQQSRSSKISNNVSDAYRDLELHVSGKLVATASRVLPRCSNAIQTEDAGDHIHVFLNSDEDPRHISSSFSRNLFTNSTVGSKIHLGTISGLGSTPDEGCCYVYNSNREKTHAIMKHRTLLVKHMHWYHVGEKVSVCECRCTRARLPPSKFWLFEPRCGFHDIGGWYVETYGKDKKGRTMPSQRFWDGLDYSEQAERRLHPAMYLFALAYRTLDLDYAKTSKKTFRNVIGAHMFRVLHWCRKLAQ >RHN81398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45560440:45564413:-1 gene:gene5428 transcript:rna5428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L3 MLAFSRGVVSRLRQFPVAPLPRFFSSDVETIRTIEAKPSVMTPNSQRTGVIAVKCGMTAHWDKWGARIPISILWVDDNIVSQVKTPEKEGYCSLQIGCGQKKEKHLTKPEVGHFRAQGVPMKRKLQEFRVTEDALLPVGTSLNVRHFVPGQYVDITGITKGKGFQGVMKKYGFHGGSASHGNSKAHRIMGSTGILGPCKVFKGRKMPGRMGGKQRTVKNVWIYKIDPARNLMWVKGQVPGATGNFVFIKDAVFEKPDTSILPFPTYFVPEDGDEDDMKPLLADLGDVDPFMVTD >RHN80842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41222059:41224305:1 gene:gene4811 transcript:rna4811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MNKSLQKIESKILHLLHNTKTQTHLPQIHAHFLRHGLHHSNQILSHFVSVCTSLHQIPYATTIFNHTHHPNILLFNSIIKAHSSFPPFHQSFHFFNLMKMTHNILPDNFTFPPLLKATSYLRDYDLGQCLHAHVTALGFYRHSPVEIGLLEVYSNCGKMEDANKVFDEMLHREVVVWNIMINGFCKMGDLEIGLKLFKRMGQRSVVSWNLMISCLAQRKKDGEAFGIFREMLEQGFEPDDATLVTVLPVCARLGDVDAGEWIHSYADGKGLLRKVISVGNSLVDFYCKCGNLEAAWKVFNEMTKKNVVSWNAMISGLGLNGKGELGVELFEKMARKGVTPSDSTFVGVLACCAHAGFVDKGREIFDSMTVKFKLSPKLEHYGCVVDLLGRCGHVKEAYDLIRNMPLMPNAALWGALLSACRTHGDREVAEIAAKELVRLEPGNSGNYVLLSNVYAEERKWNEVEKVRVLMQGVGIKKNPGQSALQDSHRK >RHN50182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4904227:4908056:-1 gene:gene34444 transcript:rna34444 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDRMLCLVVVILTSCLSLSSAGTVSSTQELSNKTKALEKEASGGKQEAGDKNPEVAVVADDNIQTTTLVQISTVKSVEIKDDRVVRFEIPRDNVDDNGATTNSVDRIEESGTLEYGDEDGSIVNDVENEDEGEEEDEDEEEEDPGEVSIGKKIFKFFTT >RHN50859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11219143:11219418:-1 gene:gene35198 transcript:rna35198 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLKDNAANLHGSSIIGGAPRLQLRLSSVAPPPLCKNVMPNGPNLSTLDSDNSPKSTVNSTIQAPRRKFVGEGKLRKVGSNILPLFALTK >RHN42142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35798093:35799626:-1 gene:gene48545 transcript:rna48545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA synthase, Butyrate--CoA ligase MAKPPSSPPLTTIGTLIYYLSEHPSIISFRWSHSHSWGSTWSFLITSIATYLILSLFLHLSLSLLFPNRRHIPLGPIPALHSLTMSLISATIFTGTLISAVSEIRDTQWFWHRSKTPLRWLLCFPLGTRPSGRVFFWSYVYYLSRFLHMLRTILTILHRRRLSFYQLVNHSVSTLVSFLWLEFSQSFQVLAILFATLVYSLVYGYRFWTAIGLRSACFPFVLNCQILLLGCNVACHVGVFLLHFFFEVGGCNGMGAWVFNSILNTAVLVIFIHFYVRMYFVGKSERRMVQETESMDCSSSCPDLIVGSRARSR >RHN80337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37284355:37285970:-1 gene:gene4251 transcript:rna4251 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRCRGRGGKIIRINRNERRHEGVRCRGRGGKIIRIGRNERRHEVVRDVKQHGLVAVEQHMDQDVKERAQRYMGGPYDLFVAV >RHN67882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31161111:31162118:-1 gene:gene16127 transcript:rna16127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MNYTHSFLMLLRQSSRPLFLVMFFTFRVIKTLRYHLNENPKKRKLPPGPKPWPIVGNLPEMLANKPTTRWIHKIMEEKNTEIACIRLGNVHVIPVTCPTIAHEFLRKHDIDFASRPVSMASDIISNGYLTSVFVPFGEQWKKMKNVVANNLLSPRRHQWLQHKRNEEADNLIFYVYNKCNNGGLVNVRIATQHFCGNVFRKLFFNTRYFGKGMEDGGPGLEEIEHVDAVFTLLNHVYAFSASDYLPWLRLLDLDGHKGKVKNAMIIINKHHDSLIEERIQQWNDGSKNVEEDLLDVLISLKDVNNNPLLTTKEIKALLIVRLHLLLYIFLLLITA >RHN57977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42903853:42904518:1 gene:gene33574 transcript:rna33574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MFFTIFHTDFFLIDFISYKLLAFPRHMYLIKQNKSSMGWLFLCLVLLLFNFPYFSSSSFNFSCHHDESSALLQFKSSFTMHTYYDGCGEPLLKTTTWKNETDCCSWPGVTCDTVYGRVVGLNLGCDGLQGIFHPNNTLFHLVHLQTLNLSYNDFSYSHFHSKFGRFLSLAHLDMSYSYFEGEIPIQISHLSKLQSLHLSQNYELVWKETTLNRLMQNATNI >RHN70550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52243296:52246236:1 gene:gene19140 transcript:rna19140 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDQYSPARPSMLDAVPVFLVLLIAAHVLGLVFWIYKLATQKQPLQRRKAH >RHN48536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48258697:48265499:1 gene:gene43265 transcript:rna43265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb/SANT-like domain-containing protein MNSSLVYARGLGRKTKGKRKMEESNIWVKKEDARAYFTWNLDMERVLAEALRDQRSMGRQTNGIWKTEAYKAAADVLSTRFNVQLIGENVKNRVKLWRRWYGIVNDILSQNGFGWDGTNCMITVEDENAWNEYVKSHEEAKRFRFKIILNWNDIVDICAKDKASGIQVERAVDVDDVTSKEANVDEDEEGSNEYIDLEKPSSATKKKVQCTCANKGRDKEGMIDSMREVAEILKDFVQISKKRMEGNTPKEVQEVLNEMEMIIDIDDTLRYKAINWLIDNPNKIAILKALPLSEKKKYLMASMF >RHN38843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2406311:2408455:1 gene:gene44812 transcript:rna44812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MQGFITYALIFGFILPCLSTRLNVGTKNAIYNVMQYGARGDGKTDDSQAFVSAWSSACKAAEMSTLVIPVGKTFMVSKLSFSGPCTNKNILIQLEGKIVAPSKVGWKAQSYWITVQSVEGLTIEGNGRGVLDGDGSTWWQCKSCARPGVFLFHSCKGLNVSNLSITNSPRSHVAVNMCNGATFSNISINSPGTSPNTDGFDIALSTHIVIHDSNIKSVNGGSTFVNAIRITCGPGHGISVGSLGKNGTEDKVSDIHVRNCTFNETQNGARIKTIPVSICNKIKNMFILRKEIWILKFHLY >RHN52851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39535684:39541313:-1 gene:gene37575 transcript:rna37575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiamine diphosphokinase MSMLTKQHILTKMMKITCNFSTSFFSYKPFSSSSLLSFKPSHTFSPSSSINNHTLPSFSTSATNGSTCTLTWDHVFHVSQSEVGVEEHDPCSYLQGYFHKVQLCNRGSDKQSEFLPFVIEGNVVGFIHNRFVEHLRSFNDVFVFPKDGGPFGHCVSLHPLLKTAEERTSSLGYVIEHLGEEHIPGIRNELYPVKSSFDKPVFFSLERAAAPYFGIKAYGVHMNGYVEIDGQKHLWIAKRSHTKSTYPGMLDHLVAGGLPHGIDCQENVVKECEEEAGIPRSISIRANAVGAVSYMDIDGDRYKRDVLFCYDLKLPESFVPKNEDGEVESFKLIPVKQVAEIIRKTQFFKPNCAIVIIDFLFRHGYISPENYGYLDLLRSLRIGDCS >RHN69827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46558061:46558972:1 gene:gene18346 transcript:rna18346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MVVEAKELFNRMEKLGCELSIVTFNALINGLCKAKNLEEAMNLFYKMDVGRKYSFRFSLSQGSCQVSDGASLQKKVKEMCEAGQILKAYKLITDHAGDLRLDIISYNILINAFCLDREFNAAYNLFEELQKKGLSPDSVTYGTIIKGLFIVDREDDAFKVFQRMQKTGSEPTLSVYRTLMTCLCRKSKVSRAFTLYLEYLKSLPSRDNDSISTLEKYLFGEKLEQVIRSLLEVDFKARDFKLVPYTILLIGLCQAGKVSEALIIFSVLDEFNIKINATSCVHLIRSLCKEQRCHTPIFDLRSH >RHN45233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13355551:13363091:-1 gene:gene39467 transcript:rna39467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DHBP synthase RibB-like alpha/beta domain-containing protein MGPFSFQLENPLPLNSLLHCSVLEGTHENHHHHHHPLSSIEMEMAACICGHKPPWLFDAFSHWKPQSHSHHKSRGGVSMAFKRSPKRLKYTDNTRFNKDGGLIYIEADPFGSDSWKLEPVVNLLKQGAVGVIPTDTLYAIACDLTNNSAIERLRRIKNIDGSKPLSILCHSFSDIDKYTSGFPCGDGQGHANLFKAVKQCLPGPYTFILMASKALPKQCIRFGTTAAKYAARKNVGVRMPDDAICQAILKEMSTPLICTSIKFLNEDEWLIDPVMIADTYGPEGLDFVVDGGVRVAQSSTVVDMTKLPPRVLREGKGPISDWMVLEDNQQTDGEEDLIPAAI >RHN67404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26891409:26893780:-1 gene:gene15593 transcript:rna15593 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGQLFENRDCSSKPLGCFEDQVPSILKSQVMTILFLTFRVGTFAMKIFTYDLNLCFY >RHN55970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26184329:26192086:1 gene:gene31270 transcript:rna31270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MKSKTHNGNGYANENGGGGGGGGGGSSSSPSPPRSPPRHSGVLHLRKRLRRTKSLSAGIMTRWSLRYFFLLPVVYLSGLLMCVGPFPFTFFIGHTPLPGSRYRSHEVFDHLWPEIDSDNSSFIELSSVWKYKRKLREKKPCSNLTDLHHEHFVSPGLNGFLIVEANGGLNQQRSAICNAVAVAGLLNAILVIPHFEFHNVWKDPSEFGDIYDEDHFISTLDGYVKVVKELPEAIMERHNYNMTNITSIRVEAWAPVSYYLGVVHPILQKEGVIRIAPFANRLAMSVPPHIQFLRCLTNYQALRFSSSISALAENLVYRMSEKSSRTDGKYIAVHLRFEEDMVAFSCCIYDGGKAEKLEMDSARERGWRGKFKRKGRIVVPDLYRVNGKCPLTPLEVGMMLRGMGFNNSTSIYLASGKIYHAEKYLAPLIKMFPNLYTKESLATTDELAPFMGYSSQLAALDYTVCLFSEVFVTTQGGNFPHFLMGHRRFLYGGHAKTIIPDKRKLVVLFENTTISWSALKDQMDDMLSESDRKGIMVPRVRKINRKTSVYTYPLPECRCLQESLVNKTIDHNVDIPDNYSLQQNKSLNR >RHN44050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1255151:1255408:-1 gene:gene38099 transcript:rna38099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MCCLFFLLQIFHPKNLMAFTTSTLAEKKNKVLFILGATGTGKTKLSINLGPHYPAEIINSDKIQVYKGLDIVTNKVPESERCSIL >RHN52762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38548951:38549226:1 gene:gene37466 transcript:rna37466 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVGQIEGRVRYSAFKTTVKVMITSTDSLHDLKAQLNNYFEHLGENQYTRHLFGQMPCIDLGEDRDEYAWKTASYMPWLIRDDSDVGFMF >RHN56193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28616703:28617881:1 gene:gene31555 transcript:rna31555 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSIRLYMHVVPFWISELCYQVIPHHQDYLDNSKLYYQISPSSSRFSSS >RHN54831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12701363:12703049:1 gene:gene29922 transcript:rna29922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MMSAKYTPISAVSGGRKNLKMCVRVAHIWLIREKKVPTSIIFMNMLLVDEKGGRIHATTRKDLVAKFRSMVQEGGTYQLENAIVDFNESPYKVTSHKHKLSMMHNSTFTKVHLPAIPMNVFEFKPFNEILSSTVEEVSTDVIGHVIERGDIRETEKDRRKSRVIDLTLEDLENNRLHCSLWGEHGDKIVTFFGNHDNDTPTILILQFCKTRVYLGAMGVVNAFNGTKLILNGDLPDVAAYMTRMKNASIQFTRSVSQISTNSSASLSDDLLNTNRMTIESMIESTEVTSRGGLKILINDDDGDDTDVASSVVYREVFRNV >RHN80998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42488833:42491274:-1 gene:gene4986 transcript:rna4986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFLRHYTSKSPFTSILRRLSTFNPNDDVHKVYTILTTTSSPETLKQSLKSTQIFLSNELIDQVLKRVRFGHANPNQTLEFFRYTGRRKGFYHTAYSLDTMLYILGRSRMFDHVWELLIEARRKDQNVITPRTVMVVLGRVAKVCSVRQTVETFRKFKKIVPDYGVNCFNALLRTLCQEKSMTDARNVYHSLKHNFRPNLQTFNILLSGWKNVEDAELFVNEMKEMGVEPDVVTYNSLVDVYCKGREIEKAYKVFDEMREKDLSPDVITYTSVIGGLGLVGQPDKARDVLKEMKEYGVYPDVPAYNAAIRNYCIAKRLGIAFELVDEMVNKGLSPNATTYNLFFRVFYWSNDLQSSWNLYKRMMGEGCLPYTQSCMFLIRLFKRHEKMEMALQLWGEMVEKGFGSYTLVSDVLFDMLCDMGKLMEAEKCFLEMIEKGQRPSNVSFKRIKVLMELANKHEAIQNLTQKMAIFGRPLQVHERVATPIGEMGELDNRGNIRQRDKWPKEELEETQGRSSLTE >RHN54952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13892049:13894779:1 gene:gene30063 transcript:rna30063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MAESFDSAESNLLCSENNSTCFDDVVVDDSGISPSWDHTNVNLDNVGSDSFLCFVAQSEEIVKVMVEKEKDHLPREDYLIRLRGGDLDLSVRREALDWIWKAHAYYGFGPLSLCLSVNYLDRFLSVFQFPRGVTWTVQLLAVACFSLAAKMEEVKVPQSVDLQVGEPKFVFQAKTIQRMELMILSSLGWKMRALTPCSFIDYFLAKISCEKYPDKSLIARSVQLILNIIKGIDFLEFRSSEIAAAVAISLKELPTQEVDKAITDFFIVDKERVLKCVELIRDLSLIKVGGNNFASFVPQSPIGVLDAGCMSFKSDELTNGSCPNSSHSSPNAKRMKFDGPSSGTSQ >RHN46498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32298478:32298985:-1 gene:gene40990 transcript:rna40990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small hydrophilic plant seed protein MHVLHSINKTIYETFFFSILSYLVNNRSIMASQQQNREELEERARLGETVIPGGTGGKRRSRGGQTRKQQLGSEGYQEMGTKGGQTRKEQMGREGYQEMGRKGGLSTMDKSGEQRAAEEGIDIDESKFRND >RHN41423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29897479:29901770:-1 gene:gene47741 transcript:rna47741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyroglutamyl-peptidase I MGSEGPKKITIHVTGFKKFQGVPINPTEIIVNNLRDYVEKKGFPAGVTLGSCTILEVAGDDALPQLYQTMESVVSKTDTESNANLVWLHLGVNSGAARFAIERLAANEATFRCSDELGWQPQQVPIVLEDGGISRTRETSLPVDAILKFLKKGKDYDVMISNDAGRFVCNYVYYHSLRFAEQKGNKSLFVHVPLFSRIDEETQMRFTSSLLEAIASAC >RHN54133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7182707:7185029:-1 gene:gene29123 transcript:rna29123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LysM family MHLFPFIPFFIFNLFFFFFVSNISIILGQQPYIGLATNACPRKGDSKSIRGYTCNGKTQTCQAYLTFRTQPIYSSVSTISSLLGSNPSQLAEINSVSLNETFETNKMVIVPVNCSCSGNYYQANTSYVFQNTDTYFIVANNTFEGLSTCQALMHENHNPGDVYPGRKLLVPLRCACPTKNQTQKNIKYLLSYLVDWGDSVSFISDKFGVNFRTTLEANTLSLTQSTIYPFTTLLVPLFDKPSSSQIQTHHSPSSSPPLSSSSSTDKKSKKTWVYVVVGVLGGVVIVALILFLYAFISFKKGKKKNDFLVSVSESTIFEEKEKPMKKEDEKLSEIICGIAQSFKVYDFEEIKVATDNFSPSCRVKGTVYRGLIKGDLAAIKKTEGDVSKEIQILNKVNHSNVIRLSGVSFNQGHWYLVYEYAANGALSDWLFSNKKMDDGNILSWIRRIKIALDVAIGVEYLHSFTSPPHIHKDLKCSNILLDSDFKAKVANLRHVRCVEEVENDEEFVATRHIVGTRGYMAPEYLENGLVSTKLDVYAFGILMLEIITGKEVGFMISKDNENLLDVLSGILGEKSGDEKLKEFMDPSLQGNYPFELAMFVIEIIQNCLNKDPGNRPAMDEIVPVLSRTLNSSLSWEM >RHN54292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8364798:8365748:1 gene:gene29303 transcript:rna29303 gene_biotype:protein_coding transcript_biotype:protein_coding MQGYSHDLIVISYFQQAPFSSFVPSRAQLFSILLLGFISEIGSRISCMWFLVLILELFVCCVGDQNQLIGGWYKVEV >RHN39803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10631431:10634703:-1 gene:gene45871 transcript:rna45871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, kelch-type beta propeller MAGDTAWISHYDVETRKETKDIDSLAEPGDEVDKEGPVISLDVMPDELLERTLAYLPVPSVFRASSVCKRWYEIVTSERFLWNPSNSPPQKPWYFMFTSSDEPTGCAYDPNLRKWYCIELPFIGTSNWLVSSSNGLVCFMDNDSRSELCVCNPMTKTCRKLQEPPGSRFSDYGALAMSVYRESHGYTVAIVKSKQVPENFVQWDISIHIYKSEEETWATPLTEVLVGWRGGDESVICNGVLYFLVYSTGVVPPENRHAVVAYNISGRSSQTSLRRSFIPVPCSLTCGRLMNMKEKLVMVGGIGKSDRTDIIKGIGIWVLHDKKWEEVVRMPHKYFQGFGEFDEVFASSGIDDLIYIQSYGSPALLTFDMNIKHWKWSQKCPVSKRFPLQLFSGFCFEPRLKIAP >RHN70641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52935992:52940504:-1 gene:gene19250 transcript:rna19250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSKVLSFTGGDDFCTGRSMYLNPKEASLFLSLGRQEYAYRPLQKRSRFNVPFDFSGEKWFDLKPETSIETLPDECLFEILRRLPEGQDRSLCASVSKRWLTLLSSISKNEICSNASSGNKDSDNQEFGDEGYLSRSLEGKKATDVRLAAIAVGTQSRGGLGKLSIHGSNPDRALTDVGLKAVAHGCPSLKSFTLWDVATISDAGLIEIANGCHQIENLDLCKLPTISDKALIAVAKHCPNLTELSIESCPSIGNEGLHAIGKLCPNLRSVSIKNCPGVRDQGIAGLLCSASIILKKLTLESLAVSDYSLAVIGQYGFVVTDLVLNFLPNVTEKGFWVMGNGHALQQLTSLTIGLCPGVTDIGLHAVGKGCPNVKNFQLRRCSFLSDNGLVSFTKAAPSIVSLQLEECHRITQFGVAGAILNRGTKLKVLTLVSCYGIKDLNLNLPAVPPCQTISSLSIRNCPGVGNFTLNVLGKLCPTLQCLELIGLEGITDPGFISLLQRSKASLGNVNLSGCINLTDVGVLSMVKLHCSTLGVLNLNGCKKVGDASLTAIADNCIVLSDLDVSECAITDAGISALTRGVLFNLDVLSLAGCSLVSNKSLSALKKLGDSLEGLNIKNCKSISSRTVNKLVEHLWMCDILF >RHN40645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18779737:18780165:1 gene:gene46828 transcript:rna46828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MILKFHKAAGKGKLTVAYEKYSRKELGGVAAVKPLDRLPR >RHN50511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7775648:7775803:1 gene:gene34804 transcript:rna34804 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLTSLKRSYLFTIFMKLVLIKLVYLFPGISLIHFCILPIHIYFGPPNMD >RHN66373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11545325:11548487:-1 gene:gene14342 transcript:rna14342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MPKIVKFIYVMIIIISLFLVATNDEEDCFVDADCVNLILCDFDEKPKCIINICQCFPWTVIH >RHN40600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18044406:18044678:1 gene:gene46766 transcript:rna46766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MMGKPFWALHYFKESVFYQPNDSRLYIAMAQCYENDQIHMLDEAIKCYRRASNCNDGEAIAMHQLGKLHSELGHHEEAAFYYKKDLEDGI >RHN54538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10321047:10324715:1 gene:gene29602 transcript:rna29602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MATMGGETLMKAHLAMAFLQLFNGGYHVITKVALNAGVNQLVFCFYRDLIALLIISPIAFFKERQTRPPITKQLFMSFFFLGLIGIFGSQVLFLYGLGYTNPTYASALQPSIPVFTFLLAVIMGVERVNLQSFEGLTKVGGTVICVSGAIFMALYRGPSLIGYNEPVIIPHNEIIVSGQPEPFGFGWLITGLLNLGLENFKLGVMFLIGNCTFMAAFLAIQAQVLKKYPAYLSVTAFSFFFGVVLMAIVSLFTTNLSSDWILTQSEILAVVYAGTITSAINYAVMCWSNKILGPTLVALYIPLQPGFAAILSQIFLGSPIYLGSIIGGSLIIAGLFGVTWASYKERQATLEISSHDSWVSEPLIHEKSAHQRDHIFPGSSSASTAARSCG >RHN79572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30224069:30226354:1 gene:gene3384 transcript:rna3384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MLIPLFLYILCFLLFPQSLQSQANAFSRQPSGKFIFTHHERSDSDPQQVHISLVGKDHMRVSWITEEKDSESLVEYGIKGGEYSKKAIGENTSYRYFLYKSGKIHHVVIGPLNPSTTYFYRCGGSGPEFSFKTPPLKLPIEFVIVGDLGQTEWTKSTLKHIDSKDYDVFLLPGDLSYADTHQPLWDSFGRLVEPYASQRPWMVTEGNHEIESIPIIQPHAFRSYNARWLMPYNESGSTSNLYYSFEVASTHIIMLGSYTDFDAQSEQYKWLQSDLAKIDRKRTPWVIALVHAPWYNTNEAHEGEGEEMRQAMEELLYEARVDLVFSGHVHAYERFTRIYDNKADPCGPLYVTIGDGGNREGLALKFKKPPSPLSLYREASFGHGRLRIVNETHANWSWHRNNDSEAFVAEDIWIKSLSNTKECWESIGQQISHEEL >RHN43716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47940867:47943800:-1 gene:gene50334 transcript:rna50334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MGNIAIEGKNGKVAIIEEVLYVPGMQCNLLSVGQFIQKGYSVTMKDNTFKLFDNHQRLVLKTPLAKNRTFQTNMKAVELNCLSAMVKDEDSWLWHYRFGHLNFRGLNQLVDKEMILGVPKIEIPNTVCGTCLLGKQPRSAFSSSSASRSKELLNVVYSDVCGPLEVPSLGGNKYFISFVDEFSRKLWLYLIKAKSEAFDMFQKFKILVEKQSGKSIKILRTDGGGEYTSKVFEKFCEDNGIVHEVTAPYTPQHNGLAERRNRSLLDMTRSMLKMKKMPNTFWGEAVRTAAYILNRCPTKKLNQIPEEIWLGCKQSAKHLRVFGSLCYMHIPDAKRRKLGDKSEPMILVGYHETGAYRLYHPLNHSIVISRDVKICENEAWDWTKKEKSSSHTIPTIIKDDDQVEQVQLDTEVQPEVHVEENQVTRTSVRQRFASTRLAGHEVIPDNVVNEEGEFIHFALLADAEPINYEAALNEDVWKNAMIEELNSINRNNTWKLIELPASKKPIDVKWVFKLKLKPNGEVAKYKARLVARGFMQKAGMDYFEVYAPVARLETVRLIVAIACGRNWPMHHLDVKSAFLNGPLDEEVYVTQPPGFKIKGKEDMVYRLHKALYGLKQAPRAWNKRIDSFLVKQEFVKCKSEYGVYVKKGSEGNQLLICLYVDDLIVTGSDMNEIEAFKSQMMSEFEMSDLGKLTYFLGMEFTEVAEGLVMHQKKYASDILKRFNMMSCNPSSSPAETNVKLVMNEDEEPVNPTLFKQIVGSLRYLCNSRPDIAYAVGIISRFMSEPRVSHLLAAKRVMRYIKGTLQYGILFPKCLNDSSMELMVYSDADWCGDRQDRKSTSGYLFKFMNAPISWCAKKQPVVALSTCESEYIAGCMAACQAIWLENILKEMEIEVSRPIELLIDNKSAISLARNPVLHGRSKHIEAKFHFLREQVNKGALQIVHCSTELQLADIFTKALKVDRFIKLRSLIGMKEVET >RHN74981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38412503:38419567:1 gene:gene11125 transcript:rna11125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MANRNLPPRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWTPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKDWTRLYASGA >RHN78371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14502961:14503916:-1 gene:gene1917 transcript:rna1917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MANKIFFDRHDGLDCLSHSVNNSMTVVTELVILPPSLKLDGNLSVEGNSVEHWAILDFTGDEHDNLTNFIEALRHKFTSLGINMEQPLKIFRLENSDSLRELLSRIKTINGRRLQLLLCIMSKSNKVYKLLKSMGGRNVDEGLLPFFDYEEHVMLIGADVNHPASRDRRGSPSIAAVVATVNWPAANKYASRICIQEGQSEKISNFGEICFDLVGNYEKLNRTKPRKIIIFRVGVSREEFSMVLNDELEDLKRDFGGFKYHPTITVVVAVKGHRTHFFPMFQYLDTLR >RHN79086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22293142:22296242:1 gene:gene2786 transcript:rna2786 gene_biotype:protein_coding transcript_biotype:protein_coding MESTEKQPGLLQQIVPPRLEDAGLEDPALPPKSIHEAFLKAAAAVKSRIFTSSDEDDCIDDPKPSGEDVSDVVGVIELENKEPGACVDGLQGLDKEKDDVKEKSEEKEKKEKKPIFVGGYA >RHN72556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8605895:8608204:1 gene:gene8282 transcript:rna8282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFKYKPKPHSLFILTAQKRFVALLPHPPSSSATTHSYNALINRHSTQGAHRQVLITYTSMLNANIPSDAYTFPSLLKACSFLNLFHLGNSLHQRVIVNGLSTDSYIASSLINFYVKFGYNDVARKVFDFMPERNVVPWTTVIGCYSKMGDVREAFSLFRQMRYEGIQPSSVTLLSLLFGVSEVPYVQCLHGCAIFYGFMSDLNLLNSMVNLYGKCGSIEDCRKLFEFMDRRDVVSWNSLLSAYAQIGDLCEVLLLLKRMKLQGLEPGLQAFGSVLSVAVSTGDMRLGRLVHGQILRGGFVLDAHIETSFIVMYLKGGNINVAFKMFERSLDKDVVLWTAMISGLVQNENADKALAVFDQMFKFGMKPSTETMASVITACAQLGAFNLGKSIHGYILRQKLSLDTAAHNSLVTMYAKCGHLDQSYIVFDRMSKRDLVSWNAIVAGYAQNGCVYKAFSLFNEMRSCHQTPDSITIVSLVQGCASTGQLHPGKWIHGFVIRNGLRPCILVDTSLVDMYCKCGDLDSAQRCFNLMPSQDLVSWSAIIAGYGYHGKGETALRLYSKFLETRIKPNHVIFLSILSSCSHNGLIDQGLNIYESMTRDFGFVPNLEHHACMVDLLCRAGKVEEAYNLYKRMFSDPVLDVLGIILDACRANGNKELGDIIANDIIKLRPMSAGNYVQLAHCYASINKWEGVGEVWTHMRSLGLRKIPGWSFIDIHGTITTFFTDHNSHPQFLEIVNTMTILREEMNKMEEVDLNFESNHTHYYNIC >RHN79553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30070695:30071605:-1 gene:gene3362 transcript:rna3362 gene_biotype:protein_coding transcript_biotype:protein_coding MHKEQNFMDQNHSNQKIFHVYFSRRNKIKLCGPDTNLGQKG >RHN75790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45057948:45058540:-1 gene:gene12040 transcript:rna12040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine C-palmitoyltransferase MGSLKDNLQLLENIAEHVLKEDSVFVVASRRSTLDKCRLPL >RHN58385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1339187:1343768:1 gene:gene20292 transcript:rna20292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MIINVRDSTMVRPSEEVTQRTVWNSNVDLVVPNFHTPSVYFYRPNGASNFFDAKVLKEALSKVLVPFYPMAGRLRRDEDGRVEIDCDGQGVLFVEADTGGVVDDFGDFAPTLELRQLIPAVDYSRGIETYPLLVLQVTYFKCGGVSLGVGMQHHVADGASGLHFINTWSDVARGLDVSMPPFIDRTLLRARDPPRPVFDHIEYKPPPSMKTHQQPTKPGSDGAAVSIFKLTREQLNTLKAKSKEAGNTIHYSSYEMLAGHVWRSVCKARSLPDDQETKLYIATDGRARLQPPPPPGYFGNVIFTTTPIAIAGDLMTKPTWYAASRIHNALSRMDNEYLRSALDFLELQPDLKALVRGAHTFKCPNLGITSWARLPIHDADFGWGRPIFMGPGGIAYEGLSFIIPSSANDGSLSVAIALQHEHMKVFKDFLYDI >RHN43611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47209460:47212547:1 gene:gene50217 transcript:rna50217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MNFIFYVLLLLLVLQNPIVTQCHTKGLRPKPSTGFGMSANMTKVQESEQQFMKWVKFVGGLKHTVFRTAKNKLFPSYTLNVYKHSSKGGFSSIQAAIDSLPFINLVRVVIKVHAGVYTEKVSIPALKSFITIQGAGADKTIVQWGDTALTPNPGAKGQTLGTYGSATFAVNSPYFIAKNITFKNTAPIPKPGAVGKQGVALRISADTAMFLGCKFLGAQDTLYDHIGRHYYKDCYIEGSVDFIFGNALSLFEGCHVHAIAQNIGALTAQGRNSLLEDTGFSFVHCKVTGSGALYLGRAWGPFSRVVFAYTYMDNIIIPKGWYNWGDPNREMTVFYGQYKCTGPGASYAGRVAWSRELTDEEAKPFISLNYVDGSEWINSFF >RHN63392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50901361:50902013:-1 gene:gene26086 transcript:rna26086 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNIPFFPKFFTNILSLAFLRRLSLNKSIFLNRSNSARKSDLDRLVYSHHFCNHSSCSFYSCFRLKPICAESVVFVALYTFFISMFFRRE >RHN64095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56547425:56550090:-1 gene:gene26876 transcript:rna26876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MAPQKLTEYERKRLENIRRNNEMMAALKVHTKATELSILPKAVTKSYSVKSEKKPKTETPIVIRRSLRTRGIPPDSKGSDSSTLTRNSPQKINDFVQNLGPIPMKDAYKGDDDSDRSFIESLVGMSNKEFSEEELNGSAKKKKKIECSLELESLNLDPENIARVVPGRITQMRFCPSNDVKMVAAGNKFGDIGFWNVGESEIFLYHPHQAPISGILFQPHCLSKIYTSCYDGLIRLMDAEKEIFDMVYNGENSIYALSQPKNEVNCLYFAEGSGGLSVWDNRIGKCSSQWDLHESRINTIDFSPQTTHIVATSSSDGTACTWDLRSIGGPKHTSLRTFTHKRSVQSAYFSPSGCSLATTSLDNTIGIYGGVNLEDKISVYHDNQTGRWISTFRAIWGWDNSYIFIGNMKRGVDVVSTVQRATIKTLQSPHISAIPCRFDANSCEVGMLAGATSGGQVYIWTSS >RHN46015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27761589:27764518:-1 gene:gene40451 transcript:rna40451 gene_biotype:protein_coding transcript_biotype:protein_coding MQILQWLFKKAQEQERKRSTYINEQNGKGNISGLKQDGSYKRTKRFSCSKDGYKNLKIFSLLYRKDIPKACFFRTLSLKRIGISRRHFVYSMKMKKEESSRDEGIVNKTDSTTHGGNKVLPITQEAPLSSSAERNDQCDNTITKDQVKGDRKKPMSRMKELLRWAAASAKTDKGGKFYGRKVLMFRRRGNLKAVQDDDQVSTESPKISFRWDMESCSTTSSAYSAFSMASSTRNGQNQTATSTISIPSSQSGHTTCRRKANWITTDSEFVVLEL >RHN74303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31672572:31672709:1 gene:gene10341 transcript:rna10341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartic peptidase domain-containing protein MEGHHQDGRLQKLQLLLVDNLLVFDLASSKLSFSSSLLVHNASCS >RHN58089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43661294:43663437:1 gene:gene33697 transcript:rna33697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small nuclear ribonucleoprotein Sm D2 MEDAVIMNQEEEFNIGPLSVLYMSVRNNTQVLINCRNNKKLLGRVMAFDRHCNMVLENVREMWTEVPKTGKGKKKAQPVNKDRFISKMFLRGDSVIIVLRNPK >RHN40714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19897856:19900930:-1 gene:gene46908 transcript:rna46908 gene_biotype:protein_coding transcript_biotype:protein_coding MILKEKQYLRLKLENGPEVINFLIAPECVKDLLVGGAWLDYSILQVWCTCMHRLCIETNKSNVFGFLDPTNLSFKSKSTMNIEPFKSYIRTRLRDMNKVCYLAPFLMEALEINRHLSKG >RHN63570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52295562:52297334:1 gene:gene26287 transcript:rna26287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MWGMYLGLDMAWRENTTHIIVESDSKILVDMITENCNFSGTTPTLVGRIRQLLSLSWIVKITHTWREGNRSADWLANFSISMDFLDFHILETPPSELQSLLVDDIIGACMPRNVRLIS >RHN63424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51183320:51186136:1 gene:gene26122 transcript:rna26122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-L-isoaspartate(D-aspartate) O-methyltransferase MEQYWSGSAINKNKGMVENLQNYGIIKSRKVAETMENIDRGVFVPNGAQPYIDSPMAIGYNATISAPHMHAICLQLLENYLQPGMHALDVGSGTGYLTACFALMVGSNGRTVGVEHIPELVSSSIKNIEKSAAAPLLKDGSLSVHDCDGRQGWPDFAPYDAIHVGAAAPEIPQPLIDQLKPGGRMVIPVGNALQDLKVVDKNSDGSISIRTETSVRYVPLTSKEAQLNGE >RHN41159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27660851:27672535:-1 gene:gene47450 transcript:rna47450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MVNLLSCILLFLLSLHCFVACLAVNTKNITTDQYALLAFKSLITSDPYDILSKNWSTSSSVCNWVGVTCDERHGRVRSLILRNMSLKGTVSPNLGNLSFLVILDLKNNSFGGQFLTEVCRLRRLKVLHISYNKFEGGIPAALEDLSQLQYLYLAANNFSGSVPQSIGKLRQLKVLDTFQNRLSGPIPQSISNLSSLEYIDLSSNYFSGTIPEEIGYLDKLELLVLGDNRLSGSIPSKIFNLSSLTALVVENNSLSGTIPSNTGYSLPSLQYLFLNDNNFVGNILNNIFNSSKLIVFQLHSNVFSGTLPNTAFEDLGLLESIRISNNNLTIEDSHQFFTSLTNCRYLKYLELSGNHISNLPKSIGNLTSEFFRAESCGIGGYIPLEVGNMSNLLSFDLYYNNINGPIPGTFKGLQKFQYLDLSSNGLQGSFIEEFCEMKSLGELYLDNNKLSGVLPTCLGNMTSIIRINVGSNSLNSRIPLSLWSLRDILEINFSSNSLIGNLPPEIGNLRAIILLDLSRNQISSNIPTIISSLQTLQNLVLAQNKLIGSIPKSLGQMVSLISLDLSQNMLTGVIPKSLESLLYLQNINFSYNRLQGEIPDGGHFKNFTAQSFMHNDALCGDPRLLVPTCGKQVKKWSMEKKLILKCILSIVVSAILVVACIILLKHNKRKKNETSLERGLSTLGTPRRISYYELLQATNGFNESNFLGRGGFGSVYQGKLLDGEMIAVKVIDLQSEAKSKSFDAECNAMRNLRHRNLVKIISSCSNLDFKSLVMEFMSNGSVDKWLYSNNYCLSFLQRLNIMIDVASALEYLHHGSSMPVVHCDLKPSNVLLDENMVAHVSDFGIAKLMDEGQSQTYTQTLATIGYLAPEYGSKGIVSVKGDVYSYGIMLMEIFTRRKPTDDMFVPELSLKTWISGSFPNSIMEILDSNLVQQIGEQIDDILTYMSSIFGLALNCCEDSPEARINIADVIASLIKIKTLVLSASRV >RHN75335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41384475:41387063:-1 gene:gene11519 transcript:rna11519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MATLNKLFVTLSILSLFACSTNAQLFPNFYGRTCPSLQTIVRREMTKAINNEARIGASILRLFFHDCFVNGCDGSILLDDTSTFTGEKNAGPNKNSARGFEVIDAIKTSVEAACSATVSCADILALATRDGIALLGGPSWIVPLGRRDARTASQSAANTQIPSPASDLSTLTKMFQNKGLTLRDLTVLSGAHTIGQAECQFFRNRIYNETNIDTNFATLRKANCPLSGGDTNLAPLDSVSPVTFDNNYYRDLVANKGLLNSDQALFNGVGSPVSLVRAYSINGFAFRRDFAFAMVKMSRISPLTGTNGEIRKNCRLVN >RHN66051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8009926:8018153:-1 gene:gene13962 transcript:rna13962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leo1-like protein MGGEEKRHQMMQNLFGDQSEEEEDELESEHESNPQLNSDEGEGGVGQDGEEGEGEVEGQGEVEEEAESEGEREVGDQRVEEESEGRGEDTDSDAKDDYNQRVVTSKRRDVVESGSDDDEQEVDAAARRSISGSPRDDKDHTHDLDSAPEIRDVFGDFDDEEEDMGYAAQQDIEQDSNRYPVEEEGSYEKSLRPEDILADEEHQYESEEENIEMKTKDKPLGPPLELEIPLRPPPALPGKMNVFKVSNIMGVDPKPFDPKTYEEEDTFVTDEFGNKKRIRLENNIVRWRKVTNPDGTTSVESNARFVRWSDGSIQLLIGNEVLDVSEQDAQHDQAHLFLRHGKGILQSQGQLSRKMKFMPSSLSSNSHRLLTAIVDSRQRKAVKIKNCVTDIDPEREKEEKEKAESQTIRANVLLTRKREKVNRKYTPTERRRQLSPGFLEDALDEDEEADYYDSRRTQRHYEEDFEAEVRAEKRIMNAKKSQGPKSIPRKSSFLPPAKSSRHQVGYPDDERDESEYETEDDEEEERPRSRMRDDDSEAEYEDEEEDEQIEQENDASDEDEDEGLKQKSKNLKRKAKKKGFDSDEGSPPPKKPTTHRRMTIMSDSDDE >RHN74242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31009941:31010284:1 gene:gene10274 transcript:rna10274 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSNEKEIQIRTLTGESITLHITRSSTVQQLKLLLNHSFPPATNSPNFHLFFKALSLSLSLSLNFHCVFIVIVLFSSSISRVCNL >RHN73663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18665963:18666669:1 gene:gene9509 transcript:rna9509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGAFEELPEECFVAILSRMTPDDVGRLSVVSKAFRSVADSDTVWNHFLDPKFIDFIIPHSHPSIANAPTKKALYLALSDRPIMIDNIDTRIQLHRKSGKKSFMFAIALPIASDSRIASPSVRRDGWLEIET >RHN62742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45578829:45584569:-1 gene:gene25347 transcript:rna25347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MKKELSKNQIFALHGITAAGSIALATTFTYPLDTIKVLTQVGSSAGKELNANQIVTRVFAVSGNAGMFSGFGWLAFGRIFGLGARFGVYEILTAFCKDGRENNYVTASEAFLVGMAAGATETFISSPFELIKLRMQAASASFVPNSNFSLEEGARKPLIARLLNGCYPDKKSLNQYVGLLSTLTTKNTNISGALLEYPWAMTGSGLPPSVCNVRRPSNIISLEGWSTLWRGLRSGIVRDSVFGGVFFSSWQFLHQAMLDWKAVGMNPPPRLNDEVGPLSPLAVSLAAGFSGSVAAAASHGFDTARSRSQCTVVPKYVSMERKLLQWKRPGNKFERFTGIHPSDRNVLSRGLGLRMARSGIASFMIVGSYLFVVDHLTSSLT >RHN40262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14672014:14673487:1 gene:gene46387 transcript:rna46387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative citrate transporter-like domain-containing protein MALAPIPKVVLGSIAFAIFWILAVFPAVPFLPIGRTAGSLLGAMLMVIFRVISPDEAYAAIDLPILGLLFGTMVVSVYLERADMFKYIGKLLSWKSKGPKDLLCRICLISALSSAFFTNDTSCVVLTEFVLKIAKQHNLPPQPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGEFLLGIVPAMLIGVVVNALILIAMYWKLLSVHKDIEDPTAEVAAEEEVNSHKFSPATMSHYSSALNSQELNGYLVEPCSIQNSPQVHNPRNRLISSDGEIDRFHVGSTTDSARNSTASKEGTNNDLASQTKEETSPTKTVVEVDRTTEGHDLISSEGKEYLSAEWKRRLWKSCVYMITLGMLIAMLLGLNLSWTAISAALALIVLDFKDAGPPLEKVSYSLLIFFCGMFITVNGFNKTGIPSALWEMMEPYSRVDRSSGIAILSLVILVLSNLASNVPTGK >RHN76088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47576579:47579348:1 gene:gene12364 transcript:rna12364 gene_biotype:protein_coding transcript_biotype:protein_coding MELEHHTISKYSEANVFLKKVMDQKEEMLQQFIKGMLILKLNLFHIVPLEISKVQQVERDYLESMSKDYEKAILQLEARRNELMSREKDLQKGQEDNYIERNKQYFQTGHKEKEKLHKKIHDLERGLGAKQTLELERLKGALQVMSHIRETDLEEMKKLEAIKMELHKKKEELEGVIHPQQALVVQERKTNDELQDARKKLISWIGCPKKAPRAIISVKRMGELEIKPFLEAAKRKFSDEVNEKKATKAQLREKWQLKAIEWCSTWDDCLKDPSWHPFKVVTDKDGKSKEILDGEDEKLKSLKDEFGDEVHDAVATALKELNECNPSGRYPIPELWNFREGRKATLKEGVSHLIRQWILNKGKKTY >RHN71776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2549216:2553022:-1 gene:gene7411 transcript:rna7411 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAIKVNPVDSYMSENLESPYSDDKRIRLERRWRRREAMNNSLKHNCFTTATKTTTKTCGNTDVLIDKNDDLIDEDWLIGLSVYTGDSGGEMDEDCGSFLPTHDPDIQTGGSSNGNNNDIDEIDADYTSFLATYNHDIETDCATSNHSGDSNINKDSDEVDSDYASYLATYNHDIETDCASNHSGDSNINKDNDEMDADYASYLATCNHDIETDCASHHNSDSTINKDSDEVDADYASFLCTHDPDIETGCASNQSSGSNGNNNDSDEMDADYASYLAACNLDSETDCASNHSGSSNINKDNDEVDADYGSFLPTTNDPDIETYCASNHSGGSLVSDVKFNEDYEQFLNSVTIVDDDNEYFRDKNATNTSNVEDDSNSSDSDLIILDSFPICGNTPFVSSKTYDSSCFGEETNPEDNKQIAAYDHSQFRRTLMECLERPYDEEEYDDLLLKVSKQAKKERHLETRQGVVKSYHCEGLSKSYLDTHPDLVKVIAQFKEQHRVLFLLRGFFFWLQRVSLAGRFQPWRDETCLEILRKM >RHN47354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39104055:39109040:-1 gene:gene41945 transcript:rna41945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MIPLLLDGWSNYLNKNDSIKVWTCVICLIFTNAGHFGSKQYELTLSLMNNIIKPCTRNLVTTLTAPKPHLHVDASIIKTGFNPNTYRSNFLVKSFLQRGDLNGARKLFDEMPHKNIFSTNTMIMGYIKSGNLSEARTLFDSMFQRTAVTWTMLIGGYAQNNQFREAFGLFIEMGRHGIDPDHVSLATLLSGFTEFDSVNEVRQVHSHVIKLGYDSTLVVSNSLLDSYCKTRSLGLAFQLFNDIPERDSVTFNALLTGYSKEGFNREAINLFFKMQEVGYRPTEFTFAAILTAGIQLDDIEFGQQVHGFVVKCNFVWNVFVANALLDFYSKHDRVVEASKLFYEMPEVDGISYNVLVTCYAWNGRVKESLELFKELQFTGFDRRNFPFATLLSIAAISLNLDIGRQIHSQTIVTDAISEILVGNSLVDMYAKCGEFGEANRIFSDLAIQSSVPWTAMISSYVQKGLHEDGLKLFVEMQRAKIGADAATYASIVRACASLASLTLGKQLHSHIIGSGYISNVFSGSALVDMYAKCGSIKDALQMFQEMPVRNSVSWNALISAYAQNGDGDCTLRLFEEMVRSGLQPDSVSLLSILCACSHCGLVEEGLQYFDSMTRIYKLVPKKEHYASTIDMLCRGGRFDEAEKLMAQMPFEPDEIMWSSVLNSCGIHKNQELAKKAANQLFNMKVLRDAAPYVTMSNIYAAAGEWDNVGKVKKAMRERGVKKVPAYSWVEIKHKTHVFTANDKTHPQMREIMKKLDELEEKMVKKGYKPDSSCALHNVDEEVKVESLKYHSERIAIAFALISTPEGSPILVMKNLRACTDCHAAIKVISKIVRREITVRDSSRFHHFRDGFCTCRDYW >RHN66293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10401252:10410003:-1 gene:gene14243 transcript:rna14243 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 2 MEKRPLQPSTTANIPSKTIEEMYQKKTQLEHILLRPDTYVGSIEKHTQNLWVYENEEMVNRSISYVPGLYKIFDEILVNAADNKQRDPSMDSLKVTIDPEANTVSVYNNGDGVPVEIHQEEKVYVPELIFGHLLTSSNYDDNVKKTTGGRNGYGAKLTNIFSTEFVIETADGRRLKKYKQVFTDNMGKKSDPVITKCKANENWTKVTFKPDLEKFKMTYLEEDVVALMKKRVLDMAGCLGKTVKVELNGTVIRFKSFKDYAELFLKCAEKSKPSPLPRTHTKVGDRWEICVSLSDGQFQQVSFVNSIATIKGGTHVDYITNQITTYIMNKVNKKKKDANVKAHTVKNHLWVFVNALIDNPAFDSQTKETLTTKPASFGSKCDVPESMLKDVEKSGIVDTLLSWADFKQSKDLKKTDGTKTQRLRGIVKLEDANDAGGKNSDKCTLILTEGDSAKALAMAGLSVVGRDHYGVFPLRGKLLNVRDASNKQVMENEEIQNIKKILGLQQNKEYTNVKSLRYGHLMIMADQDHDGSHIKGLLINFIHSFWPSLLKVPSFMVEFTTPIIRASHKNGTKLSFYSMPEYDAWRERLGNTANAWAIKYYKGLGTSTPQEGREYFKDLDKHRKDFIWENELDGNAIELAFSKKKAEDRKNWMRSFEPGTCRDHEAKLISYKDFVDKELILFSRADLQRSIPSMVDGLKPGQRKILFCSFKKNLSKEVKVGQFIGYVSEHSAYHHGEQSLASTIIGMAQDFVGSNNINLLTPNGQFGTRNLGGKDHASSRYIYTQLNTVTRSLFHADDDKLLEYLNEDGRSIEPNWYIPIIPLVLVNGSEGIGTGWSSFIPNYNPREIIANVRRLLNDEEMVPMDPWYKGFRGTIEKSAKEGGYIVNGSVEEIDDITFRITELPIRKWTQDYKTFLELTTEGAPNVKDPLIEDFKQNGDDAIVDIEVRMKAEKVAAIMQEGLFKKFKLTSTISTSNMHLFDAEGKIKKFDTPEQILEEFYPLRLEYYEKRKKYILANLERLLLILDNKVRFILGVVSGEIIVSNRKRTELLIELKQKGFTPIPKKGKSAEPQVAGANDENSEDQEDSERETASKSVNVEGATWGDYEYLLSLSIGTLTLESVQKLLDEKADKENEFEILMGTPSKSLWLKDLDELEKKLDELDRIEAEEGQKRSTMSNKKNRLATKPAKKPPQPRKNTKKANVEPENDNSSMEIENAVEVVKPVEVAKPKGRAGSKKNAQTEADDEILSLQERLAVYNLESSGEKSQAMEEEPPVPPAGKKQINKRGGAKKKSNTIVLESDSDNEVNDVEDDDDDFEVQENAAPAKKGGRKPAAQNAKKAAAEDDEFEVQEKAAPAKKGGRKAVQNAKPPAKAAAAPKKRNVGSKSTLGQKLLTDMLQPAESIGTSPEKKVRKMRESPFNKKSGSILGKTANMDISPIADLSEGSTSNSPTAEDEVVEIAPQPARARPQRANRTQMKYVVSESESDNESDDEDAELSDFEEDDD >RHN62832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46115131:46118664:-1 gene:gene25450 transcript:rna25450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MWFVCGHFVFSLAILVLFFSTPSFSTSFLKTENKIKSAVFLSPKFELGPGSVINRYYYDIDFPRGHVALKSFNAEVVDEDGNPIPLHETYLHHWAVGRYHQRKHVTHTENDSHRMLQNSADHVLVRNSGICQENVIPQYFGLGSETRGTATDIPDPFGIEIGNPEEIPEGFEEKWLVNVHAIDTRGAEDKLGCTECKCELYNVTVDEYGRSIRSDYEGGLLCCYDYTQCKLKEGFEGPKRSLYLRYTVKWVDWDDFIVPVKIYIIDVTDSLKPSDDSKGLNSDHDCKVEYQVESCTTDHNLRNGCVHVMRTSLPLQTGGYVVYAVAHQHSGGIGSTLYGQDGRVICSSIPSYGTGNEAGNEANYIVGMSTCYPKPGSVKIIDGETLTLVSNYSNSKEHTGVMGLFYLLVAEQLPYQHFRHSTRSSFFMDINNMLLDN >RHN55482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18874146:18874994:-1 gene:gene30670 transcript:rna30670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(A)-specific ribonuclease MTKTCMLSEELSKPIIVREVWAHNLEYEFHLIRDVLPEYGECSLVSIDTEFPGVIHTPKVDHRHLQPSDYYRCVLKPNVDDLKLIQLGLTLIDDCGQLPDFDTDNRYIWQFNFCDFNVERDPHNKDSIDLLRRQGIDFNRCVSQGVDSFRFAELMLKSGLMFKKSMTWVTFHGAYDFAYLVKILIRRNLPDTLKEFLNILEILFGRNIYDMKHMIRYSNALYGGLDRVASTLKVDRVVGKCHQSGSDSLLTWHTFDKLVQTHFSHREFEKYAGVVFGLEVPR >RHN78477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15467022:15470043:1 gene:gene2047 transcript:rna2047 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFADYSKFLMMSSKKASLVTVNSLMRLCNRCCSRHVANCIKGSNTSRDISTAAERWDYQANDSSDYQQNRPRFHQQNASGYFPNNHASSERIYYGSGQTAHGGHVNNNITQNVVQNNLAEHNGSVNEDFGQRNFKMQHKVGVGVDNSRGFGMHPYGSFERHNWRLESGETMKYPNSHSLLTPIEYQGHHENLTQNRGRWQQARNSDTQNSASDTGKLKNLDEFCKEGEVKKAIAVLQELEKLHTYVDLYLCLRLMQLCGKAEFLEEAKVVHRYVLQHLSPLTVRTCIRILEMYFQCGSVDDAVNVFRNMNMTERKLIEELDSSCMEGEVKEAIDVLQVLEKFHIPVDLDRCL >RHN53814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4342289:4348517:1 gene:gene28764 transcript:rna28764 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTGKDLFGEDSDNDKDGTHSSSSSSSSSAASSSSSSSSSAASSSKGSADSSSDTGSGASSGGGGDDEENGDVVDSSNRVDSHHDDDHDDRDLFGDDNEDYCKTLAKSPYPIPVLPPIRNNTNNPGRGGGGRGRWQQGQHNDRGAGILPRPGPYPQRQNFGYGNRFQNGHRDERFVSEMKLSKSEETLSRKVIAFQEPCELACYSRAEGGEVYFDDRSLRLFKRHITEDIGADLNEGYDTYIPKKDLGSEGYGDLLACIRDKKIHLQNIHFVTYRNNLNKILATAYIRHEPWEMGVHKRNGVVYLDVHKLPERPQSDLDRRRCYLGYSFESLATEDPKRADGEPIHDVDANVEFCSVIKTKLGAHRILMGAEMDCCDTTTDGKRFYVELKTSYELNYHNEEKFEREKLLKFWIQSFLAGVPYICIGFRDDAGRLVRTEMLRTKDITQRIKMKGYWQGGVCLAFADEVLCWLYGTVKENEDYILQFAPPFNRLELLQAHSCPDVITSHLELL >RHN72588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8916093:8918580:-1 gene:gene8315 transcript:rna8315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plastid lipid-associated protein/fibrillin MASLSNLNQLLHTNTLPVTSLNSTPSISPSTITVGFLNKHVRKPIVLADGLRRVVYVQANRIDDEWGPEPSAGVAVAEVQATEKVSDGETEKLKKALVGSFYGTDRGLKATSETRAEIVELITQLEAKNPTPASTDALSLLNGKWILAYTSFAGLFPLLSSGLLPLLTVEEISQTIDSESLTVQNSVLFAGPLTTTSISTNAKFEVRSPNRLQIKFEEGVIGTPQLTDSLEIPENVEVLGQKIDLSPFKGIFTSVQNTASSVVQTISNQPPLKIPISNDNAQSWLLTTYLDEELRISRGDGGSVFVLIKEGSSLLTN >RHN41799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33102045:33105095:1 gene:gene48160 transcript:rna48160 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQNTMKFNHAVSSSRCKEREGKVIERRLLLCCCEWPCLTES >RHN45210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13057639:13058076:1 gene:gene39440 transcript:rna39440 gene_biotype:protein_coding transcript_biotype:protein_coding MSESTLANFKMSTYVAFFLALVFVLFNVSTDAKHQYSQTTNTKSIINLAFKSLIPPNERYVYFDCKVGTFVLPSNQFHIMVVSIDQVVSCHAYWKQSEAIITAFDPKSKIIGHDVFWLIRPDGLLQTLDNFSFEKKATLKPRRQK >RHN62500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43889905:43891821:1 gene:gene25084 transcript:rna25084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated, VPS28 MTEVKLWNDKREREMYDNFAELYAIIKATERLEKAYVRDIISPKEYEIECQKLIAHFKTLASTLKDTVPSIDRFADTYRMECPAAINRLVVSGVPATVEHRATAAAGVSTSAATVAECVQNFITSMDSLKLNMVAVDQVHPLLSDLYGSLNKLTILPPDFEGKIKMKDWIGRLSKMGAADELTEQQARQLHFDLESSYNSFMAALPNAGT >RHN55562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19528175:19528858:1 gene:gene30763 transcript:rna30763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MSSPSSVHQFHKSQCFFKVIVEKTLKKKEIILPRSFVKKYGKKLSNPVTLVLPNGDKWEVHWIKRDHDVCFQKGWENFSQHYSMSYGHFLVFRLETRSQFQVMIFDKSALEMDYWSIPSPRYQVERNIHRHEDAEKSGDKDDYIEISDETEMRRSSSPQPHKRMKTSDGPSQRKILKKEKIIVDQKIKGKL >RHN50119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4199179:4206035:1 gene:gene34374 transcript:rna34374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MHIIYYGPDMSNFAKPKPHAVLIPAPFQGHINALFNLGKLLHLRGFHITFVNTEYNHKRLLKSRGPNSLDGFSDFKFETIPDGLTPMEGNGDATQDIYPLVQSIMTNFLQPFDELLTRLHQSANDGFIPPITCLVSDCYMPFTIDAAEEHALPILFFSPCNASTFLCTFQFPTLIRKGLLPLKDESYLTNGYLDNKVGDWIQGLQNFRLKDLPDFTRITDPNDLMIKFITEVADRCHRASSIVINTSYELESDVMNALYSMFPSIYTIGPFASFLNQSPQNHLASLNSNLWKEDTKCLEWLESKEPRSVVYVNFGSITVMSREKLLEFAWGLANSKNPFLWIIRPDLVIGGSVVLSSDFFKEISDRGLIASWCPQDKVLNHPSIGGFLTHCGWNSTTESICAGVPMLCWPFFGDQPTNCRFICYEWEIGLEINTNVKRDDVEKLVNELMVGEKGNMMKQNVLELKRKAEENTRPGGFSYMNLDKVIKEVMLKQY >RHN52858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39611579:39613172:-1 gene:gene37582 transcript:rna37582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MGKLGRMLETFCLSSCSKTCFCMNTTEFEDEFEKKSLISSESDHKLRLKDVVAGKQTLAFQLKPQIVILRVSMHCHGCARKVEKHISKLEGVSSYKVDLDTKMVVVMGDILPFEVLESVSKVKNAEIWNSHANEE >RHN48603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48758712:48761418:1 gene:gene43339 transcript:rna43339 gene_biotype:protein_coding transcript_biotype:protein_coding MCKWSSPSLNICFRWHAFSLQDSPLQSQLQSIQKHPVMTQRQVEMDPCVLQLLIANNPFLLSWCMN >RHN46335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30868426:30869939:-1 gene:gene40815 transcript:rna40815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase archaeal subunit P/eukaryotic subunit RPABC4 MDPLPEPVSYLCGDCGVENTLKSGDVIQCRDCGYRILYKKRTRRIVQYEAR >RHN51928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28580522:28580984:-1 gene:gene36501 transcript:rna36501 gene_biotype:protein_coding transcript_biotype:protein_coding METGNASLTQGVTQMTTQSSLSLSNDLLQIKNMTISDITQGGCMCYLG >RHN63148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48855974:48869197:-1 gene:gene25815 transcript:rna25815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase La MAESVELPSRIAILPFRNKVLLPGAIIRIRCTSPSSVKLVEQELWQKEEKGLIGILPVRDALEINSAGPTASQGAGTDILNQSSKLQDGSSDSIKPDTKRQNDIVHWHNRGVAARPLHLSRGVEKPSGRVTYTVVLEGLCRFSVLELSTRGIYHTAKISSLEMTKIEMEQLEQDPDYIMLSRQFKATATELISVLELKQKTGGRTKVLLDNIPVHKLADIFVASFEISFEEQLSMLDSVGPKLRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNEDDEDDLVALERKMQSAGMPPNVWKHSHRELRRLKKMQPQQPGYNSSRVYLDLLADLPWQKASEEIELDLRAAQKRLDSDHYGLVKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFIRISLGGVKDEADIRGHRRTYVGSMPGRLIDGLKRVAVCNPVMLLDEVDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTPEEKLQIAMQHLIPRVLDQHGLSSEFIQIPEAMVKLVIQRYTREAGVRNLERNLAALARAAAVRIVEQEQVVPLTKGMQGLSTPLLENRLADGTEVEMDVMPMSVNSRVISNTFRIASPLVVDETMLEKVLGPPRFDDSEAAERVASPGVSVGLVWTAFGGEVQFVEATAMVGKGELHLTGQLGDVIKESAQIALTWVRARTTDLKVDAAKGFNLLEGRDVHIHFPAGAVPKDGPSAGVTLVTSLVSLFTQKSVRSDTAMTGEMTLRGLVLPVGGVKDKILAAHRYGIKRVILPERNLKDLAEVPASVLANLEILLAKRMEDVLEHAFDGGCPWRQHSKL >RHN65183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54483:59297:1 gene:gene13000 transcript:rna13000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GINS complex, subunit Psf1, GINS subunit, domain A protein MFGRKACQLVKEFASGEKGQLTPFNKDLFEQVVGECSQHHLDLQSLIRKMQEEGLDVQTARNADHYGALIHLFSIVRNKRCLTAYVYNRAETIRNLLWKIGPVIPKEIEEKLDHREEEYFKKHSSALKSYMSKVFVDLTVDMVPPKDPYIQVRVLEDIREGIVLSDDKNPNFARHSMHFLKRTDAEKYISRGLMEELTG >RHN71822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2822713:2824430:-1 gene:gene7459 transcript:rna7459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative equilibrative nucleoside transporter MRAFTISYQPFALITTLILAHYESWIDTRLRNLWGYTLFFAFSLSVIVLDLATSGKGGIGTFIGLCTIFACFGIAHVVVQGGVFGELAFLCVLSSFRPLLVVGVVANQRELLSHRILFLQNIDYVFDVVMIYVLTLSIMPGFLYEDTGQHKLGTWYPLVLMTVYNNVMDLIASYILLITFLKLESRKGLLVATLSRFLLIPAFYFAAKYGDQGWMILLLSYLGLSNGYLAV >RHN44915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9818496:9820910:-1 gene:gene39092 transcript:rna39092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative licodione synthase MEPLLLAFTLFLSSLICYIIFQPILNRQKNLPPSPLFKLPIIGHMHMLGPLLHHSFDRLSQKYGPIFSLNFGSVLCVVASTPHYAKQILQINEHAFNCRNESTAIKRLTYEASLAFAPYGEYWRFIKKLSMNELLGSRSISSFQHLRLQETHNLLKFFADKAKNYEAVNVTQELLKLSNNVISKMMLGEAEEARDVVRDVTEIFGEFNVSDFIWLFKKLDLQGFGKRIEDLFMRFDTLVERIITKREELRKNKGRKENKGEQGAEFRDFLDILLDCAEDQNSEIKVQRVHIKALIMDFFTAGTDTTSISTEWALVELMNNPSLLQKAREEIDNIVGKNRLVDESDGPNLPYIQAIIKETFRLHPPVPMVTRRCVTQCKIENYVIPENSLIFVNNWAMGRNPAYWEKPLEFNPERFLKNSANSNGVIDVRGQNFQILPFGSGRRMCPGVTLAMQEVPALLGAIIQCFDFNFVGPKGEILKGRDIVIDVNERPGLTAPRVHDLVCVPVERIGCGGPLQSLGY >RHN67783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30236953:30238521:-1 gene:gene16015 transcript:rna16015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MDAKNERIDQWTSHGIIDNEKLVCQYMTTDTHKGVFYGENPLKETKSILAFQIIVMFALSRITHFLLSPCHQTLIVAQIVAGIIVGPLFLGRDNTSFEMLFPTASIMILSTFAEFGMIIYFFKMGVQINSKQIFMVEKRAVIIGISGHLSSMVLGIIALRLVERFTPLGSEKLSMVNLAIFGSLTSFSVISSFLSEMNILNSEIGRMALSTSIVSDACMWVVYFIVINGTLALERKSYKFLLEMSMTIGYFAVLYFLLRPLVIWISNRNPKGKSMTESHFLMIIGILLIVGLSAQIAGQSSFIIAFWFGLFLPDGPPLGSILSERLGTIGSTLTVPAYCTISGLRTKVPNLVGPKIAFMEVIIIAGYIGKFVGTIIPSLYFHIEFWDSFALATIMCCKGLIDLSLYNILLNSKVLSTSFLCPFTLYIYIYIYIYSIF >RHN52560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36631523:36634233:1 gene:gene37252 transcript:rna37252 gene_biotype:protein_coding transcript_biotype:protein_coding MIDENGDCIDNTTNVVYKVFQNVWTSKNNMRAFEIILYLTFSDMYRFYRSYSALFY >RHN69692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45578714:45580181:1 gene:gene18198 transcript:rna18198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGISSNHHNHHLSFHLHIPHLNFHHHHEKNKDIPKGCLAVMVGQGEEQQKFVIPVIYINHPLFMQLLKEAEEEYGFDHKGPIIIPCQVEEFRTVQGMIDMEKSHHHHHAWCFKV >RHN46406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31445760:31446390:-1 gene:gene40888 transcript:rna40888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative T-complex protein 1, beta subunit MGTGKVKIYGARVRVDSMSKVAEIEEAEKEKMKEKINKIIAHGIKSFVNRQLM >RHN78944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20167993:20171044:-1 gene:gene2613 transcript:rna2613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MNISSLRPFSFFVIFALLPLIIIAIAMSDSTPKPIDSDSSSSSAPAVHIIYTEKPLEEEPESYHIRTLTAVLGSEEAAKDALLYSYKSAASGFSAKLTPHQVEQISKQPGVLQVVPSQTVQLHSGPNKLH >RHN59273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8967734:8968300:-1 gene:gene21277 transcript:rna21277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MQFRCLIKSFYTLISDPYFVKMHLKKSEQNPHLTVFSYRCPGYEPYLLTFPIYRLHENPSITIHYDPYYRLNDSDRSWRVVGSCNGLLCLLDRNTSPARQWLCLWNPATRTKSEFVLAPRNYSKFFFGYDYLTETYKVIAFRMELDKDIGTATGMVKVLSIGNSSWRDIQCLLLPLYWFQPCNNSIHV >RHN43189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43896652:43902761:-1 gene:gene49729 transcript:rna49729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane attack complex component/perforin (MACPF) domain-containing protein MSSKKKVLSVEDVIKSVGLGYDLTNDLRLKFCKYDSKLIAIDHDNLRTVELPGRVSIPNVPKSINCDKGDRMRLCSDVLSFQQMSEQFNQEVSLSGKIPTGHFNSAFQFSGVWQRDAANTKSLAFDGVSITLYNIALDKTHVVLSDHVKRAVPSSWDPAALARFIEKYGTHAVVGVKIGGTDIIYAKQQYSSPLQPSDVQKKLKDMADELFRGQAGQNNANDGTFNSKEKFMRDNGLGFLDIQAQSYRETEVQDIKFMCKRKGGNGKQNLSHNEWCQTVLSQPDVISMSFIPITSLLGGINGSGYLTHAINLYLRYKPAIEELHQFLEFQLPRQWAPVFGELALGPDRKKSQSSSSLQFSFMGPKLYVNTSPVVVGMKPVTGLRLYLEGKKSNCLAIHLQHLSSLPKTFQLKDETNRNVSDASSERKYYEKVQWKSFSHICTAPVESYDDNAVVTGAHFEVGETGLKKVLFLRLHFCKVADATRVRAPEWDGSPGLTQKSGMISTFISTRFSGPQKLPPPQPSDVNVNSALYPGGPPVPAQAPKLLKFVDTTEMTRGPQDLPGYWVVSGARLYVEKGKISLKVKYSLLTVILQDEETES >RHN69238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42037353:42039100:-1 gene:gene17680 transcript:rna17680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rubber elongation factor MATIEVVSIVIFLTFLNLVEYTNKELKHLGFLKIAAIHTYVFISYLYESAKKNAGPLRSVVETVEGAVTTVVGPVYNKFKDVPDDVLVFVDNKVDEATDKFSEHATHIAKQLTDKTKFLIQKVTHEAGKVGRPRAAVDYVATETKNLLLINSVKLWTGLNKFPPFHAAAEMTIPTAAHWSKKYNHAIKDMAGKGYSFVGYLALIPIDDISKAFKKGEVKDKKSK >RHN64122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56743848:56745637:-1 gene:gene26907 transcript:rna26907 gene_biotype:protein_coding transcript_biotype:protein_coding MILPPANQWRVWILTFLVLFGMFIVCSFDGCIGKNVFQGLSYRRSRYLFHDSPSFYVSLQENNAISLDQNQPNKTHVSVDVTLAEKVDKNLNRFDLVKNNVASWVKSELEVNLTSNLLARSRWLKLNKGGEPCKDSKAVDISIPGLDGGNMVDLSAGDIHEFVFQALDDSRKARCLGGDYFETDLSGESWKSRPLVKDFSNGSYSISLQVHPDFVGVYNLTIFLLYRQLEGLKLTPWKYVYDRMVRSIAIRFYKNEVLIPELQTCKAADFERDVWCGRWTRHGKNDDCSIGDDGRYRCLAPDFPCKSPWCDGSLGVLESNGWVYSTHCSFKMYSAESAWNCLKNRWIFFWGDSNHVDTIRNMLNFILDLPEVYSVPRRFDRNFSNPNDPSQTVRITSIFNGHWNDTQNYLGLDSLRNERYQNLLKEYFSEDTVPDTVILNSGLHDGVHFGGIKGFSVAAKYAASFWADVMKTVQQRGLAVPRVFYRTTVATGGYARSLAYNPNKMELFNGVFLEKLKQVGVLSGVIDNFDMTFPWHFDNRCNDGVHYGRAPAKMTWKDGQIGHQYFVDLMLAHVLLNALCAR >RHN50126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4292459:4297171:1 gene:gene34381 transcript:rna34381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MIITKQYRCVHSSSCQCTKGHLSEDAIFLVFNNLNWNPKLIATLSCVCKWFDDLAKRVLWKEFCRTRAPKMMIDLQTSGTHSIDGNWSALGKLLIHCSGCKKGGLFKNVHIPGHFVYRTRFSKTSGKSFLLPQCINDILYVSDPCEHLDQGEEGDIGFFRGVFKSFATTNVRRMLINKGAQLHPTEVCPYCKARLWSMLQANMIPQTASCRLGSYEECVDYHVCLNGHMVGICTLLPLSDSEGASEKE >RHN47971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43924288:43933029:1 gene:gene42634 transcript:rna42634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation efflux protein MTMETIETLGSSLNGDFGFTANDRRFAFSRQPSFQQPRTPISTPSTDSGLRKPFLSRTDSSIDIPSSGPNHHYWSCHDEKPSGSSKKLSFSSFVLSLFRSIRSGHRYMKRLFFMISLNVAYSTVELLIGLFTGRVGLVSDAVHLTFGCGLLTFSLFVMGASRKKPDGDYTYGYKRLEVLSAFTNALFLLFMSFSLAVEALHAFIQDESEHKHYLNVSAVTNLFVNLIGVWFFRNYARINLAYRNAEDMNCHSVFLHVLTDSIRSAGLILASWLLSIGVENAEVLCLGLVSVAVFILVLPLFRATGGILLQMAPPSIPTTAFSKCLRQISAHEDVLDVSQARFWELVPGHVVGSLSMQVKKGVDDRPILEFVHRLYHDFGVQDLTVQIDHA >RHN56983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35446555:35449500:1 gene:gene32456 transcript:rna32456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 23S rRNA (uridine(2552)-2'-O)-methyltransferase MAKSGAPDFFYKEAQRLGYVARSAFKLVQIQKQHKLIKPGSSVLDLGCAPGSWLQVACQSLGPFHNGGSVLGIDHKKVKVPTSHCDSRVKTVAADVMTLPKQQLRDLSPKQKGFSVILSDMCPLVSGITTKDAALSFELGMRALDLAVGKVASVCNSDGDNLDEVKERCDPSGADDGGLLRVGGHLVVKLLESEDAKEINQICKPLFTKSVWLRPKATRPSSREIYLICQGLKSEIKI >RHN49392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54477292:54485918:-1 gene:gene44216 transcript:rna44216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-N(4)-(N-acetyl-beta-glucosaminyl)asparagine amidase MVGRRFEVLHNDSNFDLEYDTDDGFEVLQFQLYSLTSVPPDQQKIYGAEPDTQISTDSDLATISDKLRLVSINDHPQQPETNSNDFLKSDEELARLLQAEEEALMFQQYVASENTQEFESRVRPYVTQVLMYEDERRQEAARNTVPVEELEEKALVSLAKEGNFNPSKIERDHAFLLQLLFWFKQSFRWVNSPSCRDCGNDTVAQGMTAPLPSETLYGASRVEQYRCTICSKLTRFPRYNDPKKLVETREGRCGEWANCFTLYCRAFGYESRLIQDFTDHVWTECYSQFLGRWMHLDPCEAIYDKPLLYEKGWNKKLNYAIAIAKDGTRDVTKRYTRKWHEVLSRRTMLTEPSLSSVLTNITTECRRGFTSQLLSIIEARDMEENQQLERGLHSEDDESLSLPGRRSGNEQWRKSRSEIGSDNLSSSACPIRLCVDEHVTKIYNAFRPVLNQFIEEELTKSEAVEVLGITKGILLDLSSSPFKSRRASIDSVLSNPKFQKLLPSFDDLLDALSLEKKVNTDGRVEVCSVGNPVVTSLALPVVLDALDDMVNNLNKCENYGKDMILLPLLKLNRLHSGSVVSSAEELPLGIVTSAFDGTRISKWEEPNGAKGCWIVYRTFEDKKFELVAYELMSANDAPERDPMDWILEGSNDEGTSWQVLDKQTSQFFKDRFQRRTYMINSASFPSNLFRFRFLAVKDIQSTSRLQIGSIDLYAKSL >RHN64384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58675765:58677110:-1 gene:gene27200 transcript:rna27200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine--tRNA ligase MLVVSLTASGFIVATDSKGKRKQSDGAKNKAAAEQDITITRLDIRVGLIKKAEKHPDADSLYIEEIDVGEEQTRTVVSGLVKYIPVDQLQNRKVCVLCNLKPATMRGIKSQAMVLAASNDDHTKVELVEPPSSACVGERITFPGHEGKPDELLNPKKKIWETLQTELHTNEELVACYKNVPLTTSAGVCKVLSITGSIR >RHN64691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61035801:61040173:-1 gene:gene27535 transcript:rna27535 gene_biotype:protein_coding transcript_biotype:protein_coding MWSIINHILLQNYLDITMSHGVQYRTKDNRGAYFHGYRNVYKKEL >RHN43212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44075454:44079797:-1 gene:gene49752 transcript:rna49752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trafficking protein particle complex subunit MQFFGGSEISPSPPAAPTAASGNNGHMLYVFNRNGICLLYKEWNRPLHTLNPHQDHKLMFGLLFSLKSLTAKIDPTSPQKGNLGVPQLPGQGCSFHTFRTNTYKLTFMETPSGIKIILVTHPRTGDLRESLKYIYNLYVEYVVKNPLYTPGSPIRSELFNTTLDQYVRGIA >RHN72777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10629164:10633816:-1 gene:gene8533 transcript:rna8533 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEELNESLEYTPTWIVAVVCSIIVFISLCAERALHRLGKYLKRKKQKALYRALNKLQEELMLLGFISLLLTVFQGVISHICISPEHATQMLPCKRSHESVQGSEHDHYDTIINRRRLLSTDTGSQHCRLEGKVPLLSLEGLHHLHIFIFVLAVVHVVFCVTTMILGGARIRQWKSWEDHARKKTTNSSGETLRSEIDEFFNKHAQGYWRKAAVVGWFRSFFKQFYGSVAKYDYLALRHGFIKEHYPNDPNFNFHSYITRTLEVDFRKVIGISWYLWLFVVLFLLLNLEGWHTYFWLAFLPLIILLLVGAKLEHIITRLGQESVAKEYPTERVKPSDEYFWFNRPAIVLDLLHFTLFQNSFEIAFFFWIWSTYGFDSCIMEKVAYIIPRIVMGVIVQVLCSYSTLPLYTLVTQMGSRGKLDKVDDKAEMESSPLFHRMTKESNQHSQIGEQAIIMMEDHAISSTIELHPIIQSPLEKT >RHN70569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52344081:52344583:1 gene:gene19162 transcript:rna19162 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGYAGMRNYLWGGMTLAYLYSELAEGCLPGDRQIGGSVTLLTTWFLAHFSKEVFEEVFWYSGWIICSVRKVYRHLPERVLRQYGYVQTVPRHPSDVVELSSAEIVQTFVDFRTHTLKAADWSEHA >RHN60708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29735029:29735389:-1 gene:gene23063 transcript:rna23063 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKRFSKARSMAVAFPCLEEIKLKRMVLKSYVREEDGSVTVKCYSYHEGGDGAMRSGKVHNEEDLKDLPLSLQV >RHN44200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2367390:2368545:-1 gene:gene38290 transcript:rna38290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MVFVWSHVLFPKCHQYESHALLQFKEGFVINNLASDDLLGYPKTSSWNSSTDCCSWDGIKCHKHTDHVIHINLSSSQLYGTMDANSSLFRLVHLRVLDLSDNNFNYSKIPTKIGELSQLKFLNLSLNLFSGEIPRQVSQLSKLLSLDLGFRAIVRPKVGVFHLPNLELLDLRYNPNLNGRLPEFESSSLTELALGGTGFSGTLPVSIGKVSSLIVLGIPDCRFFGFIPSSLGNLTQLEQISLKNNKFRGDPSASLANLTKLSLLNVGFNEFTIETFSWVDKLSSLFALDISHYLSQISRN >RHN58939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6069679:6072627:1 gene:gene20896 transcript:rna20896 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEKRGKGGKEYRERDSTIGNHQFRKTRARVGILEISKTHYLELRVAEFVVAYHPSHS >RHN66836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19834904:19835266:1 gene:gene14938 transcript:rna14938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MAGKKFISLSMLVMILGMLVTKFDARQIDDVSCTSALFSLLPCLPFLQGVGPATPTSYCCAGANDLNLKADSTQSRRDVCNCLKPAASRFGVKSDRSTQLPKLCNITLNVPFDPSVDCNA >RHN57220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37377138:37381853:1 gene:gene32730 transcript:rna32730 gene_biotype:protein_coding transcript_biotype:protein_coding MESERKRKRNPLSDRTNTFSSVPPKSTKPKTNFTSTTANLNVASNRISVAPEFLSTPLPNTRSRRGTVDGEASKPISVVYSIRNPSNKRKEKEKEKESVIRRKEVETPSSRTPVDRWKVFLKGKGVDIASSSTSKDISNEPTIPCKKQPTTKFTTTTAENLDVASSRSSVAPDFLSTHFPNPQPRPGTVDLEASRKEKENESVIQRKEVDTLSSRIPVDRWYTYSKEMGVDIVSSRTSNQREDKEKRVDTASSSIPMDMRNEPTIPCKKQPTTKFTTTTENLNVASDSSSDEPDFLSTPFPNTRPCHGTVDLEASAPISIVFGRRNSSNKRKEKENASDSPAGSVPIKKNGKNKGKDKGRDKVDIPSSITPMDRWNKPANSNTIKRKDKSKSTSRVCNTREKSANPPKAKASSVPCRKKQRAKPSLVNVYKDPAVQDYIKKQNAYFKMIDEFELSEEEVDSISDS >RHN53882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4992974:4997477:-1 gene:gene28842 transcript:rna28842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase, glyoxysomal MEPNSYANSRITRIASHLNPPNLKMNEHGGSSLTNVHCRAKGGSPGFKVAILGAAGGIGQPLSMLMKINPLVSVLHLYDVVNTPGVTSDISHMDTSAVVRGFLGQNQLEDALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVKTLCEAIAKQCPKAIVNLISNPVNSTVPIAAEVFKRAGTYDPKRLLGVTMLDVVRANTFVAEVMGLDPRDVDVPVVGGHAGITILPLLSQVKPPSSFTPKEIEYLTDRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLRALKGEADIVQCAYVDSQVTELPFFASKVRLGRNGVEEFLPLGPLSDYERASLEKAKKELATSVEKGVSFIRK >RHN55296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16873645:16875940:-1 gene:gene30449 transcript:rna30449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VI-1 family MKNFFVSLYFLFPTIFTIILVLLTPIPSAQLTNSETRILLQLQTLLEYPQEYPQLLQNNLTNFCNISSSPSFNIVCTKNHVTELTIIGNKTRPVSWKSRKTLSERFSIDSFFTVVTKLSKMKVLSLVSLGLWGPLPSKISRFKSLEVFNISSNFLYGKIPSSVSSMKSLKSLVLADNFFNGSVPNLKRLTSLEEINLANNKLGPGFPSFLFSLPLIQKLNLASNQFNGSFSMNISCGSSLTFVDISNNSLEGKLPSCIDSTLSLNRTIVYSGNCLSARNVSDQHSSSHCKNSTVLAAKPRFEKPKKSMMQLGVLFGIIGGFVGIVGLLILLFLFILRKSKAEREDRSVDISRESRLNIYARSNVPQLMRLTTLGLPPYNIFTIEEIGDATNNFDPSNLIGEGSQGELFKGWLKDGSMVMVNLVKVKQKSLIKVSDQNLKVLPYLRHRHLVSVLGHCAITYEDQPKMTSTIFIVFEHISNMSLRIHLTDKRQREMLKWQQRMAIIIGIARGIQFLHTGVNPGIYGNNIKIENILLDNNLNPKVSGYSIPLIPSKVRRTNYM >RHN53063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41637602:41637951:1 gene:gene37813 transcript:rna37813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNWESLTEDLHIEILARLPVKSLMRFKCVQRSWEILFETPAFEKKCRLHSSKKGYDESINFRRYPKRRSLAKSKASFFWEC >RHN52027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30599470:30599753:-1 gene:gene36641 transcript:rna36641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MTVHHKNLVSFIGYCDEGDKMALIYEYMANGNLKENLSAKSSHCLSWERKTSNTN >RHN54886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13250876:13252023:1 gene:gene29984 transcript:rna29984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LEC9 MALSSALIKIFITFLFLQNHVNSQYSSPSKPQPSLGSTISFSITKFDDESPNIFVKGDASISNGVLSLTKTDKYSGKPLQKSVGRATHLTPIHIWDETSGELADFSTSFSFIVNTNGSRLHGDGFTFFLGPLHFDLPKNSSGGYLGLFNPETALIPSQNPIVAIEFDSFTNGWDPASPSQYPHIGIDVGSIDSRATVNWPLDFVQTNALGEASINYNSESKRLSVFVAYPGSGKNATGVSFVVDLRSVLPEWVRVGFSAATGELVETHDIINWSFEAAL >RHN75056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39065596:39068933:1 gene:gene11206 transcript:rna11206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYC/MYB MVGSGATSDRSKEAVGMMALHEALRTVCLNSDWTYSVFWTIRPRPRVRGGNGCKVGDDNGSLMLMWEDGFCRGRSGVEEIDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSTRNTSSSTSLPSKPSSTIPIRPPPPLFNWGQRPLSSPSSMLSSPNFQQHAARLGFPQTKDETHMFLMPHASSHETPRIEDMMGEHHESDIKWPNGLSFFNALTGRADDAKLLFNPDSLGGKQGDHDHNQHHHTLNQNPNSDGSNPNEFLSLDSHHEGGRKMDKFKRSFTLPTRVASSSSSTSMDHHQQQQGVEYRNSEGGGMYSDVMETFME >RHN50588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8338713:8339029:-1 gene:gene34891 transcript:rna34891 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVKSNVTSLIEMKQNKIVPDEKDQGWYYRMVLSVGMYYSHTLYAYDIILLCKGKFVGLEAFKNLFTIWYIFFLK >RHN76295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49218600:49220940:-1 gene:gene12595 transcript:rna12595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MAGVVVIFDFDSTIIECDSDNWVLDEFGLTEKFYQLLPSMLWNPLMDKIMNELHAQGKTMEEIVEVLNRTPIHPRIIPAIEAAYSLGCELRIVSDANIFFIETILKHHGVLNCFSKITANPSYVNGEGRLIICPYHDYLKSSHGCNLCPPNMCKGKVMEMFQNSLDDEGKKKIIYLGDGSGDFCPSLKLKESDYLMPRMNFALSDLVSKNSNDIKAHVHGWRDGEELENVLLHIINKANIGKGNIVGPKIISVDCKMGNISIDAHKHFPEALSVPN >RHN61729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37876641:37881536:-1 gene:gene24219 transcript:rna24219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MAKPYAVGFFSAIAAASGFSNHHAFSDSPPPSDDPETPPPPPKFRNNNPRTTSAGFDPEPLVKGAKTLHDIATSPHGKNVFENIKKREDEKQAEFAAKVAESNQIRAQHEAERQRIAYEEKKKLGQLQDQIKSQLAKYKDELTRKRMQAENEQKRARNQELVKMQEDSSIKLQQARRAIEEQIQANLMQTEAEIAEIDRKTIKVKADAEAEADALVIKQTEDVRRRDIYNNAKIETEKWVASINATFDHIGGGVKAILTDQNKLVVAVGGATALAAGIYTTREGARVIWGYVDRILGQPSLIRESSIAKYPWSGTLSRIMSSLSRRTDLESASKVRNGFGDVILHPDLNNRIGQLASATKHTKEHHAPFRNMLFYGPPGTGKTMAARELARRSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSKRGLLLFIDEADAFLCERNKIYMSEAQRSALNALLSRTGDQSKDIVLALATNRPGDLDSAVSDRIDEVLEFPLPGEDERYKLLKLYLDKYIAQAGSRKPGLVQRLLKGNPQKIEIKGMTDDIIKEAAANTEGFSGREIAKLMASVQAAVYGSKDCVLDQSLFREVIDYKVAEHQQRRKLAGADKPSA >RHN77414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6704170:6708069:-1 gene:gene864 transcript:rna864 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGDDLCDSLEQHQGVDGNGLLVESGCAWRKVNLQRILEESTKNLVKMRSHEAGHNLKPHKSPEENHNQTPAVEEFHDQTFAAQGLHDQTFAPQGGFDYSEGRSGSSSASGSDSESNSSDSGSDSGSYSSSRSRSSDGSSSESSSDSESDTSSSSEGLESTDEDVDILSDEKEPKHQAEVCDQRTSLPIPVKSLDGKSTQNAVDEKQDDNKSDAVDIEKDSSKEWEAKMAPTTNTISDRVRKYAEETKAFSYDYQQPQERKDYTRSSFYERGSEVKDSSRNEQSDSFEKLSTGKHQRDSELKNIGEKSEGTKRLKGGNLDS >RHN64431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59058122:59058850:1 gene:gene27247 transcript:rna27247 gene_biotype:protein_coding transcript_biotype:protein_coding MLASHVLICCFFVLLLELSRVVVEKDKSPTKLDYYDDMWKLHSTATLVSHFKVLHYGVFEGMRGEFEGTLEKGKEVSLSVDENRRKLNSRYTILQLIKCC >RHN71872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3253455:3253921:1 gene:gene7515 transcript:rna7515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MNEIGVEDNGTDLENVQNVDVHYWKYNVTTSILDGNNVLHFPRRVAENGLYINQSKILLFDEETDKSYDCDVHTTSKSMHQKYIGRGWYDFVLEKGLKVGDVILLTVNNPPNRMYAAVVNWE >RHN61577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36570109:36571387:-1 gene:gene24055 transcript:rna24055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MAYRMITSFVFTLIVLGTICDAQLSSTFYDSTCPNALSTIRTSIRTAVSKECRMAASVIRLHFHDCFVQGCDASILLDDSPTIESEKNALPNINSVRGFEIIDKAKSEVEKICPGVVSCADILAVAARDASFAVGGPSWTVKLGRRDSTTASKSLANTDLPLFTDDLTTLISHFNKKNLTPRDMVTLSGAHTIGQAQCFTFRGRIYNNASDIDAGFANTRQRGCPSSRTTSNDQKLAALDLVTPNSFDNNYFKNLIQKKGLLQSDQVLFSGGSTDSIVSEYSNNPTTFKSDFAAAMIKMGDIEPLTGSAGIIRSICSAVN >RHN58541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2668389:2671677:1 gene:gene20463 transcript:rna20463 gene_biotype:protein_coding transcript_biotype:protein_coding MCHNRSLKPLSFVALLCCSSRGSLEFSRSTSWRRKSRFCQLHWSHRSSGGSNLYGKLEKKAKVLLVSLGLLSFIYTYCARTLHFYVSVH >RHN61509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36049741:36059005:1 gene:gene23982 transcript:rna23982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative uridine kinase MKQETATPESQIASRKRKEIKSGLMANNTSNSDSHHKRLGLLKDQVHLVKRKDSNRYVISSIQDQLSFEKGFFIVIRACQLLAQKNDGIILVGVAGPSGAGKTIFTEKILNFMPSIAVISMDNYNDASRIVDGNFDDPRLTDYDTLLQNLQDLKEGKTVQVPIYDFKSSSRVGYRTVEAPSSRIVIIEGIYALSEKLRTLLDLRVSVTGGVHLDLVKRVIRDIQRAGQEPEEIIYQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSARNVAVDQIKAVLSEDFKETTEQTYDIYLLPPGEDPETCQSYLRMRNKDGKYSLMFEEWVTDSPFVISPRITFEVSVRLLGGLMALGYTIATILKRNSHVFSDDRACVKLDWLEQLNRHYVQVQGRDRLVLKDIGDQLGLEGSYIPRTYIEQIQLERLVNEVMALPDDLKTKLSLDEDLVSSPKEALSRASADMVALRNKNMRSGLSQSYTNQRDKNAAKVNGYFSNNRGFGERNSDSSTTLVNKGAISQLSEQISALNDRMDEFTNRIEELNCKLTFKNNSPSQQNMSAQAEACNGSAPTSYFINSLGNGSLTGSKISHSSSSSQLNKDSPLMDEISGIARGQRQIMHQMDNLNNLLRGSLGEKSQQTRTNKKNITTDSNSIAATVIVVVAVGCLGIFLMKGLLTRK >RHN56770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33583674:33585658:1 gene:gene32218 transcript:rna32218 gene_biotype:protein_coding transcript_biotype:protein_coding MNICDARIGGFVGSEVILRLELWGDSIDCCVVVVYVGYFIPKQQIKAKLVADEYTQTCFHAHNTCTL >RHN42580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39488234:39488614:1 gene:gene49036 transcript:rna49036 gene_biotype:protein_coding transcript_biotype:protein_coding MRANLKKSKPDSDVNLESKSDDFDAPLSTAINSNHRSSTSSGKKLFDKVSKNQFRYTPEGVLGSSSGNNVSKPGDGGDVSPDEDVVLFEENWVSNDSQHASGDDSAGKMTGRSMDELKKERKKITG >RHN76903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2235717:2246160:1 gene:gene292 transcript:rna292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(A)-specific ribonuclease MRRNPPSLHLLAATDAAFSTATMSSRPYHRGHRRGYSGRSYSGGRDQLATGDDHLRSVRDANSAIRRGESENVANQIQYNPRPYPPPQYPPYDLHRHPPPPFYHHNPLYNPRPHPPPQYQNPSYNPRPHPPPLRFRPPYDNRREFRPQQNFYAKPADYREWENALTPPPPRCERFKVLSYNILADYLAMDHWRKLYYHIPSYMLNWQWRKSKIVLELGLWSADIMCLQEVDRFHELEEDLKFKGYRGIWKMRTGNPVDGCAIFWRTSRFNLVYEECIEFNKLGLRDNVAQICVLECINQNGSLPPSLTGSRKVVVCNIHVLYNPNRGEIKLGQVRVLLDKAQAVSQLWNNAPVILCGDFNCTPKSPLYNFIAEQKLDLSGIDRNKVSGQASAVIRAPWTYGPKSSERRSANVSVQAISAEGDKEVTVEQNSSSSDKQNPVTESSSLDKQNTRPVMIMSDKTDVQCSRETDTCAGKDTREAVDHNKIFVEVDGTKEGPNPSYNKGINDDEIHDVTPITSSTLETVRTDPPGMGSTERISDAISATDQESLSEKSNLHDHKGTTHMESNCSPTSLQEGDQASKVKIDIESTDLINLEASSNKPSSNTSVSDDFEAPHPGYRESSSYEAIANDQMNLSSTEYVVDKSHKITNIDFLLNEKLEKFSFDEIDQADVNSGNIGEDAVDFINALHSAREESNDTEDDLSPSPMSKYIDAERTTYNPSLWTPAEIETATGNAECTFLGHPLSLRSTYTEAMDSSGTRDPNGEPLVTSYNKCFLGTVDYIWRSEGLQTTRVLAPIPKRVMESTQGYPTKKWGSDHIALVSELAFMEDGTAISKDVV >RHN71724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2235282:2243211:1 gene:gene7355 transcript:rna7355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MGSKKRNNAIDSQEKEPLNKKSKNNDDSTATPSSTKPTMENHKKSKAFDKQRRSAKSKSKSELPAPDSAILVDSTSGGGSGVDSLPEFHIGVFKDLAAVNESVREAAVKQMVNELKEVQKAYEGGQGMEIDGDGGFKLEAEKNDGLDECAPSVRYAFRRLIRGVSSSRECARQGFALGLTLLAGAISKIRVDSFLKLVVDLLEVTSSMKGQEAKDCLLGRLFAYGALARSGRLIHEWSMDKNTPYIKEFVGILISLANRKRYLQEPAVSIILYLVEKLPVEALANHVIEAPGLDKWFESAAEVGNPDALFLALKVREKISADSSIYGKLLPNPFSSTNFFSADHLSFLSNCLKESTFCQPRVHSIWPVLINILIPNTVPQLEDAASASNSLKKHKKSRKSCSSDEEIVKNLKSFCEIIIEGSLLFSSHDRKHLAFDVIFLLLQKLSASLVPVVLSNKVVQCLMDILSTKNTWLYKVGEHFLKQLSDWVGDDDVKRVAVIVAIQKHSNGKFDCITRTKTKLVKDLMSQFKTEPGCMLFIQNLMNLFVDEDNALEEPSDQSQTTDENSEIGSIEDKESPRTNGNSDFLKSWVIESLTGILKFLKLDHDEKLRVQKEIMKFMAVQGLFTASLGTEVTSFELDEKFRWPKSPTSNALCKLCIEQLQLLLANAHKGEGSRPSADVVEPPNDLGSYFMKFFSTLCNIPSVSLFRSLDDEDDKAVKDLQAMEATLSREERSHDCSDDVHRDHALRYLLIQLLLQVLLCPREYSEAASELIICCKKTFSTSDIPESSGEDDKEVGDAPELMDVLVDTLLSLLPQSSAPMRSAIDQVFKCFCNDITDDGLMRMLRVIKKNLKPARHPDAGSADEDDDDDDDDDLFNIEDEEIDQAETGETGESDGQTDDSESVVEADETGQDHPEDSDDSDSGMDDDAMFRMDTYLAQIFKEKKNQSGSETAHSQLLLFKLRILSLLEIFVHENPGKPQVLTVYSHLARAFVNPHTAEVSEQLSQRISGILQKKILKAKDHPKGDEVQLSTLESLLERNLKLASKPFRKQKSATNPLKKSAALNRYKMVSSFAQNSTFWILKIVDSRNFAESGLQRIVQIFQKILVDYFDSKKSQVKAAFLKEIFKRRPWIGHAVFGFILERCGSAKSDFRRVEALELVMEILKSLATESGEGKNSSKKIVKSNLDKISHAMKELVTNMPSKQARRAEVRKFCVKVFEILSKHSLTKYLLKTLAPEAQAALEAQLGEKFLCLKKLEK >RHN65689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4400104:4400715:1 gene:gene13561 transcript:rna13561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MSTRSLTIFIIAHVWLFMITTSVAQIVIDTSGEPVEDDEEYFIRPAITGNGGGSILVTRNGPCPLHVGLGNSEGTLGLAVKFTPFAPRHDDDDDDVRLNRDLRVTFQGFTGCGQSTDWRLGEKDATSGRRLIVTGRDNGAGSHGNFFKIVQTQSSGIYNIQWCPTEACPSCKVQCGTVGVIRENGKILLALDGGALPVVFQKE >RHN59649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12587905:12588033:1 gene:gene21720 transcript:rna21720 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaJ MRDLKTYLSVAPVASTLWFAVLAGLLIEINRLFPDALTFPFF >RHN75546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43178141:43179608:-1 gene:gene11770 transcript:rna11770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative B-cell receptor-associated protein 29/31 MLQLLYAVIFVEMLMIMSFLFKTPLRNLVIITLNKVKRGRGPVIVKTVVGTVVTMLGSALYNIFKIQRRSIEGFATVDSTDQVLLSNHVLQASLLGFVLFLSLMIDRLHHYIRELRLLRNAVETGKKQNRCFQNNTNIKAMEHTSLKEEIGALRFKIEKLESECEVKGSRVKSLEIEVEAFKKQSEGFLMEYDGLLIDNQNLRKLLECIEKRSSYVDDKNSL >RHN50879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11377950:11379016:1 gene:gene35226 transcript:rna35226 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDNNVTRHMSLLCMHVSRYHIYKYLYLLPEAAEHAWQGYCLKKALHILYNIYGSCVGF >RHN72546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8526558:8529604:-1 gene:gene8272 transcript:rna8272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MDKAWGLALDTSSSSPIPISHSHPFLPSKQPSSTHTNDRMFPILGFPVNLTRNTNGEDGGDRKITGEVDFFSERNKPSPSHEHNQHVKSNIIKKEIVSTDEKPSTSNIHVNTGLQLANTGSDQSVVDDGASSDAENKRAKTTELAQLQVELQRMNSENKKLKEMLSHVTGNYTALQLQLVALMQKNHHTENEVVNAKAEEKNQGVGGAMVPRQFLEITNGTTEVEDQVSNSSSDERTRSNTPQMRNSNGKTGREDSPESETQGWGPNKSQKILNSSNVADQANTEATMRKARVSVRARSEASMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDKTILVTTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMSSADGIMTPNLLARAILPCSTSMATLSASAPFPTVTLDLTQNSNPNPLQFQRPQHAPFHQVPSFFQGQNQNFAQAAASLYNQSKFSGLQLSQEVGSSHLTTQASTQQQQQPSLADSVSAATAAITADPNFTAVLAAAISSIIGGGHANNSNNNSNSRNTISNFSGN >RHN54779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12141417:12142310:-1 gene:gene29863 transcript:rna29863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MKNAKALHIIQLSCGRQIQDELCHFELAKDSWSHLSVVYGKTLKINLDKLEQDDDDIVSVKHKELFRMVGRGDPIENIKIDQDVYDDITSISARTLLHVAVNAGNLKNVEMLVREGRDEFVTKQDRHGDTALALAAYYNAKLDIVKYMVDSKMGEMLLMTHNTNEELPVHMAAGKGHKKMTTFLYSKTPGEVFKKDSRNRVLLLDRCITAELFGKRI >RHN73675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18739421:18741709:-1 gene:gene9521 transcript:rna9521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein MALAISSTSLSSFPNREICQKVLHQGTTSTTSWLSSSRRRRLTIRNAKKGVSDVCEPLPPDRPLWFPGSSPPEWLDGSLPGDFGFDPLGLGSDPELLKWLAQAELMHARWAMLGVSGILIPEILEKIGYVKNFSWYNAGSVEYFADPTTLFIVQLALMGWVEGRRWADIVNPGSVDIEPKLPNRPNPKPDVGYPGGLWFDPMQWGRGSPEPVMVLRTKEIKNGRLAMLAFLGFCFQAVYVGGSPIDNLMAHLADPGHVNIFSAFTSG >RHN71548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:956835:962212:1 gene:gene7160 transcript:rna7160 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKFPMIQLRTSPTYYLFSSSSSRRSIILSHQKFSFKSLGHRFKLRDLSASSIQERLNVLMSRTQNFLNEVTSPLAKTAQSRKPDPENDIGFQVMEDILMVEKTIDRKMPYGNLSLAAVICIEQFSRMSGLTGKKMKNIFETLVPETVYNDARNLVEYCCFRFLSRDNSDVHPSLQDPAFQRLIFITMLAWENPYTYVLSSNAEKASLQSKRVTEEAFVRIAPAVSGVVDRPTVHNLFKVLAGDKDGISMSTWLAYINEFVKVRRENRSYQIPEFPQIDEEKILCIGSNSKQPVLKWENNMAWPGKLTLTDKAIYFEGAGLLGNKRAMRLDLTYDGLRVEKAKVGPLGSSLFDSAVSISSGSESNWWVLEFIDLGGDMRRDVWHALISEVIALHKFTHEYGPDEYGPNVFEARKGKQRATSSAINGIARLQALQHLRKLLDDPTKLVQFSYLQNAPNGDIVLQSLAVNYWGSQLVTGFTSTRHQPENRPSNEIADSSNHVFDIDGSVYLRKWMKSPSWGSSTSTSFWKNTSTKGLVLSKNHVVADLSLTERAAKTSKQKSQVVEKTQATIDAATLKGIPSNIDLFKELIFPITLTAKNFEKLRHWEEPHLTVGFLGLAYTLIFRNLLSYIFPVMLMITAVGMLTIRSLKEQGRLGRFFGGVMIRDQPPSNTIQKIIAVKDAMRDVENMTQKVNVSLLKIRSILLSGNPQITTEVAVLMLTWATILFIVPFKYILSFLLFDMFTRELEFRREMVERLTKLLRERWHAVPAAPVAVLPFENEESKSEVSLKELENKSKPPGNQSKRKSG >RHN43584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47032526:47035164:1 gene:gene50189 transcript:rna50189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant organelle RNA recognition domain-containing protein MARSTKIVYRFDHIRTFVNAKVKWVRDDDYLDTAVLKEKNLRYFQPSPGLPPRVKLTAQALALHKEEMEVHNSRTNREDAAQRLSRLLMLAGMARFPIYVIEKLKWDMGLPHDYVTTLLAHYPDYFDVCVVEDPLSGKEVLALEFVLWRKELSVSELEKRVMGFNYGADNRRYDIQFPMFLPKSFALEKRVKTWVEGWQTLPYISPYENAFHLDSNSDQAEKWSVAILHELLSLLVSK >RHN44210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2443913:2448125:1 gene:gene38300 transcript:rna38300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MSKMSSPSSSEIEEKRVTSIIHEVVEVDCSTEQEVTKEDKPKCSKEEMGEVKEENERLKTMLSRVEKDYNSLQLRFFDIVNKDVSMKDIEDSSTNSHEEIDEEPEFVSLCLGRSPNEYKKDAKNIENPNKPKEKEDMEVNLSLGLDSKYMVPMELVSDLSPMNSSEELPKEVEVEEKGAIFSTNKSTKVINVNDEISELLPAKRVRVSVRAKCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPACPVRKQVQRCADDMSILITTYEGTHNHPLQVTASAMAYTTSAAASMMVSGSSTSSSSSHQNQNIHHNNSTSFGNSPTQINGLNFNHHQFEQSRTPKQHFFIPPNHNNLFPTITLDLTSPSSLSSSNIPSNVPRFSPNNLSFCSTQQPNFTPISSIWNNSNNKLGFINNNTNPNIEKTQVRPFNHFQENFYQNQNCMTSYQTPSRQALAETISKAISTDPSLHSVIAAAVSSIVGQGSNNGGKQEENRENGLGAGLNLKLGEYPQMVSNNLLNQNGKGCLKGSYFKRLSPTTSSQAKNFMLLQPSLPFSVSKSSASKPPSIVNNINNFDLDKNKHN >RHN51147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14448973:14450503:-1 gene:gene35533 transcript:rna35533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSMLRYLYLSDNSIALRFTENWVPPFQLHDIGMGSCKLGLTFPKWILTQKYLHYLDISNAGISDNVPEWFWAKLSSPECSNMNILNNNLKGLIPNLQAKSQCSFLSLSSNEFEGSTPPFLLGSGLIDLSKNKFSDSLPFLCESDCWSNFKALAYLDLSHNNFSGKIPTSMGSLVELRALILRNNSLTGEIPSSLMNCTKLVMLDLRENRLEGLIPYWIGSELKDLQILSLQRINSDLFDLSLNNLSGHIPKCIQNLTSMTQKASSQGLSTHLYLINSDLFEYDLDAFLTWKGVEHVFNNNGLVLLKVVDLSSNHFSEEIPPEIADLIQLVSLNLSRNNFAGKIPSNIGNLTSLDSLDLSRNKLLGSIPPSLSQIDRLSVLDLSHNQLSGKIPTSTQLQSFNPSSYEDNLDLCGPPFVKFCVKGKPPHEPKVEVQDDEDLLLNRGFYISLTFGFIIGFWGVFGSILIKRSWRHAYFRFMNNLVDNIYVKYRWGRND >RHN45516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21931212:21931814:-1 gene:gene39870 transcript:rna39870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEIVKFVYVMIIFLSLFLVSIHINALNECTQDYDCPIEMCPFPFQPKCIMLKNLSIFSNSGICSCT >RHN41753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32644144:32645883:1 gene:gene48106 transcript:rna48106 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFIFGFVTLLSENTRYVTVPEGALCFIFSTAFSTEYILFYYHSTTHIGLEGYYHILLGFLVRLCILSTIAGALLPTSFPVDLCSSITITLQGIWLYQTAFVLYGPMLLAGCTMRDNIITCHSNESEIHGELLANFQLFFAVLVVLVGTTASYGFAASRYGNFEEVAPN >RHN64737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61396976:61402355:-1 gene:gene27587 transcript:rna27587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative afadin/alpha-actinin-binding protein MPSTEGDLDLRPSSHSAFTTGEYTFADVNNLEHCAKYLNQSLVTFGFPASLDLFSNDPVSIARTCNCIYFLLQQRQRDVEFRESANEQRQRLLSDISRYEAKVERLDGQLQAKDREIATITRTEAKNTAALKAQIEKLQKERDEFQRMVIGNQQVRTQQIHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKTDNDFYKKIVDAYEVKNQELIAENADLRALLRSMQVDMRDFLNAPNGLPNQSPTTNERSESNPSHSPLVGRTDVFDLPFHMARDQIEESLRAKMASIKERMVQLQDAQKEAEVTSEATERELELEAQLVEARSIIQEQASIMSKHLAKSDRPRESAPAEGVCN >RHN40383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15835900:15837213:1 gene:gene46530 transcript:rna46530 gene_biotype:protein_coding transcript_biotype:protein_coding MISSEIRHLKCSRSCSAAIAVFLAKRNLNLIFEFECHHRSRLLVP >RHN51453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17965392:17965724:1 gene:gene35888 transcript:rna35888 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLNPTKIAPLWQMKEFGVEDSWTQFLKISYQNLQIAYRLDDVFQVFPLCLSENGGTLILVINNSVLNIGDQEILYNWRNNRVKKIENTYRIIWKASKGYVESLVSTC >RHN82390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53378358:53378678:1 gene:gene6553 transcript:rna6553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase MVGQRKNIKVEVDCSSDLYFPHWIYKRLELNQDLGLKSIKNENDEEMVRKMTVVSLWCIQTDPSHRQAMHKVVEMLEGSLEVLEIPPKPFLSSPSTSSIHLSSETL >RHN38955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3033482:3034370:1 gene:gene44933 transcript:rna44933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MTMKKGEVALLTIAPQYAFGSSESRQELAVVPPNSTLYYQVELVSFVKAKEVSDMNTEEKIEAALEKRQEGIALVYAAEYARASKRFQKVTR >RHN70959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55420024:55425341:1 gene:gene19595 transcript:rna19595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine phosphatase superfamily MPTTTLVSVKPPPPHSPILLKKNSNFIPARVSQRSPHSFRVSSLSLSLSDMDTSSGQSILYPLHRCKTLHLVRHAQGFHNVEGDKNPDAYLSYDLFDASLTPLGWKQVDNLRQHVKASGLSKRIELVIVSPLLRTMQTAVGVFGGEAHTDGVDAPPLMNENVVNSSRHAISSLNSPPFVAVELCREHLGVHPCDKRRGISEYQNMFPAIDFSLIERDEDILWKPDIREKNEEVAARGLKFLEWLWTRKEKEIAVVSHSGFLFHTLSAFGNDCHANVKSEICTHFANCELRSVVIIDRGTIGSDESSTNFPGKIPQGLDLPSDVADEKLSGSGPSK >RHN66809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19127722:19131278:-1 gene:gene14899 transcript:rna14899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MSGVLLWVNCGLKENAISLMGLGGRDVRTQRRFRHCSGISFACFSSAVVEPTRSSEERVYEVVLKQAALVKEQRKDIKKRGLNLDDKPIEGDFTNGELLSSAYDRCGDVCAEYAKTFYLGTQLMTQERRKAIWAIYVWCRRTDELVDGPNASHITPKALDRWEQRLTDVFEGRPYDMYDAALSDTVTKYPVDIQPFKDMIEGMRLDLRKSRYNNFDELYLYCYYVAGTVGLMSVPVMGIEPQSKASTESIYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDDDIFRGRVTDKWRNFMKGQIKRARMFFDEAEKGVSELSSASRWPVWASLLLYRQILDSIEANDYNNFTKRAYVGKAKKLLSLPVAFGIATFGPQKLAKMTTR >RHN68101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32859859:32862119:1 gene:gene16399 transcript:rna16399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MVLSWTKRNMFRRTSKAKHQLPGAGDGELTVEEITIPTNFRCPVSLDLMKDPVTLSTGITYDRFSIDKWIEAGNKTCPVTNQKLSTFEITPNHTIRKMIQSWCVENSSYGIERIPTPRIPVSGYEVNEVCTRLLSGCRNLDEKKCVEFVGKIKIWWRESERNKRVIIGNGVSSVLATVFDSFSCVSFEEHVVVLEEVLEILTWIVKTSFGDSKTKMCLSSSSLNCLVWFLDGKDLGARQNAVLLLKEMNVEELSRIEGVVEGLVKIVKEPIGSSATKACLTTIFKLVSSAKNRDEISERFVELGLVSFLLETIVDGEKGICEKALGVLDCLCDCKKGKEVVQTNALALPLVIKKLLRVSPLASSFAVGIVRKILCEKKEERVLIEAIQLGAFQKLLVMLQVGCEEKTKENTTELLKLLNGYRSKAECVDNSLDFKYLKN >RHN48354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46867291:46871994:1 gene:gene43063 transcript:rna43063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger, Na/H antiporter domain-containing protein MVSGFIIDFIGIHAIFGAFVFGLTIPKNGNFSKKLIERIEDFVMGLLLPLYFASSGLKTDVTKISGGKAWGLLVLVISVACAGKIIGIFVVALMWRIPARESITLGVLMNTKGLVELILLNIGKEKKVLNDEIFAIMVLMALFTTFITTPLVMAIYKPAVASQPRQFAS >RHN48540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48305860:48309229:1 gene:gene43270 transcript:rna43270 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSFQNDVPWRASSSSTKPIPKIHHSPILRVSQNPFSDYAISIMRHPDPIGDGLGDDAIVEAAGPECIIPGQVTPIKLLGLKVWPIEVDLKFLEPIGRELKQLGKVMDDAVELMNKSFIDR >RHN58949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6129514:6130163:1 gene:gene20910 transcript:rna20910 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLPLSLSPVRSSLSPVRFSPFCSGSAFSPLSSGSAFSPLFRFGASSCCCGCVMYSNLWGLCVKNDSENLCVHHVHMIFFFMNFG >RHN40226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14393591:14394544:-1 gene:gene46349 transcript:rna46349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MAASTQSTITLVTLILFQYLTVSYATTFTIVNKCNYTVWPGILSGAGTTPFSTTGFALQPGESNALAVPPKWSGRLWGRTLCSQDSTGKFSCVTGDCASSSIECNGGNAQPPATLAEFTLNGANGLDFFDVSLVDGYNLPMMIEPQGATGGGNCMTTGCMVDLNAACPTELKVMSNGGGVACKSACEAFGDPQYCCSGAYASPNTCKPSSYSQFFKSACPRAYSYAYDDETSTFTCDSADYTITFCPTSSIQSGNEKSPTSPIESGNEKSPTSPIESGNEKSPLPEEMDISGGHVNFTANNVRIVVIISFVLMVFLW >RHN60724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29914901:29922768:1 gene:gene23080 transcript:rna23080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-tyrosine sulfotransferase MDHIFKLFAFLILFGLVNACFAENGFGHCERVVKSWASSSLDREIGQEDKHTLKDLLFFLHVPRTGGRAYFHCFLRKLYPSYLECPRSYDKLRFDPSQQKCRLLSTHDDYSMTSKFPKERTSVVTILRDPIDRVFSTYEFSMEVAARFLVHPNLTSATQMALRLRSKAKGVSTLDIWPWKYLVPWMREDLFARREARYSKGMNTVEGSDPYDMKDFAMPLQEYINHPAAWDIVHNGATFQVAGLTNNSYIAEAHEVRHCVQKYKVLGKYVLQVAKKRLDSMLYVGLTEEHKESATMFANVVGSQVISQLNAPNTSLDTIENTEQSSFSDADPDSSEHQNSTSDRGASDATSTENGEAAESTMTVGKLMDTYEACISNLRSSQSGRRISSLKRIPVNFTKEASLTDLVLT >RHN49555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55614529:55616266:1 gene:gene44397 transcript:rna44397 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFQWRQQYQRRYPDEFLDRSDARGKGDYQIDYVPAPRVTEADKSNDQRSLQRALDRRLYLLLYGDTYGAPSGKPVWHFPEKPYESEETMRKCAESALKSVIGDLSNTFLVGNAPMAHMVVQPNEDHSGSSSFKSQVIAKNKFDFRKCEDFVWVTKDELMEYFPEQADFFNKIIIG >RHN43067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42966943:42967344:1 gene:gene49590 transcript:rna49590 gene_biotype:protein_coding transcript_biotype:protein_coding MIINIQSIQTHQKFEQIRREWWLRQGDSLTHKEKITHEQEMRLTKVVLRRASNRRGETGQVPRPCVESHCSCSSIVETVIAVAVLVNGGSGSEFLVLDEADDAGFLLFSYVCSHIILFLFGLMQNKSKMICLF >RHN75897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46015573:46018544:1 gene:gene12156 transcript:rna12156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MGNKAPLLIMLLILCHGVSMTMAMWEAEDKSNGPSTKDKKLFLLQNSKRVVKTDAGEMRVLESRGGRILERRLHVGFITMEPSSLFVPQYLDSTLIIFVLTGEAKVGFMYENELEESELKKGDVYQIPAGSAFYLSNIGEGQKLHIICSIDPSESLGIGIFQSFYIGGGAPVSVFSGFEPQILESAFNVSGSELSKFFTRKHEGPIVHVGHSHASASSIWTKFLQLKEDEKLHHMKKMIQDQEEDDVEEEVKQKTSWSWRKLLESVFGNEIENIKKDKVAHKSPRSCNLYDRKPDFKNSYGWSVALDGSDYSPLKSSGVGIYHVNLKPGSMMTPHVNPRATEYGIVIRGSGRIQIVFPNGTNAMDTHIKQGDVFFVPRYFAFFQIASSNEPLDFFGFTTSAQKNKPQFLVGATSLMKSMMGPELAAAFGVSEDSMQNILNAQQESVIVPTPWTAPGL >RHN79139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24118183:24122539:1 gene:gene2861 transcript:rna2861 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDTSKKLTYAQAQLQETSICSSTTARDLCLLKHNCKRLICYRTLYLKTSFD >RHN38602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:646342:646764:1 gene:gene44559 transcript:rna44559 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQMLCLVIFSPQLSQNSHQNPLTLLLFLGKYENNHSFLNQLEGKKTLSDQ >RHN80976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42362632:42365393:1 gene:gene4963 transcript:rna4963 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQLQKFFVLSNVMLLCASLVSTTQSQTNVSAVGDPGMQRDGLRVAFEAWNFCNEVGQEAPYMGSPRAAQCFDLSQGSLIHKVTEKDNKLGVGESLPGLRPEDINNIDLYAVQKELYLGSLCEVKDTPRPWQFWMIMLKNGNYDSRSGLCPQDGKRVPPFKPGRFPCFGTGCMNQPILCHQWTKLEGDVMRGGFNGTYDLDSSCRDSGLDDNNNLSFYEVVWEKKVNVGSWLFKHKLKTSKKYPWLMLYLRADTVSGFSGGYHYDTRGMLKAPLESPNFKVRLTLDVKKGGGSKSQFYLLDMGSCWKNNGAPCDGDVLTDVTRYSEMIINPETPAWCSPTGLGNCPPFHITPDNKKIFRNDTANFPYSAYHYYCAPGNAQHLEQPVSTCDPYSNPQAQEIVQLLPHPIWGEYGYPINKGDGWVGDARTWELDVGGLASRLYFYQDPGTSPAKRIWTSIDTGTEIFVSDKDEVAEWTISDFDVILIQPKSSLMTNVY >RHN65817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5625755:5629449:-1 gene:gene13707 transcript:rna13707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAELVGGAFLSSFFQVALEKLSSNDFIDYFRRGKLDDKLLQKLQVTLNSINHVLEEAETKQYQSSYVKKWLGDLKHVVYEADQLLDEIATYTPNKKLKVDSQPSTSKVFDFFSSCTDPFESRIKELLEKLEFLAKQKDMLGLKQEICASNEGEVGWKALKRLPSTSLVDESSIYGRDGDKEEVTKFLLSDIDAGDRVPIISIVGLGGMGKTTLAQLVYNNNMIQKQFELKAWVYVSETFNVVGLTKAILRSFHSSADGEDLNLLQHQLQQRLTGKKYLLVLDDVWNGSAECWERLLLPFNNGSTGSKIIVTTRDKEVASVMKSTKLLHLKQLKKSECWSMFVRHAFHGTNASEYPNLESIGKKIVEKCGGLPLAVKALGNLLRRKFSQREWVKILETDLWCLSEGESNINSVLRLSFHHLPSNLKRCFSYCSIFPRGYIFCKAELIKLWMAEGLLKCCRIDKTEEELGNEFFDDLESVSFFQRSGYVDYRYFVMHDLVNDLAKSVSGEFCLRIEGDWEQDIPERTRHIWCSLELKDGDKISQQIYQVKGLRSLMARAGYGGQRFRVCNTVQYDLLSRLKYLRMLSLRFCNLKKLADEISNLKLLRYLDLSRTGLTSLPDSICTLYNLETLILIHCPLTEFPLDFYKLVSLRHLILKGTHIKKMPEHIGRLHHLQTLTDFVVGDQKGSDINELAKLNHLQGTLRISGLENVIDRVDAVTANLQKKKDLDELHMMFSYGKEIDVFVLEALQPNINLNKLDIVGYCGNSFPNWIIDSHLPNLVSLKLIECKFCSRMPPLGQLCSLKELSISGCHGIESIGKEFYGNNSSNVAFRSLAILRFEKMSEWKDWLCVTGFPLLKELSIRYCPKLKRKLPQHLPSLQKLKISDCQELEASIPKADNIVELELKGCENILVNELPSTLKNVILCGSGIIESSLELILLNNTVLENLFVDDFNGTYPGWNSWNFRSCDSLRHISISRWRSFTFPFSLHLFTNLHSLKLEDCPMIESFPCDGLPSHLSILHIFRCPKLIASREKWGLFQLNSLKEFIVSDDFENMESFPEESLLPLTLDHLELRYCSKLRIMNYKGLLHLKSLQSLHIDGCLGLECLPEECLPNSLSILSINNCPILKQRYQKEEGKHWHKICHIPIVRIA >RHN65106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64237145:64237864:1 gene:gene28004 transcript:rna28004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YB8 MAESDDESGGQASGSRELLQERLLPIANVGRIMKKALPTRAKISKEAKETMQECVSEFISFITGEASEKCQKEKRKTINGDDLVWAMTTLGFEEYAEPLKGYLLKYREIEGDKNFSMNMIGSNKEQEGSIHRFFQG >RHN65012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63646236:63647478:1 gene:gene27902 transcript:rna27902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase transcription factor C2H2 family MDVIPCQLISPVSETIVRSVDSEILFSQGTISTPLDEMVVQISGENDTENGIVDRQCQVYVKSKGRQCLRMAMRNDIYFYAHCSIKKEKCVKVITPICGGTAIGGSRCKNHSGSDGSNQVSLPVGSKRPKLKVHCAYTHSRQEGTVEVPMVTEFPSQLISPVSETVVQSVDSEILFNKGTISRPLDETVVQVSVEQDAKDGIVERRCQAYVKSMGRQCSRCKNHSLPNLSFCKKHLPNAHINKSSNSNCSTLKRKFEEICIGFSKIRICNDSVLAHSRIPLEIDTKSVIEDEDESFCLFRSYACALCFESFTNMKLLGSHVQKEHPVNYGEHLFLLKCIPCGDQFGTMEKLWLHVKSVHPAELKLSKYSLSKESNI >RHN41762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32725566:32730877:-1 gene:gene48119 transcript:rna48119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MQRFSLFFHKHRIFNLPLRKSLQIRHEITTLPRMFFTTTSSHSSPPPNPTKPSKSQNDQFGLIHLRSNTIHFNDQNNDEFASDVEKIYRILRKYHSRVPKLELALKESGVVVRSGLTERVLNRCGDAGNLAYRFFSWASKQTSYRHSQEVYKAMIKVLSKMRQFGAVWGLIDEMRVENSELISPQVFVILMRRFASARMVSKAIEVLDEMPKYGCEVDEYVFGCLLDALCKNGSVKEAASLFEDMRYKFTPTVKHFTSLLYGWCKEGKLVEAKHVLVQMKDAGIEPDIVVFNNLLGGYAQAGKMGDAYDLLKEMRRKGCEPNAASYTVLIQSLCKHEKLEEAMRMFVEMQRSGCQMDVITYTTLISGFCKWGKIKRGYELLDQMKQEGHSPNQLTYMHIMVAHEKKEELEECMELVNEMQKIGCVPDLNIYNIVIRLACKLGEVKQGVRLWNEMEASGLNPGIDTFVIMINGFLEQECLVEACEFFKEMVGRGLFAAPQYGTLKELMNSLLRAEKLEMAKDTWSCITSSKGCEMNVGAWTIWIHALFSKGHVKEACSFCIDMMDNDLMPQPDTFAKLMRGLKKLYNREFAVEITDKVRKMAADRNITFKMYKRRGERDLKEKVKEKKDGRKRRARQRRWGGGNQKEL >RHN49953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2627186:2632733:-1 gene:gene34191 transcript:rna34191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MELKNQKSRYKRLSNWFTTKQKENKPSLSLYEIEDIVEDESDEYGELDSCISTNKLRIFVGTWNVAGRSPVGSLAVDLDEWLNLKNSADIYVLGFQEIVPLKTSTVIGAEDPSVATNWNNLIGKTLNNKFDFPWLTPMLNSSLPNDQENYQNIGGNETNKNKYKMVASKKMVGVFISVWLKEQVLEKYCVSNVRVCSVACGVMGYLGNKGCVGVSMLIEGTSFCFVVAHLASGEKKGDEGRRNHQVEEIFRRTSFPRTTKHHQHYPLTILGHDRIFWFGDLNYRLYLKDHLARHLIRKQDWKALQEFDQLQKELAEGGVFEGWKEGNVEFAPTYKYSSSTSNIYCGGGLPTRSGEKQRTPAWCDRILWYGKGVEQLYYIRSESKFSDHRPVSALFSTHIEIKSSSKELVEMHNIPPTILQSNHGVNKGEEDENFTSLSMLMKNVKGC >RHN69789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46282587:46286801:-1 gene:gene18303 transcript:rna18303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MLVKASEEAMAVREIEDGVDSNNSREINSIHLKQQHFVLVHGIGGGGWCWYKIKCLMENSGYKVSCIDLKSSGIDQSDADSILTFDDYNKPVIDFMSALPDNEQVILVGHSAGGLSITQACHKFANKVSLAVYVAATMLKFGYSTDEDLKDGVPDLSEFGDVYELGFGLGQDKPPTSALIKKELQRKIIYPLSPHEDSTLAAMLLRPGPLLALTRAQFIENVEVEKVPRVYIKTRQDNVVKPKQQEAMINRWPPGSVYELDSDHSPFFSTPFILFGLLVKAATFHVGCN >RHN70578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52444180:52448425:1 gene:gene19171 transcript:rna19171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyltransferase 39 MALGFFALAIFLFLTLDPDVSPSSTASASAASSEGVEVQITYGSVIKLMHEKTKFRLHSHDVPYGSGSGQQSVTGFPSVDDANSYWIVRPEPGTSAKQGDTIKSGTIIRLQHMRTRKWLHSHLHASPISGNLEVSCFGGENESDTGDYWRLLIEGSGKTWKQDQRFRLQHIDTSGYLHSHDKKYSRIAGGQQEVCGVREKRADNVWLAAEGVYLPVTESKQAE >RHN62923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46769508:46770134:-1 gene:gene25560 transcript:rna25560 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDNSERRIAGLKIERGFLGFVEEGCEDGNGFENWNGLWSDLWCRNPDILECWGLRSSDEDERGQDLNFDVNFRDLRIEN >RHN74811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36822982:36826515:-1 gene:gene10931 transcript:rna10931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thebaine 6-O-demethylase MEKFKNPSGTSLLVPSVQELAKDGKISTVPPRYIQPNHQDLILSEVDTNLQIPVIDMYKLHSEEFGSSELLKFHLACKDWGFFQLINHPISLSLLEKVKLETQDFFNLPMSEKKKFWQTPHHMEGFGQAFVMSEEQKLDWADIFFMTTLPKHSRMPHLFPQLPLPICVDSYTVFVFESCLLNLCSLSCRDTFELYSTELKKLSMVIVDYMGKALKMDEKEMSMFFEDDVQSMRMNYYPPCPQPEKVIGLTPHSDGSALTILLQLNDVEGLQVRKDGMWVPVKPLPNAFIVNIGDMLEVKNIYSFIKHFVVSYDSRNPIAFTIYLETLSLSHCVT >RHN55051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14723420:14724642:1 gene:gene30177 transcript:rna30177 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVSTSPLSEVSRKFENDFFSKLKIEDEKIENENEDKHEVEEEDEDDDEFTFVFADPKGSPISADDVFDKGQIRPIFPFFGQDLHFTDDYDNESGNRSPVRKFFVESPSSSKTATSSAAVEGTYCEWNPKKAVKSNSTGSSKLWKLRDPKLRSNSDGKDAFVFLNPSKVEKTSSSAGETKNDVVKKVKMVKGKKAAPAPSAHEKHYVMSKARKENDKRKSYLPYRQELFGFFASTNGLGMSRNVHPY >RHN41658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31845803:31847759:1 gene:gene48001 transcript:rna48001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SOUL heme-binding protein METTLMCFLYYLFITICYFFGNSVVHAIESPKYIVIQSESDFQIRLYNESSWISAPSSGTNSFEQSTKTGFHRLYQYIHGANLNSSKVAITAPILTRIPSSTSSEIGYSVRMYVSPHFQGKPPQPNAELKLQLEKWKTQCIAVRKFSGYAKDDNINKEIEALVTSLNKHLDETSTIIQQDTSSYTIAQYNASFHNAERVNEVWIKVSGFRTDC >RHN63194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49252559:49253284:1 gene:gene25870 transcript:rna25870 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVKHIDWVFMDNSDQEGLNKEGGNMDVPEIATIQKSGNSSTLSLHNSFDLLHEESELPSGEAQLSDKDLNHISKVTLIDSAEIVDKVSEEIVSLSQAAYYKDSDALKSVSYESANLITNNTLPTSVPTSPFQCIKTERLLFLGAATVYASSARDPTLDMQIVPPAPASLGDFILSPIIAFITTTDENLGPDKGKVAQYANVVNNFEACRKSENILRKFWTDDLDTDQTSDSTLELDNTE >RHN75883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45870277:45873249:-1 gene:gene12141 transcript:rna12141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, SWIM-type, interactor of constitutive active ROPs MRSQSPWLELFKTLLKGTTLGASCNLGGFKIKLSLLLLLVEEYCTCVSVIHRKNFFCSHYITYFDYFRTKSSKVPQTQRVCHHLDTNSALNQFHKTSSNDKSSKVTDRRSPRNTAPERKRPRSSRIHELIVNSEKARTQIAESPDTELQNLRHKLSKSLSLVKNMENQLRDCKESNQAQPLVNETLRQLEAAKRTVELLRADAAKSVHVSKLESSLAHDRNLEMESERLEKNDSTNHIEIEFRSLRSEVELLRSAIEIAEIKFQEEQIQNTVKIRNAYELIERVKSELSQRESELERKKAEIEELKEKLMDKENELQGIVNENERLNLKLEKSVSLSSKKELEFKKELKRLDEYVVALKGEMMDKETTLQNISEENEMLKWEINKRFTFTHDGIMKEEVAAEIGAAKAAERDAIAKLKIMKEEAEKSSSKAARVAEQLEAAQVANMEKEDELKRIKVQCDQWRKAAEAAAAMFSAGNNGKIAERSMSMDNNYNNNVMKNKHLNFYDETDDWSDLQGKKNGNVLKKIGVLWKKPQKTTG >RHN62429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43387395:43389174:-1 gene:gene25006 transcript:rna25006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MEEYNGREEQKQRQRSKSVEYEAVIRAGPHIRPLQQAHAHLIVSGRHRSRALLTKLLTLSSAAGSIAYTRRLFLSVTDPDSFLFNSLIKASSQHGFSLDTIFFYRRMLSSPHKPSSYTFTSVFKACAHLSALKIGTILHSHVFVSGFGSNSFVQAAIVAFYAKSSALCVARKVFDKMPQRSVVAWNTMISGYEHNGLANEAMTLFRKMNEMGVCPDSATFVSVSSACSQIGSLELGCWVYDSIVSNGIRVNVILGTSLINMFSRCGDVRRARAVFDSISEGNVIAWTAMISGYGMHGYGVEAMELFYEMKKERGLVPNTVTFVAVLSACAHAGLIHEGRQVFASMREEYGLVPGLEHHVCMVDMLGKAGLLTEAYQFIKELCPVEHVPAVWTAMLGACKMHKNYDLGVEAAQHLISLEPENPSNYVLLSNMYALAGRMDRVESVRNVMIQRGIKKQAGYSSIDVNNKTYLFRMGDKAHPETNEIYQYLDGLIWRCKEAGYAPIPESAMHELEEEEREYALRHHSEKLAVAFGLMKTSHGTALKIVKNLRICEDCHSAIKFISVVTNREIIIRDKLRFHHFREGSCSCLDYW >RHN77550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7655556:7657425:1 gene:gene1016 transcript:rna1016 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEGPLDYMSQNIQLSMDGPNGKSHKGGHLSLEELHIHESNMSSNMNDVQVCNESDDHYRVSTSIDAEIDMFNQGKHQ >RHN55581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19711447:19712736:1 gene:gene30783 transcript:rna30783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative von Willebrand factor, type A MTEEVAARAKAQKQTAHVLKEEKRIALAKKLVDRYTTDPHFKFLHDSISDHFADCLKKDLQFLKSGLPNKISLAAKWCPSVDSSFDRSTLLCESIAKRIFPREEYEGVEEAHYAYRVRDRLRKDVLVPLRKVLELPEVFIGANQWGLIPYNRVASVAMKFYKEKFLKHDKERFEKYLKDVKAGKTTIAAGALLPHQIIESLGDGDGGEVAELQWKRIVDDLLKKGKMKNCLAVCDVSGSMSGTPMEVSLALGLLVSELSDEPWKGKVITFSEEPQLHVIKGDNLKSKTQFVRDMDWGMNTDFQKVFDRILEVAVNGNLKEDQMIKRIFVFSDMEFDEASVNPWETDYQAITRKYSEKGYGSVVPQIVFWNLRDSRATPVRATQKGVALVSGFSKNLLTLFLDNEGEISPEEAMEAAIAGPEYQKLVVLD >RHN40482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16717563:16723757:-1 gene:gene46637 transcript:rna46637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MKRSPSSSSSSSSIGFENPIQSVKKRGAKNPKKNLNVKSQNLKQKKNQTNGASRRSSIYRGVTRHRWTGRFEAHLWDKTSWNKIQNKKGKQGAYDTEEAAARTYDLAALKYWGKDATLNFPIETYAKEFEEMDKASKEEYLNSLRRQSSGFSRGLSKYRGVARHHHNGRWEARIGRVRGNKYLYLGTYKTQEEAAVAYDMAAIKHRGINAVTNFDISNYMDKLKVEKNDEKEQTEPQSNTEIVANSPDSEEALEEQTTTTPPQEENQLQIEPLPQQFHQQHVPPTSHECAIVNIMDHCFDQDYPWSFMYNGLSEFQDTGSTMSKCGDDDLMNIFDGSSFDDNIEFELMFNTEEPCGGRGESDINISEVLEGIDLCGGLLNGDAGDMVVDEDSNKEKDLLSLDSSSSSPSSSTTTISL >RHN73347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15551382:15553015:-1 gene:gene9149 transcript:rna9149 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSNTNGKGGKKSVHTMTHFVPPLITNNVPAPATSSMTNKKTRPASASYTGSNDPPNQKKDPKGFKGGSSSNSQQNRNG >RHN50246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5471165:5476474:-1 gene:gene34515 transcript:rna34515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MAAPEINWDRLDKIKYYVVGAGLFSGVTVALYPVSVVKTRMQVASNHTAERSALSVVKGLLKTDGIRGLYKGFGIAVTGAIPARIIFLTALETTKAASFKMLEPFKLSETTQAAIANGIGGMTSSICAQAVFVPIDVISQKLMVQGYSGHAQYSGGLDVARKLIRSEGIRGLYREFGLSVMTYSPSSAVWWASYGSSQRFLWRFLEDDVKSEKGTPSLPKIVLVQAAGGIIAGATASCITTPLDTIKTRLQVMGHGKKSSVKQVVKELISEDGWKGVYRGLGPRFVSMSAWGTSMILAYEYLKRLCAKDEEAFGQQSGIVSTD >RHN82461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53964140:53966997:-1 gene:gene6629 transcript:rna6629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MADNSQKTEDFDTDDKNNQCLSTVSWCNGVGNEALVVVESKDQCKTKGQSDEHKTLRRLMQNREAARKSRLRKKAYVQQLENSRLRLAQIEHELQQVRQQGTFVAPGVTADHGHSIVGNSNAGSVAFDMDYARWVDEHQRLINDIRSAINSQMGDNELHLLVDGVMVHYDELYKLKSIGAKADVFHILSGLWKTPAERCFMWLGGFRSSELLKIIRNHLEALTDQQLMAIFNLQHSCQQAEDALSQGMEGLQQSLSETLSSTSTGSGNVVEYMGQMALSMAKLSTLETFIHQADILRQQTLQQMRRILTAHQAARALLVINDFISRIRALNSLWSAFPKEY >RHN70160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49194914:49196240:-1 gene:gene18715 transcript:rna18715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MAEDSLTILGFWGSPFVLRVKWALELKGVQYQYVEEDFKNKSDMLLQYNPVYKKVPVLVHDGKSISESLVILEYIDEIWKQNPLLPHDPYERAKARFWAKFADEKCVPAFIATFSKIGEEQQEAAQEARENLKILESGLEGRRYFGGENIGFADIAVGFFGRWVRVVEETVGINLIDTESMAKLSTYFDDFLELPVIKECTPSHDKLLEHNKAFHKVLTSSST >RHN40161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13777087:13783129:1 gene:gene46275 transcript:rna46275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MEGREGINPAVTVIAPEAPSAYHVAPRNEVPDQVHNPEVATAAAAGGSTAAPAAANVGVSPVSVGLDGTVKKKRGRPRKYGPDGSVNMPLSPLPISSSAPPSSKDFSSMKQVKPQGMEYKRAKKFVMDHLGEMNGHSDGTNFMPHFITVNAGEDITNKVISFSQQGPRAICVLSATGVISNVTLRHPDSSGGTLTYEGRFEILSLSGSFMPTDNNQGTRSRAGGMSVSLASPDGRVVGGGVAGVLIAATPVQVVVGSFLPSGHQDQKIKKPKSDYATATFTQAIAVSAAPPPPQTNNAEKEDAMGGGGHVLQNSGTLNSNFTSPPPTAFRRENWVNMHSIPDTRKAATDINISLPDN >RHN46518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32441834:32447298:-1 gene:gene41011 transcript:rna41011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ammonium transporter, blood group Rhesus C/E/D polypeptide, ammonium/urea transporter MAASPAYQAHLPTSPEWLNKGDNAWQMTAATLVGLQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLICWVLVAYRMAFGDELLPFWGKGAPALGQKFLVARAKVPASTHYYKNGVDIETPMEEPFFPMASLVYFQFTFAAITMILLAGSVLGRMNIKAWMAFVPLWLIFSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRIKSDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAANVASSIAVLNTNICAATSLLVWTSLDVVFFGKPSVIGAVQGMMTGLVCITPGAGLVQSWAAIVMGILSGSIPWVSMMILHKKSSLLQKVDDTLGVFHTHAVAGLLGGLLTGLFAEPALCRLLLPVTNSRGAFYGGSGGMQLLKQLVAAMFVIGWNIVSTTIILLVIQLFIPLRMPDEQLEIGDDAAHGEEAYALWGDGEKYDPTRHGSLNTGNTGVSPYVNGARGVTINL >RHN59776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13010298:13020465:1 gene:gene21924 transcript:rna21924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MVMNERPTKRVKRRVTADLYDFLSFPTAGDDSAAAVPFRIGVYRFLSDHARSAFPPELFPSLMTWQILFRVGEVGDGGDVSSGLVTLDIVEEDVTRSRTIYCDQCRVVGWSAHPVCRKRYHFIIRSATDANEAHQRPCTKCGTLLQLSEARCNSCNFDISADDLEEWLYHQLEENNTHLLHGVVHANGYGHLLTLNGREGGSSLLSGSDIMGFWDRLCAAMSVRKVTVMDLSKKFGLDYRLLHAIARGHSWYGNWGYEFGTGCYALTEDAYKMAVDNLSNMPLSSLSFQDRGPHNPVQSVISLYQSLAETELRTMKDLFSFLLELVQNFRKPRSAETANLHEQTTPCNLLCSWTRNDVEDVQQALIKVLLASSACNEAKWVTRQTLKGAVGRRIGSPELLDFGLKHLQGKSVAKGMVVCSRCNPTSSAIEFRLGHWPNGFSSIASYPTKEQVISDLTFLFNSIVHPEKMIKYRPKINRKTVADSARKLLDCKQFMKDYKIEQMTTVLPSALKIWCHVKLSDHPKEDHPTPPPELIVLPLNATNADLLNEVTSVFQEVYGMYKKFVAVKLLGYGLTREREYTLKFLLGSTYGSVEVQGECPAKNGLARFRMERGTEEWKVDCICGTKDDDGERMLACDTCGVWLHTRCAGIDSADGMPSKFICKRCVNSIRVGDNQACKLNTYCRDEAVATYSAPVNCNIKNVNFGVR >RHN53803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4268262:4270475:-1 gene:gene28751 transcript:rna28751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MMEHNFKHQIGFICVMLFLPVLCSSQDSFVCSRATYYGSPDCYANPKGACGYGDYGQTVNDGNVAGVSWLWKNGSGCGACYQVRCKIPELCDENGAYVVVTDFGVGDRTDFIMSPRGYSKLGKNGDASAELFKYGVVDIEYKRIPCKYNGYNILFKVHERSKNPHYLAILILYVGGTNDVTAVQLWQEDCKEWRPMRRAFGTVFDAENPPRGEIKLRLQVSGSAGLYWVESKNVISSDWEAGSVYDSQIQFD >RHN48248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46076444:46076768:-1 gene:gene42943 transcript:rna42943 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDFGVKKKNGYGEEEEWLWRKKRTGELWGRRIQRLYNGHVRVFIFIFFVLKIHVGCSYGTK >RHN38741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1695373:1695850:1 gene:gene44706 transcript:rna44706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MQGFLTFILILSFILPCLCTRLNVGTTNAIYNVMQYGAHGDGKTDDSQAFINAFNSACKATGMSTLVIPAGKTFMVSKVIFSGRCNAKVRIQVYISNI >RHN71748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2406482:2409983:1 gene:gene7381 transcript:rna7381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MPTTQPPSTAVMFTGALFRHWSQPIFLTTLFFLCFITHSHSNELQYLMNFKSSIQTSLPNIFTSWNTSTSPCNFTGVLCNSEGFVTQINLANKNLVGTLPFDSICKMKYLEKISLESNFLHGSINEKLKNCTNLKYLDLGGNSFNGTVPEFSSLSKLEYLNLNLSGVSGKFPWKSLENLTSLTFLSLGDNIFEKSSFPLEILKLEKLYWLYLTNCSIFGEIPVGIGNLTQLQHLELSDNNLSGEIPHDIGKLKNLRQLEIYDNYLSGKFPFRFGNLTNLVQFDASNNHLEGDLSELKSLENLQSLQLFQNKFSGEIPQEFGDFKNLTELSLYDNKLTGFLPQKLGSWVGMLFIDVSDNSLSGPIPPDMCKNNQITDIALLNNSFTGSIPESYANCTALVRFRLTKNSLSGIVPRGIWGLPNLELFDLGRNKFEGSISSDIGKAKSLAQLFLSDNQFSGELPMEISEASSLVSIQLSSNRISGHIPETIGKLKKLTSLTLNNNNVSGILPDSIGSCVSLNEVNLAENSISGVIPTSIGSLPTLNSLNLSSNKFSGEIPSSLSSLKLSLLDLSNNQFFGSIPDSLAISAFKDGFMGNPGLCSQILKNFQPCSLESGSSRRVRNLVFFFIAGLMVMLVSLAFFIIMRLKQNNKFEKQVLKTNSWNFKQYHVLNINENEIIDGIKAENVIGKGGSGNVYKVELKSGEVFAVKHIWTSNPRNDHYRSSSAMLKRSSNSPEFDAEVAALSSIRHVNVVKLYCSITSEDSSLLVYEFLPNGSLWERLHTCNKTQMVWEVRYDIALGAARGLEYLHHGCDRPVMHRDVKSSNILLDEEWKPRIADFGLAKIVQGGGNWTHVIAGTLGYMAPEYAYTCKVTEKSDVYSFGVVLMELVTGKRPVEPEFGENKDIVSWVCSNIRSKESALELVDSTIAKHFKEDAIKVLRIATLCTAKAPSSRPSMRTLVQMLEEAEPCAPSKVIVTIDG >RHN68665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37595534:37598535:1 gene:gene17046 transcript:rna17046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 peptidase MCVQYCLFPSKKEENRDHKRVDFANQEGEIDSRHGTKIAADAHHKNKTKTVKDVTGSVSSIFSFPVPRGPRTKIKRKFKGNKTLARSKEELNSEVFDNYLAKVWESFSEDRKRSFAYMDGLWFSLYRGASYKDKVLSWIDKKNIFTKAYVFVPIVLWGHWNLLIFCHFDENLQSATGSRCMLLLDSLEKIGPRRLEPDIRKFVQDIYKVGNRPETKDLISRIPLLVPKVPQQKDDTDCGNFVLYFIKLFLEFAPENFSMEGYPYFMKKDWFTFEDLDRFCENLVR >RHN69962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47615960:47620655:-1 gene:gene18497 transcript:rna18497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VI family MKLIGDGDGGRKVLVGVKLDPRSRELLTWALVKVAEPGDLVIALHVLDTVTEGTSSLLSLVKTLDSVLAVYEGFCNLKQVDLKLKVCRGDSVRKLLVQEAKALGVSTVILGTSKSHHTIRSSAWVAKYCAKKLHKCVSVFAVDNGKIAFQREATAQEKLQWSYLIFTNKSVKDCGSCALGEISETEFNQGELLDYCETENPLALVPFQKHDDESVVGDESLVGDSKRMKPGWSLIRNVFHSKRHTTKSSPKSTFVFQRGVRHSTSHSSSVVYPDHKQINIDPIDDSTLDGESGAIVPYGSAIILPPPTLCSDADSLSEELLILRDKYSSSCRLYSLQELVAATVNFSSENLVGKGGSSVVYRGCLSDGKELAVKILKPSENVLKEFAQEVEIVTTLNHNNIISLSGFCLEGNHLLLVYDFLSRGSLEENLHGNKKDYNSFGWQERYRVAVGVAEALDYLHNGCAQSVIHRDVKSSNILLSDDFEAQLSDFGLASWGSSSSQIICTDVAGTFGYLAPEYFMHGRVTDKIDVYAFGVVLLELLSNRKPINNERPKGHESLVMWATSVLKSGKISQLLDPSLGSEYNNCQIKRMVLAATLCIRRVPRLRPQIGVILKLLHGDEEVTRWAEQEVCAPETHDGFDEELFPTNIQSHLNLALLDLEDDAVSICSTEQCVSLEDYLRGRWSRSSSFD >RHN41273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28783478:28786650:1 gene:gene47577 transcript:rna47577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative formin, FH2 domain-containing protein MAFNSMIILFCLVFHIFSLQTCYCQNNSPQNIETFYPNQTSPSSPPTNQPPKAQPPSLPPQGPIAVSKSSSSNGGKIAKFVAATAAITIVICGLIFILAKRCLRKRKRSGEINNIASDGDRQQVVVPKGNVFERIDGNVRGLIVDEDGLDVIYWRKLEEKNSNKDLYKNVLNSPKNKEVGEDSHEENQVKKSKSIQEIPLLRGKSSASHIFQEEDDLYRIPRIQSQPSTNDGVQKQGFQSQPSILPSISAAAAPPAPPPIPARKSQAPPPPPPMKTSILTSSSKAIPQSNQRNSSGKGMMQESNNDQVKLKPLHWDKVNTNAADHSMVWDKVDRGSFRVDQDLMEALFGYVATNRKSPQQKTHSTTPSNDASTNIFLLDPRKSQNIAIVLKSLAVPRGEILDALIDGKGLNADTLEKLSRLSPTEEEKSLVLDYKEDPAKLAAAESFLYYILKAVPSAFKRLNAMLFRVNYDSEVMEINDSLQIIELGCKELRSQGLFLKLLEAVLKAGNRMNDGTSRGNAQAFNLNSLRKLNDVKSNNGKTTLLHFVVEEVVQSEGKRAVLNRNSSLTRNISQNRSSNMYGNLETNVVSDERKEREYKMLGLSIVGGISSEFSNVKKAANMDYNSLIGSISALSVKLIEIQELVSQCENGERGNFVKEMNHFIGNAAEELKLVREKETSVLQILSKTKQYYECGGSKEKEENNLQLFGIVKDFLGMVDQVCIEIALDMQKKNPKRYQNK >RHN61617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36859889:36861168:1 gene:gene24100 transcript:rna24100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MNSTNSRVADENLSMSFQTCEKICLGPKTPLKGKFQASLGVHEIFSPETWKAALIELVATASLMVTFTASIIACLDSQELDPKLIIPFAVFIIAFLFLIVTVPLSGGHMSPVFTFIAALKGFVTISRALLYVLAQCIGSIIGFYILNCVMDPKLINTYSLGGCALGDKGLNSSINQHEALLLEFSCTFLVLFLGVTLAFDKKRSKNLGLPLVCLVVAGSMALAVFLSITVSGRTGYAGVGLNPARCLGAALLHGGSLWNGHWLFWVGPILACLLYYSVSINLPKEVSVWDDEEYVVLKLPLGSCRTIPNGDILNDITIEGGAQGYQVHV >RHN68426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35402794:35405120:-1 gene:gene16779 transcript:rna16779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDHFQSRSWASVSGNSKMQEITKQVLDSFTLCQSDVVDFNGLQIRLKKELTGKRFLLVLDGFENENYLDWDILQMPFVSENNGSRIIATTRNKRVATAIRANLTHFPPFLSQEASWELFSSHAFKSQNSNERSRVLTEIGKKIVQRCGGLPLATITLGSLLNSKEDSEEWENVCTSKLWDLSRGGNNIFSALISSYIRLPPYLKRCFSFCAIFPKGHKIEKGNLIYLWMAEGLLPRSTMGKRAEDIGEECFEELVSKTFFHHTSDDFLMHNIMHELAECVAGEFCYRLMDSDPSTIGVSRVRRISYFQGTYDDSEHFDMYADFEKLRTFMPFKFYPVVPSLGGISASVSTLLKKPKPLRVFSLSEYPITLLPSSIGHLLHLRYLDLSRTPITSLPDSICNLYNLEALLLVGCADLTLLPTKTSKLINLRQLDISGSGIKKMPTNLGKLKSLQSLPRFVVSNDGGSNVGELGEMLELRGSLSIVNLENVLLKEEASNAGLKRKKYLHEVEFKWTTPTHSQESENIIFDMLEPHRNLKRLKINNFGGEKFPNWLGSNSGSTMMSLYLDECGNCLSLPSLGQLSNLREIYITSVTRLQKVGPEFYGNGFEAFSSLRIIKFKDMLNWEEWSVNNQSGSEGFTLLQELYIENCPKLIGKLPGNLPSLDKLVITSCQTLSDTMPCVPRLRELKISGCEAFVSLSEQMMKCNDCLQTMAISNCPSLVSIPMDCVSGTLKSLKVSYCQKLQREESHSYPVLET >RHN79128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23680813:23688447:-1 gene:gene2845 transcript:rna2845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EGF-like domain, exostosin MARDLLDSFSMKTWRCSWSLAATIASALALVSVVHLFLFPLTPSLNYFNLASDSCISTNASAELISKRGWEWDEPAIDLKRQFLADSHDSVSYKGAPWKAEIGRWLAGCDSITKEVNISEIIGGNDCKNDCSGQGVCNRELGQCRCFHGYAGDGCSDRLELECNFPGSPEEPFGRWVVSICPANCDKTRAMCFCGEGTKYPSRPLAETCGFQFNPPSEPGAPKIVNWTIVDQDVFTTNSSIQGWCNVDPAEAYTGKIKFKEECDCKYDGLWGRFCEVPVESVCINQCSRHGHCRGGFCQCANGWYGADCSTPSVISSIREWPSWLRPARVDVPDNIHVSEKLINLNAVVAKKRPLIYIYDLPSEYNSLLLEGRHFKLECVNRIYDGNNATVWTEHLYGAQMAIYESLLASPHRTLNGEEADFFFVPVLDSCIITRADDAPHLSMERHHGLRSSLTLEYYQNAHSHILEQYPYWNRSSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNAKHNHSTTAYWADNWDKISTHRRGIHPCFDPDKDLVLPAWKVPDANVLTSKFWAWPRDKRKTLFFFNGNLGPAYPNGRPEDSYSMGIRQKLAAEFGSSPNKDGKLGKQYAEDVIMTPLRSDNYHADIASSVFCGVLPGDGWSGRMEDSVLQGCIPVVIQDGIFLPYENVLNYDSFAVRIPEDEIPNMIKILRGFNETEINLKLANVQKIWQRFLYRDSILLEAERQKAAFGHVDDWAVEFLKLTEDDVTTTLIQVLHYKLHNDPWRKQIRHNKKFGLPNQCVVNTI >RHN43764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48292944:48294017:-1 gene:gene50386 transcript:rna50386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MVHNAAHSWHTGYCDCSSHCRSCCLTLFCPCVAFGRVAEIVDKGTTSCCVHGLFYCLLGGFTYVGSSLYACIYRTKLRKTYGIDGSKTCDCIGTCCCLSSISICQEFRELESRGFDVSAGWKENVRVKTRGVMEMEAPTIENGMARK >RHN76048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47279254:47281040:-1 gene:gene12322 transcript:rna12322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVQEEVRKGPWTEQEDFKLVSFVGLFGDRRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGKMTPHEERLVLELQSKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQDKKRGSIQSTEHHVMNSHASKEAGEESFYDTGGSSTSTQVKLVGEEHEKEISMDDIWKDIDLSEENSLQNEECCNINNNISCLPKTSSSWEYNSTDSLWVMGEESMLFPMAPMSDDPYFSGYANY >RHN46294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30422113:30430120:1 gene:gene40770 transcript:rna40770 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSGSAALSEVCDLFDETCCVIARNCAAMPCCVRVCVRACTCVYVCTCVLCACAVLRCRVWVFVRCRVAIPFPLLFLVFCFVSFCFFVFSAVLLAVVSIYRVRLRLEFVTDEQKLCLDWDPGETEQNAQCSRIGKTNACHAVLDFWIRTAFGLIT >RHN52121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31711383:31712299:1 gene:gene36749 transcript:rna36749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKSTLFTFSLLFSFTYFPLAFTETVEDINGNPVFPGGKYYIAPLISKGGGGGLKLGKTGDSECPVTVLQDFSEVVRGFPVRFIIRVRRGVIFTTDELDIEFVKKPKCAESAKWVLAHDDFPTSWVGIGDNIDAFQGKFKIETLGSGSGAYKLVYCPLFSAPPGACSDIGRYRDENGWRLVPTENDPFRVVFVDATESEKAVV >RHN65899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6317952:6320476:-1 gene:gene13792 transcript:rna13792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3,9-dihydroxypterocarpan 6A-monooxygenase MVDFSDYFALLLIFLASILLLRLIFTIKFHQKSLLPPSPRALPILGHLYLLTKLPHQAFHNISSRYGPLVYLLFGSKPCVLVSSPEMAKQCLKTNETCFLNRPKQSNLEYITYGSSDFALAPYGTYWKFMKKLCMTELLGGRILHQYLPIRAEEITLFLKGMMEKADLRKEVNVGEELTMLSNNIITRMALKRRCSDVEGEGHQLIELVKEMAVLGGKFNLGDMLWFVKKLDLQGFGKRLENLRNRYDVIIERIMKEHEDTKKKNKEEINSNGIETVSDLLDILLDIYADENSEVRLTRENIKAFIMNIFGAGTESSASTIEWALAELINHRDMMEKAREEIDSIVGKKRLVEESDIPNLPYIQSIVKETLRLHPTGPLIVRQSTEDCNIGGYYIPAKTTLFVNLWAIGRDSNYWENPLEFQPLRFINEVGQSPLNLKGQNVELLSFGAGRRSCPGSSLALHIVHTTLATMIQCFDWKVGEDGNGIVEMEEGPGLTLPRAHPLVCIPTARLHLF >RHN65364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1500029:1500565:1 gene:gene13193 transcript:rna13193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MENNGRVCRICQRSFSNGKALRGHMKSHYAKLPIPPKLPINNQVSEYSLELAKHPTHSISTSSPSIINPRNNSIHNLQSLKGNFNCTPSNFGRNSVFEFYPTNPTKKRSKRKPRQFHMAEEKEENTQFNMVEEKEENTQFNVAGEKKDNTQLNLVYSDLDIEVAETLSVICKKEWNQQ >RHN50285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5791419:5794331:1 gene:gene34557 transcript:rna34557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLYCGFNFLFCVLAILCMNLLCAESFHKNKCVETERRALLKFKDALLLERNDLTSWKGEECCKWEGISCDNFTHHVTSLDLFAFRLSGKLDSSICELKHLTSLNLAYNKLESKIPKCIGSLSKLIELNLIGNKLVSVIPPSLGNLSNLQTLDLGFNDLTANDLEWISHLSNLRHLGLSKVNLSLVVDWLSSISKIPSLSELDFYGCGLHQVNLESIPLLNTSISLKYLDLSYNEFQSSILKYFRNMSQLQELQLNYNKLSSKLSDNIQQLCSAKNGLRKLDLSDNPFIRGPLPDFSCFSSLEALSLRNANLNFVEIIDHAYLPTIYSLDLSFNQLNGSQPLFEITKLASLKTLHLSHNHLSGSIPHTIGQLSSLAKLLLSSNKLSGVINETHLSNLSQLRILDVSQNSLSLNLSLKWVPPFKLERLYASSCTLGPKFPAWLKHQGELEILDISHNGISDSFPKWFWNLSLSLRYLNVSHNILKGTLPKSFTRTKGNYDYDHGWDVWDFSFNNMNGSLPAFPELGVLFLSKNMLTGSLSSFCTSSSQSLIQLDMSSNFLEGQLSDCWGKFKSLEVLNLAENNLSGKLPNSFGARRQIKSLHLNRNNFSGEIPSLILCHKLQLIDVGDNNLQGTLPMWIGHHLLQLSNLRMRANKFQGNIPTSLCNLSFLQVLDLSQNNIIGEIPQCFDRIVALSNLSFPRTTFQHTSFIYFVENEMYETGPFIDKEILAWKGSNKEYDKILGLVTIIDLSCNHLTGEIPQSITKLVALATLNLSRNNLTGIIPSNIGHMERLESLDLSRNHLSGRMPTSFSNLTFLNNMNLSFNNLDGKIPVSTQLQTFDSYSYVGNNRLCGPPLINLCPSDVISSTRTNDKHVTSEEDEDKLITFGFYVSLVLGFIIGFWGVCGTLVIKTSWRRAYFKFFNNMKDWIYVTLAVFVNRLKKRFQVED >RHN43571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46963168:46964666:1 gene:gene50174 transcript:rna50174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MQRKIMDKISASTNPVILQSEGLLKYILETSVYPREAETLKELRNATANHPLGFMGTSPDAGQLMALLLKLLNAKKTIEVGVFTGYSLLLTALSIPYNGKITAVDPDRKAYEIGLPFIKKAGVLHKIDYIESPALPVLDKLLDDPANEGTFDFAFVDADKNNYWNYHERLIKLVKISGIVAYDNTLWGGTVALPEMVVSETKREWRRCTLDFNEAISKDPRMELAFVSIGDGLTICRRIC >RHN42415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38160615:38165594:-1 gene:gene48853 transcript:rna48853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MEGGVPEADISAFRECLSLSWKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFKEVDRKTWLQEAIVSMALAGAIIGAAVGGWINDRFGRKKAILIADTLFFIGSAIMASAMSPSILILGRVFVGLGVGMASMASPLYISEASPTRVRGALVSLNGFLITGGQFLSYLINLAFTKVPGTWRWMLGVAAVPALLQFTLMIMLPESPRWLFRKGKEEEAKALLRRIYSPEEAEAEINTLKESVELEIKESESSDKASIIKMLKTKTVRRGLYAGMGLQIFQQFVGINTVMYYSPAIIQLAGFASNQTALLLSLVTSGLNAFGSILSIYFIDKTGRKKLLLFSLSGVVLSLVVLTVVFHESSTHSPMISAIETSHFNNTCTDYSTSLNPGGWDCMKCLKASPDCGFCASGANKLLPGACLISNDTTKDECHKEHRLWYTRGCPSKYGWLAIIGLALYIIFFSPGMGTVPWVVNSEIYPLRYRGICGGMASTSNWVSNLIVAQSFLSLTEAIGVSWTFMIFIFITVAAIVFVIIFVPETKGLPMEEVENMLERRSLNFKFWQRSSDSGEVLTQKT >RHN76449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50345354:50352777:-1 gene:gene12776 transcript:rna12776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative maltose-transporting ATPase MEGTDIYRATNSLRARSSTVWRQSGVEVFSKSSREEDDEEALKWAALEKLPTYNRLRKGLLTASHGGAHEVDVGDLAFQDKQKLLERLVKVAEEDNEGFLLKVKERVDRVGLDIPTIEVRYNNLKIDAEAFVGSRALPSFINAATNVIEGVLNFLHIIPTKKRHVAILKDVSGIVKPRRMTLLLGPPGSGKTTLLLALSGKLDPSLQLTGSVTYNGHGLNEFVPQRTAAYISQHDVHIGEMTVRETLAFSARCQGVGSRYDMLSELSRREKAANIKPDPDIDVYMKAIATEGQEYSISTDYVLKILGLDICADTMVGDEMLRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVSSLRQYVHIMNGTAVISLLQPAPETYDLFDDIILISDGQVVYHGPREYVLDFFETMGFKCPERKGAADFLQEVTSKKDQAQYWVRRDQPYRFVTVTQFAEAFQSFHIGRKLAEELSVPFDKTKSHPAALTTKEYGLNKTELLKANFSREYLLMKRNSFVYIFKLTQLFIMALIAMTLFFRTEMHRNNQDDAGVYAGALFFTLVTMMFNGMSEISMTIAKLPVYYKQRDLLFYPSWAYAIPSWILKIPISLVEVSLWVFLTYYVIGFDPNVGRMFKQFLVLFFMSQMASGLFRAIASLGRNMIVANTFGSFAVLTLLALGGFILSRKDIKGWWIWGYWISPLMYGQNALMANEFLGNSWHNATFDLGKNYLDTRGFFPHAYWYWIGVGGLVGFVFLFNAAFGVALAVLGPFDKPSATITEEDSEDDSSTVQEVELPRIESSGRRDSVTESSHGKKKGMVLPFEPHSITFDDIVYSVDMPAEMKEQGVTEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGDIKVSGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPSGVDSNTRKMFIDEVMDLVELNSLRNSLVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSTHLIKYFESIDGVSKIKDGYNPATWMLEVTTTAQELNLGVDFTDLYKNSDLYRRNKQLIQELSVPAPGSKDLHFPTQFSQSFLVQCQACLWKQRWSYWRNPPYTAVRFFFTTFIGLMFGTMFWDLGGKHSSRQDLLNAVGSMYTAVLFLGVQNSSSVQPVVAVERTVFYREKAAGMYSALPYAFSQILVELPYVFAQAVTYGAIVYAMIGFDWTAEKFLWYLFFMYFTLLYFTFYGMMAVAVTPNHHVASIVAAAFYAIWNLFSGFVVPRPSIPIWWRWYYWACPVAWTIYGLVASQFGDITTVMSTEGGKDVKTFLDDFFGIQHDFIGWCALVVGGIAVGFAFIFAVAIKSFNFQKR >RHN40910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24223728:24226609:1 gene:gene47155 transcript:rna47155 gene_biotype:protein_coding transcript_biotype:protein_coding MIHNGEELLYAGRICAYEADKILKDSDYVTLQVIERFTMLQVISVHDFLFVYFTINGFKSPQF >RHN77332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6062187:6068386:-1 gene:gene777 transcript:rna777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MSVRSEHHHTVPLSVLLKRELMSEKIEKPEIVHGQAGQSKKGEDFALLKSDCQRMVGDGVYTYSVYGLFDGHNGSAAAIYSKENLLNNVVSAIPPDLNRDEWLAALPRALVAGFVKTDKDFAEKGQKSGTTVTFVIIEGWVVTVASVGDSRCVLESSEGGIYYLSADHRLETNEEERVRITSSGGEVGRLNTGGGAEVGPLRCWPGGLCLSRSIGDMDIGEFVVPVPYVKQVKLSTAGGRLVICSDGVWDALPAEVALDCCRGMSAESAAPQIVKEALQAKGLRDDTTCVVVDILPQEKPPAPVPHQKKPVKGMLKAMFRKKSSESSSIDKEYMEPDVVVELYEEGSAMLSERLETKYPLCNMFKLFICAVCQVEIKPGEGISVHEGAENPQKVRPWDGPFLCFSCQEKKEAMEGKRSLDRLSSGSDE >RHN66516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13283151:13285650:-1 gene:gene14519 transcript:rna14519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MEVMVLLYFNHFQALDHVFRQPVSPLNSLRHLTIDVFPSPMSFHIVGLPNTLKSLTISNCESLEFLPNEYLQHYTSLEELKISYSCNSMISFTLGALPVLKSLFIEGCKNLKSILIAEDGPQKSLSFLSSIKIWDCNELKSFTLGRLHTPNLIHFVVWKCQKLQSLPEAMNTLTDLQEMEIDDLPNLQSFVIDDLPMSLRELTVGSVEGILWNTEPTWEHLTCLSVLRINGNAMVNTLMVQLLPASLVTLCTSSLNNTSLDGKWLQHLTSLQNLEIINAPKLKSLPKKGLPSSLSVLNMTRCPLLEAILRRKQGKEWSKIAHIPVIIIDDELIT >RHN44205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2409072:2411016:-1 gene:gene38295 transcript:rna38295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MHKLFFFNNLKNHIHTPKPASYIFNNHFTTQSKNLPNIDTITTIINNHPFPQKPLHPTLLHYFPPTTPIPSSLIQNILIRLFASHSNGLKALEFFNFTIKNTHTNPCASSLEITLHILTRMRYFDKAWSLLIQIANTYPGLLTLKAMNIMLAKIAKYKSFEETLDGFRRMEEEVFVGREFGSDEFNVLLKVFATQRQMKEARSVFVRFVDRFKADTKSMNILLLGFKETGDVTSVELFYHEMVKRGFKPDSVSFGIRIDAYCKKGRFGDALRLLEEMESRKFVVSVETITTLIHGAGLVQNPGKAWQLFNEIPLRNLVVDSGVYNALITTLVRNRDVVSALSLMDGMIEKQILPDGVTYHTIFLGLMKSRGIEGVSELYQKMTKRKFVPKTRTVVVLMKYFCHNSRLDLSLSLWNYLVEKGHCPHAHALDLLVTGLCSRGLVQEAFSCSKQTLERGRYISSTAFLMLERLLKQSRDVDKLKELDQMIKKLRSVLPPSRGHATGISDSKVIM >RHN41071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26688107:26692128:1 gene:gene47351 transcript:rna47351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEILKLVYVIITFISLFLIATKTEGVHFRCFRDSDCVKLYCRPPLKSKCMYKTNCKCIAVYTQEDYGLT >RHN54699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11400980:11403776:1 gene:gene29773 transcript:rna29773 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAVKDSLLRHSYDNIQYQLHDFFCILQEEGEPILYGPFLFLPKDTQELFLCCVEYFSWLDTPILDSLLCFCITLDIDPDMLFRIIEVLNSFNTATQMRIEDYVNFFITLVSKLNVYYGVVYIDLKNDAPQQTLKKLIDVICSNMTQKKGDNYLLVEKKTIKEILRKRSFHNAACLLRILVTMDSEPPSRLSGESIISLSYYVSEFLMQAVKRVREDEYEKYIHYDQIFPGFYFLEPCFSLFSQCPELLSNALKAMASTITYDALKTMTSGIGFYLVSEDDRKEMRNCLTRANVITSVIVLMSKDIRLKSMSVFKNEINYIIDEVHLLQSSQQVISFTDEERHAIQFILDQLRNISE >RHN73550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17587777:17589576:-1 gene:gene9374 transcript:rna9374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycylpeptide N-tetradecanoyltransferase MEEENNAESKPDLTEETSLETIVRSFQDSMSVTKQHKFWETQPVGQYKDVGDTTLPEGPIEPPKPLSEVKQEPYNLPNLYEWTTCDMGCQETCDEVYLLLKNNYVEDDENMFRFNYSKEFLTWALCVPGYFKTWHIGVRAKGSKKLVAFITGIPARIRVNDKVVRMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLEDIWQAAYTAGVVLPTPVATCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDSTVTEGFRKMELRDVPAVTRLLRGYLGQFVVAPDFDEDDVEHWLLPHEDVVDSYLVESPENHEITDFCSFYTLPSSILGNQSYSSLKIAYSYYNVVTKTPLAQLMNDALIVAKQKDFDVFNALDVMHNEGFLKELKFGPGDGQLHYYLYNYRVRNALKPSELGLVLL >RHN42291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37158416:37159052:1 gene:gene48715 transcript:rna48715 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSACRTMTEVLVDFLIITSFASSSWLLFLLFLSSSWSSSPSILGGSSKLDKIFFFFFFLADVVFPGYTNRFFLFILSSSFCGCSSSGSERYVLIEIRVKFVSDFSFFLVVIVFVFFFESILTPEQFILLNFVVEKLGKTFTSCRSRCTIQE >RHN72976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12299474:12300885:-1 gene:gene8745 transcript:rna8745 gene_biotype:protein_coding transcript_biotype:protein_coding MGINKIGNINIISNACSIRCVIICSCNLQGIIPRAASKQAPEIRPCSRSSGLGASPNLPSGSDPITLKYLDVSQRKVINNHNHNDSKK >RHN71499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:562672:563488:1 gene:gene7106 transcript:rna7106 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MLVGSCVGNNYRKTFFYFCNPATTKISNKLGFFEEGLKDTVPNFFNFAFGYDDSTDTYKVVAFRPGGTEVRVFNLGDNYFWRDIQNSPGHFTITHSRYKWEDISIGQIFIISLDLRTETYTELMLPSGLNEVPREAPILRVLKNCLCFSHDFEGTHLIIWQMIEYGVKESWTQLLKISYEVLQNIHHNLETGHYSYCLPLYLSDTLVFANDSEEHAILYNMRDNSVERTSITIPKSLWMEAKDYVESLVWYS >RHN56648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32556274:32556621:1 gene:gene32080 transcript:rna32080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MNIPRNYCHEHALEYLVKGVKLASRYDNSIKYDEFLKLIRDFKAGRIDIRGVQSRVRVLLQRHQGLLFGFKTFLIMPAGSYSFHGNSHDILIVVCPLPIYEEGSNYTDEILHCSI >RHN40925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24462651:24466277:1 gene:gene47171 transcript:rna47171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MTGKEILHKMKEKVLGPSDPDSGKGKSKMSKHVTHGFHLVKGKSVHAMEDYVVAEFKHVDDNELGLFAIFDGHAGHTVADYLRSNLFDNILKEPDFWTEPVDSVKRAYKETDSTILEKSGELGKGGSTAVTAILINCQKLVVANIGDSRAVLSENGVAIPLSVDHEPTTESNDIKNRGGFVSNFPGDVPRVDGQLAVSRAFGDKSLKIHMTSEPHVTVKMIDDGGEFVILASDGLWKVMSNQEAVDAIKDIKDARSAAKHLTEEALNRRSSDDISCIVVRFH >RHN82413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53528405:53528704:1 gene:gene6576 transcript:rna6576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein TIC214 MNIYPFRSNNKLLFLTSSFLGWLIGHIFLMKCIGLILLVWSKQKNSIKSKLTMRFDKYILLQLRNYVGQIFVVFSFVTVAHYLGRTPVPYLYTYTDEIL >RHN45164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12537610:12538238:1 gene:gene39382 transcript:rna39382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MLRQGNIGAAKLALQKNGFVVPMYSDSSKVAYVLQGLDLVYLSNYSDVIYPATFVLHFEEDQFSDSNLVGSKNRETLTLFNQWNTILQITN >RHN79331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27655284:27657246:-1 gene:gene3108 transcript:rna3108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Transposase-associated domain-containing protein MDQHIFHRSWMYDRKYPGKRKLKAAFVDGVCDFVAYAMAQDTFQLEGGIRCSCVKCTCRLIRSPKDVLNHLKDLGFMENYYVWIYHDEQEPTNNTESDVNMHASSSEARMECENFGVMEDMVGDALGVNLSYNEGGEEETIPNEKALKFFKMMQEVNKPLFEGSSDSKLSMSVRLLAAASDWSVAEEGSECYTDIMRDATPVKDNLPLSFYEAQKLVEK >RHN80323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37177112:37180355:1 gene:gene4233 transcript:rna4233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SEO-F1 MSLSNGTKLPNPFDLDESQILDKVYLTHLHDDDKCDKDVLFHILSNVILRTRLAESRAEFEPEFRTLKLISCQMITTPRGERYVHQTTMWILQQLKTYSWDAKALIALAAFTLEYGNLLYLTETSTSSDQLVNSLKILNQIQNRKVTVPATDLVELIMDVLLHIHEWATRSGVGYNTLDVPSLSDALQDIPVAVYWIIASTVAATGNIIGVSDYTLSDFKEKLNFVDSKLKEHLKLSKWQIDSVEEYLKRKKAISNPKDIIDFLKLLIQRNGDNLLIYDGTTKNKTDIEVFKDKYVLLFISSLNKVDDEILLLNSIHDRLQDNPQVIKGYKKEDFKILWIPIWDVDDQKIKFDSLKNKIRFYAVDYFSELPGIRLIREHLNYSDKPIVPVLSPLGEKMNDDAMDLIFQWGIDALPFRKQDGYDLTQKWKWFWDVTKRVNLGIQVKGDRYIFIYGGSDKKWIQDFTLALEKTKRHETILRADAIIEHYHLGKDDPKIVPRFWIEIESKRLKKHQDGIDCEIQDIVKSLLCLKQDPQGWVILTKGYNVKLLGHGEPMYQTLADFDIWKDRVLQKEGFDIAFKEYYDTKVKDTYVKQPCEIINVDNNINGNVIATISCPNPTCGRVMEVSSVNYKCCHRDDAAAPQNGKI >RHN68212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33713308:33714521:1 gene:gene16530 transcript:rna16530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MYLKFICLICKMASCFRSIKKRKRESTMGPNWLELPRDITSNILQRLGPVEILKKARNVCPYWWNICKDPLMWRTIHMSNNETSPDVDLVKICRYAVGQSCGHLEDIEIVSFCTDDLLHYIASCGSHLRHMQLTKCRNILHKQISEVAIKFPLLEELDISFSNLCKDSLEVIGRSCPLLKSLKFSRMFSKDIELNDDAFAIAKTMPKLRHLSMFGNLLTNVGLHAILDGCPLLESLVLRDCNHLDLSGSFGKRCRDQIKDFVLPTF >RHN48213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45810792:45820465:-1 gene:gene42903 transcript:rna42903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-S seed storage protein, plant MSNAQHPTCFNVSIHHHSHFPYKLPVLIEVLLITNNSPPISVIMAKLLALSLSLCFLLFSGCFAIREHQPHQKQQPQQNECQLEQLNALEPDNRIESEGGIIETWNPNNRQFRCAGVALSRCTLQRNSLRRPFYSNAPQEIFIQQGSGYFGMVFPGCPETFEEPQESEQRESRRIRESVQGESRRIRESEQGEGRRFRDSHQKVNRFREGDLIAVPTGTVFWMYNDQDTPVIAVSLIDTGSFQNQLDEMPRRFYLAGNQEQEFLQYQQQQVRGRGEQRRGREQQENEGGNIFSGFKRDFLEDALNVNRHIVEKLQGRNEDQEKGAIVKVEGGLSIMSPPERQQRHPSRQDEEDEDEEDEWRPHHQKSRRERERHNGLAETICTARLHQNMVSSSSPDIYNPQAGRIKTITSFDLPALRWLRLSAEHGTLHRNAMFVPHYNLNANSIILALNGRARLQVVNCNGNTVFDEELEAGRALIVPQNFAVAAKSVSDRFTYVSFKTNDNAAIARLAGTQSTLSGMPVDVLAATFNMDRNEARQLKNNNLFKFLVPPRESERRAAA >RHN45225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13227293:13227577:-1 gene:gene39459 transcript:rna39459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MLICIWLIFLQPFPKNVSEKLIMNDHSSLTLVDEETETLYECAIVTDPRDENLRYIGDGWFEYIASKKFAVGSSLIFIYTIESRMLYVTLIERT >RHN60145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21726672:21727293:1 gene:gene22383 transcript:rna22383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MMQYPPTNWMLVNVHHTQLLMFKLPASNLQYVTSITFLLTTYSKYMAATKHTFNCGGVFVTPNTLRTVDNILGENPLRMSYMVGYGPYFPKRIHHRGSSLPSLSVHPQTIGCDGGFNPFFHSMNQNPNILVGAIVGGPNQNDGFPDDRGDYSQSLRTSNLHQWWDCWTFSVLCR >RHN77042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3478585:3484668:1 gene:gene449 transcript:rna449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MITWNLFQARITSTHAILLSFLLHFLAKEAVVSENLVEYSTCDMGYLNSVLSPSSQVHAADDTPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGINGEVVGLFGVFDGHGGARAAEYVKQNLFSNLISHPKFISDTKSAIADAYTHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKVDSSLEFLILASDGLWDVVSNEEAVAMIKPIEDAEEAAKRLMKEAYQRGSSDNITCVVVRFLMNNQGSSSRNSSG >RHN41895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33943904:33944954:1 gene:gene48259 transcript:rna48259 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKYHVRSISLPSRSHPSTIRVEEELNKLKTWEGTSTSTSGSIHIGLSLLEDLYMSMEDLLNMASTQQVISHHQGEKCVEELLDSSMRILDICGITRDTILQTKENVQALHSSLRRRKGDSSVERSVAEYKFFTKKMKKNVNKLITSLKHMNSKFGMSSILELDHHFSCLIRVFREVIVMNLSVFQFILSFLNVSSSKSKTAKWKFVAKLMHKGVITCEDNSYNVNEFLCVEATLSTLLSEGTNGENLQASHERLEALENAIESIENDLENLFRRLIKSRASLLNIISQ >RHN73607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18122944:18123896:-1 gene:gene9438 transcript:rna9438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSNNSFIQSTLDKILLSSLVLYILNILQLDRILHSCLVLYTKYSSTCFSKLNFFSQFLNKMVDVLSPFTIPSPPPPPSLSNKNNSIPMLYYGLVVMGIAAIVLAIYNIIIIKRCNRRHNQSQPTRPNLMVIEGFERSFENQQRNLLSSFKYKKEVVAKEQEHKGYDYECYVCLSVYEEGEDVRKLPKCKHCFHALCIDMWLYSHFDCPICRTPVGPLCHNFQEENYRDGVIESGGSISV >RHN75518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42938036:42938498:-1 gene:gene11739 transcript:rna11739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L14P MIQPQTYLNVADNSGARELMCIRIIGASNRRYAYIGDIVVAVIKKAVPNSSLERSEVIRAVIVRTCKELKRSNGIIIKYDDNAAVLIDKEGNPKGTRIFSAIARELRQVHEGGHHLPLKPQQQMLFEQ >RHN64412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58852626:58853321:-1 gene:gene27228 transcript:rna27228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MLENEENNGNENGMQVGGRIVEYEGLTYVTVRGAGHLVPLNKPTEALSLIHSFLTGDHLPTTTNTPP >RHN81123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43477305:43479603:1 gene:gene5122 transcript:rna5122 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRWPTCLFFMAKTYNREVVPDASHLWFLGYSCKGCLRHVKKFLCCCKGCQSKTIVHGQKILTRTVRLVLLKNHKGADQQTNSRDHTKSSPDLDTKDGNFFFSALI >RHN39342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6222586:6223527:-1 gene:gene45352 transcript:rna45352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MQELVNLEAIDLSECKQLRHLPDLSGALKLKQLRLSGCEELCELRPSAFSKDTLHTLLLDRCIKLESLMGEKHLTSLKYFSVKGCKNLKEFSLSSDSIKGLDLSKTGIEILHPSIGDMNNLRLLNLEDLNLTNLPIELSHLRSLTELRVSTCSVVTKSMLETLFDGLGSLTLLHLKVRKSDCFRHWEFRQGLGIRVVPRNSI >RHN73195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14251107:14253811:1 gene:gene8983 transcript:rna8983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translationally controlled tumor protein MLVYQDLLTGDELLSDSYPYKEIENGMLWEVEGKWVVKGVVEVDIGANASAEGGEDEGVDDSAVKVVDIVDVFRLQEQPSFDKKQFLGFVKRYIKLLTPKLDAEKQELFKKHIEGATKYLLGKLKDLQFFVGESMHDDGSLVFAYYKDGAADPTFLYFAYALKEIKC >RHN45606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23639080:23639712:1 gene:gene39983 transcript:rna39983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MHDTKVLSFELNGMEIKTTITDQKKVIDEHISSFLRPTDNHGTKVIGFDTEWRKIIDKDQDGTNSRTKPGTIQLCDGHSCLIIELHYCFRDYNLPLSLLNFLRQPNYTFVGCGIKDNFINLEKHHGIGSRNAVELGPLAAKLMKMPRLSYCGVDELAFVVNKLDLRKYRPSDLDFDWQFICSDEELARLATVNVYSYHKIGSTLLESNMY >RHN75457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42451592:42452070:1 gene:gene11671 transcript:rna11671 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSARPFSVTRSARPFSVTSSAQPFSMTNSARPFSVTSSARPFISARPFNVSSSARPFNVTNSARPFSVLISARPFGVLSSARPFSMPCSTLVFRPTRAPLPHVTIQRKVVVLIRSVSWCVTRPARTSDVSCTCCHVRMRYTEKE >RHN67515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27863291:27863680:-1 gene:gene15711 transcript:rna15711 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHKAYTILMSLELGSIGIMYQGSNNNPFQQSSPICFLFFTSVFCHAVATMADMSLPTTMIIFHFSGVIGCETLLWILLPKFWNWYIINLFLLMVISLCFFNCILNIPKLFRSNDAHPSNLEQQEAQV >RHN53528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2291365:2295124:1 gene:gene28444 transcript:rna28444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MASQDSAGKTNDQSKVSAISGEGAKPPNHAFLTICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNRHNVKYNGTVQGLKYIWKTEGFRGMFKGNGTNCARIIPNSAVKFFSYEQASNGILSLYRLQTGNEEAHLTPLLRLGAGACAGIIAMSATYPMDLVRGRLTVQTEASPHQYRGIFNALSTVFREEGARALYKGWLPSVIGVIPYVGLNFSVYESLKDWLIQTKPLGIAQDSELSVTTRLACGAAAGTIGQTVAYPLDVIRRRMQMGGWKGAASVVTGDGKGNLEYTGMVDAFRKTVKYEGFGALYKGLVPNSVKVVPSIAIAFVTYEMVKDVLGVEMRISD >RHN45251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13684340:13684768:1 gene:gene39489 transcript:rna39489 gene_biotype:protein_coding transcript_biotype:protein_coding MNELKGEKSKEEYLAFTDLLSSLHRTSLKSPQTHNFRPLKSCKRRDNESHNNFLAAPSQGA >RHN41070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26673621:26679663:-1 gene:gene47350 transcript:rna47350 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYRCHAWTWGRIRKRTFERSCIIHNFSKMIVTLITCLG >RHN62288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42338803:42339047:-1 gene:gene24846 transcript:rna24846 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCEKKLTNMARLTKIDKDFNYISRLWRNLYNIFPLETQKTSFTTRNRK >RHN82395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53400266:53401372:1 gene:gene6558 transcript:rna6558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MIAIGVAVLMLLAYCIKRKVFPPAFFLFRKESSTHQIIEEFLKEHGPLPAARYNYSDVKKITNSFRNKLGQGGYGSVYKGKLNDERIVAVKVLSEPKGDGEDFINEVASISRTSHVNVVRLLGFCLDGSKKALIYEFMPNGSLEKFIYEEKNPLKDDRQLDCKTLYDIAVGVARGLEYLHRGCNTRILHFDIKPHNILLDDNFCPKISDFGLAKICLRKESIVSIFGARGTPGYIAPELFSRNFGGVSHKSDVYSYGMMVLEMVGRRKNIKVEVDCSSELYFPHWIYKRLELNQDLGLKSIKNENDEEMVRKMSVVSLWCIQTDPSHRPAMHKVVEMLEGSLQMLEIPPKPFLSSPSTSPIHLSSEIL >RHN47691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41642478:41648265:1 gene:gene42317 transcript:rna42317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative R3H domain, SUZ domain-containing protein MDSSLVSNNDVASGFVKESMVDPFLVEALQNPRHRLTILRMELDIQKFMNNADQQHFEFQHFPSSYLRLAAHRVAQHYGMQTMVQDIGLDGQSKILVRKLPESKYPVVRLSEIPAKQLDNEKSELKKIVIQSRPHKTSLNGANDSTKKGNPLRSVEERKEEYDRARARIFNGSTSFDSVDTSSMVPTDGKNSFMSKDESEISKIPMADSERFFSVRDNSYPRVAIIRDREKDRSDPDYDRSYGRYARSLSASAVNLVPFNLPKAQPSFAPYETTFNQLGQMPQAQASLGYGPPSTPMMSPFCTPGLNPASGDGAYLQWPSPTMMYAHSYDQFRHAVYQSPFGQPLSFDYSQNY >RHN68693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37872081:37876796:-1 gene:gene17077 transcript:rna17077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactinol--sucrose galactosyltransferase MTISVVPKVKDECLMVRGNVILTHVPQNIVVSPVSSGSAFLGATSPIPSSRHVFTLGTLRGYRLLSLFRVKIWWMIPRVGKSASDVPMETQLLLVEAREESALETEFSSDSEETEEENTSYILFLPVLDGAFRATLQGTALNELQFCVESGDAYVQTSQSLEAVFVNSGDNPFELIKDSIKILEKHKGTFCHLENKEIPVHLDLFGWCTWDAFYTQVNPQGIKEGIQSLSSGGFTPKFIIVDDGWQETHNEFFKEGEPIVEGTQFATRLIDIKENVKFRSAGSNNSCNNLHDFVHSIKQNLSVKYVYMWHALAGYWGGVLPSSNAMKKYNPKLVYPIQSASTTGNLRDIAMDSLEKYGVGMIDPSKFYDFYNDYHSYLASCGVDGVKVDVQNLIETLGSGYGGRVSLTKRHQEALETSIARNFEHNNLICCMCHNSDSIYSSKKSAAARASEDFMPREPTFQTLHVASVAFNSLLLGEIFVPDWDMFQSKHKTAEFHAAARAIGGCAVYVSDKPGNHDFDILKKLVLPNGSVLRALYAGRPTRDCLFQDPVMDGKSLLKIWNLNKLTGVIGVFNCQGAGSWPMKPSEATPPTHLTISGKVKPLDVEFLEEVAGENWNGDCILYAFNAGLLSKLPSKGKLEVSLETLQCEVYTVSPIRVFGHDVQFAPIGLLDMYNSGGAVEELSCIRDAANCTIVNIKGRGCGRFGAYSNVRPKRCLVDMKEEEFSYNSEDGLVIIKLDGEGNSRDIEFVF >RHN44296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3304232:3305063:1 gene:gene38397 transcript:rna38397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isochorismate synthase MVRKLLRIQHLFAQLTGRLRSEEDEFEILSSLHPSPAVCGFPTEEAQLLIAETEVFDRGMYAGPVGWFGGGESDFAVGIRSALVEQELGALIYAGTGIDEGSNPYLEWDELELKTSKECLSVNAYIHRKRLLASLLFYFISDSVAK >RHN61193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33762679:33766399:1 gene:gene23631 transcript:rna23631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MPSPSFHKLVLPSTLQAKQLRLPDDFMRKYGGDISPTVTLTVPDGSVWRVIMKKVDNKFWFLDGWNEFVQNYSISTGYLLVFKYEGKSHFTVNIFSLPTSEINYQSPAQRSNEASLFGKRLTIFEEMEDEDSVEIMESSPTKLTPSLLQNKAVSGSADKLTPGKSRPPPALQNLFNGSKLNSINWGEGGNTPSRNDNSVDNQLTRDIGLQFNVVEFKKSNEELKLRAATDEKVKKTAVKKRKSDVQEPPSEHEDEVEMRNRFYESASARKRTATAEEREKAINAAKTFEPSNPFCRVVLRPSYLYRGCIMYLPSCFAEKNLNGVSGIIKLQISDGRQWPVRCLYRGGRAKLSQGWFEFSLENNLGEGDVCVFELVATKEVVLQVTVFRITEDEGPLSPQPLQQNQHVNPVKLVNVPFQHHLASTKLIRN >RHN51721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23412072:23412269:-1 gene:gene36226 transcript:rna36226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzyl alcohol O-benzoyltransferase MFIEADADVTLEEFGNEFLPPFPCFDELLYNVPGSDGVTDCPLLLIQVPSLIIFIYTLFLKEGII >RHN78075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11689051:11689422:1 gene:gene1590 transcript:rna1590 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDTSCESMMRNKGKHQKGVRNLFKDNNFRDWFHKGMKQNRFRSHKQETETKQNTKRLMSETETATQNGISNSLKEIYQFWLKLGFQYHFNLVDIVEKNINITILEDFDVNDFFFQTSEASR >RHN45941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27041465:27047107:-1 gene:gene40371 transcript:rna40371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MDSRNSWTIFFLLHSFLFVAFSGQDGFLSLSCGGTTSFNDSSNISWFSDTPYITTGKTTTINYNDGSLSTNVSARFFPHSKRRACYRIPMSNATSLILVRAKFVYKNYDGLGKPPIFYVSLGTAIAAKINLARKDPWIEEFLWEVNKDTLACCLNSIPSGGSPIISLLEIRPLPKGSYIKGKENFPNKLLRMSYRVDCGHINESIRYPMDPYDRIWNSDRSFIPFHATSGFKIKNSFNQSNIFEKPPAPVLQTGRVLARRNIMAYNLPLEGLGDYYIILYFAGILPVFPSFDVFINGDLVKSNYTIKRSEISALYVTKKRISSLNITLRSINFYPQINAFEVYNMVDIPPEASSTTVSAMQVIQQSTGLDLGWQDDPCSPFPWDHIHCEGNLVISLALSDINLRSISPTFGDLLDLKTLDLHNTSLAGEIQNLGSLQSLAKLNLSFNQLTSFGEELENLISLQILDLRDNSLRGVVPDNLGELEDLHLLNLENNKLQGPLPQSLNKDTIEIRTSGNLCLTFSTTTCDDASSNPPIVEPQLIIIPKKKNHGQNHLPIILGTIGGATFTIFLICISVYIYNSKIRYRASHTTREETDMRNWGAEKVFTYKEIKVATSNFKEIIGRGGFGSVYLGKLPNGKSVAVKVRFDKSQLGVDSFINEIHLLSKIRHQNLVSLEGFCHEAKHQILVYEYLPGGSLADHLYGANSHKTPLSWIRRLKIAVDAAKGLDYLHNGSEPRIIHRDVKCSNILLDMDLNAKVCDFGLSKQVTKADATHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLIHSGTPDSFNLVLWVT >RHN64332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58248444:58249511:-1 gene:gene27140 transcript:rna27140 gene_biotype:protein_coding transcript_biotype:protein_coding MFHCCRRSSLLMMGFICPKATGQGKNGTNVCCPRDPKSKSNITTDEKKTTPGISVVCGEAFPTKVFFKEEECSLPSVFPTSGASRKRFSFATLMLLPLLPCLLVVVVAMFSLFSWFWLVAVLDRI >RHN68997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40171709:40173925:1 gene:gene17423 transcript:rna17423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNVKKYDVMMSSVPQTASSPPTLPHMYSEELQLKLYQAFIFSIPILFSIILFLLFYLFYLKRRASSFSPHLLPRINIPPTTYRYYSSSSPRRLDLTVQFLDKLPRVLFDEDLQARDSLCCVCLGEFEVKEELLQIPYCKHVFHIDCIHHWLQSNSTCPLCRCSIIPTITKFLNPAPPINIIISDPPHQDAINLDSPLPNSSLPDQAGASSNIMSRE >RHN71059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56168924:56173429:-1 gene:gene19702 transcript:rna19702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MEDENSYGGSSGGSNLETSKAERSVWLMKCPVAVAKSWQNHPPSQPLSKVVFSIDPLLPEDDPAHLQFTMEMSGTEAVNMPKTYSLNMFKDFVPMCIFSETSEGDKVAMEGKVEHKFDMKPRHENMDDYGKLCRERTKKSMIKNRQVQIIADDRGTHMRPMPGMVGLVSSNFKDKKRTQPVKQTDTKRTRRDRGELEDIMFKLFERQPNWALKQLVQETDQPAQFLKEILNELCVYNKRGANQGTYELKPEYKKSVEDANAE >RHN49971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2785526:2786965:-1 gene:gene34215 transcript:rna34215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MYEQFCPSDLHKFVEKHMNKFTDLMSPYVEITFYESSGERLKQSETYTIIQTYLGANSSQRAKRLKAEVVEDSQSPLVLSMDDKEEIEDEFNGVKVWWSSNSKAPTRKASSGRPNFDVVRYLTLTFHKRHRDLITSSYIQHVLEQGKAIIFKNRRLKLYTNNSGCWWMSGWSHTNFAHPARFETLAMEPEKKEEIINDLVKFKKGKEYYAKVGKAWKRGYLLYGPPGTGKSTMISAIANFMNYDVYDLELTTVKDNNELKRLLIETSSKSVIVIEDIDCSLELTGQRKKKKEKDRNDKNEKKDKTDKKSEEEEEEDDDDDDDDEEEEKRKSKVTLSGLLNSIDGIWSSCGGERIIIFTTNFVDKLDPALIRRGRMDKHIEMSYCSYQAFKVLAKNYLDVESHGDLFPIIEKLLGETNMSPADVAENLMPKSTTEDVEACLKNLIQYLEIAKEKEEEEAKKKGEKAQLVAGKDKQELALD >RHN80290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36895403:36899742:-1 gene:gene4196 transcript:rna4196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative electron transfer flavoprotein, beta subunit MKIMVTVKRVIDYAVKIRVKPDKTGVVTQNVKMSMNPFCEIALEEALRIRESGLASEVVAVSMGPSQCVDTLRTGLAMGADRGIHVEANDSMYPLFVAKILKKLVEIEKPGLLILGKQAIDDDCNQTGQMVAGLLNWPQGTFASKVVLDKEKQVATVDREVDDGIETLCLNLPAVITTDLRLNQPRYATLPNIMKAKSKPIKKFTPKELNVDIKPDLEIVEVTEPPKRKSGVLVSSVDELIEKLKHEANVI >RHN76712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:631172:638371:1 gene:gene81 transcript:rna81 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MPYCDVGTQTSSPATSSSAVAPADAHLNNDVKIFYRTYGRGPTKVLLIIGLASTHEGWGPQIKGFTGTNVPNDEDDAVWSCEGDNEAGGGIQVCAFDNRGVGRSSVPIRKSDYSTKIMAKDAITLLDHLGWKKAHVFGHSMGSMIACKLAAMVPDRVLSMALLNATGGGFQCFPKFDRRTISVAYRFLKAKSPEQRAEVDLDTHYSQEYLEEYVGTVKRRTILYQQYVKGISSSGMQSNYGFDGQLSACWNHKMTQKEIEAIKSAGFLVSVIHGRADIIAQLYYARRLAERFHPMARLVELHGGHLVSHERPEEVNQALFDLIKASEVKMIPHDWTNLPNTQSWWKERRMLLVKNNQSGNNISTEYIIEKLHFCILYFLSLLILVFEFGRKLVRSLKPVRVGSSTAYIDSQ >RHN75903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46042581:46043234:-1 gene:gene12162 transcript:rna12162 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCRNEVLVFCVFEVGLVSNLEPLDPFKMNERMRNYEAELKRKREEKLNEFEIEESLVAWW >RHN39826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10867931:10869074:-1 gene:gene45900 transcript:rna45900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSSSTPFSPPPQIPYLTNLGFGYSIAIALGFLFLLSTLILSSYLCCRTFRNRNNHLNGNNQLNHNHDGVVLPRVIFVAEDEEDREDVVLGLDQNVINSYPRLQYCKDLGHDSTCSICLCEYKDSEMLRMMPECRHYFHLCCLDSWLKLNGSCPVCRNSPMPTPLSTPLQEVVPLSHYIGERRTRRRRCNVANVFSGFENVGCY >RHN59438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10742571:10746745:-1 gene:gene21468 transcript:rna21468 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLNQEPLDHQTSTSAGSFDSMLEELESAEENIRNRIIHLEAITSRARQRRGLPPSSSRIQVTNVIGETATAGDVQGEERREERNDAGQEEGIVESVKGGKRKSSHLIAKALGMEEIDNGKLSYAYSKAKECPVCKGEVTESGIIPIYGHGNGGGDCQMEMKEAGLRVPPRPKAPRVESIRQKLLTQGASSSSIVQSIRRFQNRIGGFGEQVQLESPTSTTPDRNNGLPVQSRTQTDNNEHGGSQQVSRLLEQGASSFSSLSSALNSAMDSAERLVQDLESYINGHNTGGSREQNPPAVTRNSTLGVAATNQSESRARDVDLTNSVAAAASVSPLSRNVDNVDNVAVTGSQIHTTDRNVQVSSLDPSSSRSRRGNRASRQVSNEPRRRRRLR >RHN71088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56427170:56428756:-1 gene:gene19731 transcript:rna19731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MHEQKGVLGFLESREKFPFAKQGIVPDIVINPHAFPSRQTPGQLLEAALGKGIACVGSLRNATPFSHSSVEAITDQLHRAGFSSWGKERVYNRRTGEMVCSLIFMGPTFYQRLHHMSEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGASANLYERLFTLSDSSQIHICSKCKNVANVILRPVSGGRKIPGPYCRICESADDIVVASVPYGAKLLSQELFSMGINLKFETELC >RHN78186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12547983:12548645:-1 gene:gene1715 transcript:rna1715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MRLISKSFIFSLSILVMLSSTTYSQLRSPKVIQSTTYLSKEFEVGPGEVAAKQMFDIEFPKGHVGIKSFDVDLVDEHGNFIPLYETYIHHWYILKYIIKKNTSVSHDPNDHTKPYGEPIFKRNDGTCNNGILPHQWGSGGETRGTITKLPYPFAVGIGNPANITEGWEEKWLLGLLLIDTRGAKNKKICIQCRCDQFNLPEIFYNVTFGFHGKVTPEYKA >RHN43515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46448665:46452503:1 gene:gene50108 transcript:rna50108 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSFPSHHSPSPILSVTSSPSSTLPAPSAPEAHLFFSMCEIDERDMTL >RHN42759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40712859:40719553:1 gene:gene49244 transcript:rna49244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MGNCCYKWDSSDYRVSSNAKSEQNQVAMKERHDDSKLPSNPEEVEDLRRDNSAANPLIAFTYDELKKITGNFRPDRVLGGGGFGSVYKGFISEELRDDLHSLPVAVKVHDGDNSHQGHREWLAEVIFLGQLSHPNLVKLIGYCCENEHRVLIYEYMARGSVEHNLFSKILLPLPWSIRMKIAYGAAKGLAFLHEAKKPVIYRDFKTSNILLDLDYNAKLSDFGLAKDGPVGDMSHVSTRIMGTYGYAAPEYIMTGHLTPRSDVYSFGVVLLELLTGRKSLDKQRPAREQNLIDWALPLLKEKKKVLNIIDPRLEGDYPVKGAHKAAMLAYHCLNKNPKARPLMRDIVDSLEPLTTYTEVPIGKTFTIITEVAETDVKRKDAE >RHN74359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32187376:32194623:-1 gene:gene10406 transcript:rna10406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 25S rRNA (adenine(645)-N(1))-methyltransferase MTNKKRKRQGKNDSLNKIQKPASNAKPSSFLEKMRARLSGGHFRMINEKLYTCTGKEALNYFREDSSLFNLYHAGYKTQMSNWPEQPVNVIIKWLKKQSPSFIVADFGCGEARIAKSVKNTVFSLDLVSSDPDVIACDMANTPLGSASADVAVFCLSLMGTNYQTYLEEACRVLKPGGWLLIAEVKSRFDPNTGGADPEKFSNAISELGFNSVKRDFSNKMFILFYFTKKEKQNSKRKEIEWPSLKPCLYKRR >RHN55715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21609561:21613773:1 gene:gene30942 transcript:rna30942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MDSEMVNKLGSSLLVPSVQELVKQPITKVPERYLQQNQDPSLVVSSTKSLPQVPVIDLSKLLSEEDETELQKLDHACKEWGFFQLINHGVNPLLVENFKKLVQDFFNLPVEEKKILSQKPGNIEGFGQLFVVSEDHKLEWADLFHIITHPSYMRNPQLFPSIPQPFRESLEMYSLVLKKLCVMIIEFMSKALKIQKNELLEFFEEGGQSMRMNYYPPCPQPDKVIGLNPHSDGTALTILLQLNEIEGLQIKKDGMWIPIKPLTNAFVINIGDMLEIMTNGIYRSIEHRATINSEKERISIATFHSARLNAILAPVPSLITPKTPAVFNDISVEDFFKGYFSRQLEGKMYIDDMRMKKE >RHN64932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63095454:63095809:-1 gene:gene27813 transcript:rna27813 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKQCWYFLVSDDLVQMLYLFIEFRAQYRVDITSGRIGLGLLSFLSSDAVDSDRVGKKLSSTFYSPKR >RHN71204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57196728:57204518:-1 gene:gene19857 transcript:rna19857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MEEVAEIVKKQSSLAISTPPVQVQVNHQIHSPNSISFPKSPYNSRFMNTPLATPLKKVIENILEEVGHFTKFDQQDDWLPITACRKGNAYYAAFHLLCSGIGFQALVLPLAFTTLGWTWGILCLCVAFTWQLYTLWLLIQLHESDTGIRHSRYLKLAMAAFGEKLGKILVLFPVQYLSGGTCVTLIMIGGGTMKILFQMVCEDSSCSLYQLKTIEWYLVFTVAAILLAQLPNLNSIAGVSLIGAITAVGYCSLIWIVSVYQGRLDNVSYEPPRRQSQATRIFSVWNALGIIAFAFRGHNLVLEIQGTIRSDSKNPSRLAMWKGVMFAYLVIASCLFPLAIGGYWAYGNLMPSNGGMLSALKKYHEHDTSKFIIALTSLLVVINGLSSFQIYAMPIFDNLEFRYTSKWNKPCPRWLRIVFRSLFGCLTFFISIALPFLPSLAGLIGGVALPITLAYPCFMWILIKKPKKHSTTWYLNWTLGAVGMILSVLIVIGAIWGIVALGIQIHFFNP >RHN82795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56470573:56474243:-1 gene:gene6983 transcript:rna6983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MSGAESADSDADNDKKHVVLYCNIVLAIYWDLTQLIIQSINLCYDEMTVTTSIRIPGSSGYLDIHPERKVSVFKNPYILCLTSVASIGGLLFGYDTGVISGALLYIKDDFQAVRYSHFLQETIVSMAVAGAIVGAAVGGWMNDRYGRKKATIIADVIFILGAIVMAAAPDPYILILGRVLVGLGVGIASVTAPVYIAELSPSEIRGGLVATNVLMITGGQFISYLVNLSFTQVPGTWRWMLGVSGVPAVIQFFLMLFLPESPRWLYINNRENEAIIVLGKIYDFDRLEDEVALLTAQSEQDRQKRADVRYRHVFKSKEIRLAFLAGAGLQAFQQFTGINTVMYYSPTIVQMAGFHSNELALQLSLIVAGLNAAGTVLGIYLIDHAGRKKLALYSLGGVIASLIILSVSFFNQSSSTTTNQNDLYGWLAVVGLALYIAFFSPGMGPVPWAVNSEVYPQEYRGMCGGMSATVNWISNLIVAQSFLSIAEAAGTGPTFLLLAIIAVIAFLFVVFLVPETKGLTFDEVELLWRERAWGKNPRTKTLLERGNQSY >RHN79392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28339808:28340101:-1 gene:gene3178 transcript:rna3178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MHSEMYFATTMLILFLTYIAISLLSLILRTKKQKYNLPPGPSPLTIIRNVFELGKNPQYSLAKFSKIYGPIMHLKLGQISTIVISSPDIAQEVLHIR >RHN58099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43748911:43751740:-1 gene:gene33707 transcript:rna33707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S26e MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVYDQYTLPKLYVKMQYCVSCAIHSHVVRVRSRTDRRKRDPPQRFIRRRDDAPRPGQPGQAPRPAVAGGAPVRT >RHN53003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41034782:41035441:1 gene:gene37746 transcript:rna37746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MVDGNASLLQSFASSSEEKKRGKKQDNGNKNNNKKSDNPNHPLYRGVRMRTWGKWVSEIREPRKKSRIWLGTYATAEMAARAHDVAALTLKGSSAILNFPELSASLPQPTSNSPRDIQAAAAKAASMVAPPPSPMPTSSSSSTPYDLGEIVELPQLETYFESPVPNNEFVFLDPVDYSQSWYHSIYGDEEENGYGSGCNLNMISMHDPENTFLNVTYPF >RHN69010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40280184:40281272:1 gene:gene17436 transcript:rna17436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFDCPFLTRAEAIETNKVTPYFADSLGSLKGLTCHDLSFSCISDFLLCSLALEALPLRELILQDCFNYTYHGISYLLSKCRFLQLLDLQDAKFLNDQRINELSVYLADLVSINVSGCYQLTYSSFFALLRNFHLLAEIRMESTNIGNGSKPSVDLDSVVYHQVKSLHLAKNLLFKRCLNIKHLNFAFCSWANLFSINHEASKLEVLNLSHSRIDNRALYAISKICPRLLQLDLEHCYYVTEKGVRQVVENCIHLREINLRSCRKVSTNVVSWMIFSRPSLKKVAAPPHFRPRDNDRKPLFRRCLVC >RHN43454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46001210:46004450:-1 gene:gene50034 transcript:rna50034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative KAT8 regulatory NSL complex subunit 3/Testis-expressed sequence 30 protein MDSSPAAKRRRQSKDNEIESSTPLKQPSPVVIFAHGAGAPSSSDWMLRWKSMLKETLHAADVVTFDYPYMSGGKKRAPPKAEKLVEFHSNIVKETATKYPGHPLILAGKSMGSRVGCMVASMEDINVSAVLCLGYPLKGINGAVRDDTLLQLTVPTMFVQGSKDGLCPLEKLETTRKKMKALNELHVIDGGDHSFKIGKKHLQANGSTQDEAEVAAVKAIAAFISKSLEG >RHN70511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51902743:51905789:1 gene:gene19095 transcript:rna19095 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFTLCCLFFILSFLLGLGASTSATQIKITDNPADKLVAAINENRTAHKDSSLFDNPGLACLALQYIKAYQGDCGAVGGSDAKKPPESQFAEAFAPNCGVKASTLARITGRFLGCQTKYVHAPEAFSEILIRNEKSLDILYSKNHTQVGAAVTGTDGGSPYFWCVLFSNGKPNSTFAFEGGVAKDTKPGCYSGANDVCSGAHVWSPVSLMWLFVASVSIAMGFAFPL >RHN59172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8169176:8169647:-1 gene:gene21165 transcript:rna21165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAT dimerization domain, ribonuclease H-like domain-containing protein MLLKHKSTTSGLIDVIEKYARNNHELRAKLNTETSIFRNSEGDFGRKSAVEARNSPFPDEWWELYGCQAPHLQKLAIRVLSQTCSSSGCERNWSVFEHIH >RHN77699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8807794:8808003:-1 gene:gene1181 transcript:rna1181 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRSLKGNLGWSDEYLGWDDEDECYGTMKMKRNKVEWKEEQREKGEDEKQRCNLGFNFVFMIFFLFSF >RHN76246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48837075:48837971:1 gene:gene12539 transcript:rna12539 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRSKSCKDEKLQIEGKVTPTSMQELRCYSANYVYNPNLTCNKEVKMKKLGKNTSKSWSFMNDPELQRKKRIAGYKMYGAEGKMKGSLRKSLRWIKNTYTQAIYGRW >RHN50441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7168280:7170502:-1 gene:gene34724 transcript:rna34724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MRMSCNGCRVLRKGCSEDCSIRPCLEWIKCPQSQANATLFLAKFYGRAGLINLINSGSENLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGSWHLCQAAVEAVLKGEPITPIDSEADENERGPPFKAYDIRHVSKDENLEETKQVRTRSRFRRAMKAELRKV >RHN50002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3085607:3086629:-1 gene:gene34248 transcript:rna34248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c oxidase-like subunit III MQLRVEGIQFTQKYLMNTQKEAINVYPSQLLLSNMHHPNKMVQSMLPFLCSLNLTISCGTTIYRAYNKNDIPMIIFVIFVYLGSILLDYWTNLYHKLSLPDQYSSKGRNIKIGIWVLLSSIMLGFACEFSTFMSFNESLCIFGIMILGNSLVFYVYFIWEGCKSCCSKGCSIHYSCCIGKNAQYNEYESLLGLKSLDIV >RHN61914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39323795:39325602:1 gene:gene24427 transcript:rna24427 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISKAPTDSTEESGWTTYFDYFFNNSNNDDDDDDTNNNHKCSSVSSSTSSSLLSDATSLVNKNVLSHNQHVGIAKEFSLDRNGKTGSSFKKRKNTVDRSLEDTATSHLNCLKEKGNTSGQKELSLNGKDSDCTELKKIGVCVVPL >RHN38718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1489771:1489974:1 gene:gene44682 transcript:rna44682 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPPLIITEKDLEQAAEILCHNLPVGNNILAKVVSCFLNNNAWQIIVYLFTLFGYNSVRLTCYLRG >RHN50515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7816162:7817642:-1 gene:gene34810 transcript:rna34810 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLKSKRFCRSISKLGIGNKVVASPNIVEDCSEIKWELRPGGMLVQKRESKKSEEIITIRVSTLSKWHDISIEATSTFGELKMVLSLVTSLEPREQRLLYKGKERDDNEFLHMIGVRDKDKVLLLEDPAIKEMKLLGLARGQHIRNPCPTICV >RHN73571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17746775:17755647:1 gene:gene9396 transcript:rna9396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MTQPSLSVSSSFTTYDVFISFRGIDTRNNFTRDLYDILDQNGIHTFFDEQEIQKGEEITPSLLQAIQQSRIFIVVFSNNYASSTFCLNELVMILECSNTHGRLFLPVFYDVDPSQVRHQSGAYGDALKKHEKRFSDDKVQKWRDALCQAANVSGWDFQHGSQSEYKFIGNIVEEVTKKINRTTLHVADNPVALEYPMLEVASLLGSGPEKGTNMVGIYGIGGVGKSTLARAVYNHISDQFDGVCFLAGIRESAINHGLAQLQETLLSEILGEEDIRIRDVYRGISIIKRRLQRKKVLLVLDDVDKVNQIQVLAGGHDWFGPGSKIVVTTRDKHLLAIHEILNLYEVKQLNHEKSLDLFNWHAFRNRKMDPCYSDISNRAVSYASGLPLALEVIGSHLFGKSLDVWKSSLDKYERVLHKEIHEILKVSYDDLDDDQKGIFLDIACFFNSYEMSYAKEMLYLHGFSAENGIQVLTDKSLIKVDGNGCVRMHDLVQDMGREIVRQESTVEPGRRSRLWFDDDIVHVLETNTGTDTIEVIIMNLCNDKEVQWSGKAFNKMKNLKILIIRSARFSRGPQKLPNSLRVLDWNGYPSQSLPADFNPKNLMILSLPESCLVSFKLLKVFESLSFLDFKGCKLLTELPSLSGLVNLGALCLDDCTNLIRIHESIGFLNKLVLLSSQRCKQLELLVPNINLPSLETLDIRGCSRLKSFPEVLGVMENIRYVYLDQTSIGKLPFSIRNLVGLRQMFLRECMSLTQLPDSIRILPKLEIITAYGCRGFRLFEDKEKVGSEVFPEAMLVCKEGSVESLDMSSLNICPDNVIEVFSTSILDGNVVFMREGIAKGRGNWYRHESNESPLRFWFQNKFPRIALCCTVEPPVCKDNMLLDFKLSVLINGTEQFTSSCNYIFSAEQIILLCDLVCKVERSYLEHEWNQVDILYEFKYLMPCGSKSIMATHEMTTTRNPSWSFIYAYEEDNKVVVKFLSQFVEWVEQHRRYFSYYWFGSVLYTRSLSPLVKKKRPVVPFPVKKLEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSEHLIGDAALNQVSSNPINTVFDAKRLIGRRFSDPSVQSDMKLWPFKIISGPDEKPMIGVNYSGKVKQFAAEEISSMVLTKMREVAEAYLGSAIKNAVVTVPAYFNFSQRQATKHAGAIAGLNFMRIINEPTAAAIAYGFDTTSVGEKNVLIFDLGGGTFDVSLLTIEECNFQVKATAGDAHLGGEDFNNRMVNHFVREFKRKNKKDISGNPRALMRLRTACERAKRALSSTAQTTIEIDCLFEGIDFYTPITRARFEELNLDLFRECMEQVEKCLSHAGMYKGSVHDVVLVGGSTRIPKVQQLLQDFFNRKELCKSINPDEAVAYGAAVYTAILNVEKLEVPAIGIDLGTTYSCVGVWQHDHVEIITNDQGNRTTPSYVAFTDSEHLIGDAAMNQVASNPINTVFDAKRLIGRRFSDPSVQCDMKLWPFKIISGLGEKPMIGVNYNGEDKQFAAEEISSMVLWKMREIAEAYFGSTIRNAVVTVPAYFNDSQIQVTKDAALFAGLNVMEIIDEPTAAAIAYGFDTTSVGEKNVLIFDLGGGTFDVSLLTTIEECNFQVKATAGDAHLGGEDFNNRMVNHFVQEFKRKNKKDISGNPRALMKLRTACERAKRALSSTSQTTIEIDCLFEGIDFYTPITRARFEELNLDLFRECMEQVEKCLRRAGMNKGSVHDVVLVGGSTRIPKVQQLLQDVFNGKDLCKSINPDEAVAYGAAVYAAILSERHINLEMPLLDNFWTG >RHN51942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28829008:28830467:-1 gene:gene36517 transcript:rna36517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLDESLDKLKILKVVDCAKLQTIPSLKLPSLEKLRLGSLSSIQSLSPMLDESLDKLKSLKVLFCKKLQTIPSLKLPSLEKLRLGSLSSIQSLSPMLDESLDKLKILMVDGCENLQAIPSLKLPSLEQLWLVILFSIQSLSPMLDESLDKLKILEVHGCVNLQTIPSLKLPSLEKLTLKGLYGIKSLSPMLDESLDKLKVLNIRYCYDLCSFPHVMLPSLEILNLLYCYFLESFPPVMLPSLEILDLSYCKSLESFPRITSRSMTKLKILRLEGCEKLRNIHAINVDSLDEFDYSGCESLEFPTSD >RHN46506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32347731:32365906:-1 gene:gene40999 transcript:rna40999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative importin-beta domain-containing protein MALSASDVAAMYSLLANSMNADHRLRGPAEEALAQSESRPGFCSCLLEVITAKDLASQVDVRLMATVYFKNSINRHWRQRRDSSGISNEEKVHLKQKLLTHLREESDQIAQMLAVIISKIARIDYPKEWSDIFLVLSQQLQSADTLASHRIFMILFRTLKELSTKRLTADQRNFAEISSQFFDYSWRLWQSDVQTLLHRFSVLSQNYNADDQHHELYLTCERWLLCSKIIRQLIISGFQSDSKCFQEVRPVKEVSPVLLSAIQSFLPYYSSFEKQYPKFWDFIKRACTKLMKILVAIQGRHPYSFGDKFVLSSVMDFCLNRITDPEPNLMSFEQFLIQCMVMIKSILECKEYKPSLTGRVVDEKGVTLEQMKKNISSAVGGVVTTLLPNERIVLLCNVLITRYFVLTASDLEEWYRNPESFHHEQDMVQWTEKLRPCAEALYIVLFENNGQLLAPVVVSLLQETMNNCPTTVTEITSALLLKDAAYGAAAYVYYELSNYLSFKDWFNGALSHELSNDHPNLFIIHRKVAVILGQWVSEIKDETKRPVYCALIRLLQGKDLSVRLAACRSLCLHVEDANFSEREFVDLLPLCWDSCFKLFEDVQEFDSKVQILNLISTLIGHVSQVTPFANKLVQFFQKVWEEASGESLLQIQLLVALKNFVIALGYQSPICYNILLPLLEHGIDINSPDEINLLEDSMLLWEATLSQAPSMVPQLLSYFSRLVEIMQRNFDHLQVAVNIIEDYIILGGNDFLSMHATNIANILDLVVGNVSDKGLLSILPVVDILIQCFPMEVPPLISNTLQKLIVICLSGGDDRDPSKTSVKASSAAILARLLVMNTNSLGQLASDPSTSQLLQTASIPVQENILLCLVDIWVDKVDNVSSIQKKTIGLALSIILTLRMPQVLDKLDQILSVCTSVILGRNEDLTEEESSGEMSSSTSPDEGTIPGKEFRKRQIKLSDRINQLSLEDSVRDNLQTCAAIHGESFNVAMSSMHPSALAQLKQALKMP >RHN60321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25209622:25209906:-1 gene:gene22620 transcript:rna22620 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHNLFKVRVNVTLSDLKDQLDQINGRLNHRGKKRVDIVEYHRLLVDSGGSVWFIHMKLYNKDDVRTMFSIFGRHSLKGSVELDASLVRSELA >RHN53890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5056114:5059960:-1 gene:gene28850 transcript:rna28850 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSEMSAFSVLLILLIFCNSGFCIAGGLLNFTSSASSRKNLSRSSNPELEDKRGVPSGANPLHNR >RHN76570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51326768:51332923:1 gene:gene12918 transcript:rna12918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-isopropylmalate dehydrogenase MSTSLQTELFHLKPFHFRTPSSSKRTSLRCSATVPSSKRSYKITLLPGDGIGPEVISVAKDILLLTGSLHGIKLEFQEKLLGGAALDATGVPLPDDTLSAAKQSDAVLLGAIGGYKWDKNEKHLKPETGLLQLREGLQVFANLRPATVFPQLVDASTLKREVAEGVDLMVVRELTGGIYFGKPRGFGTNENGEETGFNTEIYAAHEIDRIARVAFNIARKRGGKLCSVDKANVLEASMFWRKRVVALAQEYPDVELSHMYVDNAAMQLVRYPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGDSGPGLFEPIHGSAPDIAGQDKANPFATVLSAAMLLKYGLGEVEAAERIERAVLETLNRGFRTADIYSDGTKLVGCKQLGEEILKSVESHVPAAAAV >RHN46197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29576703:29577836:1 gene:gene40646 transcript:rna40646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MNGNEAMEDVTVVEEEEKSSEKTVYMWGYLPGASSEKAPILSPTAVRLTDSCFAGDSWKDVCGGGCGFAVAISEKGKLVTWGSTDDENQSYLMSGKHGVMQLVL >RHN59035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6889329:6894649:-1 gene:gene21018 transcript:rna21018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BSD domain-containing protein MYWLKRTLSKSSRNPNTATQQQHEQEHHGITDELINLVKSFTIDTFKNFPLQDEDESSYSEEVESTSTRVRKDLSQWQERHAVLILSNVKEISQLRYVLCPRHLKENQFWIIYFTLARSHLAPYELRAIQQEKLKQMAMEDDKSSDKHPHEIEMAEAKPGNFTEPLPPSD >RHN38809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2179289:2179661:1 gene:gene44777 transcript:rna44777 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTITTFSTTASYYYFNIKNYIRMHYLYEHFTSSSGGALHELWPTRKN >RHN82036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50761827:50762243:1 gene:gene6152 transcript:rna6152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MMQDNGNFLLLNSLSKIIWQSFDSPTDTILPGQILNMGHMLFSNANGTEDYSTGQYKLEVQKSDGNIVISAFPYSDPGYWYTSTTSNTSVRLIYLQQHITAFIYTVIGTHNIFNMATEVPNPVQNYYHRATINDRGNF >RHN52152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32063763:32064606:-1 gene:gene36781 transcript:rna36781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKPTFLTLSLILFALTICFSLAFAQVSEQVFDTNGNPIFPGGTFYIMPSIFGAAGGGLRLGKTKNSKCPLTVLQDYSEVVNGLPVKFTRLEAGHDIISTNTALDIAFTTKPDCAESSKWVLVDDFNKLTGPWVGIGGTEDNEDITAPPGACYDIGRHDDFTGRLLVLANNDPYEVVFVDAMGN >RHN80550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39020301:39020645:1 gene:gene4489 transcript:rna4489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAESIIYGREREKEEIIKFLFSDANQVSIISIVGLMGKGKTTLTQLVYNDHRIHEQFEFKAWVHVSESFDCLRLIQEILYHQLQHWLAGNKYLLVLDDAWIKNRNMFGAFTTFF >RHN40121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13464279:13465396:-1 gene:gene46227 transcript:rna46227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase/kelch, beta-propeller, F-box associated interaction MNMFRTNFFIPKHLEDDDETCLLVKEESRGFPVTNYLAFFPVKSLSKGDDFKVIWKVYYPLEFRSGDWVYFPDKDDPFWERDVHELDLNDSFWEEKKLIFNLYDPFSEIYSLKSNSWRKLDGVDMPASCPRSLVNMNGFCHWLSIEGPVMVLFDFTKETFVATPLPSNSDIKYKQMKMGLVELDGSLSYITNYNQTPDFHIWVLGELGVKESWTKFFVVGPLTCPLISGISVANKNRIFFNENDFVLGWFDLNTQRIEKIEAKEESSCLHIAIYKENLLSFGGIV >RHN75811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45292502:45293483:1 gene:gene12064 transcript:rna12064 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPCDQTDELESICDDIQVNLQGLSDPSKVVLDIIQNPIIQKCEMGDNVVIIDDSHILLLIVLMRISPDIKPHVREDAMKLALDLKANMKENNGNSLVVLGFLLLLSIYGLVPSFNEDDVLKLFGLVSQYDIGVELFGALGFADKISDFVQSLIKKQQYDEAVRFSCAYNFSNNTQLVDIFQEHVQNLNLIFESSCKEANSIEIKVFFFLFNFMKHSIFIPFHQL >RHN67598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28492881:28493186:1 gene:gene15803 transcript:rna15803 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSGEKEWLEIKLSTLWALQQENSIFPSLWLSYFYLTPTLKRCFAFCAMFPKDTKIDKEELTHLWMANGFISSRENLEVEDVGSMVWNELCQKSFFQDA >RHN62541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44227676:44231648:1 gene:gene25132 transcript:rna25132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSMIIGYNKVSFFMLLSFFSFLCFYPLFLHAANFITQNQTIKDGSTLVSEGLRFEMGFFSFNNSSSRYVGIWYYNVTSAYVWVANREKPIKNREGFITIKNDGNLVVLDGQNNEVWSSNASKISINNSQAVLHNNGNLILSDRENNKEIWQSFEDPTDTYLPGMKAPVSGGNGIGKDATFCSWKSENDPSLGNYTMSVDSEASPQIVIMEGEKRRWRSGYWDGRVFTGVPNMTGSYLFGFRLNTNDTGERYFVYEALENSDKVRFQLGYDGYERQFRWNEEEKEWNVILSEPNKKCEFYNSCGSFAICDMSDSSLCKCIKGFEPRDVKSWNSGNWSKGCKRMTPLKSERGGNSSGGDDGFLVQKGLKLPDFARLVSAVDSKDCEGNCLKNSSCTAYVNAIGIGCMVWHGELVDFQRLENQGNTLNIRLADSDLGDGKKKTKIGIILGVVAGIICLGIFVWLLCRFKGKLKVSSTSSTSNINGDVPVSKPTKSGNLSAGFSGSIDLHLDGSSINNAELSLFNFSSIIIATNNFSEENKLGQGGFGPVYKGRLPGGEQIAVKRLSRLSNQGLDEFKNEMMLIAKLQHRNLVRLLGCSIQGEEKLLVYEYMPNKSLDYFLFDPVKKTKLDSSRRYEIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDENMNPKISDFGLAKIFGGNQNEGNTERVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLEIVSGRKNTSFRDSYDPSLIGYAWRLWNEEKIMELVDPSISDSTKKSKALRCIHIGMLCVQDSASHRPNMSSVVLMLESEATTLPLPVKPLLTSMRRYDDTEEFNTEPFDASVDLTVTGR >RHN70476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51688398:51691509:-1 gene:gene19057 transcript:rna19057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IXb family MKEVVVDDQDVVKGLLDFANRNLVHSLVIGASTKNLMPSLKKFKASQDIPTSIIKSAPGYCSVYIISKLKIVSTRPAVREIGNQIIPTKQLAVQVSPEFESGIRSIQPRTSGTNEGSESRSFDSISTVKGPARDRPRSAGSNQSMDNIDPSGGRGRHWASMDEREIAALGPIVDVNRHELYLIDSFGTHPTSHTSKELEAEMKRLRLELKQTMDMYSSACKQAISAKNQAEQIRRWKEEEGRKVVEVRLSQEAALAIAEREKAKAKAALEAAEEAKRKAEQEAQRRKEAEMKARKEAEERNKVLNALAQNDNRYRKYTMMEIEVATERFSPSKKLGEGGYGPVFKGHLHHTPVAVKLLNPEAAQGRKQFNQEVEVLSSIRHPNMVLLLGACPEHCCLVYEYMENGTLEDRLFRKNNSKPLSWQKRFKIAAEIATALLFLHQTKPEPIVHRDLKPSNILLDKNYVSKVADVGLARLVPPSVADSVTQYYMTSAAGTFCYIDPEYQQTGMLTPKSDIYSLGIMLLQIITARPPMGLSHHVKRAIEKDQFSEILDSAVTDWPVEEALSFAKLALNCAELCKKDRPNLASVVLPELNRLRGLGFNDNQVFTDTKNNSHSLRPPMPT >RHN77026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3342963:3346618:-1 gene:gene433 transcript:rna433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MQYPLSANTNNGWYTCSLVDLLIVYILILSIASCNSWVVNGEDTLDVIHYGAKGDGRTDDSKAFVEAFKALCRGRYGNTLVVPNGRSFFVRPTLNFSGPCHSKNINIKIMGNILAPKRSDWGRECSLYWIHFFNIHGLILDGSGVINGNGEDWWSKVKGTGGCPRIPTALQFDKCDGLQITGLTHINGPGPHIAVTDSQDITISHIHINSPKESHNTDGIDLTRAIRVNVHDIPIRSGDDCIAIKGGSQFVNVSQVTCGPGHGISVGSLGGHGSPEFVEHLRIKNCTFNGGDSAVKIKTWPGGKGYAKDIIFEDIILYQTNYPVYIDQHYMKTPEQHQAVKISNIKFSNIHGTCIDENAIVLDCAKIGCYDITLNQIKITSINRKKPASVKCKNVHGTATNIISPKGSCVNH >RHN43805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48638858:48639391:1 gene:gene50434 transcript:rna50434 gene_biotype:protein_coding transcript_biotype:protein_coding MFRCICTNHLYPRRNQLFPTFSQQSSVVNAPPSGRGRGANHPDSCRSEPSPQISRGGHTVPSSTPTPVAVTASSSTSAQASYHPSVVAPVVAGSSSVSTVPSLSPPPTTVSMEALSSKLTPEMVPEASAPSSQKKVIRFPDRPGFGQEGRKIPVQANHFQLQVANVRIFFSYIKIQS >RHN74866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37300763:37303798:-1 gene:gene10995 transcript:rna10995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-XV family MFTDDQTYGSLGRRVFDIYLQGNLVQKHFNIAEEAGGVGKKVIKLFKDVLVTSNNTLENRLYWAGKGTQYLPNRSVHGPLISAISVESDSPPGSISAGVVAGIVVAAITVIILVFVILWWKGCFGKKNSLARELNSLDLQTGLFTLRQIKAATNNFDISNKIGEGGFGPVYKGCLPNGKLIAVKQLSSKSTQGNREFLNEIGMISALQHPYLVKLYGCCVEGDQLLLIYEYMENNSLARALFGREEHQITVDWSTRKKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDKDLDPKISDFCGYMAPEYAMHGYLTDKADVYSFGIVALEIVSGRSNTMYRLKEEAFYLLEWAHLLKERGDLMELVDSRLGSDFKKKEAMVMINVALLCANDTSNLRPSMSSVVSMLEGMTVVPEFVSYSREVMDEQKLEIMRQYYSKMEVTKLEFIN >RHN67171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24552133:24556964:-1 gene:gene15325 transcript:rna15325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c oxidase assembly protein CtaG/Cox11 MVWNIISRRTHLSPYLTALRNSSEFRGQSIIPEGLGYNIINPSTGFQRNFYCKTRLSRFKQELITTSFHQSYRSSAFASQNVGRLCSMPGFRHFSSHAYASTEKKSQKMLMYLTGLVFGMVGLSYAAVPLYRRFCQATGYGGTVTRRESVEEKIARHDSNQTVTSREIVVQFNADIADGMQWKFVPTQREVRVKPGESALAFYTAENQSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEKIDMPVFFYIDPEIEDDPKMDGINNIILSYTFFKASEE >RHN72683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9702382:9705824:-1 gene:gene8429 transcript:rna8429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MMKLDNALFFFRYFVDKIESVKCVVLYNVMMKKFREIKNFENAEKLFDEMLQRGVKPNVVTFSTMITCAELCSMHHKAVEWFEMMGSFECKPSDDLSATIIGSYACVGDVDTALRLYDCSKKEKWDHDKRVFTALIKMYGNLGNYDGCESIYNDMKVLGVKVNYTTYTSMLYAMENAKRAWKAKAIYEEMLTNGFSPDGSTYRVVLKAYCTGRYKDDALSVYKEMKEKGINIGRILYNMLLKMCADVGYVDEAVEIFKDMKHSETCHPNSFTYSSMVNMYSCTGNFSEAEDMLNEMIGGGFEPNISILTSLIGCYGNAKRTDDVVRIFDKLLDLGISSDDRLCGRLLHAMTRIPKQELGKITDCIEKANPKLGFVVRNLMEEREGADFRKEALELFNSIDDYVIKKSLCNKLIDLCVSMEVQDRAHDLFDLGLSPEIYTDIQNRSQTKWSLNLKSLSTGAALTALLVWINDLSKALESGKELSTSTWNLYRDSKKQVGT >RHN55796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23903312:23904046:1 gene:gene31055 transcript:rna31055 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNDGITSYRLLSNDSDLVHGLLEIEGALLGSSQTESPFQNDGVTFLIRPEPRNPINMIQNGSRSILDHRFLYEKYESGFEEGEGVLDPLEEDLLNHIVWAPRIWRPWEFLFDDCIERSNEFGFPYWERSFWDKQIIYDEEGELQENDSEFLQDGTMEYQTQNRSFKEQGVFRISQFIWDPSDPLFLLFQDDPSVSVFSHRELVADEEMSNILLTCQTNFFYWKYLYKRWFRKNKRWFMEISC >RHN51019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12944521:12944718:1 gene:gene35380 transcript:rna35380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isopenicillin N synthase MFGDKERYSVGLLSNPKNDVKIEVPHELVDQKMHPLRYRSFYYGDYLDYFCSTFKENALDAFIGI >RHN55601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19924485:19928039:1 gene:gene30803 transcript:rna30803 gene_biotype:protein_coding transcript_biotype:protein_coding MEETQGQYYVSSLHESDFNRQANRRAGIKGLKQRGHGSRSWIKIDQDGNSEIVTLDKATIMRHCSLPSRDLRLLDPKFIYPSSILGREMAIVVNLEQIRCIITADEVILMNSLDGTVGRYRTILCNRLQREKSDDLPFEFRALELALELTCTSLDAQVNELEMEIYPVLDELASSISTLLLERVRRFKGHLLALTQRVQKVRDEIEHLMDDDGDMAEMCLTEKRRRLDASPSNDCFQIRSPSGRVISKSAPTSPERSLSGLQMLPRTFSGIGNSSKYGSSTGSSDNTERIQPLEMLLEAYFIVIDNTLNTLSSLKEYIDDTEDFLNIKLGNIQNLLIKFEMLLTAATLVAAIFAAVAGVFGMNFETSVFDYSSGFNLVLVVTGIGCVALYFALLFYFRYKKVLPE >RHN61744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37967370:37968782:1 gene:gene24235 transcript:rna24235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (S)-norcoclaurine synthase MSGQLEHELELNVPASEAWDLFGTIGIGKLVEAEMPELFQKVELIEGDGGVGTILKLTFTPGTPGPASYKEKFTKIDNEKLIKETEVVEGGYLEFGFTLFRVRFEIIEKGEEYSSIIKTTIEYEIKEEHAANASFVSIEPLANIMEVAKNYLNRNKASKEAK >RHN42768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40823421:40832587:-1 gene:gene49255 transcript:rna49255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair, letm1 ribosome-binding domain-containing protein MASRAILRRRTRTSIQQYFNNTSQSSSSISSFRSIAATKEELLNYSPVFGQVVRRFTNGSSSGNGFTKSNVFNESIGVRFLSQSSEAAARKKEEPPENDDVVKKRKEASPEECDQAVEGLTEAKAKAKAKRSQEAQKDAQSVVQRVRAVILGIGPALRAVASMSRADWANKLVHWKDEFISTLKHYWLGCKLLWADVRISSRLLLKLANGKSLSRRERQQLTRTTADIFRLVPVAVFIIVPFMEFLLPVFLKVFPNMLPSTFQDKMKEQEALKRKLKARMEYARFLQDTVKEMAKEVQNSGSGEKKKTAEDLDDFINMIRKGSSISNEEILGFAKLFNDELTLDNINRPRLVNMCKYMGINPFGTDAYLRYMLRKRLRMIKEDDKLIQEEGVDSLSEAELREDCRERGMLGLRSVEEMRQQLRDWLDLSLNHSVPSSLLILSRAFIVSGRSKPEEILQNTISSLPDELVDTIQVTSLPSEDSVSERKRKLEFLEMQEERIKEEEEKEEAEQARIEMDSSQEDKALNEMNISTAKEAHQLARNRAVESKEQLCEISRALAVLASASSVSTEREDFLRLVNKEIELYNSMVEKEGSDGEKDAFKAYKAAREEHEHAAESDDDRDKVSSALIERVDAMLQNLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVASAAMYLKNTLGKEGVQELVSNLSKDKDGKILVEDIVKLGGWREDGHTAEDERP >RHN72486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8033337:8036681:-1 gene:gene8205 transcript:rna8205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative derlin MAQAVEEWYKQMPVITRSYLTAAVVTTIGCSLEIISPYHLYLNPRLVVKQYQFWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGASVLTGIVLLGGMIPYLSESFAKIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLGMIAGHAYYFLEDVYPRMTGRRPLKTPSLIKALFADDPVVVARPANVRFAAPQPEELHQD >RHN47041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36690923:36693826:1 gene:gene41591 transcript:rna41591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative legumain protein MNQIISCWGALITIVWMSVTVTLSKGVVRPMVHKHDEQGNFEVVGKKWALLVAGSKGYSNYRHQSNICHAYHILKSGGLQDENIIVFMYDDIAYHNENPRPGVIINRPDGPNVYPGVPKDYTGNNTNAENFFAVLNGNLSGITGGSGKVLNSDPNDTIFIYYSGHGYPGLIGMADQSLVYAKDLVDALKKKHASNSYKKMVIYVEACYSASLFEGLLPNNISIYVTTSANARELGYGFYCPGSINLSSTEYTTCLGDTFGISWMEDSDKNESTNETLQQQYVTVRDRTITSHVTQLGDLNISNDFLDTYIGSAPLNNVSDNYNLTNTTSVYSFEPFNTSTSLVNQDDAYLLHLKLKLEKAVDGSKDKLKAQNELDAEIAHRKHVDHNIHLIGNILFGEKKSSIMMSDLRSAGQPLIDDWNCLKILFKTYESHCGILLSTYGRKYSRVFAYMCNIGIFEKQTISAVSQVCSRIHHSS >RHN75182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40000808:40005047:-1 gene:gene11350 transcript:rna11350 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVQFSIKFSHLKPNDSIYKTQPTFSFSNLDPKFNSFHLGSFKLRACRDRWSFLGGAVFKNGGMCEEKGCKKEKRVVLVKNNQGFGFNNGGGRDDGSTARILGNLALAAGLTYLSMTGQLGWIIDAIVSIWIFAVLVPIVGIGAFLWWAGRDIMKGTCPNCGNDFQVFKSTLNEELQLCPFCGQPFSVDGNEFVKEPVNFSNQSTTFGQAFDNFSSSKNDKDSGKAIDVEAEIKDAD >RHN58624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3423508:3424129:-1 gene:gene20551 transcript:rna20551 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKHLDSLNHFMLPMSSTPYTMDLDNCNCLGSRNNCIWSSYERIGNDPIECVNELMARMKIASLKKLWKKIKKEKKRRIFRSPSPVFIYDSNSYLQNFDDGYSIDPDNFLRSFSARFAAPSSKICKKNFEVMDCEQILEINEES >RHN47233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38174125:38175782:1 gene:gene41804 transcript:rna41804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MASTTNDSDFAYLEHIQQYLLFDDSSFLTSYQPSPSPKSDNESDTIVEAREVNTPPIWKRYKGVRRRPWGKFAAEIRDPKKNGARVWLGTYVTEEEAALAYDKAAFKMRGRKAKLNFPHLIGSDVFTPEPEKEVVLKRESPEPSSSEESCESSSPRLKRRRGTVDLLNKLAKNRCQAKVVEIETASSHANDFEQWVNELSDSSLIWFS >RHN82400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53421668:53424879:1 gene:gene6563 transcript:rna6563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MAPTLSPLILLLLLLLSHFVLIVQSSVNPDYEPLLTFKTGSDPSNKLTTWKTNTDPCTWTGVSCVKNRVTRLILENLNLQGGTIEPLTSLTQLRVLSLKGNRFSGSLPNLSNFTSLKLLFLSHNHFSGDFPSTVTSLFRLYRLDLSYNNFSGEIPTMVNRLTHLLTLRLDENKFSGVIPELNLPGLQDFNVSGNRFSGEIPKTLSGFSGSSFGQNPFLCGAPLEKCGDEPNKPGSDGAIASPLVPATVVSSSPSTMPTRNTKTHEKRGSKMSPIVLVAIIVGDVLVLGIVCLLLYCYFWKNYCSKSKEKKGLKLFESEKIVYSSSPYPTQGGGGGGFERGRMVFFEGEKRFELEDLLRASAEMLGKGGFGTAYKAVLDDGNVVAVKRLKDAQIAGKREFEQHMEILGRIRHPNVVSLRAYYFARDEKLLVYDYMPNATLFWLLHGNRGPGRTPLDWTTRLKIAAGAAQGVAFIHNSCKSLKLTHGNIKSTNILLDKQGDARVSDFGLSVFNGSSPSGAGSRSNGYRAPEVLDGRKQSQKSDVYSFGVLLLEMLTGKCPSAVESGGSGYNGGVIDLPRWVQSVVREEWTAEVFDLELMRYKDIEEEMVGLLQIAMSCTAASPDQRPRMSHVVKMIEELRGVEVSPCHDTMDSVSDSPSLSEDACAGATSQ >RHN56571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31950925:31952160:-1 gene:gene31992 transcript:rna31992 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKVDEEIKTLVENDVKVRHWSMFFFMGACHMSMTMYLSLLKKHCHF >RHN61825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38640563:38654930:1 gene:gene24325 transcript:rna24325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MEVINQKEDEDHPRAIEDSDNAVDTEYGTLYGAEENGEEENALENEEEEEGESDTVDEDQFRFCGGVNPLDFVRNNDSSVQLYQKLEDYHQKSIQYRALDNRKRKPPQQPHREETSSKKAREDDISGVGLADIEEELMNLGHGKRSKKKRSKKRGRQKGSKKKLDEKISQMFGDALMHYTSRRYDMAIDVLHEVVRLEPNLPDPYHILGAVHGAIGDHENEMGFYMIYAHLTPKDSSLWERLFVWSIKQGDAGQASYCISKAIKADPQDISLRRHQALLYAESQNYQKAAEAYEQIHQLCREDDALKEAAKFYRKCGQVERSICILEDYLKSKPDGVNASVVDLLGAILMEIKAHDRALQFIEQSQVVGKELPLNLKVKAGICHVHLGNMEIAQVFFNDLKPENASKHVELITEVADSLMGLGHYNSALNYFKMLEGNSKNENGFLYLKIARCYRSLEERKQAIISFYKALETLQDDVEARVALASLLVEEGKENEAISLLSPPKDSDSGEAHSEKSNRWWVDVRIKLKLCNIFQIRGMLNDFVNVSLPLVHESLHVPAPRRKGQSKRRLSIRDLEKRVRVLNVPETNSVFRGFRPITSSSDLSKASRAKKLLLKKAIEKERKKAEAVASGIDWLSDDSDDEPQEPNTDSPLCNLHKDEGYHQLIIDLCNALASLQRYSEALEIINLTLRLAHTSLSTEKNEKLRSLEVQMAYNTTDPKQGFDCVKDMVQQHAHSVAAWNCYYKVVSRLENRDTRHDKFLRSMQGKFVDCVPPILISAHQFTLCSHHQDAARKYLEAYKLLPENPLVNLCVGTALVNLALGFRLHNKHQCIVQGLAFLYNNLEICTNSQESLYNIARAYHHVGLVTLAAIYYEKVIAIRERDYPIPKLQNESIDVIENHKPGYCNLRREAAYNLHLIYKRSGALDLARQVLKDYCSV >RHN60721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29892847:29897002:1 gene:gene23077 transcript:rna23077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxoacyl-[acyl-carrier-protein] reductase MAKQLEPWHDLAGKVVLVTGASAGLGRDFCLDLAGAGCNVIAAARRVDRLQSLCDEINGKDGRLRAVAVELDVAADGGAIEKYVQKAWEAFGHIDALINNAGVRGNVSSPLELTEEEWNNVFRTNITGAWLVSKYVCKLMRDSKRKGSIINISSIAGLERGQVPGGTAYACSKAGVNMLTKVMALELGAHKIRVNSISPGLFKSEITESLMKKDWLNNVAKRTVPLREWGTSNPALTKIVRYLIHDSSEYVTGNIFIADAGATLPGFPIYSSL >RHN67476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27534502:27535593:-1 gene:gene15669 transcript:rna15669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MTATLSLAGISVVRPLRFSDDCSTSARFIAKPSSNISNRRRISIRAVTAAVETRRPATSLYEVLRLNPGASAMEIKSAYRSLAKVYHPDAAARRLQECNDGDFIEIRNAYETLSDPSSRQIYDLSLMVHGGRNRRFTAAPVMQKRNSGFYTNRRWETDQCW >RHN81230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44233931:44236115:-1 gene:gene5243 transcript:rna5243 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFFRGLWFVIFSMLAVDSYTILKKNEFDFVRLVSGSIYGSINDCDFVHINFFCKLVLGSALGLLVCALVCVYPRRILVYHWIFVRLFILYHFC >RHN71688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1924290:1925000:-1 gene:gene7314 transcript:rna7314 gene_biotype:protein_coding transcript_biotype:protein_coding MASYNSFILAIFIAISFSNMDITLAARNLLQTTNPTTPTLPKPTLLPPLPTIPAALPKPTQPSIPQFTLPPLPNTLPTIFPFFSPPPSKTNP >RHN80111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35194574:35198824:1 gene:gene3997 transcript:rna3997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MSLPEQRSTVEVGIEEKEGGVSGKGTWKHAAFHVATTIATPAAYAPLPFALASLGWPLGVSSLVSATLATWYSSILIASLWKWNGEKHLTYRHLAHSIFGFWGYWSIALFQQIASLGNNIAIQIAAGSSLKAVYKHYHADGKLSLQHFIVFFGIFELLLSQLPDIHSLRWVNALCTFSTIGFAGTTIGVTIYNGKKIDRTLVSYSLQGSSASKSFKAFNALGTIAFSFGDAMLPEIQNTVKEPAKKNMYKSISAAYTVIVLSYWQLAFCGYWAFGSQVQPYILASLSIPEWTVVMANLFAAIQICGCFQIYCRPTYAYFEGRIESSKSTSNFPLKNQLTRLFLTSIYMILVTLIAAAMPFFGDFVSICGAIGFTPLDFVFPALAYLKAGNISKNSKLGLLMKPLNILLATWFSVVAILGCIGAVRSIVEDIKNYKFFHDM >RHN57818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41918856:41926339:1 gene:gene33407 transcript:rna33407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydrolipoyllysine-residue succinyltransferase MFGVVRRRVAYGSTSSLLKIRSGTTRVSSVLEKEVALSSGGCGNIRNFCHITPGRWINSKPIRDIFHQEASIQTWKRSFSSDNGDNVDVVVPPLAESIEDGTLAKFLKRPGDKVNVDEPIAQIETDKVTIDVPSPESGVILKLLANEGDTVAPGNKIAIISRSADATTHVAPSETSPQKPAPKQTPKASEPEEKKAPKVETTTEKPKTPAPQSSPTEPQLPPKERERRVPMTRLRKRVAQRLKDSQNTFAMLTTFNEVDMTNLMKLRADYKDAFVEKHGVKLGLMSGFIKAAVNALQYQPIVNAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIRDADKMNFADIEKQINIFAKKANAGTLSIDEMAGGTLTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPAVVGGNVVPRPLMYIALTYDHRIIDGREAVFFLRRIKDTVEDPRRLLLDI >RHN51334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16368812:16369398:-1 gene:gene35758 transcript:rna35758 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLTGDFTPGKTHGKRPFAKAFYILSGLLPLAKCNIYCSVLLYDIFFSIYLCVPY >RHN71153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56810549:56812073:1 gene:gene19802 transcript:rna19802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MKRKYDESSSFSSSYFFSSAVNYNTELSFFFAENLFLKKNMVFSPFSLEMIFGIISAGTSGDTQLELLRFLGLRSMKDLVDLVKIRSDLLKHFSPDHSALHLDGPVFSFTNGLWVPKSLPLKSSFKDTLTTMFNAAVSDLHTYNRGVEVIEEVNSWVTRKTNGIIKGILQPMSITNETQLLFINTVCFKGEWENPFPTCLTALHDFTLSDGSSVRNIPFMVSDETQYIKSFDLFGFKTLSLAYKKGNGDDKHYQFYLDIFLPNPGFDLPTMLQSMAANNFDLIMSRLVQDKVRVGEFRIPKFKILSRLDDTLDVLKERGVSKAFEKGALKDILQHDAAGNKLLVSNIFHKSFIEVNERETVAASVSTSVEVKCYTPSVDFVADHPFVFLVRELNSKTILFMGQVLNPTEGYDDEQVS >RHN43518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46466006:46466828:1 gene:gene50113 transcript:rna50113 gene_biotype:protein_coding transcript_biotype:protein_coding MENNGSLISKEKLDSMATWLGSTVSSAFFSSLERFSCVNVTTSDPPDNDEDDDYSDSVTTPTSTTTTVNNPDPSVKTPNDITNLPV >RHN78756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18071069:18071937:-1 gene:gene2404 transcript:rna2404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyketide cyclase/dehydrase, START-like domain-containing protein MEQNIIQRWEAKVSTKLKNTTKQQAWPLIKDFFNLHKRFPNLATCYGIHGSNGEVGCIRYCAGFSLPSDGSQEVSWSKERLVAVDDVDLSIKYEIVECNIGFEYYESTMRVVDGDGDGDGDGGCGVEWFFGVDPVEGLEFEDLVSKYGVGLQVMGQKMEEEIASSLPSNEM >RHN78010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11220040:11225827:-1 gene:gene1524 transcript:rna1524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase III, subunit Rpc31 MAGRGGGWGFRGRGRGAYVRPVPFVVYPEDIKLPDVKKADASTTRLCKLDLEFDNFFKSAPYFLEEKAELKGRKRKMHVQRFSDKKKTIFTRDSLPQVLVYDEFIKELVPGKTILSRKKRTWNPEEGQKKLAAMFDDIEKSRLEDSKRRKEEKVEESEDEEEIEGSSDSEGDFRDGDYNKNNDFDDDDDDFNPNDDGPDEPEYGE >RHN48527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48213984:48216940:1 gene:gene43254 transcript:rna43254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MPLFVVAILFLMTFSSNAYWPPSPGYWPSSKFKSMNFYKGFTNRWGPQHQRLEQNALTIWLDRTSGSGFKSVRPFRSGYFGASIKLQPGYTAGVITSFYLSNNEAHPGYHDEVDIEFLGTTFGKPYTLQTNVYVRGSGDGKIVGREMKFHLWFDPTKGFHHYAILWSPKEIIFLVDDVPIRRYPRKSDTTFPIRPMWLYGSIWDASSWATEDGKYKADYRYQPFVAKYTNFKASGCTAYAPRWCHPVSASPYGSGGLNSQQNRAMSWVQRYHMVYNYCKDPKRDHRLTPECSG >RHN64854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62475152:62475697:1 gene:gene27720 transcript:rna27720 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFKILHVIVFWKYEPKYEGDLVAKFCFAKKKIVWEVLVGELKSKIEIQWSDITQLKANCPNDGPSSLSLVVARQPLFFRPTCTCIFFLQLSTDMWMCAQHVHAYSFKSTNGFVGLS >RHN76492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50754414:50756269:1 gene:gene12831 transcript:rna12831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAT/LH2 domain-containing protein MKALSLILIFSIITAFSHATPTLVTQPQINQSPILYYAQENDNQDKMTRPTGTCNYKVIIETSCRSPQYTTDRISISFGDAHGSEVFIPRLDDPRAGRFEQCTMVSFDIVGQCLNDICKLYLHRVGSNGWIPTTVTAYNYGYPPVKFYYNTYVPENVDYGFNHCNEV >RHN72907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11754485:11756740:1 gene:gene8673 transcript:rna8673 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIMVQVLVSILLVLVAVEAATPPGIAKDHEYGHASCKIKKYKHCYNLVHVCPKFCPNDCTVECASCKPICVGGTNNPSHDYPTPSTPTPSHDYPTPSTPHNPPSSSSSSSSSSSSSSSSSPNSSSPPHNQHNSSSTPSSSSSSSSSSSSSSSSSSSSPKSPSTPKNPPPSSSTPSSSSNSSSSSSSSSSSSSSSSSSSSSSSSSSSPQSPSTPHSSPPSSSTPSSSSSNSSSSSSSSASSSSSSSATSSSSSSTNSPSTSHNPPPSSSSTPSSSSSSSSSSSSSSSSSSSSSSHKTARCGNKNYPKCYNMEHACPNACRGGCEVDCNTCKPICKCDKPGAVCQDPRFIGGDGITFYFHGKKDNNFCLVSDKNLHINAHFIGRRNENMKRDFTWVQSIVILFDNHQLFLGAQKTATWDDSVDRLAISFDGEPITLHESEGAKWESSGVSFVRETSTNNIIVEVEGNFRITAKVVPITEEDSRVHNYGITKDDCFAHLDLGFKFLSLSNEVSGVLGQTYKSNYVSRVNIGAKMPIMGGGKEYETTSLFSPDCSVARFVGNDGFNNDIAMVEDLMLPSMSCTSGVDGEGVVCRR >RHN46511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32402748:32403938:1 gene:gene41004 transcript:rna41004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAKSLGEKKVSNLVPEDLALLVLSKLPIKSLKRSGCVKKSWSLLFENPSFMTMFRNNFISIPHSYYDDTTIILQEVVHRPLQTPRVKFYFHSLSSKRFENRLKFHLPNPFQEEDPNLYIVESGAANGTLCLHRGFDELVLWNPSTDELNVVPSGSMVSMPPYRESFIKLHGFGYDHARDDYKIIRYFFPLDDHDLLHLNLSEEDVQRDEISYASVWEIYSLRCNTWKELHVDMPSHCYSGLLYTNGICHWLSKSNAEYYLVSFDLSNHVFFTTFAPLATPRYIDPNLDFKDLRTRLVMLNGSIALISWYGDTTTFHISVLGELGVSESWTKLFIIGPLSDLFMYPIGAGSNGDIFFKAGDGKLVFDLRTQMIEELDGVEETYSRIIFYKKNGGINH >RHN57034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35885856:35890240:-1 gene:gene32521 transcript:rna32521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Yip1 domain-containing protein MEESSSYTGLPTSHLLGSVPAVIVEGEKTTKHVDPEANMQIFPPAANGGDRGRGGYQTVGTPTEAFEQQPTNNWRGFFSVSSYSQYFDVDTDAVMTRLISSFNPVGGDFFSKIDANPDLYGLIWISTTLVFVLASLGNLATFLMQQHADSATSWSFDVSYMNTAAWSIYGYAIVVPMAYYFFLQYMGSNANLVRFWCMWGYSLSIFIISSFLLIIPVEILRWFITLITGVASASFVALNLRSYIEGNDISVAIMAAFFLQIGLAVFIKVWFFA >RHN45907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26735302:26737675:1 gene:gene40334 transcript:rna40334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MHFTAANSSDNIIGEMSQDKLQELLKFDFEKVATATNNFDLSNKLGQGGFGPVYKGKLQDGRDIAVKRLSRASGQGLEEFMNEVLVICKLQHRNLVRLIGCCVEGDEKMLMYEYMPNKSLDAFIFDPSKNKLLDWRTRCNIIEGIARGLLYLHRDSRLRIIHRDLKASNVLLDEELNPKISDFGLARIFGGGEDQANTRRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIVIGRRNSSFYDDEHNLSLLGYVWTQWSEDNILSLIDQEIYDHSHHNYISRCIHIGLLCAQELAKDRPTMAAVISMLNSETSLLPPPSKPAFILRENMLNSKLAEECQNGCSVNNVSITDISGR >RHN75754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44776283:44787191:1 gene:gene11997 transcript:rna11997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MRGRLRAIRVALSLIVPLLIRASREWSMIGDLRRRMLLRRLFVSTVAGKATRVMFVLKRSRNVSGVARRGHIVADCKSKDIVCFNCNEEGHISSQCTQPKRAPTTGRVFALTGTQTEDEDRLIRGMNIGWIESWSKRKGLGVDWMQKYED >RHN70527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52032750:52033470:1 gene:gene19112 transcript:rna19112 gene_biotype:protein_coding transcript_biotype:protein_coding MTWNGHLRFTASLSSQSSLSFPSKFHTLHITLLFHILCKLPIPSIDV >RHN48549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48363958:48368628:1 gene:gene43279 transcript:rna43279 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPTKAPKVTAGLTCPPEMLAPTETATKRANAWAMEAEMRPAGVAAPSLVSLLYAIPEPEPAKTKMKVEMNSAKPAFKVSGEAIRGSTILELTRV >RHN77584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7906068:7906338:1 gene:gene1052 transcript:rna1052 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFSLIKQQKIIVATTTLHNFIRMCGVEDEEFNKCDVISEYMIERDEESNINEEISSYNPGRVQDGGYMNKVRNQIGVALMESRNV >RHN39741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10159669:10168962:-1 gene:gene45802 transcript:rna45802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polynucleotide adenylyltransferase MGEHEEWAQPQSGLLPNGLLPNEADSVIQVLDSERWLKAEQRTAELIACIQPNSPSEQRRNAVAEYVQRLITKCFPCQLQVVTFGSVPLKTYLPDGDIDLTAFCKNQTLKDNWAHQVRDMLENEEKNENADFHVKEVQYIQAEVKLVKCLVENIVVDISFNQLGGLCTLCFLEEVDGLINHNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSKFDWDNFCVSLWGPVPISSLPDVTAEPPRKDAGELLLHKSFLDACSTVYAVFPGGPENQGQPFVSKHFNVIDPLRVNNNLGRSVSKGNFFRIRSAFAFGAKKLARLLDCPKDELFLEVNQFFLNTWDRHGSGQRPDAPSNDLWRLRLSSHDESQSSKNLQNNNHKIDNTSNHEFHAEREQFSHSGVSQLSNVPSENSSKNSDVSTFSRTQGQKSYVNQNNSRNLDQARRETTSTQGAYVDKSQRNVKTNIPVSDLRGRFFARTRSSPELTDSYGEVSSQGRRTRTTENVKGQNSFVKLENGRRKNFEPDVAARVDDSSGRHSSHHAVGSAAESISNHEEPSSGVMGEELASVAGAGGMQMMNQEEQDLLNMMASPPAQGFGGQAQYPMNLPPGHLPFPFPPSILASMGYGQRNMANIPFIEAPWGTNMQFPQGLVPSPLHPYFPGFGLHPNPQDLAETGNENFNSVEMNAAEADNDFWHEHERSSASGVEADNGNFEMLPDDKQQSTSGSYNFAPSSRPGSSSSSARNHQKFTRENRGPTREEHIDNFHYQDGRRNEVYLDDRIANSELPSAPPSSSFRSKSPSESSWDGSSAKSSKSTRERRGKKSTPLVAATVHGPPVPFVTMLPFPLYNFPTEPSETSTSNFTGEEGAENSDSGLNFDSSEGYNHSEVSSPSNSMRRAGIESSDHKPDILHSDFASHWSNLQFGRLCQGPSQPPSMMHPSPAMVPPVYLQGRYPWDGPGRPPSANMNLATQLMNYGPRLVPVPPLQSVSNRPTHIYQHFVEDMPRYRSGTGTYLPNPKVSVRDRHSTNTRRGNYNYDRSDHHGDREGNWNMNSKLRSTGRGHNRNQGEKPISKSERLATSENRSERPWSSHRHDSFIPHQNSPVRGNSSQNSHANVAYGMYSIPGMNPSGVSSNGPTMPSLVMLYPYDHNTGYSSPAEQLEFGSMGPMGFSSTTELSQPNEGSRSGNGTLEEQRFHGGPAQRSSPDQPSSPHVSRGPDSNVR >RHN81638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47735869:47741314:1 gene:gene5709 transcript:rna5709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MPESESGTPTAASVPATPDTPGGPLFSSIRIDSLDQRESFAMGRCNMCMPGGKSNSCIANFSAGVRVPSVSLTQKIGAEFVGTFILIYAATAGPIVNNKYNGVETLMGNAACAGLTVMFIILSIGHISGAHLNPSLTIAFAAFRHFPWAHVPAYIAAQVSASICACYALKGVYHPFLSGGVTVPTVSVGQAFATEFIITFILLFVVTAVATDSRAVGELAGIAVGATVLLNILISGPTSGGSMNPVRTLGPAVAAGNYKHLWIYLVAPTLGALAGSGVYTLIKLRDNGAEPPQPVRSFRR >RHN82494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54256694:54259521:-1 gene:gene6663 transcript:rna6663 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLLKKYIPLINKPVRPVRFTKLLGFLTLSYEQVEVNKQPAVHRNHIFCEIILFKMAMRSALSRGIVTRSMDSTSRGASSRFFSGKGKVLSEEEQAKENVYIQKWERERLEKQKLAGDKGKAEKPTDNADNKKPDGTQKN >RHN59234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8664697:8669112:1 gene:gene21237 transcript:rna21237 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myeloid leukemia factor MQKGREVQDNVFKSRGFGDFGGFGFHKSMTMPSLFGGRDPFDDPFFTHPLDNMRNPSSTSSRSMQKSEKTSREKGVVIKELDSDDEDIDSFDEKFLRSSMEPSVEHPDDDDVNDWKSSGVTHKNDRHKSEEHSKDHNRNLSFQTSKVTYGGIDGAYYTSTRTRRMGTDGVVVEERKEADTSTGEAAHRITRGIHDKGHSVLRKLDSDGKVGTTQTLHNLNEDELAHFEEAWKGNNLGPLPDYDIQRKKDSRVGELTKNKVWSLPYLEQDRRERGFSSNYESGNKSEGRTKKVVRINIE >RHN67567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28300480:28303794:1 gene:gene15765 transcript:rna15765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MAAEGFRIILLLVACLLPLSVDAMVRHYKFNVVMKNATRLCSTKPIVTVNGKFPGPTIYAREDDNVLIKVVNHVKYNVSIHWHGVKQLRTGWADGPAYITQCPIQPGQTYLYNFTLTGQRGTLWWHAHVLWLRATVHGAIVILPKLGVPYPFPKPHMEQVILLGEWWKSDTEAIINEALKSGLAPNTSDAHTINGHPGPVQGCASQGGFSLEVQPKNTYLLRIINAALNEELFFKIANHQLTVVEVDATYVKPFKTDTIVIAPGQTTNVLVTAKHKIGNFLVAASPFMDAPIVVDNKTATATLHYSGTLGSSLTTLTSLPPTNATSVANTFTDSLRSLNSKKFPAKVPLKIDHNLLFTVSLGVNPCATCVNNSRVVADINNVTFVMPKIALLQAHFFKIKGVFTDDFPGNPPVVYNFTGSQVTNLATTKGTRLYRLAYNSTVQLVLQDTGMLTPENHPIHLHGFNFFVVGRGQGNFDSKKDVKKFNLVDPVERNTVGVPAGGWTAIRFKADNPGVWFMHCHLEIHTTWGLKMAFVVDNGKGPNESLLPPPSDLPKC >RHN41205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28140146:28142426:1 gene:gene47500 transcript:rna47500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP deaminase MSPLSNNSLFLDYHRNPLPMFFQRGMNVSLSTDDPLQIHLTKEPLLEEYSVAAKVWKLSACDLCEIARNSVYQSGFSHQDKLHWLGDKYFLRGSEGNDIHKTNVPSLRISFRYETWKDEMQYIYAGQATFPEDVDP >RHN68360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34855413:34859648:-1 gene:gene16701 transcript:rna16701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LIM family MSFIGTQQKCKACEKTVYPVDQLSADGTSYHKACFRCSHCKGTLKLSSYSSMEGVLYCKPHFEQLFKEHGNFSKNKNFQSPAKVADGTTPVLTRTPSKAAGMFSGTQEKCATCGKTAYPLEKVTVESQAYHKSCFKCSHGGCPITPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRAAASVPES >RHN81759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48707041:48710180:-1 gene:gene5845 transcript:rna5845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galacturan 1,4-alpha-galacturonidase MMYCSLPPVICVISIVLILVSLAECREPSSIKLNSFDYHAINCRKHNAVLTDFGAVGDGKTLNTKAFNSAITNLSQYANDGGAQLIVPPGKWLTGSFNLTSHFTLFLQKGAVILASQDESEWPKLSFLPSYGREKDAPDGRFSSLIFGTNLTDVVITGNNGTIDGQGSTWWDKFQKKQLKITRPYMIEIMYSDQIQISNLTLINSPNWFVHPIYSRLTILAPVDVPNTDGIDPDSCTNVLIEDNYIVSGDDCIAIKSGLDGYGIKVGKPSQKIIVRRLTCISPKSAMVALGSEMSGGIQDIRIEDITAINTESAVRIKTAVGRGAYVKDIFVKGMNLNTMKYVFWMTGAYKSHAENGFDPKALPKISGINYRDIIAKNVTIAGQLDGISNDPFTGICISNVTIEMSAQKNNLPWNCTDVSGVASNAVPKPCDMLPEKKIECPFPTDKLPIENVQFKTCNFQS >RHN74008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23450678:23453509:1 gene:gene9933 transcript:rna9933 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNQNQGNVQGDEKKKEKSPMTWHEIVCDGSEKKKGSKVPVYNHSRLRRSGRTCYFGPQPKQGTAGTVADKPIDLCDEEE >RHN39525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7887343:7887971:1 gene:gene45554 transcript:rna45554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGARESKLRKLVSGGSGSPYYKRIQGPMLLVPRGYVPICVGTNEETCKRFMIHTRALGDVFFRELLVKSEEVYGFRNEGVLRIPFEAQEFEEWFNGRSNKIKIKRMVIPI >RHN42367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37759903:37764445:-1 gene:gene48801 transcript:rna48801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MDKSHSFFHSIFKHFKCGYNTEGDNEAELQKMASREQKIFSYETLLSATKNFNATHKLGEGGFGPVYKGKLSDGREVAVKKLSQTSNQGKKEFMNEAKLLARVQHKNVVNLLGYCVHGTEKILVYEYVPHESLDKFLFKEAEKREQLDWKRRFGIITGVAKGLLYLHEDSHNCIIHRDIKASNILLDDKWTAKIADFGMARLFPEDQSQVKTRVAGTNGYMAPEYMMHGRLSVKADVFSYGVLVLELITGQRNSSFNLDVEEHNLLDWAYKMYKKGRSLEIVDSALASTVLTEQVDMCIQLALLCIQGDPQLRPTMRRIVVKLSRKSPQSHMEQPTRPGIPGSRYRRPPRHSALSSTVGTSAASYSQSSDSSNNFGTSTTTVTGRSSATAELDPRGKRPMQD >RHN79907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33412363:33413115:1 gene:gene3762 transcript:rna3762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MTHDKEIFRELDISQISKVRIGNGKLIAVEGKGTVAIESCTGTKLISDVLYVPEIDQNLLSVGQLLDKGFKVIFENKQCLIKDANDKVIFSIKMRSKSFSFDPMKEEQIAYPAIVNSTEIWHKRLGHFHHAAVLNLQRKNLAQGLPHLDAELPSCKACQYGKQVRLPFTQATWRATEKLQLIHTDLAGPQRTTSLNESKYYIVFIDDYTRMCWIYFLKSKSEVAGF >RHN38941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2937850:2941438:-1 gene:gene44918 transcript:rna44918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MNMTEEEVRMVLGRKWPEAAGVAPRRQAQTKSTIVIGDSFTAQTSTSPWLLSPSGDFAFGFLPLKDTNLFLLSIWYPKISEKTVVWYANGDSPAPKGSKVELTANDGLVLTSPNGVRLWNTEGLNVKVSRGVLNDTGNFVLQDGKFNSLWETFKFPSDTLLPSQVVDKGRKLSSRLKETDFSKGRFELILQSDGNLVMHSINLPSGYVNENYFESNTIKSSTSSAGAQLVFDKSGYLYVLGENNEKYNVFEEESNVSTTQFYLRATLNFDGVFTLYKHPKSSTKSEGWTTVWSKPFNICTYTVSAGSGVCGYNSFCTLGDDKRPKCQCPKQYSLIDPNDPYGSCKPDFVQGCGEDDPSKKRNDLYEFEILIDTDWPLSDYVLQRPFTEEQCRKSCMDDCLCSVAIFRLGDSCWKKKLPLSNGRVDATLNGAKAFLKVRKDNASHGSTVPVTN >RHN60290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24711567:24711881:-1 gene:gene22578 transcript:rna22578 gene_biotype:protein_coding transcript_biotype:protein_coding MFMYITMKVVIENLTGTLFYVQVGNDATVEDLKREIEAQVKLPCDRMILILDADCCPMTTKDEEKESLVDWGIEDGSHIYLFFNPIDGESTKHCVFNLPDLLLG >RHN41466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30268224:30271236:1 gene:gene47795 transcript:rna47795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl-phosphate beta-D-mannosyltransferase MELADRAVGFLLSFISLSIFTYYTFWVIILPFVDDDHFVHKYFLPQEYAILIPVSAGVALICLLCIFIGFVMLKSKKKKA >RHN59520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11639864:11644139:1 gene:gene21562 transcript:rna21562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (pseudouridine(54)-N(1))-methyltransferase MTRAYTVKGKKRKNKDAATNQNHEEEEEEEQQIQAAPKKPNLQNDEQSAPIATTTTEESELPGIPIAPLSEKNNEKQSVIFILEKASLEVAKVGKTYQLLNSDEHSNFLRKHSKDPADYRPDICHQALLSILDSPLNKAGRLKMVYIRTEKGVLIEVKPYVRIPRTFKRFAGVMLELLQKLSITAAGKREKLLRTIKNPVTQYLPVNSRKAGLSKSSEKLVDMNSYLSTIPSNQDLVFVVGAMSHGKVETDYTDDYIAVSDYPLSAAYCITRITNAIEGKWNIL >RHN40212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14267256:14279453:1 gene:gene46334 transcript:rna46334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative structural maintenance of chromosomes protein MYIKEICLEGFKSYATRTVVPGFDRFFNAITGLNGSGKSNILDSICFVLGITNLTQVRCSNLQELVYKQGQAGITKATVSIVFDNSERGRSPLGYEAHSEITVTRQIVVGGRNKYLINGKLAQPSQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKEAALKTLEKKQSKVDEINKLLDQEILPALEKLRKERTQYMQWANCNAELDRLRRFCIAFEYVQAETIKDNAISEVEQVKAKITEIDDMAKTTMVDVKEMETKIAQLTAEKEASMGGEMKSLSDKVDELSQNLVKETSVLNNKEDTLRSEEVNKGKIVKNIEELKQSVEKKASAIRKAEEGAADLKSRVEELSKSLEEHEIEYQGVLVGKSSGNEEKCLEDQLGDAKIAVGSAETELKQLKTKISHCEKELKEKKNQLKSKQDEATSVENELKARRKDVENIKTGLESLPYKEDEMEALQKERESERDCVQKLKDEIRNLSAYLSNVEFSYIDPVKNFDRSKVKGVVAKLIKVKDRSTVTALEVTAGGKLYNVVVDTESTGKQLLQNGKLRKRVTIIPLNKIQSYTVPSRVQQAAVRLVGKENAEIALSLVGYQEELKNAMEYVFGSTFVCKTVDAAKEVAFSREVHTTSVTLEGDIFQPSGLLTGGSRKGSGDLLRQLHAVAEAESKLSVHQSRLSEIEAKITQLLPLQKNFKDLKTQLELKSYDLSLFQSRAEENEHHKLGELVKKIEQELEEAKSAVKEKQLLYENCVKTVLSLEKTIKEHDNNRESRLKGLEKKIKSIKSQMQSSSKDLKGHDNEKERLVMEMEAVIQEQASLENQLASMSTQISNLASEVEEQKSTVAAVRNNLDEVRSELNAVRQKMKQCDKEISGIVKEQTKLEHKLSESNLERKRMENEVKRMEMEQKDCSVRVDKLIEKHAWIASEKQLFGKNGTDYDFSSRDPRKAREELEKLQAEQSGLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIENDKSKIKKVIEELDEKKKETLNVTWTKVNTDFGSIFSTLLPGTMAKLEPPEGFSFLDGLEVKVAFGSVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGKMIKNHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVAKQNK >RHN48964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51432894:51436138:-1 gene:gene43740 transcript:rna43740 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSSIIFLSILIYLTLSHALIQNENSSESVYEILKQYDFPVGILPQGATGYELNKNTGKFTVYFDDTCIFPIKSYDLKYKSTIKGVISKGKLSKLKGVTVKVELLWLKIVECGCGFDCNGLSKDGDTTHKSYSLSLVEIGSYRSV >RHN59429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10652583:10654616:-1 gene:gene21458 transcript:rna21458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MAIVTHSSVIFCVMICLIGIVSASNVIPSATTLVSSLIPTPNYSELSSTFYGTRCPRALYIIRREIIAAVSRDRRLGASLLRLHFHDCFVQGCDASVLLKDTPTFQGEQNARPNANSLRGFEFIDSLKAKIEAVCPNVVSCADILAVAARDSVATLGGPIWGVRLGRRDSTTANFNAANSDLPSPFLNLSGLIAAFKKKGFSADEMVALSGAHTIGKAKCAVFKNRIYNESNINPYYRRSLQNTCPRNGGDNNLANLDSTTPAFFDSAYYRNLLFKRGLLHSDQELYNGGSTDYKVLAYARNPYLFRFDFAKAMIKMGNLSPLTGNQGQIRKYCSRVN >RHN62259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42103960:42107131:-1 gene:gene24814 transcript:rna24814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MTLFLKFSPVSTTWKRKQKLQFFTTLLEASQPYPPHVISTNISIAHHAKTGKLVEARHMFDEMPLRTVSSWNTMISGYSQWGKYTEALTLVSFMHSSCVKFNEVSFSACLSACTRGGSLFLGKQIHSLLFKSGYQRFGPVGSALLHYYVQCCGIREAEMVFEELRDENHVLWSLMLAGYVQRDMIGDAMEIFEKMPVRDVVAWTTLISGYAKREDGCERALDLFGCMRRSSEVLPNEFTLDCVLRVCARLRILYVGKVVHGLCIKDGFDFDNSVSSALAEFYCVSDAVDDAKRVYESMVGEACSNVADSLIGGLVSMGRVKEAGMIFYGLRDKTLISNNLMIKGYAMSGQFKKSKKLFEKMSLKHLTSLNTMITVYSKNGELDEAVKLFDKTKGERNCVTWNSMMSGYIHNGEHSEALKLYVTMRRFLVEYSRSTFSVLFRACAYLCSFQQGQLLHAHLAKTPYQENVYVGTALVDFYSKCGHLADAQRSFTSIFSPNVAAWTALINGYAYHGCGSEAISRFRSMLDQGVVPNAATFVAVLSACSHAGLVDEGLKFFHSMQINYRITPTIEHYTCVVDLLGRSGRVKEAEEFIIQMPIKADGVIWGALLNASCFWNNVELGERAAVKLFSLDPNSVSALVTLSNMYARRGRWGKKTKIRKRLQSLELRKDQGFSWIELNNNVHLFSVEDTTHPYSDVIYKTVEHITATINSIVPFNYLYSSNDR >RHN61627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36947430:36949199:-1 gene:gene24111 transcript:rna24111 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSPLLFVYIIFLTSTLVQKENLNINGDNSDDGSLPKLTCRAIRLVSRWSSGGQQANPYVWEPRRQTTLITMENNKFMVQLYQKGDLVKIFYGSPWLLDNNMIIMKKVTVGENPLTMPMKVQFYFVFVFMNLPLYVGLRVGLRSTSNIVEFWELDHVT >RHN45467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21194273:21196575:1 gene:gene39812 transcript:rna39812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MMRNVVQIDLTNNSLSGEIPPTIGNLSDILYLSFPGNYLTGKLPTEMNMLVNLDRLLIYDNDFIGQLPHNICIGGNLKYLAVMNNHFTGSVPKSLKNCSSIIRIRLEQNQLTGNITEIIDFGVYPNLVYMQLSQNNFYGHLSSNWGKFHNLTTFNISNNNISGHIPPEIGGAPILGSLDLSSNHLTGKIPRELSNLSLSNLLISNNHLSGNIPVEISSLELETLDLAENDLSGFITKQLANLPKVWNLNLSHNKFTGNIPIEFGQFNVLEILDLSGNFLDGTIPSMLTQLKYLETLNISHNNLSGFIPSSFDQMFSLTSVDISYNQLEGPLPNIRAFSNATIEVVRNNKGLCGNVSGLEPCLISSIESHHHHSKKVLLIVLPFVAVGTLVLALFCFKFSHHLFQRSTTNENQVGGNISVPQNVLTIWNFDGKFLYENILEATEDFDEKHLIGVGGHGSVYKAKLHTGQVVAVKKLHSVANGENPNLKSFTNEIQALTEIRHRNIVKLYGFCSHSQLSFLVYEFVEKGSLEKILKDDEEAIAFDWNKRVNVIKDVANALCYMHHDCSPPIVHRDISSKNILLDSECVGHVSDFGTAKLLDLNLTSSTSFACTFGYAAPELAYTTKVNEKCDVYSFGVLALEILFGKHPGDVISLLNTIGSIPDTKLVIDMFDQRLPHPLNPIVEELVSIAMIAFACLTESSQSRPTMEQVSRSL >RHN81616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47579055:47582043:-1 gene:gene5687 transcript:rna5687 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKEALLGERESERKQSESAKKVEIHLFRQGEGPIAVFESELGGCEQNRLEVREILHKYSLKSIFAFNPRSGRAVPIRFNPKTGYSVLSYRNAAVLYIDGEPKESLLKPVYRILVGVALIAIMLMLVYGDIGISTAEWVQKLNVSGVDYPPLIVACVVVVFSRMRHRTRRFLKRLGL >RHN62394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43104509:43105131:1 gene:gene24965 transcript:rna24965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MVLKAIEVTKEVNLWAEKETNGLIKELLPQGSVDNLTRLIFANALYFKGAWSSTFSKYATQNYDFHLLNGSSVKVPFMTTNLDQFIRAFDGFKVLRLPYKQGKDERKFSMYIFFQMQKMACQLWLRRWLLILSS >RHN54008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6183446:6186688:1 gene:gene28983 transcript:rna28983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEHNNNNNNMSSSQDEISLFLHQILLRSSSSSSMPGSINQLQDGKISALDSTSRSNHAINVTSSSVGAGGGLSGNDTDEYDCESEEGVEALIEEVPTKSVGASRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSLRNGLSLHPMYFHEGLHSLPLSRMSTELSEGNGSNPLNMTSTLPHPQDNPLLYPSNLPNKNTLPSQPSMSSYPSYINDTETSFGVESGIPAQKERLQRSSEPMHGEDLLQHQQLNAINSFTNLLGGSQVVKEFQSGAMATSTTNNTLQTCVAGRDQSGVVMRNSEPNIIFTSQLSR >RHN53853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4735113:4735519:-1 gene:gene28811 transcript:rna28811 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVRFLNRFKVFKWRVLRGVFPTRIRLQDRGVPCTDGYPQCEARYKIDWHQACGIKLQVICHVNVVVQLVREALSQWKSIRAKDNQGVQHVHHDTVNESSTCDHFLMLFYSYV >RHN80686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40092564:40094395:-1 gene:gene4643 transcript:rna4643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanine deaminase MEEDAATTPNANDRDSKFIIKSVKEAYEAVESGDGYPYGALIVRNDHEVVVSTHNMVLRNKDPTAHAEITAIREACQTLDRISLADCELYASCEPCPMCFGAIHFSDIKRMVYGASAEVAGSIGFSNYIGTGTDFHRFEIKKIDGIAAEIAEEVFEKTKQSL >RHN51885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27695049:27697430:1 gene:gene36444 transcript:rna36444 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYLKENNGPKISKFYWGKDETPRRSARIIEKAKAAPLVEHQSEPPKKWGKKSATYLKASKRKIDDKELKGLKLSRLKMKKRLENQHRR >RHN76253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48880849:48885294:1 gene:gene12546 transcript:rna12546 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGYIIDHDGESGKPYTLFSAPDYPQVYPYLGFGADDDMDISKLDSSQIDLEASTSAFSGLQDAARPEFDLESLLIRHI >RHN57394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38631801:38632727:1 gene:gene32933 transcript:rna32933 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLVTEGGAVEEDPHPILSYHVCTKHIIEMEKKGVEWLLENLGFQIISLFFLSLY >RHN75993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46827899:46831362:-1 gene:gene12262 transcript:rna12262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle protein 48 MSLGLYISSNWGEGKKRKDSVCIALGDDTCEEPRIRMNKVVRSNLRVRLGDVVSVHQCTDVKYGKRVHILPIDDTIEGLTGNLFDAFLKPYFLEAYCPVRKGDLFLVRGGMRSVEFKVNETDPGEFCAVAPDTEVFCEGEPVKREDEERLDEVGYDDVGGFRKQMAQIRELVELPQLFKSIGVKPPKGIFLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFDREIDIGVPDEIGRLEVLRIHTKNMKLSHDVDLERISKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVTNEHFHTALGTSNPSALRETVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGLELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSRVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLGQAV >RHN66857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20304823:20310410:1 gene:gene14966 transcript:rna14966 gene_biotype:protein_coding transcript_biotype:protein_coding MVLISGNNKSVLQQANDGRAKAQGVTVHQNSHQQGNKSVESVRTQNLPNTVLAKGMTTLDEFKYGFPSESLSTISNKWWGWGDSDDRTDTNQDGGKSQPEESGGEAEKKERETGKAESSEETRHGLSLLRSVRKRAVEEGRETFNLGVFRGFGVNKLAKREKILLHQIFGSSLPKSWMDA >RHN71169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56902942:56908628:1 gene:gene19819 transcript:rna19819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 23S rRNA pseudouridine(1911/1915/1917) synthase MLSLNTSCGFCGVSTSTKAFQFHFRTSSSPFFFSPLNNFKLRSSIAKSFSTFSNDSNSSTEEPKSNYAGVLLEEIVHDGGIKSGKLRLDSWISSRINGISRARVQSSIKAGLVHVNGRVVDKVSFNVRAGDEIKCTIAELQQLRAVPENIPLDIVYEDDHLLVINKPAHMVVHPAPGNTSGTLVNGILHHCNLPNVEFSKEEALSDTEDSDDELNGFSSQSSSSVGLDSRLSMASIRPGIVHRLDKGTSGLLVVAKNEHSHMKLSEQFKLRTIKRVYVSLTAGVPTPVSGRVEVPVGRDPNNRLRMIAVAGLVNSVKARHAASRYKVIEILAGGSCALVEWKLETGRTHQIRAHAKYLGVPLLGDEVYGGTKSMVLSLLRPRTTPSLHSEMFKTVSGLDRPCLHASTLGFQHPHTGEQVHFSCEPPVDFNDILSQLRRIGSESISHSKAKFLKGVL >RHN41163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27682386:27682607:-1 gene:gene47454 transcript:rna47454 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRAHPYDNIIRLKSCYNKYLTASNQPLLLGVTGRKLIQTLPRTLQENTAIKFLIRIVFVANYKFFGCQSTK >RHN44365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3877248:3879803:1 gene:gene38476 transcript:rna38476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative complex 1 LYR protein MAAATPSKSQTLTLLRSFIRVSRQFPDYNIREYTKRRAIDAFRQNAALSEASSISEAFSFGKSQLDVAKRQAVVYSIYAPSLPSVMDLPNKPF >RHN40215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14305599:14313362:-1 gene:gene46338 transcript:rna46338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MKQETATPESQIASRKRRISSEEGCKKLKLSSDGLPCSVQAKVVDYSNPYAITEVLNSLEGGEYGSVTKDIDALITRKMQVLGPYFAKYPTLIDRFLKVVTVQDEKSHHSDQNVIDLDEGQIQRDVAAPKREKDVPAAQCPVVIIDSDEEDDRDQKSFHAYHEVVLPKRQSPALKMIGYHPPNAYNGESSDLKFETSLPLNAYYGESADKKIEKKLPPKDNPRKDKGVYLGVQEEEDNAVDAEDDGLEDIWREMSMAIETSKDVSADPPPEEEEEEEEDADCDHSFVLKDDLGYVCRVCGVIDRGIETIFEFQYKVKRSTRTYMSDSSKDKGKVDAFGVKIAEEDFLVTDISAHPRHANQMKPHQVEGFNFLVRNLVGDHPGGCILAHAPGSGKTFMIISFMQSFLGKYPNARPLVVLPKGILSTWKKEFQTWQVEDIPLYDLYTVKADSRSQQLEVLKQWMNNKSILFLGYKQFSSIVCDNSNNNASISCQEILLKVPSILILDEGHTPRNENTDMVQSLAKVQTPRKVVLSGTLYQNHVREVFNVLNLVRPKFLKMETSKPIVRRIQARVHIPSVKRFDDLVENTLQKDPDFKRKVAVIHDLREMTSKVLHYYKGDFLDELPGLVDFTVVLKLTPRQKIEVEKAKKMYIRKFKFSSVGSAVYLHPKLKPIAEKCDENSISDHIMDDFIADLDMRDGVKSKFFRNMLNLCESAGEKLLVFSQYLLPLKYLERLAMKWKGWSLGKEIFVISGESSAEQREFSMEKFNNSPEAKIFFGSIKACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQKKKVFVYRLIAADSPEEEDHHTCFKKELISKMWFEWNEYCGDRAFEVETLDVKECGDLFLESPLLGEDVKALYKR >RHN66673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16078351:16083535:1 gene:gene14714 transcript:rna14714 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxymethylglutaryl-CoA lyase MSSLEEPLGHDKLPSMSTMDRVQRFSSGCCRPQVDNLGMGNCFIEGRSCSTSNSCNEDNEDYTAETYPWKRQTRDMSRGDSFSPRTMTTGRNTLKSGIVDNSFYTSDYQYSQKRNNKDMQDMAYKFMKGMPEFVKIVEVGPRDGLQNEKNIVPTDVKIELIHRLASTGLSVIEATSFVSPKWVPQLADAKDVMQAVHNLRGIRLPVLTPNLKGFEAAVAAGAREVAVFASASESFSKSNINCSIEESLSRYRAVTRAAKELSIPVRGYVSCVVGCPVEGPVPPSKVAYVAKALYDMGCFEISLGDTIGVGTPGTVVPMLLAVMAIVPTEKLAVHFHDTYGQSLPNILVSLQMGISAVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGIKTNVDIGKLMSAGDFIGKQLGRPSGSKTAIALNRVTADASKI >RHN71458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:326988:331819:1 gene:gene7062 transcript:rna7062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MIPLTLTVFTLFSVLFSSVSASSLLSDFHALVTLRQGFQFPNPVINTWNTSNFSSVCSWVGIQCHQGRVVSLDLTDLNLFGSVSPSISSLDRLSHLSLAGNNFTGTIHITNLTNLQFLNISNNQFSGHMDWNYSTMENLQVVDVYNNNFTSLLPLGILSLKNKLKHLDLGGNFFFGEIPKSYGKLVSLEYLSLAGNDISGKIPGELGNLSNLREIYLGYYNTYEGGIPMEFGRLTKLVHMDISSCDLDGSIPRELGNLKELNTLYLHINQLSGSIPKQLGNLTNLLYLDLSSNALTGEIPIEFINLNRLTLLNLFLNRLHGSIPDYIADFPDLDTLGLWMNNFTGEIPYKLGLNGKLQILDLSSNKLTGIIPPHLCSSSQLKILILLNNFLFGPIPQGLGTCYSLTRVRLGENYLNGSIPNGFLYLPKLNLAELKNNYLSGTLSENGNSSSKPVSLEQLDLSNNALSGPLPYSLSNFTSLQILLLSGNQFSGPIPPSIGGLNQVLKLDLTRNSLSGDIPPEIGYCVHLTYLDMSQNNLSGSIPPLISNIRILNYLNLSRNHLNQSIPRSIGTMKSLTVADFSFNEFSGKLPESGQFSFFNATSFAGNPKLCGSLLNNPCKLTRMKSTPGKNNSDFKLIFALGLLMCSLVFAVAAIIKAKSFKKKGPGSWKMTAFKKLEFTVSDILECVKDGNVIGRGGAGIVYHGKMPNGMEIAVKKLLGFGANNHDHGFRAEIQTLGNIRHRNIVRLLAFCSNKETNLLVYEYMRNGSLGETLHGKKGAFLSWNFRYKISIDSAKGLCYLHHDCSPLILHRDVKSNNILLSSNFEAHVADFGLAKFLVDGAAAECMSSIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELLTGRKPVGDFGEGVDLVQWCKKATNGRREEVVNIIDSRLMVVPKEEAMHMFFIAMLCLEENSVQRPTMREVVQMLSEFPRQSTSSSSSSSSSSSSNSSSNPPIKKLIQNHKLPCPPTFKQDLLV >RHN75843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45481335:45484690:1 gene:gene12097 transcript:rna12097 gene_biotype:protein_coding transcript_biotype:protein_coding MIFEVSDRREHFESEDGDDDNDGIRLSQMFPHAVGLLKCDGSIKKRDSMFDNPDSSLHVSVKKSKLSPYHHHAQDEDDLRQVIDNIDKVHERKEEELKALSQKIAEITVEFMAKEIELDAVNNLIGELEEKLDSEKKKLLQVISMKKRFEGRVKELESREKLLEGHMEEIEPKEKKELVNELESEKKHIESRLQELESKEKQFEGQKKEFKGRVKVLESKEEEFEGRVKEFKSERKQFETQVEHFKSKEKQFEGRWKELELKENKFIVQVKEFELKEKQFGRQVKGLESKMNKLDGQLKKPESTKKQYDALTEHIAEEKDLVASYMDDQLSRNFGGTSLQLHISEKTDGLESLHTGILVDLLESSDPSRFVLDMIQNTIVPLSKKGDNVVIIADYQILLLEQLMKISPNIEPCVRDEALKLALDMKANMKENSKNPLVVLGFLLLLSNYGLVTSFDEDELLELFAFVAEHKIAMELFGTMGFANKASDFVENLIRRKQFVVAVRFISAYNLANKNKLVDLLQEHVQNAKLICEGSCKKTNSIEIKDKARDQEIASLRTVLQCILDHNLQSADLLDKEIQYRILELKAHKGH >RHN60649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29083240:29091023:1 gene:gene22998 transcript:rna22998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA ligase (ATP) MLFKPFFPLFSQHFAFPSNLLLHSSLSFSHPPLSSTLRHTMSSEPPPSAFDKLLSGARASKKKLPPPSSSKKRKAPTPPPSSSPHKTLETVINPNNNLKTVQNPEETVHEDPSSKIRNTSSSSNGIVAELKEKVPELKKKPENFDPSSVVCWEKNKPVPFLFLSLAFDLISKERGRIVITEIVCNLLRTVIHATPDDLVPVVYLSANKIAPAYKGLELGIGDASIIRALADACGRTEKHIKSQYKKKGDLGLVAQASRSSQTTMRKHDALTIRKVFDTFHLIAKESGKDSQEKKKNHIKSLLFAATDCEPLYIIRLLQTKLRIGYAEQTLLAALGQAAVHTEEHSNPPPGIKSPLEEAAKIVKKVYSVLPDYDKIVSALLKDGVWELPKKCDFTPGVPVGPMLSKATKSVSEILNKFQGVEFTCEYKYDGERAQIHFLENGSVEIYSRNAERNTGKYPDVIAAVSRLKKTTVSSFILDCELVAYDHGKQTILPFQVLSTRSRKNVAKSDIKVAVCVFAFDLLHLNGHKLFQENLKIRREHLYTSFEEESGFLQFATSTTSNDVEEIQEFFDKAIEASCEGLIVKTLHEDATYEPSKRSNNWLKLKKDYTDNLGDSLDLVPIGAFHGRGKRTGVYGAFLVACYDNERNEFQSIVRLATGFKEQELKERSTILRSKVIPKPKAYYSCGESINPDVWLEASEVWEVKAADLTISPVYRAAEGKVDSVKGISLRFPRFIRVRPDKTPEQSSSSEQIAEMYNAQKLNHTGQQDDDEDD >RHN68215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33731653:33735446:-1 gene:gene16533 transcript:rna16533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VI family MSFTVETNTNISNTHSSPSSAIVTHHHYHNNTKNNLKFRHFLRKMIWEFGFSCVGVKNPSRKTHKNDEKGHKTTTSEHNKAWLLAESGGCGAELMNNAEPQSVHSSFRFSFCSQVELESLNLSSSNAATVLMVNLDNGVSESLVKELKLRRIESLEKTISPVAHSLVRFSYGEIVYATRNFSKGRVLGRGALSCVFRGRVGLLRTAVAIKQLDKEDKESAKAFCRELMIASSLHSPNIVPLVGFCIDPEEGLFLVYKYVSGGSLERHLHGRKKGGKGSSTLPWSVRYKVAVGIAEAMAYLHNGTERCVVHRDIKPSNILLSSKKAPKLCDFGLATWTSAPSVPFLCKTVKGTFGYLAPEYFQHGKVSDKTDVYAFGVVLLELITGRKPIETKRSPGEENLVLWAKPYLQKGKGAIEELLDPQLKCNLRFSNQIGRMIEAAAACLTNEESRRPSIHEIIAILKGEEEPVVSKRKKSSFLGNGCVIDCYSQLQQPNNEMKSHLALAMLGVSEFEDDDYLYVC >RHN63448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51371973:51374234:-1 gene:gene26147 transcript:rna26147 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSIGIPTCFSPADKLTDDHGGGVTRSGQSVYMSVYRTKVADHCRLITITWCKNLLLHGLSISVEGPEGEAQYTCKVELKPWYFWRKQGSKRFIVDGNKAVDIFWDLKAAKFNGETEPTSEYYVAVVCDEEVVLLLGDLKKDAYRRTGCRPALIDPILVSKKEHIFGKKKFSTRAKFHEKGKWHEISIECKNRGNGGGDSVIVGSVQPEMEIRIDGHLVIHVKHLQWKFRGNESVHLSKMRIEVYWDVHDWLFSPGLKHALFIFKPILSSTISSSSSSPLSIQARNCESVEGFSVSGSSEFCLFLYAWKVE >RHN78052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11534360:11534695:1 gene:gene1567 transcript:rna1567 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHMKHSQRRKHNCVALSTAMFNAAAPHQILVLLISSGPLSFSEDFRVVRDLEQNLLMMTSNFVIINEQDLFSRFIYLFIYIEAFYSLLLWWLRCNLCFHFSLIELILAT >RHN54956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13975256:13977831:-1 gene:gene30067 transcript:rna30067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MEEQSQKKPKILCLHGFRTSGEILKKLVLRWPETVIQKLDLVFLDGPFPAQGKSDVEGIFDPPYYEWFQSNEGFTEYRNFEECLAYIEDYMLKNGPFDGVLGFSQGAFLAAALPGMQAQGAALQKISKIKFLILISGAKFGGMNYGTPKLASNAFSKPIDCPSLHIIGDMDFLKPESIILREGFVDPVVIHHPKGHTIPRLDEKSLPTMLGFINTIQGMISDDRSKN >RHN73152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13868935:13871485:-1 gene:gene8938 transcript:rna8938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MCGGAIISDFIGVKRDRDLWFELDPSVDLLGLGGAAAAAAFNTPSSKELPPLSFEKFFSSDNKVVSCDNVEKKQSLVTVEKGKKSTGGKRARKNVYRGIRQRPWGKWAAEIRDPQQGVRVWLGTFSTAEEAARAYDTAAKRIRGDKAKLNFPDTPAVTAPPAKKQCVSSDQASSQASSESAGSGFELDEEMEIKQKMSDLEWILGLDSDIPQAQAPTQVEAQPEPEPVPFTMPADWDSSFMDFWTSEDMVDPIRHLFYN >RHN69094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40980635:40981272:-1 gene:gene17523 transcript:rna17523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dipeptidyl-peptidase I MRKPCMWIETFMGFDLTEEISLQHNKKKIEKRIESEIQEGPVAAEMLWLPGMEHIKGEIYSGPEVAKDFDKAEAHGVSLVGFGEEKKDGKLIRFWVIQNSHGSQWGENGFGRIDRSPSHGRLLIHKVWIVRWVKDKK >RHN71735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2318265:2319242:1 gene:gene7367 transcript:rna7367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MVYINPHHPPSKKKKKPSSSSCFVSHTYSSPQKSKVAPNIYVNIETWFIVLVTLCIIFLIRAMLSFFTTTVPLPPGPLHIPIITNFQLLQKSISQLEPFLKTLHAKHGPIIIVHIGSQPSIFINDHALAHHVLVQNSAIISDRPTALPTSKMLSSNQHNINTAFYGPTWRTLRRNLAFEMLHPSKLKSFSEIQKWVLRTLINRLKTASESEPTDSIKVMPHFKYAMFSLLVFMCFGERVNDEKISDIERVQRKIMLNFGRFNKLNFWPKVTRILSRNQWEEFLKLLKDQEDVLLPLIRARKQVKESKLNNVNTVVSYADTLLELE >RHN67458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27432648:27441261:1 gene:gene15649 transcript:rna15649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKTSKTLIERIISNTKCTQDEKHLAAALEFSRWELSDAEKELKLLMSVASASEKDLDSKRSSQKKLEEELMNVNNQIAELNSETGETVVQQLEEEEEIRVCKNMIKCTVFSDRPKEVVILKCYHLFCNPCIQRNFELCQRMSCQRNSIWTDSMKHGHSSN >RHN62472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43671933:43679319:1 gene:gene25052 transcript:rna25052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane insertase OXA1/ALB3/YidC, membrane insertase YidC/Oxa1 MAYRRCLLQRGKLIDRRSHPSFTYLLHTDDETKPDEKRSSTGFSNNSTQSRSFRTSLNGGSIGFFSSSQHKHLSPFAGYNYCRNMSIMDQSSDKITVITDVADVTSQAATGVSEVAIAAADSYLPVQALQYVIDAVHLYTGLDWWAAIALTTLVIRTATVPLLVNQLKATSKLTLMRPRLEQIKEEMDGKTSDPAAVAQGQERMSKLFKEYGVTPFTPLKGLFIQGPVFISFFLAITNMAEKMPSFKHGGAFWFTDLTTPDALYIFPVLTALSFLIVVETNMQEGMEGNPMGNTMKKFSRVLALLSVPFTLSFPKAIFCYWITSNLFSLTYGMVLRTPGVKKTLGIPDLPPAEPTSSRQSPFSIFPALKQRKDQSSLPIESPKQIKNQSSLPVETPKQPNKKISSISQRIRSLEKHVKGRKISKK >RHN69804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46385028:46391128:1 gene:gene18320 transcript:rna18320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RING/FYVE/PHD-type MSSDDINLTPDQRIENGLTSPLVFPDHSLRFNCGGAPPRQVGDPVPKTGGFIDDKMFTVNRDQFFPSQGTEFRRNVFSDRGNPSDVLNWSEGTPSSNDSDGEDDEDDDEDDDGGDTVVDRLVGVGDGSKRSSNSIIDVNNNNGGNVTNRKSQLHSAYVSGREIMGKDGEIVQLVHNNASGATMGGDDQRERLGKNHNSVTDCEEYYSHYLQGTEGSPSVQKVMVDDDGCGFSGRKDFVYSSESGESLRAILSDPVSGTLMDDAMILPCGHSFGGGGIQHVIRMKACCTCSQATLEESISPNLSLRAAVQAYRREEESQFYRSSKRKRERFDQGGFGECAVVESSRTRGVQFPFAVMDRVIIKGNKRTPQRFVGREAIVTTQCLNGWYVVKTLDNAESVKLQYRSLAKVSDNPSKPVSSNMGPNWLQICRTTS >RHN39529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7912195:7912557:1 gene:gene45559 transcript:rna45559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I MMKGAEECSGICSPFQMPPCPSSNCRCIPLVLAGGNCVDPSSPTITKMVEKHANLCQSHADCTKKGSGSFCARYPNPDIKYGWCFSSNSKAQEVFFKISSNPRFMEDLKIKPGTCGSFFY >RHN38904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2740249:2742680:1 gene:gene44881 transcript:rna44881 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKEEALRAKEIAEKKIENRDFVGARKFALKAQSLYPVLENIAQMLVVCDLHCSAEQKIFGDEINWYGVLHL >RHN78831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18818037:18822942:-1 gene:gene2487 transcript:rna2487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uricase-2 isozyme 2 MAKNVEGFEFEQRHGKERVRVARVWKSKDGKQQFVVEWRVSINLLSDCVNSYVRDDNSDIVATDTMKNTVYAKAKECSEILSVEDFAILLAKHFTSFYSQVTTAIVKIVEKPWERVNVDGQPHDHGFKLGSEKHTTEAIVKKSGALQLTSGIEGLSVLKTTKSGFEGFVRDKYTILPDTRERMLATEVTALWRYSYESLYSVPKKPLYFTEKYLDVKRVLLDTFFGSPKEGVYSPSVQATLYQMAKAALNRFPDIASIQLKMPNIHFIPVNLSNKNGQFVKFDDDVYLPTDEPHGSIEASLSCSRSKM >RHN53456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1766495:1767377:1 gene:gene28365 transcript:rna28365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative complex 1 LYR protein MGGTRVQRLSGMQKQVLSLYRGFLRAARSKPDQERRNIESIVSQEFRHNSKEVDRKNFQYIEYLLRRGHKQLDQLNNPGTTGLSSLQLHFPKD >RHN54432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9498047:9505160:-1 gene:gene29471 transcript:rna29471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polynucleotide adenylyltransferase MLRTNNNSKRGVFTHFKTLINNSRCFCSSSPKQPLQYHSSSETESDSSLSRGRIDISNWKTFDAWKLGVTGSMISKPSHFVLKLLQDKGLKSYLVGGCVRDLVLNRTPKDFDVVTTAKLIEVKRLFRRFGHRADVVGRRFPVCLVHMQGSVIEVTSFHTESETPKAMKNVLHSLMPKCKNKENRFLCKNSLRRDFTINSLFYDPFANKIYDYANGMADLRSLKLETVIPAQISFKEDPGRILRGFRIAARLGLSLSREIEAAIWTCSSLVEDLNKDRMMIEMNYMLSYGAAEPSLRLLWKFKLLQFLLPVQAAYLDEQATKEDAQDSNMLMKLFFHMDNLVGCGRPSDCTLWIGLLAFHLALVNNPQDALVVWAFASVLYHGDWEGGIKFAKEHAKMSVNFEPEIKRSSICKSDEDIAEAVTKLASLVIDSIHPLVNIESLSQSLSRYPSVPPPHMVLVVSKKTGKAVSEIFEVLVNDIKFYKSERKSAKINYDMLGSGHTSETRFVLGKIVLQTMQSGIIGDADGFGTEKCHPDTEGTKDFGQLVTREDKRKVLSTPNLEHRPQKLKKQKLAENACIEEQKTGLDEFCKYKETNEEHQKPVKLHQEVHLSMVNSMPKNKSNKRKQLINDEKKNDDKKIARASKTSTDYAKHLNIDTSAQSTMSKNHPVIANNHNKNVDVTTDESVDEVVNDKGRQQPQKSKKSRSPLSSLFK >RHN69093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40970539:40976803:1 gene:gene17522 transcript:rna17522 gene_biotype:protein_coding transcript_biotype:protein_coding MNWLVRFYVNCDSFHSVQCCRMSMELETVLHGVTRPPLESYEEQKTEPESDSESDSEPEPIDWDWVSKPIEYLQDKYEDDPIPRYFSCTRFVYKNRVVREKEEAEEKAVAEYLKTALNISPFDAIPIPPLANIFGHNWPRPVPIDDHEQPLLIRLSKCALEKYNKDNQGLKYEFKVLVKAAISHIPLGSYYITFKATPKPEDVHPSNTSATTFQAHVCDCRPEPVIKSCSIKT >RHN46108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28607001:28614548:1 gene:gene40548 transcript:rna40548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MDEFESEVCCLENKQSTVASCSSVSEGSDSIIQKSPGICSPTATSTSPSHRRTTGPIRRAKGGWTAEEDETLRNAVAAFKGKHWKKIAEFFADRSEVQCLHRWQKVLNPELVKGPWTQEEDDKIVELVAKYGPTKWSLIAKSLPGRIGKQCRERWHNHLNPDIKKDAWTLEEELALMNAHRIHGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLDFYLATGKLPPIPKSNPLVAAKDTIKRSASKTILVSSNKELNVAVEASSETTAISKPDDSGKIQFESSGTAREVGDSSSLPANESADSDCVEGKPGPSNVDLSCGNSEHISRANFGTTYGPKYENSALNGNSITEHCLNNGKMRNSSSGLIRTSTQENQTCGSLCYEPPLLAGSVPLDSLHLSILCMQNDYCSKLSPIGYTTPPRARVSELCTETPESILRKAANTYPNTPSIFRRRRTGVQPLTSPSKVLKVDNDSHASNEPYRTKNNSDSEAQTLSESPASRDNNKAFNASPPYRLRTKRTAVVKSVEKQLEFAFDKEKNDDNMSAMEKSTKRSNVMTEDRLHERKLVAT >RHN77411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6674299:6679420:1 gene:gene859 transcript:rna859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LIM family MGWLSRIFKGSDHKVSEGHYYKDDSSYYLPSTSGDVWTENENEDIDRAIALSLVEENQKVKNVKDHKSQLEEDEQLARAIEESLNLESPPKHGNDNMYQPIQYFPMGYRICAGCNTEIGYGRYLNCLGAFWHPECFRCRACNLPISDYEFSTSGNYPFHKACYKESYHPKCDVCKHFIPTNPAGLIEYRAHPFWNQHYCPSHEHDNTARCCSCERMEPQGTGYIAHKDGRKLCLECLDSAIMDTNECQPLHADILKFYESINMKLNQQVPLLLVERQALNEAREGEKNGHYHMPETRGLCLSEELSTFSRRPRLGTGNRDTRAQPYKLTARCDVTAILILYGLPRLLTGSILAHEMMHAWLRLTGFRTLSQDVEEGICQVLAHMWLESELSSAPGSNFASASSSSASYTSKTGKRPPFEKKLGEFFKHQIESDISPVYGDGFRAGQKAVRKYGLERTLHHIKVTGSFPY >RHN81687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48114874:48117365:1 gene:gene5762 transcript:rna5762 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTSIKASRHPSRQCRKEKTTQPLPSLPTVSSRLVVVVIAATMIMRRPRRKRRTRKAITTRAAPAWLIVMTVGRKNGWKSCE >RHN67507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27827294:27830704:1 gene:gene15702 transcript:rna15702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperonin-like RbcX MMDSHTGPCLCVDALPTTNMNLKIGCGDVVLWKNLMGKKHLEKRGGGRTMGLSSSFTDPGREWRVKFSRSCKKQRSEDRRVTIVSELGGQYEDTFEDVKTQMLNYFTYKAVRTVMQQLYEMNPPKYTWFYNFVVSNKPQDGKRFIRLLGKEQQELAERVMLTRLNLYAKWVKKCNHAEIYNQISDENLELMRERLMETVIWPSDDSSSELIG >RHN67811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30418135:30418437:-1 gene:gene16047 transcript:rna16047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative taxadien-5-alpha-ol O-acetyltransferase MAYQTATLMLEMKDVVLIKPSKSIPSCILSLSTIDNREIYNNLAQTVHVYRSPSINDSDSSFNFCHVFKEALSKALFYYYPFAGILVFFFFFFLSLNTNV >RHN82126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51419439:51421401:1 gene:gene6252 transcript:rna6252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MEANTLYPQAKDLTYSEFPLKFVWKAADHRWSPRKQGVSIGRIHFVPLGSGEKFYLRTLLNYVKGPASYDEIKTVGGVKYKTFKEACFALGLLEDDKEFIDAINQASMWGTASYMRRLFVALLVTNQFSRPEVVWEKTWRNLSDDVLSTQRRLHRVQDLVLDDHQLQSYALAEVEKLLRGHGQSMKDDYPMMPQPDASMINRVRNMLMYDELKYDKNILKKEHKSLMLTMTQEQRSVYDKIISRVDANKPGLFFVYGYGGTGKTYIWRALSSALRSRGDIVLTTASSGIATLLIPGGRTAHSRFNLPIMVDECSTCGIFPKTNLAELIINAKLIIWDEAPMMHKHLFEVLDRSLRDLMRHHNNGRMDIPFGGKVVVLGGDFRQILHVVPKANRQEVVNSSVNSSAIWRHCEVLTLTTNMRLLHGCSSEELEERKQFSDWILGIGDGSIGEVIDDDIRVQIPDDILIHSTGNHIAAIVGAIYPSLAMNMNDPSFFPRKSHIDTQKCHC >RHN56951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35203792:35205080:1 gene:gene32423 transcript:rna32423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-IX family MNIAKALKKVSKNIQNKLSLNPQVDHDPPHSVVHDHPRHFLEHTPPNEARSSVVPTARHVGEASSSVVPPQNDGDCLFKPVVFSLRSLKFSWHICSKKSAAGGTGSEFHSELEASKLVRHHNLLSLVGYCLGEGEKILVYEYMPNGTLSQHLFDWEVEGLQSLTWNQRMIIALDIAKGLEYLHGSLTKSTIVHGDLKPSNILLGENMRAKIADFGLGCFIPHNKSSIRTGNARGTFGYIAPEYAGSGTVAPPGDVYSFGVMLMELMTGSKAVDDSRGEDRRSIVTWFQEMRKDENLLISAVDETIDTTEEDTLATIGIIRKLAFKCTERSSGRRPDMSRVVSVLYPLVEN >RHN40733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20071068:20072442:-1 gene:gene46928 transcript:rna46928 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNSSTDCVEVIFGLLSWFEVLQGISISKRWYEIHYGQHLPALGATKRLRN >RHN47891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43364402:43368157:1 gene:gene42543 transcript:rna42543 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLDYHFAKINVGVLRMWRTRLIRSNNVRDQIGSISRSAELDYECIAAFLLNRALCEFEFEDVRKLSAELCGRIHPQVLFPVICSKLDRAVDLKNVPEIKACLFSICTSLVVRGWESLSHPLVHSIKRMIETVLLWPCLNADSVSKVQHGCIDCLALMISVELQAEESITDYMPDRVLVIGKKAAGNSIITYVMNQFFNDKEELSSTPELGEDKCESVAAVPLYFRLCMGNVLISTCQKISESCKKLFAAQVLPFLLHSLKFEKRSEIRAACIQVLFSAVYHLRSAVLPYASDLLKISLKSLRKKSEKERMAGAKLIASLMASEDVILENISVGLLEARSVLSTVSSSDPSHELQQLCRKLLACISSP >RHN71988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4194190:4196884:1 gene:gene7653 transcript:rna7653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MASKTLFKWPKQITNSLVEQLIKAEKDINKTLVMFDSATEEYSNGFRHDHNTFGAMIHRLVSVNQFRPAEGMLERMKQEDCEVTEDVFLTICRGYGRVHRPLDAIRVFHKMEDFQVKPTQKSYLTVFDILVEENHVKRAIGFYKEMREKGIPPTVVSLNILIKALCKNEETVESAFRLFREMPNRGCQPDSYTYGTLINGLCKLGKISQAKELLDEMEEKGLSPSVVSYTSLIHGLCQSNNLDEAIELLEEMIINGIEPNVFTYSSLMDGLCKSGHSSQAMELLEVMVRRRLLPNMVTYSTLINGLCKEGKHREAVEILDRMRLQGLKPDAGMYGRIISGLCAACNYQEAANFIDEMALGGISPNRASWTFHVKMHNMVVQGLCNNVDPTRAFQLYLSMRTRGISVEIGTFDCLVKCFCKRGDLNKAARILEEMILDGCIPDEGMWNVLMCGLWDRKKVRETTELLVAELKQKFVEAES >RHN40881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23657813:23662361:1 gene:gene47124 transcript:rna47124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEILISVVAKIAEYTIVPIGRQASYLIFYKGNFKTLKDHVEDLEAARERMIHSVKRERGNGKEIEKDVLNWLEKVNEVIEKANCLQNDPRRFNVRCSASSFPNLVLRHQLSRKATKIAKDVLQVQGRGIFYQVGYLPPLDIVASSSTIDGEMYDTRESLKEDILKALADPTSCNIGVYGLGGVGKTNLVDKVAQIAKEHKLFDKVVMTQVSKNPDIKKIQGEIADFLSLQFDEESNRGRAERLRRRIKMEKSILIILDNIWTILDLKEVGIPVGNEHNGCKLLMTSRNQEVLLQMDVPKDFTFKVELLSENESWSLFQFMAGDVVKDRTLKDVAYQVAQKCEGLPLRVVTVARAMKNKRDVHSWKYALKKLQSHDSLDALTYSALELSYDSLDSDEMRDLFLLFSLLPGNDVLYFLKIAMGLDILKHVNTVDDARNKLYTMIESLEATCLLLEVKVDGKIQMHDFVCEFAISIACRDKHVILMKQRDEEWPTNAFLQRCTQIVLDYCHMHDLPQTIDCPNIKFFCLASGNRPLKIPDDYFEGMRSLRVLDLTCLNLSSLPTSFQFLTDLQTLCLNFCVLENMDAIEALQNLKILCLWNSSMIKLPRQIGQLTQLRMLDLRSSGIEVIPHNIISSLTKLEELYMGNTSINWEDVNSTVQNEHASIAELRKLPNLKALELQIRETWMLPRDLRLMFEKLERYKIAIGDVWDCMELKH >RHN59981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15903363:15905735:1 gene:gene22157 transcript:rna22157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CR4L family MGYLNFSCKAESSISTSNSVTSSSKPNKENKEKTIKIQQFQYSDLEAATNNFSDNKLLGKGSHGYVYKAIVRGKPVAVKRPSRPISSSEITNEVDNEIDILSKIHSPRLVNLVGFTNDSKDRLLVVEFMCNGTLFDVLHSSSRNLNWGRRIRLALQTAKAIDILHSSNPPVIHRDIKSANVLIDQNYNARLGDFGLALRGFDRVRSTPPAGTMGYLDPCYVTPDNLSTKIDVFSFGILLLEIISGRKAIDVAYSPPSVVDWAIPLIKKGKVFAVYDPRIPPPKDLVVRKQLALIAAKCVRSCRERRPSMNEVVNWLSGLCKLVPNHSWNGFNNPCMMVETVGRPVEPRNGHVNSSRFVEDGNFDGLDARLSKSALRYSRRVHSDLGFSSNLMDLMATTEEAEFVRDGVVEHSSKSVEQVSSSRFGSGRYFAKGKNLYRPCGTDKDAFGLSKVQIVGERSSKQHEISESTLNSLATEAI >RHN61450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35546744:35547182:-1 gene:gene23920 transcript:rna23920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRFPGIIRRASFSGNRSASKAVDVPKGYLAVYVGEKQTRYVIPVSYLSQPSFQDLLSQAEEEFGYDHPMGGLTIPCTEDIFQHITSRMNGI >RHN48903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51038422:51041683:1 gene:gene43669 transcript:rna43669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MEDTQEVEEVQEEVISDKTSENKEEETRDEILARHRKEISQLQKKEVEMKKQAARGSKAEQKAKKRQVEEEVSQLSAKLKEKQAKELSALGYSSGIGNEKSNMDNLVKAIAGVSVSSQPENTKVSKAKQRRDKRAQQEAEREQRIQAEQNDNISDRTVENEKLERKLKPLGLTVCEIKPDGHCLYRAVENQLAHLFGGKSPYTFQQLREMAAAYMRKHTSDFLPFCLSENLIEGDSDESIAQKFENYCKEVESTAIWGGQLELGALTHCLKKHIMIFSGSFPDVEMGKEYKSADGIGSSGSSIMLSYHMHAFGLGEHYNSVVPIPI >RHN82277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52500846:52506943:1 gene:gene6427 transcript:rna6427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arylformamidase MKKRTVCLSKSQSTKTTQIKLSPKKQKQKQKQNNCVVKYHFSEKEKNKSARVGVVSKNKQHHHTMMKSLSLFAFFCIISVAAASTAYPSVPGLDSGDCGLTGDETLLVPPRREVYDAGRIFDISHKYTPELPVWESKEGLANFLWLAVSMKNGSRANGSAMQIGAHTGTHVDAPGHFYDNYFDAGFDVDSLDLRLLNGLTLLVDVPRDTNITAEVMKSLNIPKGVNRVLFRTLNTDRRLMFKKEFDTSYVGFMEDGAKWLVENTDIKLVGVDYLSAAAYVNSVESHLVFLESREIILVEGLKLDGVPAGLYSLNCLPLRLVGSEASPIRCILIG >RHN81217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44161101:44162374:-1 gene:gene5229 transcript:rna5229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MAEVKLHGFWYSPYTLRVVWTLNLKGIPYENIEEDRFNKSPLLLQYNPIHKKTPVLVHDGKPICESMIIVEYIDEIWPQNTLLPADPYDRAQARFWVKYVDELISVIAAFLHSKVGEEREKARENLWERLRVIEDQCLDDRKTFYGGDTINIVDIALGSFIKFIEVQEDMFKVKILQSERFPRLHLWFNNFKDAPVIKENTPDQEKLVAFLNPLIEKIKAVKASS >RHN46437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31708852:31710681:1 gene:gene40921 transcript:rna40921 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKKTENDTEMMNDWLNSIQTLLKSVDHDYIQSCSISIVPEELKNSLNEEAYMPRVVSIGPRFKGSREDLLLMEEVKLRSMLSLLHRAGKEGESKTYLEKCSKAIWELDKLVRASYVSDIKLEKHELAKIMLVDGCFLLELVITKGFGSELPSRLNSHCPAPEVLKDEDVLSDLMLLENQIPILVLHKLSQILFPNVFDSTDRVQRATKINNLILSILSYPEVSNLSNLEAPHILDLVHFFVNSRRQSESESDSEIESNDNHIVGITEKNQKLKLELKRCASRLLAAGVSIKVIEDDKDSRISSCFSLIRNFFGGVFIKFGKMLVVSKEIDKQVDATVVDGEVRGLDFEFKFENGKFEIAQLHITKTTKAKWCNVIAWEHHKKNWKSSSMDGYESGDENQIGTINLSGKFTLSALIFNGLICCEADVKLLKEKNIIVDHLKMNNEELQDFFRTISFGVDREIVDSSYVKMVDELNNYSEAFFILKIFKIFRHLCMYHLEWVVNFLKQNYNFVAALVALLSVVQTVYAILDYYLKK >RHN50659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8934430:8935570:-1 gene:gene34969 transcript:rna34969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MKSISGYLTLIQAWVYEHFPTLCTNCCKMRPDYKEQLPRSIKWKTKRDKGLVIPFRQALDNITVDQVCWTPYVAHRDVRPLNEVSLYRGWIRWGSKMYAHLPDRVLRQYGHVQSIPLSPNDVTGHSTTLERMDVMFTQYAINVVDPDLVSNDPSACVDGYMDWFRMISHPYLIRRDPNPLVEGLTADATSLLRARQIVNTLLDKQYIAPNGIPLVNELMKLLTTEKGVEPSKRNKKKRRLR >RHN50961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12332514:12335338:-1 gene:gene35318 transcript:rna35318 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDDFSWRQFNYNYQIPHDRGHHYADDTYLPHRMPAHYADDMHSTHQILEHYDDMRFLPHRIPDHYDDKRILRHRSPDHYDDTRFQPHQIPDYYDDTRFVPHRSSDHYDDTRFQPHRIPDHYDDMRFQPHRSPDHYDDTRFQPQPHRSPDHYDDTRFLPHRSPDHYDDTRFLPHRSPDHYDDTRFLPHQTPPYYPDNRHLTHQRPKHYADKRPLPHQTPKQFAAKRNLPHQRPKDCANKRHLPHPLPEGNTDKRPALPQTPEDFNYKRILRHQKLEDYADKMHSPQQKPENFADKRHTRQKMPEDFADKRILPHQKTEDFADKRDSQHQNSEDTCSGLDAIARAPPQDPMISQSDLRTKEDEGKEHREVQNCGMEECAEKACSPKRPEQHIMVSHKRLEEHHNGKRHRRMLRDISKKQKTSNGEESRHIQNSQMNPVVQTPDLPHQTPQNYADMKCLPHQTLEPTIPVVTTVELVHCETCDVTVPVKCLEKHNGGKKHRRMLSKPCEQSTNDGSPVENMSHEAPRFRYKEVPAEGSKRKVRDNTDAKGHVFKREVEEKTGGKYMKMNNGIRRLAKSSKPEVNDMLYSAESLVQITPSEYVASPKMASIPAEGSLVPVPSLVFTPAAAELSFEPSIQIDSQTEVAEGKEHHEVQHYGVETNDQPHSISMEFHAPAGSDSGAIVIAPPQAPIASQVSAPIATFKSSFESENHQVIQTETSKSKVHNEIQNHTVDSNDHQRTISMELHDLAGSMTNNQTDGVNSDSVAIVVEPLASALPDAVGPNFEPLTEHGLHTETEPELSEAVVYYESQNLIEGTNIDFPQSDSMKIDGDSEVRTKTKTADGSSQVEEEMDVLSDDLGKVQLPQVSVCLKCGDEGFEETLVYCKKCEDCALHRYLLLTLLIYSLACTCCKF >RHN82595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55024828:55025181:-1 gene:gene6770 transcript:rna6770 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIMDMHIELSYCGFDGFKMLAMNYLSLESHFLLETIRCLLEETNMTPADVAKNLMPKVSNEDVETSLERLIQKPLEALRKRLRKEEGTSGEDSSEKEAEDTEDKELEEEIDNGKS >RHN48497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47955707:47956237:-1 gene:gene43217 transcript:rna43217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MKCKFLRGCVNKLKKMTRPCDYWFGLLSSVFEMDSIPNDVPKGHLVVYVGENYKRFVIKIGLLHHPLFKALLEQAREEYDFIADSKLCIPCNEHLFLSVLSFASSTHNEKVFVCV >RHN38799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2096888:2107108:1 gene:gene44767 transcript:rna44767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reduced growth phenotype protein MLKGRLSFFGGGDSGLLDKNSSKNEEIVPTLKLETDKQVYRPGDPVIITIQISNPSNGYSFLMERLSFEIRGIEKLDTQWFATQKPLPGSRQRRGEHVFVDSSTPILVANQIINAGTSKSYVVRTMLPSIIPPSYKGSNIRYLYYVKSAITGGWLILENGQSRTEPTNDVTDLEVRIPLQIWVNQKSSGFPLDDDIVPLTTVQLDIFWKEMDGDADWVRANDIYDGVEDGYDSSRDDISSVSSYNPMKENMVRGFGSSLSLRSSSARSLNRLEGHRTSLSSNIALPRLSVAEVLSDTGADALSTQKSFTMVSPTEQQKFGKQFSAEDDAGVSSSPEAGPVESLSSEGFIRGRSYNIRLDDQVLLKFSPKNSDSTYYFSDMIGGTLTFFHEQGGRRCLEISVTLETSETINRRFVHPSRRNSPTITKVQSDHHEVVADLAQTSFLFSVPVDGPMTFSTPHVSVQWVLRFEFYTTPKFVDWTKYEHPLLIEGREKTEWVLPITVHAPPPRTPTSGSRNEKLFSLDPMWVHT >RHN65460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2418527:2419371:-1 gene:gene13301 transcript:rna13301 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSKEIVTGTLSMQLVQVGDSLAYDSHTIEKHNRDQPFPDLRITGPWCDVVTDLDYCQDSTTSDLEHDFGYDRRQLSIVAPPIPAAVQKNMDFLRQSWDNMAEVDVSNQQFQMVVSKKKKKHQKQQTEASKGTFPTRLKVSTSKGFQ >RHN67641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28913576:28916748:1 gene:gene15849 transcript:rna15849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxolaurate decarboxylase MLKLLFFILSFFIGVNGKHFVLVHGPFHGAWSWYKVATMLKSAGHNVTTIELAGCGISPIQVQEIHSISQYYEPFMTFMESLPPKEKVILVGHSFGGIPLSVAMEKFPNKISVAVFITALVLSEKFDFRNYIQEYTTRQGTSQLFFFNGTNNPPTASLFGPKLLAAHLYQLSPHEDLTLGLSLVRPHPNFNDEKLLLKETRVTKHRYGRVPKVYIISKEDILFPEDYQMWVIGNTGPYVEVKVINGSDHMVMFSKPKKLTSHILKIAHKY >RHN43373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45244984:45250343:-1 gene:gene49935 transcript:rna49935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytosol alanyl aminopeptidase MATPTETFLKDYKIPDYYFETEKTIVSSKISVFPRVEGSTPPLVLDGQELTLVSVHINGKALKVYIYIFEHLMEFLCHLASLSSKEEDYHLDARHLTIQSPDLEIVTKILPQKNTSLEGLYKSSGIFCTQCGAEGFRKITFYQDAGPIAHQVRPHSYIQGAEVIRMYKTLLGSQGFRKGMDLYFKRHDGQAVMCDDFYAAMRDANDADFANFLLCQEIPPTPGQSVKEPMFIPIAVERRGVCIKLLIDIFDRLVPSLLRGYNAPIHLESDLTDDDLFFLLANDSDEFNRWEAGQILARKLMLSLVDDFQHNKPLVLNSCFVDGFKRILFWTKYAKEPEFVAKTITLPGEGEIMDMMEVADPDAVENNRTGQYVFDHSNMARRALKNNTTATNMTEQFAALASLAQNPGKTRDDVLAYFYDKWQNNYLVLNKWFSLQAMSDIPGNVENVRKLLNHPAFDLHNPNNVYSLIGGFCRSPVNFHAKDGSGYKFLGDIVLQLDKINPQTASHMVSNFSRWRRYDDNRQKTCKEDVFEIASKSLAA >RHN66548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13656595:13657675:1 gene:gene14554 transcript:rna14554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MLIYEYMSNKSLDSFLFDPFQSKLLDWSARFNIIFGIARGLLYLHQDSRLRIIHRDLKVSNILLDDDMNPKISDFGMARMCGGDQIEGRTNRIVGTYGYMAPEYATNGLFSIKSDVFSFGVLLLEIISGKKNRALNYHEHDHNLIGYVWRLWKEGIPLELIDDFLRDSCIESEALRCIQIGLLCLQHHPDNRPNMTSVVVMLSSENSLPKPKEPGLLIKKLSTEGKASPGRQTSSTNEITISQLDAR >RHN74855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37199088:37207022:-1 gene:gene10983 transcript:rna10983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MKLIMNTSLHLLFLSFCLISSFASGATLLQEEVKVMKEIAKTLGKKDWDFNIDPCTGENNWKSSVQVKGFENAVTCNCTFANATVCHIVSIVLRSQNLSGTLPRELVRLPYLQQIDLSNNYLNGTIPPQWGSMNLVNISLIGNRLTGSIPKELGNISTMQKLILKFNQLSGELPPELGNLHQLERLLLTSNFFTGNLPATFAKLTKLKHIRLCDNQFSGTIPDFIQSWTILERMVMQGSGLSGPIPSGISYLKNLNGLRISDLKGSDSPFPQLIGLTNIQTLVLRSCNLIGEVPDYLGHITTLKSLDLSFNKLTGPIPITLGGLKNINMLYLTGNLFTGPLPNWIARPDYTDLSYNNLSIENPEQLTCQQGTVNLFASSSKRNNLGRVSCLGNFSCPKTSYSLRINCGGKKITSNESLTYDDDSSQVGPASFQRTGSNWALSNTGHFFDSLADYYTWSNKTNLAMENAELYMDARVSPLSLTYYGFCLGNGNYTVNLHFAEIMFTDDQTYNSLGRRIFDIYIQGRLVLKDFNIAKEAGGVGKAIIKKFTANVTSNTLEIRLHWAGKGTTGIPFDSVHGPLISAISVDPDFTPPVEKGSSIPVWEIAVIVVAGGLVILLVFGIYWWRRCRQHMGPLERELKGLDFQPGLFTLRQIKAATNNFDIAYKIGEGGFGPVYKGVLSDGTIVAVKQLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCMEGDQLLLIYEYMENNSLACALFAKENAQLKLTWSTRKNICVGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNPKISDFGLAKLKDDGHTHITTRVAGTYGYMAPEYAMHGYLTEKVDVYSFGVVALEIVSGKHNTMNKPRDECFSLVDWVHFLKEEGNIMDLIDERLGEDFNKEEAMIMINVALLCTRVSPMHRPTMSSVVSMLEGQSDVEEVIQDTNQVFEGNKLEIIQQYHQQLEIIQQYCEQSEKVYTPETQEESILISETAEFMSHTDMRSISMDSPYRF >RHN46705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34201003:34202495:1 gene:gene41225 transcript:rna41225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase Group-Pl-4 family MRQIITSLKKIHDTGTVHRDIKPSNLVVTKLGRIKFVDFGAATDLRILFPLNCIFYHKKHQVFLHSQLQLYFHQYCGS >RHN68131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33129756:33130340:1 gene:gene16433 transcript:rna16433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Band 7 domain, Flotillin family MTMEEVFRGTEEFKQEVFKKVQHKLNQFELLIYNVNVKQLVERMNAFTA >RHN82690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55719478:55725992:1 gene:gene6869 transcript:rna6869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKNLKLFREVPLLLRLNSDDETFRFSALDIERNRLFFLSSHNFIYTSHLSSFHQKQAWSNNNSSLSTNHAIVDLEPDDTVTSFDYLMEKEALLLGTSNGLLLLFDVDANVTQVVGNVDGGVNCISLSPDGEVIAVVTGFGQVLVMTHDWDLLYEISLLDDDEAEGHHVNGENFMEQHPISWRGDGKYFATMSMCGSNLSRKLKIWERDSGALLASSEEKAFAGPVLEWMPSGAKIAAVYDRKAENESPSVVFFERNGLERSKFSVGEGINAKVRFLKWNCSSDLLAGVVECGNYEALKIWYFSNNHWYLKHEIRYLKQDEVRFMWNQEKPLQLICWTLGGQVTVYNFVWNTAVTDNSVALVIDGSNIHVSPLSLSLMPPPMYLFSLKFSSRVRGMAVYCKNSKNQLAAFLSDGSLCVVELPSIETWEELEGKEFSVEASHTEMVLGSILHLVWLDSHTLLSVSHYGFSHSNDLFQSSLNEGALRGFYLREIELECSEDIVPGLLTCSGWNATVSKQNTLEELVIGIAPNPASKYSAYMQFSDGKIKEYLSKIGTGGGSLEQEYQGFSAACPWMDVVLVGSAGQSKPVLFGLDEIGRLHASGGIVVCNNCSNFSFYSNLADQVVTHLILATKQDLLFIVDIVDIFNGELDSKYGNFVRSNSRKREENENYIHIWERGAKIVGVLHGDEAATILQTTRGNLECIYPRKLVLVSIINALAQKRFRDALLMVRRHRIDFNVIIDYCGWQAFSQSAFEFVRQVNNLGYITEFVCSVKSENVIETLYKNHVSVPCSEVANVTLAGGLQNFLADNKVSSILMAIRKALEDHFTESPARELCILTTLARSEPPLLEDALKRIKVIREKELSHADDHRRMTYPSAEEALKHLLWLADGDAVYDAALGLYDLKLTAIVALNAQKDPKEFLPFLQELERMPTPLMQYNIDLRLKRFEKALRHIASAGDSYYDDCMTLVKKNPQLFPLSLQLFTDPAKRMPFLEAWGDYLSGEKCFEDAATIYLSCFNLDKALKSYRAINNWSGVLTVAGFLNLGKDEVLHLAGELCEQLQALGKPGEAAKIALEYCGDVNSGVNLLISARDWEEALRVVFMHRREDLVKVVKDASVECASTLTNEYEEGLEKVGKYLARYLAVRQRRLLLAAKLQSEKREGSDVEDDAASEASSNFSGMSAYTTGTRKSSATSTLSAATTRARDARRQRKRGKIRPGSADEEFALVDHLKGMSLRVEARRELKSLLVSLMMFGEGETARKLQQMGENFQLSQMAAVRLAEDTISNDTINEYAHTLEQYTPKVRDEMHDSEALSWRVKVFLSNE >RHN59353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9791272:9791512:1 gene:gene21373 transcript:rna21373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle-associated membrane-protein-associated protein MSNKSDNYLAFKVKTTVPEKYCVRPNIGVLLPTSISDIIGEIICLIM >RHN49459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54944070:54944612:-1 gene:gene44292 transcript:rna44292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDMDNDMFVTLLLLSSVFAACCILLVFLCVHTYRRSLPKFPSVMDSPQYNVESTTMEEDEEEEEEEVAQGLDESVIKAIPSFIYTTAKSEQEEEFRAECAVCLEEFQDNNHIRTLPICSHTFHLNCIDVWLRSNASCPVCRSCLVEEDYLTKCSNASSSQRTLSLERMVVIDIPATTSPS >RHN56680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32777282:32778247:1 gene:gene32117 transcript:rna32117 gene_biotype:protein_coding transcript_biotype:protein_coding MKANIARLRNNVTSKLNQMLSRKMQLMIWRFVCFVSSIIGLLFYALSSSFNHICGKWSLLKIILYTVFSFIICIADLIANIWQTSPSLRFRAHLAFLVFTITTIYSYIFDKKDNAKPDAYSLISLAAFAVMSLCMSKQTHLGFEVDLLYFFCAYFTLLLMKIKLFLVVVGASFSYFLIMFRFYPGESGLPIQDQPSIGNQNGAESDPILHFIPNNTAASSVEEDPIRHFYQTNPSNENKKKTMVRKIIDWIIGRV >RHN61468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35671459:35673938:1 gene:gene23939 transcript:rna23939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MAIWLKTLIHVFLFCFLITVEIAETSFHDDPCKESSCDGIHGPFIRFPFRLKGRQPQWCGHNDSWYELYCTDTNQTVLELPFSSKTILKTINYTSQIITVSYPEFCLHKQIPNFNISSSPFQFNYEFGLNQYALFNCSATSHRKTYYTYYNILTSGNFSCLNVPGFEVIAVQSISSIIGTPLLSCTRIQDLVLLPNSLFNNNVDAGLNWFQPDCRNCERKGGQCKANRYASQHEFDCIGIDKGLSKGKVIAGGILGPFSLIFVGVTVYCIYVACIERKNYIRIKKFLKYYKALKPSRYSYADIKRISNQFKEELGQGAFGSVFKGKLSNEVQVAVKVLNTSTGNGQEFINEMEAMCQIHHVNVARLVGFCADGNKRALVYEFLPKGSLQNFISSADNKDVFLGWDRLQNISLGIAKGIEYLHQGCDKRILHFDIKPHNVLLDDNFTPKISDFGLAKLCSKERSIVSMTTARGTLGYIAPEVFSRNFGIVSYKSDVYSYGMLLLEMVGGRKVTDVTDENNNHVHYPQWIYNLLENNEDIKIDIEGEEDTRIAKKLSIVGLWCIQWHPVNRPTMKVVVQMLEGNGEKLEMPPNPFAPSSATATRTCARRLNLELDVISEIE >RHN70818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54268908:54269551:1 gene:gene19440 transcript:rna19440 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGILGWGTEFVTDTNRSWGNCVRGKATALFTQRRVIASAGDQGKAFTVGLLIIYCVFGVLAGIVGGLLGLGGGFVMGPLFLELGVPSQSSESFKLQYINRHSLILIIKQLVPSATTTFAMTFSSSMSVVEYYLLKRFPIPYGLCRDSF >RHN69879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47071458:47073949:1 gene:gene18408 transcript:rna18408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MGELGPYLAVFIIQLIYSGMTLLSKAVFNGGMKTYVFVFYRQLIGAIIMVPLALIFERKQAVPVTFSFMTIFKIFMLSLLGLTLTLNVHGVALSYTSAMLSGAIVNCLPASTFFFAVLLRVEKVNIRTKSGISKIGSVLLCMAGVAILAFYKGPQLRIARHLPSGYHHNYQQHEDSESYDKKWILGSLLLFLTTITWSLWIVLQAQLLKTYPSKLRFMSIQSLSSAIQSFVIAIAFERDIEQWKLGWNMRLLAVVYCGTLVTAVAYYLQALVIEKKGPVFPATWTPMSFIIATIGSVLLLGEPLCLGSVFGGILLVLSLYSVLWAKSKEGITQNSLPIIQDHKECANQVKTEVPCIKPPQ >RHN81442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45952990:45955188:1 gene:gene5484 transcript:rna5484 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNPTIKWGLAPAFFHKPKPTSKKKMRSLMASASLTLALAILFLSFPSEISANKYAYSSPPPPHYSSPPPPPVHTYPKPVYHSPPPPVHTYPKPVYHSPPPPVHKYPHPKPVYHSPPPPVHKYPHPHPVYHSPPPPVHKYPHPHPVYHSPPPPPPKKSYKYPSPPPPPVHTYPKPVYHSPPPPVHHTYPKPVYHSPPPPVHTYPHPKPVYHSPPPPVHTYPKPVYHSPPPPVHTYVPHPKPVYHSPPPPVHTYPPHVPHPVYHSPPPPVHSPPPPHYYYKSPPPPYHN >RHN56860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34275708:34276115:1 gene:gene32320 transcript:rna32320 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWRALRDQPRYGSQVGGNVDSGSSGSKRSYEDSVGSSARPMDRDAAKKKGKKKSKGETLEKVEKEWVQFKELKEQEIEQLKELTLVKQQKNKLLQEKTQAKKMKMYLKLRDEEHLDDRKNELLGKLERELFEN >RHN74897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37628323:37630840:-1 gene:gene11031 transcript:rna11031 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAGSFTHMPVLPTLKYASKKSMTITIKCANNNKNKTKIAAGKKPMGSTGSGGLGHDPTSTNDYDDEHDKNKTKVNPKKPIGSTGSGGLGTDPQTHNPLLPTNPPKNSDDDEDQLDKGDE >RHN77780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9377342:9389992:1 gene:gene1269 transcript:rna1269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MKCREKFSSSSVEPLSLLQKFMVVVVLLFHDHHHQRCYAITITNNQTYCPSSSCGTIRNIKHPFRLQNDPANCGDPRYELSCENNITTLTLFSGKYYVKSINYRNYSMRVVDLGIQEGDCSSMPRYFLTAANFTSSYDYNYHGDPYELGNGQSLVEHVIYLNCSNQVKNDPLYVDTSPCRINSANNSYVYAIDRDFSVGELNVGCRVKIVTISSAPALIVTEGRQPFPYKEIHRLLSYGFELSWMRRGCEDHCDNNNQNCFMDYNAGYLVCQNDDCITPLGLQINCVHLSKMRILIEDVILGIVKGLLQIVGVTKSSELAGGEFDLSNKVSDSKIAIKLGRISGRYILPSLVMRFTTGLVVFFVLLIYTCRRKHASIYENIEVFLRGSTMMPIRYSYKDIKKMTRSFKVKLGEGGFGCVYKGNLISGPFVAIKMLGNSKGNGQDFINEVATIGRIHHANVVRLIGFCFERSKRALVYEFMPNGSLDKYISSKDDVIDLTCKKMYEISLGVARGIAYLHEGCDMQILHFDIKPHNILLDENFIPKVSDFGLAKLYPNDISIVTLTAARGTIGYMAPELFYKNIGGVSYKADVYSFGMLLMEMASKKRNLNPHADRSSQIFFPIWIYNQLIEDKEIEIDEITYEENNNVKKMFIIALWCIQLKPCDRPSMNKVIEMLEGNIENIEMPPKPSLYPNEMIQEDLDVNSNEIESENDGSTSLIDDEDATKSLLMNSA >RHN50497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7653103:7662248:1 gene:gene34787 transcript:rna34787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MKFLQSLLGKTRFAVRNGSLPRVSGTRFYNVPAEEYNRRNYAKNVQEYNTVLGSLNAQRRIFLLRDVYEDMMLDGVRPTRETFHALAVGSMRGSRMHDAFFFKDQMKIMGLVPDVTFYNFLISTCGKCKNSDQAVQILEEMKSMEVKPNVQTYICLLHACAAQGRIDRVQIIVRDMTAAGLGLNKFSYAGLIVAHQNKTPLTDDFDAKVIEYVGRSKNWSSVETDSANAENVMVGVTDEELYNLPTAEYVTRRGGFLNRPFTAYHTAFNAAADFKNVKLTDTLLEMLEKENKTPDIYIVMQVIRCYCHAGEIDRGLQFFEDFLALGKGNAAEIYVTLAEGAMVGYTEKGMQISIDILTRMNERNFFMNSKMGSELLIMAAGEKTGGYTNANYIWDLMRARNIYPSLAAVEAYYQGLKDRQIPQDDPRLMLVTQMYDNLRSRFRT >RHN77263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5510421:5511223:1 gene:gene701 transcript:rna701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WPP domain-containing protein MSDPETKPEQDSTLAPPQQPNFTGNYSIWPPKQRTRDAVKNRLIETLSTPSVLTKRYGTMSADEASAAAIQIEDEAFSVANASSSTSNDNVTILEVYSKEISKRMIETVKAKSRLADDGNTSVGTPSSAADAVAVLSLD >RHN58115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43838104:43842313:1 gene:gene33724 transcript:rna33724 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBERON-like protein MLPPRQQSRVGGLQTSLSLVSSDPRLSPEDPRSNSDNLRESPTESASSRETWPTADAIAAKKMENGKADIDCPDQSVIRRVSSADRISLQDIARERVDVIHEKMLRLPGEFLEELKTGLRVILEGGNGMQHRDEFFILQKLVQSRSDLTAKTLIRAHRVQLEILVAINTGIQGFLHPNISLSQPSLIEIFLYKRCRNIACQNQLPADDCSCETCTNNSGFCNLCMCTICSKFDFEVNTCRWIGCDLCSHWTHTDCAIREQLICMGPPVKSGSGPSEMVFRCQSCSRTSELLGWVKDVFQHCAPSWDGDSLMRELDFVSRIFHGSKDHRGMNLFWKCDDLKEKLKSRKMEAKAACRAILTVFQELDLDSPKSLENVESGRLIAPQEACNRIAEVVQEAIRKMEFVADEKMRMFKKARLALEACDRELADKAREAEELKMERQKKKSQIEELERIVRLKNAEADMFQLKANEAKREAERLQRIALAKSDKSEEEYTSNYLKQKLSEAEAEKQYLYEKIKLQESSRLSQSSGDPSSMLMYSKIHDLLYNGPPKADSQSNDCHPFRTNP >RHN81371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45307342:45308894:-1 gene:gene5396 transcript:rna5396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RAB6-interacting golgin MCLICRKREKMQKMKNLGSIGSSGRFSTEECEDEDVSKLAISTLQAKEEEIERRKMEVKERVELQLGRAEEETRRLAHIWEELEVMEDPMRKEVAMVRKKIDLANKDVKQLGQNYQKKEKEYKEALEAFNEKNKEKNHLVATLTEMLAESERIRMKKLEELCKTIESVSLKQ >RHN46173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29367790:29370365:-1 gene:gene40617 transcript:rna40617 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LecRK7 MFLKLAFMLFFHVTLVASKDNSFIYNGFQSSHLYLDGIANLTSNGLLRLTNDTKQEKAHAFYPNPIVFKNTSNGSVSSFSTTFVFAIRPQYPTLSGHGIVFVVSPTKGLPNSLQSQYLGLFNKSNNGNSSNHVFGVELDTIISSEFNDINDNHVGIDINDLKSAKSTPAGYYDVNGQLKNLTLFSGNPMQVWIEYDGEKKKIDVTLAPINVVKPKQPLLSLTRDLSPILNNSMYVGFSSATGSVFTSHYILGWSFKVNGQAENLVISELPKLPRFGEKKESMFLTVGLPLVLLSLVFMITLGVIYYIKRRKKFAELLEDWEHEYGPHRFKFKDLYFATKGFKEKGLLGVGGFGRVYKGVMPGSKLEVAVKRVSHESRQGMREFVSEIVSIGRLRHRNLVPLLGYCRRKGELLLVYDYMPNGSLDNYLYNQPRVTLNWSQRFRIIKGVALGLFYLHEEWEQVVIHRDIKASNVLLDGELNGRLGDFGLARLYDHGADPHTTHLVGTVGYLAPEHTRTGKATKFSDVFSFGAFLLEVACGRRPIENVAENECVILVDCVFECWKRGNILEAKDVNLGTNYVSEEVELVLKLGLLCSHSEPLARPGMRQVVQYLERDIPLPDLSLLSLSSSGLTFGYQEFFEDFPLSYPSSMGNTMSHTSVSIADSLLSGGR >RHN40775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20945516:20948795:-1 gene:gene46981 transcript:rna46981 gene_biotype:protein_coding transcript_biotype:protein_coding MQGYSHDLIVISYFQQAPFSSFLPSRAQLFSILLLGFISEIGSRISCMWFLVLILELFVCCVGDQNQLIGGWYKVEV >RHN53935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5467748:5470189:-1 gene:gene28905 transcript:rna28905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MGSYVGTPFSLSSLMCHEQDESTLIFEEDEDESTFFINSSLDNNNNNPWFLLDDEEEYIQYLFKQETGLGFGSITHFLCYDDHDVEVEDDDSSKSLFWLRNARLHAIDWIFNTQAKFGFTVQTAYLSINYFDRFLSKRSIDESKPWAIQLLSVACLSIAAKMEEQSVPPLSEYPIEYRFENKVIKNMELLILSTLEWKMGLPTPFAYLHYFFTKFCNGSRSETIITKATQHIVTMVKDFNLMNQRPSTIASASILAAFDSTLTKKEIDLRISLVSSCGNLESEHVFSCYNVIQEKIRDKVNKTPSSDLLSTESNSTCVVVENKFNACVGVKRKLSYEEMNIEDFPPGQKLRQP >RHN57992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42971973:42972858:1 gene:gene33592 transcript:rna33592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVEILKNFYAMNLFIFLIILAVKIRGAHFPCVTDDDCPKPVNKLRVIKCIDHICQYARNLPDFASEISESTKMPCKGE >RHN54820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12615759:12619548:1 gene:gene29911 transcript:rna29911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SNARE associated golgi family protein MAKNGDTIEIINVNKPMPVQSKFPLSFFETTVASTVALGFILGLLGVYLTMPDSDYSFLKLPRTLHDLQLLRDNLESYTSDYTAQVLVGYCVVYIFMQTFMIPGTVFMSLLAGALFGVFKGVALVVFTATAGASSCFFLSKIIGRPLLFSLWPDKLKFFQTQVAKRRKSLLNYMLFLRLTPTLPNTFINFASPIVDVPYHIFFLGTVIGLIPAAYVTVRAGLALGELQSVGDLYDFNSIATLFFIGIISVTPTLMGKSDS >RHN40469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16585336:16585803:1 gene:gene46622 transcript:rna46622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, SWIM-type MIHISVRCIQATGFDRFTDESDSFQNVKEGYIASTSWHRALEIPDSAVTLDNKNRLFAKVASKKDSSLTHIVWNPGSECSFCDCSWSLHGNVCKHVIKVNMICENLQGCQSSMSFRSFEEVLMDLLRKPVDDSFALDLSLAWTHQMLDQIQNFLN >RHN59635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12565853:12568135:1 gene:gene21701 transcript:rna21701 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta'' MICTDHSHLMYPAIFHDTFYLLAKRRRNRFLIPFQSIQERKNELMRPFGVSIEIPINGIFHRNSIFAYFDDPQYRRQNSGITKYRTVGIHSVFQKEDFIEYRGIKELKPKYQIKVDRFFLFPKKEDKISRHSSILIPPGTVKKKRKESKKINNWIYVQWIATTKKKYFVLVRPVILYEIPDSIDFIKLFPQDLFQERDNLELKVVNYILYGNGKSIRGISDTRIQLVRTCLVLNWDQGKKSPSIEEAPSSFVEVSTNGLIEYFLRIDLVKSNTAYIRKRNDPSGLGLIADNESDRININPFFSIHYKAKIKKSLSQNHGTIRMLLNRNKECRSWIILSSSNCFQIRPFNNVKYHNGIKKNPKIPINNNNSLGPLGIARQVGNFYSLYHLITHNQISIMKNFQLDKITEIFQVIKYYLMDENDKIFKPDLDSNIVLNPFHLNWYFLHHYYCEKTFTIISLGQFICENICIAKMKNGPHLKLKSGQVITVQMDSVIIRSANPYLATPEATIHGHYGEILYQGDILVTFIYEKSRSSDITQGLPKVEQILEIRSIDSISMNLEKRIDAWNECITRILGIPWGFLIGAELTIAQSRISLVNKIQKVYRSQGVHIHNRHIEIIVRQITSKVLVSEDGMSNVFLPGELIGLLRAERTGRALEEAICYRALLLGITKTSLNTQSFISEASFQETARVLAKAALRVVLIG >RHN51425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17599114:17600412:-1 gene:gene35857 transcript:rna35857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eukaryotic translation initiation factor 3 subunit C domain-containing protein MLKGSRNILFKGSSNVALSRLGLCAFRAGLVSEAHDCQSELYSGGRLKELLIQGVAQNRYHEKTLEQERLERSMQMPYHMHINLDLLGSVYLVSAMLLEVPNIAANVHDAKHKIISNNFLRLLEISDKKTFNGPPEMLRIMSWLSQGFLSMETSTMLLTILHLLAYGNL >RHN69883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47091269:47092134:-1 gene:gene18412 transcript:rna18412 gene_biotype:protein_coding transcript_biotype:protein_coding MADYENHHHQQQQQQQQVVSRETAFQALNTIIQLHFEKTLEKKRAIDLQKKELHKLFQIFFIFLSLVFMANSMSPRLQCRHCWIPITLLSMAHLIFYVSVAQTLRCINGFKYQRRCHKLTLGLATEKLRDMKMRLSGGGDYDTIGDEEFEIHYQEPPESYFGKFKRNWALHFGFLILIYGFMISSSVVLLCF >RHN69785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46269173:46273984:1 gene:gene18299 transcript:rna18299 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSRTKCGGCSQRITQLHQFFLRHPFISAPPPPLHHSSKLLSTPHPKSILSSFLHSPPILHPPSRIGVFRFFSSKPPLNLGFKAKKVLEKPTSAVTSAFTRYREAMVLQLEAFFKRNNLFLFGAAGVVLCAILWRIMFGIANTFVVLSEGMAKYGFLSLSAAIVAFTGLYIRSRHTINPDKVYRMAMTRLNTSAQILEVMGAPLTGTDLRAYVMSGGGITLKKIKPSLRSKRCFLIFPIKGSERKGLVNVEVKKKQGKYDMKLLAVDVPMASGPDQRLYLIGNEKEYTVGGGLISDLRDPVVKAMAATKEFDDLDEIEDEEDAERERQEVERKHREEVAKVENSGTKQ >RHN71387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58648330:58650615:-1 gene:gene20065 transcript:rna20065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L5 eukaryotic/L18 archaeal MAFVKAQKSNAYFKRFQVKFKRRREGKTDYRARVSLITQDANKYGTPKYRYVVRLTNKDIVCQIVSATIAGDIVLAAAYAHELPQFGLHVGLTNYAAAYCTGLLLARRVLKTLEMDDEYEGNVVATGEDYSVEPADSRRPFRALLDVGLVKTTTGNRVFGALKGALDGGLDIPHSDKRFAGFDKEKKELDAEVHRKYIFGGHVAAYMKTLMEDEPEKYQTHFSEYIKRGIEADGIEELYKKVHAAIRADPSIKKPEKQPPKEHKRYNLKKLTYEERKTKLVSRLQALNSAAGDDDEDDEE >RHN82206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51955143:51960859:1 gene:gene6347 transcript:rna6347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BTB/POZ domain-containing protein NPY2 MKFMKLGSKPDTFQTDGNNNVRYVASELASDIIVSIGDTKFYLHKFPLISKSSHLQKLISLNNEENINEIQISDIPGGASAFEICAKFCYGMTVTLNAYNVIATRCAAEYLGMHENIEKGNLLFKIDVFLSSSIFRSWKDSIILLQTTKSMSPLDEEQKVVNRCIESIANKACVDVSKVDWSYTYNRKKLPEENGFESNQNGVRTRNVPKDWWVEDLCELEVDMYKSVITNIKTKEIQSNDVIGEALKAYAYRKLPNFSKGMIPCEDVSKHRLIVETIVKLLPSDKGSVSCRFLVKLLKAVIFVESEDRIRDVLVKRIGQQLEEASVNDILIKAPDGEITMYDVGIVQKIVREFLMKDHNSEIELVGGGELEGIRKPGILSDASKLMVAKLIDGYLAEIAKDPNLPLLNFVNLAELVSRISRPSHDGLYRAIDTYLKEHPGISKGEKKSICQLMDCRKLSVDASLHAVQNERLPTRVIVQVLHFEQVRTAASSGTSTPDIAKGIKDLSIGSNGSSRSGTTNPEYDTDGAGTAEELKALRKELASLRQSNGVGNSFKDGDAKPNMDKAVIGKMKGLLKSKKSFIKLWASKGGHGENSGSDSSESIGSVNPEEVKSTPSRNRRNSVS >RHN52443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35346334:35349707:-1 gene:gene37124 transcript:rna37124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MNASTKKKIQKKCKIRGYNIKPEALEEILSFVTRFESTQEEDEATDIVLDHLEQESLKSAIIDVEPVQRVVNLLLAADGTEETNDMFASSSSSAIGVIDVFDIPRYKYDPIRRNFYQHPGSLPRLGDPPAKSALYRDRFLLLSQRLSRHQDFSRPAFESELSQFRSCEISPIQSLVGRKGRRWVMGVISQLEDGHFYLEDLSASVEINLTDAISFYALIKIILFCTKNSIIFLNVLLHSSC >RHN44639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7127512:7139240:-1 gene:gene38782 transcript:rna38782 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVPSQSSKQNYKTINGDYVFYNNNSTSAMNQFQFVEDYSTYNNCLRSEFFVRPMAEEEESSSRLNTITTTTHHNELEPEPEGETISTSKEEEEQEQEREANNNNINNNNNNNNWLQLSIGNTAVTTTTKHGRDHNQTPTSSSGLLELELLPTNWAASRPNLEQPSTRGCSNFNSSLFFEQTTSSSSSSSLSISMSMPSGPTYGHQQQHQHLVPHNWAFGPLLPHSMAINMPSSTSYIPSSSLSQSCSSLRPSLGPNYYPQYHHASPFHFPSSSSSSGFDQFDVAGPSSSSNVAFRVVDPPRRPHSGIWFMLQASQNQLKEPFLPQIPKNYLRIKDGRMTVRLLFKYLVSKLKLESESEIEITCRGQQLLPFLTLQHVRDNIWTPRDTTTRPLLSDSSTTDHVMVLHYGRSTS >RHN74530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34416624:34417324:-1 gene:gene10617 transcript:rna10617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MLINVKESTVVRPAEETPRKALWNSNVDLVVPNFHTPSVYFYRPNGTSNFFDAKIMKEALSKVLVPFYPMAARLRRDEDGRVELYCDGQGVLFVDADTTASVDDFSDFAPTLRLRQLIPAVDYSAGIETYPLLVLQVRFLLCFFSCYFIF >RHN70543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52181879:52183573:-1 gene:gene19132 transcript:rna19132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MNDPLNAAAQMGDIDLLFKLIQIDPYILERYNLIPFVDTPLHIAAASGHTSFATEIMRLKPSFAWKLNEYGLSPIHLALQNKYHRMVCRFVDINKDLVRVKGREGLTPLHIATQTGNFDLVVKFLFVCPGCIEDVTVRSETALHIAVKYKQFHVLEILLGWLRRTCHRRSHHKEKRVLNWEDEAGNTILHMSVLNSFPQAVGLLIDSNIDINAKNLDEQTALDIVEQIQSQVYSAEMKDMLIKAGALHGFSLAPTPLHEELQSKITFNERIAICVTRLRRRISSDTRNALLVVAILFATSAYEATLNPPAGGGKLVMMKMHTYFLGFWSLNTFSFYVSILMMCLLMPRGRISVIVTCPLALFCGCYMFSMLVIAPSYTFGIVTVAIPCILVAVYFWGAMIYITLAQKVRMYGREWEDVSKFSEGNKW >RHN65821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5639986:5642022:-1 gene:gene13711 transcript:rna13711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-dependent channel, 7TM region phosphate MDSEIDKELPVAFVTFKSRSAAVVAAQLQQHSHPLLWVTELAPEPRDVSWRNLRLSYRVLPLCRLGVVIAASLLTIFFAIPVTAVQGIAKYEKLKKWFPPAMAVQLIPGLSSIVTGYLPSVVLKGFIYVVPFAMFAMAKVAGCVARSKEEIKACNMVFYFLVGNVFFVSVLSGSLLDTLGKFISRPKSIPNELATAVSAQADFFVTYILTDGLSGFSLEILQPGLLIWNILTSCTPGRQRERNPYLYSLPYFRIIPFVSLSILIGLVYAVVAPLLLPFLIVYFCLGYVVYINQIEDMYETTYETCGQYWPYIHHYILLAIILMQITMIGLFGLKLKPAASISTIPLLLFTLMFNEYCKLRFLPSFHHQSLKDAAENDELDEKCGQLEFHYKNAGNAYYPSGLQPVSFAVSESSSTPLVSS >RHN52049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30968421:30970064:1 gene:gene36665 transcript:rna36665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MPFLRLRYKALCVSFNANLILHPRLLHSFNNPLPSFIPNVDDAASSFNRILRMNNPTKPIFEFNKILSSLVKLNHFHTAISFYKQMEIKPIQPSIFTLTILINCFCHLGHLNFAFSILAKILKLGFEPNTITLTTLMKGLCLNGKVKEALYFHDDVIAKEFQLNQVSYGTLIDGLCKAGETRAAMQVLRNIDGLLVQPNVVMYSTIIDRLCKDKLVIDAFDLYSEMVAMRIFPNVVTYNTLIYGFCIVGQMKQAIDLLNEMLLKNISPNVVTFNTLVDGLCKEGEMKKARKVLAFMIKQGVELNVVTYSFLMDGYFLVKEVNKATFVFNTMVRRGVTSNVHSYTVMINGLCKNKMVDEAVNLFKEMHLKNMAPDIVTYNSLIDGLLKYGRISDAWDLVNEMHNRGQPADVITYNSLLDALCKNHQVDMAITLFTKIKDQGIQPNINTYTILVDGLCKNGRLKDAQEVYQILLIKGYHLDVRMYTVMINGLCKESLFDKALSLLSKMKDNGCTPNPVTFEILIRALFENDMNDKAVELLRKMIVRDLL >RHN72407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7396143:7396676:-1 gene:gene8114 transcript:rna8114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAVMTRKRRRMMEETAESPITILPKEVMIEILSRVDSSNTLQLRCVCNLWNSLVLDPQFAKNHIKKSCTEITVLLVKVWKYIKIFKSTFHHPELLRNAAARWDKLTNAAARWDNLGDREKNRMMKEVAKLDSLFEIAWYAKGRFRTLREDMQILEDRLKCLKIFLKIYRKSATSSSS >RHN71065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56223673:56228147:-1 gene:gene19708 transcript:rna19708 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSQNNFLAENKKHQRKIVEEKKKKKSKNKSSSTVTSGRRTGFPAKHLDFSALLNDPNIKELAEHVEKYPSFNQIAEQLQETLHGATRDRLPKSNDRKYVSTMQKIINNLDLWKMFERLSDVLMQDPSTSSVPEIFVNPSNKGQRKQRMAHIQKDPCLKLILDEIENGGPAVMMRYWNDENILKMFGLAMGIIPYSEDAVASSENSGPDETEDMRYEDESIIHHTANVGDVELCCMNLKERFQRLSVSPVDIISQVQIESIHEEDSFVGFMLKLNLVAVLFRLRPRGPLPYYWSGYVRAMSCYSRQKSCYSSYLRAKSSTSTQQGAGREGLLTILTRVMEETPSVRFAQEFLLVLFLYHSLCTWFCTHEEFDQEDTDAEVVAFITREKEYRDRRCLEAE >RHN81134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43554378:43565781:-1 gene:gene5134 transcript:rna5134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosinetriphosphatase MVETRRGSSSSKRPLSSSPPSNTKRSKVSQDASSTTLPSIPVKESAKRNESGKPDDIQQPSDLPETASLNVLDGGNTDKSHSNPIQPNPLSPQSPGESAEKPKVAAPVVSSRRKPRSVAKLIAKPAAWGKLISQSSQNPHLSISDPIFTVGQGRQSNLVLKDPTIGNVLCKLSHIEQQQGGSSVALLEITGGKGVVQVNGKTFRRTTKMILNGGDEVIFGASGKHAYIFQLLKSNNVSTAGTPPSVSILEAQSAALNGMQVEARSGDPSAVTGASILASLSNIRKDLSLISPPAKTCKKQSADISSLPSGHGDNVPDNEMKDTTNNDESAGVFSSGKDIPSSSTTANENPSLDTMDVDANADTDVGKMANANYELRPLLCMLTGSGTEFDLSGSIHKILEDQRELRELDTPTILASTRRQAFRDSLEQRILKADDIDVSFETFPYYLSDTTKNVLVASTYIHLKCNGIGKYASDFSSLCPRILLSGPSGSEIYQETLSKALAKHFGARLLIVDSLSLPGGTPSKEVDSAKESSKPERPAVLAKRSGQASTLHHKKPTSSVDAEIIGGSTLSSQAMLKQEVSTASSKGTALKKGDRVKFVGNFPPTVSSLQNYASSSRGPSYGFRGKVVLAFEDNESSKIGVRFDKSIPDGNDLGGHIEDDHGFFCSANHLQRIESAGGDDDKVAINEIFEVASNQCKTGALVLFIKDIEKAMAGNTDVLKSKFETLPQNIVVIGSNTQLDSRKEKTHPGGLLFTKFGSNQTALLDLAFPDNFSKLHDKTKESSKLVKQLNRLFPNKVTIQGPQDEALLPDWKQQLDRDIETMKAHSNIVLLRSVLKRTGWDCSDLETICIKDQTLTTENVEKIIGWAVSYHFMQSHEASTEEGKPAISAESIKYGFDIFQSIQNENKNVKKSLKDVVTENEFEKKLLGDVIPPTEIGVTFEDIGALENVKDTLKELVMLPLKRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKEKERILVLAATNRPFDLDEAVIRRLPRRLMVDLPDAPNRGKILRVILAKEDLAADVDLEAIANMTDGYSGSDLKNLCVTAAHCPIREILEKEKKDKSLALAENKPEPELCSSADIRPLKMEDFRYAHEQVCASVSSESTNMNELQQWNDLYGEGGSRKMKSLSYFM >RHN51547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19594890:19595237:-1 gene:gene36004 transcript:rna36004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zf-FLZ domain-containing protein METGPKRRRFYKSQSTICLDDLSSDENVAHVNTNDQRIIEKPTSNFGKAPLGQRLFSLGLPALDAPTKRTSSALPKGFLHACRWCKKKIEKDTYMYGYVLFLFSFFFPHFIDIQH >RHN59318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9506693:9507100:-1 gene:gene21328 transcript:rna21328 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWRALRDQPRYGSQVGGNVDSGSSGSKRSYEDSVGSSARPMGRDAAKRKGKKKSKGETLEKVEKEWVQFKELKEQEIEQLKELTLVKQQKNKLLQEKTQAKKMKMYLKLRDEEHLDDRKNELLGKLERELFEN >RHN39396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6789649:6791251:1 gene:gene45416 transcript:rna45416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MNTEVENHGGSTETPTIGEPKKGWRKVLEGIGSWLSYKDKEKWLDDMRGNLGLIATVIATMTFQMVLNPPGGVMSIKDGTDPPGTNANPPSTNANPPDADDSDKNCTFIYGERLCPGEAVLAVGDSYGYFQFLISNTICFVASLSICLLLVSGIPLNHRFLMWLLSIGMWVTLTSLAYSYLTAVLMTTPNSVYVEATKVVNKVFLTWIGLSGFVGLCHTLRLVTWGVNVFLKRSKKPETPKSTKETPIC >RHN75699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44387394:44388167:1 gene:gene11937 transcript:rna11937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II Pbs27 MWQKTNTSSSHITITQTLSLNTIMASPTLITPTSTPKSLPPIKTKLTTISSTLTPTTTIPSRRREFLSTSATILTTTFLAHVTPALAASDEEYVKETEEVISKVRTTITLDKNDPNVATAVAELRDTSNSWVAKYRREKALLARASFRDMYSALNAVSGHYISFGPTAPIPAKRRVRILEEIEVAEKSLKRGR >RHN55777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23599341:23599769:-1 gene:gene31029 transcript:rna31029 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQADARSVHVPKHTESARAVAHNLDDDVCGTCSLSVYHQYLALDGIYRPIGAEFPNNPTRRQCLVVRLGTSTTRLSPSLAPLSKGLGPNSPLRTLLQTTIPTPRATDSHGGLIPVRSPLLRESLLVSFPPLIDTLKFSG >RHN61834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38697567:38699587:1 gene:gene24334 transcript:rna24334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative X8 domain-containing protein MPTIKSFVFSDSLLLLLLLSPFCHGGSDGGAVKQELWCVAKNNAEDAALQTALDWACGAGGADCGPIQNGGPCYDVNSVQNTASYAFNDYFLKHGLTDDSCSFNNNAAVTSLNPSYGNCKFPSGMSVSNGSLIGSTPSPIGLGPGENMSGCSKASLGWWFWLLGIGHLLLMVSVSA >RHN59068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7263880:7267216:1 gene:gene21054 transcript:rna21054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain-containing protein MEKQIFLDIACFFIGVDKKDVIQILNGCGFFADIGIKVLLERALVTVDKGNKLRMHDLLRDMGRQIVFEESPSDPENCSRLWHREEVFDILSNQKGTEAVKGLALEFPREDCLETKAFKKMNKLRLLRLAGVQLKGDYKYLSRDLRWLYWHGFPESYAPAKFQQRSLVAFELKYI >RHN58889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5739640:5741422:-1 gene:gene20845 transcript:rna20845 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQPQEDTRTIPLKILVDKQRNKVVFVEATKDFVDTIFSFLSLPLGTIVRLLSNNNDNNNDQQQRRSQSSSFLGNIKNLYKTVQNISNDVWNNPFCRHMLLNPRNPCESLCMNLFLNVDNSEPSRNNAQNGVFVKENGSLFLVSDDLKIVPSSSVTSMQLLMELGYSDLTQIEEVTHNIGKQEILNLLKYTLTSHEPLTNTILGSSSKNKEIPPNQFASTVKVTPCTSDTKKIDVKVIQSKSKKNIVFAETNGDFVDFIFSFLAVPLGSVVNLLGANNFAGCVGNLYKSVENLDSSWCTDSRTVLLNPGVAHQFGCRNQPLNIPEVQPPPITYYYGTGTPKQVFTHRVLWRNYYDTVKAMIEGGVISKSHESIYHAKSLIALDPRSLNGSKEVDMGFVKRAALYCVENDLKVKPHSANSFLLCLKELSLSLDDLEANVISIGEAEALSFLGAFLTSRFTLTSGLKDLLSVPKQESTLLDVPKPESTFFMLI >RHN45513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21849430:21858481:-1 gene:gene39865 transcript:rna39865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoporin, NSP1-like, nucleoporin NSP1/NUP62 MSGFPFGSSSSTQSSSSTSAFSFGSSPFGSSPSPFSTTTNPTSTATAPSSFNFGSSSSLFGASPASSTPAAASAPSIFGSATAGSPFGGGTPSTPGNLFGGASSASTPLFGGAASGSTTASTTMFGGASPATSTAFGFGSSASSTPSFGAPSSASSTPLFGAPSSTPSFGVAASSASTPSFGAPSSASSMPSFGAPSSASSMPSFGAPAASASSTASFGFTFASSASSTPSFGAPSSASSTPSFSAPSSASSTLSFGAPASTPLFGAPSSASSTPSFGGTTSSAATTSFSLFSKPSAPVSTITATNSSNSSGFSLGNSTASASQPAFGFGNVSSSPAVAASSVSGTPAAAKPASSFSFTTSSAPLFSTSTVTTTTASTTTTGAAIVSASVPAFGATVAGSSAPASGGFSLSVAPVAAGSSGAGSSSASSGPSFAGFGVGSSASTASTASFGTGFQFANKTSTPAVSASTALTFGVSTSTSTAPAVSSSSTSASAAVVASSSGTTSTVSTSVAATPKLPSEITGKTVEEIIKEWNAELQERTGKFRKQANAIAEWDRRILQNRDVLLRLEIEVAKVVETQSSLERQLELIETHQQEVDKALQSVEEEAERIYKDERGLLLDDEAASTRDAMYEQSELIERELEQMTEQIKSIIHSLNSNQGGEVEAPDGMTPLDAVVRILNNQLTSLMWIDEKAEEFSSRIQKLSNQGSASDRQLMGPGSWMW >RHN47248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38296197:38298066:-1 gene:gene41823 transcript:rna41823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MTNSFRDRLGQGGYGMVYKANLPDGREVAVKVINESKGNREEFTNEVASISRTSHVNIVSLLGFCYENKRALIYEFMSRGSLDKFILKSGLPDAICSLDWNALYQIAIGIAQGLEYLHQGCNSRILHLDIKPQNIQGFLLKYAKRMTVLCLYLAQEEP >RHN76342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49509631:49511081:-1 gene:gene12651 transcript:rna12651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal transduction histidine kinase, phosphotransfer (Hpt) domain-containing protein MDRNNSRRQLAAMKQSLFDQGHLDEQFIQLEELQDDANPNFVEEIVTLYYRDSSRLISSLEQTLERSPLDFNKLDTIMHQFKGSSSSIGAKKVKAESTLIREYCRTGNAEGCRKSFQQMKKEYVALRKRLETYFQLARQAGPMDRACRPK >RHN58188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44448028:44450031:-1 gene:gene33805 transcript:rna33805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein MATQVLLSSSSLTFAAEAVRQSFGARSLPSSIGSSRKGSFVVKAAAPVKKGGANRQLWFASKQSLSYLDGSLPGDFGFDPLGLSDPEGVGGFIEPEWLAYGEIINGRFAMLGAAGAIAPEILGKAGLIPAETALPWFQTGVIPPAGTYNYWADNYTLFVMEMAFMGFAEHRRLQDWYNPGSMGKQYFLGLEKGLGGSGEPAYPGGPIFNPLGFGKDEKSLKELKLKEVKNGRLAMLAILGYFIQALVTGVGPYQNLLDHLADPVNNNILTSLKFH >RHN68347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34781782:34782112:1 gene:gene16687 transcript:rna16687 gene_biotype:protein_coding transcript_biotype:protein_coding MQYHKVFHADTMDHFIPIVMGNFKSKIISKIIVSRLAKVMPEITSKEQIGFSRKKYQKLCLYGFLDQKSFGGIICISEF >RHN81149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43656699:43661240:1 gene:gene5151 transcript:rna5151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MGVVTVGEFKPSVSGKRSFRPSSSIRHATEWPISDVSSDLSIEIGASCFALHKFPLVSRSGRIRKLLLESKDSKVSRISFPNVPGGVEAFELAAKFCYGISIEFTLSNVAMLRCIAHFLEMTEEFAEKNLLTRAESYLKETVLSSTANSISVLHRCETLLPISEEISLANRLINAISSNVCKEQLASGLQKLDHSFPSKIIEPETPSEWWGKSLTVLNLDFFKRVLSAMKSKGLKQDIISKILINYAHNSLQVVKGNLLDLEFLKKQRVLVETITSLLPTQSRKSQIPIAFLSSLLKSAIASSVSTSCRSDLERRIGLQLDQAILEDILIPTSLYQNNNHSSTIYDTDSIVRIFSIFLNLDEEDEDDSRMRDETEMVYDFDSPGSPKQSSILKVSKLLDNYLAEVALDPNLLPSKFISLAELLPDHARIVSDGLYRAVDIFLKVHPNMKESERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGGHNQLLFGGLNGQFPQRSGSGAGSGAMSPRDNYASVRRENRELKLEVTRMRMRLTDLEKDHVSMKQELVKSHPANKLFKSFTKKLSKLNALFRMNGIKANGVGSESRFPFPKRRRHSVA >RHN41513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30655543:30658596:-1 gene:gene47845 transcript:rna47845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MVAGWRRAFYTSKDKDTKILAESHQQQHLDFDNINGSPQINPSKFTFSTTPPTSPNLHCRISDSSLLDGNKSNNSPKWSSHNSPSSSYYSLLKATLRLSKNLCGICTHSVKTGEGKAIFTAECSHIFHFPCIAAHVKNQQIITCPVCGTNWNDLQPEKTAENAKTTTLSKLPNYNDDEPLLSSASVSRFSTIPENEEEELEKEENEENKEPVEFQGLDVSSTKTFEAFFLPETALVASNKSFETLIAVLKVKAKPYNAVVNRPPVDLVTVVDIGCSISGEDILKLKRSMQVVISALNSSDRLSVVVFSSGSKRLFPLRRMTGRGRRSVRRSIEAIGVDEMNGDGFPARKEAVKKAAKILEDRRQKNPVAKIILLTNGNGHEDRRLSSTRLPNLEIPVHALNYSHALHDGAFSECIGNLLRVVAQDIKFEFQNGSESSFTAKLLPGFATMGDLHAAEERELLVELKVPSSHGFHTHALSVRSSYCDSFTKEVVHSKERSIIVPRPVSIKLLDPKIKQLIRLHINARASIKHSSPDAAHEWLRRLEAEETESRLRGQPKLRSHKLRRNSLEEKMEPLTPISAWKAAEKLAKVAIMRKSMNKVSDLHGFEDARF >RHN74127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28814613:28815432:1 gene:gene10120 transcript:rna10120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDAILKFIYAMFLFLFLFVTTRNVEALFECNRDFVCGNDDECVYPYAVQCIHRYCKCLKSRN >RHN52948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40658922:40660218:-1 gene:gene37690 transcript:rna37690 gene_biotype:protein_coding transcript_biotype:protein_coding MFINFPNELLENNATINMVKEDDESDDDGGYNKGWTTSYTTGDSTCTLDLEKYVDEHGKIREVCLELEDDICFGGGIQLWIKRVQSDFLHFSYNSDQRFQVITTFKFKETFNSHGCIMTWVFCYGRAGKGGLIVVEGKKRSKEDKYLYTTTVTHYYAISQGNMFTQNKIDIGLSMVVNFNVSNGSINCTVKGPDQHPSAALFYMFEQVCRSGNWKLTACFHCAIADQGQSSEIKHKDDIHKNILPTNMDGLRSSNTKNAKSCKLTQLVQYVTTRKGNSTNQSQSSAFKQQSRAQSHMTVRYPNIPPIVPNHGLRENKRLELIDNKDINGNGNGNRIGGDQKFKFSIPFKIVNKFLN >RHN66411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11996932:11997195:-1 gene:gene14382 transcript:rna14382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin synthase MWRLKIADGGKDPYIFTTNNFVGRQTWEFDPDAGTHEERAMVEEARHNFYINRFKVKPCGDLLWRFQVPATEYTRSLTRAIHGSYRL >RHN51328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16286567:16288746:1 gene:gene35752 transcript:rna35752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MGEIGKIQLGVIGALFLSVASSVSIVICNKALMSKLGFPFATTLTSWHLMVTFCTLHVAQRLNLFVTKSIDMKTIMLFGFLNGVSIGFLNLSLGFNSVGFYQMTKLAIIPFTLMLETIFLKKQFSQKIKFSLFLLLVGVGVASITDLQLNFVGTIISLLAIITTCVSQILTNTIQKKLNVSSTQLLYHSAPFQAAILFVSGPIVDQLLTNQSVFAYKYSSTVLAFIILSCLIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVIGFGYTLLHDPFNGRNIIGILIAIFGMVLYSYFCLEENKKKQLLGDLSLASQSSG >RHN44378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4022107:4026068:-1 gene:gene38495 transcript:rna38495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MGVPHFLVIPYPIAGHVNPLMQLSHLLSKHGCKITFLNTEFSNKRTNKNNISISKKDNLKNEQSQETINFVTLPDGLEDEDNRSDQRKVIFSIRRNMPPLLPNLIEDVNAMDAENKISCIIVTFNMGWALEVGHSLGIKGVLLWTASATSLAYCYSIPKLIDDGVMDSAGIPTTKQEIQLFPNMPMIDTANFPWRAHDKILFDYISQEMQAMKFGDWWLCNTTYNLEHATFSISPKFLPIGPFMSIEDNTSSFWQEDATCLDWLDQYPPQSVAYVSFGSLAVMDQNQFNELALGLDLLDKPFIWVVRPSNDNKVNYAYPDEFLGTKGKIVGWAPQKKILNHPAIACFISHCGWNSTVEGVYSGVPFLCWPFHGDQFMNKSYVCDVWKVGLELDKDEDGLLPKREIRIKVEQLLGDQDIKERSLKLKDLTLKNIVENGHSSKNLINFINWAK >RHN43444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45943539:45943751:1 gene:gene50022 transcript:rna50022 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTTIVVELKKRVEELEKLMEEKEEGMLNLGEEKREAIRQLCLRIDYHRERNDYLKEFISKTRRGQRAV >RHN45812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25864232:25868032:-1 gene:gene40225 transcript:rna40225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKCMYEAMLVTTIQNILKDTILNHIKQCSNLKTLESIYATMFKTNFNQDCFLMNQFITASSSFSSFNINFAISTFTQITKPNTLVYNALIKACVHSHSSNQALLHYIHMLRSSVIPSSYSFSSLIKACTLLTDAVNGKTLHGHVWKYGFDSHVFVQTTLVEFYSSLGYVCDARKVFDEMSARDVYAWTTMISAYVRNNDVESAEILFVEMPEGKNTATWNAVIDGYAKLGNIERVEFFFKEIPSKDIISWTTLMSCYLKNKRYGEVVKLFHEMVNEGKVVPDEVAITTVISACAHLGALGFGKEVHFYLMVSGFGIDVYIGSSLIDMYAKCGSLERSLLVFYKLKEKNLFCWNSMIDGLAAHGYAKEALRMFAEMEREGIRPNRVTFVSVLTACTHAGFIQEGRRFFTSMIEDYCISPQVEHYGCMVDLLSKGGLLEDALEMIRGMRFEPNSFIWGALLNGCKVHRNLEIARVTVRNLMILEPSNSGHYSLLVNMYAEVNRWSDVAKIRTEMKDLGVEKRCPGSSWIEINKEIHVFAASDKCHPSYGQVHLLLVELDEQLRLAGFVPEMGSVLY >RHN62219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41820474:41820666:1 gene:gene24771 transcript:rna24771 gene_biotype:protein_coding transcript_biotype:protein_coding MIFEQLYRTPDCGFIFSIYCLVGSKRKGKRMLSKYILDRYTCCPSPLSLIIMYVIRQSKTDS >RHN57378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38516331:38518521:1 gene:gene32913 transcript:rna32913 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEMNWVDQINNEIKSNGPSTSDEMELWKKHSIYKIPSRVTALNKKAYKPQAISFGPYHYGEEHLMAMEEHKHRALLHFLRRCEKPIELLFQKIDQVVLELRDSYKPLDPIWILDKPKFVQMMILDGCFILEILRANDCVLDDYAENDPVFGEHGKFYVLPYIKRDMLMLENQIPMLVLRTLIQAETGMEQEDDHELLNEKIVKLLNPSTPLIQSLGKCMHILDVFRKSLIQEGPSHPTRMPKATKRNWLTLEAGEEIIRSAVELHEAGIRFKISKTWSLKDVSFDRGVLRLPTLVIDDTTEYMLLNLIALERLHVGAGNEVTSFIFFMDTIIDSNVDVALLNRSGIIINALGSDKVVSKLFNSLSKDITVDRHGVLDVVRMSMSDYCKKPWKRWRANLIQTYFRNPWAIVSLVAAIFLFALTIVQTVYSVKQYYQSPSPAKSPILPVTPRFPRLP >RHN45132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12062316:12063006:-1 gene:gene39343 transcript:rna39343 gene_biotype:protein_coding transcript_biotype:protein_coding MVASQLPSTKTIMVRIPSRSQYVFMVFEEMSQKSLNCWLFGSKNLSLGASDMVSADARFLFDKKTQEGYVFWNKVASVCWQSIETKEASEAGPHCNNLSFHPPAVKHLEKLYNFETNDSRIVRTQVKEIIRNWIGKKTVDSLPYIARFRVCKRSNEVMLVCCSWFGCHFGFRTIYVYDQLCMCYIFFNR >RHN68626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37241602:37246151:1 gene:gene16998 transcript:rna16998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MAMCRCVSVLIGRKDKIKGIEGSSKGDLKKLHAKVQHPTISSKSRDIKPDDTHDVTTGGTQMNSRSNVKVLSPEIPMKTEVEEAYEGEDEHEDSPSIKREFSDFDLQVHEAVACKVGYDPAGKEINSPSLYKKQTNIQLEDEDDKYDKNSVDLIQSGHVSDPGMGKPDFWASPELKRSCSNLERRDISRKTVHHFPSSKSHSFEDLQELSANQMVNLESPRSVMTQRSADRVMLKRRSSSQVLPSGSKKLWWKLFLWSHRNIHQPWVSKSTQLHPASAVFNSQCGYSSDILEPKQGKTLRHMGSPSHASSSGEYFSKSGKDKNMDNQRWSRFHKENNFDFRTQNQWVAFSTESSSFNRVDEWVKDLENQQPPSEDDFADDNIGTTVLPPSPDADRSMARTTTRLPQNQDANLSKDLLYANSVVQSLNPASTAAHISGIGMKVIPAITHFCSLRSVNLSNNFIVHITPGFLPKGLHTLNLSRNKISTIEGLRELTRLRVLDLSYNRISRIGQGLSSCTLVKELYLAGNKISDVEGLHRLFKLTVLDLSFNKITTTKALRQLVANYNSVLALNLLGNPIQSNISDEQLRKAVCDLLPKLVYLNKQSIKPQRAREMLTDSVAKAALGNSSRTPKRRTLKKGGQGGSSSSSVHRSRSSASLAQNSRQRSKSQTKHH >RHN44033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1163824:1165471:-1 gene:gene38081 transcript:rna38081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alkane 1-monooxygenase MTKTNTSNMAAATEILFSHIILVLKNIQITEIIIAAIVFIFIRSLRSKKHHGHGLTNWPIFGMLPYLIKGLKINLYEWITDILKHHNGTSRFRGAWFTSLNYVITEPRNLEHVLKTKFTVYPKGNYFRDIVSELLGDGIFTADNETWQKQRKIASIEFHSTNFRKLTNESIFELVNNRLIPVLELSLKKNVAIDLQDILLRLTFDNVCMIAFGVDPGCLQPHLPEIPFAGAFEDATEAILFRFVVPICVWKAMRFLNLGMERKLKKSIQKVDEFAENVIRARKKELSLQNEDDKEKSDLLTVFMRLKDENGKSYSDKFLRDICVNFILAGRDTSSVALSWFFWLVDQNHEVEEKILEEICRVVDIKKDEFDDCLRFRSQEIKKMDYLHAALSEALRLYPSVPVDRKEVVEDDIFPNGIKLKKGTKVIYAIYSMGRMENI >RHN64805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62041532:62046047:1 gene:gene27664 transcript:rna27664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, EF-hand domain pair MHYARISACACFIHGNRPFCNSYCCRRRQGTGKSSLITTFATGNPVKDVPPVLLSTRLPKHLSPDGVRITIIDTSSRPEDCFKVGEQLRRADAIVLTYTCDRQETLERLSSFWLPRLRDLGVNVPVIVAACKLDLQHDNQEESLEDVEITIRCSAYTTMGVYIYPSYYYNQQLHCFQILLLKKYFTLLFLLKVADVFTFAQYSVNCPLGPLYCRNSKTLKPRFVRALKRIFIHCDLDRDGALGDTELNDFQVKYVKDPVPETRIANLMRFLRDRFPEGMNERGLTFTGFQSLHADFMKILFREIPWTMLKRFGYNDDIKLADHLIPDLKRAPDQSIELTNESLDFLKKIFVAFDINSDGYLQPEELKELFSTAPESPWIGAPYEDAADESAFRGLSLDAFLSKWALMTLLEPTFSVKNLIYIGFPGDPSTAIRVIRSRSLDRKMQHSERNVFQCFVFGPRGAGKSALLKSFIRWPYLEIYDPTNEDRYVVNVVDNSMGNKKYLVLREIPEDGVRELLANNKSLASCDVAVFVHDRSSSELLVEIARHGEDNGFEVPCLIVAAKDEQDSFPMATQELTRVSRDLGVEAPIPISVMLGSLNGLFRRIVTAADTLI >RHN71493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:522097:524494:-1 gene:gene7100 transcript:rna7100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MSLQKQPSHVRPEIWHTCATAAVKIPKLHSRVYYFPQGHLENASPSSSSITHTHSFLQSFRPFTLCIVSAVDLLADPHTDEVFVKLLLTPITNDVHLENPKEEVANLNDRNEVVSFVKTLTRSDVNNARSFHIPRFCADNVFPQLDLEAESSSQHLFVTDVHGEVSKFYHVCRGFPKRNMLYISEWNSFVKRKKLVAGDSVIFMKDSTGKIFVGIRRNTQFVAAAAEQKKDELEKAVMEALKLAEENKAFEIVYYPQGDDWCDFVVDGNVVDESMKIQWNPRMRVKMKTDKSSRIPYQGTISIVSRTSNLWRMLQVNWDEFQVSQIPRRVNPWWVELISHKPAPTPFPQTKKFRTTQSSAQLSDKKETLLNGDGFPVDIQRVRHDLVSISGPIHSHIILNSSETKFPATHNCNTKNDSDGSIMLFGKIIQPHVSNFHNSHIKGDDGYKSCGFGVKN >RHN69686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45535408:45538279:1 gene:gene18190 transcript:rna18190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L40e MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKTICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >RHN61820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38586918:38596848:1 gene:gene24319 transcript:rna24319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MGGFPVGSKKKKNNKNQHGFETLGLNRDVFSGIKRKGYKVPTPIQRKTMPLILSGIDVVAMARTGSGKTAAFLVPMLHRLNQHVPQGGVRGLILSPTRDLAQQTLKFTKELGHFTDLRVSLLVGGDSMESQFEELAQNPDIIIATPGRLMHHLSEVDDMSLRKVEYVVFDEADCLFGMGFAEQLHQILAQLGENRQTLLFSATLPSALAEFAKAGLRDPRLVRLDLETKISPDLKLVFFTLRQEEKYAALLYLIRELIGSDEQTLIFVSTKHHVEFLNSLFQLEGIRPSVCYGDMDQDARKEHVARFRSRKTMLLIVTDIAARGIDIPLLDNVINWDFPPKPKIFVHRVGRVARAGRTGTAYSFLTAEDMAYLLDLHLFLSKPVKAAPTEEEVLRDMDGVMSRIDDEMLNGETIYGRFPQKVIDLVSDRVREVIDTSAELEALQRACKNAFRLYSKTKPLPSKESIRRVKDLPHEGLHPIFNKVLGTGELTAIAFSEHLKNFRPKQTILEAEGEAAKAKRRAGPSGHWVDVMKRKRAVHDSIIVNEQHSKSNEHQSKSNSEKEENQPEITSSMDKGRKARGSKRKPNPESFKDEEYFISSIPQNQHMEAGLAVKANEGFSSNRLDDAVLDLGADDGAGIKKQKSVYHWDKRSKKYIKLNNGDRVAANGKIKTESGAKTKANKTGIYKKWKERSHSKISLKGTSADGDAQESRSSRGSYQGGGRNYKGGKKQHLMPNAHVRSEIKDMDQIRKERQKKASKISYMKSKSPKGKKSGKPGRKGGNKRKSK >RHN72698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9898166:9902108:-1 gene:gene8447 transcript:rna8447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (guanine(9)-N(1))-methyltransferase MEPNHERESTVPLPKPEPSQPTSELSKNAKKKLARQERWEAKKADKKAAAKEQKKKETERKRKEWEESIAGITEEERVKVIEARMSLRKERMEKRLEEKNEKKERLVKAKELGQNVVVDVEFSHLMTPQEIRSLVQQIMYCYAVNGRCDTPAHLWLTGCEGEMDNQLKKIPGFDKWIIEKENKSYIEVLADRKDDLVYLTADSEDVLEELDLKKIYIIGGLVDRNRFKGITMEKAQEQGIRTAKLPIGNFLKMSSSQVLTVNQVVEILLKFLETRDWKTSFFAVIPQRKRSQADSEGNADNTVDEDIDEDEEEESEQKDDLLTSKKQCVEEIPSNKLVDEV >RHN49939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2488640:2489620:-1 gene:gene34176 transcript:rna34176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKSQSETDIQDGNNADRLSDLPNHLLLHIFEFMNIKCSIQTCVLSKRWKDLWKSLTNLTFHHSRDRSGTYNKFVSHILSGRDDSLPLHKLIYFPLTSKKKIRYFLQDSSNSSKTTLLEVMKYAASHNVEELTTYAGPWLIKNFELPHSIFHCHSLTSLKLDFGHPYSSGRSKIMFPKSLNLPALKTLHLSFLTFFTSENGCAEPFSTCKMLSTLVIIKFCLEEDAQSLCIFNSNISSLKVASDCTIMGDHSYEVVLCTPKLTSLTIMGCYSFLTPSTCDLNFLEEGNIHYRFCNKPLEELVMIGWLHLLANVKKMTLKFRVFLQDT >RHN79576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30241188:30249517:1 gene:gene3389 transcript:rna3389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MESQPPATGTTARTTTEPSQSQPQHQPPPSLPPPTSSTPPISAPSHPSPIPNPNPNLIQTPNPKPPTPLPPQSRPPPSSFSRNPPPTQSHYSHFSSIPPSANPSQASSFPSNPASSISSASAPRGGMAIGVPAHHQSPSPPFSSSFSQHFGGMGRSDSTTNSNTSQVRAPMQGMGTLGSFGSNSQMRPGGMPPHQQRPVQSSLRPPPPSAQNNQPAGSQSFQGHGLMRPSSAGPPSAPSSSASQGMQSINQPWLSSGPPGKPPLPSPAYRQQINPQSLQQRTHISQQQQSMPTASQQQQPLPSNQTQEHFGQQVPSSRAPHVPHQAQVTRLQGPGNQKPSSLVAGQSGAVQPGSQSRLPNTLPNADIEESGKSVLSKRSIHELVHQVDPLEKLDPEVADILGDIAENFLESIIRSGCSLAKHRKSTTLEAKDVLLHLEKNWNITLPGFGGDEIKNYRKPLSTDIHKERLAAIKKSMIATEAAHPKGSAGQASGSAKGSQAKIPFNVLGSPNLKNP >RHN71775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2547121:2549214:-1 gene:gene7410 transcript:rna7410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxaloacetate decarboxylase MDSLPTAEICDTNATHLTNGDLRILHPVFQKYGQSQAFSGPAVTVKVFEDNVLVRELLETKGEGRVLVVDGGGSMRCALVGGNLVQLAQNKGWSGIVVNGCIRDVDEINLCQVGVRALASHPLKSNKNGTGEKHVAVYVGGTFIRDGEWLYADNDGIIVSKFELSI >RHN58682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3909535:3913228:-1 gene:gene20614 transcript:rna20614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, GOLD domain, CRAL/TRIO domain-containing protein MQRFHLGFSQNPNLMMDSSSLSPLSLQTQKSPFQNLPEESSPKQTKRSLLSSLMGTNNITSSNLRTPSFEEDNYFVTLLKSSEKKALQDLKKTLLDVTNEKNSPNGEVSMWGIPLLGGDDRADVILLKFLRARDFRVNEALNMITKCLAWRKEFNADTIVEEDLSQFKELEGVIAYMQGYDKEGHPVCYNAYGLFRDKDMYERIFGDEEKLKKFLRWRVQVLERGIRLLHFKPGGVNSLIQVTDLKDMPKRELRVASNHILSLFQDNYPEMVARKIFINVPWYFSMLYSMFSPFLTQRTKSKFVISKEGNAAETLYKFIRPEDIPVQYGGLSLPSDLQNGPPKPASEFTVKGGEKVNIQIEGIEGGATITWDIVVGGWDLEYSAEFVPNAEGSYTIAVEKPRKIGASEEAMHNSFTSKESGKMILSVDNTASRKKKVAAYRYVVRKCATT >RHN71033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55968021:55970714:1 gene:gene19675 transcript:rna19675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MATPVEPPNGIRIEGKHYFSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSVNRETNEKVAIKKIQNAFENRVDALRTLRELKLLRHLHHENVIALKDIMMPNHRNNFKDVYLVYELMDTDLHQIIKSSQALSNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTNCSKNQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGSECLNQLKLIINILGSQREEDIEFIDNPKAKRYIKSLPYSPGTPFSRLYPNAHPLAIDLLSKMLVFDPTKRISVTEALQHPFMASLYDPNSDPPAIIPIDLDIDEDLGEDVIRELMWSEMLHYHPESAMGSAELCS >RHN77893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10269835:10277300:-1 gene:gene1397 transcript:rna1397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BRCT domain-containing protein MLGIIPYTVYICVLDCSYIPEGDKYKVAKRWGHIHIVTMKWFDQSVARRACLNEESYPVQSGSLSSRKVTRDLTAQHSQEKDIGKMQSGSSSRAADSNMLVSDCTESMDIDPEATQSEHMSSVSNVPLFVKEADAEACPLQTSNQLNLDGAVANDSESDDNDLYLAECRISLVGFEASEMRKLVNMVRKGGGSRYMSLNDKLTHIVIGNPTEMEKKDVRSIAAQSVIFVVKTSWLEDCDRQKRQVPVLGRHTANDLVLPKVKGAVTGIVPMDQSKSSSFRQSFQTDKVVGIKEFGVIMAESLEKNKQEKHDMGMNVVTFDKASGRTTPQTQLPDKKLRGQKMTQRDSNVHVKSTNVFKGKTFCFSNLFPEERRAEIVQWISQGGGEIISGQTKQSIHYTIECHGKPKSTGDYGSTYISSHWIRSCLEDGSLLDVDSHILYSPLPCRVPLPGFENLRFCVSQYEEKDRILLWNLCKVLGAKFADKLTKKVTHLLCKFTNGPKYEASCKWGIRSVTSEWIFECVKQNGVIAMDQFLPKEVTTQDREAGACTVSQFPTQAVQMINDMPSQFPSQSQSSRHTANKNVDNHRTHSKISSINSKKARLMEEPSLYNKAPSTVNSGIHVSDMNFSKANMLKDAPEAVEMINDTPPQFPSQLQSMRNMADKNVDSGDANHGTHSKISSINSIKSRLVEEPGMYNKAPSTVNLGIHVSDLNISEDNMLKDDREATHAVPDVAAAIEDLLEQTSKMHDQRSPGHTGCERSIYTSDCPVIGEDNPNPRTVFGLSKHWLNRIGSNDDNGETSKERKVGMYDAFSESQTESQIVGYEEDLSGRQMLIDRVLTRSSMQ >RHN79948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33739188:33743085:1 gene:gene3807 transcript:rna3807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NFU1 iron-sulfur cluster scaffold protein MTVVRRTVFRLGRRLRLGLFNFLKSEANHNDLNTNCFRCLGTMPLFQPCNYNHNAFFSSSASPFPSPFSTGQRRSMFIQTQSTPNPESLMFHPGKPVMDVGSADFPNPRSAMNSPLAKSIFAIDGITRVFFGSDFVTVTKSEDSSWEFLKPEIFAAIMDFYSSGEPLFLDSQAAASKDTAIHDDDSETVAMIKELLETRIRPTVQDDGGDIVYCGFDPDTGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQELDAEEEEAALSGQTE >RHN70779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53961919:53962660:1 gene:gene19396 transcript:rna19396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oleosin MTDQGRTGSYSSYGGSYGGSPYDTNINNNNQPSRQTVKFITAATIGVTLLFLSGLILVGTVIGLIIATPLLVIFSPILVPAAIVLSLIAGGFMFSGGCGVAAIAALSWIYNYVSGNRPAGTDTLDYAKGLITDKARDVKERAKDYGNYAQGRAQDATTGAY >RHN47592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40847546:40854461:1 gene:gene42211 transcript:rna42211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain, tetratricopeptide-like helical domain-containing protein MASDADMEDYGFEYSDEEQEEQDVDIENQYYNSKGLVETDPEGALSGFAEVVRMEQDKAEWGFKALKQTVKLYYRLGRYKEMMEAYREMLTYIKSAVTRNYSEKCINSIMDYVSGSASQNFGLLQEFYQTTLRALEEAKNERLWFKTNLKLCKIFFDIGEYGRMSKILKELHRSCQREDGTDDHKKGTQLLEVYAIEIQMYTETKNNKKLKQLYQKALTIKSAIPHPRIMGIIHECGGKMHMAERQWADAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISKELNVPEHDVEQLLVSLILDNRIQGHIDQVNRLLERSDRSKGMKKYTAVDKWNTQLKSLYQTINNRVG >RHN64490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59512950:59514328:1 gene:gene27310 transcript:rna27310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rhomboid protease MEVRIGRGISRGMLPLLALHTFTEYYRSNTKPPVTAALIAANTVIYLRPSFLRHLIPPIDEVLFNSHLILKNKDLKRFFLSAFYHLGEPHLVFNMISLLWKGIHLESSIGSLQFASMIASLLALSQSINLVLSKSLFVFFDYDRYYYEYAAGFSGVLFAMKIVLNSQSDDYTNVYGVLIPSRYAAWAELILIQMFVPNVSFLGHLSGILAGLVYLRFRRNFSGSNPLNSIVRGFNSVLNWPVKFFRDLFGFRRERITGRGTVGRTGRNAAQVAADWRCQACTYDNSGLMNVCEMCGTSRVGGGGSSDEMNRRDYYDSDGLSLDELRRRRIERFGR >RHN46492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32226025:32228470:1 gene:gene40983 transcript:rna40983 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKEPLQFRCGFDIVLPWHGKPNASHPFLDDIPNFFHQRFVGSIPDNIPKKFHHRFEGGSIVRINNSIMEVDWVGFLFYVTFELNNHPILSSYTHQILSQPLPHPFYLSFESEYTEERFDMQLNLELNKVDGKHYLWTIYISREHCHFVKTRADITFKASEGLIIKEWGLRVLTKEDIEASEVGQSIHLPLENVNVTQSRSSSFDPTKIQHPYNWLVSKEDEAEKDEAKGKETDLFNLGLLTEKPQ >RHN60095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20181992:20187004:-1 gene:gene22317 transcript:rna22317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MHLQFSFYLRLFCFGIAMKLAMLPAATAVGQLQHHTLDLHWYPGTATWYGDPEGDGSTGGACGYGTLVDVKPLRARVGAVGPVLFMKGEGCGACYKVKCLDNSICSRRAVTVIITDECPGCPTDTTHFDLSGAAFGHMAISGENGQLRNRGQIPVIYRRTPCKYPGKKIAFHVNEGSTPFWLSLLVEFEDAEGDIGTMHIRENGSSEWLQMNHLWGANWCIIGGPLRGPFSVKLSSSTGRTLSARDVVPSNWVPKATYTSRLNFYH >RHN51805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25653860:25655814:-1 gene:gene36339 transcript:rna36339 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQVADVLQQGWTVDNASRMLTVKKQPVASVQKLDPRKLQQLTEYVFHLEH >RHN47657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41305965:41306712:1 gene:gene42280 transcript:rna42280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MIWHRQVPLKVSVFAWRLLRDRLPTKSNLIYRGVIPTEAGLCVSGCGALESAQHLFLSCSYFASLWSLVRDWIGFVGVDTNVLSDHFVQFVHSTGGNKASQSFLQLIWLLCAWVLWTERNNMCFNDSITPLPRLLDKVKYLSLGWLKARNASFLFGTFSWWSNPLQCLGIG >RHN42585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39517532:39528373:-1 gene:gene49041 transcript:rna49041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mediator complex, subunit Med10 MDSSQSPAAGGNGTLISHGNDAAASASGADDSMQNLSQISNSIEKTLGLIHQLSLTVSTFNSALQMPLLQRINGLVAELDNMVKLAEKCNIQVPMEVVNLIDDGKNPDEFTKDVINNCIAKNQITKGKTDALKDLRKHLLEELEQNFPDEVETFRENRAAAAAELKRLAQAPSVLPNGDARAKVEH >RHN69563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44429061:44432074:-1 gene:gene18046 transcript:rna18046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small monomeric GTPase MSSQQQQQVREWSGINTFAPATQTKLLELLGKLKQEDVNSLTILVMGKGGVGKSSTVNSIIGERVVAISPFQSEGQRPVMVSRARAGFTLNIIDTPGLIEGGYINDTALDIIKRFLLDKTIDVLLYVDRLDAYRVDSLDKVVAKAITDSFGKGIWNKAIIALTHAQFSPPDALAYDEFFSKRSEALLQIIKPGAYLKKDAAQASAIPVVLIENSGRCNKNETDEKVLPSGIAWIPHLVQTITEIALNKSESIFVDKNLIEGPNPNQRGKLWIPVIFALQFLFIMNIEALIKNDVANEKKPSWETRDVGVQKETREGGQKNTRKEKRGAGVRK >RHN55828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24515923:24517300:-1 gene:gene31098 transcript:rna31098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L14P MKKVLPVVIVRQRKPWRQNDCVFMYFKDNAGVIVNPKGEMKGSAITGPIGNECAELWPMIASAANTIV >RHN67104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23932507:23935775:1 gene:gene15249 transcript:rna15249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative senescence regulator S40 MEDKYSLIRKHSELWRTLRDEDFEEEEIWDVVKERPNYISEVHKPKEKKELSSLPIPTAARMIPIPRTSSGSSSANLSHETMAFQQSAPVNIPDWSKIYGNNNNKQNKSTKKVSRYNEYGYYEGDDEVVYHGGEDGEEDDDDDDEYSTRVPPHEIISRRLARSQISSFSVFEGVGRTLKGRDLSKMRNSVLIKTGFLESL >RHN71969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4014155:4015735:1 gene:gene7632 transcript:rna7632 gene_biotype:protein_coding transcript_biotype:protein_coding MYKISTHIDILDHESMDTKKGNKPPSKVVTDSLSMDSLSFSGYVSIQDQQQNFPSPPNQAKHFQVSKLDLDFEFTNPKANLNSAVNPIKITPADQLISNGQLQPKAFAFQTTPQSVIINPTSSSRSLLATHISSEMSSGKKGSTVKYHELGKASKHATNKQSTVKRKGFGQKVKSFLSPCRECKIVKPCAVKAQTVQRENFKIY >RHN44798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8586660:8588878:1 gene:gene38962 transcript:rna38962 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTNTTTAATTTSAPSSSTVSRTVLLLLRVLTFVFLLIAFIVIVLTKETLDGESELKFKDIHAYRYMLSTIVIGFAYNCLQMALSIFSVVSGNRVLNGGAGYKFDFYGDKIISYFLLSGSAAGFGASEDLHRFFKANDLPLNSFFGKANASASLLLFGFLTTAIASVFTSFALPKKA >RHN73480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16872516:16876473:1 gene:gene9297 transcript:rna9297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MFNFLIGKDARKILKRKDSDAGEKGRALEDLRASLFNQFNFSDGSKRQQRRICGPATALTFNFLVAVGIIFVNKMVLQTVKFKFPILLTLIHYVVSWFFMAVLKAFSLLPPSPSTKSTRMSTLFALGFVMSLSTGFANVSLKYNSIGFYQMAKIAVTPSIVFAEFVLYRKKVSLPKVLALTLVSIGVAVATVTDLQFHLFGACVALAWIIPSAVNKILWSRLQQQENWTALSLMWKTTPITLIFLAAMLPCLDPPGVLSFDWNLSNTLVIFGSAVLGFLLQWSGALALGATSAVSHVVLGQFKTCILLLGNYFLFGSNPGTISICGAFTAIGGTSVYTYLNMKQQSNKVSPRQPSTLPKSKLGKENGSTNGNDGHYGAESV >RHN62314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42564449:42568028:1 gene:gene24876 transcript:rna24876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative periplasmic binding protein-like I MHFPFTTSPQSLAITCLSYLFISLVFINGYQAEVRSGNNKVISIGVIIDVNSRVGKEQRVAMDIAAQNYNNTSNANKLALYFQEPNKGSFRAMSLAEEMIKNQKVDVIVGMQTWTEAASVAELVHEDQDEVPIISFVAPAITPHLMPIRWPSLVRLANNGTAYAKCIADLVHVYNWHSVVAIYEDDAYGGESGMLALLSEALQEIGSMIEYRLALPSLTYMSNPGEFIRQELFKITRNTQSRVFVVLKSSLEMGIHLFKEASQMGLVDRNSAWIVSESITNLLDSVNKSSISYMEGALGIKTYYSENSNEYKDFEVQFRKAFRDKNPDEDNRDPGFYALQAYDSIQMVGHAINRMASDNKTLLQEIVSSKFLGLSGEIQFESEQLSQNPTLRIVNVDGKSYRELDFWTLENGFFTNISTKQVKNGASRNSESLSGAVIWPGKLLRAPKGWNLPTKQKPMRIAVPGRTSFSKFVKVDSDEEGNPKYSGFCIEIFEKVLTILGYDLPYDYYPINATYNDLVQLVYNKTYDGVVGDMTILAERLQYVDFTVPYAESGLSMIVTEKSEESAWMFMKPFTWQMWVVTGVILIYTMVVVWYLEREDNPEFQGNWKNQIITSFGFTFSSLFFAHREKMHSNLSRIVMVSWLFLVLILNSSYTASLSSMLTVQQLRANITNIEWLKKNDMKIGCDGDSFVRTYLVEVEKFKPENIVNISNENNYDPGFKNYSIAAAFLELPYEKVYISKYCKGYSASTPTTRFGGLGFMFQKGSPLARDVSKAILQLLQQGELKKLEDIWLNPTGVCSNNLNSKSTESLKLGSFWILYVISGATSTICFLLSTIHSLKNNKSQESNGSPDDVSRWKRVVTLTRKICSRKHNKTSQVQEDVTDCPSGCDCISSPEHQQGMDLQLPEIITISSPPTHDQM >RHN49093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52348056:52350159:1 gene:gene43883 transcript:rna43883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 10-deacetylbaccatin III 10-O-acetyltransferase MAMSVTRTKRDLVKPAKETPFVTLDMSVIDNLPVLKCNVRTLHVFRHGPKAARVIREALSLALVPYYPLAGRLKESNPQCLQIKCSGDGVWYVEASTNCTLDSVNFFDDMESIPYGSLFPDNVHEIEYIDPLVQMQVTQFGCGGFVIGLVFSHSICDGLGAAQFLNAVGELARGLDKPTIEPVWHRNFFPSQAPALPKLPLTPQLPCYKLEHSNIDIPAEQINRLKREFQQVTGQSCSTFEIVAALFWSSRTRAINFDPNTQVKLVFFANC >RHN42537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39134097:39135864:1 gene:gene48987 transcript:rna48987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative crocetin glucosyltransferase MSHHHHRILLVTYPAQGHINPALQFAKRLISMGAHVTLPITLHLYRRLILLNPSITTISNLSITPFSDGYNDGFIAITNTDADFHQYTSQFNTRGSDFITNLILSAKQESKPFTCLLYTIIIPWAPRVARGFNLRSAKLWIEPATVFDILYYYFHGYSNHINNQNQNQNQTTIELPGLPFTLSPRDIPSFLFTSNPSVLSFVFPYFQQDFHELDVETNPIILVNTFEALEPEALRAVDTHHNLKMIPIGPLIPSDTSFSGDLLQPSNDYIEWLNSKSKSSVVYVSFGSYFVLSERQTEEIASALLNCGFSFLWVMREKEEELKFKDELEKKGKIVKWCSQVEVLSHSSLGCFLTHCGWNSTLESLVSGVPLVAFPQWTDQKTNAKLIEDVWKIGVRVDDKVDEDGIVGGNEIKKCLEEVMGRGEKGEELRKNAMKWKGLAREAGKEGGPAEKNLRKFLDDILENACMDAAKN >RHN70380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51016247:51017755:-1 gene:gene18950 transcript:rna18950 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHLVCGNFQPQLEDDIPCSSPKSKSKRKDNNRDNNPYASRGLDKFSTLLSELDQRRKKVYSQMNPHDISFVRFTYSSDDFVPIVVKVKVKNNNHHKSEEQVKVRRVTSFSEPMDQKHVEETKQPKLEIYHHDNDVKNASSKIESFGFSLNILKRPSFYVPAVVIFILLLLTVFGRSFATLCTCIVWYMVPVLKDSSKEKNMIKKKDYVTEGLLSPRGDKRSGKHCQQKSW >RHN80935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42027422:42031190:-1 gene:gene4919 transcript:rna4919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannan endo-1,4-beta-mannosidase MGFQILLLMYFLVSLVIVQHGNGQRVNVGAGFVQRKGTHFILNGKPYYLNGFNSYWLMTMASDPSTRVKVTSNFQQASQHGLNVGRTWAFNDGDSKPLQISPGSYDENVFKGLDFVISEARKYGVKLILSLVNNWNDFGGKKKYVQWARERGQNVKIEDDFFINPLVKQYYKNNVKAVLTRKNTINGVLYKDDPTIFAWELMNEPRCINNDSGKSIQNWVGEMAAYVKFIDRNHLLEIGLEGFYGETMPQKKLFNPNSFPVGTDFISNNQIPQIDFATIHLYPDTWLQGSNERAKSAFVDKWIEAHFKDSNTILGKPIIVQEFGKSSKSPGFTVAIRDNYFKKVYNAIATSAMSGGSCAGGIFWQLMSQGMDSYGDGNEVIFENNPSTAEVIKQQSVTMSKIK >RHN68548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36403586:36415931:1 gene:gene16916 transcript:rna16916 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSEAVSWNVQSSTANGIYSNPTYQYDQHPQLQPPGGSVQDGQSVSSVADYYNSYQQQQPNHAYSQPVGAYQNTGYQNSNGYANQAPAWNNDSYSSYASHPYTSYATDSSSSYSTGAAATSVQYQPQYNQWAEYYSQTEVSCAPGTENLSVPSSSTLGCPVPAPAAASGYAPPNYQPPQSYPQYWAQESSTPAVPPLQPAAVNSGGHDDYWKHGAQTSSQIHQTSSQIHQTSSQIHQTNPIQPNYQSHLDLNSSYDKFQDQQQKTVSSQGTNLYFPLPPPPPPPQQVNLAPLQSASSLDTKRVNKLQIPTNPRIASNLTYEQPKPEKDSSTSSAALKPAYIAVSLTKPTEKLSSNDAANSILKPGMFPKSLRGYVERALARCKDDKQMAACQAVMKEMITKATADCTLCTRNWDMEPLFPMPEADAENKDNSLSSTHDSLLPKSRKSPRRSKSRWEPLPEEKLVDHHPVSTSSDTVKYSSWVPPVNHHPVSTSNDTVKYSSWVPNEKDRKVVVENKESKEDSWRNTKFSPLFQRISSKAPQRPFKKQRLADVSTAHENGDASSDSDKEQSLTAYYSAAMAFNDTPEEKKRRESRSKRFDLGQAQRTENNHSRKKNARAGNLYNRRASALVLSKSFDNGVSKAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEEVLEKALLMVQNSQRNYLYKCDQLKSIRQDLTVQRIHNQLTVKVYETHARLALEVGDLPEYNQCQSQLKALYAEGIKGSYMEFAAYNLLCVIMHSNNYRELLSSMARLSDEAKKDEAVKHALAVRAAVTSGNYVAFFRLYKAAPNLNTCLMDLYVEKMRYKAVTCMCRSYRPTVPVSYVSQVLGFSSVVVTNEANDEKEAAALEECLEWLKAHGASIIADNNGDMMVDTKVSSSSLFVPEPEDAVAHGDANLAVNDFLAKAPL >RHN80268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36661667:36662139:-1 gene:gene4171 transcript:rna4171 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLKVILALLLLLTISHVEPNIASRVLNTKNKFQLSLQSLDKGPVPPSGPSGCTFIPGSGGTNCPLKEMNVAGNIHQRRGYPRLFVPFGVANNQ >RHN75338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41415665:41418176:-1 gene:gene11523 transcript:rna11523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MATFTKLFFTLSIFHLLACSTNAQLIDNFYDQTCPCLQTIVRNTMTSAIKKEARIGASILRLFFHDCFVNGCDGSILLDDTDTFIGEKKAQPNNNSVKGFEVIDNIKNSVEASCNATVSCADILALAARDGVVLLGGPSWTVPLGRRDARTANQSAANSQIPRPSFNLTRLTTMFLAKGLTASDLTVLSGAHTIGQGECRLFRTRIYNETNIDTNFATLRKSNCSFSSDNDTNLAPLDTLTPTSFDNNYYKNLVASKGLFHSDQVLFNNGSQDNLVRSYSTNEAAFSTDFAAAMVKLSKISPLTGTNGEIRKNCRLVN >RHN65367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1541044:1541871:1 gene:gene13197 transcript:rna13197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MENNRRECHICNKSFSNGKALGGHMKTHLAKLPIPLKSPINNQAPEHSVESTKHQTHSISTSSSSISNPKNPIHNLRALKRNFYHTLLNFGKNSVFDSFPKNPTGKRSKRGRRQFNLAEDNTIFNVAKKKEENTRFNVAEENEEDTRFNTQIKLVYSDLDTEAAETLAIICVNEWKQVEEKYYIEKKKVSENGNIMFECDICHEVFQYCKDLVRHEAIHKKNNNLSEEIGISGKEYDVVNEEVHKCTYCLKFFEFDHVLEEHKTVHLSNFSDSNP >RHN65311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1096401:1101566:1 gene:gene13136 transcript:rna13136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MTNILSMILFVILNLLFFFKLSTAIDTITQFQSLDDGNTLVSNDGTFELGFFTPGSSTNRYVGIWYKNIPKRRIVWVANRDNPIKDNNSNSTMLIISNEGNLVLLSNNNQTLVWSTNITTRSLSTTSSHVAQLLDNGNFVIKANNNTDQQSNNFLWQGFDFPCDTLLPDMKLGWDLKIGLNRPLTSWKNWDDPSSGDLTWGIVLRSNPEIVLKRGSVEIHRSGPWNGVGFSGAPMEIVTSTVVVARSVNNSNEVYYSYSLVNKSNVSITYLNQTLSLHERIIWSPEDNTWSGIESVPKDDCDVYNHCGPYGNCIYNPSPICQCLDGFEPKSPQNWDASNWTQGCVRKGEETWNCGVNDGFGTFSGLKLPDTTHTWVDANMTLENCKNKCLENCSCMAYSNLDVAGDGSGCSIWFGDLIDLKQILTFQQYLYIRMDASTVDSSGDVSGGKKNHTLAIAVTIPLVVILLLVIIVFYVYMRKRKQRENSEINTLTEEKDEDQQDFELPFFNISTMISATNDFSNYNKLGEGGFGPVYKGTLATDGQEIAVKRLSGSSKQGTREFKNEVILCAKLQHRNLVKVLGCCIQGEERMLIYEYMPNKSLDSFLFDSAQKKLLDWYKRFNIICGVARGLIYLHQDSRLRIIHRDLKPSNILLDNDMNAKISDFGLAKICGDDQVEGNTKRVVGTHGYMAPEYAIDGLFSTKSDVFSFGVLLLEIVSGQKNKGLTFPSNNHNLVGHAWRLWKEGNSEELIDDCLKDSYIPSEALRSIQVGLLCLQLHPNDRPNMTYVLAMLTNESVLAQPKEPGFIIQRVFDEGESTTKPFSINEVTISLIDAR >RHN75622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43822516:43824014:-1 gene:gene11855 transcript:rna11855 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILLVNMTTRGAHIEKSFKKEKRGVRASQREMFHLSIIDLSLMMIDM >RHN57679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40966767:40967114:-1 gene:gene33258 transcript:rna33258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MKLQSLVFILIVLLALSIINQAITSSDWGPVDINDPHVVDIAKFAVTAYNKRNTVGKLAFEKVISGESQNVINGTNYRLTLSARQIITVQKYRAIVLEKPLVHFRNLVSFELINA >RHN67196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24810940:24819542:-1 gene:gene15354 transcript:rna15354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MSQLLDILMVHTHVSVQSSGNDYDILAENVGNRLFFAGEATSRQYPATMHGAFMSGLREASRIYQLIPVQQPCPKKSLSKNIAHNSGILVNLFKRPNLEIGNFAFICDPLQDDSQSKAIMKFTFGGIDESYKEIYTILSLEQMDQMQEITGGDENRLLYLTKNLGLKLMGLSALLIAGNDVIASVAASRNVDQRTERKIPHNPKKKYCFFRGHWPSDTTRNLDSMMESGQADTRRHESYSGRDSHGRYYDHEYDRKRNRYEGSRRKPNRYDLHNRRWEWEDAPQREETPWHTPCSSFNSLSPLDHVSPSPFPIRASGSSHKSSVAGYNRRLHKLAFSSDEEEIANKSDLGEEHKYEITESMRQEMEYSADRAWYDREEGSTLFDFDNSSLFVGDEASFQKKEAKLAKRLVRRDGTKMSLSQSKKLSQLTDNARWEDRQLLRSGAVRGIEVKTEFDDEDEGKVVLVVHDTKPHFLDGRIVFTKQAEPVMPIKDPTSDMAIISRKGSAMVREIHGKQSSNKCRQRFWELAGSKLGDILGVEKTAENIDADTCIVGEDGEIDFKEEAKFSNHMKKEEAVSDFAVSKTLTEQRQYLPIFSVREELLQVIRENQVVVVVGETGSGKTTQLTQYLYEDGYTIGGIVGCTQPRRVAAMSVAKRVSEEMDTELGDKVGYAIRFEDVTGPNTIIKYMTDGVLLRETLKDSDLDKYRVIVMDEAHERSLSTDVLFGILKKVVTQRRDFKLIVTSATLNAQKFSHFFGNVPIFHIPGRTFPINILWSKIPVEDYVKGAVKQAMTIHMTSPPGDILIFMTGQDEIEAACYSLAEKMEQMVSSSNKEVPKLLILPVYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSQAAANQRAGRAGRTGPGTCYRLYTESAYLNEMLASPVPEIQRTNLGNVVLLLKSLKVENLLDFDFIDPPPQDNILNSMYQLWMLGALNNAGRLTELGWKMVEFPLDPPLAKMLLMGEQFGCLEEVLTIVSMLSVPSVFFRPKDRAAESDAARERFFVPESDHLTLYNVYQQWKQHDYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTTCFPDTDVVRKAICSAYFHNSARLMGVGEYVNTRNGMPCYLHPSSALYGMGCTPEYVVYHELILTTKEYMQCATAVEPKWMAELGPMFFSVTESDTSLMEHKKKQKREKTAIEEEVENLKKEQAKFERENKRKEIEKRAKNQQQISIPGLKKCSSTFLRPKKFGL >RHN58428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1626696:1631982:1 gene:gene20338 transcript:rna20338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation efflux protein MLTSYNLLRSRFRCSHQHWFCTIVALKPEPPQPPPFTVTTTTTSSYLLPSRRFLFLGFDSRRHLHHSHHHSFHRSFFTRAKPAATIIEFNDKHSQRAVKTALWCNFLVFSLKFGVWLASSSHVMLAEVVHSVADFANQALLAYGLSSSRRAPDAIHPYGYSKERFVWSLISAVGIFCLGSGATVVNGVQNLWVAQAPENMQLAALVLCGSFVIEGASLIVAIQAVKEGANAEGMKLRDYIWRGHDPTSVAVMTEDGAAVTGLAIAGASLVAVHYTGNAIYDPIGSILVGNLLGMVAIFLIQRNRHALIGRAMDDHDMEKVLQFLKKDPVVDALYDCKSEVIGPGFFRFKAEIDFNGEMVVQNYLQRTGREDWAKQFREAAKLSDDAALTNIMSNYGEEVVTALGSEVDRLEKEIQNLVPGIRHVDIEAHNPTESSLSS >RHN80845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41237180:41239504:-1 gene:gene4815 transcript:rna4815 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDWSESRYDDREDSPVREHYDDDGVDRSSRHRSKDRKKSDKDHRSKDRDQAKRVSEDVEKERGSGRERNRDEREKDRSKDGKAREKDYDREKYREKERERERDKKDRGKDREREKEREIEKDSERAREKERGKEKTRDRERDKGKEREKHRDRESYRDGDRDKGKDKIREERESDREKDRSRDRGSRKAHEEDYESGNLDDRVDYHEKRDEDVGKHEKASKLNQDDKEGETSANLSSKELEERILKS >RHN72466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7889342:7890053:1 gene:gene8179 transcript:rna8179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PC-Esterase MKVEDAYKRSIHTTLNWIQDTINPSKTQVFFCTYPLCISEVEIGTKVENVIWKQCQNRGPHLQFKIANSAILAHKNTSKVLNVTKMTSQRKDGHLCKYYLGPNATSNRQD >RHN63683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53176777:53180090:-1 gene:gene26415 transcript:rna26415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family METGGRNETPFLGFRFVSKFLLCAVSGTLTACFAFAGALTGAIAGALAAKATKSGFLRGVSLGAIAGAILSVEVLEASRAYWCMEQTGSRGASSMADFIEELVRGRLVEESLTPAILTAYNLQFEQVGVANNTGYDEFHDVHSLVSSTGLSGDSLNKLPHHMVLKGMKAEDTFCAICLQDIEVGEVARSLPRCDHTFHLICVDKWLVKNDSCPICRQNV >RHN78586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16456503:16462942:-1 gene:gene2204 transcript:rna2204 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQNHAKFISFYKNDLFKIFQNNDSHFKVFFALIIFLYTYLHVTNGVFVSCNSHIHCRVNNHKIGCNIPEQYLLCVNLFCLWLDY >RHN42781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40944244:40946546:-1 gene:gene49268 transcript:rna49268 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSCTNSSSINGFYTFLTQGLNVLHQSLILSHNFMSIQFISEVLSSLQSFHSQLTLLVQNLCLPIGGKWLDEYMDESSRLWDICHVLKSSISGIENYSSAGSNIATSLDVFHHLTPEVSHQVIRAMNICQREIIGMEEENKSMMETRIQALSQSLNQNMSLESNLNEYNSFRGVLYAMRRVSSLLLMILLSGVAYCWSSSCFDHQGYEGNLVFGSSFMVSMARLQHKVGKEIDRINVQPGVLLFEFQQAKVAMEELKVELERIVVYDAEFEIQAKVDKLKSCFGLLKCGVENLIGKVDDFFDEIVEGRKKLLDMCSHK >RHN70803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54116963:54119998:-1 gene:gene19420 transcript:rna19420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MDYPLLPVFTILNLVLVATHAALPPELYWKSVLPTTPMPKAITDILHPGWMEDKSTNVGVGKGVSVNAGHKRKGKPATVVVGPHSPFAYNYAATETQLHDDPRAALFFLEKDLHPGTKMDLNFIRSSNVATFLPRQVASATPFSSEKLSHIFNKFSVKPESEEAHVMKNTIEECEDAAIQGEEKYCATSLESMVDFSISKLGKRVKVVSTTVVDNKSAGLKKYTLKSGLMKLTAEDKAVVCHKQNYPYAIFYCHKTESTRAYFVPLEADNGTRVKADAVVICHTDTSKWNPEHIAFQVLKIKPGTLSVCHFLPVDHIVWVPE >RHN81488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46333654:46339768:1 gene:gene5537 transcript:rna5537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein ENHANCED DISEASE RESISTANCE 2, PH domain, START MEGWLYLVRSNRFTQHYSRKRYFILKENVLRNFKTKPTSQMEEPMRSAMIDSTIRVTDNGRESINKKLGARSSEEAAKWIRSFQEAAVKEYPNPAKNYVACSKKRRSSLRYGGSKSTDWKYSNLSFESCVYSEAMTSDVIAPSQWKIFGCQNGLRMFKEAKSSDSRGRHWGEQSAIMAVGVIDGTSEAIFHTLMSLDPSRSEWDFCIHRGIVVDHIDGHTDIIHLQLYNDWLPWGMKPRDLLLQRYWRREDDGTYVLLYHSVYHSKCPPKKGYVRACLKSGGFVVTPVNKGTQSVVRHMLTIDWKLWKLYLGASSTRSITIRMLERIAALRELYRTKVGNYSEPITMTKDIVLPVTVTVKKDVKIEVANENKSKIEELVEVKDQVDDKEIPGRISLMGLTDSDEFFDVPESTEYDHYDNQWHSDFPSEPKPSPAGSFVKKLQELAVHKKGYMDLQEVAKEESASCSYGNTLQKDPNCTLPCSWAPSDPSLFLVRGETYLQDHQKVKANDTLMQLVGADWLRCNTREDDLSSRPSSIVQKYAAKGGPEFFFVIHIQMPGSPMYSIALYYMMKTPLEDNPLLHSFVEGDDTYRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEIRYIRGKNYLELDIDVGSSTVARGVASLVLGYLNNLVVEMAFLIQGNTQDELPEVLIGTCRLNHMDASKAIGVNP >RHN62310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42535671:42542837:-1 gene:gene24872 transcript:rna24872 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKKESLPKDSKEKKSLLDDDFGKEFLGSWKSMSMADDDAMDFSFDTVSKSKKKTFNFDKMDMNFDLDTDFGKMSSFKVDMSDLDFTCSPKKSSQSTDKKGEASSAKAGKKDGFNFNFDFNELDSFNLDSNLLKVDASNDDSNLKKKGITTEGNNDSEGAKKPKINDGDDDKSVRAYNDNMTMKPQASERLETLNTDTSVGNPGNLVSRQDVAVSKFSSSENLDMAIKNQTSDISKITSMKEIDQEKDLSEETKSTESKSEQVINKVSSQSVGQSDLEHDTISEQHAEVSLSGTRVSNAASDKKEVNDKAAFVDSDVVDVQLEHSSPPLTTESDGIVREAVNVGSSAEENFNDPQPEKSDSSYESITKIDASKRNSSNNIISENKRTALECHLATASSNPIVDKTTWMKDTELQVKKSNIFTIPENKMSLKNNSSTAGTKSVSFDSEKNSNIHQRSITQERNNIKSNETKFGSKMVSSSLPGSSKVTKDAPALLGRKDDLKSCNTREGIVLDVTPSAGKSFHEDVNRRKAMFPETGMSAKNVNILSSQVNPCSLTEKTAKITTQISVNSQPEASGKESFQKSKITSIEGNKLSSFKSCKITPAFSSLKTSRNIGANSVLATSLHQKEAKSLASSEQRKEIQTIAVSKSDHLTGGGDNQRPSATFLKRKNIEVSEADLTSLRPLKRLSQSPIGSRSCRTN >RHN51752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24317538:24320788:-1 gene:gene36269 transcript:rna36269 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFSKTVANDPYGGVTKRVGQMGDEIHGELLPDLRWCSVQTRPVEGGSKAGYCWLDA >RHN63313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50324348:50327343:-1 gene:gene25999 transcript:rna25999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MVSLTNLKDWYKGDTTTLLIALLTISIITWYLYLYFFKSKTQNLPPGPPGFPIFGNLLSLDPELHTYFAGLAQAHGPIYKLWLGSKLGIVLTSPSTARQVLKDHDTVFANRDVPAAGRAATYGGNDIVWTPYGPQWRMLRKICVVKMLSNTTLDSVYELRRGEVRKTVGYIHDRVGSTVNVGEQVFLTVLNVITNMMWGAGVEGEERESLGAEFREAVAEMVQLLGKPNLSDFFPGLARFDLQGVVKDMNALVPRFDGIFEKMIGERLKKEEDGKENNGSRDFLQFLLNLKEEGDSKTPFTNTHVKALLMDMVVGGSDTSSNTIEFVMAEMMNKPEVMRKVQEELETVVGKDNLVEESHIHKLTYLHAVMKETLRLHPALPLLVPHCPSETTNIGGYTIPEGSRVFINVWAIHRDPYVWENPLEFDPTRFLDGKWDYSGNDFNYFPFGSGRRICAGIAMAERTVLYFVATLVHLFDWTVPQGENLEVSEKFGIVLKKKTPLLAIPTPRLSNPDLYK >RHN61458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35577845:35589925:-1 gene:gene23929 transcript:rna23929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MDVNKLFSNQRSLRLISPLFVSSSRTSFLGCNHSLKPPTSSSSSSASSLRSPNKRNKLGLLRLHSPRFVFKAALNSQLIVVVVVVTLSAVSWIHFTLNNKKKKNLNQGHAKYALSPQGSNVANQVIDNQILGFPEFQRDNTLNEIGKLNDLNGKDNHVFEDQEVHLQFLQSSMVQETAMKTRTLDSSSSVLDSSVNGNSVLDSSVNGNSSFSVNGNSSEVLEEPFLSVTFQSNSLASIAFAEEMTLQVEESQDVADSALELPLSEVKPEHNTSSVGLDKALDTINGHTKEKIDLHAIKSNVIFGESVREGLYMFYDDNNLASESMTPLSSIKSLSPSTSFVNSTELSSAIRNISLDGLGLSADISLQNAEYVEGAAKISSPKEGYPPQHTSKKLRKSSRYVRDMERNYMDHNNNNVLPQSSHVRVHVDQRNDQIRVHDGLKVDPSKHLSKYNNLLKAGRLCECVELLKDMEMKGLLDMTKVYHAKFFNICKKQKAVNEAFDYVRLIPNPTLSTFNMLMSVCASSQDSEGAFQVIQLLKDARLDPDCKLYTTLISTCGKCGKVDLMFEVFHKMVNSGVEPNVHTYGALIDGCARAGQVAKAFGAYGIMRSKNVKADRVVFNALIAACAQSGAMARAFDVIAEMEAEIQPIVPDHVTFGTLMKACAKAGQVERAREVYKMIQQYNIKGSSEVYTIAINSCSQTGDWEFARSVYDDMTQKGVLPDEMFMSALIDVAGHAKKLEAAFDILQQARKEGVQIGIMTYSSLMGACSKAKNWQRALELYEYLKSLKLVQTVSTVNALLTALCDGDQFQKALEVLSEMKGLGLCPNSITFSILIVASEKKDDMEAAQMLLSQAKKDGAAPTLIMCRCIIGMCLRRFEKACLVGETVLSFDSGRPQVNNEWTSLALMVYRETIGAGEKPTSQILSQVLGCLKFPYDTYVRNRLVENLGVTAESSKTSNLCSLIDGFGEYDPRAFSILEEAASYGVVPSVSLKMNPIVIDAKELDAFTAEVYLLTILKGLKHRLAAGAKLPNIIILLPVEETKLLSPEGEKTIILAERGGQAVAALFRRLHIPYQGSESNGKLRINSLGLIKWYQPKLASPFGGFQGDWSSTQLRLGKNISNQQRNIRTGNLSLD >RHN57015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35770584:35770799:-1 gene:gene32498 transcript:rna32498 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKKNNVSFFQMPLHYPRYTEKDYQDMPEWKLDGLLKEYGLPTHGDLAYKREFAMGAFLWPKFPLNSKS >RHN50682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9388229:9397049:-1 gene:gene35001 transcript:rna35001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKIFNYVYALIMFLSLFLMGTSGMKNGCKHTGHCPRKMCGAKTTKCRNNKCQCV >RHN60177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22472895:22475545:-1 gene:gene22425 transcript:rna22425 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVELVTGCELKMPAKDETRQVMDQIKGYGIVFRNQLPYLLPKMFPDGCYEVHII >RHN48979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51522462:51528709:-1 gene:gene43756 transcript:rna43756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 2 MSTQAKYVRVPSIRDRVQDTLSAHRNELISLLSRYVAQGKGILQPHNLIDELENILGQEDHLKDGPFGEIIKSAQEAIVLPPFVAIAVRPRPGVWEYVRVNVFELNVEQLSISEYLSFKEELVDGKINENFVLELDLEPFNASFPRPTRSSSIGNGVQFLNRHLSSNMFRNKDCLEPLLDFLRAHTYKGHALMLNDRLQNISKLQSALVKVEDHLSKLAPDTLYSEFEYVLQGMGFERGWGDTAARVLETMHLLLDILQAPDPSTLETFLGRVPMVFNVVILSPHGFFGQANVLGLPDTGGQVVYILDQVRALENEMLARIQKQGLDFTPRILIVTRLIPDAKGTTCNQRLERVSGTDYTHILRVPFRSEKGILRKWISRFDVWPFLETYAEDVASEISAELQCYPDFIIGNYSDGNLVASLLAYKMGVTQCTIAHALEKTKYPDSDIYWKKFEDKYHFSCQFTADLIAMNNADFIITSTYQEIAGTKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMTIYFPCSEKEKRLTALHGSIEKLLYDTEQTDEYIGSLADRSKPIIFSMARLDRVKNITGLVESYAKNSKLRELVNLVVVAGYIDVKKSSDREEIAEIEKMHDLMKQYNLNGEFRWITAQTNRARNGELYRYIADTKGAFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIEHGVSGFHIDPYHPDKASELLLEFFQKCKEDPNHWNKISDGGLQRIFERYTWNIYSERLMTLAGVYSFWKYVSKLERRETRRYLEMFYILKFRDLANSVPLAKDDAN >RHN58870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5612165:5615604:1 gene:gene20825 transcript:rna20825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate decarboxylase MDTNLGSLEACKSPCNDIITTPTSNGTVSTIQKSPSTQSLASSESTLGSHLARRLVEVGITDIFTVPGDFNLTLLDHLIAEPKLKNIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVINAIAGAYSENLPVICIVGGPNSNDFGTNRILHHTIGLPDFSQELRCFQTVTCYQAVVNNLEDAHEMIDTAISTALKESKPVYISISCNLASIPHPTFSREPVPFSLSPKLSNPMGLEAAVEAAAEVLNKAVKPVLVAGPKLRVAKACEAFIELADKSAYPYSVMPSAKGLIPEDHKHFIGTFWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVIGNGPTFGCVLMKDFLSALAKRLKRNNTAYENYFRIFVPEGLPVKSEPREPLRVNVLFQHIQNMLSSETAVIAETGDSWFNCQKLKLPKGCGYEFQMQYGSIGWSVGATLGYAQAVPEKRVIACIGDGSFQVTAQDVSTMLRCGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGQGHCWTTKVFCEEELVEAIETATGPKKDCLCFIEVIVHKDDTSKELLEWGSRVSSANSRPPNPQ >RHN52665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37515337:37517699:-1 gene:gene37360 transcript:rna37360 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLPLPLPYQMILFLYILFFPSYMSLTHPNSTSSTLNPIFPTTLTIPSFQEQSYVKGCPLSLSNELFNGIESACSSSKHGSNSKLDRSRCCPILAAWLYSSYSSTALGNHSSSSSSSSRSSFDMPLVPDDSETCVDGLEKALKVRGIELIKPNESCDLVYCYCGIRLHPFNCPDAFSVTKSGELVGDGSVRRLEKNCLSKKKNGNGFQGLGGCSKCLNSLYLLNKKTSNLSKEEDRTTKIHNKDCELMGLTWLLSKNRTAYIHTVTNVLRALLLNKDGSKPQSCTLNSDGMPLAVDSSEFYDQSSSTKLQQTLSLSLLLLLCLVQYMHVIVLSS >RHN38494.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:66842:69640:-1 gene:gene50600 transcript:rna50600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MAGRNDAALAAALQVVAQAVRQQPNANAGANAEARMLETFMKKNPPTFRGRYDPDGAQTWLKEIERIFRVMQCTEDQKVRFGTHQLAEEADDWWVALLPTLGQEGAVVTWAVFRREFLRRYFPEDVRGKKEIEFLELKQGNMSVTEYAAKFVELSKFYPHYTAENAEFSRCIKFENGLRPDIKRAIGYQQLRVFQDLVNSCRIYEEDTKAHYKVVNERKGKGQQSRPKPYSAPADKGKQKMVDVRRPKKKDAAEIVCFNCGEKGHKSNVFPEEIKKCVRCGKKGHVVVDCNRTDIVCFNCNGEGHISSHCTQPKRAPTTGRVFALTGTQTENEDRLIRGTCFINNTPLVAIIDTGATHCFIAFDCVSALGLDLSDMNGEMVVETPAKGSVTTSLVCFKCPLSMFGRDFEMDLVCLPLSGMDVILGMNWLEYNHVLINCFSKSVHFSSVEEESGAEFLSTKQLKQLERDGILMFSLMATLSIENQAVIDRLPVVREFPEVLPDEIPDVPPEREVEFSIDLVPGTKPVSMAPYRMSASELSELKKQLEDLLEKKFVRPSVSPWGAPVLLVKKKDGSMRLCIDYRQLNKVTIKNRYPLPRIDDLMDQLVGARVFSKIDLRSGYHQIKVKDEDMQKTAFRTRYGHYEYKVMPFGVTNAPGVFMEYMNRIFHAFLDRFVVVFIDDILIYSKTEEEHAEHLKIVLQVLKEKKLYAKLSKCEFWLKEVSFLGHVISGDGIAVDPSKVEAVSQSDTPKSVTEIRSFLGLAGYYRRFIEGFSKLALPLTQLTCKGKTFVWDVHCEKSFGELKKRLTTAPVLILPKSDEPFVVYCDASKLGLGGVLMQEGKVVAYASRQLRIHEKNYPTHDLELAAVVFVLKIWRHYLYGSRFEVFSDHKSLKYLFDQKELNMRQRRWLELLKDYDFGLNYHPGKANVVAMP >RHN82228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52145911:52148128:1 gene:gene6373 transcript:rna6373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIP41-like protein MLIMFQNQNRCIRVYLYEVVLYEDELADNGVSLLTVKVRVMPSSWFLLLQFWLRVDGVLIRLRETRMHCIFAGSTNPVVLRESCWREATFQALAANGHPFDSAAYNDPSIISQKLPVVKRTTQKLVISS >RHN57918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42558405:42558590:1 gene:gene33509 transcript:rna33509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MCPGIMFGLPNVELPLASLLYHFDWKLPNEMKNEELDMTESFGITAVRKYDLCLIPIIRRI >RHN62947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46960923:46962921:-1 gene:gene25588 transcript:rna25588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MKPENNNTTITMLAKTDSEVSSLTQSSPTRSRDGLRAVYYVQSPSRDSSNDGEKTTNSFNSSPLQSPLGSPPHSHSNSSLGPHSRESSSTRYSASRKSSQASHNNRKGNWRPWKDQFNAIEEEGLLDDDDDADRGFPRRCYFPAFVVCFFVVFTVFSLILWAASRPQKPAIFLKSITFDRFIIQAGADLSGVATSMVSMNSTVKMTFRNTATFFGVHVASTPLDLNYYQLTLATGNMPKFYQSRKSQRSIKVMVKGSHIPLYGGGARLNTVNGSPVEPVPLTLNIMVRSKAYVLGALVKPKFNKKIECALIMDPKKMGKPIRLTNKCTYEL >RHN64925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63037031:63040479:-1 gene:gene27804 transcript:rna27804 gene_biotype:protein_coding transcript_biotype:protein_coding MVANIWSDDNSQQLEATTEFRKRLSIERYPPIDEVIQSGVVPRFVQFLYREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFIKLLSSPSDAVRAQAPWALGNIAGDSPRCRDLVLSHGALIPLLSQLNDQTELYILRNAIWTLSNFCRGKPQPPLEQMRPALPALKHLVFSKDEEVLTDAWWALSYLSDGTNDNIQAVIEAGVCGRLVQLLLHPSPSVLIPVVRTMGNIVTGDNMQTQAIINHGSLPCLLSLLTSSHKKSIKKEVCWTVSNITAGNREQIQAVIEAGLIAPLVNLLQNAEFDIKKEAAWALTNATSGGTHEQIKYLVSQGCIKPLCDLMVCPDPRIVTVCLEGLENILKVGEVEKSFGNTGDVNLYAQMIEDAEGLEKIDNMQNHDNYEIYEKAVKILETYWLEDEDETLTSWCLV >RHN60256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24305293:24305588:-1 gene:gene22530 transcript:rna22530 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRVKRVEMESEYRCQVWMNYLKIELLDELLLELLKQVLMKLLLKLAYSSRLERAELLHTEQFLTQMLKQQCQE >RHN48787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50259743:50262827:-1 gene:gene43540 transcript:rna43540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MEPTDKEEKDICVIGDRSTSDVVVRLRTQEGRDDWLYCHSTILVENCKYFADRLSENWPTCQILGSRNCVDVNCQESDFDYHVNFIRLLYVVVDGSVDDLWHGVRNALGILKVAVELECPKIVAACVKYLEAMTWEESEEEEILKIVPRMGLQAEPILARLQPVNQLAIRNIFLSAIRFATSSPPLAMNDLKSSAQEQLEYMLTEDDDAPLLIADDNIKYEVKECVKRLFSGFNNSLIHLLGGSTESLPEVGNVSVKSYLTDLSWVCQILSKLEIMRDFIEYWFDASERIVKVLELEQGSSTTEVVEIKLRAIEVTSKVLEAIAYGTVILPTAKRLQVLKLWLPFVRVTKPVIDSAMTNCEDAALLKMDGEMWQSLESSFVSIILALPSGDQAGLLTEWLQNENIRYPDLTEAFEVWCYRSKVARRRLSLLEDEHVMTQ >RHN61929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39433399:39437436:1 gene:gene24443 transcript:rna24443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MASSNNPSSLALVTLPKKKKNFYDVFVSFRGEDTRNNFTDFLFDALEEKGVFAFRDDTNLQKGESIAPELFHAIEGSQVFVVVLSKNYAFSTWCLKELEYILCCVQASKKYVLPVFYDVDPSLVRKQTGIYSEAFVQHGHRFKQDSQMVLRWRAALTQVADLSGWDLRDKRQSLEIKKIVQRIITILDSKLSSSASNDLVGMDSPRQELEKLLLLDSVDDVHVVGICGMGGIGKTTLGMVLYDRISHQFGACCFIDDVSKMFRLHDGPLDVQKQILHQTLGENHNQICNLSTASNLIRRRLCRQRVLMIFDNVDKVEQLEKIGVCREWLGEGSKIIIISRDEHILKNYGVDEVYKVPLLDWTNSLQLLCRKAFKLDHILNSYEGLVNGILHYANGLPLAIKVLGSFLFGRDISEWRSALARLKESPEKDVMDVLRLSFDGLKEQEKEIFLHIACFFNQVWGKYLKNVLNCCGFHADIGLRVLIDKSLISIDADGFIHMHGLLEELGREIVQENSSKEQRNWRRIWFVKQVNDVMLEKMEKNVEAIVLNHENDGEDDAKMVTIVEHLSKMRHLRLLIVRCPVNTSGNLSCFSKELRYVEWSEYPFKYLPSSFDSNQLVELILEYSSIEQLWKGKKHLPKLRNLNLSHSKNLIKMPHFGEFPNLERLDLEGCIKLVQLDPSLSLLTKLVYLNLKDCKCIIGLLSNNPRPLNIRASHSSSTTPSSLKRNMLPKHSSLQTPTTHTNLFSSLHSLCELNLSFCNLLQIPNAIGCLYWLEALNLGGNNFVTVPSLRELSKLVYLSLEHCKLLKSLPVLPSPTAIEHDLYKNNLPAFGTRWPIGLFIFNCPKLGETERWSSMTFSWMIQFIQANRQFSHDSSDRVQIVTPGSEMPSWFNNQSKGNLIRIDSSPIMHDNNNNIVGCVCCVVFSMTPRSHPTMRRSSPSRQTYLGLEFTDTHGRVIEKSNTGIQVTLNDRLITAKSNHIWLTYFPLDLSSDLLNRTLWVDTSRYENDLKIEVKNCGYRWVYKQDLQEFNLTKMNHRNSLGGKLKSLAIEGEAQ >RHN80156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35630637:35632678:-1 gene:gene4046 transcript:rna4046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isopenicillin N synthase MEECEVIDLYELHYSELSSPSSSKVEDSIMEALGSNGPGLLAVTGIPNISNLRSYLLPLARKLSLLYSQTRNRILKENNLGSDVSLKNPHRSVSSFARQLNYAKTHSEEKDKDEVYGNGFQNLGNVFQELGFCMMEVGLCLARICDKAIGGNELEHSLLESLAAKGRLIHYHSRLDALLLQELDKSKMNNKRRVKNVKQLQGSCLNSVACDSVHSDLWQQWHYDYGIFTVLTAPCFLLPSYSEMSTMQDSDNCVECPSPTGHTNLQIYDPNKKRVVMVRAPPESFIVQVGESADIISKGKLRSTLHSVYRPSMIENLCRETFVVFLQPAWTKTFSISDYPLGKSTFDGVDGQCLMVDEFDDEEQRSRQDNNKLSLEIQKIVPPLSSRLKDGMTFAEFSRETTKQYYGGSGLQSNR >RHN78296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13796863:13801541:1 gene:gene1833 transcript:rna1833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative groEL-like equatorial domain-containing protein MNFVPYETLLHNNMNTNGFVVPFLMQQAGTTCATVLIRAIFTEGCKSVAAE >RHN55303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17034911:17041143:-1 gene:gene30468 transcript:rna30468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MQQDHMKKQKQKQLLNEESKKKERHIVTWTQEEDDILRDQIGIHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENNISYTNSNNKRIIFRDEASDSAVDYKKMRRSHIPDGAEKVGFADKSHKQNETSRAPLAVLAQNTHNANNLPDHNHVCNVKFSGYAQNNKIQGTFLKKDDPKINALMQQAELLTSLALKVDAENMDQSLENAWKILQEFMNRNKESDVSGYKIPDLQLVDLKDLLQDLKNNSEEIEPCWRYMEFYEDSPESSEHSTGSTTLPHCTGENLEHSLHQDSGTELKSIQIEHQKEIGGCDHVILSSATLDQDIFSSCEEQINNDGIVCSLSSAEFSSPLQVTPMFRSLAAGIPSPQFSESERNFLMKTLGMDSPSLNPIANPSQPPLCKRALLQS >RHN46615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33281929:33282459:1 gene:gene41126 transcript:rna41126 gene_biotype:protein_coding transcript_biotype:protein_coding METNVSPSNPLSGRTSHQAHSFNNMVDDGRKIAYDFQQEHNFKGNKNLNYDIDVSMFSDVKCCYYLLEAMPLPGPTWSTTGPTILAEPPSLIEASMELELGENNQASSYTWWLGFLKGLDGNMSIEKKMEKEIVMEHNKGVSFESKFVDAIDLGCCPDDWLIIPPMEKDLGDKLVP >RHN62042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40255927:40258627:1 gene:gene24571 transcript:rna24571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MHFWRSAVDNYWSLLTPLIFSDHPKRPGDEDPLPPYNMIRNVMDMSSNYGGLNAALLEEKKSVWVMNVVPARASNALPLILDRGFTGVMHDWCEPFPTYPRTYDLLHANGLLSQFISERCSMIDLFLEMDRILRPEGWIILSDTVGTIEMARTLATQVRWEARIIDLQNGSDQRLLVCQKLFLKK >RHN61730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37887752:37891744:1 gene:gene24220 transcript:rna24220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP-dependent synthetase/ligase, AMP-binding enzyme domain-containing protein MASAANFNFEITQNNMITQHPHWYSSKTGIYNSIHTPRNLPTDPFLDIVSFIFSHSHDGVLALIDSSSGSSISYSKLLPLVKSMASALHKMGVSQGDVVLLLLPNSIYYPVILLAVLYLGAVFTPLNPLSSVGEIRKQVQECGVSFAFTIPENVKKLELLGGINPIIAVPENEKDLRNDGFSSFFNLLYGKFDLPQRVVIKQEDTAGILYSSGTTGVSKGVVLTHRNLISMVELFVRFEASQYDYSCSKSVFLAVLPMFHVYGLSLFAAGLLSLGSTVIVMRKFDIDEVIRVIDKYNVTHFPVVPPMLSALTMKAKGVNGIKLQSLRQVSCGAAPLSIGVISSFVHAFPNVDFIQGYGMTESTAVGTRGFNTEKFHNYSSIGLLAPNTEAKVVDWNNGTFLPPGSCGELWLRGPSIMRGYLNNEEATISTIDKDGWLHTGDIVYFDQDGYLHLADRLKDIIKYKGFQIAPADLEAVLILHPEIIDAAVTAAEDEEIGEIPVAFVVKKVGSVLSPKDVVNYVAEQVAPYKKVRKVFFTDKIPRSPTGKILRKQLRHCLTSKL >RHN38693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1341196:1345409:1 gene:gene44654 transcript:rna44654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MMITITPLQIQSSLQNQNVSLSLSYSHTLSPFKQRVNVFYTDSGIGGGARVSVTCSISKIHNYGTLDYERKPNLKWNAIYKRISMMENPELGSASVLNQWENEGRNLTKWELCRVVKELRKYRRHDRALQVYDWMNNRPERFRISASDVAIQLDLIARVHGVSSAESFFLNLTNDLKDKRTYGALLNAYMLKDPTIIPNWSTFSTMAAMYIKMELFEKAQECLKKAEGRILGRDKVPFHYLLSLYGSVGNKDEVYRVWNNYKSMFPSIPNLGYHAVISSFVRMDDIERAEKLYEEWVSVRPSDDSRIGNLLISWYLKKGKSDKVFSFFKHMSEGGGCPNSTTWELLSEGHIAEKRVSEALSCLEKAFMTSDSKSWKPKPIKLAAFLKLCQDEDDMESAEVLIELLRKSGYHNDEAYAAIISKDEPSNRTERIDDVDSENMDDDDSQVLFSQVDSSF >RHN49124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52612812:52613123:1 gene:gene43919 transcript:rna43919 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLPELLVFLVLFHQQTTEIIKNHFLLLLSLKSQFLTAQKKTKSPPATLYSDLRGPFQSLQHKRKQNQHQPFLGSDGVVVKIGIGKNKRGQDTGFPMETKNP >RHN43277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44561901:44562197:1 gene:gene49825 transcript:rna49825 gene_biotype:protein_coding transcript_biotype:protein_coding MLRICLLFPIMYVGKRFPPLPKSANEVKLISSGKILENNVTVGQCKIPFGDIEEEVITMHVHHLSPLPKSKAGKIHSLISIVNASFMGYVLFCKSPLI >RHN50580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8247833:8250199:1 gene:gene34883 transcript:rna34883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MDIEGKSEREKTIENWLPINSQRNAKWWYSSFHNVTAMVGAGVLGLPFSMADLGWGPGLTILFLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLYIVVPQQLVVEVGVNIVYMVTGGASLRKFHDTVCPSCKNIKLTFFIMIFASAQFVLSHLPDFNSISGVSLVAAAMSFCYSTISWTASAHKGIQKDVHYGSKATTTSGSVFNFFNALGSIAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVVVAYIVVALCYFPVAIIGYWVFGNEVKDNILISLEKPAWLIAMANFFVVLHVIGSYQVKFNFFEQIGNFLFHHYFHLVHNYLRLYDKLMIKVRIKSSTIYAMPVFDMIESFLVKKLNFKPSTILRFLVRNLYVAFTMFIAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLVIYKPKRFSLSWWTNWICILLGVCIMILAPIGALRSIILEAKTYKFYS >RHN45668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24286768:24288086:-1 gene:gene40051 transcript:rna40051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MREYMARRNTLDTQNLFTIWSFDDKMVYENIIQATDDFDDKHLIGVGGHGSVYKAELDTGQVVAVKKLHSIVYEENSNLKSFTSEIQALTEIRHRNIVKLHGFCLHSRVSFLVYEYMGKGSVDNILKDYDEAIAFDWNKRVNAIKDIANAVCYMHHHCSPPIVHRDISSKNILLNLEYVAHVSDFGIAKLLNPDSTNWTSFAGTIGYAAPEYAYTMQVNEKCDVYSFGVLALEKLFGKHPGGLIYHSSLSPLWKIVGNLLDDTSLMDKLDQRLPRPLNPFVNELVSIARIAIVCLTESSQSRPTMEQVAQQLSMSYLSTVD >RHN51085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13858232:13864251:-1 gene:gene35460 transcript:rna35460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial import inner membrane translocase subunit Tim16 MAAKILANLIVMGGGILARAVVQAYRQALTNASKNGVAQETIQNTIRKAKITEQEARQILGVTEEMSWEEITKKYEKMFENNAKSGSFYLQSKIYRAKECLEQTLKKGEGDGNPS >RHN62968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47157734:47161749:-1 gene:gene25610 transcript:rna25610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MPRLITPFFPLFFFFTLASSTNSTLSQDAVSLLSFKQNADQNNKLLYTINEPYDYCEWQGVKCAQGRVVRYVVQSLNLTGFFSPNTLTRLDQLRVMSLRNNSLSGPIPDLSPLTNLKSLFLDRNNFSGSFPPSILFLHRLITLSLSHNNLTGSLPVQLTLLDRLIILRLDSNSFTGSLPSFNQTDLKVFNISANNLTGPVPVTKTLSRFKPALFSDNPGLCGEIIHKQCGHRSRFFGGSSNATAPLSQSEESQGIVVVPSSKRNKNHKKTGLVIGFIVAGFIFLAVSTIIVIALVKKQNTGEKSESPENLQSSTSPAMEVLEVRTENDTKVKKMEEAHKSGKLVFCCGEVQEYTLEQLMRASAELLGRGNVGATYKAVMDSRLILTVKRLDAEKTGGTSGEDFQKHMEMVGRLCHPNLVPLKAFFQAKGERLVIYEYQPNGSLFNLVHGSRSARAKPLHWTSCLKIAEDVAHGLAYIHQVSSLVHGNLKSSNVLLGEDFEACVTDYCLAFLTDSSSTEDPDSAAYKAPEVRKSNRRATSKSDVYAFGVLLLELLTGKHPSKHPFLAPADLQDWVRAMRDDDFSEDNRLEMLTEVASICSATSPEQRPAMWQVLKMIQGIKDSVSMEETELTGLS >RHN38522.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:591569:594620:1 gene:gene50638 transcript:rna50638 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLLALYCVVALLDRKERCDVYMSNCSSCWFCGVRHIFKSFNSGYESWSQVHAFREDCLVSSVILWKRDMLTYFVLYKKNIDYDVKESIF >RHN73975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22955789:22964259:1 gene:gene9890 transcript:rna9890 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKIAGTWSGVLEEVDLENWTISSLREEVAKRSNCDNPHFINLICAGRILKDDDKTLTLTQLGIKNNSKILATVTSPQQSQSLVVEEQSSHRLARIRAAATALAERHADGSLPLEDFNIEVEDQSGQKVRLGTEIDQRAVMMGLMLHAKGKRFIRKGNYKDALEVLTMGEESFSICDPKVIELIDNVPILQIDMVWCYFMLRDIRWLSDAGKRLEMARAGIERAHGKDSLRLRLLQGGRYPELALHLRLELLEGVVAFHSGQLEKSRQALASAKAKFVQLQVPDEALSLVMSMGYTQRDAKRALRMNNQDVGGAIDFLVEEKAKKMQKDEEDLKRRDEIREQKQYGVTPLKKAVDLERLNELVTIGFEKELAAEALRRNENDTQKALDDLTNPETNSHLQVKIESRKRKRQQQAKDSAIEKVVQMGFERSRVVAAFEEGGNLEEVLQRLTAQPQVNSTAAFDGIGSSSNPLPENVGSDIPDQMNEVEDQNKTEERDVEMEDELSADIAKGDAFTDYDIEVNIEGEAITEYLSMVESAGIASK >RHN61443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35531230:35531397:1 gene:gene23912 transcript:rna23912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MKRFVISISLLSQPSFQELLNQAEEQFGYDHPTGSLTIPCREDVFLDITSRLNLC >RHN57054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36146368:36147628:-1 gene:gene32544 transcript:rna32544 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKHFTFLFFLCVLILVSVVAIEPSKDDETKDSKTKLTVDMNGGFGGGGHCGDHGGGHNDGYLGSWSGSIEWTENKGVWGRVETGGPRRGGNEGGVGGGENQGPGWGERKGRCGKNQGSKERQRKDRERREGYLEGGENEGRSWGGQKGRCGENRGPMERPRVGIGRREQCSEGRENEGPCWGRRKGACRVNKGPKECPREGRGRHEGCFEGAKNEGPIRRGRKGRCGKNCGPRERPRERGERREGFLIGGEK >RHN47246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38289282:38295665:1 gene:gene41821 transcript:rna41821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MSSCCGLRSGSPIMETELTSSSRGRGFGSIFGSTVKPRPTRFQTSDEDVEDLLPNKSPGRPSGTVFPYVGVACLGAFLFGYHLGVVNGALEYLAKDLRIAQNTVLQGWIVSTLLAGATVGSFTGGALADKFGRTRTFQLDAIPLAIGGFLCATAQSVQTMIVGRSLAGIGIGIASAIVPLYISEISPTEIRGALGSVNQLFICIGILAALVAGLPLEGNPTWWRTMFGIAIVPSILLALGMAICPESPRWLYQQGKISEAEKAIKTLYGKEIVASVMQDLTAASQGSSEPEAGWSELFSSRYQKVVSIGASLFLLQQFAGINAVVYYSTSVFRSAGISSDVAASALVGASNVFGTVIASSLMDRKGRKSLLITSFSGMAASMLLLSVSFSWKVLAPYSGSLAVLGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAISLSLGTHWISNFVIGLYFLSVVNKIGISSVYLGFSTVCLLAVLYIAANVVETKGRSLEEIERALTPTT >RHN78651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17192211:17192405:1 gene:gene2285 transcript:rna2285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tryptophan 2-monooxygenase MRVAVVGAGISGLVSAYVLAKAGVNVVLYEKEDHLGEEGIHGNTQQYAFDFDHMGFMLLNPVIT >RHN56209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28779009:28783995:-1 gene:gene31574 transcript:rna31574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribosylaminoimidazolesuccinocarboxamide synthase MSDSILSSINPPKTFHTKIPLITNPISTTTIAFKPNKFKIPTMICASTTPKQGEIQPSFGDTLINNTRKQQLLDPITSSLSNCLSETNLHFALPQLKSKIRGKVRDIYDSGDYLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFERTRHIVSNAVVSAPDKNVTIAKKCSVFPVEFVARGFVTGSTDTSLWTVYNKGNRNYCGNVLPDGMVKNQKLPKNILTPTTKAADHDVPVTPDEIIEKGLMSRDDYAEASEKALRLFEYGQQVASDHGLILVDTKYEFGKANDGSILLIDEVHTPDSSRYWIANSYLERFQNGLEPENVDKEFLRLWFKSHCNPYEDEVLPDAPEDLVCELAWRYIFLYETITKSKFEVQSTEEPIHDRISRNVATALSSLK >RHN79207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25817405:25820858:1 gene:gene2947 transcript:rna2947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDQISKSFYALMIFLSLILVVTSNDIKCTVAGDCPDFFRCPPNTFVRCISNICICRSLSH >RHN77048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3519659:3525373:-1 gene:gene455 transcript:rna455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase response regulator and transcription factor RR-A-type family MVCEMESDCIEDMMDIEELSSMWPEDVGTDVGKQFNIEKPGRDQDMLEEVTILEEPAIADFQRLMELTNYTDKGSSQLAYLMQHWEYKQANAVRLLREELDNLSKQRKEVEQRKLEILKENNRFEEEISYGGDKRPVSILDDAYYTWQDLPAAIRKSDIVVQNKRIEIEAEYDTVVYWKQRSQDFEKQLEASIRREDILKEKLQESIETIERQSSPVEELSQILKRADNFLHFILQNAPVVIGHQDKELRYRFIYNHFPSLQEEDIIGKTDVEIFTGAGVKESQDFKREVMEKGVPAKKEITFETELFGFKTFLIYVEPVFSKAGETIGVNYMGMEITDQVRKRERMAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILTTTKLDKEQRQLLDVMLSSGDLVLQLINDILDLSKVESGAMKLEATKFRPREVVRHVLQTAAAPLQKMLTLEGNVADDIPIEVTGDVLRIRQILTNLVSNAVKFTHQGKVGINLYVVPEPAFAKEEESHQKVTEDQSTISANGLKEDKHTPSPRSMRCDQNLIDDRKHADHPIQNHAFSNECRSSVNSECSMNDDDTEEQTHSIETTVWIRCDVYDTGIGIPEKAIPTLFRRYMQVSADHARKYGGTGLGLAICKQLVELMGGRLTVTSKEHCGSTFTFILPYKVSTACENSDDPDDLSDVDNNEDDTTEGFFQFQPRTLGSLFTSNGSTRPHRISHKFNGFPDNDSYSNHSSNIISNGTNSIEDASSVIVDASDMSESTNSFSHSLETKHESLCNGNKQNHDNNKAHDRLQNGSANSIHWKEASREMNLETKSNEPQQTCQGQGKEDSTTSNSTSSEVTKSTLKPNILLVEDNKINIMVTKSMMKQLGYSMDVVNNGVEAIRAVQSHSYDIILMDVYMPVMNGLQTTKLIRSYEETGNWDAAREAGVEQSLSASDECSVPPKKRIHIVAMTANTMSESAEECFANGMDAFVSKPVTFLKLKECLEKYLS >RHN44889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9500360:9501660:-1 gene:gene39059 transcript:rna39059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MARILSFFYALLIFVSLFLVTTNGSLPDAPPCLFTPECPPDMCPTDLTLKCINLSCQCTIEYDIDPDVVPS >RHN53218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:172142:175605:1 gene:gene28106 transcript:rna28106 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MMMSGIQGQPLEVTVVSCSKLKDTEWISRQDPYVCIEYASTKFRTRTCTDGGKNPVFQEKFILPLIEGLREINVVVWNSNTVSFDDFIGTGKVQLHKVLSQGFDDSSWPLQTKTGRHAGEVKLILHYTNANANAKVNHQKPGSSSHTPYTAMPTPAVPSYNHVPSYYPQQPHSNYHHPTTPAVAYPQPPPYPASHNPSSYPPSSTYPYPQSVSSPYPPPSSSSPYPSSVPSPYPPTSSSSPSPYPPPHSYPPSSAYPPPSYPPPAGYPPNTGFYPPPPY >RHN47156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37560653:37565360:-1 gene:gene41718 transcript:rna41718 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKVSKSNLVVYIHPSQSNQVSKAVLRELSTLLFTYDEIFDGVVLAYYVDSLDKCAKVLPGVYPYFGVNLKVNMLLFSPKPDMLLEGKVEKVTPEVIIVIVLGFATAIITEKDIRGEFKFTMKHGVFASKYHKRHVIKKGTMLRFSVKSFDEEQIYVYGSLVPDNTGNIQWLDKNLEVVSHTDRSTKKRENEGKPLRSDKDALELSTVDTPQKLKMSKKQKVREES >RHN60353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25735841:25736290:-1 gene:gene22658 transcript:rna22658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MVHTTMAVPSTITFKFSILLIILFSFEARETIAISLTEKVTITNNVTDPTPKTITFNCKSKDDDLGVHTLMFGEIYRFSFRPKILYPIVHPTVFSCSFTWLGNPHRHYFDIYDQSRDRCFHCNWKINLNGGCLNGDKCRPWKSVQLMDA >RHN43910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49391972:49392960:-1 gene:gene50545 transcript:rna50545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flagellar calcium-binding protein calflagin MATNPNTETTTTTKSSVYLGDMDELKTVFTRFDTNGDGKISVTELDNILRSLGSTVPKDELQRVMEDLDTDRDGFINLAEFAAFCRSGSADGDVSELREAFDLYDKDKNGLISATELCQVLNTLGMKCSVEECHTMIKSVDSDGDGNVNFEEFKKMMNNNQANSN >RHN50172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4801823:4805758:1 gene:gene34431 transcript:rna34431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyl-CoA carboxylase MASFSVPCPKCPVPSSLLGLKSNKILFQSGLSLKNSQSFGSLSAESASFGIQCLNKKQFPVKIQAQLNEAAVVENLNSAPTVASSEEKENQNGSVPASTVSDESAISAFMSQVADLVILVDSRDIVELQLKQSDYELVIRKKEALQPPPATAMPQSAPPLYYPTLPLPPPPPPTAYSATASSPPSKATPALPPPKTSASSHPPLKCPMAGTFYRCPGPGEPPFVQVGDTVQKGQVICIIEAMKLMNEIEADQSGTIAEILVEDGKPVSVDLPLFVIVP >RHN74755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36422006:36426778:1 gene:gene10867 transcript:rna10867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-oxo-beta-amyrin 30-oxidase MMMGVSSTTATFFLIVAPLVLLWTWKLLNWLWLRPKRIEKVLRAQGLQGSPYKILVGDTREMIKMMMENAKSPIFTNSLSDDKDVTPHIFTFIHHIYQKFGKNSFLWEGTNAKVIITNPEQIKEIFNNMNDFQKPKLSPLYKLLGTGLANYEGEKWRMHRKIINPAFYTEKLKIMSPIFSQSCDEMIRKWEDISSDGKFEIDAKTFLQILTCDVISKTAFGSNYEEGQRIFELLKEQAQLIMKLRNVYIPGWRYIPTTTHRRMTEIDKDIQASLMAIINKRKKAMMAGEVLNNDLLGILLESNQKEIQENGNNKNVGMSDQEVLEECNAFYLGGQESTSVLLVWTMILLSRYPDWQARAREEVLQVFGDKMPNSDGISRLKIVTMILYEVLRLYPPVIYFNRVARKDVKVGSLFLPAGVHVSLPILLIHHNRDIWGDDATEFNPERFSEGIAKATKGQVCFFPFGYGPRVCMGQNFALLEAKMVLSQLLQRFSFELSPNYVHAPTTVFTLNPKHGAHIIFHKL >RHN72394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7269707:7273383:-1 gene:gene8100 transcript:rna8100 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEALTLEVLMDCMCLLCHSGTFSDVWVMKEFGNENSWARLFRVPYMEGVGSGPYTKAFYVYEDDQVLLECQSKLVLYNSRDGTFKSLEIQSTDGWMVPQVYQQSLISLCS >RHN47194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37780602:37785950:-1 gene:gene41760 transcript:rna41760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ELMO domain-containing protein MDDRGGSFVAVRRISQGLDRGNAYHSSSAEFVTGSTAWIGRGLSCVCAQRRESDARLSFDLTPYQEECLQRLQSRIDVPYDSSIPEHQASLRALWNAAFPEEELNGLISEQWKDMGWQGKDPSTDFRGGGYISLENLLFFARNFPKSFQDLLRKQEGDRSVWEYPFAVAGVNITFMLIQMLDLEAVKPRTLVGATFLKFLAENESAFDLLYCITFKLMDNQWLSMHASYMDFNTVMKSTRRQLEKELLLEDLTQLEDVPSYKLLTR >RHN77140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4387333:4390361:-1 gene:gene565 transcript:rna565 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGDIAQLDLCWFVLETNAGMKWIKLILPCTFVVKDAEGNAAIKKGLVIML >RHN65833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5703557:5704856:1 gene:gene13725 transcript:rna13725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MILPFEPKIQRITANPNTNMENKEEDRLSDLPDSILHHILSLLDTKQAFQTSILSTRWKNLPNHIPTLRLSSPVFNSFDSFAKSVCLILSHRDYLTSLHTLDLYHRPHDFDFMCPDILKSIVYYTVTHNVQRVRICVTCNIQQLPSCLFSSQTLTSLDLSIHQDGIVLFPNSLNLPALTTLTLNSFYFRSDNNGCAKPFSALKKLNTLIIRQCPVLKAQILCISNTTLVNLTIYGYYLYNNYKSKIMLSAPYLSTFAFTGTPNQTLCVSHPCSITHLYIDVEDIRWVEEDSATLFSWLLELANIKSLTVSSNTLQVLSFVPDLIKVKLNSLCNLESLQVEMKPLTPGLYMILRCRKFKKEGARIQLIKAKFIPDGVVEFLLQNSPLAKITIIDNDD >RHN65794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5457017:5457478:1 gene:gene13684 transcript:rna13684 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCLRKLNLLRVHRKGTVMDTTRRKKRKWGWCQLEPVNQWLLLLLSMQLKCLRHTHTCRILSILSSHRFIISTLCHRVNLKCPSMQLPNR >RHN60146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21727888:21729400:-1 gene:gene22384 transcript:rna22384 gene_biotype:protein_coding transcript_biotype:protein_coding MINFICKLHFLFGKNFEGATRIVDQRGVKRISGNPSGRFIFQHRHFRLKACRCQTPTYVVTFIVCLVL >RHN55378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17788081:17793609:-1 gene:gene30551 transcript:rna30551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase MARCH MSDHLVVIVDQLDHPVVPVDQQPAHLPSDPSPSPSPATADAGSSGSGSAVDRDGDDCGDEEEPLIQMVECRICQEEDSVSNLETPCACSGSLKYAHRKCVQHWCNEKGDITCEICHQPYQSGYTAPPPRPVPEETTIEIGGGWTLSGSPLDLRDPRLLAIAEAERQFLDAEYDEYAASNASGAAFCRSAALILMALLLLRHALSVTDGDSSDDDPSNFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATQVAFVLNSGQRRGLHFAIAPGPPGPTVQQEQV >RHN48226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45931394:45937031:1 gene:gene42918 transcript:rna42918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-NDR family MDSARSWFHKFQPRDRLRASTRKKDDGNGVIDDANAVVDETDLSNVTKQKVAAAKQYIENHYKEQMKNLQERKERRTVLEKKLADADVSEEDQNNLLKYLAKKETEYMRLQRHKMGVEDFEMLTMIGKGAFGEVRVCREKTTDHVYAMKKLKKSEMLRRGQVEHVRSERNLLAEVDSNCIVKLYCSFQDDEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDKYGHLKLSDFGLCKPLDCSVLEESDFSIGQNANGTTQNDDRAFPKRTQQEQLENWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWKSHLKFPEEARLSPEATDLISKLLCNVNQRLGSNGAVEIKVSYGSHPFFEGVQWEKLYQMEAAFTPEVNDELDTQNFEKFEESDSQTHSSSRSGGPWRKMLSSKDINFVGYTYKNFEIVNDYQVPGMAELKKTSKSKRKPSVKSLFGKLTSFLTFCFLQIQIFLLLVILTLLFQSNRGDIIDGALETSEVSDTSEVSASNPPTK >RHN59588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12308331:12311787:-1 gene:gene21638 transcript:rna21638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADPH:quinone reductase MSAKLMHAVQYNSYGGGASGLKHVEVPIPTPKTNEVLIKLEAISINPLDWKIQQGVLRAMFFPRKFPHIPCTDVAGEVVEVGPRVKDFKVGDKVIAKLTNEYGGGLAEFAVASESLTAARPSEVSAAEAAGLPIAGITAHDALTKNGGIKLDGTGEQKNILVTAASGGVGAYVVQLAKLGNNHVTATCGARNIDFVKSLGADEVLDYKTLEGTSLKSPSGKKYDAVIHCTIGIPWSTFDPNLTERGVVVDVTPGPSSMLFSALQKLTFSKKRLVPYFVDVKREGMEDLAQLLKDGKLKTVIDSKFPLSKAEDAWAKSIDGHATGKIIVEP >RHN43349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45074727:45080352:1 gene:gene49908 transcript:rna49908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BAH domain, transcription elongation factor S-II, central domain-containing protein MVTRRRLVATTSDDDEDNAPPPTTSYLEDKRKMKLQEEEKMKPSDEAEKEEEEEQSSEEEEEEEEEESPVEDAKPIGEPVRFSGKGRGRKNHFQSFDFDGDQYSLEDPVLLVPEVKDQKPYVAIIKDITQSINGNGSLMITGQWFYRPDEAEKKGGGSWQSVDTRELFYSFHRDEVPAESVMHKCVVHFVPIHKQLPNRKVHPGFIVQKVYDTDELKLWKLTDKDYQDNNQQEIDELVQKTRQRLGELPDIKTDEAAADQEDLIRNRRNFKKGISAIDVSREDETSRKSLHSLKPGTPGSCPVITTEPQRILVNFNALTGDSHRDKGLAMLLQNVQYLFDTDESKKKENKCGDRSDAINNEGNDKSVGIANESKDKVPKNCKSFVWPDVAVPAVVALEKALYHTFSSDYQKYTQKLRQLVFNLKNNAFLLRRLLNGELEPSKILNMTPTELKEGLTAEEISKDEPDEPQHMQMTDARCKICDEQKVGVRDIIRAGYADRYMLECIACGHSWSASRDAVSVLTLDASDSKRNVGTAPWATAKFDVGKKLASPRESDKTNDIFMPPVSRKDENIEVSRRAD >RHN58198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44503707:44505388:-1 gene:gene33816 transcript:rna33816 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 3-O-glucosyltransferase MKKLEVVFIPSPGVGHLVSTLEFAKLLINRDNRLRVTVLVIKFPNSPAETLSSSDSENLHVINLPETTHVPSTSNVGSSVAALVETQKANVKEAVSNITGKLAAFVVDMFCTTMIDVANDFGVPSLVYFTSGVAFLGLMLHFHTLFEDNIEATRLLFQQDELDIPCFANPVPTNTLPTVVLRKEWESSFINYVRGLKKASGVIVNSFQELESHAVHSFLEDPGLRSFPIYPVGPVLNLETKPEPNGIVDSDDIVNWLDDQPLSSVVYLCFGSKGSFDEDQIREIAYAIEKSEARFLWSLRKPPPKGTMGETSDYSLSDLVAVLPEGFLDRTARTGRVIGWAPQVQVLAHPATGGFVSHCGWNSTLESIYYGVPIATWPLFADQQTNAFQLVSELKMGVEIAVDYRMEYDVGRDYLLASDKIEKGIRSVLETDGEVRKKVKEMSEHCRKTLLEGGSSYTCLGSLIDYIMNHV >RHN49087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52332194:52336945:1 gene:gene43877 transcript:rna43877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MNDMAWSFCGWSWKENKLFELALAMVDEKHPERWEVVAAMVGGDKSAGDVQEHYVILLEDLHVIESGKVDHKLGGIQPKEESLCLPDDDE >RHN42715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40410467:40411501:-1 gene:gene49198 transcript:rna49198 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLATFFLFTSMIAAIAIEMQDSIRPTPMRCRCVTPVGMPVNLRRTGTHIRS >RHN74949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38081024:38082762:1 gene:gene11088 transcript:rna11088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bulb-type lectin domain, PAN/Apple domain-containing protein MSIFLNPNTSFLTLLFFSFSTLIAHAIVPQNETFKFVNSGDLGDFIVEYGGDYRMISIFNAPFQVGFYNTTPNAFTLALRIGLQRSEQLFRWVWEANRGNPVGENGTFSLGADGNLVLANADGRIVWQTNTSNKGVVAFRLLSNGNMVLIDAKDKFVWQSFDHPTDTLLVDQYLKPNGPSKLVSRLSEKENVDGPYSLVLEPKGLALYYRSTNSPRPSKYWFSSSWFSFEKGSLENVTLKSDPESFEFGFDFHVTNSTTSGNSIIGRPVNNSTLTYLRLGIDGNIQFHTYFLDVRSGVWKVTFTLFDEDEDLDESECQLPERCGEFGLCEDNQCFGCPLENGIFGWSNKCSPKPLGGVCKASEFHYYKIEGVEHYMNKYTSGDIVSEDACGNKCTNDCKCVGYFYHKDESRCWIAYDLQTLTRVQNTTHVGYIKVPNK >RHN49525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55382394:55383374:1 gene:gene44366 transcript:rna44366 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLEECEGEEENETLSHSHVSNTSLVDLNMLLVILSLDYLSNEDDWHEENEHIITDHVAVNKKCISKLQTKKREKHEPKLFGGKRSCSSRFQTTIKDSRHGRRM >RHN62196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41599210:41600821:-1 gene:gene24746 transcript:rna24746 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKNDFKLGTRIAFQVTSEINIPVELEGIKSLPLCQARRVYFVNLQFITTF >RHN75973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46673059:46673267:1 gene:gene12239 transcript:rna12239 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDFEGLVRKEFAGDDQGEEERMTSERRRERYNENSDFHSSY >RHN61127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33199987:33203450:-1 gene:gene23560 transcript:rna23560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEEINNPAMKVSSISSWLTDLEMDEYNIFAEECNLNFLDADVGGFLSQDISNVFQEQNKQQCLSLGSTSTNDLSKSFIHETIDNSDKINKSLSPNLSPSFQFPIPKENETISMSPTELENMNHSTETSKGSLENENLETKTSKSKRPRAHGRDHIMAERNRREKLTQTFIALAALVPNLKKMDKLSVLVDTIKYMKELKNRLEVVEEQNKKKNKSSTKPCLCSDEDSSSCDDSVECVVGSPFQVEARVLGKQMLIRIQCQEHKGLLVKIMVEIQRYQLFVVNSSVLPFGESTLDITIIAQLGEGYNLSTKELVKNVRMAVLKFLGEAYVTPS >RHN51095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13960491:13961009:-1 gene:gene35470 transcript:rna35470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MISIIFLCLIFYAAVPVLGLGLYEILCNEAKLVTDDCLDALRNNSTITSATNYRDLSKYILEKGLKRATSVHNYLIKVAKQYPNDPAIKQCSTDLYDSTVGAFINALSELDNDPDSAINFARAAGDGPAACEKAIEDEKAKSLAIKILNSEISVLSIVAFIAIEHLTHSGGL >RHN66681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16375980:16379881:-1 gene:gene14725 transcript:rna14725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor and/or regulators TTF-type(Zn) family MWCLRLCDQWRRLVWAEVGHGPPPNIGKLRKYPQLILFFQVTHSILTSDFSPSTSSVTAHTSSHSLKRDCHTSSPSAARPPHFFPFRPRDRRHFFPFRPPALPSLSFKKDQTKTLFLFTLFFLSKWKVKKKKSVTLDHFYKKRACESERDEQGMTPPSQPIKVSRIEEDITHMTQPVDVQRIEELHNAGDFLNSLERDPGKRSPIWTFPANHVDEIRRAYLNWGPYQIHLEEYPLSGFRGWKRVRDGKNCAFLKHIGKDPCSHHNNAVKACNDLLNQRAHIRHGFQKQSSSQIMNNRLRLKASIDVVRWLTLQACAFRGHDEKVISKNQGDRA >RHN42077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35240539:35244834:-1 gene:gene48468 transcript:rna48468 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTAIAFDRLIEPGGSRAGQKSASTSVPVPNSKRLERRSSEPTATPRKKPPTRPQLKPSLYATPEVTPLPDSPLQDSTSSFPPSPYIINHKRRGPRLLKSFSEANVQAKQEVCEEGNVSGKSSDTVVSSSAGDLQVTCVNPEPLKEEQDNGVQDTKLSTSNGGDVGHENRENKSSNDPNGKHVEKLVALNLERDGESEDFYDPRDTMSSMSFTSYTDGEDNTGTERSAKYSTAAEFFDAWEELSSDGGTQGSLRLRDVDAELREIRLSLLMEIEKRKQIEESMKSMQSQWERIREGLSSVGIVLPADLTAIAEGGQLDSDPVDDLCQQVYIARFISNAIGRGTARAEAETEMKTQLDSKNFEISRLLERLHYYETMNREMSQRNQEAVETARRERQRRSRRQKWIWGSITTAIVLGTTAIAWSYLPSSKGSTSTDHDEVFEHDDGAN >RHN53127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42184562:42187359:1 gene:gene37897 transcript:rna37897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MANEHEHGILGFVEEVKSVFHGKREEYEEFIKDIDAFKTLMNDHRITRLPVQNFKGRMKKLLKGHNRLIFGFNAYMKDRRITLPIRQPVRGGHSNGQKKKKVEENCQLPWDLLDIILRNLDFDDLFQFGSVCKNWREFHKIYWRNFMASEEPLLIQWCAVKRSLNFFSLPHDKVYHSKMINNYFRFVYHGSSSGYFIMTRKDNSFILINPFTRRKMLINNSVFQVNFSYFSCKVLLAFSKGSKEFVLVVSCKNSDNLHVYQSRNLCWTAYSTPQKVVDFAVLNSIIYVVTDKASIGILSLKYANINFLELKSTPGVTSSRYWSHVGLVSCDGYLLVLNFMSKVTYNVYKIDFSTMDYVKLESLGDIAIFCVPPKRYYALSNPHMWGYENNSIYAIDVPCGKYRVYKGDNKKMPEFIIPGFKRSELPHPIYCRSKQRYIDWCFRHLQYEVDYTLVE >RHN49817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1424941:1430503:1 gene:gene34039 transcript:rna34039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-aspartate oxidase MFCETTPSNYFFFSHFFVVFMRLLHSSYNNHNNRDIAMATCIPAGRGTLHYKVTDYKGQSCKKTACVSDVSIKRYLQKDLSWSKRVSKVLQIHKCELSRSPLRKNRKLFISSCKKDGPTKYFDFAVIGSGIAGLRYALEVAKYGTVAVITKAEPHECNTNYAQGGVSAVLSPLDSVESHMKDTIVAGAYLCDEESVRVVCTEGPDRVRELIAMGTSFDHGEDGNLHLAREGGHSHNRIVHAADMTGKEIERALLKAVINNPHIFVFEHHFAIDLLTCQDGSDITCLGVDTLNTETLEVVRFLSKATLLASGGAGHIYPKTTNPLVATGDGIAMAYRAQAVISNMEFVQFHPTALADEGLPVKPTEPRDNAFLISEAVRGDGGILYNLAMERFMPLYDKRGELAPRDVVARSIDDQLKKRNEKYVLLDISHKPKNEILSHFPNIASTCLQYGLDITRHPIPVVPAAHYMCGGVHAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFAERAVQPSVDQMKSSSLDLNASNLWPRPTVPFSLGSNANDKILSATEELRKELQSIMFNYVGIVRSTMWLETAERKIGNLEAKWEEYLFRHGWKPTMVVPEICEMRNLFCCAKLVVSSALSRHESRGLHYTIDFPNLEESERLPTIIFPSSPLISTWSSRQLQKQPMYQ >RHN52080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31330864:31331715:1 gene:gene36698 transcript:rna36698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DYW domain-containing protein MKVERVSPNKVTFIALLNACSHGYMVKEGKSYFELMGSDYGINPEIEHYGCMVDLLSRSGLLKDAEEMILSMPMAPDVAIWGALLNACRIYKDMERRYRIGRIIKEIDPNHIGCNVLLGNIYSTSERWNEARMLREKNEINSDRKKIPGFSSIELNGIFHQFLVGDRSHPKSKEIYSFLDEMISKLKIAGYVPELGEVLLDFDDEEDKETALSVHSEKLAIAFGLMNTAPGTPICIVKNLRVCADCHHATKFISKVYDRVIIVRDRMRYHHFKNGVCSCKDYW >RHN57247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37534860:37536753:-1 gene:gene32767 transcript:rna32767 gene_biotype:protein_coding transcript_biotype:protein_coding MHYFSYFLWQLCFPKLVKQKKSKINIGVHEYANWLGKGTLLQEGDRNTNECGGGNIFFCLYLSSGKTGAILKGNEGKSNDGCGDGIEIHCRKGYVDGTIWDKDISITKGTGWQTTGRKGGGSGKGGKGGGGGYRIPIPGVGKGGGGK >RHN45454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20945250:20951177:1 gene:gene39797 transcript:rna39797 gene_biotype:protein_coding transcript_biotype:protein_coding MVWEDLVFQFITKYPKGQEFASKRILLVMKHNNWSIYRGSRSGFGVSTGWSGPLKTCVAPGPKASNQ >RHN48614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48830907:48831824:-1 gene:gene43353 transcript:rna43353 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCLERFEVGIWTSAKKSRHNVDGALTFAIGEESKNKLLFIWDQSHCFYCIDPNGELCEYLKGVAEAEDVQSYVKDNAFGLPPLTSTHPHWSYYTQIFTSQFLNFWSAGK >RHN65127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64379584:64379880:-1 gene:gene28029 transcript:rna28029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S49, ClpP/crotonase-like domain-containing protein MLKAAYDHRISAVYLRIDTLNCGWAKLDEIRRQILNFRKSGKLVVAYVTSIGVKEYYIACVCEEIYAPPSAYVSLFGFTLQATFYKGIYDNLGIEPQV >RHN56241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29167823:29176271:-1 gene:gene31609 transcript:rna31609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative clathrin adaptor, mu subunit MISQFFVLSQRGDNIVFRDYRGEVPRGSAEIFFRKVKFWEDGELQEAPPVFNVDGVNYFHVKVVGLLFVATTRVNISPSFVFELLQRIARVIKDYLGILNEDSLRKNFVLVYELLDEVIDFGYVQTTSTELLKSYIFNEPLVIDAARLSPLGPAAIFSQGTKRMPGIAVTKSVVATEPGGRRREEIFVDIIEKISITFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNDDLSIGRSEGPISGYRSSSGSGAVVLDDCNFHESVRLDSFETNRTLSLIPPDGEFPVMNYRMTQPFKPPFRINALIEEAGSLKAEVFLKLSAEFASSITANTIKVQMPLPKYTTRVSFELEPGATGQTTDFREANKKLEWSLKKINGGSEHTLRAKLTFSQESHGNITKESGPVSMTFTIPMHNVSQLQVKYLQIGKKSGSHEPYRWVRYVTQANSYVARI >RHN72244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6069371:6071294:1 gene:gene7936 transcript:rna7936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DYW domain-containing protein MNVSSKLSLIFHTPKNHSPLFKFSTLISTTPQNPSPHILTKCIALLQNCASSKQKLKQIHAFSIRHNVPLNNPDIGKYLIFTIVSLSAPMSYAHNVFTLLYNPNVFTWNTMIRGYAESDNSTPALGLYRKMLGSCVEPDTHTYPFLLKAISKSLNVRDGEMIHSVTVRNGFESLIFVRNSLLHIYAACGDTESAYKVFELMGERDLVAWNSVINGFALNGKPNEALSLFREMSLKGVEPDGFTVVSLFSACAELGALELGRRVHVYLLKVGLTGNLHVNNSLLDFYAKCGSIREAQQVFSEMSERNVVSWTSLVVGLAVNGFGEEALGLFKEMERQKIVPREITFVGVLYACSHCGMLDEGFNYFRRMKEEYGIRPRIEHYGCMVDLLSRAGLVKRAYEYIQSMPMQPNAVIWRTLLGACTVHGDLSLGEIARSHLLKLEPKHSGDYVLLSNLYASERRWSDVQTVRRSMIEDGVWKTPGYSLVELGNRVFEFTMGDRSHPRSQDVYALLEKITELLKLEGYVPHTANVLADIEEEEKEQALSYHSEKVAIAFMLLNTAPGTPIRVIKNLRVCADCHMAIKLISKVYAREIIIRDRSRFHHFSGGSCSCKDYW >RHN54072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6733913:6737646:-1 gene:gene29052 transcript:rna29052 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPTSTTSKDLEDAIHSANQHFEAANTATNNRLTEELQTLHSKIDSQRLHFDQQLQDREERLNRTMATNQDELRSFIVAALQQREPSTSQILPPPTSHTTGNTTLTFTEPVLEPILTPISNTTLPLTHTNSSPFTRSATTPFSTSTNFILFSTPLSLHHTTPLTVPLSQTQTYGYFTQPIVNTSIYPPPPFSFAISTPPHYNFSYSTPPYPTYQTPPYFGQTHNSHPLQISVTQRLRWLVLMDLKPLIGFSRLTSFSPSTTSPRNTAYKWRKKLSTSILVLWRRKKLGTIVLIAATTTWVSMEVYQFNFLTLISWLTIFVVTSIFLYSNMLTLFGKEPPNLLRLELKEETATRMAKTVRAWIEKSIRWLFVVSIKKDWPVFVGVMAALDILQCCTKSSHRFYSEYLISVNLKYSERQISIAAKQWLRLIQLTMKA >RHN54809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12522773:12526800:1 gene:gene29897 transcript:rna29897 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSLLLSMTMGRFSSTNTLKQLLLTPQKALICKFPTVWVDLPETLIQLSHEA >RHN58677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3871221:3871699:-1 gene:gene20609 transcript:rna20609 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSSAPSFTIDELIQSDAVPRLVEFLVIDDFPQRQFFAAWILAYIAGGTSENTKLRSPSVAVRHEASWALGNVACDSPSCRDLVLSHGAMIPLLSHLNELTDISMLRVATRTLSNFCMGKPQPLFEQLTPALPTLERLIFFQ >RHN56019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26871748:26873278:-1 gene:gene31334 transcript:rna31334 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSHSSNNINNTNSVNGFYTFLNRGIDDLERAFLSNNLMSIQFLQRVLSILRSFHTQLVLLVQKLHLPVGDKWLDEYMDESSKLWETCHLLKSGISGFDGYYSAGINVTNFFDSHARFTPQLWRQVLRGISRCRREAMGLEEENRALMETRVQLLSLCFDERVSVESKLNGFNGFRGVLYAMRNISSMLLTILLHGLVYRCPVTSDTVARYEGWMFHGSGLMMSAARLQQRVAAEMSEAEPGMLLYEFRHARASVEELRGELERNVSSQVVQWEMEDGLRERVECVRMCFGVLKSGADNIVSQLDDFFDEIVEGRKKLLDFCSHR >RHN46947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35936691:35937707:-1 gene:gene41487 transcript:rna41487 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNSTHAWFVPNSQTRSSPHTRHEREPTLVRIACFTRTLAPATLHLFLAAVNSPEPSSTSSSLHPPRSLSFSADLSLDSVTLAGNFVARRIHGEFVDLIIISILKV >RHN39176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4684440:4685173:1 gene:gene45177 transcript:rna45177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MGPTLLEAIDRMKEPKRPSNLPLRLPIMDFYKIGGIGVVSVGRVETGCLCPGMSVTFAPTGLQIEVQSVKMYPGILATKLQAEVNSSQMHREISMWPILVIEERPAFLKNGDDGLIEMVPTKPMVVEPFYLYPPLGHFVVRYLHQTVAVGVVISATTKRLASQH >RHN70947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55333362:55333801:1 gene:gene19583 transcript:rna19583 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRNQTGKKMQHECLEYQGRRMNRLPTHKRPKVQQLPKKLQQAKAPFRAKSIQSKFRSMSKQRTLSTNSTTDDG >RHN81346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45047295:45048098:1 gene:gene5368 transcript:rna5368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c oxidase copper chaperone, cysteine alpha-hairpin motif superfamily MGNAQVQNGVTAPSSSASNQPTAPAASATPAAPATAATAATSATACEVSNAPKKKKICCACPDTKRLRDECIVEHGEDACAKWIEAHRICLRAEGFNV >RHN48340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46784518:46786623:1 gene:gene43047 transcript:rna43047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MEISIMRNLYDASLKGCVSSLKALIQKDPLILSRVSLYPFSETPLHIASLLGHLELCQILLDINPNLAAEVNSEGHCALHLASAKGHIEIVKALLLTDQETCLIRDKDDKLPLHFAVMRGHVGTIKELISAMSETETIRVMAEIDDHGSILHLCVFYNHLEALKILVESMRGNIDQFLSSKDKEGNNILDLAVKRGQIKIIKYLLSLSEMSETINTSKTEALRALYMLEHSPRDFSSHTIQHILTEERAQTSTNIVIGQQDHVNSPSNDPQQLLEQQSQINGHEQSQTPSPNNDPPQNQQPSQNIDPPQPPQSSPINDPQVQLVQSPISHPLQLTNHSPPNSPSQPPSHNPSPTNEPQHPQSPSPHNDPTQEITHSSPGLNPLPPPPPFSPSDDPSPTPSIANEQHEHNRWDRVESFCNKYLINQGYWIDKKTREQLMVAATVIATMTFQSVISPPGGVWQEDTTKGGYACPDYGFCEAGTAVVGYVWSPDYLKFIFFNSASFFASLCVLLVLVSGFPLHNKFIVWVLAVLMIVAITCMLLTYMWALGLVSPNHIFYRIRDLGFILVGIWSFLLFVVCLIQIARIVFWVRSKRRSSSRSSSSTDVAL >RHN66910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21399731:21400270:-1 gene:gene15031 transcript:rna15031 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLGMSDANAMAEIRTESAGHISYPTLKRVYEDHLIEARRLEDPLTREELQERARRRQWCVRSLLLYLVDCVLFTYKTNRHIDLIYLDCMADLQAIGMWSWGGMALAYLYDYLDDSVILNNKTMAGSTTLFIVEAMLICTITAHAWIAWRWMTSGFLLMVIIETCTHFSLLSLTRDG >RHN78156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12378534:12383795:1 gene:gene1680 transcript:rna1680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MRRNYSLRIPDDFLRKYGAQLSTITTLTVPDGTVWHLRLKKVDNQICFVDGWQDFVQRYSIGIG >RHN51275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15623524:15624093:1 gene:gene35688 transcript:rna35688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MKFRFLCLFFLHIMDLPETLHDFLLVFLGSGIILGSLGVVLLTNPIFSAFSLGLVLVCISLLYILSNSHFVAASQLLIYVGAINILIIFAVMFMNSSEYYQDFNLWTVGDGITLIVCTSIFVSLITIISDTSWYGIIWTTRPNQIIEQDLISTSQQIGIHLSTDFFLSFELISIILLVALIGAIVVARQ >RHN50632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8687206:8692038:-1 gene:gene34942 transcript:rna34942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MGSVVRATLKKTNSSVSAFQRSNGFVARFFSTEAENPPQDLTTATPPFLHTTNTGLTYGRLVGVNRHALKTDIINFLEGCNLTLDDVKMEYTRSFIPISMMVQFPTYSAYDNAIRVIGRKGRLYKLDRIDRSQWDIVTPYDGRTILIEGLPRSSQFADLDQIVSGYEYDSSSVNMFLRAGEGNEPVKMATIRFRSRIQAMNAFIAKNGTYCLNSRISIHVLQ >RHN76973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2850408:2854931:-1 gene:gene372 transcript:rna372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase P2 MASSSLLSSVPSHSASLSILDTNIKGKLKLGTNGLRFNNEGINNFSNLRSSGRICMAVATNVSRFEGIPMAPPDPILGVSEAFKADTSDVKLNLGVGAYRTEELQPYVLNVVKKAENLMLERGENKEYLPIEGLAAFNKATAELLLGADNPAIKQQRVATVQGLSGTGSLRLGAALIERYFPGAKVLISNPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMIEDIKSAPEGTFVLLHGCAHNPTGIDPTPEQWEKIADVIQLKNHFPFFDVAYQGFASGSLDEDAASVRLFVSRGMEVLVAQSYSKNLGLYAERVGAINVISSSPESATRVKSQLKRLARPMYSNPPVHGARIVANIVGTPALFDEWKAEMEMMAGRIKTVRQALYDSISSKDKSGKDWSFILKQIGMFSFTGLNKSQSDNMTNKWHIYMTKDGRISLAGLSLAKCEYLADAIIDSYHNVS >RHN67484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27606311:27614961:1 gene:gene15677 transcript:rna15677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MNKQNSLQITTASVAYDDDGHAKRTGNLKSALAHIITGVIGSGVLSLAWSTAQLGWIGGPLALLSCAIATYVSSFLLADCYRHPDSVNGKRNYSFMDAVRVNLGTKRAYVAGFLQFLSLYVTSIAYVLTTATSVRAIMSSNCYHKEGHGAPCRYGGNLYMILFGVVQIVMSFIPDLHSMTWVSVVAAIMSFTYSFIGLGLGIATVIKNGRIMGSLTGVQTANVADKIWLIFQAIGDISFSYPYSMIFLEIQDTLESPPPENQTMKKASMMAISITTFFYICCGGFGYAAFGNATPGNLLTGFGFYEPYWLIDLANVCIIIHLVGGYQVYSQPIFNTADRWCSRKFPESGFVNDFHKVKLPLLPSFKINLFRFCFRTSYVISTTGLAIFFPYFNQILGVLGGINFWPLAIYFPVEMYFVQKKIGAWTKKWIVLRIFSFACFLVTMMGLIGSFEGIIHEKLS >RHN66748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18250380:18250621:1 gene:gene14824 transcript:rna14824 gene_biotype:protein_coding transcript_biotype:protein_coding MRQIQQAIQNRRRTLNLFLRNIRAVDSAAADERIRTSAANIRNLELRLEVLRKEQLELISEAVSRLSHSVTGRED >RHN77628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8247110:8252392:1 gene:gene1100 transcript:rna1100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MDEVELACESSLECKKTKEEGTSKKQSKVESVSFFGLFGAADRTDYVLMFLGSVGSFVHGAALPVSFVLFGRMIDSLGHLSSNPHKFSSQISQHALYLVYLGVVVLVSAWMGVAFWTQTGERQTAWIRLRYLQSVLKKDIRFFDNEAKDANIISHISSDAILVQDAIGDKTGHAIRYLSQFIVGFGIGLTSVWQLTLLTLAVVPFIAIAGRTYLTIISTLSEKGKAAYAEAEKVAEEVISRVRTVYSFAGEEKAVGSYSKSLDKALKLGKKSGFAKGVGVGFTYGLLFCAWALLLWYASILVIHHKTNGGKAFTTIINAIFSGFALGQAALNIGSIAKGRTAAANIMNMIASVSESSKMLDDGFVLSQVAGKIDFYEVYFACPSRSKMIFENLSFSVSAGKTVAVVGSSSSGKSTIISLIQRFYDPTSGKVLLDGYDLKNFKLRWLRKQMGLVSQEPALFATTIAGNILFGKEDASVNEIIHAAKVVNAHSFITGLPQDYNTQVGEGGTQLLGGQKQIISLARAVLRNPKILLLDEATSALDAESELIVQQALKKIMLNRTTIIVAHRLSTVRNVDTIIVLKNGQVAESGTHLELMSRNGEYVSLQAPQNFTSSSSLFRLGSSRNYSFREIPNNLNNEEVQSSDQGLTSNTASVPSILGLLKLNAPEWPYAILGSVGAVLAGMEAPLFAIGITHILATFYSAQSPKIKHEVDHVAVIFVVLAVVTIPIYLLKHYFYSLMGDRLTARVRLLMFSAILTNEVAWFDINENNTSSLTATQAADATLVRSALADRLSTLVQNIALTVTAFVIAFTMSWKLTLVVAACLPFLIGAYITEQLFLKGFGGDYSHAYSKANSLARDAIVNIRIVTAFSAEDRMSTQFAYELNKPYKQALLRGQISGFGYGLTQLFAFCSYALVLWYASILIKKKESTFGDLMKSVVVLIITAIAIVETIALTPDIVKGTQALRSVFSILHRKTSINRNDPNSKMISEVKGDVKFQNVCFKYPMRPDITIFQNLNLRVSAGKSLAVVGQSGSGKSTVIALVMRFYDPTYGSVLIDECDIKSLNLRSLRQKIGLVQQEPALFSTTVYENIKYGKEEATEIEVMKAAKAANAHEFISTMAEGYKTKVGEKGVQLSRGQKQRVAIARAILKDPSILLLDEATNALDTISERLVLEAIDKLMEGRTMILVAHRLSTVRNADSIAVLQHGKVAEMGRHEKLMAKPGSIYKQLVSLQQEKHKQEEN >RHN61532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36203440:36206221:1 gene:gene24005 transcript:rna24005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MAKSCDLQIKINGQQIFLLKEKIISKYCGKVKKILNHQKRRSHVKEMGIRINDFPGGSDGFEQVSRFCYSNGKISITIDNVSLLHCCAIYLGMTEEVFNNNLLQQTETFLKGIHYWKWNDILVSLMSCQMFYEYADCYGLLEKIISALLTKIVQNSDANLNISFTSLSSSSPSSSSTPSSPESNSAKRFSSSTQTTPEKIKSTLPSKAWWFEDLATLPPKIIEKLFQCIQSYKADSNNLIFTRFLLQYLKTATQTRAVDCRSSGEYAALAETAAYGVIFVGKKNFTCRGLFWVLRIVSRFGLSKGCRTELEKLIGGMLEQATLDDLLVSGHDMGIYYDVNLVIRLVRQFVDTNGSDGMSLQKMKRVGRLIDKYLREISPDQNLKISKFLGVAECLPDSARDCFDGVYKAIDIYLESHTNVPFEERSRLCKCLNYGKLSFGASKDLAKNPRIPPGVAMQALISQQTKIPTSNFVTESPRKSRSQIVLYNEASIDGLSQEKKHMKLNLEVLKYKAVELEKFEEMNGQISNMFSRNVLLNHVRARVLPRYC >RHN59524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11664172:11664624:-1 gene:gene21566 transcript:rna21566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MGSSPKIILKSSDGETFKIEKAVAMQSQTIKHLIDEECANDTGIPLTNVTGKILAMVIEYCKKHVDAASSDELEKWDAEFVKVDQNTLFNLIMAANYLNIKSLLDLTCMTTMDNIKDKTPEEIRKIFNIKNDYTPEEEEEVRRENSWAFE >RHN64637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60647950:60652030:1 gene:gene27470 transcript:rna27470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MPLACFLRRSLGVTNGVAASDIPAGFSDSTGSDSTISELVQEIKPRYHIAGSKGIYYAREPYSNVDAVHVTRFIGLASVGNKDKQKFIHAISPTPASTMSSTEIAMKTTNTTLSPYTFAGGKASPKDTVKRSSDGISDSQHWRYDVPQKRQKHEAGDKLCFKFVSSGSCQWGETCNFRHDTDAREHCLRGVCFDFLNKGKCERGPDCRFRHSLQDEGDKFPSRKPGSENTRSSRSRDCWFCLSSPSVESHLIISIGENSYLALAKGPLVEDHVLIVPVEHMPNTLSLSSESDVELLKLQNSLKRYCKNQEKEVIFFEWASIRGTHANLQAIPIPFSKAVMVEKAFNLAAEKLGFKFEEKKFDSISDGRKFLKTQIDGNSSLFYAEIPGGTILLHHVEEKDTFPAQFGREVLAGLLNMADNANWRNHKHNKDEEMKIVEDFKDRFEEYDPNR >RHN47759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42299102:42303546:-1 gene:gene42396 transcript:rna42396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MATENGGGQNGTTETAITTMEIENGDITTQPQLQEQPQCLFTKKDNGSIESHRYYLSRRTVLEMLKDRGYSIPSDEIQLSLDDFRQIHGQSPDVDRLRLTATHATNPSKRILVVFSGPGIVKVNGVRDIAGQIVNRESLTGLILIVQNQITSQALKAVNLLSFKVEIFQITDLLVNATKHVLKPKHQVLTDKQKKNLLKKYDIQEKQLPRMLQTDAIARYYGLQRGQVVKVTYTGEITQMHVTYRCVW >RHN64351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58407354:58409847:1 gene:gene27159 transcript:rna27159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L29e MAKSKNHTAHNQSYKAHKNGIKKPKRHRHTSTKGMDPKFLRNQRYARKHNKKNGEVASAEE >RHN55043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14670794:14675358:1 gene:gene30168 transcript:rna30168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, ARF/SAR type, P-loop containing nucleoside triphosphate hydrolase MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >RHN68591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36866890:36867419:-1 gene:gene16961 transcript:rna16961 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLMVETHLIAYLRSGTLVMVKFMVMLWSRDTRSASSSKGV >RHN39969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11945464:11950366:-1 gene:gene46054 transcript:rna46054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MTMTHNTTLLILFLDMQDEKRGLEAVEKLKQLSLPGLVVFQQLDVIDHASIRSFVDFIKNQFGKLDILVNNAGIPGAQVDGEALAAAKIVENGGQIDWSKIITQTYEQTELGIKTNYYGAKDLTEALIPFLQLSSSPKVVNVSASMGKLEKLPNGWPKEVLSDVEELTEEKIDEVLNQFLKDFKEGSLENKGWPDNNLSTYIISKVALNAYTRVVARKYPSICINVVCPGFVKTDSTYNTGYLTPDEGAESILRLALLSDGSSGHFFVRNEEKPF >RHN40721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19965175:19968901:-1 gene:gene46915 transcript:rna46915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MQYSSIMTSFNIEKQIVLIYLWLCWSTTTSVCANATNHSLKPGDTLNSKSKLCSKQGKYCIYLKRTLDSEDAHLFIGLNADYGKVVWMHDRNHSIDLNSAVLSLDYSGVLKIESQNRKLPIIIYSSPHPTNNTVATMLDTGNFVLQKIHPNGTKNILWQSFDYPTATLIPTMKLGVNRKTGHNWSLVSWLAHSLPNSGGFSLEWEPKEGELNIKQRGKVYWKSGKRRRNGLFENIPVKVQRVYQYIIVSNKDEDSFTFEIKDQNYKMFQGWELVSTGTLTSSEGEIANADKCYGYNNDEGCQKWEDMPTCRERGEVFQKKTGRPNTRETIQDNVTYGYSDCKLSCWRNCDCNGFQEFYRNGTGCIFYSSNSEKDGDSEYPDSYNVMVKATLNHHGKNRWILIGAAIAAAILILCPLLLCVVKRKQKYARKDNKSKRKEDKSNDLAEFYDIKDLEDDFKGHDIKVFNYASILEATIDFSPENKLGQGGYGPVYKGILPTGQEIAVKRLSKTSRQGIVEFKNELVLICELQHTNLVQLLGCCIHEEERILIYEYMSNKSLDFYLFDSTRRKCLDWKKRLNIIEGISQGLLYLHKYSRLKIIHRDLKASNILLDENMSPKISDFGMARMFTQQESVVNTNRIVGTYGYMSPEYAMEGICSTKSDVYSFGVLLLEIICGRRNNSFYDVDRPLNLIGHAWELWNDGEYLQLMDPTLDNTFVPDEVQRCIHVGLLCVEQYANDRPTMSDVISMLANKYELTTLPRRPAFYVRREIFEGETTSKRLDTDTYSMTAISTSCEVEGKI >RHN45702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24678767:24680943:1 gene:gene40085 transcript:rna40085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b561 and DOMON domain-containing protein MCMMCVYIMFCPLPFIITLLSKPQTHTNWYNNIVYILSLLPLWLFPSFLKTMALTSISFLFFLLSMFSATVSSLTCSTQKLTGTKVYPNCIDLPVLNSFLHYTHDTSNSTLSVVFVATPPSPGGWISWGINPTATGMVGAQVIVAFKNNGVMAMKTLDLKSYKVFIPGKLSFDVWDMKAEEDGGLMKIFATVKVPVNVTAINHVWQVGPSVTAGMIAPHDFNPSNLNSKGRLSLNGAKDFGNNDDAPLDFVTKKKNIHGVLNIVSWGILFPLGVIIARYMKIFPSADPAWFYIHIGCQLSAYIIGVAGWGTGLKLGSESEGIQFSSHRNIGITLFCLATIQIFALFLRPSKDHKYRFYWNIYHYSFGYAIIILAIVNIFRGFDILNPEEKWKLAYIILIIALAVIALLLEAITWSVVLKRNRKNSNKTYDGYNNNGQNRQQPIHI >RHN43098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43163123:43165017:1 gene:gene49623 transcript:rna49623 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSNQVNSQLCQKIDSYQSIPTKILLQRDGGRRSETGRRWRRQRDGERRDKYLKRVTKV >RHN64390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58698175:58699902:1 gene:gene27206 transcript:rna27206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MAMKLAILLCLVILNLTSAFAARVNDAYGGDTDTGSPLGTDIYQYSCPEAEAIIFSWVEQAVSSDPRMAASLLRLHFHDCFVNGCDASVLLDDTENFVGEKTAAPNVNSLRGFDVINEIKSELEVVCPQTVSCADILATAARDSVLLSGGPTWEVQMGRKDSITASKAGANNNIPGPNSTVDMLVAKFENVGLTLQDMVALSGAHTIGKARCSTFSSRLRSNSVSDGPYVNAEFVSSLKRLCSGQDNSNRIAHLDLVTPATFDNQYYINLLSGEGLLPSDQTLVNGNDQTRQIVETYVANPFVFFDDFKNSMVKMGSLGTATQSIGQIRRDCRTIN >RHN78588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16469871:16471340:1 gene:gene2207 transcript:rna2207 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNDCCVDDKSSLIGSNPYDVKHVLYILLYIYDRNLMSDSPYMHKHF >RHN48568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48510853:48512413:-1 gene:gene43299 transcript:rna43299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MPPLSYSILEHTGTFDSYSFGVFASEFIAYNVSFKNTAPLANPREKKKQAVALRVNGDQAAFYGCGFYSGQDQGRHYFKECFIQGSIDFIFGNEWGGNGGYITAQQRESLKNETGLSFVNCRIDGTGKVLLGRPWRPFATVIFSTTYMSNVVAAQGWTDKMNSMNRSDFFLWRVQLFGTWSNKNKYSYGKQLKYYEAAPYMSIAYINGSDWFSLMWWMGAGRCLRDSLILVMELPCFIF >RHN65602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3688984:3691733:1 gene:gene13457 transcript:rna13457 gene_biotype:protein_coding transcript_biotype:protein_coding MACALQATITTNTCAFSTRKFSLKQQKINKRSSCMFIVRASSESDCNDEECAPDKEVGKVSMEWLAEEKTKVVGTYPPRRKQGWTGYVEKDTAGQTNIYSVEPAVYVAESAISSGTAGTSSDGAQNTAAIAAGLALISIAAASSILLQVGKNSPPQVQKVEYSGPSLSYYINEFKTQEITQAPSVSTETELSSSVPTESDVPTQTELSSSVQSESIAPAKTELSSSIQTESVATDASISVPPESSPAEVSQVQVESQIQTETSSVDIVS >RHN67607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28598734:28602616:-1 gene:gene15813 transcript:rna15813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 43kDa postsynaptic protein MGLEVLQLSHNVLSHSPSSSQTLASAISSPSSKLRNKFVGNSLPTNLFRSTNFVLHRSRSLENPLSTITRRGRRTIRRAFSASLDSFFSDEEFAKKIEDLALRFQISNENNAIMDLESFEESLTSSSGSSGSSGSLAVNFEPPEFIPAIIERKANSVELPFSLRIIKQKLKLKEEFRDVGESACCSVKKAFSSMVFIIRELQCFTLQMREVLLYEDLQGILERVQKEMHASFVWLFQQVFSHTPTLMVYVMILLANFTVHSMSSNNAIAAVAPPPETSSIVEVHNDNHVFKKFDSSIIKTFSVNGNNTASVDGGSGRGGKYKPVGSGFDGDGRTGFSNGGVYKTGETEVDEEEETKLWESMVEEANRNEEGLDHEAMKQFVSPVSAKIESDDYAEYLRTELVYQTGLSQEPNNALLLANYAQFLYIVAHEFDRAEEYFKRAIEVEPPDAEAYNKYATFLWKVKNDLWAAEETYLEAISAEPSNTYYAANYAHFLWNTGGEDTCFPLSDSSQEV >RHN53687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3355583:3355951:1 gene:gene28620 transcript:rna28620 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKMPFVGLSLSLMLHPMHSWWTPQPLLLFISLSVCEFVRFLDACLLQIQVLSSNHFSIRVTNDNYHVNLFYLSPPLVNLNIYLPSQMTNFHRRISRTHKMHRFSSFNRIFSTRMNQESNI >RHN76924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2423024:2423854:-1 gene:gene317 transcript:rna317 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTMTQSGQPPVPADSEYLIYPDSDSDVDDKIEKRRQSELFEIRRRRNSAKFEEQRMSIFFKNYEEKFCAEMERWWEEEDKKFLEEEKEAEEELLKEIEEDRKQAEEEARKKVKRARKAEEAEAKAKD >RHN50529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7947185:7949164:1 gene:gene34825 transcript:rna34825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MGIFLAKPLPKSCSISPCGKQEQQENHMNSLSRVSLISETLDTAQTDTSWQPSVKSSTLKQLTEERINRGDQPEASDLDECRPSISSRVGWIPAGLANLGNTCFLNSIMQCFNHTVPLVEGLFSCSHACNDGHNGYCVICAFRYQMQRSLRYTGRVISPMIIVENLNHFSSMFRRYQQEDAHEFMQCVLDKLDLCFLDLKKNDPKFEGDNIVKKVFGGSLVSKLRCCNCGCTSDINEPLIDFSLEIENVDTLSSALESFTMVEHIDAKFKCEGCNEEVSMEKQLMLDQTPSIAAFHLKRFKTDGVFVEKIDKHIDFQLQLDMQPYTISNDASSKYYLYAVVVHTGISSTSGHYFCFVRTAPDTWHKLDDSMVTKVSEETVLSQEAYILFYARQGTPWFSNFAESTIPSLNLSRMNTSPKSVLDITYGQDKSISILERENFERNGAGESGESYEKKFDYSCPQSRKFLDIFPRREQFPVRPSNRKTPNQKIRVQHWNSTSLAYIAKRCRSSYAKNVASDGNKRSSEIYDFTEKDDFNPLTTSNSPPSQTPDKRFQISRDHTKTEKQRSSSKRSSYYKSNDNPQNKADIAYLKNMNGSRRGRFLDLIGASPENKRKMDSSQSDKGSDSAPKKSRWNFTFSLSLTILILCLILCIIDEICWK >RHN46807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35020586:35023115:-1 gene:gene41338 transcript:rna41338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MDHQTLLLVISFVSATILIFILRKSNQTQNSTKLPPGPKPLPIIGNILELGKNPHKALTKLSKIYGPIMTLKLGSITTIVISSPQVAKQVLHDNSQIFSNRTVPHAISAVDHDKFSVGWVPTLNLWKKLRKSCATKVFSTKMLDSTRNLRQQKLQELLDYVNEKSNKGEVFDIGEAVFTNVLNSISNTLFSMDLAHSTVPDEKSQEFKTIIWGIMEEAGKPNISDFFPILRPLDPQGLYARMTNHMKKLCEIFDGIIEERIRSRSSKVVEVCNDVLDSLLNINIGEATSELSRSEMVHLFLDLFVAGIDTTSSIIEWIIAELLRNPDKLTKVRKELCQTIGKGETIEESHIFKLPFLQAVVKETFRLHPPIPLLLPHKCDELVNILGFNVPKNAQVLVNVWAMGRDPTIWKNPDMFAPERFLECDINYKGNNFELIPFGAGKRICPGLPLAHRTMHLMVASLLHNFEWNLADGLIPEQLNMDEQFGLTLKRVQPLRVQAISSA >RHN51801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25532798:25539895:-1 gene:gene36332 transcript:rna36332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LIM-domain binding protein/SEUSS MAPSRVAGGLAQSSSSSGIFFQGEGQSHNLVNSHLSSSLVNSSNTVPGTGHSNLGPVSGDMNNGILNSVANSAPSVGASSLVTDANSALSGERHMQRSASINGDSYLRLPASPLSFTSNNISISGSPAMDGSSVVQQNSHQDQNAQQLQQNQQKLQGGSSSMLLPASQVGSSSHQTGAQVPGSFTGAQVPGSFTQDPNNISHLLKKRRLDIKQDDIMQQQVIQQLLQRQDPTQFQNRNPQLQAMFQQQRLKQQQIFQSLPPAQQQIFQSLPQAQRMQFLQQQQQQQQQQMQRQQIPQQMMQPSAGVKRPYDGGGVGGVCARRLMQYLYHQRQRPNDNSIAYWRKFVAEYYSPRAKQRWCLALYSNVGHHSAGVLPQATTHAWQCDICGTKSGRRGFEATFDILPRLNVVKFGGGVIDELLFLDLPREVRFPSGLMMLEYTKAVQECVYEQLRVVREGQLRIVFTQDLKIFSWDFCVRRHEELLPRKLVAPQVNQLVQVAQKCQSTISESGSDGVSQQDLQTNSNMVLTAGRQLAKSLELQSLNDLGFSKRFVRTLQISEVCNSMKDLIDICFDHNVGALESLKNYSQYSTTPKLQMQKMQEMEQAANGQGPPPDQNTLNKLMALNPGSNNHISNSNHNMGNRGALTVPSQAAMAMSNYQNLLMRQNSMNSSPGSLHREGSPFSNSNQNPSPASLQGAGAAIIPGSMQNSPHNSGGGFSNPHLPSLQQRQQQQQQQHLQQRSLSANNLPQQNHSQGPQGNQSLQQQMIQQLLQDMSNNNGGVQQQSHSGPNVSGNMAKNNLGFGGQTPTTNGGGSANGQGNNGPVSRSNSFKAASNSDSSAAAGGGGNNGFNQRPSDMSQNLALQDVASDFAPDFTDSAFFNSDLDDNMGFTWKP >RHN78708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17708435:17711413:-1 gene:gene2348 transcript:rna2348 gene_biotype:protein_coding transcript_biotype:protein_coding MISLNYSYKIFSIPRAMCNSKDILLTQVQLRKEVCQKSLRNHNKYKSPFPWSSCY >RHN39330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6150159:6151533:1 gene:gene45340 transcript:rna45340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation HMG family MAKVQLDYTKLWTFEMLLEANKVNTPIKADPEEEKKLLDFNRRFADEEEIGESRCGCMFDDEVDFDEIDGESRDFAEIEDPRQAPLEQQQEACAAYNVFEEMIQLPASKKICDAHHVDGETLTKVLENLKNSITQEALIQLEKHSHAVEQAAEVKKPERKKPNSKDPTAKRAKVGKREKVKDSNAAKRSPTDFFVFMDEFRKTFKEANLDSKDVKRVGKEDGEKWRQR >RHN52115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31636707:31637662:-1 gene:gene36739 transcript:rna36739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKHVLSLTLSFLLFVFTTNLSLAFSNDAVEQVLDINGNPIFPGGKYYILPAIRGPLGGGLRLGKSSNSDCEVTVVQDYNEVINGVPVKFSIPEISPGIIFTGTPIDIEFTKKPNCVESSKWLIFVDSVIQKACVGIGGPENYPGFRTLSGTFNIEKHESGFGYRLGYCVKDSPTCLDIGRAHEEVEDEGGSRLHLTHQVAFAVVFVDAASYEAGIIKSVA >RHN39507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7716313:7718372:1 gene:gene45534 transcript:rna45534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target SNARE coiled-coil domain-containing protein MSSKRVIMLNSKNLRESGGSENDSVQELEDYAVHKAEETTKSVQNCLKIAENIRENATKTFVTLHHQGEQITRTHHVAADIDGHLSRGEKLLRSLGGLFSKTWKPKKTRKITGPVIFGDDAVRRKVSHLKQREKLGLPSASKGQSRLRTPPQEPTVALEKVEFEKGNQDDALSNLSDLLGEVKDMAVHMGSEIERQNKALSHFEDDVDELNFRLKGANRRANRLLGN >RHN40476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16662709:16666486:1 gene:gene46629 transcript:rna46629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MGIQTMGSQGGGDGSGKRSQLHSLVRQNSVYSLTLDEVQNQLGDLGKPLSSMNLDELLKNVWTVEVNQSTNTDNEGTAQSSEACLQRQASLALKAAFSKKTVDEVWRDIQQKKDSEEKKSRERQTTLGEMTLEDFLVKAGIVAEASSNKTNTDTTAAADSNVAVSQFPSQGQWIQYPQPQYQHLQQSSMGIYMPSQSMAQPLHMGSGVSMEIPFADSHMALDTQMPGRKRSTPEDMVEKTVERRQKRMIKNRESAARSRARKQAYTNELEIKVSRLEEENEMLRKRKELENMLPCAPIAEPKYQLRRIASCPF >RHN51816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25899688:25900534:-1 gene:gene36352 transcript:rna36352 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEILEYFQFLREFFANLFIVCWHHLFTYLCSLMKICFKILSVIFVSLVINIAAAGVATTAAVNKQYEHGSQRDGDTDMSSYETKYADRENNTLGSNSEGERKFDRSENNDSTKSGSALDAVEYDNLWEKITMDKLDLYELYISIRIVYIISGVLLRPYVSCCFNRVLVFSYTLGSIGGGIRISVGMSQIWVFNRAHRR >RHN44937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10131113:10133100:1 gene:gene39119 transcript:rna39119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MENNYSMLFPCPPSSSYQIPTSGLNGQSSNAFLGLKPTNNEISVTHDDHEDVKGEEGSVNVIIDQQDVKKKGEKKAKKPKYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLTKDEGVVVTTYEGVHTHPIEKTTDNFEHILSQMQIYTPF >RHN49629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:56072529:56080152:1 gene:gene44478 transcript:rna44478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-exporting ATPase MLISGNVLTSIGNFCICSIAIGMILEIIIMFPVEHRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLSVDRNLIEVFNSNMDKDMIVLLAARAARLENQDAIDAAIVNMLADPKEARTNITEVHFLPFNPVGKRTAITYIDSDGNFYRASKGAPEQILNMCQEKDVISRKVLTIIDKFAERGLRSLAVAFQEVPEKSKDSPGGPWTFCGLLPLFDPPRHDSAETIRRALNLGVCVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGRDKDENEALPVDELIEKADGFAGVFPEHKYEIVKILQEKQHVVGMTGDGVNDAPALKKADIGIAVSDATDAARSAADIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLASIWEYDFPPFMVLIIAILNDGTIMTIAKDRVRPSPTPDSWKLPEIFATGVVIGTYLALVTVLFYWVVVETTFFETHFHVTTLSSDSEKVSSAVYLQVSIISQALIFVTRSRGWSFLERPGALLMCAFVIAQLVATLIAVYANISFAKIQGIGWGWAGVIWLFSLIFYVPLDIIKFTVRYALSGEAWNLLFDRKTAFTSKKDYGTEDRAAKWVLSQGSLQGLNQMTSGLEVSGRRSSMIAEQARRRAEIARLGELHTLRGHVESVLKLKNLDLKAIQSAHTV >RHN63026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47707799:47712196:1 gene:gene25674 transcript:rna25674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MKRTSEWNYSQEIPSDVAIQIGEASYSLHKFPLISKSGYIRKLISESSDAVDDVPLIELIDVPGGSEAFELAAKFCYGINFEISVENIAMLRCVAEYLEMTEDYSVGNLVGRTDSYLNEVALKSMSGAISILHTSETLLPIAEKAKLVSRCIDAIAFIASKESQFGSSGRSDGSSNERVMNSSAASHQRPAVDWWAEDLTVLKIDFFQRVLIAMMARGFKNCGIGPIIMLYSQKSLRGLEIFGKGRKDIEAHEEHEKRLILEILVSLMPREKNAISVSFLSLWLKAAIYLETTVACRFDLERRIAMQLGQAVLDDLLFPSFSGDTSFDVETVQRIMMNYLESEVENHYNADDEYYSSPQRDIFRVGKLLESYLAEIATDRNLSVPKFICLAELMPEKSRTTEDGMYRAIDIYLKAHPFVSDTDRKKVCSMMDFQKLSREARTHAAQNNRLPAQNVAQILYYEQQRLRDNMDGTGSVGSDSPSTPDKRNVYSSELYPATNEVSKLRRENEELKLEIVKLKIKIKEMEKSTVLESPINSPLLNVSSPSADKPPLPRKSFLNSVSRKLGRLSPFQRAHPVIRPKDRIQLDKIRRYSIS >RHN61422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35472158:35473243:1 gene:gene23890 transcript:rna23890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MAIRLPLIMQAKKHILSRTLTIRKKVLSVNNIPKGYLAVYVGEEKKKKYVVPISYLHQPAFQQLLGKAEEEFGFNHPMGGLTIPCREDIFVTVTSQLEV >RHN49212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53325182:53329725:1 gene:gene44019 transcript:rna44019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MELLPEDCFAHILSFTSPLDVCRVSLLSSVVQSMADSDFLWEKFLPHKYQEIISRLVDPPLPCSSKKELFARLCKPSLIDDGNKMFSIEKTTGKICYLLSARQLSITFGNTSLYWSWKQVQGSRFAEAAELRTICWLEIKGSINSEMLSPKTMYGAYLKVKIADRAYGLDSLPSEVSIEVGNYKSQENVYIRSQSKRNGKAYCHCNCEHNDEWLEIKLGSFYTEKVQVQEVRMCLKEVKGVHLKGGLIVDGIELRPKTYEQ >RHN80506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38676423:38676824:-1 gene:gene4441 transcript:rna4441 gene_biotype:protein_coding transcript_biotype:protein_coding MHSYIILHDKFEKMIIERDSHEEALGDDVSSHENDNNIFLDVVGGVNKKGHIYDLGSEAGKCKSYRSSRSDDILQSEFEQTRGENASLKEQLKTCEELIRASQENSLLLREQMIEFMEIVSQVHLHPPPPSLT >RHN42237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36577957:36583881:1 gene:gene48653 transcript:rna48653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoserine phosphatase MDDFSSDDESINNNSSSPTPSDYAEIVVVRHGETAWNAISKVQGQLDVELNETGRQQAAEVGDRLSREPKPSVIYTSDLQRASETAQIIASKCGRVEVVKDADLRERHLGDLQGLVYHEMAKANPKAYKAFMSKNEEMEIPGGGESIVQLFVRSTSALDRIAMKHIGERVIIVTHGGFIRQLYNRACPNGGPCGKVLNTSVSVFHLDADDKWIIKMWGDVSHLSQSGFLQSGFGGDKNSG >RHN43986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:516944:521065:1 gene:gene38030 transcript:rna38030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MTVPIAMQSTPGSSGYLDMHPDRKMSFFKNPYILGLAAVAGIGGLLFGYDTGVISGALLYIKDDFPQVRNSNFLQETIVSMAIAGAIVGAAFGGWLNDAYGRKKATLLADVIFILGAILMAAAPDPYVLIAGRLLVGLGVGIASVTAPVYIAEVAPSEIRGSLVSTNVLMITGGQFVSYLVNLVFTQVPGTWRWMLGVSGVPALIQFICMLFLPESPRWLFIKNRKNEAVDVISKIYDLSRLEDEIDFLTAQSEQERQRRSTIKFWHVFRSKETRLAFLVGGGLLAFQQFTGINTVMYYSPTIVQMAGFHANQLALLLSLIVAGMNAVGTILGIYLIDNTGRKKLALSSLAGVIASLIMLSVAFYKQSSSTNEVYGWLAVIGLGLYIGFFSPGMGPVPWTINSEIYPEEYRGICGGMAATVCWISNLIVSESFLSIADAIGIASTFLIIAVIAVVAFLFVLLYVPETQGLTFDEVELIWKERAWGKNPNTQNLLEQGSQS >RHN66585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14317146:14317493:1 gene:gene14600 transcript:rna14600 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGELFPYLLERNLVQTRPPPPIPKKLPARWRPDLFCVFHQGAQGHDVERCFSLKIEVQKLIEDDLIPFEEFGSECAS >RHN47295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38580355:38582436:-1 gene:gene41874 transcript:rna41874 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMSSFLPMKPRGAYLKRFNTRQGMQVIKLQNYQDEGRSTDIVDANLNVLKERIEMVKVKERFERCCKCQHGWNYVPLSINDHTKTKRDKELRSFIQLIGLVFGTIGLTSFAGTFFLCLVSLLAHLQVDF >RHN52742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38335134:38335853:-1 gene:gene37445 transcript:rna37445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK-LRK10L-1 family MPHKNLVTIYGYATHQKESMIVHEYLSNGTLAAHIQGRISDSGTSLTWLSRLDIAIDIANALEYLHYNGIVHRNVKSHNIFLDINFCAKVGNLHLSKKLDIGATRVTRDLIGASGYVDPELVSKGLLGVQNDVYSFGVVLCELVSSMLAEYYIQNEEECLATLLRKKVENQALVELLDVRLGFESDLKINKMMSATAELAFWCLKCPQELRPNMEQVLETLHGIKQGRYEINSIKGTRH >RHN62565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44375881:44376324:1 gene:gene25157 transcript:rna25157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H dehydrogenase (quinone) MMAAQFKAFLDATGGLWRTQALAGIFYSTASQGGGQETTPLTSVTQLAHHGMIFVPIGYTFGAGMFQMESVKGGSPYGSGTYAGDGSRQPTELELAQAFHQGKHFAGIAKKLKGSQ >RHN72489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8048805:8049105:-1 gene:gene8208 transcript:rna8208 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMLKDMKERCIFLWGIGVLLLELLTGRKPFDRYASFESETECHAKERAKSGEMGFPFDGYQLVMEEEEDNVERVTRIFFEF >RHN78864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19340566:19342466:-1 gene:gene2526 transcript:rna2526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MLAISPPMFSTIGWPFEEPLSHNQHQNSFYKDTVDQLFNFHDQVEAEINSTDPSQSTSSDLSMVKKLVHNASERDRRKKINNLYSSLRSLLPVSDQMKKLSIPGTISRVLKYIPELQNQVEGLIKRKDEILLGLSPQVEEFILSKESQRKKHSYNSGFVVSSSRLNDSEITIQISCYTVQKIPLSEILICLENDGLLLLNVSSSKTFGGRVFYNLHFQVDKTQILESHILNEKLLSIMEKEGEFLKQ >RHN72353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7010901:7012805:-1 gene:gene8055 transcript:rna8055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Type 1 galactoside alpha-(1,2)-fucosyltransferase MEASSMSLKKSIIVCLIAFPTLIMVTLMYQNSFFGQFEMFSKDMSMGGMTHNNVTSGGSNVTTNDFGLRQNVTHDNFGGRGKNDTNFKSSKNISLTNLTSTPPKEITDDEKEKLLDGLLVSGFDEASCVSRLQSHFYHKPSPHKPSPYLISKLRKYEELHRRCGPNTRAYNEDMKKITKSKKNGTSAATTCKYIIWLPANGLGNQIISMASSFLYALLTGRVMLVQFGKDKEGLFCEPFLNSTWLLPEKSPFWNAEKVQTYQSTIKMGGANTLNEDFPSALHVNLGYSPTSEERFFHCDHNQFLLSNIPLLFLEAGQYFVPSFFMTPIFKKELNKMFPEITSTFHHLGRYLFHPSNEAWELITSFYQQNLAKANERIGLQIRVVDPKLTPHQVVMNQILNCTLGNKLLPKVLGTKNIYLSSSDKNKKIMKAVLVSSLYRHYGENLKMTYMNKSTVSGEVIEVYQPSGEEQQKFNDNKHNMKAWVDIYLLSLSDVLVTTYQSTFGYVAKALGNSRPWILYNPVYSNEICEREFTLEPCYHYPPLHYCNGKPIEDVGSSFPYIRHCKDYTFGVKLVNASV >RHN54953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13901159:13907647:-1 gene:gene30064 transcript:rna30064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-phosphofructokinase MNTHVITPNLNTTLLHHHHHHVHGLTNSSHRYSKTNHRLFHSFKVSNNVRVFSELKSQNKIDYNDPDWKDKFKEDFEARFRLPHITDIFPDASSMRSTFCLKMRAPITRDFHGNYDSGEEWNGYISDNDRVLLKTIYHSSPTSAGAQCIDPGCNWVEQWVHRAGPRKNIYFKPEEVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVTEIVGIPFGYRGFSDKELMEIPLSRKVVQNIHLSGGSLLGVSRGGPGVSEIVDSLEERGINMLFVLGGNGTHAGANAIHDECCKRRIKVSVIGVPKTIDNDILLMDKTFGFDTAVEEAQRAIYSAYIEAHSAYHGIGIVKLMGRSSGFIAMQSSLASGQIDVCLIPEVPFDLHGPHGVLSHLKYLIESKGSAVVCVAEGAGQNLLQKTNDTDPSGNAKLGDIGVYIQQETKNYFKENDIHADVKYIDPTYMIRACRANASDGILCTVLGQNAVHGAFAGYSGITVGICNTHYAYFPITEVISHPQLVDPNSRMWHRCLTSTGQPDFI >RHN47257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38336232:38337157:1 gene:gene41832 transcript:rna41832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MPNGSLDKFIFKSGFPSALCNLDWNTLYRIAIGIARGLEYLQQGYFCPKISDFGLAQICQRKDSIVSILGTRGTIGYIAPEVFSRVFGGVSHKSDVYGMLILEMIGGRKNYDTGGSCTSEMYFPDWIYNDLELSNNFVNGLANSEEETDMVRKLTMVSLWCIQTNPLDRPSMSKVLEMLQGPLDSVPYPPKPILYSPKMPSLQSSYASSSNLLEKNSITLLKNDISENVTVL >RHN53786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4150304:4150771:1 gene:gene28732 transcript:rna28732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGRYKSIKKYSFSESNSKSHEDHSIKNMKQNSYSGKSCKVISKLLIPEDLVFDILSFLPVKCLLNSARYVCKSWATTIRSSLFAEACLHRAHSKPGLYVENCKHQNNYYFLDIKDDVNSLFEFERSNMGTPQKMGNIVDTCDGILLLCHGPRFSS >RHN42400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38051912:38054812:-1 gene:gene48837 transcript:rna48837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (S)-2-hydroxy-acid oxidase MVLLSVAYYHLFTGLYFCILCFVAFIHCSFSVASKITQIISVAKVLKLINLGSLIKMNVTNVNEYEAIAKEKLSKMFYDYFASGAEDQWTLKENRNAFSRILFRPRILRDVSKIDLTTTVLGLKISMPIMIAPTAGQKLAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFLQIYLFKDRNMVTQLVRRAKNAGFKAIVLTVDSPVLGRKEAHIKNRFTLPSYVRMKNFEGLDLEKLVKHSAHTSAVNGLYDKSLTWKDVKWLQTITSLPIIVKGVLTAEDTRLAIQAGVAGIIVSNHGGRQLDYVPATIMALEEVVQAAEGRVPVFMDGGVRRGTDVFKALALGASGVFIGRPVVFSLAADGEAGVRKVLKMLHDELEITMALCGCCSLKDITRDHVVTEWDRPRISPRL >RHN73124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13613393:13614427:1 gene:gene8907 transcript:rna8907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain, Bet v I type allergen MGSFVFIDEHVSTVAPAKLYKALAKDADEIIPKVIPAAQSVEIVEGNGGPGTIKKLSMSEGGKTDFVLHKLEAMDEANLGYNYSIVGGTGLDESLEKVEFETNIVAGSDGGSIVKISVKYHTKGDAVLSEAVREETKAKGTGLIKAIEGYVLANPNY >RHN47183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37722223:37723096:1 gene:gene41749 transcript:rna41749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative copine MDVYNDNKSLNPYEQAISIIGKTLAPFAEDNLIPCFGFGDSSTHDQEVFGLYPDERLCNGFEEVLSRYREIVPNIRLAGPRSFAHIVKTATAIVERSGGQYHHVLMILANGQKDPSSDVGGRTNLKKLAQVTRTRHGRLSPQERKTMDAIVEASKFPLSIILVGVGDGPWDKMKEFCDNIPTKGL >RHN62707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45300132:45304285:1 gene:gene25308 transcript:rna25308 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVAQIEGRVRYSAFKKTVKVMITPTDSLDDLKAQLNTYFEHLGENQYTRHLFGQKPCIDLGEDKDEYAWKTASCMPWLIRDDSDVRFMFRNMVEDNILYMYVRSICNCVECK >RHN60595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28612472:28612900:1 gene:gene22928 transcript:rna22928 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAIVSSVIQTRIPMMLDLIWVLEKVSKPISALDFPLSSGLRRTFSSSSILAASLS >RHN71662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1727308:1730671:-1 gene:gene7286 transcript:rna7286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Caspase-like domain-containing protein MSDKLKAKRNKNSVTRNNTNGTCLNYHKLLSSTSMHTGGKKLACSDCKREFLVSTKTTMYRCNKCEGVSKSISGYKQFRENSLRAKFFNQDRQQNASKNASSHSSLLLSTTTIGNKRAVLCGVTYRRRRYMLKGTVNDVVNMKKLLTNNFAFPIESIRVLTEEQKDLNFLPTKRNIMESLKWLVKDCKFGDSLVFYFSGHGTQQPAIDKDDELDGFDETICPVDFIREGMITDDEINSTIVRPLKEGVKLHAIIDACHSGTTLDLMYVYKKHNDNWKWMNNIPPSIDPVTKRTNGGVAICFSACEDCQMAADTAAFGGKEMNGVMTYLLTKIIREHSGITYVGLLEKLQEEIGMVHRSKHFNGILKRIFHRRIEQNPLLSSSEKFDVNTKISF >RHN69846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46745294:46746073:1 gene:gene18368 transcript:rna18368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein MIZU-KUSSEI 1-like, plant MGEPRSSSSSHTSTSQNSSTTSTSQNSSTLPSPPPLPQNISLVQPSHKRRHKTKVVRVFRSVFRSLPIITPVCKFPSFPNGTPDSQYHINIGGSTGTKISGTLFGHRKGRVSFSIQENPRCLPSLVIELSMQTCMLQKEMAAGMVRVALECEKRQDKDKTLLIEEPVWTMYCNGKKTGYGVKREATVEDLHVMEILKAVTMGAGVVPMNNEADNVDGELAYMRASFEHVVGSKDSETLYMLSPDGNNNGPELTIFFVRI >RHN74239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30970694:30973227:1 gene:gene10271 transcript:rna10271 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDRDTVVKRLRHLGRKLSKNLASSADMLLELLDVTPKDLDKLQNISHHSRNMETTSSSIGAFLIDFYQLPSFSPGFHSIPQGLNSGTSYQFDFQ >RHN42345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37565433:37566492:-1 gene:gene48776 transcript:rna48776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MFYNCTRYCLRVRAIDHTDCATLVIFDKEATTLFNKSCVDMLAEHGVAISKGHLPPEIVGIIGKTFLFKVETKVGQSPRFEQSFRVTKICHVLDVINEFKKKWGEEEAAFFKNAMEASSLSVLLNKGKAPMIAGSSDVLNQDDFSLTEPVEKCKEMLLGEGSGVVTQDLLPKFAVADCESDVVEISQKGYAASSKRGSPTMDDDEMNMPLKMLRKTVKIEKP >RHN54032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6378192:6380460:1 gene:gene29008 transcript:rna29008 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPYAPPSPPPSFLFHRPPLLRQEFSSPLLKQRSWSPDLYRDEAWLRRKGNWKNRRSKSVTDEDVDELKACIELGFGFESSPEVETDRRLVDTLPALELYHAVNKSYNDSRNPKSAAVTTPSSSAASDRDGTPSPLGSPRTAIFGNDDDPQTVKTRLRQWAQVVACAVRQS >RHN66152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9081750:9082211:-1 gene:gene14079 transcript:rna14079 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSIHINVFKSASAVYFEAFKGYVRMMCCFKVGYQETRELVAFPLDLLINQRLRLHLLLFNFVQKFASLQCINWLKHTSFTHSNPISLLIECAKSFPSGSKKMQDISLSPHIRRKHHCLQTTSLFRNTSMNCPFLKFSYLITNQAIIESLEM >RHN41636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31665290:31670307:-1 gene:gene47978 transcript:rna47978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MGLDLFQWTKPKYKNTQMCFVDARRQHKCGEKHTNTNMDFNSPVISLLLHFLLLVSLVCHVYSSETSGQNQTFLPNEEFHKLKRTIKMHLRRINKPGVKTIQSPDGDIIDCVVSHKQPAFDHPTLRGQKPLDPPERPREHKQMGIEDYNFQLWSFSGESCPEGTIPIRRIKEGDMLRACSINTFGRKLKRVRMDTTGDGHEHAVGYVSGDEYYGAKASINVWVPQVESPYEFSLSQIWIISGSFGEDLNTIEAGWQVSPELYGDSYPRFFTYWTTDAYQGTGCYNLLCSGFVQTSSNIAIGAAISPTSTYNGGQFDISLMIWKDPKHGNWWLEYGSGNLIGYWPSSLFTHLKDSATMVQFGGEIVNTMSTGAHTSTQMGSGHFAEEGFAKAAYFRNMQVVDSDNSLIPLPNLNVVANRPNCYNIQEGTNNGWGNYFYYGGPGRNVKCP >RHN45929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26906961:26908181:1 gene:gene40359 transcript:rna40359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKTNPRKERRCCRQSNLAPPVFLPDELITEILSCLRVKSLMKMKCVCKSWKTLISDSKFVKIHLNRSFARNPCFSLVMYNRPTETDDCSFMPFSISSLLENRYITPLKDPHYQLNDKGCREVVGSCNGLVCLLGYSLANDNAVVWLRFWNPATRKISDKLGYLHADNYRRNSWMFVFGYDDSTNTYKIVALNCEDVLRNVLRKPEVNMSIFSLGDNVWRSIKSLNIVPFQLISPYSRVHDGVHFSSTINWLAPCFCPNRVSKTMIVSLDLSTETYTQLMPPQSCENMPGADGSVCVFMNSFCFYHNMINGTDFIIWKMMKFGDDKSWTQFLKFNNDNLRVNCEIRFAHLIPLHLFKNGDTLVFANSLQDRAILYNWRNNIVLKPRVNNNKCWFSINCYVESLVSTC >RHN49439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54804311:54808675:-1 gene:gene44268 transcript:rna44268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat domain-containing protein MEVNGVVHDNGVGAAKREKREVGDKSMEDLTKYTHSPAHLAVARRDHAALRRIVTGLPQLAKAGEVKNEAESLAAELRADEVSSVIDRRDVPGRETPLHLAVRLRDHVSAEILMAAGADWSLQNEHGWSALQEAVCTREEAIAMIIARHYQPLAWAKWCRRLPRIVASATRIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGLRIQRSDQTFLFLGEGYTSEDGNLTLPHGSLLALSHKEKEVTNALEGAGTQPTEAEVAHEVSLMSQTNMYRPGIDVTQAELIPHLSWRRQEKTEMVGNWKAKVYDMLNVMVSVKSRRVPGAMTDEELFAVEDGESMINGENNEEYDDVLTAEERMQLDSALRMGNSDAACEDEEHGVDGQENGSSASNENSEANGVVKEKKSWFGWNKKSLKSNSDDPPEDLKTSKKTSRFGPEGSNQRLADQKIASEFLKDDSGDTKKGKDKNIKKKKKKGAVSETKTESEYKKGLRPVLWLTPDFPLKTDELLPLLDILANKVKAIRRLRELLTTKLPHGTFPVKVAIPIVPTIRVLVTFTKFEELQPIEEFSTPLSSPAHFQDAKSKESEGSASWISWMKGGRGGQSSDSDSHRYKDEADPFSIPADYKWVDANEKKRRMKAKKAKSKKHKKPPVAKSGDGVHRSTEDIEE >RHN74232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30608420:30609058:1 gene:gene10258 transcript:rna10258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MQEIYSMADGNLFCIEEDRRMKTYHNQNNHHHHHLALKCPRCNSFNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGILRNVPVGGGSQKSKKSKTASSSSIPSSAATTPTPLQPEADKSDSHSNSDGSTIRVNSVIENEQVNSFRNLIISSSTNDQVHVVQHGDSVMLNHQQFSNEFGSLDWEGGANTVDQSYWTQSHWSDHVIHSSSLFHLP >RHN48535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48255137:48256210:-1 gene:gene43264 transcript:rna43264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heparan-alpha-glucosaminide N-acetyltransferase MDEGVKRMEEGNNLPLDDDAKNEVLKKQETTNINGDSDIKQQIAEQDDQQPVLLVKQKTKRVATLDAFRGLTIVLMILVDDAGGAYSRIDHSPWNGCTLADFVMPFFLFIVGVAIALALKKIPKINYTVKKIILRTLKLLFWGILLQGGYSHAPDELVYGVNMKFIRWCGILQVCFELNLMLFMQGLMQNNHINQVYEILCAENSPCILYCGSNRDIYHQA >RHN54250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8071476:8074275:1 gene:gene29253 transcript:rna29253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MSMRPPMVGRFSSSSFTNIGSASSWFEVYASFSTFMMLLRTAINDLIPLKLRNFIISKLTRFFTDYQPNNQVSLQIDQFWDGSTNHLYYAAKEYIPTKISNTYKSLKVGKISKHNNMVLAFDGKQVVEDEFDDIKLKWRLVENSNNGDGFDNPKKEYKEYKHRSKDYDENGFVLSFDEKHRDKVMEKYIPHVLSTYEAIKAGNKTLKIHSMQSGPWKQSDLTHPASFDSLAMDPDLKNSIIDDLDRFLRRKKLYKKVGKPWKRGYLLYGPPGTGKSSLIAAMAKYLKFDVYDLDLSSVFSNSELMRAMRETSNRSIIVFEDIDCNSEVLDRAKPDKFPDMDFLDGIKMGKNMPPRKFTLSGLLNYMDGLWSSCGEERILIFTTNHKDKVDPALLRPGRMDMHIHLSFLKAKAFRILAANYLDIEGNHHSLFEQIEELLEKVDVSPAVVAEYLLRSEDPDVALGALVKFLQDQEIVNEETSQEV >RHN82178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51724013:51728098:1 gene:gene6305 transcript:rna6305 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMLTLHRDILHKVTLHNRAIHLKAILHNRVTHRNMLLSTLSHHLVSKVQAVLVAWKAGTWLLSAVAVSWMHAFEKTLLCTDWIDYMCILNLST >RHN60106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20344692:20348848:1 gene:gene22328 transcript:rna22328 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIWTLKYKLSQFTGTDPAGWITRAEMFFADNEIHSCDKLQWAFMSMEDEKALLWFYSWCQENPDADWKSFSMAMIREFGARMEHRTDKQMVQNHDQESEPKLWKMAEKHDEPVLEKIINDGEGRYVNEISPSSEKVVDAEMENFETKKSRKDNRIGGRVLNEKGTNEETKARRKSYMTIRKREQEGGVYPQPPPEPFPLNLKERSCKYKTLYVAWLFEGGLSGFQQWDPGGKRIQVSFFNSALRTRLFF >RHN65493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2674085:2675263:-1 gene:gene13336 transcript:rna13336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAQGNEGEDNRNAVDSSDSLVVETTNTQRQRLAAGTLTCPPEPELPALPFDLLPEILCRLPVKLLVQLRCVCKFFNSLISDPNFAKKHLRMSTKRHHLMLTNSCDYDYYYDRDIVMCDSPIPSIFSTSTVVTQTQLYIPNTLTNGHHCIDLMMSSCDGIFCGKLNNGSYFLWNPSIRKFKLLPPLRNHKWSLLSFGYDHFIDNYKVVAHSFKKVISVNTLGTDYWRRIKDIPYSNPISGHGLFVSGTVNWFAYDVIISLDLEKESYQKLRLPDFDNENNSWDVGVVRDCLCVFASSDEYWDVWIMKEYGNQESWTKLYTIPNLQDQDLEADRALYISEDDQLLVQCHQDWAECDDMKLVVYDSKAGTLNFPVFRNNYKHTHAEVYIESLISP >RHN58178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44359716:44360357:1 gene:gene33795 transcript:rna33795 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFKIFQAVYVLFFSVLCSLLSQSCKPFLVQFSSSYYTIDKSYMFLLCNIILAFIALYSSLINPSSSTTHQNIEHVHDSEKSNRFEFYIPESEITEPIYDDNVMENEYQEEENSLMIVEPEKVVIEVEEDEEKEEGNALMESESQIEENEEVKSLVIVEVEVEQESVNIEAEEEVEEEGNDLRIIDEDETEELNKKCEDFIKKMKATFCSDS >RHN41017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25872444:25874723:1 gene:gene47287 transcript:rna47287 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGEAFELAQCHFMVIKTLEEYVSLATVIKVGEDLQWPLTKDEPVVKLDALHYIGVISIF >RHN58309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:666836:668005:-1 gene:gene20208 transcript:rna20208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDAAEFYLPDECWECIFNFLNDDDDDNHHRYLKSLSLVSKRFLSITNCLRFSLTIVWYPTRLFLGRFFQRYPNLTSLDLSCYYGDLNKLLFQISRFQLNLTSLNLSNRSTIPANGLQSFSQKISTLTSLKCSKMNSIKNTDLFLIANCFPLLEELDLSNPIRFNDNSNFEDEVEALSLTLFKLQKINLSSHTYMNDQLLFVLFKNCKLLREAIILNCHRITIKGIASAIRERPTLRSFELDRYAVVKLITPHFIDSLVSLTSLDLTSSNISNEFLSSIAMKGLPLTRLVLCNCTGYTYDGILCLLSKSKCLQHLDLQYTRFLNNEHLYDTYMVQLSSFLSNLISINLSHCGKLTKSTLFALAGNCPSLNDIKMEYTLIGKESAENLILS >RHN50715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9704076:9716015:1 gene:gene35038 transcript:rna35038 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDAYDGQVLAEKLRKLNNSQQSIESVSRLCVSHRKRAKDIVETWNKSFGSSQKEQRVPFLNLANDILQNSRRKGSEFVNEFWKVLPSALKRVYASDEPGKKSVIRLIDIWEERKVFGSRSQGLKEEITGKSNGKNSNPIKIAKRDAHSLRLKLAIGCLPEKIITALHFVNEEHPNEEASLNKCSAALSQLGKLVEDVENTLSQGNQPGSTLVNDLQQQEKELTQNIVQLENTEAIRATLLSQLKEALQEQESRQELVHSRLQAARDHIEQVASIRKRFSQAPETTRVPEQTTPSVQLNSTPPPPSFTQPTMSFAPLQTTEDDKKAAAAAVAARLTASTSSALMLTSILSSLVAEEAASKNGSLNSAEFNSAPPGFHPEKRPKLEQQMPVSDVNSSDTGSSSFFATFQQSSSANMPLTPPSMQSISQTNQLQGAFASAPPPPPHSHMNPQANQYVQSAGMMVGGVPYGYGSNNLQPPPPPPLPPHVAMGLTRPSNQPSQSPQSQSQQAQQQQQSSAGGFYRPPGFYGQIHPPTPPAPTPRQ >RHN81048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42880037:42881163:1 gene:gene5042 transcript:rna5042 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQVSFRPSDPTGKVVFLDGSVHEFDEAITVAELMMDHPQKVVVEFHSAVNQKRPTPLPADKKLDMNKIYLMLPVKQGKPIGLSGEETRRVLLMFLPWFSSLCHNSEIVEPQRKEEVMEEKGERHDFSENLPEIMEGRPDYLNRQISGKGWKPSLDTIKEKNIEKKHTHWLFLKSF >RHN60871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31185624:31194041:-1 gene:gene23261 transcript:rna23261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 UFM1-protein ligase 1 MDDELLELQRQFEFAQQAKSSIRLSDRNVVELVQKLQQLQLIDFELLHTASGKEYITLDQLRNEMVAEVKKLGRISVIDLADVTGVDLYYVEKLAQSIVTDHEELMLNQGEIITESYWDSIAEEINERLQECSQIALTELAAQLNVGLDLIASILEPRLGTIVKGRLEGGQLYTPAYVARVSAMVRGAARGITVPTNLTVLWSSLQNLLQEMDGASGVAVDGSFFQSLFNGLVKGGEILGSVRAGVHWTPAVFAVAQKESVDSFFSQNSFINYDVLLKLGIPQPIQFLQSRYPEGKPLVTTFVHPSMIEMLDAATEDALERGSWSDSLSLLPSSFTPQDASKMLSLCQSVQLALKSNKAHIFGDFYVLSSSFMKDICDRMVKELETLTVSRSLGTAKPGDLPIANEVKAGYDSSRLSESSDMASDSGSNKHADKGPKKKRGKATGNTLANPSESGPDNQEHTSTKSKKGQRRGKDTSSQTSDSKPGSRKESLKMKEDNLSNPSEEWIMEKITALIPDFEEQGIDDSETILRPLANKLRPTIISTWMEKKKALFKDNAERMKQLLDSLQKKLDESFLNMQLYEKALELFEDDQSTSVVLHRHLLRTVAAPMVDMLLHDLDEHNKLKNGVDVQESPKSESISFGPGERAAISKSFPGALANKALAVVEALEGKSVETFMSAFRSVTEESGLPLKKLDKKLERTILHSYRKELTSQVSAETDPVSLLPKVVSLLYVQVHHKALQAPGRAISVAISHLQDKLDESACKTLADYQTATVTLLALLSAAPDDEDSCASDRVLSTRELLESKMPALKSLVLTTSQS >RHN65194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:163849:164371:-1 gene:gene13012 transcript:rna13012 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTFRNKIYNCVLEDSSTGVGTVTGIYVDVVQKFQFLNKKKSLDLELEIDGFKLTGRVSKVGTGIKIVIDHTHLKERKYNGHYNFSAVGDQIRGIKTWLDFKIDARSDLTTSIMCRDLLEKSNGYVNSSGAFKIKNADKPITYCKY >RHN51667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21779938:21780926:1 gene:gene36151 transcript:rna36151 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQPCFGLIDGLEGSFIFQKRLPRLFSVSRNRSATVSEVGVHAGGRLEWS >RHN48215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45829891:45835376:1 gene:gene42905 transcript:rna42905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MHTGQMDLTSAKCVLNSISRLIHLVSCQAVKPVPLQKNCNNMVAALRCLKPVLDDVVDYKIPLDENPYRECDELDIQVNEAREFVEKWSPKTSRIHSVLQSGELLIKLQSTSVRICHMIYRSSKSTSSASVLDNLQHHIQELQSLEKESATLYIEEALRNQKDNSKPCYESLGEIIELLKLTSNQELLKESIAVEKERLNAEVNKTKGELDKINQIVNLLCSLRDYLMKAKCPEVKSGVSVPPYFRCPLSLELMLDPVIVASGQTYERQSIQMWLDHGLNVCPKTHQRLTHANLIPNYTVKAMIANWCEENNVDLPSNSNQSNSTQSSPPSDYLLHQDLDRVCSFGSSHSSNSNSKSSLQTANSFEKQNGDNSSRLSGESNRCQSGDTEKFEQQSLAPSCSHSRSESFSSSISSTDYVPSVSKKVPGIPHKHQHALLSGEITNNVFPASPAYKEYGNFPTVSREQFESPGLKNAKMENENKYNNNNNIVITSQSNDASPVFNSEHDKLTTASHVSKLIEDLQSQSNETKSAAAEELRLLTKHNMENRIIVGQCGTITHLLPLLSSNTKITQEHAVTAILNLSINEDNKTLIMEAGAIEPLIHVLKSGNNGAKENSAATLFSLSVPENNKANIGRSGAVKALVELLASGTLRGKKDAATALFNLSIFHENKARIVQAGAVKFLVMLLDPADGMVDKAVALLANLSTIPEGRVEIVRERGIPFLVELVESGSQRGKENAASILLQLSLHSRKFCTLILQEGAVPPVVALSQFGTPRAKEKAQQLLSHFRSQREGASGRGKS >RHN80645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39739186:39745482:-1 gene:gene4592 transcript:rna4592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribosylaminoimidazole carboxylase MLHIVPTVSSRHTVTSFFPFKSTHPLSSSSSSSSSSSSSSSSSSLAFYMEQPNLLSFKLKHSHQPHQANAAVSPRNEESVVHGLSETVVGVLGGGQLGRMLCQAASQMAIKVVVLDPQDNCPASSFSHHHMVGSFDDSATVQEFAKRCDVLTFEIEHVDVTTLEKLEKQGVDCQPKASTIRIIQDKYQQKVHFSQHDIPLPEFMRLDDLEGAKKVGKRFGYPLMIKSRRLAYDGRGNAVAKREEELTSAVDALGGFDRGLYAEKWAPFVKELAVIVARGRDNTISCYPVVETIHRDNICHIVKSPADVKWKIRERATEVAFNAVNSLEGAGVFAVELFLTEDGEILLNEVAPRPHNSGHHTIESCYTSQYEQHLRAVVGLPLGDPSMKTPAAIMYNILGEEEGELGFQLAHQLMKRALTIPGASVHWYDKPEMRKQRKMGHITIVGPSLSNLDGNLATILEGKTLDDKTAVAPRVGIIMGSDSDLPVMKCAAEILEKFGVPHEVEIVSAHRTPERMFSYASFASERGIKVIIAGAGGAAHLPGMVAAITPLPVIGVPVRGSSLDGVDSLLSIVQMPRGVPVATIAINNATNAGLLAVRILGVADENLLSRMSQYLEDQKESVLQKGDKLEKLGWKSYLNSSS >RHN61993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39895501:39895865:1 gene:gene24513 transcript:rna24513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRSPCCEKVRLKKGPWTPEEDQQLIAYIKEFGHGSWHALPAKAGLPRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNR >RHN82187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51811391:51815233:1 gene:gene6327 transcript:rna6327 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRDSSDSKRRHSKLDREPSPKKYRRDRERERLTTNGHSEPSKHSSQPPRSRSYYQVGRSTGQREADEKVYAQSKENNQRLETGQSREQRDEKSLAKLDGNFQRRDSFRERKDDPTPSVRKRPAFREKKIPVDSREANPAATVTVKSSHTSHPPERNERKEERSSNPNHLDRPEKRIAEDRESNKREPRKDGFSSRVRYGGSGGNSNHRGRDKFNGRQDNHPIKTQTEKWKHDLYQEVNKDSIPKNEDDQIAKLEALLAS >RHN64229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57442965:57443597:1 gene:gene27019 transcript:rna27019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MERVTKMVSERPVVIFSKSSCCMSHTIKTLFCDFGVNPAVYELDEIPRGREIEQALISRLGSSPSVPTVFIGGELVGGANQVMSLHLNRSLIPMLKKAGALWL >RHN75736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44653152:44658059:1 gene:gene11976 transcript:rna11976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CRK7-CDK9 family MGCINSKTSAVDDSKVGLTKDLASSSKRNFVKNVSRSDTKKRVDGVLEKDKLLDGIGSMKVSLIEKEADNGPVRLYDDHNEKKTRKMEKPELAAVVDNPSLGGRVPKALEGEQVAAGWPTWLSSVAGEAINGWLPRSASTFEKYDKIGQGTYSTVFKARDLISQKVVALKRVRFDNLDHESVKFMAREIIFLRRLDHPNVIKLEGLITSKNSRSLYLVFEYMEHDLTGLVSAPGIKFSEPQIKCYMKQLLSGLDHCHSRGILHRDIKGSNLLIDNKGILKIADFGLANFFDPNRSAPLTSRVVTLWYRPPELLLGSSNYGVAVDMWSTGCILGELYCGRPILPGKTEVEQLHRIFKLCGSPSVDYWRKLRLPHSTAFRPPHHYRNCVKDTFKEVPSAAVRLMETLLSLDPRLRGTAATALKNEFFSAEPVACDPSTLPKYPPTKEIDAKMREESTRKEGALGGKEQKVGSRVRQEKEPQSFVLPKANAVSHISMQQGQHFPNMTSRNESFNPHREPASGFMVLPHKQSEDVKETANYFSGPLYPRSSHSGLLVPGSGRHKIGKEASERPLPVSTKANLSKPSRTSFSGNQKENPAPWRPQDTIQVQKSFGLSSGSESRKRHDKKRHSKIADLSQTENGKDGNVSSGNNLYMSGPLLVSSNNMDQMLKERDKKLQEYSRRARIK >RHN49786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1126227:1126949:1 gene:gene33997 transcript:rna33997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTTFLKVAYIMIICVFVLHLAAQVDSQKRLHGCKEDRDCDNICSVHAVTKCIGNMCRCLANVK >RHN63090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48324919:48325119:-1 gene:gene25748 transcript:rna25748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase with an alcohol group as acceptor MVTVEIELYEHYRIFRNYLHSSVWEMLGNDLSDNVIIEHSHGGSGSGALFLAVAAASHIPTQPVES >RHN63478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51581726:51590637:-1 gene:gene26178 transcript:rna26178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transaminase MANFPAMLSLRHLLVLSLALNVSLILRMVYEGDDQQGSNNQNMGRIIQKSRLVIPSTISANSTRKDHAGVMDKIINLDHGDPTMYERYWRQMGDKTTIIIPGWQSMSYFSDPTSICWFLEPEFSKEVVRLHNVVGNAVTQGRHVVVGTGSSQLILAALYALSSPHATEPISVVSAVPYYSSYPSMADFQKSGLYKWAGDAESFNKDGPYIELVTSPNNPDGYTRKSVVNRNQGLLIHDLAYYWPQYTPILFASDYDLTLFTVSKSTGHAGTRIGWALVKDPEVAKKMTKFIELNTIGVSKDSQLRAAKVLKAVSDSCEEEYSQGGEESFFKYSYKALEQRWKLLRVAVDSGGLFSLPKFSSAFCTFLNQESEIQPAFAWLKCEGDIEDCGSFLRGHKILTRSGEQFGASPKYVRISMLDTDEHFMQLIYRLTTIQK >RHN57428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39092047:39093341:1 gene:gene32972 transcript:rna32972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MSFDQKTREADRIYDEFEPPSDWDHEDTSDTLILMLPGFKKDQLRVQVTSTRVLRVSGERQMNEKKWRRFRKEFSIPPHSDTNNIGAKFEAGILYIKLPKLISQQNIVPTPTKPTTQQEPQKPQQPATIPQKPNTAPQVSDQKKQVVQQEPKKEIEEVSQRKGKGKAEELKEDIDDVNNKVASKVAEKKSLTMEMVSRQRQEYVNALCGLVDEVKKQKKLVNLLVLVFLVLLFGLYVKNVINSFFSGGHKNQEL >RHN68226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33865421:33867629:-1 gene:gene16546 transcript:rna16546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MSTNEHESLKTETFVLKVHINCQGCRTKVRKALRKIEGVYEVDINAENQKVAVTGVVNPSTLVQKLAKLGKHAEILNEDYNQEHTDDDDDDINDNSHEYITNYQSAFENQYMIPSFYDKDSYGPEWFYNHNINQHLAAQTPLSSETFDNVANANVTRINEYPKWKRPESFEESIYGTNYSGLGNQGWPYNFLGPSSVMDNMHGYYHGYHPSN >RHN52553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36584932:36585234:1 gene:gene37243 transcript:rna37243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MAPTNPIKKFLKKFSCLGKKTQVNNDRQCLDSDISQGYIAVYVGENRIKYVIPISFLHQPVFQNLLRQAEEEFGFDHDRKGLTLPCRQDVFESIVSSLDR >RHN67139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24231647:24235640:-1 gene:gene15288 transcript:rna15288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-L-galactose phosphorylase MLSIKRVPTVVSNYQKDEDAPVNGCGRNCLKSCCIQEAKLPLYGFKRGEAKDLSPIACKERPVAFLDSIILGQWEDRMQRGLFRYDVTACETKVIPGEYGFIAQLNEGRHLKKRPTEFRVDKVLQPFDETKFNFTKVGQEEILFQFKSSSDGETQFFPDAPIDVENSPSFVAINVSPIEYGHVLLIPRIFECLPQRIDHANFSLALHMAAEAGNPYFRLGYNSLGAFATINHLHFQAYYLALPFPIEKASTKKIAKLNGGVKVSKLLNYPVRGLVFEGGHALDDLANTVSEACICLQHNNIPYNVLISDCGRRVFLLPQCYAEKQALGEVRAELLDTQVNPAVWEISGHMVLKRKKDFDEASEANARELLAEVSLSKERFEEVNALIFQAITSEKIDVTPQCLEEVDAVSSSTKPAMVPGSHECLVLQ >RHN80520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38780925:38783599:-1 gene:gene4457 transcript:rna4457 gene_biotype:protein_coding transcript_biotype:protein_coding MFGQNNVGLRAIPQSSMVAQAAPITDPFGTLSAFGTNSPFGSTTSAFGGQSSAFGSQTPTQAFGSTGIGQSGFGGQRGGSRIASYSATVEADSGASGHTAKLESISAMPVYKDKSHEELRWEDYHLGDKGSSAFGQKPAFGGFGSNPTQTSPFGSATQPSQPAFGSNTQQSQPVFGSSILTPFGASSQPEFGSTCTPAFGATSTPASAFGAPNSCMIQ >RHN38841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2397865:2398216:1 gene:gene44810 transcript:rna44810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MKNLIACILILGFFSPCICQRWNLVGTKKNTFNVMEYGARGDGKSDDSHAFLSAWKSTCAAKGAATLVIPPQKNSW >RHN71837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2933385:2934429:-1 gene:gene7477 transcript:rna7477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MFKVILPMVANVPHNYIIDQTNVYKTARKCKLRPFSDYQKIAVVVFPKPEELKRRYEKGSKEMGKEVPTNELSKMIELNRDESQKYLDQMKQDIPSLPNNSPSTALPNQGSLKANTGQALHRAIWVFMEDLMLNTKISSLASIHLQQPHAVTLL >RHN80735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40495289:40495633:-1 gene:gene4695 transcript:rna4695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein transport protein SecG/Sec61-beta/Sbh MARTASQSSQSASSGATTRPGVMAPRGSAAATAGMRRRRPTGGNTTSSTSAAGSSSGGNNMLRFYTDDAPGLKISPTVVLVMSLGFIGFVTMLHVFGKLYRYQSGPGAGAGAGA >RHN49857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1744805:1745146:1 gene:gene34081 transcript:rna34081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endo-1,3(4)-beta-glucanase MTSSRNSNSNYMCLRCLDLYKLHSWAGGLTEFADGRNQDSTSESVNAYYSSALIGMEYENAELILEILAAKMMNNVIGVRWSNKRDWLCFGPAEWNRHSTLTNCFMFQKFYGL >RHN70526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52028004:52032489:1 gene:gene19111 transcript:rna19111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MSRKCSVSPSSLLPQWFSILRHAIADSDLILGKRTHALILTSGLNPDRYLTNNLITMYAKCGSLFSARKLFDITPQSDRDLVTYNAILAAYAHTGELHDVEKTHEAFHIFRLLRQSVMLTTRHTLSPLFKLCLLYGSPSASEALQGYAVKIGLQWDVFVAGALVNIYAKFQRIREARVLFDRMPVRDVVLWNVMMKAYVEMGAGDEVLGLFSAFHRSGLRPDCVSVRTILMGVGKKTVFERELEQVRAYATKLFVCDDDSDVTVWNKTLSSYLQAGEGWEAVDCFRDMIKSRVPCDSLTYIVILSVVASLNHLELGKQIHGAVVRFGWDQFVSVANSAINMYVKAGSVNYARRMFGQMKEVDLISWNTVISGCARSGLEECSLRLFIDLLRSGLLPDQFTITSVLRACSSLEESYCVGRQVHTCALKAGIVLDSFVSTALIDVYSKGGKMEEAELLFHNQDGFDLASWNAMMHGFTVSDNYREALRLFSLMHERGEKADQITFANAAKAAGCLVRLQQGKQIHAVVIKMRFHYDLFVISGILDMYLKCGEMKSARKVFNQIPSPDDVAWTTVISGCVENGEEEQALFTYHQMRLAGVQPDEYTFATLVKACSLLTALEQGKQIHANIMKLNCAFDPFVMTSLVDMYAKCGNIEDAYGLFRRMNTRSVALWNAMIVGLAQHGNAEEALNFFNEMKSRGVTPDRVTFIGVLSACSHSGLTSDAYKNFDSMQKTYGVEPEIEHYSCLVDALSRAGHIQEAEKVVSSMPFEASATMYRTLLNACRVQGDKETGERVAEKLFTMDPSDSAAYVLLSNIYAAANQWENAVSARNMMKRVNVKKEPGFSWIDMKNKVHLFVAGDRSHEETDLIYNKVEYVMKRIKEEGYVPDTEFALVDIEEEDKESALSYHSEKLAIAYGLMKTPPSTTLRVIKNLRVCGDCHNAIKYISNVFQREIVLRDANRFHHFRSGICSCGDYW >RHN61042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32456246:32458704:1 gene:gene23453 transcript:rna23453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MENQIRHRLVLIPPPLQGHITPMLQLATILHSKGFSITIAHTHFNSPNPSNHPNFNFLPFFDGLSNTQITSKNFVDIASTLNIKCVSSLKETLVHYITKLANENHGEKIACIIYDGFLSFIDSLAKELKLPSIVFRTTSATNLLTYHVCLQLQSKGYLPLQDSKSRDLVPELDLLRFKDLPLFNLTNQYDFLQSIGKTLSITPLGVIFNTVESLEDSSLNQLQKLYKANLFPIGPLHMIANDANNSSILQENDNCISWLNYNKPRKSVLYVSLGSIASWEEKELTEVACGLVNSRQNFLWVIRPESISDVSAWLESLPEDVKVGVAERGCVVKWAPQSEVLAHKAVGGFWSHCGWNSTLESLCEGVPIICQPSFGDQRVNARLLSHVWKVGLEWCNAIERDEIERVVRRLMVNSEGEMMRQRATELKHEIGIAVRGSSCDALNGLVKYILSLNA >RHN46097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28479641:28480902:1 gene:gene40537 transcript:rna40537 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKSENQGNMPVLQTATAIFFVVVGASGSILNVIQTAPSMFMFALVQVTIHLLIVLGLGKLFKLDLKLLLLASNAKPKGWESLVVPGMLTGIFGVSIATFLGIGFGLMVLKHL >RHN59147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7892451:7892817:-1 gene:gene21138 transcript:rna21138 gene_biotype:protein_coding transcript_biotype:protein_coding MLASVTREKRKGMKASCYDYKRSRTVTKRHSRFWKTKRLNHDFED >RHN56260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29341912:29342243:1 gene:gene31632 transcript:rna31632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Actin family MYDGDEVSAIVIDLGSHTCKAGYAGEDAPKAVFPSLIVDVNE >RHN42713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40408106:40408327:1 gene:gene49196 transcript:rna49196 gene_biotype:protein_coding transcript_biotype:protein_coding MYVHACMQEEELVDGKVDWRGRRAVRHKHGGMKVSLLVLGNLRPRISSFSTKKKNKRPRIIWQYIFLESNSHV >RHN80860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41363316:41371457:-1 gene:gene4835 transcript:rna4835 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISRKIFPACESMCVCCPALRSRSRQPVKRYRKLLADIFPKSPDELPNERKIVKLCEYAAKNPFRIPKIAKYLEERCYKELRSEHIKLVKIIAESFNKLLSICKAQITYFAVDVLNVTSELLDYSKDEAIQALGCQSLTRFIYCQVDSTYTHNIEKLVRKVCMLSQEPGETHENRCLRASSLQCLSAMVWFMTEFSHIFADFDEIVYATLDNYDWSRQSDDADIRTEAHHNWVNEVVRSESRAGSVVGNDNRSSCLIIQPRPEVKDPSLLTREEIEKPEIWAQICIQRLVELAKESTTMRRVLDPMFVYFDTRQHWAPQNGLAMVVLSRMAYFIENTGNQRFILASVIHHLDHKNVMNDPQLKSYVVQVATSLAMQIRSGRRLAEIGFVGDLCRHLRKSFQASSEFVGEQEFNLNISLQSSIENCLLEIANGVIDVQPLFDLMAITLENIPSGVVGRATIGSLIVLARALTSALANLRVQQGFPESLLMQLLKVMMHTDVEARIGAHLIFSVLLLPSSFHTNEVSSLRSRYMDQRNKRNSQTATASASITALLEKLRRGRDGTNVADHGNVVHDDKERDTVTEEWKQGCGLKTSPNFYKLSSIIDRATGSQSLTDVEPYVMKLSEDQMGQLLSAFWIQANLPDNLPSNIEAIAHSFILVLIVLRIKNLKDRDNLVIRFFQLPLSLWTMLLDPSNGTLPPACQRSIFVLSVGMLVFACKIYQIHDLNDVLTLLAKSEVDPFLGISDDNQVYAKINVDLKEYGTASDNQLAMSTLSELRNKISEYHQTIMNALIHNLTNFTELDADNLTVLLSETFKPDEDFVFGPQSILDQNQMTYYSQESLSVDEDFPSNSGGEDDNISEASVSDLSRFIPKMPVSPPQPHVISIGQLMESALEVASHVAGTSVSTSPLPYNAMASQCESLGTCSRKKLSNWLAFENHYTQSPDKSFLAFARNSNAALEKEAYEDGNPQVAALPRDPMKLPPASPFDNFLKAAGC >RHN66620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15170168:15173702:-1 gene:gene14653 transcript:rna14653 gene_biotype:protein_coding transcript_biotype:protein_coding MRDREMVAISLYRGNLHRAPDVPRRWLMPNPKISLKDFKSLLSRRSKALSRTSSSSNPNPNPNPNHVVDDVPSSTTNLKQLIQISNEPEKEEEEQEQEQEELVDSKKPLDGSEFKNDGLEKVSDDDAAAAAAATSMEIQTDPIIENHVDLLNDEDEKRKKEVQDKLQVLNAKKHNLVLVLKQILNAEEELKRRNSVQQQGIAMRGPSVPLKADGTNDTASVSRYMAPRVGSEGNLVGDMDGVEADDFTNHNMQHSRLVLRTSSMSPSSDSPLRRTPSGQQNMVSNPARGSFAAAGSPSRFAQSGQQGNPMNLPSVSVSGTSYIASSPSPAASGGTSVFRDARHPSPWK >RHN41631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31631308:31631576:1 gene:gene47973 transcript:rna47973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxiredoxin-like FAM213/AAED1 MCRAEAHKLFSRKPIFDALGVQLFVVVHEHIESEIKDFWPRYWGGGVLLDRGRDFFKALGG >RHN59570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12107584:12110134:1 gene:gene21616 transcript:rna21616 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLLALSLFITSLTTASIFSPNPKQQPNTIVKEGHKVVVVEYDQDGYQNTKISILPEQQQTHQQDYTNMDSGFIENTKDRIKEAASVLPNMGQGISQDASSSHYNLHTPNAKNAKELICDAYGKCKHVMEKAKDKASETIDKKRDIVNSNKEAVKEVGNNVVDAVDNAKERVYDKANDVYDNVQEYTKGSLEKGKEMGQTFKEHVVHNVTEAKDGVKKFMSLSMERVESLMSLLNLLGFSSGYGMNVWITFVSSYVLSRVMPRQQFAVVQSKIYPVYFRAMGYCLLVALLGHVFGHGMRNNNGGGVMQSWNLLASLLTVFVNSVYLEPRATKLMFERMKIEKEEGRGREDVTTTTERSRTEEHQNSPDPKKTSTTTTVAAEGTESQTQRKEHDDAVRAKIMKLNNKLKKLNSYSSFLNILNLMSLTWHLVYLAQNVHQSC >RHN47808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42671319:42675669:-1 gene:gene42451 transcript:rna42451 gene_biotype:protein_coding transcript_biotype:protein_coding MANWTKNQGISQVGQWISSSYNGKPPLANRFPTVPSWEKKFCNSVGSVPWRKVIEGNKYMCLHPKVVNWNDSAVKEAFDNAKNRFWAEINGLPCNIPLPDPNIYIDDVDWNASIDSELYLEVEREAEARRNMDQKPEEIVILGSSLLLNQSLSGPGWGDKEENVTKPSEPNYAAQGWETNSWEQYHAPVDEHAKEYGWKNSQNDSQRWIQRENYGGNLHNKYQGRNGWNSNWATWDGYNRKRENKMSRSMNPGYHHGKLYQMSRGRGGGMRGNFNYVHKVATPTAW >RHN60837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30781215:30781588:1 gene:gene23222 transcript:rna23222 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSIVGRARPKSIIFKSQFAFNSRLLGFKSLWIMFAECIYLSPRRI >RHN77162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4538645:4544112:-1 gene:gene588 transcript:rna588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphorylated adapter RNA export RNA-binding protein MEGGENILDAIIYEEDDVEMVDVEEGELVEEEEDHHRNGVAATQDHNLIHEAENNRNDINQKPKALSKNRKRKNKRKRKALGGSDAPINIDRFVIETCRRLKEKKSYMVYTALGCLGVSALSDIVKEVDAIQSCGGQQTADGKRFRTGGGVLWNIIKVREPNAYKEIMKKAREFEKQFRQPSFKQPSMQKEEDSSQVVPCPSSSAHQGNVSDNPTCASQLQDQDKPPGSEKKRVPVRDRLRIPVSYDDDLLGATVENDVTLP >RHN68612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37102118:37106607:1 gene:gene16984 transcript:rna16984 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:htn1 MAGGVLPVDSTPVAVTAINIGGKLTLSIIITCIVAASGGLLYGYDLGVSGGVTTMVPFLQKFFPDILRKAASAEVNMYCVYDSQILTLFTSSLYLAGLVSSIAASKVTAAYGRRNVIIIGGALFIAGGAINGGSENIPMLILGRVLLGFGVGFTNQAAPLYLSETAPPKWRGTFNTGFQFFLGIGVVAAGCINYATAKHTWGWRLSLGLAVVPAAVMTIGSFLITDTPNGLVERGKIEQAKQALRKIRGSSVDIEPELEELIKWTEIAKSVQQEPFKTILKREYRPHLVMAFAIPFFQQLTGINIVAFYSPNLFHSVGFGHDGALLSAIILGSVSLLSNLISAGIVDRIGRRFLFISGGIMMLVCLIAVSIVLAVVTGVDGTKDISKGNAIVVLVLLCFYSAGFGWSWGPLTWLIPSEIFPVKIRTTGQSIAVAVQFIIIFVLSQTFLTMLCHMKFGAFVFYAFWVIVMTLFVIFFLPETKGIPLESMYTIWGRHWFWSRYVKGQEVLENLP >RHN40722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19974265:19974507:1 gene:gene46916 transcript:rna46916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MNYRLGRLYKKEVPRLENIIEGISQGLLYLHKYSRLKIIHRDLKASNILLDDNMNTKISYFGMARMFAQQEFIVKLCLVT >RHN77926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10575399:10579848:1 gene:gene1433 transcript:rna1433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MAQIEFLRHMSLVSLIVLILCSTPPIFATKNCDFPAIFNFGASNSDTGGLAAAFQALPLPNGETFFNRSTGRFSDGRIILDFIAQSFGLPYLSPYLNSLGSNFTHGANFATAGSTIKIPNSIIPNGMFSPFSLQIQSIQFKDFIPKAKFIRDQGGVFATLIPKEDYYSKALYTFDIGQNDLTAGFFGNKTIQQVNTTVPDIVKSFIDNIKNIYNLGARSFWIHNTGPIGCVPLILANFPSAIKDRYGCAKQYNEVSQYFNLKLKEALAQLRKDLPLAAITYVDIYSPKYSLFQNPKKYGFELPLVACCGNGGKYNYNIRAGCGATININGTNTVVGSCKKPSTRIIWDGTHYTEAANKIVFDQISNGAFTDPPIPLNRACYKK >RHN75160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39884403:39886538:1 gene:gene11322 transcript:rna11322 gene_biotype:protein_coding transcript_biotype:protein_coding MQDTQHQQQQQTKESTQQLPPKMSFSGQNKNEGYMSMSQRFRPACTCLNRPGSVRCARHGYVVPGERLKKRLASKEILRRALTPPPKRLGLRWLNFKPTPSRLSIMSMAS >RHN39158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4572091:4574916:1 gene:gene45158 transcript:rna45158 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHGLHLVLFVSARHWRASLFISLGTLVLLLHGTFGVKFIQHMTSFHCRFWPFLALLFLLDIIIDFWISLFSFSCASAKWFAWWLSVVP >RHN40455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16460052:16463206:-1 gene:gene46607 transcript:rna46607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MSNSSTSFIVLLLLSLFLISPFLLQAQTSSPSPSPSHSSSPSSSPSPSHSSSPSPSPSPSPSHSPPPPSTSTSSSKACKSTLYPKLCRSILSSIRSSPTDPYHLGKFSIKQSLKQAKKLSKVFKDFLKKHHHSTSLNHAEIAALDDCSELNQLNVDYLELVRDELKSADSSSFNNTDVLVEKVETYLSAVATNHYTCFDGLVVTKSNIANALAVPLSNVTQLYSISLGLATQALSKNIKKRKTRKQGLPTKAYKVRQPLKKLIKLLHTKHSCSNNSSNCLRHERILKESENRGILLKEYVIVSLDGTENFTSIGEAIDAAPHNLKAEDGYFLIYVREGVYEEYVTITKHKKNILLIGDGINKTCITGNHSVIDGWTTFNSSTFAVSGERFIAVDITFRNTAGPQKHQAVAVRNNADLSIFYRCSFEGYQDTLYVHSLRQFYRECDIYGTVDFIFGNAAAVLQSCNIFARKPMANQKNAITAQGRTDPNQNTGISIQNCRIEAADDLAVDLNSTKNYLGRPWKVYSRTVYLQSYIGDLIQPSGWLEWNGTVGLDTLFYGEFDNYGPGAITHNRVQWHGYNLMTPNQAWNFTVLNFTLGNTWLPDTDVPYTEGLHLDD >RHN53610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2834600:2837907:-1 gene:gene28535 transcript:rna28535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein xylosyltransferase MKKFKKQPLLLRKTPATLYTSLLFLLILITAAFISSLWSTLSTTTNIKPTTTTNTVANQTLVAANVPTKNEKIKHSNKFQPTVLNCTIKNQTCSSSNYPTTKNNMVCPEYFKWIHEDLKPWKKKGITREMVEKAKKTAHFRLVVKNGKGYLEKYKNKEAIQTRDVFTVWGILQLLRKYPGKIPDLELMFDCNDKPVVPIGLDPPPVFGYCADRWTQDIVFPDWSFWGWAEINIKPWEHLLKDIKKGNKRVKWKDREPYAYWKGNPYTAATRLDFLNCNVSTAQDWNLRLFTQDWIKESEQGFNHSNLADQCTYRYKVYIEGYAWSVSEKYILACDSPALLVKPRYYDFFTRSLQPLQHYWPIRDTDKCKSIKHAVDWGNNHEQKAQEIGKAGSKFIQEELNMNYIYDYMFHLLNEYSKLLKFEPRVPEEAVELCSETMACTRSYSMEKEFMGESMVREPSTKDPCSLPPPFDPTSLRIFYATKQNLINRVERWEDEYWKSYQ >RHN51401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17281246:17285186:-1 gene:gene35831 transcript:rna35831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAYTLIGSVIRTLAPYVQEEYATFKGVNKHAEKLSRNLTAIHAVLKDAEEKQITSHAVKVWLENLTDAAHILDDILDKCSIVSESNRDDVSIFHLKKLYARRGIGKKMKEVAEKIDAIAEERIKFGLQSGNVERHLEDDEWRQTTSFITEPQILGRNEDKEKVVEFLLRHAIDKEGLSVYSIVGHGGYGKTALAQLVFNDERVNTHFPLKIWVCVSDDFSMMKILQSIVESKDGKNPNLSTLQAMQEKVQTILQNKRYLLVLDDVWNEDQHKWDKFMSFLQCGNGTKGASVLVTTRLDTVVSTVKTVGESPIDDNSVHRLVGLSDDSIWSLFKQHAFGAEREERADLVTIGKEIVRKCVGSPLAAKVLGSLLRFKTEECQWLSIKESEIWNLSDNKIISALNLSYYNLKLSLKPCFTFCAVFPKDFVMVKEDVIHLWMANGFISSRGNLEMEEVGNEVWNELYQRSFFQEVETHEEGKVTFKMHDIFHDVASSILGEQCVTSKADTLTNLSKRVHHISFFNIDEQFKFSLIPFKKVESLRTFLDFFPPESNLGVFPSITPLRALRTSSSQLSALKNLIHLRYLELYESDTETLPESICSLRKLQTLKLECCYNLYSLPNKLTQLQDLRHLVIKECHSLSSMPFKIGGLTHLRTLSIFIVRSEAGFGLAELHNLELRGKLHIKGLENVTNERDAREAKLIGKELSRLYLSWSGTNSQCSVTGAEQVLEALEPHTGLKCFGMKGYGGINIPNWMRNTSILEGLVDVILYNCENCQRLPPLGKLPCLTTLYVYAMRDVKYIDDDMYEGATKKAFPSLKKMTLHDLPNLERVLKAEGVEMLSQLSDLTINGNSKLAFPSLRSVKFLSAIGETDFNDDGASFLRGFAASMNNLEELFIENFDELKVLPNELNSLSSLQELIIRSCPKLESVPECVLQGLSSLRVLSFTYCKSLISLPQSTINLTCLETLQIAYCPNLVLPANMNMLSSLREVRIFGEDKNGTLPNGLEGIPCLQNLQLYDCSSLASLPQWLGAMTSLQTLEIKWFPMLTSLPDSFQELINLKELRISNCPMLMNRCKKETGEDWHKIAHIPRLKLEFDVEPSFKEKITSLWKLSKKQFWRRHKDYFPFDAFDQMVDEL >RHN76511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50867680:50869837:-1 gene:gene12851 transcript:rna12851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rhomboid protease MGKKSPFSDIEAARYPPPPPPTFYMPPPTQWFSWLVPLFFLANIAMFVYSMYINDCPGYLNEDDCLWYQYLGKFSFQPFNENPLLGPSVRTLRVLGALERDLVVGENEVWRFITCMFLHAGVIHLLANMFSLLFIGVRLENEFGFLKIGVLYLLSGFGGSLLSILHMGDVKAPNTVSVGASGALFGLLGAMLSELLTNWTIYLNKCAALTSLLLIIGLNLAVGFIPHVDNSAHIGGFLSGFFLGFVILMRPQFGYVNNKYIPPGYDAKRKSKYKGYQYFFLVLSVITLLIGYAYGLATLYIGESNDVFSYLGNTES >RHN62731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45494945:45498577:-1 gene:gene25333 transcript:rna25333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MMSLKTSNKKMIRGFMCHSQSSTAVCMSIRDSNHSVVVPKRIEKSVFLDDTRLINFSKYSKLVESPMSNPVQKIIVREKNQSYQAIEPRELQKTSTHNVFQVVVMRVAIHCQGCAGKVKKHISKMEGVTSFSIDVESKRVTVMGHVSPVEVLESISKVKKAEFWSTASATSC >RHN78513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15868395:15875845:-1 gene:gene2090 transcript:rna2090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MAERKEGTSCEISLKDQGNEFFKSGKYLKAAALYTQAIKKDPSNPTLFSNRAAALLQLDKLNKALDDAEMTIKLKPEWEKGHFRKGCILEAMKRYDDALASFQIASQYNPQSQEVLKKIKKINQLVKDSKRAQEVENMRSNVDMAKHLDTLKPEMSEKYGSEESWKDMFSFLVETMETAVKSWHETSSVDARVYFLHDKEKTQTDKYPPIVNIDKAFESPETHSSCFPFLRQYAEESFSRAACLVAAKNIISYPQVWKGQGSRKWKHAQNDGFFVQFESPSVRKLWFIPSSNEKGQILCRDPEILDVGAHEVVPRLFKEKTSQS >RHN50565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8107703:8109867:-1 gene:gene34865 transcript:rna34865 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHHTCNFVNYDEEGYILDYGNFANYDEEGYILDYGKTINHLQAAAIKEFLLLYGVGKEESLQGSITYTSVDSNVEKETSCVVQTGESTTNGQENIDTEMSLFEMSNKNRKIFEP >RHN68733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38099934:38107401:1 gene:gene17121 transcript:rna17121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative primary-amine oxidase MASASQKTTSSPSSSCCTPLDSSRLAAVSAAPAVAQSDHVALIRPLDSLPEPSSTNAPTSKGITSMTRPQSSHPLDPLSAAEISVAVATVRAAGATPELRDSMRFIEVVLLEPDKHVVALADAYFFPPFQPSLLPRSKGGPVIPTKLPPRCARLVVYNKKSNETTLWIVELSQVHAVTRGGHHRGKVISSNVVPDVQPPMDAEEYAECEAVVKSFPPFIEAMKKRGIEDMDLVMVDPWCVGYHSEADAPGRRLAKPLLFCRSESDCPMENGYARPVEGIYVLVDMQNMVVIEFEDRKLVPLPPVDPLRNYTPGESRGGSDRSDVKPLQIIQPEGPSFRVNGYYVEWQKWNFRIGFTPKEGLIIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPTEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLSVSFVCTVANYEYAFFWHFYQDGKIEAEVKLTGILSLGALMPGEVRKYGTMIAPGLYAPVHQHFFVARMDMSVDSRPGEALNQVVEVNMKVEEPGEKNIHNNAFYAEETLLRSELEAMRDCNPLTARHWIVRNTRTGNRTGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNVWVTAYSRDEMFPGGEFPNQNPRVGEGLATWIKQNRSLEETNIVLWYVFGITHVPRLEDWPVMPVEHIGFMLMPHGFFNCSPAVDVPPNSCEVESKDSDIKDNGASKPIQGGIASKL >RHN58324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:792536:793065:-1 gene:gene20226 transcript:rna20226 gene_biotype:protein_coding transcript_biotype:protein_coding MLETARFCGKRRRLLLFRLDRPLCFDDAWLKVDSFAVMLLCVWIGCEEFLWVVEL >RHN74704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36028987:36030662:-1 gene:gene10812 transcript:rna10812 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSREIRVHFKKFIITKYRCSEQRPLLEEFKDCCCKDDRQSVFPHWR >RHN62448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43491379:43493590:1 gene:gene25027 transcript:rna25027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleophile aminohydrolase MSHSTYQCPFSKDITKSKRLLILLIALLILVHFFEKIYTLLGEDKRKKVVTLEENLNLSTETKMLGIFTKKLVNPPQELNSPAPLNSSNKSKLPHEILHDFTSFNPSNAFSLNFGNDAILAYSPSNNTSKHHGLFCGLDNIYCAFMGSLNNLSKLIKQYGLSKGTNEANFIIEAYRTLRDRGPFPADQVLKELDGSFGFIIYDDKDGTVFVASDRNGQIDLFWGIAADGSVVISENLELIKASCSKSFAPFPTGCMFHSEHGLTSYEHPSKKLKAVATIDSEGAMCGANFCVDSQTRKPPMMPRNGSEANLCTWGTQA >RHN56704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33020028:33020585:-1 gene:gene32142 transcript:rna32142 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPVLSHPPSRQQPTTDSSLRHQHRPRHRHHHHHHHHPPTFFLDPSLTDLHHCHHRLSLQPPPPELSLTTTVVINSGQLFNFRSDMDQRRDIITTTRLRYDEGETDTRVDGGDENNLTMTKSEGRGERASAERVVVAVAMAVITRTVRERKQTQKRKEEETGRRGLWCIYNTAPALRVKYPNYP >RHN44314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3464198:3471931:1 gene:gene38417 transcript:rna38417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ent-copalyl diphosphate synthase MAFRLLRLHGHHVSANVFKNFERNGEFFCFAGERTQSVTPMYSLYKATQVMFPGEKILEQAKHFSANFLREKSEANELIDKWVIMKNLPGEIAYALDVPWYANLSRVETRFYIDQYGGESDVWISKTLYRMLNVSNNNYLELAKLDYNNCQTQHLKEWSMIQKWYSESRLGEFGLSKRELLLAYFLAAANIFEPERSHERLAWAKTTALLETITSYVSDADLKKDFVKKFSDYINRQDYSIGRRLNKNKTGDELVETLVATIDQISGDIFVSYGHEIGYDMHQCWKKWLSSWQSEGDKCEGEAELLVQIINLSAGHLISEDQICNPQYKHLLQLTNSICHKLHCYQKDKVKSSSSNTHEKITNSETESKMQELVELVFQKSPNDIDFNIKNTFFTVARSFYYAAFCDSKTINFHIAKVLFDKVL >RHN48280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46278003:46279557:1 gene:gene42981 transcript:rna42981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSSSSHKKRRLRKSSKVDRISDLPDSILCHILYFLPTKLAATTSVLSKRWKRLWLSVLSLDFDPSGFKTSDLFLHVVYSTMYRREITLPIHSFRFKSRSCRIQKDVNQFVYHVLQRGIQNLYLNLSKSCRYLIKLPATIFSCRTLKVLRLRNLTVGDISQVDLYLPSLQTLHLHKVDFGSHEQLMKLLLSCPILEDLETTKSCFMFGLSNRFLVDFITLPNIIKARISEFFVPLSMVCKAENLRIEKVWMFTYCMQLPMFESLIHLELSLNFKIWYPRWEWLLGMLKHSPKLQNLTIQDNKAIEEAIDECWKDPPIVPECLSSQLKTCHIRVYKGTKYDLEFTKYIMENSKVLETMTINSTRSLDMNVKYQLLMKLSSYTRGSTTCKLLFD >RHN51727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23613399:23615694:-1 gene:gene36236 transcript:rna36236 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVPLIKIDTNESYVLKERTMTIPLNKLDVQVEATVDFGSLERNIMDIKGYFAAQHMLDYFTMINRPSYENLVKDFWVKAEVFYRRNTKEEEVRAVKENPRLKGKSREEMGLRPFNGTKIRSTVMGMEIPITVDTIARACRCSNDGQYQKGGGTGSPSLDHKLVLCFIATYEKINLPKYILHHICWALRESQRIGRRQIPFGRLLSEIFVQGKLLKYLKESGVSSDEELGTVNTGEIIRYASIPDKIGGAPLKVKGKRSKNAEKDDVSAPKPKRAKTAKAEGSTASASDEVIQKKRKKEPEVRDAAREAALRETVIQKKRSRETKVRDAAREAALREEDEPQMKKRKTPLFTPMVEITSEQEQRAKEVVIEELAKRNELAELYRQQRDEKLKAAGLLEVDSQSVEKASEVQTVLLQEVVPRREGTSEAHASESEATISDSPKGIPSSSHSVDYVHVESDSTPSISSDSTTSSFDLDDITLSQKYNIHSKNPSSSSKTNKEPESSIYEHINELASQRLKATEHLPPDHPLNRDSVFFKPLSVVLPETNPEPEKASEVASTEVSSEQPQHQQPSSPSNLSSLEKHLGGEMCLTPQKASETLPEQTVSENQQSEPSSFNLSEQFVPELSVSEQTVSEQPTLETQS >RHN46351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30922012:30924495:1 gene:gene40833 transcript:rna40833 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYLTKEPHISVNLFIDEEKNKVLFVQAQKDFMDVLLSFLTFPMGTIARLVSKNSNIQKVRVGSISSLYDSVVNLEEKQFWTPVCKEMLLRPRNTMEEYCEHIKLNIDDTEKMKYFVCEDWNCSRKSGALLSTFRNQKCRCGKPMNREIFHESSSTDRNVDFDGFVPETASFIISDDLSVKPDTCHGTIFKPLNLPESRDLDAIKQVTINVTQRDTGLAQVLLIFNDTFNRCILEKEFGN >RHN60590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28521027:28524963:-1 gene:gene22922 transcript:rna22922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronate decarboxylase MASPSSTRIDLDGNPIKPLTICMIGAGGFIGSHLCEKLMSETSHKVLALDVYNDKIKHLLEPESLPWNGRIHFHRLNIKNDSRLEGLIKMADLVINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSETNKRLIHFSTCEVYGKTIGSYLPKDSPLRQDPAYYMLKEDVSPCIFGSIEKQRWSYACAKQLIERLVYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRGEPLKLVDGGESQRTFVYIKDAIEAVLLMIENPARANGHIFNVGNPNNEVTVRQLAEMMIQVYSKVSGTQPPETPTIDVSSKEFYGEGYDDSDKRIPDMTIINKQLEWNPKTSLWDLLESTLTYQHRTYAEAIKKVIAQPIAS >RHN74834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37021054:37023423:1 gene:gene10959 transcript:rna10959 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MGTQHWFFSNVVYVLSISILLVMSKLCLCDIQYIGTISPGMEGSQTNFIDKDFKFLLSKNRVFAFGFVAAVNDTTKFLLAIVHTASSTVLWAANRALPVSNYDSFVFDKNGNAFLEKDGIVIWSTNTISKAMELQDNGNLVLLGNDDNDTVIWQSFSYPTDTLMPSQEFKEGMKLTSEPSPNNLTYVLEIKSGNVILSAGYKTPQTYWTMQKDNRKIIDKVGHVVAFANLSDNSWRFYDNNKSLLWQFIFSADGGINGSWIAVLGRDGVITFSNLNGGGSNGDSSTRIPQDYCGTPEPCDPYNICANKRTCSCPHVLLPSCKPGFVSPCDDDKSKKSIEFLKADDGLGYFALDFLHPYLNTDLAGCQTSCRRNCSCLAMFYHTSSGNCFLLDSIGSFQKSDDADSGYVSYIKVSSDGSGSSNKDIIVVVVVVILSTLLVLLFAGVLYCRKKKKFAPENSEEDNFLENLTGMPIRFRYKDLEVATNNFFVKLGQGGFGSVYKGVLPDGSELAVKKLEGIGQGKKEFRAEVSIIGSIHHLNLVKLKGFCADGTHRLLVYEYMANNSLDKWIFKKKKSAFLLDWDTRFNIALGTAKGLAYLHQECDSKIVHCDIKPENVLLDDHFIAKVSDFGLAKLMNREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMMLLEIIGGRKNYDPNETSEKSNFPRFAFKMMEEGKVRDIIDSEMKIDDEFDDRVHCAISVALWCIQEDMSMRPSMTEVVQMLEGLCTVPKPPKSSNEGDTSSDAYLSAVSLSGPR >RHN53071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41731572:41733059:-1 gene:gene37822 transcript:rna37822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSNQVHLFNYSVKKHAFNLIYRTVSLLLNLILPQHSNKSHSSPSSLVCFSFLLFIFCGLIQIPPNFNSLFCFVFYLALPLHRNSFRLILMENKKKSATNEKVSKYIPHDIAFSILHKLPLKSLKRFGCVRKSWSLLLQDTRFMNMFRNNFISNSHRYHDGAPIMLRVYDAFQSGHDVLYSFSGHRFENKIKLDCPNLFEEELNNFKIFGLGSSDGTLCLHGLLGLDSCKITLWNPITDLFNPIPPSPVESSLPDAAKVIFTVVSSLHGFGYDRGTCDYKVIRRVHLQCDANLEYVPSNDILGDSPLDQYPIWEIYSLRSNSWKKLDFDMPGYVSCIEGIQAYMDGFCHWLCVHRCRMIPCLVSFDLSNDVFFKTPIPSYVDDCFDVKAKWINLAVLNGFIALLSYNEKTTTFHISILNEHGVKESWTKLFVIGSMSCVKYPIGMGTKGEIFFIRKDKKLAWFNLSTQTIEELAYKPMWYSCRIIIYNKSIFPIEE >RHN64452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59200055:59204387:1 gene:gene27271 transcript:rna27271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MKMMSKTLLLTYFYLFIYILLSSGVILYNKWVLSPKYFNFPLPITLTMIHMAFSGAVAFFLVRVFKVVSPVKMTFEIYATCVIPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFLVAVLCGIDKARCDVFLNMLLVSVGVVVSSYGEIHFNIVGTLYQVTGIFAEAFRLVLTQVLLQKKGLSLNPITSLYYIAPCSFVFLFVPWYLLEKPMMEVSQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESTITGLNIIGYGIALCGVVMYNYIKVRDVRALQLTAESIPDRITKDWKFEKKSSDIYVPDNVDNDEGSSGGNGSASDMNIDEEAPLISSSWLSHIGRTQLTSHATGK >RHN80461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38285944:38287901:1 gene:gene4392 transcript:rna4392 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIF6 MATRLQYENSCEIGAFSKLTNAYCLTAIGGSANFYSAFEAELADIIPVVKTSIASTRLVGLLSVGNKNGLLLPHTTTDQELQHLRNSLPDHVLVQRIEEKLSALGNCIACNDHVALAHADLDKETEELIADVLGVEVFRQTVGGNILSGSYCALSNRGGLVHPHTSVEDLDELSTLLQIPLVAGTVNRGSEVIASGMVVNDWTAFCGSDTTATELSVIDTVFKLRPSLNNELIYISYYK >RHN38531.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:862607:870413:-1 gene:gene50651 transcript:rna50651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoenolpyruvate carboxylase MLHSFFLLLFFHLLPHTLFSYSSSSCSNSVPYRSINFCVRVNMTDTTDDIAEEMSFQGFDDDCKLLGNLLKDVLQREVGIDFVEKLAKIRILAQSACNMRQGGIEEMAELLEKQLASELSKMNLEEAQTLARAFSHYLTMMSIAETHHKVRKGVNMANFAKSCDDVFRQLLQDGISQDDLYNTVCKQAVEIVLTAHPTQINRRTLQYKHIKIAHLLDYNDRPDLGPEDREMVIEDLVREITSIWQTDELRRQKPTPVDEARAGLNIVEQSLWKAVPHYLRRVSNALKKHTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVRYVSNSDMVIVTVTKDVSLLSRWMATDLYIREVDSLRFELSMKRCSDKLSRLAHEILEDTNNETKREDWNQSDNRSPSKNPNQTVSLLPSKLPSRAHLPSCAGTHDFAQNFCLVIHLHLMFLMAFIFSFEYVRSFVVNGESDHPRLDIPGADYNQVNNKDADGKSSTSTVSNAGSSNSHASPTSAASIPRSPSSNSNQQLLAQRKLFAESQIGRSSFQKLLEPKLPHLPGIAPYRIVLGNVKDKLQRTRRRLELLLEDCPCELDPSDYYETTEQLLEPLLLCYESMQSCGAGAGVLADGRLADLIRRVSTFGMVLMKLDLRQESARHAETLDAITKYLDMGTYSEWNEEKKLDFLTKELKGKRPLVPPSIEVAPDVKEVLDTFRVSAEIGSDALGAYVISMASNASDVLAVELLQKDARLTVCGELGRECPAGTLRVVPLFETVKDLRGAGSVIRKLLSIDWYRQHIIKNHNGQQEVIYNNGSFIYVMVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACKEYGIKVTLFHGRGGSVGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQTSVRQLEIYTTAVLLATLRPPLPPREEKWRNLMEDISKISCQCYRSTVYENPEFLTYFNEATPQAELGFLNIGSRPTRRKATTGIGHLRAIPWIFAWTQTRFVLPAWLGVGAGLKGACEKGQTDELKAMYKEWPFFQSIIDLIEMVLGKADISIAKQYDEALVSEKRQELGCQLRNELITTEKFVTVICGHDKLLQNNRALRKLIENRLPFLNPLNMLQVEILKRLRRDDDKLKARDVLLITINGIAAGMRNTG >RHN81294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44621256:44627965:-1 gene:gene5310 transcript:rna5310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MESPESSYVSSPEGPRKHASSPPPDSPSQDSVEKPTYVRFLVSNSAAGSVIGKGGSTITDFQSQSGARIQLSRNNEFFPGTTDRIIMVSGAINEVLRAVELILSKLLSELHSEDDNDVEPKTKVRLIVPNGSCGGIIGKGGATIRSFIEESQAGIKISPQDNSYYGQNDRIVTVTGTLDEQMRGIDLIVSKLAEDPHYSHSMSSPFTYSGAYVSGYQGVPYTYVLPSVAPPAYNGVNYRPNGTGAKFQNSKEDRSNSMTIGVADEHIGLVVGRGGRNISDISQTSGAKIKISDRGDYISGTTDRKVTITGSQRAIRTAESMILQKVAYATERVE >RHN75191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40061601:40062329:-1 gene:gene11360 transcript:rna11360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MERDMLPWFKPQIEEEWYMNNIPPCTENLIPNLQNHIQQQNIVGSSDPNTFVFEHHLGSSFSLPSPKSNFSDLLNINENINITDTTTTNNPFGNVFNLEPQGVVQPPLSIAKLTSNYDSNESVGVGKMGNHGKNKGNNYNSNNNVGGSNNNIIYNNDDENCVVEGVKKEKKKKTPSKSLIAEKKRRQKLSDNMHKLRSVVPKITKCLSVLFVAIMRVNYERCFI >RHN64402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58776621:58777547:-1 gene:gene27218 transcript:rna27218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain, Cell wall/vacuolar inhibitor of fructosidase MTNFKPLTLCLFLQAIVIIISLPTIQSRSTNFPSKDEKLSLIENTCKKTPNYNVCFQSLKAYSGTSAGDVTGLAQIMVKVMKAKANDGLNKIHQLQRLGNGARKALSSCGDKYRAILIADIPQAIEALEKGDPKFAEDGANDAANEATYCESEFNGKSPLTKQNNAMHDVSAVTSSIVRQLL >RHN73167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14017058:14018699:-1 gene:gene8954 transcript:rna8954 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRPLQMEENHDHQVDKDELKRSTKGMPMEMSLYVLLVKSNCLDFVWLTPKTAIHTIKSAIQTQFQGSYHCWSETPVEERDRWWQLFQDKVSWDPCHHELVYNAFKKRCTNYLIHMKARKKGVSPGWIDEQAWLILLPIGKVHTSKGSTSQSLISLRHRRCYAKKHVPYAPIDKRYLAAHEWNDKSVDCPSKRTYDTGSEKQETDEVMWLQIMLILKSLLWKSLGTGLTTLLTCLLTFTMVYRCRLWSPRHLVTLSGLNSMRSLDFMKTQPQLYKTVLEVLERAKKAQQRVDQFYEQMIDMVEQLKQRMTSQKRQSGCIVLLVVRVHHRKSVNILTMIVVAKTIIDALWLSSLNLYLLNQPFIFLEMLLCNCWICILCQTSVFELCN >RHN79788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32208541:32217643:1 gene:gene3625 transcript:rna3625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleolar protein MAKSSKRSIANGTNNNTTTSKKKKNNKMGPEAVAMKAKAQKTNSNPFESIWSRRKFDVMGQKRKGDTKRMGLARSLAIEKRKKTLLKEYEQSTKSSEFIDRRIGENDEGLDDFGKAILRSQRERQLNVKSSKKSKYHLSEEDDDEFEGIDGLGRDDFEDEMLGEDDDETDDISDLGRRHNAHGMHSPGEAGLADGGENRHKTKKEVMNEVIAKSKFYKAEKAKEKEKDEDLLEGLDKDFTSLAHSEALLALTEPNKMKALKALVNSSISNEKSDKDSLSAKRTMNNSVQEKPDEYDQLVRQMGFEMRARPSDRLKTTEEIAQEERERLEELEKKRQKRMAAAEDSSEEDDEESEKPSKEKPRSLSGDDLGDSFSVDEETMTKKGWVDEILERRDEEEGSSSEDDDGEDSDDSERSEDSGEGSEGDLDENDKNTTLKDWEQSDDDDISAGSEGEDDDISAGSEDEDDDEDEESAAEEVDKVKGLNVGIHKKAKRNDSVESVKGDNGSSDTKKIVIGGKMSKELEIPYIIEAPKTFEELCSLVDKRSNSDTILIINRIRKSNPITLAAENRKKVQVFYGVLLQYFAVLANKKMLNVELINMLVKPLIEMSTEIPYFAAICARRRIEITRKQFVESIKNAESSSWPSSKTLCLLRLWSMVFPCSDFRHPVMTPVILLMCEYLMRCPITSGRDIAIGSFLCSMLLSVFKQSRKFCPEPIVFIQTLLLATTESKHISCENSQLYHLMELKDLKPLLRINEEVDKISTLNFFKLINMPEDSPFFTTDSFRASVLVTSVETLQGYINAYDNLSSFPEIFLPILRLLLEIAEQKNMPNALRDKIKDVAELIKLKVDEHHTLRRPLQMRKQKLVPIKLLNPKFEENYVKGRDYDPDRVRAERKKLKREVRREAKGAAREIRKDNYFLLDVKEKERSLMEKTRAEKYGRTKAFLQEQEHAFKSGQLGKGKKRSR >RHN44427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4525223:4525696:1 gene:gene38546 transcript:rna38546 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFDLLFGLEPLACCSVTDSACCCCAAYRTNAAEFLEENLEIPSYPEILFPIKLQLWAKAAEKGRPDGGKGRKGRMYGLGPLADNVVHGDLFYVPPPPESSSRSTEPPLEMQAMIQ >RHN82610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55172116:55173581:1 gene:gene6785 transcript:rna6785 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVEAIHHKLTFYVTSCIKVALDFVSPEHIGECFRLTEEFRKLPINHRSAADKFEVKKIAVHAMLDVVEKLEKARLKGSIFVLTVALETLYADLHWCTSEYRSLYVPMVLTIRLIQVWADANVNVYIKRRL >RHN56958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35263912:35265624:-1 gene:gene32430 transcript:rna32430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MRKPCCDKENINKGAWSKQEDQKLIDYIQVHGEGCWGSIPKAAGLHRCGKSCRLRWLNYLRPDIKRGIFAQDEEDLIIKLHALLGNRWALIAGRLPGRTDNEVKNYWNSHIRRKLIKMGIDPNNHKLHKGFPTVGTSSCVESMNKENNKLSIKSCDAPINNDVSFTKKDTTSIINSSSSLNLDLTIALPSPNRIVIPNCESPKTRDMDIDLNC >RHN79567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30183859:30184866:1 gene:gene3379 transcript:rna3379 gene_biotype:protein_coding transcript_biotype:protein_coding MESETKLVNQNKNMDVLDILKKTVTIYFKNINFIIFTFLTSLPYFFLMIYFETLFQQTLLLSPQIISSLPLFEKIYMFGNDTLSYIGEPSFVNDYLPLLIQLGFIYTVPLHVLEFFSAVITIGLASKLSSEENHNDTSLMSIKHMFQNSNDISIMKRTFTTSLYMLALSFGLLIACPWTVIGTCYGLYSAFGCYIFFATISCVAIGKLLMVYLEWSAIWNMSIATSVLDGIYGIRALRVSYFYSSGNQKRGLVLMLVFFVYGVFLRLICIYFGCYKGGSGIFLLIGIVGILTVVNILKWVSCVIYFNDCKERKMEMKVDDEEIGKVQLQSNSSKT >RHN79047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21932828:21940431:1 gene:gene2739 transcript:rna2739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative structural maintenance of chromosomes protein MPILFLAEKTFSPMVDAQCAHSKSEIEELKRDIANSNKQKPLFSKALAKKEKSLEDVQNQIEQLKGSIATKKAEMGTELIDHLTPEEKKLLSDLNPEIKDLKEKLVACKTDRIESEARKAELETNLTTNLRRRKQELEAVISSDDDESMVADANSKREELNDAKVLVDDTLDQLKRVSDSINNRNKQIAKIKDEINKLKSMEEEYNRRHQEEAKELEQLLGRKSEFSAKEEKYTKKIKELGPLTSDAFESFKRRSIKDLQKMLHRCNDELQQFSHVNKKALDQYINFTEQREELQKRQAELDAGDEKIRELISSLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMKKKDGDHDDDDQDEDGPREPNPEGRVEKYIGVKVKVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADIANTQFITTTFRPELVKVADKIYGVTHKNRVSRVNVISENDALEFINQDQTQNAE >RHN42007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34726246:34733812:1 gene:gene48391 transcript:rna48391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MGSNSGEEAMQGVAGGEERILVSVRVRPLNEKELWKNDLSEWECINDTTIMYRSNLSASERSLYPTTYSFDRVFRTDCPTRQVYEEAAKEVALSVLNGINSSIFAYGQTSSGKTYTMSGITEYAVADIFNYIEKHKEREFVLKFSAMEIYNESVRDLLSNDTTPLRLLDDPEKGTVVEKLTEETLRDWNHFTELISFCETQRQIGETSLNEVSSRSHQILRMTIESSAREFLGNYKSSALAASVNFVDLAGSERASQTNSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHIPFRDSKLTRILQSSIGGNARTAIICTMSPARSYVEQTRNTLLFASCAKEVSTNAKVNVVVSDKSLVKQLQRELARLESELKKSGSTQRKPDSAELLREKDLQIEMLKKEIMDLAMQRDLAHSQIKDMLQVVGDDMSSCDLESFGSQYPKLRVRSSMDFEDQTEEPILLNFDGVESVRSFDASQYSDGHSVSSEENYFQLPNLEKSLPIRNSFQAVLVVSHDAVANHVDQKIGQEQPGDKLGDSCKEIRCVESKDQFTNTDTHSSPAALSPNKDTDSNASSPGENMAISELTEVDNIDKENQDLCSSPGTNTVVSGLTEVDNIDKENQDLCSSPGTNTVVSGLTEVENIDKENQDLCSSPGTNTAVSGLTEVENIDKENQDWCSSLGENTVVSGLTEVDNIDKENENVCSSLGANTAVSGLTEVEKIDKENKDWCSSPGENTVVSGLTEVENIDKENQELCLSPGENTAVSGLTEVDNIDKENQDLCSYELKENKKLNSLHQGFVLPSSEKISPLLVEKRVSSSRILKLTRSRSCKASLMKDSSSDCFDQDEIIQNTPPIGIQKDYIGRPQGFEKRTYTLNYNPNNERLSWGGYGNCVRCSTADIQNVKSSFEMEIDDDSDLSPVRREKKERGSSNLLANHEETGRESTVSSKKFKDVGLNTLQYDEDKHLVWSSEFKLLQKEIVELWHSCDVSLVHRTYFFLLFKGEPSDSIYMEVELRRLSYLKDNQILEDGRTLTPESR >RHN71588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1188204:1189428:-1 gene:gene7202 transcript:rna7202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MSSIFPLMVLLLLVANAVTVVNSIPNREFDSMLNTLRSRGYHLFCNAILTSDLRIDLLDPNSNATNSFTFFAPTDSSLFALDMTQTASSYTDTLRYHIIPRRLTLSELRLLPNGYTLPTMLSTRRISFTRRSGSSSVTTVGGVEVAFPGLFYGRHVTVHGLAGILNVRSVDFTSPAPAPVNPIHSPDHRHFTPRRIPHSPEKQNQTVLDPVPRSVSFNVTGRQGGGSSHAVEAPVKPPAPEPAQSPEIGRIHVHSSVNFGTAPSAAPVLSPQHSDSGISFPPEGYSEAEAPAPAPVGLEAVVQKKKKNRRVSLMEMSEKSEALDGVRKCESVAVGLKEHISDIDGVGHMQCYAA >RHN53006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41087389:41091145:1 gene:gene37749 transcript:rna37749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, toll-like receptor MASSSNSSLALVTSSKKNYYDVFVTYRGEDTRNNLTDFLFDALESKGIMVFRDDINLQKGEYIGSELLRAIERSHVYVAVFSRNYASSTWCLQELEKICECIEGLEKHVLPIFYDVDPSEVRKQSGIYWEAFVKHEQRFQQDFQMVSRWREALKQVGSISGWDLRDKPQAAEIKKIVQKIINILECKSLSVSKDLVGIDSPIKALQNHLLLDSVDGVRAIGICGMGGIGKTTLATALYDQISHRFSASCFIDDVSKIYRLHDGPLEAQKQILFQTLGIEHHQICNRNMATDLIRRRLRREKALLILDNVDQVEQFEKIGVRREWLGAGSIVIIISRDEHILKVYGVDVVYKVPLLNQTESCKLFCRKAFKLEKTIMSNYRKLASDVLRYANGLPLAITILSSFLFGRNVTEWNGALARLREGPDNDVMDVLSLSFDVLKESEKEIFLDIACFFNGYHEKYAKNILNCCGFHADIGLRVLIDKSLISIDYSMRIEMHSLLEELGKKIVQENSSKEQRKWSRLWSKKQIYNVVMENMEKHVEAIVLEYEDYDEEEVEYLSKMSNLRLLIIKCGWHILESPSCLSKELRYVDWHMYPFMCLPSNFQPNQLVELFLKKSNIRQLWKNKKYLPNLRRLDLSDSRKLEKIIDFGEFPNLEWLNLEGCVNLVELDLSIGLLRKLVYLNVKDCENLVSIPNNIFSLSSLQDLNLGGCSKVFNKYSLPSLHSLYCLRSIDISYCRLSHVPDSIECLHSLERLNLGGNYFVTLPSLRKLSKLVYLNLEHCKLLESLPQLPSPTTIGRERDENDDVWISGLVIFNCSKLGERERCRSMTFSWMIQFIQANLQSYPTYFDRTQIVTPGSEIPSWINNQSVGYSIQIDESPIMHDNNNNIIGFVCCAVFTMAPRRRRVPSSTIMELVLPKNSYMNFWRISVPVILDGGLITTKSSHLWIIYFPRDSYNEFGRIYFDIFEREGLGMKVKSCGYRWVCKQDLQ >RHN59559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11950981:11952484:1 gene:gene21603 transcript:rna21603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoflavone 4'-O-methyltransferase MDDEDIFWVLHDWDDELSLRILKNCKEAISGKGKKGKIIIIDVSIDETSDDHELTELQLHFDMVMMTLHNGKEREKKEWKKLIYDAGFSSYKITPICGFKSLIEVYP >RHN53474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1887088:1892095:-1 gene:gene28383 transcript:rna28383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MRTSFLPKNLSLSKQLQKCIPNSWKQKPTKPFHKPLISSNNSPSSSLSSSFSMVGVLIASLKDFITYNHLSNAFKTFIHIQHHHASSFDIIFQPIKHLLLGCTNLKSLSQGKQIHAHIISLGIHQNPILVSKLINFYASVDLLADAQIVAECSNSFDPLHWNMVISLYVKNCLFEDAISVYRRMLSKGVIPDDYTYPSVLKACGELLDYDSGVAVHKAIQESSIKWSLFVHNALVFMYGRFGKLEVARELFDIMPARDDVSWNTMISCYASRGLWDEAFRLFGCMREAGIERNIIIWNTIAGGCLHTGNFKGALKLFSQMRAVIQLDSVAMVVGLNACSHIGAVKLGKEIHGHAVRTCFDVFDNVKNTLITMYSRCRDLNHAYLLFRKIDEKGLITWNAMLSGFAHMDRSEEVSFLLREMLREGVEPNYVTIASILPLCARIANLQHGKEFHCYMVKREEQFKGYLLLWNSLVEMYSRSGKVLEARKVFDSLSRKDEVTYTSMIMGYGVSGDGETALKLFAEMRRLNIKPDHVTMVAVLIACSHSGLVAQGQVLFRKMIEVYGIDPRVEHYSCMVDLFGRAGLLDKAKEVITGMSCKPTSAIWATLIGACKIHGNTVIGEWAAGKLLEMKPDHSGYYLLIANMYAAANRLDKEAEARTYMRDSGAKRTPGCAWVDVGRELCPFLAGDTSNPRSCEISPMMKRLNILMKDAGYAPSEGVVSSEEDFEELSMIGNELIKDAGYVPSEGVVSSEEDFEELSMIGNVS >RHN73186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14181817:14183184:1 gene:gene8974 transcript:rna8974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA synthase MELNSLYSSFEMLAKLMSTNVSSNHFFAVLLVVGLATLFFACRRKVHIYLIDFNCFCPPSSYRLPKAMFEENNFFDDMEQEAIDFQSKISAKSGFSDETSIPPCLAQIPKSKALTFALEEAELIMYSAIKDLLQKTKINPKSIDIVITISSIFCPTPSLSSMVVNKFKMRSNIMSFHLSGMGCSAGIIAVGLAKDLLRVHRNSLALIVSTETLSLNWYTGKVPSMLLTNCLFRMGGSAILMSSRVQDKHKAKYELKHIARTINAYDDQSYACVYQDVDPDNKLGVSISKNIVNVCGDVLKKNIASLGPLVLPWREQFLYVVSIIYHKLWSRRRRTSIYTPKFNRAFEHFCIHSGGRAVIQAIEKNLKLRREDVEPSKMTLYRFGNTSSSSIWYELSYIEAKGRMKCGDRVWQIAFGSGFKCNSAVWKCLGDVKPDTTTAWRDTIHSYPVDILGTN >RHN61828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38668903:38670223:-1 gene:gene24328 transcript:rna24328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative actinidain MNACSHSHLHCKLAMMTSTILTTTIFILLMLCNTCVIASESECPPTHKQKSSDVEAMKKRFDGWVKRHGRKYKHNDEREVRFGIYQANVQYIQCKNAQKNSYNLTDNKFADLTNEEFQSTYMGLSTRLRSHNTGFRYDEHGDLPESKDWRKEGAVTEIMDQGQCGGCWAFAAVAAVEGINKIKSGKLISLSEQELIDCDVKSGNQGCQGGLMETAYTFIIENGGLTTEQDYPYEGVDGTCKMEKAAHYAASISGYEEVPADNEAKLKAAAAHQPVSVAIDAGGYSFQFYSEGVFSGICGKQLNHGVTVVGYGKETINKYWIVKNSWGADWGESGYIRMKRDTLSKEGMCGIAMQASYPLV >RHN39031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3643530:3644634:-1 gene:gene45017 transcript:rna45017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MISSTSKLCTVFMFICLVVLLISTSEAGDKICKVMHHTKSEFGCVKSACNEVCINIEHATYGYCVPPIPYFSFCFCYLKC >RHN58053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43380439:43385424:-1 gene:gene33659 transcript:rna33659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L14P MAATFASRCSRVGRSLFGGLSNSSPGLFTTSHEMTCNSYFSQQQRTFIQMRTVLKVVDNSGAKKVMCIRALAGKKGARLGDMIQASVKEAHPNGKVKKGAVVFAVVVRAAMPKGRCDGSEVKFDDNAVVLVDKQGQPIGTRVFGPVPHELRQKKHVKILTLAGHIA >RHN40355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15552208:15555574:-1 gene:gene46502 transcript:rna46502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S19e MASSKTVKDVSPREFVNAYSAHLKRSGKLELPEWTDLVKTAKFKELAPYDPDWYYVRAASMARKIYVRGGLGVGSFQRIYGGSQRNGSRPPHFCKSSGAIARHILQQLENMNLIEMDTKGGRKITSSGRRDLDQVAGRILVAP >RHN63910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54991506:54991979:1 gene:gene26666 transcript:rna26666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome-b5 reductase MRYTPISDPEIKGYFDLLIKVYPKGRMTQHFASLKSGDVVQMTG >RHN64197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57199581:57199877:-1 gene:gene26984 transcript:rna26984 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEMKESRMKVLANPNCSVSVSVATCNQHDIFQYREETVARTGLTTAILRIFLENQPEVLSSNVFVNDGKLTMSVTALVKNEKGASVEKIKSEIISL >RHN73771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19799973:19800670:1 gene:gene9633 transcript:rna9633 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTPCHLDKIWFTQFDFFWIPTLFKPGSLIPVSLVQLVGILHIICRSWGSNPRHSTI >RHN49869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1835062:1835343:1 gene:gene34094 transcript:rna34094 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLAAKSRSSNAKVVGSIISIAGAFVMTFYKGPSIMNSSSLHQPAGFLKSVDSSWAIAGILLIFDYFLSSLWYILLVGRVGLVLCKMRDIIH >RHN42835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41364382:41369601:-1 gene:gene49328 transcript:rna49328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Actin family MDPAASRPAVVIDNGSGYTKMGFAGNVEPCFIVPSVVAINESFLNQSRSSSKGNWIAQHNAGVMADLDFFIGDDALSKSRSSSTYNLTYPIKHGQVENWDAMERFWQQCIFNYLRCDPEDHYFLLTESPLTAPESREYTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDIGDGATHVVPVADGYVIGSSIKSVPIAGKDVTLFVQQLMRERGENIPPEDSFEVARKVKEMHCYTCSDIVKEYNKHDKEPAKYIKQWRGVKPKTGAPYSCDIGYERFLGPEVFFNPEIYSSDFTTPLPVVIDKCIQSAPIDTRRSLYKNIVLSGGSTMFKDFHRRLQRDIKKIVDARVLAAEARLNGEIKSHPVEVNVLSHPIQRFAVWFGGSVLASTPEFFTACHTKAEYEEYGASICRTNPVFKGMY >RHN38606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:677729:679661:1 gene:gene44563 transcript:rna44563 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPTFVKKKQSPPLHIPIQLSEHCSVFSAYQLNRFLDMMKLKRNED >RHN43346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45064491:45065819:-1 gene:gene49904 transcript:rna49904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribose-5-phosphate isomerase MIVCLSFFSLSATRSHSTPPTHSAHSASPLPLSPYNIPIKSVSIFPQLFMAEPNKHIKIITGSGTDHYSISLKDTLVSYLRSINIQVEDLGTSSYYSAAAEVGRRVSQSTPSSSPEVRGLVACGTGAGVSIFANKFPGVFAVTCLTPADAVNARSINNSNVLAVSGKYTSAETAVEIVDAWLNTPFNSPCPASNNKPWPEEMERFLDKSLVEMAEIGKGDLDAANIAIDNELGGLAK >RHN54615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10786139:10786390:-1 gene:gene29683 transcript:rna29683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLKNIDMLDLSENHLSGDIPKTIGECTTLEYLQLQGNSFSGTIPSSMASLKGLQSLDLSRNQLSGSIPDVMQNISV >RHN59432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10694729:10695430:-1 gene:gene21461 transcript:rna21461 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSEQPTQSLPLPMLTPFQRMFAHPNIPPLQQQPLPLTLNIPQPSHPPPPPPQDVVDNHAPVRSRRRGPPRNGPIPTPFIWATDRRAKIHSLNHLLQNRIFNITGDVKCKSCQTKFQMSFDLVAKFDVISQYLVTNFNTMHDRAPETLMYPRLMKCVHCNQENCVKPVIAEKKKNINWLFLLLGQMLGCCKLEQLKYFCKYNSHHRTGAKNRVLYLTYLELCKQLDPSLPLI >RHN66246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10001195:10004405:1 gene:gene14191 transcript:rna14191 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLERRDGLAQRIFTKAGLDNTSVLQVTDNFIAQQPKVTGGTSGPVIGSHFGSHFVTATSSSNVRIAALAALAALAASILITFSVSPIHPTVTCISGSENYDSILNLSLLN >RHN38552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:172170:177524:1 gene:gene44505 transcript:rna44505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative STAR protein, homodimerization region MSGGGLYNPNFSSPVRAASPQIRPNSDIIDSQYLSELLAEYQKLGPFIKILPNSSRLLNQEILRVSGMLSNQGFADFDRLRHRSPSPLSSSNLTGWNNLQHERLCGTPGMTMDWQGAPASPSSYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVFIRGKGSIKDPDKEEKLRGRPGYEHLNEPLHILIEADLPANVVDMRLRQAQEIIEELLKPVDESEDFIKRQQLRELALLNSNLREESPGPSGSVSPFNSSGMKRAKTGR >RHN61429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35482569:35482829:-1 gene:gene23898 transcript:rna23898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPSIIRSKASSSKGLEVPKGYLAVYVGEKMKRFVIPVSYLNQTSFQELLNQAEEQFEYDHPMGGLTIPCREEIFLDIISHLN >RHN77249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5417985:5422692:1 gene:gene687 transcript:rna687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FERM/acyl-CoA-binding protein, 3-helical bundle MELKTFMDLYLTAIITLLVSYIVAKLVSLATTDTQTTTKHHVHHQPVGPVLQQFTVQTSQTESRVGFIKPVQVSTATNIETEHNTTDATVVSSSNVAAESPPTNSDVAVADAEVEYGSNFVEETVTKGDDSVQQRNEECVEEIMEESLTEKEDEEKSDEEWEWEGIERSEVEKMFMTATEYVGEKGYIGNCDGDLEMELYGLHKVAMEGPCCESQPMPLKLAARAKWNAWQKLGNMSPEVAMERYISLLSDKVPGWMKDTSAGMTEAEPIGSEVSESAAPDLSSALSRQPIILTERELVQESSAQEPIPHTESDLENNVKK >RHN57985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42923276:42923888:-1 gene:gene33583 transcript:rna33583 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNLFARGVIPLGNNTCVAGCGDIETIQHLFVSCPYSAAVWGNIRSSLGISSVEPYRVSEHFYQFVHSIDGSSTCRSFMQLIWLCGMKEITGLKKYREYHPSFCRESQITLFLVDEEV >RHN48281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46287092:46288405:1 gene:gene42982 transcript:rna42982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein Ist1 MVMIVKTILCSNEKQLKVSTCERLLKTTVLDAQALIQAKKKQMDAIRNEISKLVPYPLVYSALPLIRTYFHQKNLTQLLHIIIYICEVVSCNLLFMEYEREFIPEMKEAIASLCYIGAKFSELPDLQKLRSQFSKKYGEKFIASLAECGANKEVINLCMVPEPLVEERNKLLKEIATQFHIQWNPPMALKFGCDCGCDCASCGCCK >RHN58560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2801943:2802575:-1 gene:gene20482 transcript:rna20482 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIMQVCQGFVLATICEPETSLEWYFRSCTQCASLVTVVPRFKLHVIVMDDTGSTTCSRL >RHN80986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42419492:42421558:-1 gene:gene4974 transcript:rna4974 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVEWYDFVCFAIVGVSILGALWVLWTNEGSSTSQSDSNIFVESLLVANSPSSDNRVAIGHVSTSQLWTSCWRGVHPLVLLTTRLFSFVSLAMLLYLDIHEYDASIFYYYTEWTFTLVMIYFALGTTVSAYGCWKVLNKPPPLQNGEMTEFLRRDLETKGSIFTFQSRYAEEEFEQTAGFWGYLMQITFQTSAGSIILTDIVFWCVIVPFLSISRFKLNMLMGCMHTLNAIFLLLDTMLNNLPFPWFRIAYFVLWSCSYVIFQWVIHACGSTWWPYPFLELNIPWAPLWYICMALVHIPCYGIYSLIVKTKINILHRFFPRAFVRSY >RHN63495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51762503:51765976:1 gene:gene26197 transcript:rna26197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKQIYSYWLCNFLLLLTILNTSFVATLSNDADATDTNLLLRIKSELLDPLGAMRNWSPTTHVCNWNGITCDVNQKHVIGLNLYDSGISGSISVELSNLISLQILDLSSNSLNGSIPSELGKLQNLRTLQLYSNYLSGNIPKEIGNLNKLQVLRIGDNFLTGGIPPSIINLKELTVLGVGYCHLNGTIPVGIGKLKNLTSLDLQMNSFSGHIPEEIQGCENLQNFAASNNMLEGNIPSSIGSLKSLKIINLANNTLSGPIPSSLSYLSNLTYLNFLGNKLNGEIPYELNSLIQLQKLDLSGNNFSGSIPLLNSKLKSLETLVLSDNALTGTIPRSFCFKGSKLQQLFLARNILSGKFPLELLSCSSIQQLDLSGNSFESEIPSTIDKLQNLTDLVLNNNTFVGSLPREIGNISTLEGLFLFGNSLKGEIPVEIGKLKNLNTIYLYDNQMSGFIPRELTNCTSLREIDFFGNHFTGHIPETIGKLKNLVLLHLRQNDFHGPIPPSLGYCKSLQILALADNKLSGSIPHTFSYLSELFKITLYNNSFEGPIPHSLSSLKNLKIINFSHNKFSGSFFPLTASNSLTLLDLTNNSFSGSIPSNLANSSNLRRLRLAYNNLTGTIPSEFGQLNDLDFFDLSHNSLTGEVPPQFSNSRKIEHILLSNNRLSGEIPPWLGDFQQLGELDLSYNNFSGKVPAEIGNCSNLLKLSLHHNNLSGEIPQEIGNLISLNVFNIQSNSLSGLIPSTIHQCKKLYELRLSQNFLTGTIPIELGGLDELQVILDLSKNLFSGEIPSSLGNLMKLERLNLSSNQLQGKIPTSLGKLTSLHVLNLSNNHLEGQIPSTFSGFPRSSFLNNSRLCGPPLVSCSGSTSEGKMQLSNTQVAVIIVAIVFTSTMICLVMLYIMLRIWCNWRKVSISNADGGAVATKKEESRWGCQDHKTRNGEYWNMNSFGFIPSPDKNNSVTTTCFFNLKMEAMENANI >RHN80292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36926110:36926578:1 gene:gene4198 transcript:rna4198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSEHGSKGGAKDDGGNVKYRGVRCRPWGKFAAEIRDSNRQGQRIWLGTFNTAEEAARAYDRAAFNMRGSFAILNFPNEYNMFAGAGSGAGAGSGSGSGSSSSRNENAGNGRQVFEFEYLDDNLLEELLDVEEKKNKGS >RHN71311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58147710:58150173:1 gene:gene19978 transcript:rna19978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative theobromine synthase MAVEQVLHMNGGEGDTSYANNSTFQRMVMLTAKHILEESIMRLYCDTFPNCLKVADLGCSSGPNALLVASNIINTIDAVSQKLSHESPMFQFFLNDLFGNDFNTTFKLLPDFIKRLQEEKGQKFSPCFFSGTPGSFYGRLFPDNSIHFFHSSYSLHWLSKTPDALQDAAIEPLNKGNIYLTRASPPAVQKTYFEQFQQDFSLFLRSRSSELLPSGAMVLTLIGRDEQNELMNAWVVIGMALNDMAAVKLVEQSKLDSFNIPSYCPTSDEIRKVIEEEGSFDVQRLETIRTDWVKNVDVIDDEYTVVDEETRAEGVAKFIRAVAEPILKSEFGEEIMDELFIRFKNKIIKLYGVEKLEVANLVMHITKRT >RHN41917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34082836:34084777:-1 gene:gene48292 transcript:rna48292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MHRVSRKLHQFLEPNLQKTSFHYTDQLHHCKTIDRIKQTHAQIIIGGHKQDPFIAAKLIDKYSQLGGTNVEHARKVFDDLSERDVFCWNNVIKGYANMGPFAEALHVYNAMRLSGAAPNRYTYPFVLKACGAERDCLKGRIIHGNVVKCGLEFDLFVGNAFVAFYAKCKEIEASRKVFDEMLERDIVSWNSMMSGYIANGYVDEAVMLFCDMLRDDGIGFPDNATLVTVLPAFAEKADIHAGYWIHCYIVKTGMKLDPAVGCGLITLYSNCGYIRMAKAVFDQIPDRNVIVWSAIIRCYGMHGFAQEALSMFRQLVELGLHLDGIVFLSLLSACSHAGMHEEGWHLFQTMETYGVVKGEAHYACMVDLLGRAGNLEKAMELIQSMPIQPGKNVYGALLGASRIHKNIELAELAAEKLFVLDPNNAGRYVILAQMYEDEGRWKDAARLRKIIREKEIKKPIGYSSVELESGHKKFGVNDETHPLTTEIFETLVSLGRTMGKEAHTQCDAIM >RHN67245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25153566:25155305:1 gene:gene15415 transcript:rna15415 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCFAATPKNLAMAIACSLSGAVLLAVGAHISYVNVEPQRARTLARDKLVLDTLRKKYGYIPPSEVRRMARNDSNKEHN >RHN81966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50168815:50175645:-1 gene:gene6072 transcript:rna6072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TIFY family MNGGSTVSFRSILDRPLNQLTEDDISQLTREDCRRFLKDKGMRRPSWNKSQAIQQVISLKALLEPTDDDIPATVGVGVSSAIHHHHHHHPPQPPPKALDPEDTALELQKSTSPVAERPTETNDANVVNNPGGCAPSGSFGQMTIFYCGKVNVYDGVSPDKARSIMQLAAACPSSFPQDNPSNKNAAVWASPCNLPIDKEVLFPTDTAILQVAQTDKMVEYPLQYREKGSTARDAEGQASRKVSLQRYLEKRKDRGRSKGKKLTGITSSNFEMYLNLPVKLHASNGNSSRSSTDSPPQPRLPLVSSGSAENQPKVTLPIDLNDKDVQEC >RHN38822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2249455:2249643:1 gene:gene44791 transcript:rna44791 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTSFVSKFHQCFPIMFIDAISFSKFFPSSSPSFHVPLLLHFLFFLIQQFKMWHFYFFLNC >RHN55643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20554631:20556591:1 gene:gene30859 transcript:rna30859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rossmann-like alpha/beta/alpha sandwich protein MGKRGARLPGFCLNRIRPHARVRSPTIQAKHDKSDDAKIDQKITENSCSVCEEKFDDGVKQGSVVSRKIMIVIDSSFEAKGALQWALTHTVQNQDTIVLLHVMKPSNSKQATDDEASSKETDPRAYELASSFKNICNVKMPEVQIEIAVTEGKEKGPKIVEEAKRQGVALLVLGQKKRSTTWRLLMMWAGNRVTGGVVEYCIQNAHCMAIAVRRKSKKIGGYMITTKRHKDFWLLA >RHN40511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17052320:17055355:1 gene:gene46669 transcript:rna46669 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKKQENEEQLEEERKEEAKSKEKNEGSSDEENAKQRLRHKMSIPKVYDLMNSVHGKQRKEEIINLLNESGFGGLVHICNWNRVHTFFVDWIVKNFDKENMWIALSKTEVLPLKEEDVHRVYELPMAGKQINIDLCSVEAIKRLRIELGLNGNYSASVRVTDFERLLKTQENPKAWVKGAICFIIHKILCPTNSSFVSLQYAQILEDPAGVSSYNWCSHVLEYMKEGLQTPEVANPLADFHFLMAEMHLDHCRSCIRIYTTTAETLERRIAEGNVGTSVKNDAVTTETNTSKENPIEVRPEPEEYRSLRTRKRKSFIPQSSTRGGERLNNDKDVADEMDDKIRLNNEENEKDLSFTQEKILKYPEFFDDGGEASNANHNVMEAEKPEKFRDFFDGGEASNTGESVKTECEASTVKQANLMLEENPKEQKPLEPNKHFIIEAIPLRSVLTDAIIDLDNVQTVQIKKRKKHDMLYSGGTYPERRRAVKKSKYLASPYDEAVYESNASKMQKDISTFAWSISHDEEELLYCSDNKAHAFSLQRRDLWSLQKDEWVSCFVINAWVNCLNWSQPNEKMTRLVTPFINYVDLQRPDALNIMKDSLKD >RHN39875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11289269:11290396:1 gene:gene45956 transcript:rna45956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling ARID family MKCLTWLLMFYDVGKVDLYKFLTAVDGKGGFDAVCDGELWDLVGEECGLGVNVGSTLKLVFSKYKSVFESCVMKVGDAKVFDGSGLMGFEADVNEFLSGQGGNVVAGEKVKGKVDGANKVKSANFESSVEKEVHSGNVMAGEKVEGGVDGANEVKSANFESGGVKEVHDVGFLDSGMMNRGMVSDTDVGKLSEGNGDGMEVVEDFDGGKIIVGTTEDASNLVKSDESGLLNVGENHDGDIGDNSVPVLDLSGGDGTGSSHKRKREEALFDMMSWVTKTASNPCDPEIVPIPEKPKWRAYSNQEAWKRVLLFREAAFYKKKSSIEQQNWQVIL >RHN81827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49175801:49176121:-1 gene:gene5918 transcript:rna5918 gene_biotype:protein_coding transcript_biotype:protein_coding MYWKNYESSYHMVYYMEVWQSVKNGSFMLIVENERIDLDKSEDNRQMLRLRQYRMTGRHATFSSLLLDSINITVYPTINLQRRCGIPCKSMSINILTQEYELFHMK >RHN54925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13590877:13596523:-1 gene:gene30024 transcript:rna30024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MARATTTNSNNNWLSFSLSPMEMPQFVQYDQASSVTTNSSHQNHHNYFLDNLYTHNGWGNGTKAEEQEQSIWFVDSSNTVNHTPPPKLEDFLGDSQTETQDSSLTNMYDHHHYFGGDHSQHQHQQHHQDLTGFQAFSNNNSGSEVDDSGSIGKSGQAACGNEFGTTHCVESVNEYAYSTAAAAAVGANGTLSLGVAQSSEENAIVAVAADSDSSKKIVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQVYLGGYDKEEKAARSYDLAALKYWGPTATTNFPVSNYAKELEEMKNVTKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGANAVTNFEMNRYDVEAIMKSSLPVGGAAKRLKRSLESEQKASADNNIQQNNSQQSAANLTNSSSSNNINFSAIQHQQPMASIPYGIPYDSNTAYYHHNLFQHFHASTNDGAAESAANGGLNAMPPTSAEFYLWPNQSY >RHN67049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23247462:23247904:-1 gene:gene15181 transcript:rna15181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MVSPSTGENLTLREAFFIKSSIKHSTPPPPLFSIFSQTTTNSPLQVKYNGWRIQPKLQPEWNNWVTKLQPKFESLWIKTGIYHAIKASTYEIKRDDDLMLQLANRWCSKTNTFVFPWGESTITLEDIK >RHN48029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44386987:44387463:-1 gene:gene42698 transcript:rna42698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSSTSKKMITLKSDDGETFEVSEAVALKSQTIKHIIEDDCADNVIPVPNVTGKILAKVIEYCKKHVEATSSDEKVSEDDLKNWDADFAKEVDQQTLFQILLAANYLNIKNLLDLCCQTVADDIKDKKPEEIREIFSVENDFNPEEEAKVRNENAWAFE >RHN54296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8390664:8392763:-1 gene:gene29307 transcript:rna29307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase MPQALPLDFKFERYRNIREKDQHSEDFGENGSQTEICLNKSLSEYVEVFDRLFCSKCLIFCCTLHDYSDQQIIYPKEKQPIWSPEGEKGPCGVHCDLYNKDARIEGHVEGNSCVSNWKLLEKELCMKGIEMFGRNSCLISKNLLFMMKTCKEVASYMYAEVPMPHGSMSENENEHYQNIKEKDQHSEDFGENGPQTEIYLNKSLSASLEVFDRLFCSKCLVNTIKL >RHN41559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31057870:31061626:-1 gene:gene47893 transcript:rna47893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IXb family MDTTQIEKIYVAVGYDVLDGFQTLDWALKKWNSHPNISIIILHVKYNSSKDHVYTLLGKLPAKGACEETLERIRKYEETIINKLLSKYIALCDKIPAETFEVEKFDEPMQNITIDLIFGLGITKLVIGFSFMRHSLKSKDAMNGLFYVHQHKPDFCELFIICGGKQVSPRVKNDEITMEDDSGVKVAKMRDKTSFKYWIERMFCDKTIDSNQTSSSRSPTTSTSLESHLDQNQWELYMQEIENYLQELMSLHLDEGSFGQDNDDSFLSPIEPYVQQLKNSNNKVTKTTIDIVLRVSFLTTTYTSKSAEEKLEIVIDKLNEAYNTIQVERKEEKENLERHAKAEWAIYICSRREEELEYLKSEEVTKREELKKELNVEKDQIYYIRTYVEESKQRLSSLQDLQLDLKNKLNVSTLEVSECETKLEKVMNERTNMLMEIEELSRQRDVFNKRIKFFKGKDATKMCNKIIDNGCSLREYTKEEIIMASHNFSEHFRLKCGGDWSNVYKGHIDHYSNVAIKMLHSNLALSQHDFQAKLRSLGSIRHPHLVGILGFCSEPKCLVLEYMHNGSLEENLPCKTRKKFISWQDCIRIAIEVCSGLGFLSSFQPRPITHCHVSPSNILLDNNLVAKIKLFGLHECNNECNVGSDVKAIGVLLLYLLTGRGNWVPMDIEDFFDEIGEEWPLDVARELLSLAMRCMSINFESNEEMSITSVMGELNEIKRKGCDSNKMPNVFLCPILQKVMINPHIAADGFSYELEAIEQWLQSGNEISPKSLRLKNTLLFPNHNLRSLIQFWQSKKSEVVK >RHN71640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1593162:1595024:-1 gene:gene7260 transcript:rna7260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MEGSLFKVVFLLLVFSIVNTLVYGQGTRVGFYSSTCSQAESIVKSTVASHVNSDSSLAPGLLRMHFHDCFVQGCDASVLVAGSGTEKTAFPNLGLRGFEVIEDAKTKLEAACPGVVSCADIVALAARDSVVLSGGLSWQVPTGRRDGRVSQASDVNNLPAPGDSVDEQKQKFATKGLNTQDLVTLVGGHTIGTTACQFFSNRLRNFTTNGAADPSIDPSFLSQLQTLCPQNSGATNRIALDTGSQNKFDNSYYANLRNGRGILQSDQALWNDASTKTFVQRYLGLRGLLGLTFNVEFGNSMVKMSNIGVKTGVDGEIRKICSAFN >RHN57882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42374473:42380983:-1 gene:gene33473 transcript:rna33473 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubulin binding cofactor A MDTVRSLKIKTSTCKRLVKELHSYEKEVEREAAKTADMKNKGADPYDLKQQACLNIENVLGESRMMIPDCRKRLEAALEDLKGILAELLNETDKKESPEIDEARNTIVEVEKVFETIEA >RHN43440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45906986:45907729:-1 gene:gene50018 transcript:rna50018 gene_biotype:protein_coding transcript_biotype:protein_coding MMGERVEPVKKKRKLVKGLPKLNPPKQVNPPKQVPKPPVAFMRTNPLAPTQTQTVIEPSATIHTTVHTGRTSQTIVAPSAKVNTVVQAHPNTINIVKPSAKVYTNVKTTNTSQTTVKPSVDVSTTVNTSTHSKTYVKPYTIVKTICEPVLKSVPPKPSVAPTQAPRQAKSEGKKLDLGKVRRSRRNVWRTNANKKGPGKKMDDPIDIVDDCNADAQKETDPPVKVVPEAKLGSCLGLIRKVDTIKYV >RHN77720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8939146:8941047:-1 gene:gene1203 transcript:rna1203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MARSHSFIMLLFLLQLINNSSSHEECRELSCGPYEPIIRFPFQLVKESSQHECVYPEFCLYCTQNKNTMMVLSTTSGPFIFFVDYIDYESNQLSISDPDNCISEKILKLNKTSFPPYRFYSESITKSSFFNCSSFKKRHLRNEDQSSQRSQDMITCPIYISDSYDSVIALDLVSCTKMFDTSNIFVQYLSVSLSWPEQNCTKCKAKSMKCKWKNNNTKGKTECFDCNKKRKTIQIPKSLIYASTGSILLGLVLIALFKIHLYFRKKEEDQIRVDKFLEDYRAQKPTRFSYADIKRITNGFKEKLGEGAHGTVFKGKLSSEILVAVKMLNGTEGEGKEFINEVGIMGKIHHINVVRLLGFCADGIHRALVYNLFPNGSLQSFIFPPDNKDHFMGWEKLQQIGIGIAKGIEYLHLGCNHPIIHFDINPHNVLLDESFTPKISDFGLAKLCSKNISVVSMTAAKGTLGYMAPEVLSRNFGNVSLKSDIYSYGMLLLEMVGGRKNVDTSAETFHVLYPEWIHNLLEGDICIHIEDEGDLKIAKKLAIVGLWCIQWQPINRPSIKNVVQMLETGEDIELIVPPNPFHSMTSITTNDQRTLARRTFQMEVIQK >RHN54386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9165922:9167099:1 gene:gene29410 transcript:rna29410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase D MVQVQAKTTRPKNIVVGTVFTHHQKCVIVDAQAAGNNRRVATFIGGLDLCDGYYDTPEHRLFRDVDTVFAGDFHNPTFPVSCLPNWTY >RHN46210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29669737:29678965:-1 gene:gene40661 transcript:rna40661 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P5CS2 MDPTRAFVKSVKRVVVKVGTAVVTRSDGRLALGRLGALCEQLKELNTRGYEVILVTSGAVGLGRQRLRYRRLANSSFSDLQNPQYELDGKACAAVGQSSLMALYDIMFSQLDVTSSQLLVNDGFFRDADFRKQLTDTVHSLLELRVIPIFNENDAVSTRKAPYELLQDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYNGPPSDPKSKLIHTYVREKHQTEITFGDKSRLGRGGMTAKVNAAVCAAYSGTPVIITSGYPNDNIMRVLQGERIGTVFHKDAHLWTSIKEITAHEMAVAARDSSRKLQILNSEQRKKILLDVAAAIEKNESEIRLENASDVADAEEAGYERSLISRLTLRPEKIASLVKSVRKLAEMDEPIGQILKRTEIADKLVLEKISCPLGVLLVIFESRPDALVQIAALAIRSGNGLLLKGGKEAKRSNAVLHKIITSAIPDTVGGKLIGLVTSREAIPDLLKLDDVIDLVVPRGSNKLVSQIKDSTKIPVLGHADGICHVYIDKAANINVAKKIVKDAKTDYPAACNAMETLLVHKDLAGNGGLNELVAELQKQGVQLYGGPKASVLLKISEAKSFHLEYSSLACTIEIVDDVFAAIDHIHEYGSSHTDCIVTEDSKVAETFLHQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTNRWVLRGNGQVVDGDRSMIYTHKDLQVKA >RHN64954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63253077:63256196:1 gene:gene27836 transcript:rna27836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose/H+ symporter, plant, major facilitator superfamily domain-containing protein MEHTDLSTNNGCNRISSLQVEEEEAADQKPCPLRKMIVVASIAAGIQFGWALQLSLLTPYIQLLGVPHKWAANIWLCGPISGMIVQPIVGYYSDRSHSRFGRRRPFIFSGSLAVAIAVFFIGYAADLGYSMGDDLSKKTRPRAVVIFILGFWVLDVANNMLQGPCRAFLGDLAAGDHRRMRMGNAMFSFFMAVGNILGYAAGSFSKLYHMFPFTQTKACDVFCANLKTCFFLSIFLLALVSSFALYYVEDIPLQSKPQSQSKDDVGCFGELLSAFSGLKKPMWMLMIVTAINWVAWFPFFLFDTDWMGREVYGGNVGDNTYAAGVRAGALGLMINAFVLAIMSLGVEPLGRFIGGAKRLWGIVNIILAIALAMTVVITKAAEHERRVSPGGTTLPSGHVKAAAFSFFGVLGIPLAINFSVPFALASIYSTSSGAGQGLSLGVLNIAIVVPQMIVSSLSGPWDALFGGGNLPAFVVDAVAAVISAVLAVIILPTPKSVDTAKPSIATSGGHH >RHN63589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52497244:52502396:1 gene:gene26311 transcript:rna26311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGNCNTCINKDAIESTGKAKTSSTTESNSNHGRRRKTKPKPKSNPYTEQSLTPGATIRVLKESNPRNRINDKYILGRELGRGEFGITYLCTDKETKQELACKSISKRKLRTAVDVEDVRREVAIMSTLPEHPNVVKLKATYEDDENVHLVMELCEGGELFDRIVARGHYSERAAAHVARTIAEVVRMCHVNGVMHRDLKPENFLFANKKENSVLKAIDFGLSVFFKPGERFSEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVALAILRGVIDFKREPWPQISDSAKSLVRQMLEPDPKKRLTAEQVLEHPWLQNAKKASNVPLGDIVRTRLKQFSLMNRFKKRALRVIAEHLSLEEVEIIKDMFTLMDTDKDGRITYEELKAGLRKVGSQLAEPEIKLLMDVADVDGNGVLDYGEFVAVTIHLQRMENDEHFRKAFKFFDKDESGYIELSELEAALVDDLGETDTNVLNEIMREVDTDKDGRISYEEFVAMMKTGTDWRKASRQYSRERFKSLSINLMKDGSLQLHDEISGQAVVV >RHN72811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10897547:10900276:1 gene:gene8570 transcript:rna8570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MSDKEVFWAKDVWNLLIPLRLSVLVWRLFLNRLANKDNLSFRGVRLNSLLYYVGGCGRLETVNRVFFNCAILSMIWRESLK >RHN82166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51640415:51642421:-1 gene:gene6293 transcript:rna6293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKLRLRSLESKETLKIEVPDSCSSQQLKFTISQTIPSSSSSSIHLSLNRKDEINVASPSDSLHSIGIASGDLIFYTFNPNAFSHNETLPHKPNNQPIIQNSPEITPIDEKSPTLNTPEVNDTEMVDGSDEAATAMTMAVKNNPEPDFVKRVIKEALGDDVSDLKLLVFAVHAVILESGFVRVDEVSGMAISCSNLVDDMSSSSSSSSSSSSSSSMISLRYTLPEILTNGSSHAVILKIQTLGNFVNVYGSLCDDAGSRVHRVYLDKSRFAKPLELMLENSEFNGNFNDVGDKVFELWKIVKDGLALPLLIDLCDKAGLELPPCFMRLPMELKLLIFEYLPGDDLAKVCCTCSELQYLASNDDLWKKKFEEEFGQRVNGMKFFKNLFAQYRATKKKLEQPILFQNPRRSGIMRFFQRRRFPNRFGMPPIWGGEYDLQPNFGVNLPAYARRRTFIPPCHLGEFQ >RHN56594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32103951:32112777:-1 gene:gene32024 transcript:rna32024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin/SUMO-activating enzyme E1, ubiquitin-activating enzyme E1 MLPRKRVSEGEVVVEEPINNSNSNSNNPGSVKKARMGESTVNESNKSVSSSGDSSNSGVNLIAASSMAFGNSNPQEIDEDLHSRQLAVYGRETMRRLFASSVLVSGMRGLGAEIAKNLILAGVKSVTLHDEGTVELWDLSSNFVFSENDLGKNRAVASVSKLQELNNAVLVLSLTTKLTKEQLSNFQAVVFTEVSLEKAVEFNDYCHSHQPPIAFIKTEVRGLFGSVFCDFGPEFTVVDVDGEEPHTGIIASISNDNPAVVSCVDDERLEFQDGDLVVFSEVHGMKELNDGKPRKIKNARAYSFTLEEDTTNYGAYEKGGIVTQAKQPKVLNFKPLREALNDPGEFLLSDFSKFDRPPLLHLAFQALDKFISEIGRFPVAGSEEDAHKFISIASDINGNLGDGRLEDVNPKLLQQFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTEPLHPNDLKPINSRYDAQISVFGQKLQKKFDDADVFVVGSGALGCEFLKNLALMGVSCGGQGKLTVTDDDVIEKSNLSRQFLFRDWNIGQAKSTVAATAAASINPQLNIEALQNRVSSETENVFHDTFWENLSVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPSEYTNAMKNAGDAQARDNLERVLECLDKEKCEAFEDCITWARLKFEDYFANRVKQLAYTFPEDAATSTGAPFWSAPKRFPRPLQFSSSDPSHLQFLMAASILRAETFGIPTPDWVKNPTKLAEVVDRMIVPDFQPKKDAKIVTDEKATSLSTASVDDAVVIDDLIVKLERLRSNLQPGFRMKPIQFEKDDDTNYHMDVIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKALDGGHKLEDYRNTFANLALPLFSMAEPVPAKVIKHQDLSWTVWDRWIIKDNPTLRELLDWLKEKGLNAYSISCGSCLLFNSMFPRHKERMDKKVVDLARDIAKMEIPSYRRHIDVVVACEDDDDNDIDIPQVSIYFR >RHN41413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29789219:29795303:-1 gene:gene47731 transcript:rna47731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gallate 1-beta-glucosyltransferase MGYSEAPINILLVSYPAQGHINPMLRLGKCLASKGSSVIFITTEKAGRELRTVNNIIDKSVIPVGNGSLAFEFFEDGLSDDDPIRASLTTYLPHLELVGKKFLSQMIKNHAADESNTLISCIINNPFLPWVSDVAAELEIPSALLWIQSGAVFAAYYNYFHKLVRFPSNAEPYIDVQLSSLVLKYNEIPDFLHCFSKYPFLGSLLLEQIKNLSKVFCVLVDTYEELEHDFINYISKYSVLIRPIGPLFQNPKTKTASNICGDLVKSDDDCIIEWLNSRPQSSVVYISFGTIVHLPQEQVNEIAYGLLDSQVSFLWILKVKPSLNAKHVGFKPHCLPDGFLEETSGKGKVAKWCPQEQVLAHPSVACFMTHCGWNSSMEALTLGVPMLTFPAWGDQVTNAKFLVDVFEVGVRLGYSQAENRLVCRDEVKKCLLEAMAGPKAEMLKQNTIKWKKAAEAAVAVGGSSDRHIDAFMEDIKTRFKRWKKMKMEYTKAPLKILLVSYPAQGHINPMLRLGKCLASKGSSVIFITTEKAGKELRTVNNITEKLVIPVGNGSLTFQFFDDGLSDDDPIRTSQTTYLPHLELVGKQFLSQMIKNESNTPISCIINNPFLPWVCDVAVENEIPSALLWVQSSAVFAAYYNYFHKLVRFPSVEEPYIDVQLSSVVLKYNEIPDFVQGFSTFSILGTIIMEQIKNLSKVFCVLVDTYEELEHDFIDYVSKNSVKIRPIGPLFKNPQIKNASNICGDFVKSDDECIIEWLNSKPPSSVVYISFGTVVHLPQEQINEIGYGLMNSQVSFLWVLKAKPPPDFTHVGFKPHFLPDRFFEETSGRGKVVKWCPQEQVLAHPSVACFVTHCGWNSSMEALTLGVPMLTFPAWGDQVTNAKFLVDVFGVGIRLGCGQAENKLVCRDEVKKCLSEAMAGPKAEELKQNAIKWKKAAEAAVAIGGSSDQHLDAFMEDIKARFKRYNTLS >RHN79469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29256602:29263583:-1 gene:gene3263 transcript:rna3263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MGIPQAMVALHERATFVKDSLHKSQTITDNMVSILGSFDHRLSALETAMRPTQIKTHSIRSAHDNIDKTLKAAEVILGQFDQTRKAEAKILRGPHEDLESYLEAVDQLRSNVRFFSSKKSFKSSDGIINHANSLLAKAILKLEDEFKHLLTNYSKPVEPDRLFDCLPNSLRPSAQQNAGGGGKNHPEKQSVETVTFTLPTLIPPRVIPLLHELALQMVQAGHQQQLFRIYTDTRAAVLETSLRKLGVERLSKDDVQKMQWEVLEAKIGNWIHYMRIAIKLLIVGEKKICDQIFEGHDSVKTQCFAEVTGSSVAMLLSFGEAVARSKRSPEKLFVLLDMYEIMRELQPEIGILFDSKVCAEMREAAINLTKRLAQTAQETFGDFEEAVEKDATKTAVQDGTVHPLTSYVINYVKFLYDYQATLKQLFQEFDPADPESQLASVTTRIMQALQNNLDGKSKLYKDPGLTQLFLMNNIHYIVRSVRRSEAKDMLGDDWVQIHRRIVQQHANQYKRISWAKILQCLTVQGGNSSGGGDSNSNISRSSVKERFKTFNTQFEELHQRQSQWTVPDSELRESLRLAVAEVLLPAYRSFLKRFGPMIENGKNPQKYIRYSPENLEQMLGEFFESKAWSEQKR >RHN49272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53708974:53713680:-1 gene:gene44086 transcript:rna44086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RanBP2-type MAYGRLSLLLTKRRLLNPKTPFFHSHHFSTSQNPNPKPSSLSARLSFVFDQIDAIEKERSQKHETLQRIRAWRDSKNTHNQIPEQPVSESPPSPPTTTSSSLNEESDTNINSKPVELVKKEVEFVHPWPEWIQLMERLVHQNYFDHRRKDEDKMVQDLGFDSSEIVHDEGLDFTKDFKSVHAACLNFGKDRFDLLRSLSRQDIQVLVGFGCPNVDRKVVFSGKLLRKLVHLDEGDVCSSCSLRNNCDSAYLLTNKEDEARTIDIMRMLLTLGFDPINGSSVLNKPLLKQKSVKAVVRKLLHEVVKLSSVPIDPNLPPPVIKKPPPKVKQPPPTPKRRVGRDDVEMKRGDWLCPKCDFMNFAKNNVCLQCDAKRPKRQLLPGEWECTECNFLNYRRNVVCFHCECKRPPDEFLENKTQDRKYSSGPNFNKMGSRQEVSNAWNFDFDDNESDGADVANFEYADSHGIDKDFFSDNNARRVGWEDDFAKNRSVPGSHDDEYVNAGPGPSRPRTGFDDFEDEDDIDSYELETETGTSVSRMEATKTNFSETEDSLDWEDSEDIDDKMHAHNKSKTASGRTERTRSVRKNTSFSRSKEDELDYDSDEQRSLLSKFKSSHVFAADQRRKGRGPTKKLSFGSDSDEDGSAGLYSDEDDDLNEAYSSRQNKGNRHDSGRRNFTKDGKSGGGYKSNMFGDDFDESPRQSYRNARGSQGSNRDKKRFDDFDGSSRKSYGNDRGSQGCNRDRKRFDDFDGSSRRSYGNDRGSQGSNRDRKRFDDFDGSSSRKSYGNDRGSQGSNRNRKQFDDFDGSSSRKSYGNDSGSQGSNRGRKRFDDFDGSSRKSYGNDRGSRGNDRSRQKFDDREHGRGQFNKYSMDEKGGSDFRNSRRVIER >RHN50620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8602849:8604551:1 gene:gene34928 transcript:rna34928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MGCKKMILLALLLATLITKEVLATQHNVGGSQGWDPSSDFDSWSSGQTFKVGDQLVFKYTSMHSVVELSDESAYKKCDISTPLNSLSTGKDVVKLDKPGTRYFTCGTLGHCDQGMKVKITVGNGNGSSSTASSPSSSSSSPSSSSSSTSPPSTTSSASQYFASLMLIVTLSFATIFSLF >RHN75968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46653855:46656329:1 gene:gene12233 transcript:rna12233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phenylalanine ammonia-lyase MLRRDQDPLKWDEAAEALKGSHFEEVKRMVEDYRKEVVRVGGETLRVGEVVAVAERLAAVELNEQARVRVKESSEWVMESINKGTDFYGVTTGFGSTSHRRTNQGHALQTELIRFLNAGIFGNGTEQCHTLPQNATRAAMLVRINTLLQGYSGIRYEMLEAITKLLNHNITPCLPLRGSISASGDLIPFSYIASLLTGRPNSKAINGSNGETLNAAEAFNLADIGEEFFKLQPKEGLALVNGTGVGAGLASIVLFDANILTVLSEIMSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAALMEHILHKSACVHAATKSHEIDFHRKPKQDRYALRTSPQWLGPQIEVIRYSTKSIEREINSVNDNPLIDVKRNKALHGGNFQGTPIGVSMDNTRLALAAIGKLLFAQMSELVNDFYNNGLPSNLSGGKCLSLDYGLKGGEIAMAAYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTAEAVEILKLMCSTYLIALCQAIDLRYLEENLKITVKKRLSQVARKILNVGVNGEIHHYMSCEQDLLKVVDCEYVFTYIDDPCMDTYPLMQKLRQVLVEHALRSSNNGLKNINASMFLKIGAFEEELKRVLPKDVEFTRSAFEKGILASPNKIKECRSYPLYKFVREELGIEFLTGEKIKSPGEDFDKVLNAITLGKIIDPMFECLKDWDGTPLPIEFH >RHN67893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31232617:31236195:-1 gene:gene16138 transcript:rna16138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MTQSKLFSSGIELASFVTSSTILSKSWTTISSDNYENGVGLSWKLDKETGSDFTILAFKATSDDSSSVQADLISSDELKEDNFLDFEFLCSKKIPTFSLNKTAVSLFRENHQEFDSLKTEINSSNPRTPLIVTGHGLGGSIASLFVISLLHNIGSVKNRPLCITFGSLLVGDRRLQQAISRSSIWNSCFIHVLSHKDPLPRLFITDRTSTYMPFGTFILCSDATSFENPDSIFEIFVALASVHDKNQELESVDYGNIVKNLYRKATWIDFPAQAENLTNPDSLATDISLQLGALSLTPHVQPQQENVGFNTLETKLKELEERFFLQKRILFDPSKKLNDRKVDMAQLEWYKKKSKNEQIGYYDSFKNMNFPDDYDVNQFHKRLTFYWEKMVEEAEMKPQKEGAAFRTRWLYAGTTYRRMVEPLSIAKYYSEGGKDYINNKRSEHFKQLEEWLFEDSQNRTSDVNSTPRKNVEAILTIDSCFWAHVEEALLLCGELKGVKEKDDVLKKLFKIEVYVYGLLKDYAVSPEIFLARSSYIRWWNEYKKIKGSSYTSALANFMNDDTKIKQYAIGAYDFP >RHN69090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40949691:40950499:1 gene:gene17519 transcript:rna17519 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEFNTVSHGVTQPSLKNCDEKGNQPVGLQPKRREKYEWELKRFEDLEISDFSMYDDDIKPFMFMCGRFTYKNKAQIQQDKEIRIARAKHKKLSRNLSPFDAITPPKNANLHFSAIPISLTDDLRLRLTSLCKFALDKYNAENQGANFVFADIVKTTWRPGRTYYITFQAQKQEDPSNIPAIIFQAQVQNKRLGPPVVKSCSMKPT >RHN78570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16359107:16365178:1 gene:gene2188 transcript:rna2188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MSRGRSDGSQKKRLVASVCGVALFLGFLYVFQGSIFGGQNSGSSTAEYGKSLKRLGASYLGADEDADNKQQDESSSLVQGDTLADDIVPKSFPVCDDRHSELIPCLDRHLIYQMRLKLDLSVMEHYERHCPPAERRYNCLIPPPPGYKVPIKWPQSREEVWKVNIPHTHLAKEKSDQNWMVVKGEKIFFPGGGTHFHHGADKYIAAIANMLNFTDNNLNNEGRLRTVLDVGCGVASFGAYLLASDIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEEDLRIWREMSALVGRMCWRIASKRDQTVIWQKPLTNDCYMEREPGTRPPLCQSDEDPDAVWGVNMEVCITPYSDHDNRAQGSELAPWPSRLTSPPPRLADLGLSSEVFEKDTELWQKRVENYWNLMGPKISSNTVRNVMDMKANLGSFAAALKDKDVWVMNVVPPYGPSTLRIVFDRGLIGTTHDWCEAFSTYPRTYDLLHAWTVFSDVQAKECSQEDLILEMDRLVRPTGFIIIRDKQSVIDFVKKYLTALHWEEVATADSSSDSDQDGNEIIFVIQKKLWLTTESLRDAAE >RHN80418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37945876:37946674:1 gene:gene4346 transcript:rna4346 gene_biotype:protein_coding transcript_biotype:protein_coding MWFVRETSSLYSDETEGERVYTYVFLPPLNQSHSVKYEFIFFNQNCLVKLIPNCFFQVSTHPNGQNLKWTAEVQLI >RHN41615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31506246:31509949:-1 gene:gene47957 transcript:rna47957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fucosylgalactoside 3-alpha-galactosyltransferase MKAFHVELWSIWLSGFVLILLSLYATQRLPSINKDHTSIFKLNKNDVLDSRYLSITIFTAPKPFMDSTGTRQTLAVRSWLALSPHVTVVLFSQHPSVASFASSFDSGLVSVDNDIDFTFLGSPYFHSMIAKSRSFPSDIFVIVDPETVILSGFISTLNHVYKIDRDWLLVASPQNASAQNILQLQKIRKQNWQRNHCHNAERMIMAWNNKDTPLHNGVLPPFIYGKGTHNRWIIHEAISSEFRFVFDASWTITSFNLNNTTFGNSARLDVENRDWEYIGNSHLGEHYGSFFYSEAYYSNLPKLLTCENRYIMFDTKKNVVYPIGHQGRVKLLKEKLFPSRLKENAMHCIDPQKSMRIMLDCSLKDQKKISASLELPFSLESLLSITADRNKTVVLTVAGYSYKDMLMSWVCRLRKLSIENFIVSALDQETYQFSILQGIPVFKDPIAPSDISFDECHFGTKCFQRVTKVKSRIVLKILKLGYNVLLSDVDTYWFRNPIPFLNSFGHAVLVAQSDEYQEQGPINLPRRLNSGFYYAHSDNQTIAAIDKVVKHAETSGLSEQPSFYDILCGKGGSNRVSDNKCVEPETNVTVHFLDRNLFPNGAYQNLWQDKTVKATCLKKGCYIIHNNWISGRLKKLTRQVLSGLWEYDPSTRMCLQS >RHN50382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6630067:6630501:1 gene:gene34660 transcript:rna34660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MTVPNSISLKFSILIIILLAFEASDTIAISFAEVKVTIVNKVLAPTPTNITFHCKSRDDDLGFHTLVSEGSYAFTFSPNFTPWFSKTLFFCSFTWPGNPQLHYLDIYDQVRDNCYRCRWTINKDGGCLNTHKCYKWNSVKLMDA >RHN43707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47861648:47862696:1 gene:gene50323 transcript:rna50323 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKQIILLIFLHHLQKEHSHSIDIIPQVLASMESCNMKLWLQPSKQIPTPATQH >RHN60591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28553208:28558563:1 gene:gene22924 transcript:rna22924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MEKKKQSKVKEWKNCIDYCCWLIGYVCTIWWLLSFLYQFLPATLTGFEVVDSPGLRMKNEGVKALHPVVLVPGIVTAGLELWEGRPCADGLFRKKLWGATFSQILQRPLCWLEHLSLHNETGLDPPGIRIRAVPELVAADDFASGYHIWAALIENLARIGYEGKNLHMASYDWRLSFQNTEIRDQALSRLKSKIELMFITNGYKKVVVVPQSMGAIYFLHFLKWVETPPPMGGGGGPGWCEKHIKAIMNISPAFLGVPKAVSNIFSAEGSDAALVRAVTSGILNFDYLGLQTVEHVLRVCRTWDSIISLMPKGGETIWGDLDSGPNEWSKCDQVKRGHVKHIVSNNTYNCGDMQKCIYVKEPTKYGGINSFGKAVSQLLASLLSTLDSEAEEISSKCNSTSFNLSCDDVRTEHNEICRGSMAKKKASTGRTDLDLLNFVAPNMMKRAEAHFSHGIAENLDDPKYAHYKYWSNPLETKLPDAPNMEIYCLYAVEIPTKRSHVHKISPSDKYKHIPFQMNDSSNVEKGSKLQNDVSLVDGDERMNVVSSGFMCAKGWRRRTRFNPSGMATYIREYQQKQRGSVLEGRSLESGARANNILQSIALIEDVLRVASGATGVTIGGDRIFSDIRMISERINLRL >RHN78792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18480920:18482900:-1 gene:gene2445 transcript:rna2445 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNDVSSLLHLPIKGMLVAHVGSVPRIEAIETMVQLMGADVDQAWYQLERTNGAYARFSWLNDIFKERLQKA >RHN62504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43908098:43910353:1 gene:gene25088 transcript:rna25088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKKRRQREKEDMKKRKQGKKENEENYENKDMLSELADGVLLHILSLLDTKQVVQTCVLSKRWEHLWKRISTLMLYASSFSTPKQFAMFVSKILTLRDTSIPLQALDIDRHGNIESQLLEKVLDYVWSNNTHVKELEISVCGDSSLILSCVSSCRALTSLKLDIYPRRNIGGNFGTIFPKYLNLPALTSLDLTNFAFWGDKNGCVDPFSAFTKLNSLVLYGCKIEDAQILKISSETLVDLAMHHNSSNFSQIELSTPSLCTFTITGIPNQKICGSGLSSVKQVNIDAKMHSNFEESPMVLLSWLLDLANVKSLIVSSITLQILSLVPDILEVELHSLCNLKSMEIKLEPLQTQLGLPRVVNAMLKKVAAKSSKEATKLQKAFKAGLEPPPIPDGIVDFLRQNSPLAEVNILTIYSNCFNLKEVKESIKGARIINYHSQFAASASSSSTTPASAAAPASVAAPLNLQSLSH >RHN62818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46018112:46025409:1 gene:gene25432 transcript:rna25432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-dehydrocholesterol reductase MVESKKTETVHSPLVTYASMISLLTLAPPFVNLLWYTMTTAMDGSILKTFDYLNNNGLQGFLNLWPKPTLLSFQIIVVYAAFEALLQLLLPGETVYGPISPTGNRPFYKANGVAAYLVTLVTYVALWRFGIFNPTIVYDHLGEIYSTLSFGSFIFCIFLYIKGHLAPSSTDSGSSGNIIIDFYWGMELYPRIGKYFDIKVFTNCRFGMMSWAVLALTYCIKQYEENGKVADSMLVNTTLMLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSVYTSPGMYLVNHPVNLGTQLALFIFVAGILCIYINYDCDRQRQEFRRTNGKSLVWGKAPSKIEASYTTASGETKRSLLLTSGWWGLARHFHYVPEILAAFFWTVPALFNHFLPYFYVIFLIILLFDRAKRDDDRCRSKYGKYWKLYCDRVAYRIIPGIY >RHN78845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19129954:19130433:-1 gene:gene2506 transcript:rna2506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding pseudobarrel domain-containing protein MSYIYPFNTTCTHLSLGVCPCPKLNTQTYHIGRRWWGYSTKLMLFDDPWKIKKVLQEDDIVDKLLLDKDLVEELVLPVLSAGADLERGALVRIFDYDTESMHVLVHKRCVSSGNYGFFDNWFYDFVRRRGLKKGDEIGFHWDPYMKHFNFSVLFRTIRM >RHN52302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33663563:33669746:1 gene:gene36962 transcript:rna36962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAMQSPSRVFLSFRGSDTRNKFTGNLYKALVDKGIRTFIDDNDLERGDEITPSLVKAIEESRIFIPIFSANYASSSFCLDELVHIIHCYKTKSCLVFPVFYDVEPTHIRNQSGIYGEHLTKHEERFQNNEKNMERLRQWKIALIQAANLSGYHYSPHGYEYKFIEKIVEDISNNINHVFLNVAKYPVRMVGLFGTGGMGKSTLAKAVYNFVADQFEGVCFLHNVRENSSHNNLKHLQEDLLLRTVKLNHKLGDVSEGISIIKERLSRKKILLILDDVDKLEQLEALAGGLDWFGHGSRVIITTRDKHLLACHGITSTHAVEELNETEALELLRRMAFKNDKVPSSYEEILNRVVTYASGLPLAIVTIGGNLFGRKVEDWERTLDEYENIPDKDIQRILQVSYDALKEKDQSVFLDIACCFKGCEWTKVKKILHAHYGHCIEHHVGVLAEKSLIGHWEYDTYVTLHDLIEDMGKEIVRQESPNKPGERSRLWFPDDIVNVLRDNTGTGNIEMIYLEFDSTARETEWDGMACKKMTNLKTLIIEYANFSRGPGYLPSSLRYWKWIFCPLKSLSCISSKEFNYMKVLTLNYSRYLTHIPDVSGLPNLEKCSFQNCESLIRIHSSIGHLNKLEILNASGCSKLEHFPPLQLLSLKKFKISHCESLKKITIHNSIGHLNKLEILNTSNCLKLEHFPPLQLPSLKKFEISGCESLKNFPELLCKMTNIKDIEIYDTSIEELRYSFQNFSELQRLTISGGGKLRFPKYNDTMNSIVFSNVEHVDLRDNNLSDECLPILLKWFVNVTFLDLSENYFTILPECLGECHRLKHLYLKFCEALEEIRGIPPNLERLCADECYSLSSSSIRMLMSQKLHESAGCTHFRFPNKTRRIPDWFEHQSRGGKIAFWYHKKLPSISFTFIIIYEHYTTVKLFVNGYEKEISFDEFTGEFGKLVDDETVLDNYTTLLHIKLEEGNELGERLLKKEWIHVEFKLKDHENSVYAQMGIHVWGIDPSLSQFMQEGIDPSILYPQKKQRLVEVGVSEKGEEEEEG >RHN48857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50726015:50728714:-1 gene:gene43618 transcript:rna43618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MEDQQSRFELFEKFSWKVEKFARVSSIQKVYSEPFVLCGYPWRILVYPYGNGDNTNSMSIYLDTMQSPNSYQGGTRDVTFRLVVFNQFDSTMSIKQQTHHVFSERERDWGFTSFMPLVELHNHRLGFIVNDSCIVGAEVYVHNSRYENEMNRTGNLMASLLSNSITYGSHISGGHMEVPRPEAQVPNLESLSEFPILVRTEPTRDIDMEFFKYSIGELMDFMGLGQVETYFVPLLEKACSQHPSLIECQQRRSRRFTEWAFTALGRVLYFLKTRKAKDMNDQACKDLQILWEELEIFGFDLAWLEPHVQFALRMKSYVEKDVQMEMLKENAEGIALEMERLKTKLATLEVDLNIARDLLMEEGFNGIDLNVELGYGIP >RHN64275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57832177:57835295:1 gene:gene27077 transcript:rna27077 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAHCFFSFHAPFTTRRNIIVSSKTGQRKNYLRPKILKTLTKPSLSISPTLLQPPTPQQFLSPPQELELGSDVPADEMHGEDIAGAVGETGEFEELRVSVYTAKDNGVFGNVSAKEIFKYGGIYLIGAFVFQTVCYLWNSRNEHSNGDLEVGEREKRNILFDGNGKTVEDQVLEKRIEEIKLMAREARRIELLEKQGKGEEEENGDPEIDGIEKEIGERLLKLKNRIKSNKDSSAALRLNGRGNSDEDGDMSVNQGIEELVFKKKSKFKSPSTKATRTPKGFPGTQDRRVSSVKPQDYGSQVTDRAGILDGDKQVNQQDVTDKNASGVPLEERGKTVDDKSGEIQNEGKNLEEMIEAPNTKTKDGVTPKSINNGAFPETSIGMSSPEVRELRTQNTQGFEKDNVDSINGSSGHGLAKKNSAANKAKVKQEKSKTDIWWLNLRYVLVILMQRGSNGEGHKGLYSLNFTSKEREQNDDSYTVAFEDPADANNFCFLLESYFEDLGDNFSANAVPMSIQELNEEIIFHGEKVVVVKKRQLQLYAGQLLTDVEMALCSIIEQDQNVP >RHN66048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7969245:7969605:1 gene:gene13959 transcript:rna13959 gene_biotype:protein_coding transcript_biotype:protein_coding MTFCVQSFLLGVLCISLVLVSGFDTSLDLKGQTIEPTKKPSCFIGRPGPPQFCCFEECTPKCYADCIANGFVGGHCSNGPIVFCCCVKA >RHN48210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45799403:45801449:-1 gene:gene42900 transcript:rna42900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-S seed storage protein, plant MAKTKSLLITLCLLLFFCSARQLPPRHQSESCQLDSIDALEPDNSIESEAGLTETWDANHPELRCVGVSVLKRTINPNGLHLPSYVTYPELHFVEQGTGVLGLAIPGCGETYEEPQWEKGGRPQQPQDRHQKVRYVKQGDLIAIPPGVPYWTYNYGNTPLIIVTLLDTSNKLNQLDRIPRRFYLAGNPVKQSGRKRGDKEEETNNMFSGFDSRFLGQVLKVKESIIRKLQSQDEQHRKHQIIHVKGGLSIIRPPLELEPEIRSEEAKTHREHGEKRVEEEEEVEDEPDKREHRKWRKETRKHREGEGEEEEVVEERETKTKEHCHHGEKERSHGERTRTREHEGQHNFFDETVCTLKLHENIADPSRADVFNPRAGRITNVNSLTLPVLKLLHLSAQWVYLYKDGIFVPHWNMNANSIMYVTRGRGRVQVVNSEGKSVFNGEVKRGRLLVVPQNFAVAEQAESEGLEYIVFKTNDRAEISTMIGRDSAISATPGEVLAHVFGLSPQEVNELKNNRNEGVLATPDSRIQDGYIAMV >RHN45594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23449526:23450113:1 gene:gene39970 transcript:rna39970 gene_biotype:protein_coding transcript_biotype:protein_coding MHNFIFYKNHCKNMQKLDYSFFQRVKNIWRRMKEVDFCLSHKITMG >RHN62455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43552445:43552768:1 gene:gene25034 transcript:rna25034 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLSKHLSCFLRNRGWVLHNPTKPTCEVRIAPTYKHLFRPSPIQCGTLNTPPHAQD >RHN74872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37336427:37339628:-1 gene:gene11001 transcript:rna11001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MEFWRSQKLQIVDQMLCKFFQKYFKIFRLLYDIMVRDEEAEVDGPYKVHASSVISKLKSLDLQTGLFTLRQIKAATNNFDVSNKIGEGGFGPVYKGCLPNGTLIAVKQLSAESKQGNREFLNEIGMIYALQYPYLVRLHGCCVEGDQLLLIYEYLENNSLARALFGLAYLHEESRLKVVHRDIKATNVLLNRDLNPKISDIGLAKLHEEDNTHISTKIAGT >RHN76428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50180088:50183464:1 gene:gene12752 transcript:rna12752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MFTNIEHRVIIRHRLINIYVNNPLTSMPSILKFIDGLKAAFLMVLVQLAYAVVGILYKLVANDGMSLCVLVAYRYLFASIFMVPLAYFVERKSNPKITAEVLFQAFLCGLFGATLQQNLYVEVVVLAGVTYATVMYNFIPAATFILAVCFGLERLNIGTLTGKAKIVGTLLGISGAMILTFYKSIEIHLWSTHINLLKHQQPKNVSADNILGSSLALGTCISYSIWLIIQARMSEKFPWHYTSAALMSVMASIQSIIFALFKERDDWNQWKLGWDIKLFTALFSGIVASGIVWVLIAWCVCIKGPLYASVFNPLFLILVAIGGSLLLDERLHLGSVIGSLLIVIGLYIVLWGKGRELKQIIEHTRGSVQVQPLEIITTKLVDAKSLDIDNNNDIKSSH >RHN69754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46030393:46036330:1 gene:gene18267 transcript:rna18267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Band 7 domain-containing protein MFVGGCIDVKIVDPRLASYGTEDNPIYAAHELALSTIRSEIRKITLDDFNKEKNDTLPKKIMESINAAAKRWGLECLKCWIHDSGARLMSRIEKMMSNILYHDIEPPMNFGIHFVPKNTAYIIERYGKYFKTLPASSLFLNPFLDKIAYVHKIRTELLLNIPSHPIRTKDNVIMFIKGWVNFEIVDPKLASYGVEGSPLYAVSQVAKTTIRSELREITLKDIWNTKISWELNNKIMESINVAGKSWGLECISCRVKEDVSITWKDSLPRLNNIEHSFISLPWRSWFLV >RHN47357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39125956:39132824:-1 gene:gene41948 transcript:rna41948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-N-acetylneuraminate alpha-2,8-sialyltransferase MRQHKQVASAARPKILYLVFAAAFFSLLLLFFIQSSFFSGSVFSDRRNSESIRDLFQFQSTVKQCVANRGLGLTADIIDHCTLVLKYPEGTNSTWYNQQFKKFEPLEYTYDVCEAILLWEQYRNMTTVLTREYLDVRPGGWVDYAPLRIAQLGAKKCYNKTLCEEQLNILLPAKPPFHPRQFRTCAVVGNSGDLLKTEFGKEIDSHDAVFRDNEAPVNEKYAKYVGLKRDFRLVVRGAARNMVPILNGSDDEVLIIKSLTHREINAVIKTLPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIVDIYGFTVDPGYTEWTRYFSAPRKGHNPLQGRAYYQLLECLGVIRIHSPMRSKRRQDWSDVPSREMISQAHAAAWHLRKSSADKAGGKGQFGNCKVWGNVDPDKGGPISGSSDMSDVRKYSNYKKWEVMPLKSLRKEAQVHYRQMEGVSQYKMDGNKLDDLVCVRHSLKSEV >RHN51975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29422881:29426842:1 gene:gene36562 transcript:rna36562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative salicylate carboxymethyltransferase MDLAHILHMNGGVDEASYANNSSLQRTTISLAKPSRLRAITNLYCSLFPRSLAVADLGCSSGLNTLLVISEIINVVEKLCQELNHESPEYKVFLNDLPGNDFNNVFRSLETFKEKLCNEIGPCYFFGVPGSFYGRIFPYQSLHFIHASCSLHWLSKVPKGVDNNKGNIYLATTSPSNVFKAYYEQFHRDLSLFLKCRAQELVEGGCMVITFLVRECDNPSSYGWELLAMALNDMVMQGIIEEEKLNTFNIPIYFPSPSEIKVEVLTEESFDINGFQVSQVNRNDLDNCNAVDLFQMSETLAKCARAVIEPLLISHFGEGVTEEVFNRYKNILQGGIFKEKNEATNLTITLTKKP >RHN73292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15156351:15160412:1 gene:gene9090 transcript:rna9090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdenum cofactor sulfurtransferase MSVAASKSVTESATPEAKVSGIFIYPVKSCRGISLSQAPLTPSGFRWDRQWMVVNSKGRACTQRVEPKLALVEVEFPPEAFDEHWEPTTDSFMVLKAPGMEPLKVCLNKQYEVADDITVWEWTGSAWDEGAEASQWFSDYLGNPTKLVRFNTASEVRKVDPDYVEGQYQTFFSDGYPFLIASQESLDALNELLEEPILMNRFRPNILVEGCEPYSEDLWRDFKISSFSFQGAKLCYRCKIPTINQETAKVGPEPNETLMKYRSGQIIRPNDKNKKRVYFGHDIVWNWMESSAKEDGKVLKLGDPVYVIKKFSSPAEAAA >RHN73175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14115081:14124842:1 gene:gene8963 transcript:rna8963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-hydroxyacyl-CoA dehydrogenase, Hydro-lyase, Isomerase MAKGHTVLEVGADGVAIITIVNPPVNSLSFDVFQSLKESFDEAHKREDVKAIVVTGAKGKFSGGFDINAFGLIQKGLGDTKPGLISIELQTNTIEAGRKPTVAAIDGLALGGGLELAMACTARISTPSAQLGLPELQLGVIPGGGGTQRLPRLVGLAKALEMMLTSKAIKAEEAHSLGLVDALVSRDKLVSAARQWALDIVDRRRPWVASLYKTDKIESLGEAREILKFAKTQAQKRAPNLKHPLVCIDVIEHGIVAGPRAGLLKEAEAFEGLVASDTCKSLIHIFFSQRGTSKVPGVTDRGLVPRQVKKVAILGGGLMGSGIATALILSNYPVILKEVNEKFLEAGVNRVKANLQSRVKKGQMTKEKFEKTISLLKGTIDYESFKDVDLVIEAVIENVVLKQQIFADLEKYCPPHCILASNTSTIDLNLIGKNTKSEDRIVGAHFFSPAHVMPLLEIVRTKQTSPQIVVDLLDIGRKIRKTPVVVGNCTGFAVNRMFFPYTQAAMFLIEHGADVYQIDKAVTKFGMPMGPFRLIDLVGFGVGVATAMQFIENFPERTYKSMILPLMQEDKRAGEATRKGFYLYDDKRRANPDPELKNYIEKSRNISGVTVDPKLVKLSEKDIIEMIFFPVVNEACRVLDEGIAVKAADLDISAVMGMGFPPYRGGIIFWADSLGSKYIYSRLSEWSKLYGEFFKPSAYLAARAAKGIPLGASVEQAQSRL >RHN65658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4165804:4169115:-1 gene:gene13526 transcript:rna13526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MATIVGEGILSASVKLLLQKIVSGEFINFFRNMKLDVPLLDKLKITLLSLQAVLNDAEEKQIANSAVKEWLNMLQDAVFEAEDLFDEINTESLRCRVEAEYETQSAKVLKKLSSRFKRFNRKMNSKLQKLLERLEHLRNQNHGLKEGVSNSVWHGTPTSSVVGDESAIYGRDDDRKKLKEFLLAEDVGDGRSKIGVISIVGMGGLGKTTLAKLLYNDHDVKQKFEVRGWAHVSKDLNVVTVTKTLLESVTSEKTTANELNILQVKLQQSLRNKSFLLVLDDIWYGRYVGWNSMNDIFNVGAIGSKIIITTRDERVALPMQTFLYVHHVRSLETEDCWNILASHAFVERNYQQQPDLEKIGREIAKKCDGIRLAAIALRGLLRTKLSQDYWNDVLKSSIWELTNDEVQPSLLLSYRYLPAPLKGCFAYCSIFSKNSILKKKMVVQLWIAEGLVPQPQSEKSWEKVAEEYFDELVSRCLIRQRSIDDLEVSFEMHDLINDLATIVSSPYCIRLEEHKPHERVRHLSYNRGIYDSYDKFDKLDDLKGLRTFLSLPLQEVQWLYYSVSGKLVCDLLPQMKQLHALSLLKYSNIIKLPKSIGSLIYLRYLNLSDTMIGRLPSETCKLYNLQTLLLTNCWNLTNLPKDMGKLVSLRHLDIRGTQLKEMPVQLSKLENLQTLSSFVVSKQDIGLKIADLGKYFHLQGRLSISQLQNVTDPSHAFQANLEMKKQMDELVLGWSDDTPSNSQIQSAVFEQLRPSTNLKSLTIFGYGGNSFPNWLGCSLFDNIVYLRIAGCENCSRLPPLGQLGNLKKLFLGNLKSVKSVGSEFYGRDCPSFQPFPLLETLRFHTMLEWEEWTLTGGTSTKFPRLTQLSLIRCPKLKGNIPLGQLGNLKELIIVGMKSVKTLGTEFYGSSSSPLIQPFLSLETLRFEDMQEWEEWKLIGGTLTEFPSLTRLSLYKCPKLKGSIPGNLPRHTSLSVKCCPELEGIALDNLPSLSELELEECPLLMEPIHSDDNSNIIITSTSSIVFNTLRKITFINIPSLTSFPRDGLSKTLQSLSICDCENLEFLPYESFRNNKSLENLSISSSCNSMTSFTLCSLPVLKTRY >RHN69049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40591456:40592543:1 gene:gene17476 transcript:rna17476 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQKIVIRVPMNNQKLRNKAMAIAVGVSGVEGTTIKGDNKDQIEVTGEEIDSVKLASLLRKKFGYADLVSIEAVGKTEEKKDKEKAEAIVAWPYVYSSVPHYPVYEIKNEPSCSIM >RHN59752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12802003:12807942:1 gene:gene21864 transcript:rna21864 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylate kinase MGCNIMNFSTTSSSSSLKGLCSPIPNNNNHNQKNKLQKALSSSSSSSSSFSLRSSSSNVFSLRSSSSRPFSHSSMIVKAVAKTVEEPLHVMISGAPASGKGTQCHLITNKYGLVHVAAGDLLRAEIETGSENGKRAKEYMEKGQLVPDEIVVMMVKDRLLKPDSVENGWLLDGYPRSLSQATALKELGFKPDIFILLEVSEDILVERVVGRRLDPVTGKIYHLKYSPPETKEIADRLTQRFDDTEEKVKLRLNTHHQNVEAVLSMYKDITIKINGNSSQKEVFAQIDGALTTLLEQRKASSESMVA >RHN56487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31249704:31252344:-1 gene:gene31895 transcript:rna31895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquinol oxidase (non-electrogenic) MRNILLRSTARALFRNGGNYHRSFSTAVIVQPRHHQHGGGACGNLYWQRMSTLPEKKDQQTEESKKDANHNAVVSSYWGISRPKVLKEDGTEWPWNCFMPWESYSSDVSIDVTKHHVPKTFGDKFAFRSVKFLRVLSDLYFKERYGCHAMMLETIAAVPGMVGGMLLHLKSLRKFQHAGGWIKALLEEAENERMHLMTMVELVKPSWHERLLVITAQGVFFNGFFVFYILSPKIAHRFVGYLEEEAVISYTQYLNAIESGKVENVPAPAIAIDYWRLPNDATLKDVVTVIRADEAHHRDVNHFASDIHHQGKELKEAPAPVGYH >RHN59041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6974574:6975052:-1 gene:gene21024 transcript:rna21024 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSNLKSMMLFAAYYSSPDNITSEGCQSVLIINHTKTTIHAYKSETLNSFGHEDWKSITSNLESGNKVEVMIVFGEGFIVEKTKLSLLYDQPTNKEMEGRSAVDKEDVTVSGGDNIDMPAENNVTGREQDENISEDKHEDDAMCRKRKRGDMTEL >RHN54840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12796441:12797969:1 gene:gene29933 transcript:rna29933 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHRVPIVAFLVLLALSLCSARRALLTFDAGGGYGLGHGIVGGGHGGSGGGIGYGGGGVGNGGGGGGGAGYGGDHGVGYGGGGGNGGGGGYGVGGEQGSGYGGGGGAGAGGGYGVGGGGDGEHGIGYGGGGGSGGGGGGGHGAGGAQGGGYGIGGGAGIGAGGEHGGGQGGGAGAGGGYGGGGEHGDSGYGGGEGGGAGGGYAGGGEHGESGYGGGKGGGAGGGYGGGVEHGASGYGGGEGGGAGGGYGGGGEHGIGYGGGEGGGAGGGYGAGGEHGIGYGAGGGSGGGGGAGYGGGGAHGGGGAYGSGGGGGNGGGGGYGEGGAHSGGAGGGGGSGGGHGGYVP >RHN79123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23498297:23499049:1 gene:gene2839 transcript:rna2839 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQLKMEAQKMISSTTGHQKEGGGADVATSSGSGTGNSSINITPRKRKLEGPSEAETSSKKRNESPPTEVRTINLKAGEDVVDAIYQLSKTYDHRRYLSIISATGFISDIVFRDQYGYFKGLKVSYMTSLYVSRLVDEDGHHCPEKATCSVSFCDEYGLNFESVDMGRLIAAGPIEIIADTSKELKKEKNKLAGKSSYAAAAAIRNDNSDPRIHKNELVFANNTPNGRWKHNSHDDNNNFWREHVKLSV >RHN61419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35456731:35457079:1 gene:gene23885 transcript:rna23885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPSIIRSRASSSKGLDVPKGYLAVYVGEKMKRFVIPVSYLNQTSFQKLLNQAEEQFEYDHPMGGLTIPCREDIFLDINSHLN >RHN73211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14424451:14425402:-1 gene:gene9002 transcript:rna9002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVDGVEAMEGGRQGSGGRRKVLVHTASNEIITSYAVLERKLSSLGWERYYDDPDLLQFHKRSTVHLISLPMDFNRFKSMHMYDIVVKNKNSFEVREMMM >RHN74489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33929891:33930181:-1 gene:gene10574 transcript:rna10574 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQVPHLFRKCNDVFKVIEEANAGEIVAVFNAPGSYSCHSRRNVFRETAPM >RHN47404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39473768:39477274:1 gene:gene41998 transcript:rna41998 gene_biotype:protein_coding transcript_biotype:protein_coding MILRLLRETLACDLFMFYPYQRGWFHLLRNYSKASLMSLVIDAIWSQYNLFQH >RHN42540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39160612:39173664:-1 gene:gene48990 transcript:rna48990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative homoserine dehydrogenase, Aspartate kinase MASSLSSSLSHFSRISVTSLQHDYNNKIPADSQCRHFLLSRRFHSLRKGITLPRRRESPSSGICASLTDVSVNVAVEEKELSKGDSWSVHKFGGTCMGSSQRIKNVGDIVLNDDSERKLVVVSAMSKVTDMMYDLINKAQSRDESYISSLDAVLEKHSATAHDILDGETLAIFLSKLHEDISNLKAMLRAIYIAGHVTESFTDFVVGHGELWSAQMLSLVIRKNGIDCKWMDTREVLIVNPTSSNQVDPDYLESERRLEKWYSLNPCKVIIATGFIASTPENIPTTLKRDGSDFSAAIMGSLFRARQVTIWTDVDGVYSADPRKVSEAVILKTLSYQEAWEMSYFGANVLHPRTIIPVMRYGIPILIRNIFNLSAPGTKICHPVVSDYEDKSNLQNYVKGFATIDNLALVNVEGTGMAGVPGTASAIFAAVKDVGANVIMISQASSEHSVCFAVPEKEVKAVAEALQSRFRQALDNGRLSQVAVIPNCSILAAVGQKMASTPGVSATLFNALAKANINVRAIAQGCSEYNITVVIKREDSIKALRAVHSRFYLSRTTIAMGIIGPGLIGSTLLDQLRDQASILKEEFNIDLRVMGIIGSKSMLLSDVGIDLAKWKELREERGEVANLEKFAQHVHGNNFIPNTALVDCTADSIIAGHYYEWLCKGIHVITPNKKANSGPLEQYLRLRALQRQSYTHYFYEATVGAGLPIVSTLRGLLETGDKILQIEGIFSGTLSYIFNNFKDGRAFSEVVGEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSNIPIESLVPEPLRACASAQEFMQQLPKFDQEFAKKQEDADNAGEVLRYVGVVDVTNKKGVVELRKYKKDHPFAQLSGSDNIIAFTTRRYKNQPLIVRGPGAGAQVTAGGIFSDILRLASYLGAPS >RHN58272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:488954:493342:-1 gene:gene20164 transcript:rna20164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SDA1 domain-containing protein MSNNSREAPELTAASGDGGGLSEKLLNLRRLQSKMERSPYDYMSELFDIQSEFNSSLQLFYQHAAIKILPIDRDLVNVASDPISLAENLAETASFLGRVAIYYPERFALNDFPSRLFHLLCNSCRQIPSNPRFKLAHALTLVFRSVCIDQSLSLFMELQTLGDEKLTKLASNHIFQSIWDIRPNQHRTRKLQTLMFNMLLEAKDAVAMRVLVILWKLYKREVWFDEKTANAICTASFHETLGIMTVALSSLLKNKEPMIENDKDHYDSDSGVDSPLNHLTDKQSFAERLFSRLQHFKEGSEVKMMMLKLIARVIGLHQLTLLDFYPFVRKYIQPHQPDIINLLPAVVQACHDKVPCDVVKPLLIQIVNQFVNDRSRPEAITIGLNAVREICIRMPMLMNDDLLQDLDLYEKSHEKANSVAVRSLITLFQEFCPFDSKAKATPKAYEGVDFATDVLGDELLTDHDEEDDNDQEDDQMTIDDESLGTES >RHN38698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1370170:1370676:-1 gene:gene44659 transcript:rna44659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MQDTDVMWLRNPFKKLSKNETEDLQISTDSYLNDPWSEKHQINTGFYFARSNNKTISLFEIWNGMKDNSTGKKEQDVLLDLIGGGIIGHLGLNVRFLDTLYFSGFCQDSKDFREVTTVHANCCRSIAAKVADLKAVLHDWKQFRRLDLEVNSTININWTSHQWCLRSW >RHN47177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37694496:37696629:1 gene:gene41742 transcript:rna41742 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDEKLLAVMGFIMLLVCPALSIYIDVFRSTQVVVDAWEVLIFAVPFIAWSVWFLACSIFCRNGNME >RHN40325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15323369:15325106:1 gene:gene46468 transcript:rna46468 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLRSDSTKFLNFSSHDCNLMIFILFRISEVDRRRSSCAIINCDRQFCRTLPNGTLRSPHIRRGTKPGRAPIPTTKKRTPIERTSCNTEVHAI >RHN41746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32599318:32599623:-1 gene:gene48099 transcript:rna48099 gene_biotype:protein_coding transcript_biotype:protein_coding MDQASTIEAEPSIVLHSKSKKVVKSRDNSNEFEGSIGEKPSRYEVWGWYLYEFCSYFVQTVVIPVLFPLIISQLQILPTDPVPDWQNSHHGMICSDKEIHL >RHN48232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45977323:45982176:1 gene:gene42924 transcript:rna42924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione gamma-glutamylcysteinyltransferase MAMASAGLYRRTLPPPSIEFASPEGKKIFTEALHNGTMNGFFKLISYYQTQSDPAFCGLATLSVVLNALAIDPGRKWKGPWRWFDDSMLDCCEPLDKIQSQGITFGKVACLARCNGAKVEPFRSDQSNIDDFRNRLISCSSSEDCHVIVSYLRTPLNQTGIGHFSPLGGYHAERDMALILDVARFKYPPHWVPVTFLWNAMNTIDQSTGQHRGYMIISKLNRAPSILYTVSCRHEGWSSVAKFLTEDVPLLLKSEDLKDIQEVLSVVFKSPPSELREFITWVAEVRRQEDGNLTLSEEEKGRLAIKADVLEQIRSTALFKHVIRWLDSERSCCDTIANVGDEDMLSALGARVCCQGADMLTGCRSSSGNCCSQIDVKHLNVGSENPVTLISGTVTTGGSSEQGVDVLVPLCQRKPSSLCLCNEGQCIGMHPSTADVLTVLLFSLPLHTWSGIKEEKLRVEVASLITTEDLLPLLAEEVLFLRDQLHFLMTDNGARSD >RHN50350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6412303:6413268:-1 gene:gene34625 transcript:rna34625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFSCDPLNGMTMDGITSALRERPALRSFLFSPSNKKEEAFVVTSHFIDSIVSLKDLTCLDFQFMNITNNLLYCIAREGLPLTRFTLRHCFGPHSSAGIFRLLSKCQGIQHLNLELSFLNDQHVVQLSPFLSGLMSINLSCCLKLTKYALYALTRNCPLLSEIKMEGIGKSMSVENSEKLVEFGVYPQLKSLYLGKNKWLSDEGIIMFSSNFPNLQLLDLNRCNLLSEGICQVLKICCKIGHLNLAFCKKVKLHGMDFVVPNLEVLNLSNTKVNDKTLYVISKNCSGLLQLLLEFCDNVTEVGVKHVVENCTQLREHGYLLY >RHN52909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40274818:40281754:-1 gene:gene37645 transcript:rna37645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, P-loop containing nucleoside triphosphate hydrolase MNRSQDQRSRPAKPATIHSCALSGDLIGLQKLLRDNPSLLNDKNPVMAHTPLHVSAGNNRADIVKFLLEWQGSERVEIEAKNMYGETPLHMAAKNGCSEAAQLLLAHGASIEARANNGMTPLHLAVWHSLRAEEFLTVKTLLEHNADCSAKDNEGMTPLNHLSQGPGNDKLRELLNWHLEEQRKRRAIKACSETKAKMDELEKELSNIVGLNDLKIQLRKWAKGMLLDERRRALGLHVGTRRPPHMAFLGNPGTGKTMVARILGRLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRKKIQEAEGGILFVDEAYRLIPMQKSDDKDYGLEALEEIMSVMDSGKIVVIFAGYSEPMKRVIASNEGFCRRVTKFFHFIDFSSAELATILHIKMNNLAEGSLLYGFKLHSNCSIKAIEALIQRETTEKQRKETNGGLVDTMMINARENLDLRLSFDCIDTEELLTITLEDLEAGLGFLAQ >RHN80096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35095271:35096968:1 gene:gene3979 transcript:rna3979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone H5 MATEEPIVAVEPVPEPTIAAEPPASEKDQSEPKAAELAEKKTKKVAKESKPKKVSKPRNPASHPTYEEMIKDAIVSLKERTGSSQYAIAKFIEEKHKQLPSNFKKLLLQNLKKNVASGKLVKVKGSFKLSSATKPAAKVKAKPAAKPKAKAVVKPRTKSVTAKPKAAAAKPKSAATKPKAAVGKAKTAAKVKPNAKVAKTTTRTSPGKKVATAKPAAKKKTPVKNVKPKTKTVRSPAKKVAIKRGGRK >RHN68034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32285415:32287665:1 gene:gene16320 transcript:rna16320 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVETQNESLLTRIVDSIFAFVRNAEFEILFFLFFFIAYILFKDITSRPEYNQVFVKKPGGGPELWPF >RHN60922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31603483:31604398:-1 gene:gene23317 transcript:rna23317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAPKGEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKEGGSAAGEKKKKRSKKNVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >RHN48877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50849559:50861694:-1 gene:gene43642 transcript:rna43642 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQPPPSEVSTRRSKRGRPPKQPPKEIDVDVDMAERESSPEDSDEPRPVQKSKRNRVKEGTTSTTLKPTDETLIEAIKGNGKLIPHVVKLWVESYEKDPRSAMVELLTMLFEACGAKFHDKRDLMHEIDVDDVVVALVNCAKRGEVEDYQNSKKKEFKNFKENLESFWDNLVRECQHGPLFDQVLFDKCMDYIIALSCTPPRVYRQVASLMGLSLVTSYITVANMLGVQRETTRRQLDAEKKKKTEGPRMESLNKRFSDMHEKITLLEEMMRKIFTGLFVHRYRDIDPNIRMSCIESLGAWILSYPSLFLQDLYLKYLGWTLNDKNAGVRKTSIRALQNLYEMDDNIQTLGLFTERFSGRMIELADDIDVAVAVQAIGLVKQLFRHQLIPEDDLGNLYDLLIDDPPEIRHAIGALVYDHLIAQKFISSQSESRGENVSPSEVHLTRMLRILDEFPPNPILTIYVIDDVWDYMKAIKDWKCIISMLLDENSSITDKSKTNLVRLLCASVKKAVGEKIVPAIDNRKQYHSKAQKEVFENNKQDITVAMMEKYPELLRKFISDKAKVSLLVEIVMYMNLEFYSLKRQEQNFKNLLQLMEDAFLKIGDKDPLRACVKAINFCCVESRGELQDFARIKLKKLEVKIIKNLKSAISEVKAGGDEYSLLVNLKRLYELQLSRYVPIDNLYEDIVMVLRDVRNMEDEVVGLLLQNMHIHLAWSLQSVIDGESVSDASLTSLRSKRDTLLQELEYYVNLATDSNEVDKIGSELAGRVCAVLAEAWCLFRMANFSKTGLEGLGYQPNAHVLQKFWELCQQQLTVPDEVEDDDVNKDVTTEYSEETDRCAVLIAACKLISSDVVPKDYLAPEIISHFVMHGARVAEIVKHLITFLKKGEDDLAAIFLEALKKAYHRHIVDNSGNDNISSENSFSECKNLAVQLSGTFIGAARNKYKSDILKLVKDGIEYAFVDAPKQLSFLEAAVVHFVSKLPASDVLEIKKDVEKRTENVNKDENPSGWRPYCTFVEVLREKCVKNEVFQDEKEGVSVKRRGRPRKMQNIPGKKLFNDHSSSEDEDSISASEQEEEDEDVPLIHSIRRLSKSRLLGLSREESKGQTKTGNSVGAVDNISASRTSGASN >RHN44656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7325487:7329717:-1 gene:gene38800 transcript:rna38800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycoside hydrolase, family 19, Lysozyme-like domain-containing protein MAKLNAVVLLFAFFILLTTTVNGDESSNTKVQVKYKHGKKYCDKGWECKGWSIYCCNLTITDYFQTYQFENLFSKRNTPIAHAVGFWDYHSFINAASLFEPLGFGTTGNKTTQMMEIAAFLGHVGSKTSCGYGVATGGPLAWGLCYNHEMSPAQTYCDDYYKLTYPCTPGAEYYGRGAIPIYWNYNYGAAGEALKVNLLDHPEYIEQNATLAFQAAIWKWMTPIKKSQPSAHDAFVGNWKPTKNDTMENRVPGFGATMNILYGEGVCGQGDVDSMNNIVSHYLYYLDLLGVGRERAGTHDVLTCAEQRPFNPNTKLAAS >RHN79152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24638669:24639220:1 gene:gene2878 transcript:rna2878 gene_biotype:protein_coding transcript_biotype:protein_coding MITSDASDVEEEPNNTSSDVIMESVSNQITQTTQTPISTNNQPSTSLAIQPIAPTKQSKIPSPPTIFIDSTLLSDVCENIYKELTTLIKDREELIHKDNYEHQWNRLKERVGFVMSALKKTCVEAQDEAQLKFQNWLKGIDQDLKKVKVLRTWVQSPPCLRGRNPIVFIPAGVHLRELDLTFL >RHN76843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1739852:1742489:-1 gene:gene223 transcript:rna223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MKQIPCIFLSEVQAHLIELQWHSTIMSIAHPGALKAPASAGSGKGSHHFGFFPHTAKLQKNGSYWTLKHVQTVDIHPSSGLAQVRPRCVVYHELVLTTKEYMRQITELKSQWLLQPNDLEDTISKKMPHGEGRAENHRKYIR >RHN62995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47439129:47440631:1 gene:gene25640 transcript:rna25640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative abscisate beta-glucosyltransferase MSVADEKTNINILMVSMALQGHVNPMLNFAKRLISKGVNVTIATTEDGRDRMLKHIDKNSSDSGIKLEFFSDGLSVDFDRSDTKTLVKTIQEKGSKNLSDLITNLTKNETFSCAIVNPFVPWAIDVVAEHEIPCALLWIQASALYSIYYHYFNVTDSFPSLEDLNEKVQLPGLPILEVRDLPSMILPSSPLHFKEMMDDLYKRLNNVKWVLGASFFEIEEEIVKSMDSLTPIYPIGPLVSPFLLGEKEISNVSVDMWNAEDACIGWLDNKPNSSVIYISFGSLVVLSQTQMNNIVTALKNSNKNFLWVVKPANNGGYETKDAAYELPKEFLKETEGRGLVVKWCAQEKVLMHPAVACFLSHCGWNSTLETLITGVPVIGWPSWTDQPTNAMLIQNVFRNGVKVKYGEDGVASVEEIERCIREVLEGPHAGEIKKRATEIKELARKTLQEGGSSSKNFNKFISELVVKNDPRVVQETSISLPGNWFLRTIQGWCRKPQTKR >RHN58793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4949170:4953714:-1 gene:gene20739 transcript:rna20739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MVMGEMRKSFKDSLKALEADIQFANTLASDYPSETDGARFQMRLSYSPAAQFLLFMFKWTDCHLAGALGLLRVLVYKTFEDGKTTMSVYERKASLKEFYGVIFPSLLQLQRGITDVEERKQKDLCATKYKPKDVIGKGKLSEIDLEREEECPICMEMNNKVVLPNCYHSLCMRCYNDWHTRSQSCPFCRDSLKRVNSSDLWIYMSNSEIQDLESINKENLKRLFMRIDKLPLISPISPDQILISYHQDF >RHN52807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39057523:39059644:-1 gene:gene37521 transcript:rna37521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MQNENIIKEEKNAHDHSKPIEKIVKEEKKQNGSMQVENTKVEEKNTNDTIHAEKKKEEQNKKEIDKEVMRLIKEVEDKNTEKKELDKEVIRLIKEVKDKNVENKKIDKEVIRLTKEVEDINSEKKKIDKDVIRLTKEVEDKSMEISTLKQEVETMQKKYEVQCSKLEEKTKDAKGELNQKSQEYELLLEKLRDKVKESEAINEAKYQKWNMKHNLMQNAMNFQFNSIKQLKLSWETIKKDIMKEQMIYSEECNRLGVNLKPLVHASENYRTILAENRKLFNELQELKGNIRVYCRIRPFLPGQKEKQTIVERIGESDLVVANPSKQGKEALKTFKFNKIFGPSSTQVEVYNDIQAFIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNGASNENLGVNYRALNDLFNISSSRQSSIVYEIGVQIIEIYNEQVRDLLSTDTSVKKYPFFSSYIWFIMCMGFLQLENIPHSHSRDIGGRLIKIRRSKKMHIVIFINTRNL >RHN68230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33889958:33892111:1 gene:gene16550 transcript:rna16550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MFMACCFRVKVETSKHNVVGREEEVSSGLSYKAASSFVPLTSRTEDEVLRSNNLTCFTINEVRAATRNFHPDSMIGKGGFGCVFKGWIDEHTLAPTKQGTGFAVSVKRLNDKSNQGHSEWLTEINYLGQLHHPNLVKLIGYCIEDECWILVYEFLTKGSLDNLLFKRASNFQPLTWKIRMKIALDVAKGLAFLHSDEVNVIHGNLKTSKILIDSNHNAKLYNFGLAKDIPEIDMIDPDMVYIQGPYDAPEYKLIGHLTKKSDVYGFGVVLLEIMSGKRALDYNRPRWENDLVVWARPLLINKEKIYQVMDSCIEGQYSPHEAMEVARIAIQCLSCNSKNRPNIDDVVRSLEKL >RHN65006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63596137:63596916:1 gene:gene27896 transcript:rna27896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MPSLDYLPDVLNPFPRKFEILQSLDYPPDVSTNITYNISIGYDCFTCNYKVVVVSCYQFLGFIEKNYKICCKTQVRAHTLGTNFWKRIPDFPSEITGLPEECPGKFVSGTINWAIEDQEKYYLWVILSLDLGNESYQVIPHPEYGLDEPLVYLNLSVSKDCLCVVAHTNSFLDIWLMKVYGNKDSWTKLFTIPFEKLIGCPLPASLLYIAEEGDLVFLDLFDNVYIYNYKNGNVKIPDIQGLPSNSFNSIVYFESLISP >RHN82616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55233401:55234604:-1 gene:gene6791 transcript:rna6791 gene_biotype:protein_coding transcript_biotype:protein_coding MLFARIHACSFTSLISGLDKSIQQYILKAKSGCGNHNTFIPTMPPLTRHSTKGKFCGVFQKTEKPEMTRGGKPLLEPHTEIAHSMHPICVLSSIPCRVLAWTSRF >RHN79583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30294178:30297083:1 gene:gene3396 transcript:rna3396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MDSQKHLFILSCLSLLLVLLSGQMEMQVEATRHLNHPSKKLFVFGDSYVDTGNSIKTIFDSWKVPYGITFPGKPVGRFSDGRVLTDFLAKYLGLKSPITHNLWNTNTVPKHDLKYGMNFAYGGTGVFEISSTGPNVTTQINSLIKLIQENVYTPSDITKSIAYVSVAGNDYNYYLKTGGAFLGFPSFPSFIESVIEQTTTNLIHLQSLGFERIVVGALQPLGCLPQATAETSFQSCNSTFNDLVALHNNLLNQSVTKLNQETNDHTTFTILDIFDSFKSVLNHPSSHNIKERLKPCCVGVSSEYKCGSVDYKNLNKVKKYLVCENPESTFFWDQLHPTQAGWNAVYNELEKKDLYQVLY >RHN71603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1310036:1316018:-1 gene:gene7219 transcript:rna7219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine decarboxylase MVGSADVLVNGLSTNGAVELLPDDFDVSAIIKDPVPPVVAADNGIGKEEAKINGGKEKREIVLGRNIHTTCLEVTEPEADDEITGDRDAHMASVLARYRKSLTERTKYHLGYPYNLDFDYGALSQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKNEYWGYITNCGTEGNLHGILVGREVLPDGILYASRESHYSIFKAARMYRMECEKVETLNSGEIDCDDFKAKLLRHQDKPAIINVNIGTTVKGAVDDLDLVIQKLEEAGFSQDRFYIHVDGALFGLMMPFVKRAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINALSRNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYFKDRLIEAGIGAMLNELSSTVVFERPHDEEFIRKWQLACKGNIAHVVVMPNVTIEKLDDFLNELVQKRATWFEDGTFQPYCIASDVGENSCLCAQHK >RHN45696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24580893:24592367:-1 gene:gene40079 transcript:rna40079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MEKMEILSSLRITKTVLAILSLVLLSNIRVQAQGILLLPSEKQSQRDLSTSKIGEGATTNAHDSILKFVNSSSFPNRETFPRGFFFGAGTSAPQIEGGSHEGGRGLGILDVVYSGDNKYVTKIEHYQRYKEDVQRLKHLGVNSYRFSISWNRVIPDGTLKGGVNKEGIEFYNNLINELLNNDIEPFVTILHFDYPLALQQNIGGFLNRSIVKHFKDYSELLFKTYGDRVKYWTTMNEPELQAMYNYMDNLGHLSTEDCATTKVCTEVYTVLHNLLISHATISKLYKSKFQTVQEGEIGIAISAKSFVPYSSKPQDVDAAQRLIEFQFGWVLEPLFKGDYPKIMRKLVGKRLPEFTKNEKEMLKGSTDFIGINYYFSLFVRHEPNRTKIPASDNFDALAVTEVLNVEGNTLGYYDQYGCSYVYPEGLYNFLLYINKKYKNPRIYITENGIPSFNIPNPLKDEHRTAYIAAHINATKAAINDGLNVGGYFAWAAFDTYDFDDGYSKHMGLYHINFDDSLKRIPTKTAKWYKKYLTLDSVH >RHN52569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36700928:36703423:-1 gene:gene37261 transcript:rna37261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MIRFVPFSVSHFQFPNPKFIISTSLYSQLHHHHQDDEHSLVSSFNRILNINPTPPIFEFGKILGSLVKINCYQTAISLHREMEFNGIASDLVTFNILINCFSQLGHTHFSFSVFANILKKGYEPNAITLTTLIKGLCLKGQIHQALHFHDKVVALGFHLNKVCYGTLINGLCKVGQTSAALQLLRRVDGKLVQPNVVMYNTIIDSMCKVKLVNEAFDLYSEMVSKGISPDVVTYSALISGFCILGKLNDAIGLFNKMISEEINPDVYTFSILVDGFCKEGRVKEAKNVLAMMMKQGIKPDVVTYCSLMDGYCLVKQVNKAKSIFNTMAQGGVTANVQSYNIMINGFCKIKKVDEAMNLFKEMHCKNIIPDVVTYNSLIDGLCKSGKISYALKLVDEMHDRGVPHDKITYNSILDALCKNHQVDKAIALLTKMKDEGIQPDMYTYTILIDGLCKGGRLKDAQNIFEDLLVKGYNITVYTYTVMIQGFCDNDLFDKALALLSKMEDNGCIPNAKTYEIIILSLFEKDENDMAEKLLREMIARGLL >RHN47286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38489431:38489982:1 gene:gene41864 transcript:rna41864 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESLLPKQTNNGGIKQYLRRRQHKHLEGSGRKMKIIRLKRSPRKFWRIKTIPRLRWVIKSPLKMLTNFKKGYMNFMLKSLNTDNIFGGKQIPKAPQVSKDYASDALEVKLIYEISKALMASHELFPM >RHN80832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41147263:41149063:-1 gene:gene4799 transcript:rna4799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MATEPEPTLPFSIVSVVEDVLQKHGGRLSDIDFASRKAQEASSRRNEAASWLRNTVGNVGGKDMLDEPSEEDFRNALRSGIILCNALNKIQPGAVPKVVEAPSDIDNIPDGAALSVYQYFENVRNFLVTVEGMGLPTFEASDLEQGGKSSRIVNCVLALKSYSEWKLGGKNGLWKYGGNPKPPTYGKPIVRKSSEPFMRSLSRGMSSGDKDGLLNDPSSSIDVVSHDRNEGGSTASLYSLVREILHDRKQEEIPFIVESLLSKVMEEFESRVITLKQETVCFNLL >RHN50245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5466932:5470846:1 gene:gene34514 transcript:rna34514 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKKTGPPKHQNKIAWKPNAGIKINETEVGGRFRPLSEITGVCPRCKEQIDWKRRYGKYKPLHEPAKCQRCSKRNVRQAYHNLCFGCAKEHRVCAKCCCRVERIVGRDVSEVEAEKKMLEEAIKNASERERRSLLRAMNNKNKAKSSTDTPTDTKDNKVGELFPNSSLEDYAKKNGVVRKHDDSKICDSKHDGDESEEEVFDDEDDNDSASEECDEDNNENVPDEVNAKKE >RHN61648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37177236:37187174:-1 gene:gene24135 transcript:rna24135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain, acetyltransferase A, auxiliary subunit MEPLNSGDDRFSDAATSPFTFTTASSASVSGLSKPRFVKLRKHNNASVFNRDGSVANAAVTVVGSDKVDSALNFDKRISDRLKNLKIGTEGFGNAAIPEAMMSKLKISTEGFDKVRVESELGNELKKKLSIGENEGGNNVEDSANSVIRQLNNLNVNDGMNNKFNLVDQNFEPNLCNSSAMPSSGLGSGSVLFQPFEVNKKDQFVFQSKPEASGANFVEFKSHGPKIGGKEGKLKEKTGNMRMNKSRVNLKNSTPAPVQRWNGHGFVFKGSVLQEDRPQGSSESCSPMEVSPYQEKLAESRTSRESSVNSNESLRVDAEDSVPMNFVDHIDEDLIGATEKMNINESSEVAREDRKEGKSECGVSEDVCVEEETKDESVSGVETESFKSASDSVDVTDDAESEARDGDRMLNFGCNFNSRNESVSGSGFTFAAASSAEAHLSSPKRPNKKKTFVNVGHDSYNYAPNMKVPYSSPSVPFATLTGKPNIVSGQDIKARVPFPQPKTRVSGVNEEHKLREDSASVSAEACEKWRLRGNQAYKNGDLSMAENYYKQGLGCVPKEQPSRSCLRALLLCYSNLAATHMSLGRMRDAIEDCRLAAEIDQNFLKVQLRAANCYLALGEVEAASQYFKMCLQSGADVSVDRKISVEASDGLQKAQKVSDSIYHSAELLQRRTSSDAERALEHINEALMISMHSEKLLEMKAEALLMLSRYEEVIHQCDKTLSSAEKNACPIAAGCQVTYMDISELSKVVYFRLWRCSMMLKAYFYLGKLEEGLSLLEQQEEKVSAINKSGSKVLVSLIPLAATVRELLHHKTAGNEAYQAGRHAEAVEHYTSVLSCNLESRPFAAVCYCNRAAAYKVLGQITDAIADCSLAIALDGNYLKALSRRASLYETIRDYSQAASDLRRLLSLLSKGVEDNANNKGTSDRSINYTNDLKQYRIRLSELEEEDRKEIPLDMYLILGVEPSVSISEIRKAYRKAALRHHPDKACQSLTKNDHGDDGIWKVIAEEVHRDADRLFKIIGEAYAVLSDTAKRARYDAEEEMRNSQKRRPGSMGRNNAENQYQYCSSEQSNRRHWREVWRSYGNSETGRPSRK >RHN38506.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:185936:187332:1 gene:gene50617 transcript:rna50617 gene_biotype:protein_coding transcript_biotype:protein_coding MACPKTRTLTAMVLYQVIRIESFKAANVGERQDYMLIRMYMPGRIKEDVYQNTLSIKGERGGRKSSSRLDLTGKHYKIY >RHN48079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44814092:44818383:1 gene:gene42753 transcript:rna42753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ent-copalyl diphosphate synthase MLGSMEDGEITISAYDTAWVALIKNVDDENTPQFPSSLEWIVNNQLPDGSWGDELFVAHDRIINTLACVIALRSWNMHPLMCQKGMKFFVENLHKLQDENAEHMPIGFEVAFPSLLNIARSLNIEVPDDSPILEEIFEMRDEKLKRIPRDVLHMVPTTLLHSLEGMQNLNWYQILKLQSQDGSFLFSPSSTAFAHMQTKDEYALYYLDNVVNKFNGGVPNVFPVDLFEHMWVVDRLERLGISRYFQQEIKECVDYVSRYWTKKGICWARNSEVQDIDDTAMGFRILRLHGHQVSPDVFKQFEKSGEFFCFAGQLNQAVTGMFNLYRASQVLFQEEKILEDAKNFSAKYLMKKRAANELLDKWIITKDLPGEVGYALDVPWYASLPRLETRFYLEHYGGENDVWIGKTLYRMPYVNNDVYLELARLDYNNCQAMHYDEWKEIHRWYMESEQEGFGLSKKSLLIAYYVAAANIFEPERSLERLAWAKTTALLQILESNFEDEETRTAFVDQFNKCINEENYSKKVIDKNKREEKLMGILLTTLDDLDLQMFRNQNQENSHYLKQMWQRWFSSWVNEGNKSKREAKLLVQIINLTAGYWSKEVQLNPEYQKLLEVTNGICTGLRNYQSNKAQASINTSEIESQMQELVQLVLQNSPDGLHSNIKNSFLAVAKSFYYEAYCDPETIYSHIDKVLFQKVI >RHN61763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38147790:38149469:1 gene:gene24258 transcript:rna24258 gene_biotype:protein_coding transcript_biotype:protein_coding MGKELSATPAATYRSSDEVSFADTVFGFWEEFQDSSENSSNSGNDEEIDDEEDEDSVCTIEKNKAFWEEQDQLLKATLCRTSSGETKVRQATKEAMREINMSEMLCLCRQPVVSCRKCLLTEICDRLVNLGFNSAICKSKWKSSSEIPSGEHTYLEVTENSSKAKGGVIKVIIELNFRGEFEMARGNEEYNQLVKRLPEIFVGKAERLRVLVKIMCSAAKKCMKEKKLHLGPWRKQKYMQAKWNGKCDKILEPLPIVYSTRSTKPKASLLTFDLMIENIVGRHCTAVEVV >RHN70205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49649493:49651257:1 gene:gene18761 transcript:rna18761 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRISTRRYGMRGSVISNDMHKQTPLRGHTPQNSTAPGVIRVPNPNYGSSTSTQPQYMEFIPTPGFPKALMHV >RHN61798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38458155:38458772:-1 gene:gene24295 transcript:rna24295 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHVDTQQQQEQPLLENSPQKIPKTTTQKTIRKAFKGTAYLANLLPTGTVLIFQTLSPALTHRGNCHTQTSKIMTMIFLTFCSLSCFLLSFTDSFRDERGKVRYGVATLNGIWIMDGRIRIPAEEGVKYKLRFIDLFHACGSILVFGAIAMFDQSVVSCLAPKPSEEAKELLVALPIGIGILCSVLFLLFPTQRHGIGFPLSRN >RHN64851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62461557:62466034:1 gene:gene27717 transcript:rna27717 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFMGSKNPRSQWESSSATSSISPKFEIEDSIQDQHAPLNKRHKATNDILNEPSPLGLSLRKSPSLLDLIQMTLCQENSVNANTANDNLNSKANKNGRASVEKLKASNFPATHLKIGSWEYKSKYEGDLVAKCYFAKQKLVWEVLEGELKSKIEIQWSDISQLKANCPDDGPSTLTLMVARQPLFFRETNPQPRKHTLWQSTTDFTGGQASIHRRHVLQCEQGLLIKHYEKLVQCNDRLKFLSQQPEIMVDSPHFDPRSAAIENPHNLKDCDLHQGNGSAVSCFQNMGSPHSSLSPSFTTEHSDPSAITLDSVPCEAPSSSSEAMYNSEADSKGSRNWDQIKLPGLRPSMSMSDFLGHIEHHISKEMASGDPSFSAERLEYQQMMDGITQHLLNDNQVTTDSDEKSLMSRVNSLRCLLQMDPPAVPNSHDNTGFIEGPNDAKVNIDIKATEENSRDVYGGNPAPGMSRKDSFGDLLLSLPRIASLPKFLFDISED >RHN52023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30549970:30550285:1 gene:gene36637 transcript:rna36637 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEIWQNKVEGNGRWMGNMTIIYVHKFKLCIYFIYLFIGCLSFKLWKEMED >RHN68508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36067408:36069635:-1 gene:gene16871 transcript:rna16871 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNKFIFGLIKCLQWCCLDSLLQRTLAFMASSTLDHNDLFLWLETGFQKTYFNRISRSTHIANLLLITLKMGSTQRFGLNSIVMPWERGGYM >RHN43420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45709751:45711680:-1 gene:gene49991 transcript:rna49991 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFTLLKSWKPTAGETPQLPLPSPPPPLPATEEEDTHDIETTDEEDEGEPFFDIELTVPEEDEEEKANESDINEFRFTLSPSTNDPNLVQLELDSSEPNSKPQLTASLLKSATKFRVFISGLNKSNKSSNSSSQNPNQQPKSESQKKKLFTVKFKVDEVPFVSYFTRDNSSKGKGKTGNNKASQKQKKQRNTDESKLHSPSSDEKQRFSKEAIEKYLKKVKPLYVKVSRKYAEKLKFSGHLNTSSVKTDAAEKVEVRADPTENENEVKNVKSQKQGTLPLPLPAGLRVVCKRLGKSRSASSSTPSPEAGTVSSRRRDDSMTQQQDGIQSAILHCKSSFNSSKEEESSQHESSRKLAKDFDDEGGSLK >RHN76731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:805450:808239:-1 gene:gene101 transcript:rna101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MAIISDYQDDNQTKTSSSSSSQPKPSKPIPFSSTFDPSNPTTFLEKVFDFIAKESTNFFDKDSAEKVVLSAVRAARVKKAKSVAAEKAKIAAKEKAKAAAEKKLNDEKSEAVTEKIDEKAAPNQGNGMDLEKYSWTQTLQELNVNVPVPNGTKSGFVICEIKKNHLKVGLKGQPPIIDRELYKSIKPDECYWSIEDQNTVSILLTKHDQMDWWKCLVKGDPEINTQKVEPASSKLGDLDSETRMTVEKMMFDQRQKSMGLPTSEELQKEEMMKKFMSQHPNMDFSGAKF >RHN71681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1886496:1887501:1 gene:gene7306 transcript:rna7306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate decarboxylase MTTIRKNSNEPINNHVGLNGFQNHVTNDSMNNKPNCSIQNKALLVKNESDTLGHHIAKRLVEIGINDVFAVPGDFNLTLLDYLVAEPEINLIGCCNELNAGYATDGYARCRGVGACVVTFNVGGLSILNAIAGSYSEDLPIICIVGAPNSNDFGSNKILHHTIGLPDFSQELRCFEPVTCHQVTFPPNSRISVVCINPHLQLKLDDLDFNAVIKDIDDAHEKIDTAIAIALRESKPVYISIACNLPTIPHSSFTDQSIPFYLTPK >RHN71121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56613391:56613726:-1 gene:gene19769 transcript:rna19769 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSQKPHTTSFFLKYYFHCFFLCCQFSPIMSNFNENKHIAVFPFPFSSHPLPVLNLTIKTPPPQPQPPTTTASPTNGLLSNTNGVSFFVLFTYHHIHRTTTASPPQHPKV >RHN76524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50941714:50942346:1 gene:gene12865 transcript:rna12865 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPLGLFKVAITVSFIFPLIVLLPRSGRTVLCDEMRRESSLEERKVAIGSKPPVCVNKCMKCRPCMATVVVNDHDHQRKRKMKGFKLESSQEDDSYYLLSWKCRCGNKLFQP >RHN70331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50693082:50694190:-1 gene:gene18901 transcript:rna18901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WPP domain-containing protein MSDSEVTTVTSSDSNSVPPPQQNGDQTKPPSAGFSIWPPTQRTRDAVITRLIETLTTPSVLSKRYGTLPSDEAVSAARQIEEDAFSVAGDSAVPDGGDGIEILQVYSKEISKKMLETVKARPTVDSSAVDKDSAAVAPTVDDPPSAEDAAESAKIETETET >RHN81559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47004005:47007994:1 gene:gene5624 transcript:rna5624 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEDFSFPKINDTYSYIIDSPPLWNSSSTSSSNNSYQQLLRDQKDCYEENLVLKGQRNSFSSIQNGKKTRKELEDEEVPMDLLWEVFNEDVVEYRSLTIDNTNNALIQTKNKPSMLVMLKVLKKLFSINNSHGKPRRRIL >RHN65847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5772485:5772655:-1 gene:gene13739 transcript:rna13739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-locus receptor kinase MSYVVLMLNGEKLLPKPCQPGFYTGTVHHPIQVESSSRSTRDCSQNETSLSMLEAW >RHN61833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38691298:38694196:-1 gene:gene24333 transcript:rna24333 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPIADTPNETLNPITTEQCPEQPPPPPPPPPNPQPDPHFSETLENPQIQTIPDPDSTNPNHDQEDTLMDEDPTHPQIEDDPEPEPPSPAATTVRRGHKRKKFGGKRTAAQKRKSHEKFEVIIQTLKPIPFVPDKVLDFESHKSLLERLGLWGFVHIEFDSVIRKDLVAQVIASYNSTQRCSFVNDVKIMVNRAELGRAFKLPKKNSAAGGSVVDVGELSAEDIAFLDELASNWMLLHDDTHIMTKDVMQQLGLIKEGNLEKMDWAGLMWSMLEKELKATHLEECYYASHLQHLIKSQHKELFEETLVVEVEGEGEEGVVKDEEEEGEAKDEEEEEDGVVVKDEVDGSGDVKMGGVEENQVQELEEHNIELSLGQDKVETLPVEKEQGEGEQMMDFEQSKKEETEMWFLGQKNYVGEPSLRPCHNRDRKGIDCEQVKEDEGEEEEHEQEEEEEDDVEEDEHDVGFHFSTKHHLEGMPSGTGSSIQAMEAVQMPFGSGIHLHDSSVGDFLSARDDPQMIHGSSLFGNGHKRDIGLDNHNSHHTLNGSNKRLRSDSPWSSQPIDFEGCMEQMQHFMEKARMMYASKDQAAEESAANQQVLLNELQRRDEMVAHLQKARIDQTQKTQIEVYRLEKELYMMQSLVEGYRKALKETQKAFADYRARCPQADEPLYKDVPGSGGLVLSVMDLEKERLRKEEEERIQLRELLRDFEKNCKDIEEEWLGQVGILNEHLSRVESLSDKLQALDEKVKHLKEVNAKGKISDPLESVECAPVSKAEAA >RHN49963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2732610:2734562:1 gene:gene34205 transcript:rna34205 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNLSIFCCSFYHGMKIMELDPMKGTSDLNSLICCSNCVVNINCNLFKSEMWKIINLKIHFSIVINISHPYLF >RHN45458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21024801:21027780:-1 gene:gene39801 transcript:rna39801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MASSSLTISPRKLRSDVYSFSYQQDSSTPLVINVLASLIERNMARTKRIVKNCSRSLSKAISTNIFDCREIPDLTIQSYLERIFRYTKAGPSVYVVAYVYIDRFCQINPGFRINARNVHRLLITTIMVASKYVEDLNYRNSYFGRVGGLTTSEINKLELEFLFMMGFKLHVNVSVFESYCSHLEREVGIGGGYHIEKTLRCAEEIKARHREEIRGYAQIPRVML >RHN64814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62125858:62129951:-1 gene:gene27677 transcript:rna27677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MRKRRDNYKDAPQNKLQTPNFPKSDSDKRSFLFCLAFRMLNSMLVQSYFNPDEHWQGPEVAHRIAFGYGHLTWEWKQGIRSYLHPFLFVPLYKFLALIHLDTPWFMMRAPRLLQSVFSAVGDLYLYKLSAVLFGHSVAKWAIFSQLSNWFMFYCFSRTLSNSLETVLTLVSLYYWPCMRTYVVKSSYASRKWGLFVAALACAIRPTSAVTWMYVGFLELFNAHDRLKFVFLEVAPIGTLVLGLTCLLDRFMYGTWVLVPLNFLKFNFLSSGGDYYGTHKWHWYFTQGFTVMIFSHLPFCIAGIVYSKQWKFSGLLAWVLGFYSILGHKEFRFVLPVLPIALMFSGYSLAVIEDPSAGSLEYKGKGFSKKKNKCPPKMTVAILFLLATNIPMALYMSLVHQRGPEDVMNHLAREAFQGNMKSILFLTPCHATPYYSMLHQNVPMKFLDCTPSEEKGVLDESDRFMMDPASFMSKYAQNWSLPSHIVLFDSEEQKLRSFLISFDYREEKRFFNAHFKVDRDLQASIVVYVKKDSTI >RHN51355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16614494:16616544:-1 gene:gene35781 transcript:rna35781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MICNQSSSFIIAISLLISLLGNGLPSIGCPFNLSCTHDNKILELPAYPVPIKLLIRVINYTSQVLEARDPEHCFPRLLLQPNFSSSIFPFRIIDGSRFPVLYNEFTHISFFDCSSLGQRYLRRVHSQQDMISCPIYIAGFYDDVVELNLVSCTKLSQRVSPLILSDESVYGIQQNSILLSWSGTTIDKGCLECKHKSKKKIILSSAGVIIGSTLPVLLFGSIFQIYRYVKMKGEDHTRIENFLKDYRALKPTRFSYADLKRITNKFKDKIGEGAHGAVYKGKLSNQILVAVKILNNAEGDGKEFINEVGTMGKIHHLNVVRLLGFCPDGFHRALVYDFFPNGSLQKFISHPNNKDDFLGWDKLQQIALGIANGIEYLHQGCDQRILHFDINPHNILLDDNFTPKITDFGLAKMCSKNQSNVSMTAAKGTLGYMAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGRKNTKTTSGDENIQVEYPDWIHNLFEGDIQIPIDEEGDFRIPKKLATVGLWCIQWHPLHRPTMKSVIKMLQAEADKLKVPTNPFGPTTKTKTNSNIVAERINLELEVIEEIE >RHN41408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29760685:29763451:1 gene:gene47726 transcript:rna47726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-disulfide reductase MADSVADVTHNVHSILSSSDRDFLLRNTGDQVKIDSLKGKKLGFYFSASWCGPCRGFTPKLVEVYDELSPNGEFEVVFVSADKDDEAFKSYFSKMPWLAIPFSDSETRGRLDELFHVNGIPHLALLDEAGKVITEDGVDIIRVYGAEAYPFTSKRVQELKDIEEEAKRNQSLRSILASRSRDFLISSDGNEIPISELEGKTVGLHFCATSYRACTLFTQKLKEVYKKLKENGENFEVVFIPLDDEEDAFKKELESAPWLSLPLKDKTCAKLIQYFELSELPTLVIIGPDGKTLHPNAAEAIEDHGVDAYPFTPEKFSELDEIAKAKEASQTLESVLVSGDQDFVIDKDGKKIPVSELVGKTVLLYFSAHWCPPCRAFLPKLIEAYHKIKAQNNDALEVVFISSDRDQESFNEFFAGMPWLALPFGDTRKEFLSRKFKVSGIPKLVAIGPSGQTVTKEARGLVGLYGADAYPFTEKRIKEIEAQKDDIAKGWPEKVTHETHEHELVLSRRNVYCCDGCKDEGDTWSYLCAECDFDLHPNCALGDKGSINGAKEEEKPKDGWVCDGDVCTKA >RHN56308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29725376:29726045:-1 gene:gene31688 transcript:rna31688 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAQACDQIIKQDHGIVNPFTQATASSKELHKDSKVIKKLVK >RHN64660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60805687:60809545:1 gene:gene27498 transcript:rna27498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-VIIa-2 family MGNCLTTSLQSSGDCPNSSTAPDNNNQSGSILFSAGLSNLRLIRNGNSTSLGHSSNSGGNSRLFSSSNNHSTGNNTSTSFWGSENSQASRIRDEDEFPYGHILDASNLKVFTLAELKAATRNFRQDTLLGEGGFGKVFKGFIKERAESKKGEGLTIAIKKLNTNSMQGVAEWQSEVNFLGRLSHPNLVKLLGFGREEDQLFLVYEFMHRGSLDNHLYGRGSNVQSLSWDRRLKVMIGAARGLNFLHSLEKKIIYRDLKPSNILLDKASTAKLSDFGLAKSGPSDDHTHVSTRVVGSHGYAAPEYVATGHLYVKSDVYGYGIVLLEILTGKRIGEITRLSQPKSLRDWLKSNLLNRGKLRSNMDAKLEGRYPPNLASQVAQLAFKCIQTEPKIRPSMKEVVETLESIEAANEKPADNRKKTTNSKAVQQQHGQPDGG >RHN51067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13573518:13573901:-1 gene:gene35440 transcript:rna35440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MYLTKHVFKMSKQYLANVALKINVKVWGRNTVLGDALSRRIPLVSDRPSIIFGADVTHPHPGEDSSPSIAAVCFVHMRFVSNSKQLKLEYKFYTFSILNNDLRCCRLLLLKIGLKLPSMLEYLNNNQ >RHN49818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1433799:1436108:1 gene:gene34040 transcript:rna34040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MNSMRLIHVLWFFNLCVTFTFIQVLSENVYNSKKCEYPAIYNFGDSNSDTGAANAIYTAVTPPNGISYFGSTTGRASDGRLIIDFISEELKLPYLSAYLNSIGSNYRHGANFAVGGASIRPGGYSPIFLGLQVSQFILFKSHTKILFNQLSDNRTESPFKSGLPRNEEFSKALYTIDIGQNDLAIGLQNTSEEQVKRSIPDILSQFSQAVQQLYNEGARVFWIHNVGPIGCLPYNNIYYPHKKGNLDVYGCVIPHNELAQEYNRQLKDKVFQLRRKFPLAKFTYVDVYTAKYKLISNAKSQGFVNPLEFCCGSYYGYHINCGLKAIINGTVYGNPCDNPSKHISWDGIHYSQAANQWVAKQILYGSFSDPPVSVGKAC >RHN64869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62590492:62594249:1 gene:gene27737 transcript:rna27737 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVGNAATSDESMKLAVAISLLRSKFIKNTKHSSNAISPSQSENLLRWKRKAKERKQEILRLKEDLKETQDASHCDLFPESASCKCYFFDNLGKLSPKHDRNDSNNRFNDVLRRRFLRQVRFKERRRRIGSSSSSTQQRLSLGLVEEDETEQLKASVDFLMELCETVSPVDDSKFANLAHQSVEFILGSLKNLLSRGRNLELVERTINSLVTRLTVKMCSYLSENGSQDSGTNAQFCIQHLVRKLGSAPYIGQRVILSVCQRILVLAERLLFSDPFDEKFPDMHECMFIMIQLIEFLVADYLLEWSKAEDFDTMLLEDWMTAIIQARKALELLESRNGLFALYMDRVTGELAKHVGGVSLLQKVKPDIFNRLFH >RHN45866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26384791:26385736:1 gene:gene40288 transcript:rna40288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MELILKHNHCEKWNWWLLKALKHCPKLQNLTIHQDFENEEEFEEVVDNWVYPTIIPDCLSTQLKTCLLKGYECTDRELQFAKYIMQNSEVLKTMSIKSASSIDTNTKHQIWMKLASCTRASSTCKLLFD >RHN77665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8504308:8505475:-1 gene:gene1140 transcript:rna1140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monodehydroascorbate reductase (NADH) MIFYQFPYSSFPISSNSCFSAFSSPMATINFICKPSIPSIPISFPTLHSLPSSIPKIYSRVGPSSNLHLQQRRRVVITKATGTAASDAKKKKDARVQRVHSIEEFDEALESAKDKLVVVEYATSDDSESIEIYPFLVDLSRTCNDVEFLLVMGDESEKTKELCKREKVDKVPHFTFYKSKEKIHEEEAIGPDMLVGDVLYYGDNHSGVVQLHNREDVEKLIEDHKIDHKLIVLDVGLKHCGPCVKVYPTVIKLSRQMNDTVIFARMNGDENDSCMQFLRDMEVVQVPTFLFIRDGKIAGRYVGSGKGELIGEILRYQGVRVTY >RHN48880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50876481:50878389:-1 gene:gene43645 transcript:rna43645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MKMRFFLVASMVIFCFLGISEGGSLRKNFYKKSCPQAEEIVKNITLQHVSSRPELPAKLIRLHFHDCFVRGCDASVLLESTAGNTAEKDAIPNLSLAGFDVIEDIKEALEEKCPGIVSCADILTLATRDAFKNKPNWEVLTGRRDGTVSRSIEALINIPAPFHNITQLRQIFANKKLTLHDLVVLSGAHTIGVGHCNLFSNRLFNFTGKGDQDPSLNPTYANFLKTKCQGLSDTTTTVEMDPNSSTTFDNDYYPVLLQNKGLFTSDAALLTTKQSRNIVNELVSQNKFFTEFSQSMKRMGAIEVLTGSNGEIRRKCSVVN >RHN62208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41726951:41728289:1 gene:gene24759 transcript:rna24759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MIVISVCELSFPDDKFNRMWQPFKDQNPVVASQSNITSSDFWNLPPVKAFSSGITTSKGKALEIQWPPLYLPSTYYYISLYFQDNRHPSPFSWRTFDVSINGHTFYSNLNATSKGVTVYAAQWPLSGLTKITMTPSPGMPVGPMLNAGEVYQILPLGGRTQTRDIITMEDLARSIQNPPRDWNGDPCRPKENSWTGVTCSSQFVARITVVNLTNAGLVGTLPPSIGHLTALSHLWLGGNKLTGTIPDLSGLKELETLHLENNKFEGKLPPSTEKLPKLREM >RHN73845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20596312:20597499:-1 gene:gene9727 transcript:rna9727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MRDSLLHWRPSPVLPDDVIFQILLRLPVRSLLKLKRVCKSWKTLISDPCFAKCHLQSLTMNPSISHQHLFSSKRLLLNHDHIVSLPVESLFENLSQPTKSVSFRMKRFFRNLLSSNNGSFKDSLKPTKAVEFDLDHSFTIFGSCNGLLCLFDRDHGCFRLWNPLIRLISKKSPTLGCYEYTTYGGFGYDHVSDKYKVLVGFNIFTNGIRKSMTKIYTFGENSLKTIPNFPFPDIWWDGKFVSGTLNWVMLKMGVSSSQNAIISFDLEKENYKELLLPEHDGINVSIPTLCVSDNRLYVCFDENKTHLALWLMKTYGVAESWTKLMMIPHEDPVHHLLQLNEPVLIFEDRIVLIRTEEKFVLYNFNNGRVDSPWISSDNYLYKQHVYNESLVSPLL >RHN58095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43725882:43726382:1 gene:gene33703 transcript:rna33703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MMHHHHEYMQEGTRRTTSRTQQQSKRHKFFINDNIDILREILKRLDGPSLGVASCVCRLWCNLTTKDDSIWEHLCFRHVSTPPPASVRPVVVALGGYKRLYMVCVRPVLSRLGESEMVRKQVWTRHEVQLSLSLFCIDSYERLAGGMVASDAAASSLIFLCNPINV >RHN69847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46746680:46753935:1 gene:gene18369 transcript:rna18369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-alpha-glucanotransferase MSLTLSLSITTLSFPQLRRCTFTSSPIPSFKLNSSPLSFPRAASSSLVTTSLTQFTVGEDLPQNYGEDWLPKPDLHLRRRAGILLHPTSFQSPYGIGDLGNEAFRFIDWLHQTGCSLWQVLPLVPPDEGGSPYAGQDANCGNTLLISLEELVADGLLEKHELPKPIDAERVNFSVVADLKDPLITKAAERLVSSKGELKKQLENFRRDPNISSWLDDAAYFAAIDDSLNTLSWYDWPEPLKNRHLVALEDIYEQKRDFINVFIAQQFLFQRQWQKVRDYAQSRGVKIMGDMPIYVDYHSADVWANKNQFALNRKGFPLLVSGVPPDAFSETGQLWGSPLYDWKAMEKEGYSWWIRRIRRAQDIYDEFRIDHFRGFAGYWAVRSEAKVAMGGKWKVGPGTSFFDAISRAVGRINITAEDLGVITEDVVQLRKSIGAPGMAVLQFGFGGGADNPHLPHNHECNQVVYTGTHDNDTIQGWWEALNQKEKSHVLSYVSLTGEDDISWALIQTALASVAQTAIIPMQDVLGLGSSARMNIPATRFGNWGWRVPSSVSFDKLDREATRLKEMLSMYGRL >RHN68898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39422944:39424420:-1 gene:gene17314 transcript:rna17314 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIVEEVSGKSHGLDENKDTRGFKLQNGYYEGGFDCSGSNRFEISGSSRGRIE >RHN60241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24169348:24171374:1 gene:gene22510 transcript:rna22510 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFIKNQIPVLEQKPVKQRIQTEGAVFRRNTLKLCWQLFGDSTQQQNKLHA >RHN50978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12586866:12590152:-1 gene:gene35337 transcript:rna35337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MEDFWNVICGDDDSACSLLGGKPFCFNLEVLTNPSSCINHLLIIFLNLLLLIMLTFVTIQKSLVKSIQGQNRVESYSKLQLVSAITNGSLGLLHLFLGIWILEEKLRKNLTVFPLTWWPLEFFHGFTWILVGLTINLVPKQLPRTWWRMFSILIFFVSGIFCALSLSYAFSSKEMSLKVALDVLSFLGVILLLFCTYKVCKDEDVDKEINGSLYASLNSQIHDVDPLGRISVTPFSKAGLLSRMSFWWLNPLMKKGQNKTLEDEDIPKLQEPDRAEVCYSLFIEQSNRKKQKDPSSRSSVLWTIVLCHRREILISGFFAFLKVLTLSSCPIILNAFILVAEGNQSFKFEGYFLAISLLFIKILESLSQRQWYFRSRVIGMKVRSLLTASIYRKQLKLSNAARLIHSSGEIMNYVNVDAYRIGEFPFWFHQTWTTVLQLSIALVILFRAIGLATIASLVVIVLTVFLNAPLAKLQHKYLSKLLVAQDERLKASSEALVNMKVLKLYAWEMHFKNSIEILRIVEQKLLSSVLLQKAYSLILFWFSPTLVSAATFLACYLLKVPLHANNVFTFITTVRLVQDPISTIGDVIGVIIQAKVAFSRVVKFLEAPELQTTSVRKSCYDEKLKGSIKIKSADFSWEYNILKPTIRNINLTIRAGQKIAICGEVGSGKSTLLAAILGEVPNTKGKIEVYGKFAYVSQTAWIQTGTIQENVLFGSPLDTQRYEESLHRSSLMKDLELFPYGDLTEIGERGVNLSGGQKQRIQLARALYQNSDVYLLDDPFSAVDAHTAKKLFNVITSSFLKKPRLHHKIHSLLKNDIET >RHN81664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47919920:47920799:-1 gene:gene5737 transcript:rna5737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L1 MDVEALKKLNKNKKLVKKLAKKYRAFLASEAVIKKIPRLLGPGLNKLSIDDEDDMLMKEEDEEMEKIVVIDGIP >RHN63150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48880640:48885399:1 gene:gene25818 transcript:rna25818 gene_biotype:protein_coding transcript_biotype:protein_coding MRRESLEGKVSLKTSLEGSGEVINLVLRSVLNVIWMIGKWKI >RHN81180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43874565:43876612:-1 gene:gene5186 transcript:rna5186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VPYL MDRLVKTEFNEVNLNFQKNQKCSSSFKLTNLMHTMSVAVSLTTTNPTTFSINKPLSVIPPLSSSTYTLHLTNLNQPPLSEPADVITVRTSMLPTGKATTDDLRRLFNKPGPHVFRDAVITVILVGPTVAEYVISNYETRNLFTKAISVCTKSNLTNLMKPAVESGKVEYVTDLITAGGDVNFRDSNGKSLIPFAIRTGKLAVLKLLVANGCRINDSVDFVLHEAAIIDRVDVVKFLFESFCDELDVNSVNREMMTPIHVSASEGHVSLIEFFVSIGGNANAVDSRRWTPLHHAASRNHLKAVEFLLENSDVKYARELNGKTAFEIASESGHTRLFGVLRWGDALLQAARVDDVHALKKCLGEGAEVNRKDQNGWTPLHWASFKGRIKSVKVLLEHGAEVDSVDDAGYTPLHCAAEAGHLQVALVLIAHGGCQTNLKSFQHVSPIATFQKHVSLHYSTKKSETFA >RHN61995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39904757:39905845:1 gene:gene24515 transcript:rna24515 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKSSYLNLCSSLCCFYCIWLNQSSYLGAFDLLLTVEGNAGPEIDRVVRRDLINLRIFHMEYDMCYGRLPSSFARGFGLQVASFVLLRDPNQNEVKNQGTNEYRNSVARYGSNAIFPPTVFYHTIVKELTAADISSGVLLFGNWLWISVMIKICLVYFLVSGHLCVQRP >RHN76760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1057850:1058857:-1 gene:gene133 transcript:rna133 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQSDNSPIIIVVVVVCAGGLALLSLFTFVLFCCFQKRKEKKTQETDIIHIDEHKKGKETIVPGPFGQQAVVISVEDDVHVDESKKSKKLGHGLHANDAKSSSAIIHIDEHKKGKETIVPGPFGQQAVVISVEDDVHVDESKKSKKLGHGLHANDAKSSSAIIHIDEHKKGKETIVPGPFGQQAVVISVEDDVHVDESKKSKKLGHGLHANDAKSSSAIIHIDEHKKGMETIAPGPFGQQAVAISVEDDVHVDESKKSEKLGHGLHAKSSSAEANHHNSNSLEVGTSHGHHHQLENKS >RHN57473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39391046:39392045:1 gene:gene33020 transcript:rna33020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSSSSSSSSTDTKKGTWSKEEDEILKAYVEKHGTRNWNEVSKNAGLIRCGKSCRLRWYNHLQPDVKKGPFSEEEKSKVFEFYIKYGEFKWSKLAHELPGRSDNDIKNFWNARKRKLEKLGLSPFPDNMEPDHKLNSSQQVEDSQEDEFNIPQLKFRKYPSIFDEINEKLLDVPNMFYNNVGSTSTLIDRVSSIIGTSHIHIPIALPSPCSYLPLPSPMMLDEKGKKPISLEDDVEANLDDFMDLIDFSNLEDDVEAGSRLFDNDDI >RHN63358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50643759:50646350:-1 gene:gene26048 transcript:rna26048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L37ae MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKFFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >RHN52102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31535930:31539076:1 gene:gene36723 transcript:rna36723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MKPFVQRLSIIIFVPLILSILFLILMPKETSMATYEVFGVKIEKNPSQSKLIELGVSTWPKWEGGPLKIPWSFEEEETMYILEGKVNVTVEESIGSFEIGGGNLVVFPKGMNITWDVTEPVKKHYSLKKE >RHN58570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2875143:2880381:-1 gene:gene20492 transcript:rna20492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome-c oxidase MLRRLLISSQLKTLTSRRSPSSAVAVSLLSRHHFSTQSSDDSTTGIKKKVEDVVPIATGHEREEIQAQLEGRDILEIDHPEGPFGTKEAPAVVKSYYDKRIVGCPGGEGEDEHDVVWFWLEKDKPHECPVCAQYFVLEVVGPGGSPYGHGDPDHHH >RHN61600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36719569:36723388:1 gene:gene24079 transcript:rna24079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKLRHLRRARLSLSPLQRTFSTSKSTNENDTHFITHISDIVRGNLSWKIAFNDPSISSTLKPHHVEQVLINTLHDSKLALRFFNFLGLHKNMNHTTTSFAILVHALVQNKLFWPANSLLHTLLLRGSDPKFVFEKFLESHKQCKFSSTLGFDFLVHSYLQNTRVFDAVVVLRLMLGNTLLPEVRTLSAILNGLLRIRKFILVWEVFDESVNAGVKPDPYTCSAVIRSLCELKDFCRAKEKILWMESNRFDLSIVTYNVLIHGLCKGGGVLEALEVRKSLREKGLKEDVVTYCTLVLGFCRVQQFDDGICLMNEMVELGFVPTEAAVSGLVDGLRKKGNIDSAYDLVVKLGRFGFLPNLFVYNALINALCKGEDLDKAELLYKNMHSMNLPLNDVTYSILIDSFCKRGMLDVAESYFGRMIEDGIRETIYPYNSLINGHCKFGDLSAAEFLYTKMINEGLEPTATTFTTLISGYCKDLQVEKAFKLYREMNEKEIAPSVYTFTALIYGLCSTNEMAEASKLFDEMVERKIKPTEVTYNVMIEGYCKAHNMDKAFELLEDMLHNGLVPDTYTYRPLISGLCSTGRVSAAKDFIDDLHKKNLKLNEMCYSALLHGYCGQGRLTEALSASCEMIQRGINMDLVCHAVLIDGAMKQQDMKRLFGLLKKMYDQGLRPDSVIYTSMIDAYSKEGSFKKSGECLDLMVTEKCFPNVVTYTAFMNGLCKVGEIDRAGHLFEKMLTANISPNSVTYGCFLDSLTKEGNMKEATDLHHEMLKGLLANTATYNILIRGFCKLGRLIEATKVLSEMTENGIFPDCITYSTIIYEHCRSGDVGAAVELWDTMLRKGVEPDSVAFNLLIYGCCVNGALDKAFELRNDMLSRGLKPRQILQLQKV >RHN59927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14864189:14870435:1 gene:gene22097 transcript:rna22097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MEEVQESQPQSKPGQENNVDEVIDENSEYEKGETPEELLSSPPKVDSEVEVLHEKVTKQIIKEGHGQKPSKYSTCFFHYRAWSENTEHKFEDTWQEQRPTEMVIGKEKKEMTGLGIGVASMKAGERALLRVSWELGYGQEGSFSFPNVPPMADLVYEVELIGFDETKDGKARSDMTVEERIGAADRRKMDGNVLFQENKLEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNTAACLIKLNRYEEAIGQCSIVLSEDESNLKALFRRGKARAALGQTDAAREDFLKARKHAPEDKAIARELKLLAEHDKAIYQKQKEIYKGIFGPRPQPVPQKRNWFIVVWQWLVSVFHNFITLFNREKKHKDD >RHN51663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21701144:21702974:-1 gene:gene36145 transcript:rna36145 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYQLLQMLQMKFSLYSYSDSTEFHLLLLLFRHIQALKQPHF >RHN72758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10474479:10476811:-1 gene:gene8511 transcript:rna8511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger, cation/H+ exchanger, CPA1 family MVYSLKYAYWLVSLCAHLFYHVFASLIPMFTQSGFSLAPKPFFSNFGAIVTFAIFGTFLASFVTGALVYLGGLVFLMYRLPFVECMMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSVVKNNPSGQNFFMVVVRFLETFVGSLSAGVGVGFTSALISFKIHSCPTYLQYASLEI >RHN75970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46657939:46659853:-1 gene:gene12235 transcript:rna12235 gene_biotype:protein_coding transcript_biotype:protein_coding MARTETVNILNIDHQKRIEELKCQHEASLKELEDKQSALILKIQDWEATWVEEVKSWAKNELRNMVASKEPGTGIDYLQMCDNVVESMIEAGDMVTETNSPSVSKTVLLQNSLVKYDRANEMDILVSNDQPVSGSEDHNATENQYVSQEIIISKHSHSREQNSDVATSMTDEDNRCAKFGHGSQDGCEMPSLGNTCLPDCENATHLEHQCSDGVSSSIPEGKILVEVQETNNEGDSMCVSEIHVQVEMPVTDSVTDCLLQNATHLNPPSSIDQISDRGSIDAPVLDAVMIKISLSNPPLEQQIPDGDVPFIVPENSHAVGDCDKGIGPSTNATAATLVDNSTTNAIVTSVNVMEPLGHGKQLPSVESAADKDSDGEMQNSSEQIQLASSSSDIVPANQITVPSNQVNQLAHAELSSNLVMSGLSNVHLATEDEHQLNSICGLPANHSEQSSVVPNKDVGQSHLNSNLDLLTASRVRAQSANPRNFSIPLAMKSHPIQSKTPSPSRRLPHLSHDIEFKRIQKIIEKTSKNNEDMKLQLKSDFEKELAELRRKYDVKLQEIEVDYRS >RHN59550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11864025:11867707:-1 gene:gene21592 transcript:rna21592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein DnaJ, cysteine-rich MSSISFCCYNGAAAPPTFLHKVPLQPITLTRSIQRRQSTITTSASKGFSFNSIFFKSCKSCEGKGAIECPGCKGSGKNKKNGNVFERWKCFGCQGFGLISCPSCGKGGLTPEQTGER >RHN65551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3150411:3153167:1 gene:gene13398 transcript:rna13398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MSSNNLLALHTPPPLSTPKRSTTPLYQRIYIPTHIYRHPSSILLELTTSISELHQILPHIIKNGFYNQHLFQTKLISLFCKFGTINEALRVFDSVETKLDVLYHTLLKGYVKNSSLSESLSFFKRMQNDEVEPVVYDFTYLLQLCGENFDLKKGMEVHGMLIKNGFESNLFAMTSVVNLYAKCRKIDDAYKMFVRMPERDLVCWNTVVAGYAQNGFARKALKLVLDMQEDGKKADSITLVSVLPAVADVKGLRIGRSVHGYAVRLGFDSMVNVSTALLDMYFKCGEVETGRLVFQRMSSKNVVSWNTVIDGLAQNGESEEAFATFLKMFEEKVEPTNVSMMGALHACSNLGDLERGKFVHRLLDQMKLSSNVSVMNSLISMYSKCKRVDIAASVFDNLEGKTNVTWNAMILGYAQNGCVNEALNLFCTMQSQGIKPDSFTFVSVITALADLSVTRQAKWIHGLAIRTNMDTNVFVATALVDMYAKCGAIETARELFDMMQERHVITWNAMIDGYGTHGLGKAALDLFDDMQNEASLKPNDITFLSVISACSHSGFVEEGLYYFKIMKEGYGLEPSMDHYGAMVDLLGRAGKLDDAWKFIHEMPIKPGITVLGAMLGACKIHKNIELGEKAADRLFELDPDEGGYYMLVANMYASASMWDKVAKVRTAMEKKGLHKTPGCSLVEWRNEVHAFYSGSTNHPQSKRIYAFLETLGDEIRAAGYVPDNDSIHDVEEYVKEQLVSSHSERLAIAFGLLNTRPGTTIHVRKNLRVCGDCHEATKYISLVTGREIIVRDLQRFHHFKNGRCSCGDYW >RHN48039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44462529:44467779:1 gene:gene42709 transcript:rna42709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MATSLALRNSSSKRLSPFLFSSQFHTSLTRSPISQSPLTDDATPSSSIPWWRSMATFSRTKPHVNVGTIGHVDHGKTTLTAAITRVLADEGKAKAVAFDEIDKAPEEKKRGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDDIPIIRGSALSALQGTNEEIGRKAILKLMDAVDEYIPDPVRQLEKPFLMPIEDVFSIQGRGTVATGRVEQGIIKIGDEVEVLGMTQGGPLKTTVTGVEMFKKMLDQGQAGDNVGLLLRGLKREDIQRGQVIAKPGATKASKRFEAEIYVLTKDEGGRHTAFFSNYRPQFYLRTADVTGKVELPENVKMVMPGDNVTAVFELIVPVPLEPGQRFALREGGRTVGAGVVSKVIS >RHN46043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28041218:28041890:1 gene:gene40483 transcript:rna40483 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYISQYFLLGLLCIALVLASAGPTPSLSNDPHIDQTDRPCIAAYHCSENGNCDKLCREIGYKGGDCNPGYCCCDV >RHN75976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46697206:46699167:1 gene:gene12242 transcript:rna12242 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLAIALALLVLASSCMAINKKKLVYQMSENQKQEQGMERDHELIDLKNHHRIPTPPSRKDFGKPGGSSHFGVNDDNDHHYIPRKDYGTHPGNG >RHN62862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46304021:46307515:-1 gene:gene25488 transcript:rna25488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldolase-type TIM barrel MHSLTCLTLSSPSSISSLKPNHNYNPLLGAASKPCLLTLKRKTSFTTRALLSSTKESVLKDFRERTALKIITGLQNFDKDNVASVVTAAEKGGATHVDIACDPELVKLAISLTSCPVCVSSVDPATFPAAVEAGALMVEIGNYDSFYEKGVIFTPEQILSLTKETRRILPSVVLSVTVPHTLSLPDQVKLAESLELEGVDIIQTEGGKCSNPTKSGVLGLIEKATPTLAAAYSISRAVKIPVMCSSGLSAVTAPMAITAGASGVGVGSAVNKLNDVVAMIAEVRSIADSLKTSLPIHTTHEVKTHFQ >RHN72366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7085147:7090365:-1 gene:gene8069 transcript:rna8069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endonuclease/exonuclease/phosphatase MFSVIRRKLRYIYSRILFLLWKRPRSKVVIKRFRKLNCNNKKTKSSKSNSNGAHHLVECDSRKPIRIATFNVAMFSLAPAISENDDYVMYNQKKKNSNSTTSADFPKSILKQSPLHSSMNLSNSDTNIMPRSNLKQVSINLPDNEISLANSKILGSIEDIGSVSSRRNQVPARSPVCFPFLMNMNMNYCEEVNEKFSSSRSILEVLREIDADVVALQDVKAEEEKCMRPLSDLAAALGMKYVFAESWAPEYGNAILSKWPIKKWKVQKIADDDDFRNVLKATIDVPWAGEINLHSTQLDHLDENWRMKQVNAIIRSNDPPHILAGGLNSLYRADYSSQRWTDIVNYYEKLGKPRPMTEVMNFMKSKDYDDAKDYAGECEPIVIIAKGQNVQGTCKYGTRVDYILGSSKSPYKFVPGSYSVISSKGTSDHHIVKVDIMKVNTSTQNNVIKQCKKLKRKVVRITPPCSTVGV >RHN54941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13790261:13791076:-1 gene:gene30047 transcript:rna30047 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRLLSSSYHSFMSAVPAFIRHRPFATAAMSLVAISYATPRLIRYFNTNELNNNNNNDDDDDLVLWDFTETEESVILRSNLVADNVRKEEIDVCVDHGTLRIEDVLPGRNLFSVTLNLKGKHCDFVNTKAKMIENNFIEVVVPKLKNKEEDEDEDDDVIVHHVDVE >RHN64120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56732659:56733535:-1 gene:gene26905 transcript:rna26905 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNKKHMKSLMGKVQGFSLPSLGGCFDGCRDQAQGSGFGTRIWNLSDKPVELQIRVGSILKKIHTLKPGSSKRLKCKNIYKAYMPRNGSVGENLKNLLYSYDETSHPYIWIHDTGCHNSLKMVKQQYISLEDMRDSSEIKIFRDHQRGSISVRKRMRPDFCSI >RHN80277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36756599:36757915:-1 gene:gene4180 transcript:rna4180 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNRNTTTTTLQKPSRLQKRAPSSLQINRSIQWNVAIPLLSPLSSSPPPQLPDTRPSQGVTAEPEKVIVTFKNWQHPAAPFCHEHAPLVPLRL >RHN40021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12327616:12328843:1 gene:gene46111 transcript:rna46111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MSRTIEITILSAENLQENKKAIKGNTFVTVQCDGSNREVSTTKLDSEGGSYPTWNEKLVMDVPLHARFLTIEVKYKTRGSSSNSVGMARIPVSDFLGGYVHENQLQFLSYRLWDNRVRRNGVVNISVKVKMSQQNSCSSSMSSTVSGVPVTGVPVTGNGSCSGVVTGIPAVWLNYQRNI >RHN78592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16488052:16490703:-1 gene:gene2215 transcript:rna2215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTYISKVVYALIIFLSIYVGVNDCMLVTCEDHFDCRQNVQQVGCSFREIPQCINSICKCMKG >RHN69783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46247898:46248202:-1 gene:gene18297 transcript:rna18297 gene_biotype:protein_coding transcript_biotype:protein_coding MFCIDPYVYVLGLKGSSFFLCCLHYYVYMSSQSTHINSSNIPQVLL >RHN53651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3107531:3110390:1 gene:gene28580 transcript:rna28580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-methanol-choline oxidoreductase, long-chain-alcohol oxidase MYIFDVVSEAEWDEKLVDESYKWVERVVAFQPPMRQWQSAVRDGLLEVGVLPYNGFTYDHIHGTKVGGTIFDHNGHRHTAADLLEYANTNTITLLLHATVHRILFTKSKGGLSSKPIAHGVLYKDARGTEHRAYLNHGTKNEIIVSAGALGSPQLLMLSGIGAAHHLKQHNISVVLHQPFVGQGMSDNPMNSVYVPSPSPVEVSLISVVGITSFGSYIEAASGATFTGSQRDFGMFSPEIGQFSKLPPKQRTPEAIAKAIERMESLDQEAFRGGFILEKILGPISTGHLELRNTDPNENPLVTFNYFQDPRDLERCIQGMNTIEKIIDSKAFSPFKYTNMSVSMLLNMTANSPVNLLPKHTNTSMSLEQFCRDTVMTIWHYHGGCQVGRVVDSDYKVAGVHALRVIDGSTFNHSPGTNPQATVMMLGRYMGVKILRERFADDETT >RHN62654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44988388:44989614:-1 gene:gene25250 transcript:rna25250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MEEAKKLKTVTCYDEECDQFSNLSDELVCHVLSFLPTKAAYRSSVLSKRWASICTTILDLNFEIPEVTDSSSEIKSVYAALLRRTENIRKLRLRNYDGCKPCDVHLWVSKALDLKVQELHLEFGSRPNPILPLRLFRSESLVVLKLRGHIEFQPTLDSSFDIHLPSLKILHLDLFRLDFNEDRSEYDLIELLSGCPRLEEFLFHGYLEQPINISFPLLKRLDLNLWRFSDSVSPIGPLQINIQSSLEALDFTDFSHKEYEFINLSNVDRATLSIIKYVDFNSLHKLLKGLSYVKSLTLTSETIKVSFNFVIIHFYFFLRNIVPYFHILLPICYFCLFVIQFLSMEDKLHNLSFLTFHKLLSLSVGISENCNWNLLVGFLQNAPNLKDLIIEVRIKYYNSICTKYMFFQ >RHN46514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32414490:32419112:1 gene:gene41007 transcript:rna41007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MHEMLQELGKKIVRGEHPDEPGFWSRLWLYRDFHHVMMTQKKAIEAKAIVLNQKEDDFKFNELRAEDLSKLEHLKLLILNHKNFSGRPSFLSNSLRYLLWNDYPFISLPSNFQPYHLVELNLPGSSVEQLWTDIQQMPYLKRMDLSNSKNLKMTPCFKGMQNLERLDFAGCISLWHVHPSIGLLRELQFLSLQNCTSLVCFEFGRVSESSSLRVLCLSGCTKLENTPDFEKLLNLEYLDMDQCTSLYKIDKSIGDLTKLRFLSLRGCTNLVIIPDSFNNMTNLMTLDLCGCSRFTNLPLGSVSSFHTQQSLISLDLSFCNISIVPDAIGELRGLERLNLQGNNFTELPCTIQRLSSLAYLNLSHCHRLQIWPLIPIESCPSDSVGRYFKIKSGSRDHRSGLYIFDCPKLATGFLMTNRERSAYLFKWLRRLVEEPRHFRCGFDIIIPLRQGYFPCGSDWNSVLRIKESDIDVDCRGYLFSIVFKMNNHSEVSDSPHQSLSSPMPHPFYLSFESEHTEERFDIPLNLEQNVVDGSTYIWTIYISREHCHFVKTGAQITFKARQGLIIKEWGLRVLAKKDIADSEVGLIKDLPLHIVEVEESSISSSFEPKIQLPYNWFVSDEDEAEKDGAKGKETDLFNLGLFTGS >RHN49656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:116245:118471:-1 gene:gene33859 transcript:rna33859 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVMATCHGILLLCTFSTLTFAVNPILKCCFRIPNPPTNSKCFISNRSTIARVPRTANFKLFVADVLNVLGVNWYVFYVLTIGVDNTWKEIARKKAIDLKCYFLWDPVYNGENDIYWITRDGVTVMDVDREIIIREYPLPPPRVDYAPIGIFSWMGDRLSCIVLVKGFSSAGAYQIYALDLDLGKWTLYHEMGPFDYGAICGHELDFDINISILGLRFRFWINDQIFFIALIDSSINRKSFSGVKKIIFCYNVKTRQLTKIDDIIVGHFEAWLHTNTLVSLPSTPT >RHN57969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42816137:42816854:-1 gene:gene33565 transcript:rna33565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MRELSQKYGPLMHLKLGETSTIVVSSKEIAKVVMKTNDGTFGQRLVFLVQKL >RHN50130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4320309:4342434:-1 gene:gene34385 transcript:rna34385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ClpA/B family, P-loop containing nucleoside triphosphate hydrolase MASTTSFSSLILHHSVPIFRNGNNAQLGPFQASCTSQLKPTSLNSIPLKKREAFSNGFSRRRRNSKQFIVRCTDSSGKVSQQEFTEMAWQAIVSSPEVAKENKHQIVETEHLMKALLEQKNGLARRIFTKVGVDNTRLLEATDKHIQRQPKVLGESAGSMLGRDLEALIQRAREFKKEYGDSFVSVEHLVLGFAQDRRFGKILFRDFQISQQALKTAIESVRGRQSVIDQDPEGKYEALEKYGKDLTAMAKAGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRRLISLDMGALIAGAKYRGEFEDRLKAVLREVTESDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPSVENTISILRGLRERYELHHGVRISDTALVDAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRSVLKLEMERLSLMNDTDKASKDRLNRLETELSLLKEKQGELTEQWEHEKSVMTRLQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLQRQLEGAEKELHEYMSSGKSMLREEVTGNDIGEIVSKWTGIPISKLQQSEREKLLYLEDELHKRVVGQDPAVKAVAEAIQRSRAGLSDPHRPIASFMFMGPTGVGKTELAKALASYMFNTEEALVRIDMSEYMEKHTVSRLIGAPPGYVGYEEGGQLTETVRRRPYAVILFDEIEKAHSDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILNMDDDSVPKDSAYETMKQRVMDAARSIFRPEFMNRVDEYIVFRPLDRDQISSIVRLQLERVQKRVADRKMKIRVTEPAIQLLGSLGYDPSYGARPVKRVIQQNVENELAKGILRGEFKEEDTILIDTEVTVLANGQRPQQKLVFRRMILKLKVQKLG >RHN63241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49615552:49622299:-1 gene:gene25920 transcript:rna25920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycogenin glucosyltransferase MGLAEQQREHNNNVMKWWLLVLLLLGFWSLVVKAQHKNAYATMMYVGTPRDYEFYIAIRVLFKSLALLNVQADLVVIASVDVPLRWIRALEKEDGVKVVRVENMDNPYKHQDNFDKRFKLSLNKLYAWSLLDYDRVVMLDADNLFLQNTDELFQCGQFCAVFINPCVFHTGLFVLQPSMVVFKDMVHELQNGRENPDGADQGFIASYFPDLLDKTLFHPPPNGTKLDGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPGAPWLKPWYWWAWPVLPLGLQWHEKRLQTLGYGTDMAVILIQSAIYLGIIALTRLARPSLSKLCYRRSDKSITLVQNILKLVALWSILAAYITPFFVIPPTIHPMLGWPLYFLGSLSLCLVAINAFLLPVLPVLMPWFGIIGVLIVMAFPWYPDGVVRALCVFGYAFCAAPFLWTSITRIMAGLQVSLEREGFMPRLGDSSPPSWFNKLY >RHN46762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34667284:34667624:-1 gene:gene41286 transcript:rna41286 gene_biotype:protein_coding transcript_biotype:protein_coding MSYWTELDKQYRCVVFFLFFLIFVSFFLVHLIFVSLNMFVLLLHT >RHN70152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49147564:49150452:1 gene:gene18707 transcript:rna18707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ANTH domain-containing protein MAQQKRLRTLTNTLKDKASLIVASLSIKRNISTVQINILRATTHNLSSPPSESQISAVLSITNTSHILPRTCIISLMDRLHGTKSATVAMKCLFTLHNITVQGSFTLKDQLSCYPSYGGHNFLNLSTFRDDLDFESLQLSSWVRWYAAVLEQLLTVSRILGYYLNSNNNLSKKDSLILNASNADLLYKLECLVVFVEQISGVPESLELQKTELVYEIVRMIGEDYRNVQGEILLRLEELGKRMEDLDIGELNELLNYLKRLEESKENLVLLFVNRRKNNRFWEMIKEIKIRGLEKKEEIEGNWLMVVVNSNTVAAELTRSTNPFLEPGQYFSVPQQR >RHN57872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42304081:42304699:-1 gene:gene33462 transcript:rna33462 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHWSNLKSAEAVDISEAFSGSVVVSLRCFSLIILFRKHPLISSIAVLPHGGNFPNFCPLELEDSFPTVSCKYGGFRMVAELILITSVVAFIYRYLGLQPNLA >RHN67950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31742065:31745944:-1 gene:gene16214 transcript:rna16214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation efflux protein MDGNSGSDPTRPLLSAIHDGQTTKIIRRNSVNSLRSAFLSTLPDKVRSCLDSESPFDVDLSNATALSQGEKEYYEKQIATLKSFEEVDAVVESDRIDEDDKEEQAQQERAMKISNYANIVLLILKTYATVRSGSIAIAASTLDSLLDLMAGGILWYTHIAMKNINIYQYPIGKLRVQPVGIIVFAAVMATLGFQVLFTAVKQLIENSPSEKMTSEQLIWLYSIMIFATVVKLILWLYCRSSRNKIVRAYADDHHFDVVTNVVGLVAAILGDKFYWWIDPIGAILLAIYTISNWSRTVMENAVSLVGQSAPPEVLQKLTYLVIRHPGIQRIDTVRAYTFGVLYFVEVDIELPEELPLKEAHAIGETLQIKLEKLLEVERAFVHLDFECDHKPEHSVLSKLPNNT >RHN46345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30901868:30903328:-1 gene:gene40827 transcript:rna40827 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSSAEQVDKVSLRVVVLKEKNKVLFAEAGKDFVDVLLSFLSLPLGTIAKLVAKDSNIESVKFGSISSLYQSVSDLDTQYLWSHTCKEMLLNPNNSAEAYCWNMKLNIDNTESLKSYYLCENVSCLSVDHKYCLSYFRNQKCICGKPLNREKSRILSKEIGFVKEMSTFIISDDLYVMPNVVTASLNLLQKLGVNDIDAIDKQTVSINITKKEVVDLLKLSLVSKTPLSDFIFKKEHFVEDLVLSNQLEVNIGKEKEESDEMVVKVLRRKSNKQILFVEAEEDFADFVFSFLTFPLGAVLHTRQGFSFVSCIDNLYKSVTELSSDKCLRSQLFKDILTSPTISAQSELRHQILPIPKNNYKEKNTSYKFIDPKSPISGGYAGASLTFMVTDELVVTPMSSIDGISYLERMKVSLNDVEEMVLNIGQKEVNGSVLVFHFNISCIRFITTHHI >RHN56514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31529657:31530920:-1 gene:gene31922 transcript:rna31922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative clathrin, heavy chain MKANLPDAQMHVFLKLLELLLSEQSQDVHVCNDVGKIMIDIDVNLNHLLITNQYYDSRIVGKYCEKWGPTFAIVAYQMVHCDAEHVTNKNPLLKLQTR >RHN60435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26707048:26708023:-1 gene:gene22747 transcript:rna22747 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTSVVLQLTWRVFRNSILVEKLESTVFKKKSSIEQDVIFSNPYRNRKSDEYQSL >RHN51127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14202856:14203819:1 gene:gene35509 transcript:rna35509 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYFFPRLGTLSASSAYKIISLCHSILPILLLQMPKQTLIQSNAPTHPP >RHN49957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2674240:2676750:1 gene:gene34195 transcript:rna34195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-Xa family MSSSLFLLFFIVFAFWVTLSSSQVEEDNVRCLQGIQQNLGNSDSPISNWKFNNRTVGFICDFVGVTCWNVRENRVLGLELKGMKLSGKIPESLKYCGQSLQRLDLGSNSLSSVIPTQICEWMPFLVTMDLSGNNLNGEIPHTIVNCSYLNELMLDNNHLTGSIPYEITSLTRLHKFSVANNELSGDIPSFFNGFDKDGFDGNSGLCGGPLGSKCGGMSKKNLAIIIAAGVFGAAGSLLAAFGLWWWYHLRLGGERRRSKEGYVVGGVDDWAVRLRGHKLAQVNLFQKPIVKVKLGDLMAATNNFSNENVLITTRTGATYRADLPDGSTLAVKRLSSCKIGEKQFRMEMNRLGQVRHPNLAPLLGYCVVEEEKLLVYKHMSNGTLYSLLHKNSGVLDWLMRFRIGLGAARGLAWLHHGCHPPIIQQNICSNVILVDEEFDARIMDFGLARLMTSDANGSFVNGDLGELGYIAPEYSSTMVASLKGDVYGFGVLLLELVTGCKPLEVNNIDEEFKGNLVDWVNMHSSSGRLKDCIDRSISGKGNDEEILQFLKIASNCVIARAKDRWSMYQVYNSLKGISKDHSFSEHDDEFPLIFGKPENEPA >RHN57041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35994077:36002684:1 gene:gene32530 transcript:rna32530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSLLATSGGDTVKLFDVSGKLFDSSSVKPGGDPCTLSFTPTSGFQVNSVKWNHTNLVVASAGDDKRISLWRKNGQSMGTIPVAGMDSVDNNEESILAISFSNKASRYVCSGGSGQVVKIWDLQKKRCIKWLKGHTNTVTGVMYNCKDEHLASISLSGDLILHNLASGQRAAELKDPNQQMLRVLDYSRVSRHLLTTAGDDGTVHLWDTTGRSPKVSWLKQHSAPTAGISFSPSNDKIIASVGLDKKLYTYDSGSRRPTSCISCEAPFSSLAFRDDGWMLAAGTSNGRVAFYDVRGKPQPFGVLHAYGSSEAVTSLCWQRSKPVIVDERNCTAEIALVGDSVEDSILMPDPLPSATSSSISQSTSVSSTWNSGRLSTSIDTSSLATSSGGFITSLQNVSTGEETPLRNHLWPGGTLSRLHAPRGSYNFKDDMEVFSPIVDVTPLTPSLWDENGVKKDSLFSDRKPMLFPSASRRFPSSEDVSSDHSIADWKSGPTAKQDITQSSFPLVGLTPPASAKSEESSITPPEAWGGEKLPDKYTYTRQPVNAPSRFGMLASSGQTAGSMISGLQDTFSSSGISSYTSSSLNFSNLRTKDVSTGQETSLGFTDHLFSTSMPLSISTKTSLGQANIDSPKISDSPRMSSFSKRISSYAERIGTASSFGDGASVGSPKIKKSGAETREELLNSLLLKSDVSIPTESGSLLLPNGTIPQQKASQSDAQGSSFTLQLFQRTLNETLDSFQKSIHEDVRNVHLEILRQFHLQEMEMSTAMNSILENQAELLKEVKSLRKENEQLRQLL >RHN77264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5518379:5518639:-1 gene:gene702 transcript:rna702 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKSLWIVLFITMVVASCEFSLVHGRVLRPEAQNAQIGDGCEGTTEGSVGMTTFSVSSNNSSFHDSARSLAFRLTSGPSKRGKGH >RHN75381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41769710:41770829:-1 gene:gene11570 transcript:rna11570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription repressor PLATZ family MLCVPWLVNLLAITSFFTTCETHPKKSKNERNKFCLDCNENPLCESCIESRHRGHRVIQIRRSSYNEVIKTTEIYKHVDILGIQTYVINSSSVVFLSKRAHAQPKKFNIGKTGHYRDPFCKRCDRNLADPTYFCSLACKFAFIKKDGGFFVNPKEMEEMERYLEQSIQASPKKEKAKKCCKQNLKRKLESARIDGKEEEENVEKEREEGADDRESILPVNRSSASLRKPNSKRKGIAHRAPFF >RHN48790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50291203:50311633:1 gene:gene43543 transcript:rna43543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, TRAPP II complex, Trs120, TRAPP III complex, Trs85 MDPARTPLGQMLLEEITPVVMVLSTPSVEEACLKNGFSFLQMLTPFCSFNNIDVPVRTASDQPYRIHKFKLRLFYGSHVKKPDLKVAKEQLNQVITDSGEKVFSELCLDASEIDHETACSEDRDTPSWFQFFNKELVRMASFSDHEAFDHPVACLLAVSSKDEHPMSRFVDLFNTNKLPSLLKDGAMDPKILKHYLLVNDNQDGAADRASKILTEMRSTFGASDCSLLSINSSLDAPIKHQDNPWASQACDASPNQDLGCFLNIDDIDEIKDIMQNLSSKHIIPNMEQKIRVLNQQVSATRKGFKNQIKNLWWRKGKEDVVDSLNGPTYNFNSIESQIRVLGDYAFMLRDYELALSNYRLISTDYKIDKAWKRYAGVQEMMGLTYFMLDQSRKEAEYCMENAFNTYLKLGLLGQQNATRCGLWWIEMLKARELYKEAATVYFRICGEDILHSAVMLEQASYCYLLSKPSMSRKYGFHLVLSGEQYKKCDQIKHAIRTYRSALFVFKGTTWSYINDHVHFHIGQWYASLGMYHVAVKHMTEILACSHQSKTTQELFLGDFLQVVEKTGRTFEVTKLQLPVINISSLKVIFEDHRTFGSPSAVNTKENLWHSLEEDMIPSFTAAKSNWLELQSKLILKKHTQSNVCVTGEAVKVNIEFINPLQITIPVSGVTLICKHSTSTDELTSDEKESSVTTDNEVDHFRDMSSYNSSFLVSEVDFSLRGGETTTVQLSVTPKEVGTLEILGVRWKLSGTIAGFHNFELNHPKKSIVKGRRKAKQSPNEKFKFMVIKSIPKLQGSVHSLPGKAYAGDLRQLMLDLRNPSEFPVKNLKMKISHPRFLIIGNQENVKLEFPACLTKKIDSVQSDAHASPNVMSETVFSFPVDTSIQGETPLLWPLWFRAAVPGDISLYISIYYEIEDISSVIRYRTLRLHYNVQVLPSLDVSFQISPSRLRIQDFLVRLDVVNKTSSESFQVYQLSSIGHHWEISLLQPPDTIFPSQTLMAGQAISCFFTLKNSRRSSTSEYNMSTIPVGSDVLLVPQCSQDLVYNMNNVPLVNFHYYERLQQKVSHEDLGDLNTVDFVLISRPLNSSINPGLSDPPHVMSHHTCHLRHDSYSDFDFDRFYIFVTASTGPISWLVDGPQTLHHDFSASFCEINLKMHLYNSSDATAFVCIDTIDFDGSGGHMNSANTVQSATPDNQAGWHDVTPATELKVTPNAPETQPGKALSLDSVSPYIWSGSSSTNINLESMSSAEVPLQICVFSPGTYDLSNYVLNWKLPSQGLGASDETRQHSGKCQGYKYHLTVLQST >RHN77346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6173238:6176149:1 gene:gene792 transcript:rna792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MSSNMIEELFVLNKDYEDNIKSIKKKSPLTLKLVILVLASVYAIYIFSINLEQPMVDLIRSRTKLLELRVTNQSCHPSHVEDLELPYLHYPHPKTFNREECGCNPVRFFTIISMQRSGSGWFETLLNSHINISSNGEIFSVPKRRENISSILKTLDKVYNLDWFTSASKNECNSAVGFKWMLNQGLMEHHKEIVEYFQRKGVSAIFLLRRNLLSRSVSVLANSYDKHAKQLNGTHKSHVHSTIEAEVLAKYKPWINTTLLMVELKQTEETASKAIEYFSNTHHIVLYYEDLLKNGMKLEDVQEFLGLPYRNLQSRQFKIHTAPLSKQIENWDEVQEALKETSYESFLNSD >RHN71335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58340620:58344257:-1 gene:gene20005 transcript:rna20005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Coiled-coil domain-containing protein MALYKRAFDLGSKSRFTVASLFHSSTSTSTSTSTRHVSQAVDSNVKRAFLVDTLALVRNLETNGLPPKQAEAITWAITEVLNDSLENVAQSFVTKSDMQKSEMMQESNLSKFKSEVKTSQEHHFSLLQRETEKLRNDIDKMRTELRYEIDKVTAGQRLDLNLERRRIQDELATQNTETTNLTNKLDREIHALRAQLEAAKYDVIKYCIGTLVSISAVGLTVICIIL >RHN62098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40714105:40716459:1 gene:gene24632 transcript:rna24632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine cleavage system H-protein/Simiate MACRQLWASRAASYLRISLFHRSFSNVLNNLKYADSHEWVKVDGKSATIGITDHAQDHLGDVVYVELPEVGTAVTQGDGFGAVESVKATSDINSPVSGKVIEVNEELSNSPALVNSSPYENGWIIKVEMSDSGELDKLMDSEKYTKFCEEEDSSH >RHN48109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45096152:45102939:1 gene:gene42784 transcript:rna42784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase NEK family MEQYEVLEQIGKGAFGCALLVRHKHEKKKYVLKKIRLARQTDRTRRSAHQEMELISKVRNPFIVEYKDSWVEKGCFVCIIIGYCEGGDMAETVKKANGVNFPEEKLCKWLVQLLMALDYLHVNHILHRDVKCSNIFLTKNQDIRLGDFGLAKLLTSDDLASSIVGTPSYMCPELLADIPYGSKSDIWSLGCCVYEMAAHRPAFKAFDIQALIHKINKSIVSPLPTMYSSAFRGLVKSMLRKNPELRPTAGELLNHPHLQPYILKIHQKLNSPRRSAFPLQWPDSNYGRRTRFMEPESVSTLSDQDRYLSLNNHRALNPSISGTEQSSQYSMQRGQGLSTCSEEKLYNLSAGGVRDYCNTNKSKAIKSSTGERTPRLRIAKDSSAARRQTPPPPKIHVTGPKRESLPVPRAPSGKSAMPTRRASLPLHTRGRNTTSFYTNVDYADSPNVSVDAPQIDKMAEFSTASYEDPFFHVIRRSSTASAKHSSTSTGSADCTITKDKCTILVDKKVTVPTSITDAGTDVRFPKGSASECSNYVTTGVSSRSSSESRQHRFDTSSYQQRAEALEGLLEFSSRLLQQHRFDELGVLLKPFGPEKVSPRETAIWLAKSFKQNSDLRFPHTLA >RHN62209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41730418:41734829:1 gene:gene24760 transcript:rna24760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein disulfide-isomerase MLSTTNAISLIFRSRASAGDPTSFPRLFHSSLSLPTTSGRLPAVETGGAAVRRAGGNEWMKVKAKERKWSRNREGYMFDNGEPLPLPMTYPDSSPVSSQEIDQRLQCDPKLEDCKEVVYEWTGKCRSCQGSGYVSYYNKRGKEVTCKCIPCMGIGYVQKITARKDIDLMEDLGNGKPS >RHN44176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2243623:2248497:1 gene:gene38266 transcript:rna38266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MGFRNNAIQAGSLDVGGKSKGKMKKDCDEKEIGCCVKFSCFGKCIPSRLKVDSSKSGTTSAHNVENASAYERSKKDRSAPPGSSTTSNAESIPSTPMFSEELKVSSDLRKFTFNELKMATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAELNILGDIVHPNLVKLIGFCIEDDQRLLVYQFMPRGSLENHLFRKGSLPLPWSIRMKIALGAAKGLNFLHEEAQRPIIYRDFKTSNILLDAEYNAKLSDFGLAKDGPQGENTHISTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRAVDKNRPNGEHNLVEWARPVLGERRLLFQIIDPRLEGHFSVKGAQKSAQLAAQCLNRDPKARPMMSEVVQALKPLQNLKDMAIASYHFQVVRVDRTMSMPNSKNGTQTQVASLSKKGQPMRILSSPNGQHGSPYRHYIKSPKPKNG >RHN79843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32743684:32749996:-1 gene:gene3690 transcript:rna3690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MFSVAEENQTMNSNSNSMAAPTTTTTTSGGDASGKKVRKPYTITKSRESWSDEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTLAHVPPPRPKRKAIHPYPQKATKNVLVPLPASIAFGSSVNTLLPAGYVTWDETSMLMNTCQDELNSLHGNEADIGSKGISNSGLSGVEDTNTRLPTSQIPTQGTQNPVIHGLPDFAEVYGFIGSVFDPDTNGHVQKLKEMDPINFETVLLLMRNLTVNLSSPNFDPIKKAMSTYDENNDAVGVTDDKKQTNDESCQTT >RHN59442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10770103:10773006:1 gene:gene21472 transcript:rna21472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MSSMDDAQDMFCNDDLVNPLSSMGMQVSCILVVSHFFNVVLRTMGQPGPIAQILAGLILGPMSHIPYIKETFFPASSINYYQVVSFFCRINFMFLFGLEMNIHYTLRHWKMVTLVACGGALMGGIIGLSVSFYLHQELTDDTNPNYYFYMTIMLMVSYTSSPMVIRLTAELRFAASDIGRIAVSSALITEMACLLFFNVIVSWEKEYHILYGFYCAITIIIVVLINKYLAVWLNSRNRNQKYLKATELLLILFLLLTSSIVIEIFGYNSIISCFIIGLLFPKEGKTARTLLQKLGYSIYNFVLPVYFGYLGLQCDLINVFTRLNRTANVAILILLSIGSKLGGTLIVCRYLHIPTNEGIFLGFMLNTRGYADLLFIGAAAKQITSFDAESYNVLLVSIVLNTIISGIIVSFIAKGEEKMFSNNHTTIEPQEMEDELKILACVYDPRQVSAILATILAIHGSKTSPSTTYLMHLIELVKKIKSNLLYHEKENGDISDDDEAFGGNDVVDINNALDTFTEDTKILVQQKRTVSSFLSLYEEVCSEAENLQVSIILLPFHKHQRIDGKLESGKEGIRITNQKVLRHAPCSVGVIIERGLTTSFGFSELIESETIQNVATLFFGGPDDREAVAWSLRISKCPRINLTIIRFLLSSKLENEQIDKSGVQSKEKEILMSLSGEETANDEIDNNFMVDFYNRHVASGKIGYVENFVKNGRQTVECLKEIGDIYSLFIVGKGGRGNSSLTIDMSDWEECPELGSVGDILASSDFDIHGSVLVIQQHRDVKKGLIHD >RHN46412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31469918:31473831:1 gene:gene40894 transcript:rna40894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MMRNSCVIISKKIFFQFLYSFLLYISKYQYSSTASTLQGNETDLHALLDFKSRITQDPFQALSLWNDSIHHCNWLGITCNISNGRVMHLILADMTLAGTLSPSIGNLTYLTKLNLRNNSFHGEFPQQVGNLLYLQHLNISYNSFSGSIPSNLSQCIELSILSSGHNNFTGTIPTWIGNFSSLSLLNLAVNNLHGTIPNEVGKLSRLTLFALNGNHLYGTIPLSVFNISSLSFLTFSQNNLHGNLPYDVGFTLPNLETFAGGVNDFTGTIPESLSNASRLEILDFAENNLIGTLPKNIGRLTLLKRLNFDTNRLGNGEDGELNFLTSLINCTALEVLGLAENQFGGKLPSSIGNLSINLNALDLGENAIYGSIPIGISNLVNLTSLGMEKNNLSGFVPDTIGMLQKLVDLELYSNKFSGVIPSSIGNLTRLTKLLIADNNFEGSIPTSLENCQRLLMLNLSHNMLNGSIPRQVFALSSLSIYLDLSHNSLTGSLPFEIGKLVNLANLDLSKNKLSGMIPSSIGSCVSLEWLHMQGNFFEGNIPSTIQNLRGIQHIDLSCNNLSGKIPEFLGEIKGLMHLNLSYNNLDGELPMNGIFKNATSFSINGNIKLCGGVPELNLPACTIKKEKFHSLKVIIPIASALIFLLFLSGFLIIIVIKRSRKKTSRETTTIEDLELNISYSEIVKCTGGFSNDNLIGSGSFGSVYKGTLSSDGTTIAIKVLNLEQRGASKSFIDECNALKVIRHRNLLKIITAISSIDHQGKDFKALVYEFMSNGSLEDWLHPINQKKTLTFVQRLNIAIDVACALEYLHHFCETPIVHCDIKPSNVLLDNDMVARVGDFGLATFLFEESCDSPKHSTMSASLKGSVGYIPPEYGMGGHPSALGDVYSYGILLLEIFTGKRPTNEMFEGGMGIQQFTALALPNHAIDIIDPSLLYDQEFDGKDHDYSEEKALRREKEPGDFSTMENCLISVLQIGVSCSSTSPNERIPMTLVVNKLHAINNSFK >RHN69616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44951915:44953434:-1 gene:gene18107 transcript:rna18107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,4-beta-D-xylan synthase MKSGRSQTNEFDAQYLFETKGTYGYGNAMWPKDYENGASSSSGSDWKKSRGGRFSKLIILAWMVLLIFFLKWRVQNPNGHAMWLWGMSVVCETCFAFSWLLDQKCETYIERNLNLPMQPIQPANLIFQELICLCRPQIQRKSHLLLLRILFFQSSPQIILLINYFVMSLTMAGRFLLSRPWKRQQVLLICGFHFVGSTTLT >RHN78622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16831844:16833352:1 gene:gene2249 transcript:rna2249 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSGQKQISPQHNQYLLNRLGLDQELLIVTQTLKYPLSLHGSSIPAYLHTAGRSSGLGGLGALLQRKVSFQVIGSDVYRRL >RHN52222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32803444:32804735:1 gene:gene36870 transcript:rna36870 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAKLGWKKINLIPLFGFGSTRNGKGTDAGEESAKLADILKAANARFSVRRRRRSASSSASICSLRYSRSSLSKAANLNFKREQQD >RHN61411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35437409:35437570:1 gene:gene23875 transcript:rna23875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MKRFVIPISYLKQKSFQELLSQSEEQFEYDHPMGGLTIPCREDIFLDITSHLI >RHN77716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8910864:8911250:1 gene:gene1199 transcript:rna1199 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKMHILLEFTCGAIPNSINKGPMTNPLPTPRSPPKIPASKEQESDRARRCKGQCVP >RHN39851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11075517:11076445:1 gene:gene45929 transcript:rna45929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ste24 endopeptidase MFIFKYLYFIEINVVLFYIQILYFNEINVVLFVLQITKLPLSLYFLFVLEAHHDCNKSTPAYTAGVFFVNMIKGIIVAALVGPPIVTAIIYLVPKGGPYLAIYVWALGNVFIIYEQLIAPLFKKITPVNSEKIEELAASLKFPARKLFVVDGSKWSNKHSNVRMGLL >RHN80039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34549012:34555744:-1 gene:gene3915 transcript:rna3915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MDFESSFFQFQYQNLDTSFENSSSFLESFSLDDFFFFNEDNNINNNTFPMNFTDQNTQIFKESSTSDSNSNTSNSQVSTESQEVSSNSTQLVQSSSPKRPYRGVRRRPWGKFAAEIRDSTRNGVRVWIGTFDTAEAAALAYDQAALSTRGSMAVLNFPEHVVRESLKDMENNKSWEKDGSSPVLALKRKHTLKRKSKASNCKKTKRVEREMENNNNIVSQNVLVLEDLGSEYLDQLLSLSTYQVIC >RHN60877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31274321:31275984:1 gene:gene23268 transcript:rna23268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA synthase MEFLSLVYVVPALYICFLIWKLYDQKRDQECYILDYQCYKPTQDRMLGTEFCGKVITRTQNLGLNEYKFLLKAIVSCGIGEQTYAPRNVFEGREASPTLKDGISEMEEFFDDSIAKLLSRSGICPSEIDVLVVNIAMLSVLPSLSSRIINRYKMRHDVKVYNLTAMGCSASLISLDIVKNIFKSQRNKLALLVTSESLCPNWYTGNDKSMILANCLFRSGGCAVLLTNKRSLKNRSILKLKCLVRTHHGARDDSYTCCTQKEDEQGRLGIHLGKTLPKAATRAFVDNLRVISPKILPTKEILRFLFVTLLKKLKKTSGGTKSTKSPLNFKTGVDHFCLHTGGKAVIDGIGMSLDLSEYDLEPARMTLHRFGNTSASSLWYVLGYMEAKKRLKKGDRVFMISFGAGFKCNSCLWEVMKDVGDANVWEDCIDNYPPKSLVNPFMEKYGWVNEVEDPNNYELPDFLK >RHN55549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19420758:19421716:1 gene:gene30749 transcript:rna30749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MTSFPTSTTHKCTTMSLDSHSGDSFFCPFVVFTIVFGYLMILLIRFIDTTYYRRIFRVETGGSLNSLTINYNQFTIQFNISVSVYNPTDFSRVYYDAVSMEVFYRGEGLVLNKTSLPSFTTNRKSASVIQMTLPVNRFEDFGGVATGISRSHKDGKVKFGLVLKALFKIKNYVYHSGWSSLKIVCHPLSFAVSPNDYNTTTRGILLEGEKFCGGGLIGDSIKH >RHN79529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29903617:29905405:1 gene:gene3331 transcript:rna3331 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSGSIRQQSVCMSVQLRGTGLNSHVPLPSQHSLIPSYHNNTHHSLVLHSRFHLTVAAPKASAGSYSPTDDDGVSLGTMKLPVNIDLQRFDSLLFQWANSLCQGASLPLSTPLKVDKIPGGARLGFIDIGETEVLVYIDCLVFKPNESSPPVFQATRHGRMKDKVPQGEPRIMRSLMEALQKSVQIASL >RHN81067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43063592:43064454:-1 gene:gene5062 transcript:rna5062 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYGYGSDHGSFGSERRIEIVSGRSYGFSQSYYVGRSESTGEVTRASHDGAAPVAKPWSFNDAATKRRKRIARYKVYAVEGKVKATFRNGIRWIKHTCSRIVHGY >RHN57775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41643874:41645132:1 gene:gene33360 transcript:rna33360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain-containing protein MKLLLVLVFLGLLSSVGISTTLVDIPTFDGSYLECITLKGVAFQAHGGINMGNSKSKYGIDNSVGALVLKDPISSQNLRTFKTEFSFMSTKPGSGLALFLSPFSDAGVPGIIFGLPYKYMAIVFDTRLSSPKANSRLHGVKVKLRPIEPILECNSAAIGAFIEKFKNFYIGFSASQENYKIYNWKFETSDLNNHYREVHIPALEDFSQVLFGVLGILLMIAIFLGVICIYARNRTINDLEEDAEPSYGSVDVPPTSFIELMKNEPPEQGEVLGLPLPMEDMWRKDVPPTSYIELMKNVPPEQGEVLGLPLPMEDMWKKKGDDFRH >RHN74793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36713734:36715705:1 gene:gene10910 transcript:rna10910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain-containing protein MQKSGSRKAVIQMKVVGSSVGASGSRNDRPHKKQKEKDDIISKLPYSLRSRILSFLPTKDAARTSVLSRSWTESWTSITKLDLDDSVFHSPKSKKKSGGKQHFINFVNRALLLNENYSVECFSLVITNKYDQTLVNTWISCMLKKNVKKLSIASSLEFPFSALTSRSLFNNAYYLEELVLKMRCCAINVPPCGYYHSFGKLKLLKLCGIIFTIDKSIKILLPVLKKFETKNCSWLSAHVVTLELKAPLLESVFIDQDLESVTREPRNCKIKFSDSSLKEFTYCGDGISQAIVLSDPSSARNVAANIILYKRGNSVQESGSYACLLLNQFSQVKCMKFHGSEVLTQPNMVLLPKFAMLTHLDLGSVSDEVLLCLLQKSPVLNTLVFKEITKCDQERLSSVVVPNCLASTLQVVKFGNLHGHEHELFLAKYLMENGIVLERMSFSFANAWQIDSKVIEEFKEKLYSFKKGVSFAILEFSFDYY >RHN60386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26076424:26076951:1 gene:gene22693 transcript:rna22693 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLDFSTLKYGHCCKYFSPLFFLSSLCVCRIRNPPLFFFLNTYHYHQLSSFLVPSLLSSSTIFLTSVQHEPPSLSSLSDLDISRRHHPLLPPSPDIRSSAFHHHPFWTSTAGDIHTTTSCCLTFIHSRSPIHLYFFDSICVADLRRMRGRPSSCRAGGGTKEKREGLQGNENKK >RHN69263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42194108:42194698:-1 gene:gene17707 transcript:rna17707 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKKLTHHNKSFFFKLDFIILTYHDTYALRFKKMTLISSRRRYKLGQTLRITIYYPYHH >RHN66039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7850404:7854259:-1 gene:gene13949 transcript:rna13949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MAHVSDEDNPNDIVLTSLSLTAETTTGTTQLPTLPFDVLPEILCRLPVKLLVQLRCLCKFFNSVISDPKFAKKHLQLSTKRHHLMVTSIQPPHPAKKHYDSLLLSVFSTSTVIAQTQLYPSFSTLTDGYKFVDLTCCCDGIFCCYLENDSYFLWNPSINKVKLLPPLENPAEDDPMLVSFGYDHFIDNYKVVAVSVKKKVSVYTLGTDYWKQIDGIPYDCHIFGFGIFVSDTVNWFAKDDSDIDFFAQDDPGIDFILSLDLEKESYQQLFLPNYENENVQWILDMVRDCLCVFASSDIYWDVWIMKDYGNKESWTKLYTIPNLKDRGLEADSLLYISEDDQLLVECYNELVVYDPKTGTLNIPEFQNNYKLIDSNVYIESLISP >RHN73320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15348761:15363693:1 gene:gene9121 transcript:rna9121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MEMSCPSNVNSDVSVCSTSTVNISHSHQSFRGFVQQPDFVSGWMYVNEHGQMCGPYIKEQLHEGLTTGFLPFELPVYPVINGTIMNSVPLNYFKQYPDHVSTGFAYLSMDFSNARMSKNCSSSSQDMVDGQDRSVELAAVMAVNPDSKSVSHVNDCNKESNHVDLSSEAFSRIISSQMLGGECCWLYEDKKGMKHGPHSISELISWHHHGYLEDSTVISHFDNKYGTFVLLSAVNAMKGDTCGTICGSDSKSNGVGDVMNLICEISEDISSQLHTGVMKSSRKVVLDGIIGDIIAEFITEKKCKKQKLESADQTSETCTLNNKMMNKGASIPSEPAASRILNGQACHEISRPSSTNVKSVGSIENFWWSYAVVRKVLFDHSLQVMWNAVFFDTVTEVLFSWRKKKYWSHPKPQSSVNESKDSVEKLKSEALALGTGSSVCNVEADIQSGAMATERDCHPELLLSPNNIKIGNIAEGQRVSCSYGNSEDLTRILESVENELHCSAKASLADYVRSVVEKEVNQLIPSPEKDIFSEVDVSDCRISKMVAGKTSVKETLSDKSIDPVKNGDSICVPSSENHMSDVFSKAFQELCGHLNDVVDDEEIGDLPPGFEKNSIFPHCNSKFRPSRTVECNPKITEYVTAALCRQKLHDEVLKDWKLSILDSTFKKVMSSCTIKKNLQSRGHGKGKSFSANKEHLNDATLGLGKVKEGTKLGLGKVKEGAKSSGVPLAIEKYTYHRKNLSRKELCSSKPVVDDNSGPGKKPLAKLRKDVSGDVKESAEVKVTAIKRGKAKMIKGKKDTSSKKSSPVNVDNSSPSVQLSLKNKTCQKVSKFAHTVQNGVTDVLKSNKKRLLVSSDNSVGMKVVKRNNTDVTIQRKTTGHISKEKLNATNTVSKSKRKHQPDGVTSSHPAKVLKISNSGASLEASKQVTEARRNSAKSKSLDLCPRSIGCARTSIDGWEWHKWSQSASPTSRARVRGLPRLQNKFINSEKNPSQLSNSKGLSARTNRVKLRNLLAAAEGADLLKVPQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYIGELIRPRISDIREVQYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYPKVISFEGQKKIFIYAKRHINAGEEITYNYKFPLEEKKIPCNCGSKKCRGSMN >RHN77006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3138316:3138855:-1 gene:gene413 transcript:rna413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubby-like domain-containing protein MVIALFLQLLNDCWKAFRSESTQSNLIFTRKRSSLVTIWTEDELSLKNNNKISQWPKFEVFLKNNNTGVSDFNVIANLFEGSLNVRIGKSDNIVAQINQKLGTMFSRKKFMVTVCSNMDYAFIVALIVTLDY >RHN39015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3571185:3573798:-1 gene:gene45000 transcript:rna45000 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYFSFDLLSISTSCSSTQLPTTASKSLAILFIDFSTFPSKPNQPRIGIKSLGTNSPEICINSFISFTIFPCASTSSTSFKYSKYLFPQIIFVIILNVNLSNHSLITTLTFPTLTYNDKSFIKVSISDS >RHN49600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55866823:55867710:1 gene:gene44447 transcript:rna44447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase, Very-long-chain 3-oxoacyl-CoA synthase MIVNHYNLRNNIKSFNLGGMGCSAGMISINLAKDILNANPDSCAVVVSTETLTHNWYKGNDRSMLLSNCIFRMGGAAVLLSNKSFDRTQSKYKLLHSVRTHSGANDKSYNCVFQKEDETGYTGVSLSKELMKVAGEALKNNITELGPLVLPFSEQLMFMISLFRKKSSNYVPNFKLAFEHFCIHAGGRSVLDAMQNNIELSEWHMEPSCSTLHRFGNTSSSSLWYELAYVEAKGRVSKGDRVWQIAFGAGFKCNSAVWRACRDIPLLHDWTGNPWDDSVNNYPIHFSNSKITPSS >RHN68562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36571255:36575542:-1 gene:gene16930 transcript:rna16930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative VPS9 domain-containing protein MENADASSGLHDFLERMRQPAAADFVKAIKSFIVSFSNHGPDPERDSDAVQDFLANMEAAFKAHPLWAGCSDDELESAGEGLEKYVMTKLFPRVFASVPDDVKLDEQLSEKMALIQQFIRPENLDIKPPFQNETSWLLAQKELQKINMYKAPRDKLTCILNCCKVIGNLLLNASLASKDNPPGADEFLPVLIYVTLKANPPQLHSNLLYIQRFRRQSRLVGEASYYFTNMLSAESFISNIDANAISMDETEFERNMEFARALLSGLSVDTQDPNSPYQNHVQHDKNKAPSSDAKLESKKVTFASESSIAKVPSLSDLENKGACMIIKEDKLNDVFREFPYMFASVGDLTVSDVEDLLKNYKRLVSKYVCLSKGLGVSSSSHHPSNPQNNAQQDHVETLSKGLASSSSSHHPSNPQNNAQGHVESETTIDSSDNGPVASNEKSEKSLDTSEDNSDPEEKKSDSGLPQDEAAAPEGGAPS >RHN47586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40812050:40815692:1 gene:gene42204 transcript:rna42204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain, transcription elongation factor Spt6, DNA ligase B MVEENPGDVGHEMDGLSVVYGDEALPRLYENSRISSEQLPSQKLGIVRRAVALGRYLQNPLAMVATLCGPRKEILSWKLSPLESFLNPDDKFGMIEQVMVDVTNQAGLDINLAISHEWLFAPLQFISGLGPWKAASLQRSLVRAGAIFTRKDFLTEHKLGNKVFLNAVGFLRVRRSGLAASSSQFIDFLDDTRIHPESYILAQELARAVYEEDDTADANDDDDALEMAIEHARDRPSYLKNLDVEEYARDNRRQDKIETFYDIKRELIQSFEDWRKQYEEPSQDEEFYMISGETEETLAEGKMVQVTVCRVQAQKAICGLESGMTGILMKEDYTDEWRDLNELSDRLHEGDLLTCKIKSIQKNRYQVLLVCKDSEMRSDRLQNNQDLDPNYHEDQSSLLREQDKTRKEKEGAKKHFKQRMIVHPRFQNITADEAMEFLSDKGPGESIFHPSSHGPSYLTLTLKIHDGVYAHKEIVEGGKEHKDITSLLRIGKTLKIGEDTFEDLDEVMDRYLDPLVTRLKTMLNYRKFRTGTKREVDELLKSEKGLHPMQIVYSFGVSHEHPGGFILTYIRSTNPHHEYVGLDTKGFRFRNRMFEDIDQLVAYFQRHC >RHN78379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14573260:14586464:-1 gene:gene1926 transcript:rna1926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAUS augmin-like complex subunit 3 MSGARLCTLLEELGYEGTKSGSDSLDPDSFEWPFQYEDTRPILHWICSTLRPSNILSLSELSQYEQFKNQGKLLEGDDLDFAFDSISAFSDTTDNQEALFGPHEPLNLKDIKEETMTYKTEAADLQRQLRQLQSQFDMLSSQASTLTQGRRARVGATSVVNGHLTAVDDSLSGRNLQMNAVLGRIASTAEELAHYHSGDEDGIYLAYSDFSQFLLGDSSCLKELNQWFAKQLDTGPFRLVAEEGKSKCSWVNLDDVSSNYVRDLEKSHHQRVSELQRLRSIFGISERQWVEAQVENAKQQAILMMLKSQVSSDEAHIHLDHHSLRRKHSELKGELSNLYNHEEKLLSETIPELCWELAQLQDTYILQGDYDLKVMRQEYYINRQKAFINHLINLLARHQLLKIACQLEKKHMLGAYYLLQLIESELQAYLSATEGRVGRCLALIQAASDGQEQGGVHDSDNFLHAIRDLLKIYSNTQAALSTYVSAPGIVQQISALHSDLMTLQSDLDNSLPEERNRCINELCNLIQSMQQLLFASSTTAQPILTPRPLMKELDEMEKINTKLSLAVEAVTLEHVKKNEIIKHHQQEYELQRRVFVDFFCNPERLMNQVTELTARVRALQISQQS >RHN40596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18005406:18006014:-1 gene:gene46762 transcript:rna46762 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQMTALVGYIKAKEGGSLPPELAAALFPNDTQQASNVGSESSTPNDITRSSDENNARGA >RHN51554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19754287:19763895:1 gene:gene36012 transcript:rna36012 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKNNNNMNDVDRLFACFKCGISPPKSALIERKRRKRKLENNGFAAESPNQGSIGREEKTPLSRIKTTNLESSIEKLKSAIAKTKSCSNRKQISPVVFYGSPHGVPPKKPTRLWRLLHEIRIDLSQRNKQNIRKEVWFTFPRQDEAINFAKGQEDVHLFSYQNHFNGQRRFLVSTYTEFWRRYKNMDSKFRHHYEVIQEGLPCHLYFDLEFNRKVNVGKNGDEMVDLLISVVLEALHEKYEIHGDHDWIVELDSSTEDKFSRHLIIRIPKAAFKDNSHAGAFVSEICSRILNARGKDKSYEKLFIAKDSSTNESASQLFVDTAVYTRNRCFRLHLSSKAGKSSILLPTERFKCKNLSEEDMFMASLICNMDADCGKLLVCKPDLDCVKTLHFDTELNGNVGHSVQSHTEFALNTCTSDVSTTYFMGKSPFPRLEEFILSVASVGNIPGKIHSWYLFSEFGLMVYSMIKNRYCERIGRPHKSNNVIYVVDLRMAVYYQKCHDPDCRGYRSSSRPIPVHVLANPSVVIGSSGMLDDKHSEDEQGHQPNDNKPNFLQYEDTVEDNYSDSWWLEAIKVVEEVENKKTATELCSKEAIDDGDEEWWLAVESTASQVELTYTSTNSECSQHNSARQ >RHN60009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16376559:16380778:1 gene:gene22191 transcript:rna22191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MGFGMRTFLTGISSKDPFYQETMVVEVTTRSQSVATLIGADLIHSLSHLSHEDTWKLLASHAFNLVNPTEHPMLAQIGQKIVKKCNGLPLAAKALGSLLRTKDVGEWEGICYSRIWELPTDKCSILPALRLSYSHLPSHLKRCFTYCSI >RHN69030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40425795:40427868:1 gene:gene17456 transcript:rna17456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc/iron permease MGFKNNIKVLVFSVLIFLVIPTLIAAECTCDEEDEDRDKAKALRYKIAALVSILVVSAIGVFIPLLGKVIPALSPEKDIFFIIKTFAAGVILSTGFIHVLPDAFENLTSPCLKEHPWGDFPFTGFVAMCTAMGSLMVDTYATAYFQNRHSKKASTLTQVKSHEATLDVEKEVVEQQGHVHVHTHASHGHAHGHVSSDPSSELLRHRVISQVLELGIIVHSVIIGISLGASESPKTIRPLVAALTFHQFFEGMGLGSCITQANFKSLSITIMGLFFALTTPVGIAIGLGISNVYDENTPTALIVEGIFNAASAGILIYMALVDLLAADFMNPRMQKSGKLRLGCNLSLLLGAGCMSLLAKWA >RHN60696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29619962:29626026:1 gene:gene23051 transcript:rna23051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ternary complex factor MIP1, leucine-zipper MRYMMSHSYQHSQPQQRRFLSQYNQNQLHQQIFNINIPEKSLSTSTHNFSFFGTKLVSSSSNQHYEDQEEPLSPPCCFNVVSNSKRFMFGSSMDHTQLDHLSSLISPSHIDIRKKKINGLQKKEELEKEVTMLQRLLDQEEKVREILDMVNSRPNGSAISIPNFLPSKMRELLAELVMVENEIAKLESQISTLQTGLKHEQEITNESKSKIQNQGNFMSNSNNRLSTSTITNPSSIQRSSSNVHERMAFETKALHFISKAIKGDYNLNDFSLNEKTGFSKNSVEQKENSFQEDVKFHERITRKNGAVKPPSPMRDPRHPSPKLRERNLDMSLDLPTRSLLDPLLSEENDLKWQPNKLSESIMKCLNFIYVRLLRTSRAMELEKSGPVSRSLHSSLSSRSFRVDLGSTQKPSLMLHKESRQQDPYGIFDSEESIPRDIGPYKNLVMFTSSSMDPKFISSPSSIPLLRKLRILMSNLQTVDLKGLTNQQKLAFWINLYNACIMHGFIQYGVPSTSEKLIALINKATLNIGGNIINAQAIEHFILRKRDASNMKEAQRKGEWEEKESVVRELYGLEFVDPNVTFALCSGTRSSPAVRIYTGDGVTAELEKSKLDYLQASILATSNKRIGFPELLLRNMLDFAVDIDSLVEWVCNQLPTSGTLRKSMVECFRGHGGNVKASTIVEKIPYDYEFQYLLTI >RHN50343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6358501:6362040:-1 gene:gene34618 transcript:rna34618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Yif1 family protein MYNNVGSQPGVVQPPTNTQPNPFGSAFQVAGSGLIRGGLGAYGGKILGSSSEYVQSNISRYFSDPQYYFQVNDHYVKNKLKVVLFPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPLMAFGTYVVLAGLSLGLHGKFSPEALNLLFIKGLLGWFMQAALLKVTLLSLGSGEAPLLDIIAYAGYTFTGICLAVIGRIISGYSYYFLMPWTCLCMGVFLVKTMKRVLFAEVRSYDSSKHHYLLLFIALVQFPLFIWLGNITINWLL >RHN49738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:739724:744158:1 gene:gene33945 transcript:rna33945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sirohydrochlorin ferrochelatase MLVNSLSLPSNLFTSFSASDIRTNQRWPPLKSSNSLSFSSKSRFLCKSTQNSSGVGPDDAVIIVDHGSRRKESNLMLNEFVEMYRRKTGYQIVEPAHMELAEPSIGDAFQSCVQQGAHRIIISPFFLGPGRHWSQDIPSLSAEAAKQHPGVSYIVTAPLGLHELLVDVVNDRINYCLKHVAGDADECSVCAGTGKCILHQ >RHN69271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42228705:42232285:1 gene:gene17716 transcript:rna17716 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKLRQNSTEPFKDLVTGQATSVDEENNYYYYLKPKFGSCKYVKQTQKEQFKLRKSFEAVGSGRAVDEEEDKEAWSGVFPGFLAIGTLGLETDPSPLTPSFSISVENIITEREAEVTEKELKVINNELEKVLVLTNNNDDSSGRNSHVSTAGRNSHGRISIESNSICPLQGYLFGSAVELSETKTSSISVSKNKEQQHHSQRTTLAELFQRSKQADQDNLVGAKDDTDKEKEEANKSAMHLMRKKLKKKRAHASSQTSVDSTSADRKLHNKILQMFHRKVHPENPTCAEKYEKKKIMNEGGHNKSNKVEEESIMIQPKRAVGKENIGQCKKRQLQITLGSGSEDSSENMEQWIKTDADYLVLEL >RHN66441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12321410:12327289:1 gene:gene14428 transcript:rna14428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAATLVGGAFLSATVQTLVEKLASQEFCDYIRNTKLNSSLFAELETTLLALQAVLDDAEHKQITNTAVKQWLDQLKDAIYDAEDLLNQINYDSLRCTVEKKQAENMTNQVWNLFSSPFKNLYGEINSQMKIMCQRLQIFAQQRDILGLQTVSGRVSLRTPSSSMVNESVMVGRKDDKERLISMLISDSGTTNSSIGVVAILGMGGVGKTTLAQLLYNDKEVQDHFDLKVWVCVSEDFDILRVTKTIHESVTSRGGENNNLDFLRVELNQNLRDKRFLLVLDDLWNDSYNDWDELVTPLINGKTGSMVIITTRQQKVAEVAHTFPIHKVDPLSDDDCWSLLSKHAFGSEDRRGRKYPNLEEIGRKIAKKCGGLPIAAKTLGGILRSKVDAKEWTAILNSDIWNLPNDNILPALRLSYQYLPSHLKRCFAYCSIFPKDFPLDKKELILLWMAEGFLEHSQRNKTAEEVGHDYFIELLSRSLIQQSNDDGKEKFVMHDLVNDLALVVSGTSCFRLECGGNMSKNVRHLSYNQGNYDFFKKFEVLYNFKCLRSFLPINLFGGRYYLSRKVVEDLIPKLKRLRVLSLKKYKNINLLPESVGSLVELRYLDLSFTGIKSLPNATCNLYNLQTLNLTRCENLTELPPNFGKLINLRHLDISETNIKEMPMQIVGLNNLQTLTVFSVGKQDTGLSLKEVCKFPNLRGKLCIKNLQNVIDAIEAYDVNMRNKEDIEELELQWSKQTEDSRIEKDVLDMLQPSFNLRKLSIRLYGGTSFPSWLGDPLFSNMVSLCISNCEYCVTLPPLGQLPSLKDLTIKGMTMETIGLEFYGMTVEPSISSFQPFQSLEILHISDMPNWKEWKHYESGEFGFPRLRILRLIQCPKLRGHLPGNLPSIDIHITGCDSLLTTPPTTLHWLSSLNEIFIDGCSFNREQCKESLQWLLLEIDSPCVLQSATIRYCDTLFSLPRIIRSSICLRFLELHHLPSLAAFPTHGLPTSLQSLTVDQCPNLAFLPLETWGNYTSLVTLDLNDSCYALTSFLLDGFPALQDLCIDGCKNLESIFISESSSDLPSTLQLFEVLKCDALRSLTLRMDTLISLEHLFLRDLPELTLQFCKGACLPPKLRSINIKSVRIATPVDGWGLQHLTSLSRLYIGGNDVDDIVNTLLKERLLPISLVSLDISNLCEIQSFDGNGLGHLSSLKTLGFYNCSRLESLSKDTFPSSLKILRIMECPLLEANYKSQRWEQLSIPVLEINGEVII >RHN49220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53365143:53370724:1 gene:gene44028 transcript:rna44028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase Clp MATCFRVPISMPTSMPASSTRFTPTRLRTLRTVNAISKSKIPFNPNDPFLSKLASVAESSPETLFNPSSSPDNLPFLDIFDSPQLMATPAQLERSASYSQQRRPKRPPPDLPSLLLNGRIVYIGMPLVPAVTELVIAELMFLQWMAPKEPIYIYINSTGTTRADGETVAMESEGFAIYDAMMQMKTEINTVALGAAVGQACLLLSAGTKGRRYMTPHAKAMIQQPRVPSSGLRPASDVLIHAKEVMVNRDTLVKLLAKHTENSEETVSNVMKRSYYMDALLAKEFGVIDKILWRGQEKIMADVPSRDDRENGTAGAKVENRF >RHN72933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11937656:11940795:1 gene:gene8699 transcript:rna8699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK family MILQFTIFHIILALIFPIFPPLTSAQQEDGIIAQQGCNSTCGTMSIPFPFGMKEPRCYADKWFEIECKLDNNSQNPKPYLKSLNLEVNNFYLDLGLVEIMNPIHRPNCRKYNNNKTVIINLGGGPFIYSQDYNKFLAVGCNNLAFIQSSGNMVGGCVSICDDNNINSNYFNLSSDGCNGRYCCETSLPMHLSEYNATLQGLRGPNISECSYALILSRRWVYFDGLSSYLSTYYLENFNKLEDMEYAPAMLEWEILNDMLINSTFQLPSDSYSNCYDSQVTSINNRNTGRQCQCFSGYFGNPYIAGGCTESEVFNNKNNRSKKSAIVGVSSSLGSIGFMIGLWLLHKDMKKRMIKKRKEKFFKRNGGLLLKQRMSSGEVNIDRTTLFTLKDLKKATDNFNKNRVLGKGGQGTVYKGMLVDGKIVAVKKFKVEGKVEEFINEFVILSQINNRNVVKILGCCLETEIPLLVYEFIPNGDLFQYLHDQNEDIPMTWDMRLRIGTEIAGALFYLHSIASQPIYHRDIKSTNILLDEKYRPKLADFGVSRIISIEATHLTTVVQGTFGYLDPEYFHTSQFTEKSDVYSFGVVLAELLTGKKPISAIGSGEYQNLASYFIQCIEEDMLFDIIDKRVTKEGEKEHVVAVANLAYRCLELNGRKRPTMKEVTLKLEGIRGLNKKLSAQQNHEEIELPEIEEHQPWDEFSTSSSLQIVDSQTYSTDSEVMHIIELK >RHN40206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14211345:14211977:1 gene:gene46328 transcript:rna46328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MSLEEVGRVRWFPRELIRKVGDGRSSFFWKDAWDSSVPLRESFPRAFFPYRLLKMGCGDLWDMNAEGVRWRLYWRRLELFEWEKERLLELLGRLEGVVLRYWADIWVWKPDKEGVFSVNSCYFLLQNLRLLEDRLSYEEEVIFRELWKSKAPAKVLAFSWTLFLDRIPTMVNLGKRRLLRVEDSKRCVFCGCQDETVVHLFLHCDVISKV >RHN50826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10869015:10874494:-1 gene:gene35162 transcript:rna35162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MMNGRQAQNWGESSSSTSTSLSSQQDVDDDQMIALVLSEEYANLDGAVGRRLSNLAPVPHVPRINTFIPTINDASMDHQRLLQRLNVYGLCELRVSGDGNCQFRALSDQLFRSPEHHKHIRKDIVKQLKDHRSLYESYVPMKYKRYYKRMAKSGEWGDHVTLQAASDKFAAKICLLTSFRDTCFIEIMPLYQAPQREVWLSFWSEVHYNSLYDIRAAPIQHKPRRKHWLF >RHN80799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40941492:40942876:-1 gene:gene4766 transcript:rna4766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translationally controlled tumor protein MSFSLIHSPTRKLRMECFGKWKESWVVQGAVDVNIGANPSAEGGDEDDGVDDQAVKVVDIVDTFRLQEQPAFDKKQFVTYMKRYIKLLTSKLELEKQELFKKHIEAATKFLLPKLKDLQFFVGESMHDDGSLVFAKHV >RHN40259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14631321:14631470:-1 gene:gene46384 transcript:rna46384 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S19 MIGHTIVIHNGKEHLPIYITDRMVGHKLGEFSPTLNFQGYAKNDNRWRR >RHN67004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22724431:22724827:-1 gene:gene15133 transcript:rna15133 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHISQHCFLGILCIALVLASGPTPGYSCYYPTFCPSFGYCDLRCRAAGFIRGVCTDIEGSISCCCMK >RHN70275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50172039:50173872:-1 gene:gene18839 transcript:rna18839 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIMKQACQCVQGCWLSQIAARTTSTIIATSSLKACFCRCCWLCVKREKEKYVLLLVSFIPEKKKIEEFA >RHN53198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42773620:42776604:1 gene:gene37977 transcript:rna37977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKGRLISLLKNCKSIFHLQQIHSLIFTTGLHQDTHTLNKLFSVSIHLNNNNYFHYSLSIFNHTLHPSLFLYNLLIKSFFKRNSFQTLISLFNQLRLNGLYPDNYTYPFVLKAVAFIADFRQGTKIHAFVFKTGLDSDYYVSNSFMDMYAELGRIDFVRKLFDEISERDSVSWNVMISGCVKCRRFEEAVEVFQRMRVDSNEKISEATVVSSLTACAASRNVEVGKEIHGFIIEKELDFTMRMGNALLDMYCKCGYVSVAREIFDGMIEKNVNCWTSMVTGYVSCGELDKARDLFDKSPTRDVVLWTAMINGYVQFNRFDEAVALFEEMQVRGVKPDKFIVVALLTCCAQLGTLEHGRWIHDYVRENRIVVDAVVGTSLIEMYAKCGCVEKSLEVFNGLKEKDTASWTSIICGLAMNGKTIEALELFEEMKIFGAKPDDVTFIVLLNACSHGGLVEEGHKLFHSMSCIYGIEPNLEHYGCFIDLLGRAGLLHEAEELIKKLPDQKNETIVAIYGSFLSACRTYGNTDMGERIATALEKVKSSDSSLHSLLASIYASADRWEDASKTRSKMKDLHIRKVPGCSAIEVDGSGNQGGVGDFSSFRSKIGLPT >RHN77020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3287885:3289691:-1 gene:gene427 transcript:rna427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MNYVHLKGRKIANTIRRTVIGVEPDRISCLPGHVIDQILSYLPIREAVKTSVLSSEWRNKWHTLPNIVFDLNCVSNIEAIKDPSIFMSKLLRIVDHVLLLHSGPINKFQLSDPGCDLSDVNSVADIDRWILRLTEKSIKEFVLQIFVAKKYYKIPQCLFSCQSLQNLKLDCCCIQPPTTFKGFKNLKSLELYQVTMAQDAFENLISGCHLLEDLKLLYLEGLTQLNIHAPNLKKFLIVGDFVDFSFDNTFQLTELGLFSFDSRSNHSQLRGCSSNLLRFFAHLPHIESLVISSQFLKYLDAGDVPVKLPTPCINLSSLFICIRFNDLKQILAALCLLRSSPNLRRLEIAAKLEKHTDLLTPASYCWKDVFLEPPVPLRVRHVSIKGISDNTSELDFIRFLLMYSPMLEKMTVKLEINVTPELMTKIIQFKRASEQAEVIYQENDSS >RHN55216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16202979:16203251:1 gene:gene30361 transcript:rna30361 gene_biotype:protein_coding transcript_biotype:protein_coding MFACTIPFLSSFLLPFPLRSVNKITSTNSVIKTPHGTVKVQFVEKNMGYVICDSIEKGSFSTQLNKFVVLFPKTLLQNRELLLLLLPRSN >RHN63618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52721504:52728841:-1 gene:gene26342 transcript:rna26342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-glutamate gamma-semialdehyde dehydrogenase MLNHFLTRASRVSSLTKYNVLPAFNFSRSVSSIPLATVEAEEISGARPAEVLNLVHGKWVGSSNWNTIVDPLNGEPFIKVAEVDETGVQPFVESLSSCPKHGLHNPFKAPERYLMYGDISAKAAQMLSLPKVSEFFAKLIQRVSPKSYQQAFAEVYVTQKFLENFCGDQVRFLARSFAVPGNHLGQQSHGFRWPYGPVAIITPFNFPLEIPVLQLMGALYMGNKPVLKVDSKVSIVMEQMLRLLHSCGLPVEDVDFINCDGKTMNKLLLEANPRMTLFTGSSRVAEKLAVDLKGRVKLEDAGFDWKILGPDVHQEDYTAWVCDQDAYACSGQKCSAQSLLFMHENWSKTSLISKLKELAERRKLSDLTIGPVLTFTTESLLEHTKNLLEIPGAKLLFGGQPLEDHSIPHVYGAIKPTAVYVPIEEIVKDKNYELVTKEIFGPFQVITDYKGSQLPIVLEVLEKMHAHLTAAVVSNDPLFLQEVIGKSVNGTTYAGLRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDYGPVSKDWEIPPST >RHN42326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37402639:37405540:-1 gene:gene48755 transcript:rna48755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thebaine 6-O-demethylase MDHASLIVSSESLIVPSVQEIVKEPLTRVPERYVRPHHDRPIISTTTPLLELPVIDFSKLFSQDLTIKGLELDKLHSACKEWGFFQLINHGVSTSLVENVKMGAKEFYNLPIEEKKKFSQKEGDVEGYGQAFVMSEEQKLDWADMFFMITLPSHMRKPHLFPKLPLPFRDDLETYSAELKKLAIQIIDFMANALKVDAKEIRELFGEGTQSTRINYYPPCPQPELVIGLNSHSDGGGLTILLQGNEMDGLQIKKDGFWIPVKPLPNAFIINLGDMLEIITNGIYPSIEHRATVNLKKERLSIATFYSPSSAVILRPSPTLVTPKTPALFKPIGVTDFYKGYLGKELRGKSFLDSLRIQNEDEKHT >RHN44457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4915339:4916001:-1 gene:gene38578 transcript:rna38578 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MFRYWENDSPRYLEIEYPQSVSSDFGDHLNYLNNTIPNYTAPETVYLTARNYGKYVTEDYNVTWNFEVDSSFTYMVRLHFCEFDPNIINKGDRMFQIFIDDNLVEELADVIRWSNGRMVPVHKDYGVTMYSQNGSYHTLNFDQGFHGRASFDSGRSHDSVKIWQRGILKLSKENCLDLSSLSPIVFKFHYSFINYTSVFTFCISAKRKLSKELTKILCLF >RHN39915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11673895:11674501:1 gene:gene45999 transcript:rna45999 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSASPTNKNASKHIDRGRQMERQPRRKTQRSRPKTAAQPHQKHRLKQRRKCDRSNMDEERTIGDARQKGQRRQRRR >RHN56643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32517027:32518857:1 gene:gene32075 transcript:rna32075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MEFHNSFFNSTFIASFLFLLVLLKLVKKWSCNNSNINLPPGPWTLPIIGNLHQIISNSILPHQYLKNLAEKYGPLMHLKLGEVSYLIVSSPSMAQEIMKTNDLNFCDRANLLLSTIFSYNATSIVFSAYGEHWRQIRKICVLQLLSAKRVQSFRYIREEEVSNLVESISASEGSIVNLSHKIYAMTSGITTQAAFGKRNKQHQVFRSALKEITSLMGGFCIVDVYPSIKMLQWVSRAKTKVEKLHKEIDMILQDIIDDHKNIHKEESKDEDLVDTLLKIQQENEHSLNPLTDNNMKAIILDMFAAGSETSSGVVLWGISEMVKNPKIMEEAQAEVRNMFDKKGHVDETELHQLIYLKSIIRETLRLHPSAPLLVPRESRERCQINGYEIPAKTRVAINVWAIGRDERYWAEAESFKPERFLNSTIDFKGTNFEYIPFGAGRRMCPGMAFGLSNIELPLAQLLYHFDWKLPNGMKNEELDMTESFGLSVGRKNDLCLIPITRRP >RHN78898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19725685:19726149:-1 gene:gene2566 transcript:rna2566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MRFQNLSDVSVEECENLINLFPLSVAKGMLQLQSLRVSKCGIQEIVAKEEGPDEMVKLLFPQLTSIILEHLPKLKAFFVGVHSLQCKSLKTIDLFECPKIELFKSEALRHHESSRNDVLNISTYQPLFVIEEVSVPLNIILALNIHAHVLTLFQ >RHN77083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3905893:3911489:1 gene:gene499 transcript:rna499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytokinin dehydrogenase MIAYLEHFVHGNDTESPPNDDVSSLQSSFHFAPNSIASKDFGGMKSSTPLAVLRPYTTADVVKAVKAAATTTNLTVAARGNGHSINGQAMAEKGLVLDMRATAAEPFQLLYVDGVPHVDVSGGALWEEVLKRCVSNFQLVPRSWTDYLGLTVGGTLSNAGVSGQTFRYGPQTANVTELEVVTGKGDSFVCNDNQNSDLFFASLGGLGQFGVITRARIVLQQAPDMVRWIRVIYSEFEDYTRDAEWLVTLPEGDGFDYVEGFVVANNDDPCNGWPTIPMGSNQIFNPVCLPSSAGPVLYCLELALHYRKTARSSEVNTKVDRLLGGLRFVEGIKFEDDVKYMDFLLRVKRVEEDAKAKGIWDAPHPWLNMFVSKSDIADFDREVFKKILKHGVGGPILVYPLLRSKWDDRHSVVVPDSNIFYIIALLRFIPPPPKGPPTDKLVAQNNAIIQLCYNKGFNFKLYLPHYTSQENWMRHFGDRWTRFVQRKQNFDPMAILAPGQKIFSRNQLK >RHN55738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22138734:22143306:-1 gene:gene30969 transcript:rna30969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperonin Cpn60/TCP-1 family, groEL-like apical domain, groEL-like equatorial MTSSPSPIFATLSFANPSSIPKHRNSSSFVLNTKAMSKDLYFNHDGSTTKKLLAGVDLVAELLGVTLGPKGRNVVLHNKYGPPRIVNDGETVLKEIELEDPLENVGVKLVRQAGAKTNILVGDGSTTSVVLAHGLIKEGMKVIAAGMNPVQIARGIEKTAIALVNELSLMSRDVEDHELKYVAEVSAGNDYVVGNMISEALHKVGRKGVVTIEKGKSIENSLEIVEGMQFDRGYLSPYFVTDRRKMTVDLHNCKLLLVDKKITNPKELLNILNSAVKEKFPILIVAEGIEQDALAPIIKNKLRGVLKVAAIKAPAFGERKSHYLEDIAILTGGTVIREDMGFTLEKASTDVLGSAAKVVITKDSTLLVTDGSTREAIEKRVNQIKILVENTEENFQKKILNERIARLSGGIAILKVGAQTQVELKDKQLRIEDALNATKAAIEEGVVVGGGCSLLRLSKKVDSIKILLDNEEQKIGAEIFKRALSYPTKMIAKNAGVNGNVVIDKVLRFLRNCFLF >RHN67606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28580106:28581245:-1 gene:gene15811 transcript:rna15811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MKPIGVRIGGGDNLKITNHSSIGPPLTAIDRFLWGQHNHFPQKKLQQNVDGQNICSFGYSNGSTYSFMWPNNNIMSQEASFVDHLLANEEVMNWTQQIPSLCVEKEDAINGLGKGAKMVGRRPKKVSSFSLIKGQWTDEEDRKLLKLVKQYGVRKWSQIAEKLEGRAGKQCRERWHNHLRPDIKVCHLFNLSIFRYIFSP >RHN53168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42489045:42492532:-1 gene:gene37941 transcript:rna37941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MTNFVGLRLPVMSCYCASTPHPRTVNVVGSSSCSLLNKTFKNAPRRMLLGFGASSFLSHFITNMSASGFKSFIASAKITAGPSVDQILKNVEWPEQFPFKEEDFQRYDESSDSTFYESPRFVTHIDDPAIAALTKYYSKVFPPSNTPGVSILDMCSSWVSHFPPGYKQERVVGLGLNEEELKRNPVLTEYTVQDLNVNPKLSFEDNSFDVITNVVSVDYLTKPLDIFKEMNRILKPGGLAIMSFSNRCFFTKAISIWTSTGDADHVMIVGSYFHYAGGFEPPQAIPSLLFPVLLGQDSSGMNL >RHN74971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38364937:38366048:1 gene:gene11115 transcript:rna11115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pollen allergen Ole e 1 family, immunoglobulin-like protein MTRSFVVVALIVSAFCFSSVLAARVTPNDVPTTNAAANKDDFFIVVGQIYCDPCGFQFESRLSKPLAGVKVTLECTKGDKNVTFVKESTTDETGTYNIECKGDHEEEVCKVNAVNEKGNCRKIMDNESDMIVLTKNMGVPSLVRFVNALGFMTETVDPQCGKVITELGLDKLDD >RHN58266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:434550:438027:1 gene:gene20158 transcript:rna20158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoglycerate dehydrogenase MSSSSCTKSILPSPIFSSSSSTSSETKSSKSSNLSFLNSNTFGIIPNNIKLSHANSQQRCFMVNSVLKTVDQTKQTNNVVSVNDENEKPTILVSEKLGEAGLQVLRQLGNVECAYDLSPEDLCKKISSCDALIVRSGTKVTRKVFEAGKGKLKVVGRAGVGIDNVDLQAATEFGCLVVNAPTANTIAAAEHGIALLAAMARNVSQADASLKAGKWLRSKYVGVSMVGKTLAIMGFGKVGSEVARRAKGLGMNVIAHDPYAPADRARAVGVELVSFDQAITTADFISLHMPLTPTTNKVFNDNTFAKMKNGVRIINVARGGVIDEDALVKALDSGIVAQAALDVFTEEPPAKDSKLVQHENVIATPHLGASTKEAQEGVAIEIAEAVVGALNGELSATAVNAPMVAPEVLSELAPYVVLAEKLGRLAVQLVSGGSGIQSVKVVYRSARGPDDLDTRLLRAMITKGIIEPISNTIVNLVNADYIAKQKGLRISEERVVVDSSPELPVESIQIQISNVESKFASAVSETGQISIDGKVKYGTPHLTCVGSFAVDVSLEGNLILCRQIDQPGMIGTVGNILGEKNVNVSFMSVGRTSRRKKALMAIGVDEEPNKEALENIGAVPAIEEFVFLKL >RHN80378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37553101:37560510:-1 gene:gene4293 transcript:rna4293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-NDR family MDSCWFHKFKSKDKMHSSKNKETAGVAKERSKPPTNEEAPSNVTKQKVEAAKQYIENHYKKQMKDLQDRKERRNMLEKKLADSEVSEEEQNNLLKYFEKKEREYMRLQRHKMGADDFELLTMIGKGAFGEVRICREKATGNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNYIVKLYCSFQDEHYLYLIMEYLPGGDMMTLLMRKDILTDYEAKFYVGETILAIESIHKHNYVHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLQEKDFSTGINRSGALQSNGQPAAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGLECDWWSLGAIMYEMLVGYPPFYSDEPMLTCRKIVNWRTYLKFPEEAKLSPEAKDLICRLLCNVEQRLGTKGADEIKAHPWFKGVEWDKLYQMRAAFIPEVNDELDTQNFEKFDEVDSQSQPSSKSGPWRKMLSSKDVNFVGYTYKNYEIVNDDHLPGMAELKKKSTKPKRPSIKTLFDDDSATTANQPVQGSYSTGSSREV >RHN76849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1778240:1782266:1 gene:gene230 transcript:rna230 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAILLCNKWYGHGQQFWATHSFQNFTHCKKMDIKVKAVTSSDSNAKKANLFAAKKERVKLPTYDDDDVLGGKEYHISEFLSQPSGIAAVLNTKVLQSFQSLDANTYRCELPKLKLLKFEVSPFIDLRVTSTDEDCLVEMISCKFEGSEIVEELNDHFSAFMVNHMTWSDADIESFLEVDVKLNLTLEIYTRPFTVMPISAVEGPGNIMMQALVDKLVPLLLQQMVQGYDEWVQKQTYHLNQSSSEGNFVVETTEGGRTF >RHN53948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5659593:5662344:-1 gene:gene28923 transcript:rna28923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MAQLNLILVSLLFLTLFLHSRPTHAQLSRHHYKNSCPNVENIVREAVKKKFHQTFTTVPATLRLFFHDCFVQGCDGSILVSSTPHNRAERDHPDNLSLAGDGFDTVIQAKAAVDAVPLCQNKVSCADILAMATRDVIALAGGPYYEVELGRFDGLRSKDSDVNGKLPEPGFNLNQLNTLFKHHGLTQTEMIALSGAHTVGFSHCNKFTNRVYNFKTTSRVDPTLDLKYAAQLKSMCPRNVDPRVAVDMDPVTPHAFDNVYFKNLQKGKGLFTSDQVLFTDSRSKAAVNAFASSNKIFHANFVAAMTKLGRVGVKNSHNGNIRTDCSVI >RHN65025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63709322:63712488:-1 gene:gene27916 transcript:rna27916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain, tetratricopeptide-like helical domain-containing protein MGKSGGRRKKGGAVVDNSASVQTPNGGVELDSSIFLKKAHEMKEEGNRRFQSKDYAGALENYENALKLTPKTHPDRAVFHSNRAACMMQMKPIDYESVISECTLALQVQPQFVRALLRRARAFEAVGKYELAVQDVQLLLASDPNHKDALDIAQRLRAAFGPRQEAQQDLHSRPSPAALGASAVRGAPIAGLGPCLPARPASKKGVNSAVGSVVSPNNKVDKSQNVLLPTENGLENKTQMPKVVLKPFNNGPVVQSNSKNESQKDRNLSEVAIRWRPLKLVYDHDIRLAQMPANCSFRVLRDVVSKRFPSSNSVLIKYKDCDGDLVTITSTDELRLAESFVDSNLLKELESDKSDSISVLRLHIVEVSPEQEPPLLEEEEEKLVENEVTKGDESGSHSSLGDFVPEVTEVTEVPDTEVDKIITKKDVSKEKPGATGDNECKEVEMDDWLFEFAQLFRSHVGIDPDAHIDLHELGMELCSEALEETVTSEEAQDLFDKAASKFQEVAALAFFNWGNVHMCAARKRIPLDESAGKDVVAEQLQVAYDWVKEKYSLAREKYEEALLIKPDFYEGLLALGQQQFEMAKLHWSFAIAKKIDLSTWDSTETLQLFNSAEEKMKSATDMWEKLEEQRAKELKDPTATKKEELLRRRKKQGSATEGESSVVGGQGEISAEEAAEQAVVMRSQIHLFWGNMLFEKSQVECKLGMDGWKKNLDAATERFKLAGASEADILMVLKNHSSNGDTKGDDKKVQSPLSNKTDELEINKEANQV >RHN42351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37600630:37601052:-1 gene:gene48782 transcript:rna48782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S17e MGRVRTKTVKKSSRQVIERHCPRMTLDFHTNKKILEEVALIPSKRLRNKIAGFSTLLVKRIQKGPVCGISLKLQEEERERRMDFVPDVSAIRTDHIEVDKETLDMLAALGMSKIPGVVQVDHVPVQQISFVRGAGAGRRF >RHN70636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52896648:52900320:-1 gene:gene19244 transcript:rna19244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSSIADNDDDDRISNLPETLICHILSFLPTKQAVATSVLAKRWIHLWCSVLAINFSNTELYHQEACFRFSESVYSVLLSRNSIKSFCLGITYGEEGIIGFPHVVKWVNHVVQSGVETIDLLVDTMFGGGPKLPISILSCKTLVVLKFQRFSVKGFTSIRLPCLKILHLSESGFFNIQDFMLLLTGCPILEELQAHHIGFRSEDSITYQERNSSSLSLSKLTRANMVCFYCDFPLKALCNVEFLCIQIDEMYRPHDEIPIFHNLAHLKLLSLNYNWKLLVHVLCHCPKLQKLDLSEATEDCMIPDVQENWVDPEFVPHCISLNLRTCTLLRFKGLHGELLMAKYILKNARVLQTMTITGPSPCDYLLPPGPMLHVDFQYIERELSSFPRASATCQLSIENVNYEFLENS >RHN45393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19304380:19305345:1 gene:gene39704 transcript:rna39704 gene_biotype:protein_coding transcript_biotype:protein_coding MEDINLSFHQKKTDQSRLEDQTLLCNQLMAEITKFQEKFVTFRQETPDAKQKVEELKSVIAKHEEEIRLLKLQIANVLEKEDLVQKEASFVHQKIKESQTFQQEIATLVDNGKVLDEKLAEFKGKLNKLKSEFVI >RHN79829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32640234:32642978:1 gene:gene3672 transcript:rna3672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein METPLISGIAVAAAAYAARYSIQTWQAFMARPAGMRKFYEGGFKAIMDKREAARILGVRPTVPIVKIKEAHRRMMVANHPDAGGSHHVASKINEAKDMLLGKTKGGGSAF >RHN53830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4567660:4570040:-1 gene:gene28782 transcript:rna28782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MQGGAWVGRLTSQDVISNLCYIYRAARKLESMRLTAGSCLVRLVRFNPPSIQSVIEKLSFKDLASALVKGSPRVQQISLNLLNTTMLGSHMITNVGRYLIQFAEDKNLIPSLLALVEQGSEVLKGKALVFVALLCKHGRRWLPQFFCSHKLLSVVDRLGKDKDALCAAVLLDIITGDIQQMMGGRRHGQISSLTSRSAPKSNIHLFPVVLHLLESSAFKHKVATLPVLRQLANLIKLVEAPFQGRDDFQITLLRSLESLTEKSSVILVNPDIFLREILPSLTVLYKGNKDGDARFLCLKIFFDVMIILLSEPVEEEQRLNDLKFVSNTHFLPFYPTLIEDEDPIPIFAHKLLVMLLEFSFISIPDILHLKTISQCFEFFLGDLSNANVNNVKLCLSLDSAPEMESKLLSQLKVVRRIGNFLEFVCAKGMEELLEPTLGLCRDFLARSVSCTKGFSYTTEPAPLGDCPPEVSGVIDPQQCIRDIADFGSNVGVFLELSASRETSVADIASQCVVLLLKAAPREATTGLLTNFPKVTRMLHALGYACKQYLLHAMILSISISEISRIEVIVSELNKSSVHALANTARLAALELQRLPRCI >RHN55737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22127942:22138732:-1 gene:gene30968 transcript:rna30968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperonin Cpn60/TCP-1 family, groEL-like equatorial domain-containing protein MNFGYNAARDCYEDLMKARIMDPTKVVRCCIEHAASVAKTFLTSNAVVIDRMELQQPLQRRKPMTMPRKPMAMPRMPMTNSGLGPIGF >RHN79732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31670055:31673637:-1 gene:gene3564 transcript:rna3564 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSTLFRFRFSSPPFNNSIISRSSKPPFSFNNSFHVSPSSSHPRLLRFSPKATHSSSNNDFFEFFPWSDDDNEIQWVSQDKFTFFTTDGLIQIGGNMVPRRVKSSDKQGKSQTAKKYQRYQESNYMDPNQGLCLGALFDIAATNGLDMSRRLCIFGFCRSIEMLSDVVEDTVLEHGGEVIAAEKASRGDLHEKLTMTVAVPLLWGVPPASETLHLAVKSGGGIVDKVYWQWDFL >RHN40516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17066119:17066653:1 gene:gene46674 transcript:rna46674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MIEGVDIISVNSKQTIPYPWLVFNEKVKIKQVLIRDSTGVSDLMLILFGGALSNGKQPGHLKMLDGYVDFFMDPNLADCCLKLKGELDRLIQKKVSRFFSFAMIFLVYLLIQDRVFLIAP >RHN49559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55649592:55649987:1 gene:gene44402 transcript:rna44402 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTELGFHLFDGAYAVLIMIVYGSKEPLNAVTTCSLGLIQFVTIYAAVVPLKSHTRTPNKSIWVKLNDNQSKCNTDSALFSSEGRFQHLCLFLRQLGPLHPSSYDDLFSSILARKLNVKLLLCNSPSKLL >RHN58860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5496047:5498170:1 gene:gene20814 transcript:rna20814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLKHMTGLCKLKDLVELDISYNMFSAQLPECLSNLTNLNVLELSYNLFSGNFPSFISNLTSLAYLSLFGNYMQGSFSLSTLANHSNLQHLYISSQSIGANIETEKTKWLPKFQLKTLILRNCNLNKDKGSVIPTFLSYQYSLILMDLSSNKLVGLFPRWFIHSSMKYLDISINSLSGFLPKDIGIFLPSVTYMNFSSNNFEGNIPSSIGKMKKLESLDLSHNHFSGELPKQLATGCDNLQYLKLSNNFLHGNIPKFYNSMNVEFLFLNNNNFSGTLEDVLGNNTGLVFLSISNNSFSGTIPSSIGTFSYIWVLLMSQNILEGEIPIEISNMSSLKILDLSQNKLIGSIPKLSGLTVLRFLYLQKNNLSGSIPSELSEGSQLQLLDLRENKFSGKIPHWMDKLSELRVLLLGGNKLEGDIPIQLCRLKKIDIMDLSRNMLNASIPSCFRNMSFGMRQYVDDDDGPTFEFSISGYLPTISFNASLSIQPPWSLFNEDLQFEVEFRTKHYEYFYKGKVLENMTGLDLSWNNLTGLIPSQIGHLQQVRALNLSHNHLSGPIPITFSNLTQIESLDLSYNNLSGKIPNELTQLNFLSTFNVSYNNFSGTPPSTGQFGGFDEDSYRGNPGLCGPLLYQKCERVESSPSSQSNDNGEKETMVDMITFYWSFTASYITILLAFITVLCVNPRWRMAWFYYISKFMRKIFPTFPLY >RHN73450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16545904:16552494:-1 gene:gene9265 transcript:rna9265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase I associated factor, A49 MESPDPKSNKKAITKKKMVTEPPPEPVHEPVQAKIEVVSSDPSKMPPFIGYFTSGFDPVKSSSGTDVQVYRNKVMHKRTELVVSPAGSSVEFVGTSYEGEAVAAARNPATYMLGVFDKESQTLKIVPIGGNKIFRLEPRVKGVEYKEPPPTPIKMVEEMTAEQLAEKKRNTDAALGTKRSNKMHSRLADMRGDEEPEAKKNLDEKMKNVEVKETALANTEAHVTRHIPPYNSSATTPQEAYVLDKIILAEEWNYLQDIYYSLQKEVAADFSLYPSFIRNRINRLKKIEDDSEKKKHSCILSFINYLVKFKDQHSMDGISSSKFEKLPYTLHHRFTTMFDVTESRRLPPEKMNLLISYVLVLTLFSDDFRTDYRDIAKDLRMTTLTLRPIFEHLGCKLISSQKVSYATLPIPLTFSQIKKRKRKNKNKL >RHN62281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42310007:42313345:-1 gene:gene24838 transcript:rna24838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine synthase MVSSSLVKSLSCAFRACRISHSFSSTRIFSSSIVSVESQTSNDDLNIADDITQLIGKTPMVYLKSITKGSVANIAAKLELMEPCCSVKDRIGYSMILDAEQKGLITPGKSILVEPTGGNTGIGLAFIAATKGYKLILTMPVSMSLERRVLMKAFGAELVLTEYSKAMSGAVQKAEEIVKNTPNAYMLQQFDNPSNPKVHFENTGPEIWKDTKGKVDILVAGIGTGGTLSGAGRFLKQQNPKIKCIGVEPLESNILSGGKPRPHIIQGIGAGFVPKNLDKEILDEVIAISGEESVKTAKQIALQEGLLVGISSGCAATAALQVAKRPENEGKLIVVVFPSFGERYVSTSLFQEAREECEKMQPEP >RHN72072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4775395:4779347:-1 gene:gene7743 transcript:rna7743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSNTSSSSSIWVLSNIKLQFFTRIKRFLQSKATRKRCESSDYLNKEKSRAEQSNIEQNMVENIETVQVIEKHKEEEEVVEETEILLQKTVKMLHFGSWEEKEIAAKEIESLVKEDVKVRKLITELGVVPVLVSMVASQVVSHRRAGLTALIYLADGTYTNKAMIVEAGILSKLPKTIDLVDESTISEFAELLLSLSSLANTQYPFPSLDFLPVIKDILKKDMTFDTKRSCLGALYNLSTMLENASPLVSYGLVPILLELSLVKEISEKALATLGNLLVTLMGKKAIENNSMVPQNFVEILSWEDKPKCQELSVYILMILAHQSSSQRDKMAQARIVPILLEVVLLGSPLAQKRAMKLLQWFKDERQIKMGPHSGPQTPRFGMGSPLNQRDTNEGKKLMKSLVKQSLHKNLEIITQRANANGESSKLKSLVISTSSKSLPY >RHN68440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35556406:35557462:-1 gene:gene16797 transcript:rna16797 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHFTIFSLLLLIVAFSYATEATIEKIDVVVETTVYCQTCEHSGTWSLNGAKPIPSAKVSVTCKNHKGHVSYYKVFQTDKNGYLYAQLEEFKMQHDILDHPLHACFVKPIWSPLEGCSLLANVNYGLNGSPLRYENKILRGRRYEAVIYAAGPLAFHPPDCSKTHY >RHN40104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13322385:13324386:-1 gene:gene46207 transcript:rna46207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MILSPHIKTSKSIQQPSNSTQPNKANMADLNESIEESQENNNLPKVFLHGPPEFSFILQPNPSHNFHILNPSSLPPLHQFLSLNPHHASSIAAIVCTGGYTVNADVLQLLPALRLVCTPSAGTDHIDLSECRRRGIQVAGAGNLFSEDVADMAVALLIDVSRKISAADRFLRRQVQNVSWNFPLGSKLTGKKIGIVGMGRIGSEVAKRLEAFSCMISYNSRNKKPLVSYPYYSTVLELATDTNVLILCCDLNDQTRHIVNKEVMLALGKGGIIVNVGRGALIDEKELLKCLIEDEIGGAGLDVFENEPHVPKDFFSLDNVVLSPHAAVVTSESLMGIRQIVEQNLTAFFSNKAPITPVI >RHN41412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29778296:29784675:-1 gene:gene47730 transcript:rna47730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pantothenate kinase MDQREFEIQPNSDPNPSTTHVYHLALDIGGSLNKLVYFTKDDDHFVDGEAEISPRNTSEKSKGSRRNHPVLKGKLNFKKFETSKIDDCIDFIKTMKLHPGGSRQQENPGSQPISIKATGGGSYKYADPFKERLGINLDKEDEMDCLVAGANFLLEVVDREAYTYMGDQRQFVQIDQNDLYPYLLVNIGSGVSMIKVEGNGKFERVSGTSIGGGTFWGLGKLLTQCKSFDELLELSYQGNNKEVDMLVGDIYGGMDYAKIGLSSTAIASSFGKAMSDKKEREDYRPEDMARSLLRMISNNIGQISYLNALRFGLKRIFFGGFFIQRHPFTMDTLSVAVNFWSKGEAKAMFLRHEGFLGAVGAFMSSDKHGLKELLVKQEAQQSPTKLSFAVDKMNGQLDGELIGDESIECSVYAA >RHN44031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1153963:1160699:1 gene:gene38079 transcript:rna38079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin reductase (NADPH) MKEIQQRHHKLLILYATQTGNALDASERLAREAERRACPINLLSLHQYDPNLLPQEEAVVFVVSTTGQGDTPDSMKVFWRFLLQRSLSQHWLKGVHYTVFGLGDSGYQKYNFVAKKLDKRLKDLGGTTILERGLGDDQHPSGYEGTLDPWMSSLWRILNTIKPEFLPNGPDVSIQDTVLIDQPKVQITYHNIESHFSTASDTGSVRSMHPGKSSSDRSGHPDCFLKMVKNLPLTRANCGKDVRHFEFELESHAIKYDTGDVLEILPGQDSAEVDAFIRRCDLDPDAFISISPRGTDDCNGHGSRIPIQLKTFVELTMDVASASPRRYFFEVMSFFATAEHEKERLQYFSSPEGRDDLYQYNQKERRTVLEVLEDFPSVQMPLEWLIQLVPMLKTRAFSISSSQSVHPNQVHLTVSVVSWTTPYKRKKKGFCSSWLATLDPCNAVGIPAWFHKGSLPTPSPSLPLILVGPGTGCAPFRGFIEERALQSKTISTAPIMFFFGCWNEDGDFLYKDLWLNHSQNNGVLSEANGGGFYVAFSRDQPEKVYVQHKMKEHSGRVWNLLADGAAVYIAGSSTKMPTDVTSAFEEIVSKENEVSKEDAVRWIRALERCGKYHIEAWS >RHN78196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12666918:12671010:-1 gene:gene1729 transcript:rna1729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MMMRKQKQVEKKTNTFLLEKSPTKEYQITAPSHDDQYYSKVTTIVTKKSPPPIEFPTSPQLIFGEEIIHFSHPQHPLSMVDLPDLFICVGCKEYGSGKRFVCQQCNYQLHDFCALAPPALKAHPFHSQHAMLFHSKPVKSGMTKSKCDVCGKPTKGYTFLCTACAFQMHPCCAMLNTEIEFPNHPHTLKLTHSSTTTGTATNTDLSNTIVCNECKKRRSGKVYRCTVCNYNLHAFCAKNKVNGLQANGIRLPEKPSVLSAAAKVASQVVIEFIGGFVEGIGESMGEVLVQNITKGGNGTLTSANNNTTTKPRK >RHN76852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1800372:1804137:1 gene:gene234 transcript:rna234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MEGKKFRIYFVLMMLFATSVLEVGGIGVNWGTQSTHPLPPSTVVKMLKDNGIQKVKLFDADPNILDALKKSGIQVMVGIPNDLLYTMANSRQAAEKWVAKNVSAHVSSGGVDIRYIAVGNEPFLSTYNGTFEATTLPALVNIQNALIKAGLGSSIKVTVPSNADVYQSSSGNPSDGDFRTDIHDLMVQIVKFLSQNNAPFTVNIYPFISLYEDPNFPVDYAFFNGFSDPLNDNGKIYDNVFDANYDTLVWALNKNGFGNMPIIVGEIGWPTDGDRNGNLQLAQRFNQGFMTRFIAGKGTPLRPGPMDAYLFSLVDEDNKSIQPGNFERHWGLFYYDGRPKYQLTIGSRTNGLVGATGVAHLPKKWCILKPSANLNSDQVAPSVSYACQNADCTSLGYGTSCGGLDIRGNISYAFNSYYQVNDQVDGACKFPGLSTVTDRDPSTQDCTFQIMIQTDSARKIGSLRIVLFAFLVVAFL >RHN73456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16610177:16614400:-1 gene:gene9271 transcript:rna9271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ANTH domain-containing protein MGTFTSFRKAYGALKDSTKVGLAKVNSEYKELDIAIVKATNHVEYPPKERHVRKVFYATSAHQPRADVAYCIHKLSKRLAKTRSWIVALKTLIVIHRTLREGDPTFREELLNYSRKGHILQISNFKDDSSPLAWDCSAWVRTYALFLEERLECFRVLKYDIESERLVKSSATEPKPHSRTRSLANDDLLEQLPALQQLLFRLIGCQPEGCAYNNYLVQYALALVLKESFKIYCALNDGIINLVDMFFETSRHEAVKALNIYKRAGQQAENLAEFYDYCKGLDLARNFQFPTLRQPPPSFLATMEEYIKEAPQSGSVNNRLEYEQNEESAEEESEPKEPEEPQEEEKQDEEVTEEEQVPEEETQPEEEEKEVELPPLISTDGTDDLLGLNEINPKAVELEESNALALAIVPPGGNNNSNNLALSNIGGTTGWELALVTTPSNHTSQAPDRAMAGGFDKLLLDSLYEDEHARRQLQLQNAGYGHEEMTVQNPFDHYNQHDPFAMSQNIAPPTNVQMALLAQQQQMTFQQQQQQQMMFPQQHQQHHNMAMVPHQQQPHAQYPQQMMGAHNPFGDPLPVASYPHNSMPQQGNYNLM >RHN61668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37296647:37297522:1 gene:gene24156 transcript:rna24156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CRK7-CDK9 family MQRYQICCLESQWYRNWILQLKLDFASTPSFPNSITFHSSFIVSIKFNFPNLLPRSVFNHHFHSNPLALVLGFVVSQQIAMAAAGQLNVIDSPLRGSRSVDCFERLEKIGEGTYCQVYMAKEIETGEIVALKKIRMDNGRRSMNMNEMVVAVVLGFEDEDEEDELRGKTVITRMSENLRGILVRSRKILM >RHN41849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33568704:33580021:1 gene:gene48212 transcript:rna48212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MFVKKLVEKASIKKPGGNSIEGLKASDVDPRVVFHQGIPSGGAKFAYDSIQKILALSTKDGRIKLYGKDNAQALLDSSEPLPSKFLQFIQNQGILLNVTSNNHIEVWDIEKKILSDVYVAKEEITCFAVIQHSLYMYIGHSNGNISVLKLEQDPWHIVRMKYTIPFSASYGNSEVSDDTTVMHILPQPAAESKRVLVIFRNGQIILWDIQESRTVFRTGGNMSQSLHNETKKVTSACWACPFGSKVVVGYSNGEIFIWSVPSLNIGNGSSASDYYSSQSTPLLKLNLGYKSEKIPIGSIKWLYAGGKASRLYVMGTSDYASSNLLQVVLLNEQTESRTIKLGLLLSESCVDMEIVSTSSEQGKQKQDSFLLLGKSGHVHLYDDTFIERYLLQCQSKSTPSLPKDVTAKLPLADSSITTAKFITNNTNMFYSDDEYYKQLVKNHPLFVPVETNQKDGISPSSAKFSGFSKVQNLYITGHSNGAVNFWDASCPLFTPILQLKQQSENDFSLSGIPLTTLYFDINSPLLVSGDQSGTVRIFRFKPEPYATNIFSGSKKGTDHIIQSMKIVKINGAIISVNIDHSSKHLAVGSDQGHVSVYNMDDLTLLYQKHISSEISAGIISLQFLTCSLNGFDKNILVVGTKDSSVLALDDETGNMMGTGTVHPKKPSKALLMQVFDGQSGQLIGSITKDGLDLSAANHIENATTKQLHILLCSEKALYVYSLVHAVQGVKKVLQKKKFHSSSCCWASTFYGPSGVGLVLLFTDGRVELRSLPELSLIVEASIRGFNYSPPKSKSISDWQICCSSKGDLVLVNGDQEILAVSLLVQRSIFRILDSVSCIYRKEMMLSQEELVTVPVIHKEKKKGLFSSFSGSKEKHAPLIETEDSRESIQKLSVIFSEENFPSEADNNDNLTADEDEVELNIDDIDLDDHVEKRKDHGILGIGALNKKKLTGKFQALKGRLKEMKGNIQKTSAKEEQQQEEQPATVDQIKKRYGFSSSSNETSAAKMAESKLQENLKKLQGINLRTTEMQDTAKSFSSMANQVLRTAEQQQDKRS >RHN66328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10937057:10938638:1 gene:gene14288 transcript:rna14288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MHSWLENIVNLYRNMRNDNFALFDEDPLGRSINLQSHCYGEFSSAVVQANTTMEDQSQIEVASNNALFLGIYDGHGGTQASRFICEHLFKNLLRFANDNENDITEATLRNAVSATEEGFLDFAKMNYMHQPNLGYVGSCCLAGIIWKETLHVANLGDSRVVIGTMVNKKIRAEQLTRDHNCNDEAIREELRAMHPDDPNVVINDNGSWRVKGFITVSRAIGDAYLKRSEFTLRESFPKLEIVPEPFTRGVLSAEPEMHTRVLTDNDQFIIFASDGLWDFLSNKKAAEIVRKNPRNGIAKRLLSTALAVAAKRRKVTYRKIQAAATGRNNVSRRSFHDDISVIVVFLDKTSFPRQPAGFNEASESEGYYPV >RHN77567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7745757:7746026:1 gene:gene1034 transcript:rna1034 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKARNNGMPFWNKKQHDNKDQMVIAFEAYGHLSSVNVRPMTTISSLNFFGGCDDREALAYGMNEFLFREEEIVFNASLWWFKKFSW >RHN55124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15341081:15345039:1 gene:gene30255 transcript:rna30255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MANDFISSNEMLDEEDIANDVWNEIYWRSFFQDFERDVFGEIISFKMHDLVHDLAQSISEEVCFFTKIDDMPSTLERIRHLSFAENIPESAVSIFMRNIKSPRTCYTSSFDFAQSNISNFRSLHVLKVTLPKVSSSIGHLKSLRYLDLSHGQFETLPKSICKLWNLQILKLDYCFSLQKLPNNLIHLKALQHLSLKNCRELSSLPHQIGKLTSLKTLSMYVVGRKRGFLLAELGQLNLKGELYIKHLERVKSVEEAKEANMLSKHVNNLWLEWYEESQLQENVEQILEVLQPYTQQLQRLCVDGYTGSYFPEWMSSPSLIHLGKLRLKNCKSCLHLPQLGKLPSLEVLELFDLPKLTRLSREDGENMFQQLFNLEIRRCPNLLGLPCLPSLKVMIIEGKCNHDLLSSIHKLSSLESLEFEGIKELKCFPDGILRNLTSLKKLMIICCSEIEVLGETLQHVTALQWLTLGNLPNLTTLPDSLGNLCSLQSLILGNLPNLISLSDSLGNLSSLQGLEIYKCPKLICLPASIQSLTALKSLDICDCHELEKRCKRETGEDWPKISHIQYLRGSCFTY >RHN52292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33511432:33514197:-1 gene:gene36951 transcript:rna36951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MDGEMVVETPAKGSVTTSLVCLKCPLSMFGRDFEMDLFCLPLSGMDVILGMNWLEHNHVLINCFSKSVHFSSVEEESGAEFLSTKQLKQLERDGILMFSLMASLSVENQAVIDRLPVVCEFPEVFPDEIPDVPPEREVEFSIDLVLGTKPVSMAPYRMSASELSKLKKQLEDLLEKKFVRPSVSPWGAPVLLVKKKDGSMRLCIDYRQLNKVTIKNRYPLPIIDVLMDQLVGARVFSKIDLRSGYHQIKVKDEDMQKTAFRTRYGHYEYKVMPFGVTNAPGVFMEYMNRIFHAFLDRFVVVFIDDILIYSKTEEEHAEHLKIVLQVLKEKKLYAKLSKCEFWLNEVSFLGHVISGDGIAVDPSKVEAVSQWDTPKSVTEIRSFLGLAGYYRRFIEGFSKLALPLTQLTCKGKIFVWDVHCERSFGELKKRLTTAPILILPKSDEPFVVYCDASKLGLGGVLMQEGKVVAYASRQLRVHEKNYPTHDLELAAVVFVLKIWRHYLYGSRFEVFSDHKSLKYLFDQKELNMRQRRWLELLKDYDFGLNYHPGKANVVADALSRKTLHMSAMMVRELELLEQFRDMSLVCEWSPQSVKLGMLKIDSEFLKSIKEAQRVDVKFVDLLVARDQAEDSDFKIDVEGVLRFRGRICIPDNEEIKKMILEESHRSSLSIHPGATKMYHDLKKIFWWSGLKRDVAQVVYSCLVCQKSKVEHQKPAGMMVPLDVLEWKWDSISMDFVTSLPNTPRGNDAIWVIVDRLTKSAHFLPINISFPVAQLAEIYIKEIVKLHGVPSSIVSNRDPRFTSRFWKSLQEALGSKLRLSSAYHPQTDGQSERTIQSLEDLLRICVLEQGGTWDSHLPLIEFTYNNSHHSSIGMAPFEALYGRRCRTPLCWFESGERVVLGPEIVQQTTEKVQMIREKMKAS >RHN42843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41416616:41418092:-1 gene:gene49340 transcript:rna49340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MSRRETNPHFSRPLRPQHEDQMQPPISIVPHEHHRGQPPIPTVPHQHRRGQPPIPTVPHHPRGQPSIPTVPPQHHHGQPPLPVAAPIQDVSHHPQPHFPLPHHHEDHVPLRADHDSRFPLHLEQEDHHQPPSLQVPRKGNRISQPPRGGSRKKHRDQDLRPGKSRVNFQEPSVIPPPLDHPPEPRRQLTPHQERRHGGIRFPKEQKSPPLTWMGACLCVIFWLIIIIGGIIVLIVYLVFRPQIPHFDVSSVTLNAAYLDVGYLLNADLTMLTNFTNPNKKVHVDFSSVIIYLYYGSTLIATQYVEPFSAARVQSRFAYIHMVTSQVQLPLNEAQRLVKQMESNGVLLEVRGVFRARSKLGTILRYSYNLYGRCSIMVARPPEGIMMKRKCRTKR >RHN55400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17937150:17937275:1 gene:gene30573 transcript:rna30573 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKAGGLWVVLHSFGWFGVWFHRREVSLATVVLAGSWLFV >RHN55318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17288203:17288685:1 gene:gene30484 transcript:rna30484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MENENSKRKKMSAKVDTLNDFPDEILTHILSLLPCKDAFRFTVLSKRWISLCHSLSSLEIDDKGVNNSKDWIYFRRFMDKVMLSPRAQSLTLKSLDLGCWSQLWEDEPDDWLEAAKRRGLEKLTLFSFNLRLAPSIFCCKNTPPSAFEEHKCRLYASLFR >RHN50208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5135967:5141491:1 gene:gene34472 transcript:rna34472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MARPGVGNIVKSIAKFTLATVMGNPKDVIASLLENMLAGSLRSYEVFLSFRGEDTRTSFTSHLYASLQNNGISVFKDDDSLQRGDHISKSLLQAIEESQISVIIFSKNYADSRWCLDELVKIMKCRRTIGQIVLPVFYDVDPSDVRHQTGEFGKAFQSLLSRISKKKSTSLKWRDALREAAELAGFSAIKNIVDKVSRFIDKTDLFVADNPVGLESRVQDMIQLLDIQQSNDVLLIGMWGMGGIGKTTLAKDIFNKIGRNFEGRSFIPNIREVWGQPAGQVDLQQQLLSDIFKGTSTKIQSIDSGKVLLKDRLCHKRVFIILDDVDELEQLDALCGSRNWFGSGSRIIITTRNKDILRGDRVDKVYSNKEMDESESIELFNWHAFKQVSPIEEFVEISKNVVKYSGGLPLALEVLGRYLFEKKVTEWNCVLKKLERIPNDKVQKKLKISYDGLDDDYQKSIFLDVACFFIGMDRNDVIHILNGCGFFAEDGISVLVERSLVTVDNKNKLGMHNLLRDMGREIICNKPRMEPEERSRLWFLEDVDDVLAGQTGTKAVEGLTLKLPRDSAKCYSTKAFENMKKLRLLQLAGVQLDGDFEYLSRNLRWLSWNGFPLSCIPSSFYQGNLVSIELENSNVEVVWEATQRMEKLKILNLSHSHYLTETPDFSNMPNLEKLILIDCPRLREVSHSIGHLDKILLINLEDCISLQSLPRSIYKLKSLKTLILSGCSMIDKLEEDLEQMESLTTLLANNTAITRVPLSIVRSKSIGYISLCGYEGFSRDIFPSIIWSWMSPTSSLSSPFQTSSANISSLVSLDIPHSSSQELSSISDHLSRLRSLWVECGSELQLSVDAKIILDALYATISKEMDSTSATSKISNMNTSALIQHCSQLRASESKYLLKSVLLQLGMNSEVTNNLKESILQNMDENGCGGCLFPSDSCPDWLTFNCEGSSVMFEVPQVEGCTLKTMMICIDYSSTLDNITSNGLANLLVKNYTKATIQLYKREALASFEDEEGQRVVSSIEPCNKVEVVAVFENGFIVKKTTVYLVYDEPIGEKMEQCQEQEEIVCSGDEDECFVREVSSNAQDYNVIVSSGDENECVVRNVSPQVALAPMEDLQHNRRKKVFKWRWKTVKQWFCKI >RHN51102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14025151:14026372:1 gene:gene35479 transcript:rna35479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLDDNKESTTQYQSTSCIHKVLKRHFGFSIFRKREDSIGLLLPSLSHFSYLQFLDISFCNLLQIPDAIGWLHCLEILRLGGNRFVTLPPSIEELSKLRKLNLNHCKQLKYLPELPSITALPIIEDILDYSGLYIFDCPSLIDTKCCYRMAFSWMVKLLQVHVKSNLPIGAIDIIIPRTQIPMWCSTQNVGSSIRMDPLPIMREKNCIGVACCLTFVAHDDPNSLGEGIKRLSWFGLGFQDEPAGMSSFNYIPVHVEKDLITVDLDHLLLRFISKEHFKSETLDFDISSGLELAAEVGKVPGLRVKVKSCGYRWIFEEDLEQLNPRK >RHN71785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2594786:2605187:1 gene:gene7420 transcript:rna7420 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEYPPIGSCLLRFLEGTESYTQLMPPCGFDEMSYVKPASLCILKDSLCFSHDNWRTEFIVWQMKIIGVEEPWTQLLKISYQNLRTRFHDFDDLENCQLLPLHLSDHNDTLILANNQEQRAILHNLRNKTAKRTRIINEIQWFSTKVYVESLVSDI >RHN55284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16803222:16813598:-1 gene:gene30436 transcript:rna30436 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSTTKSYTSSPFISLRKISSFSKTKLCNIVPSSSTKHLSLGLSTSSRIMSVSCNYSSENGFHSQGSSIQGGEAFFRGVLESMQSVYLNRNPTAKAILDLVHSVENNSLCYDHLAFRTFGVNGYGIDSMAGFFLDYGYTQREELRFPGKKLRALWFSPPADSFSGSGSGMNGPLPRIFISELLVDQMSPQTQEIIRKYTESSGNGKKYAALASSLGHLTWEKPLYSEFQQLARESEYAAWTLVNGHALNHVTISTHRLKTHLRDIKKLNKFLEENGFRLNSEGGVLKVSPDGLLQQSSTVADSVSFQFSDGITESVPCSYIEFAERFVLPQYENLPHTEIKEFHRRDGFEVASADKIFESTSKEQVSRVGS >RHN64418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58896751:58897098:-1 gene:gene27234 transcript:rna27234 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSYTLENSNTTASSDSFENKVSTLSDLGDNNYYCTSVSNKNNPNANSNYYQPSHQLSFSDCITSPSGLFSQGLMDFQSMEPNTTWMQSGDSSDIFWNAENMLFLQQQLMNDTM >RHN57459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39300931:39305052:-1 gene:gene33006 transcript:rna33006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MTPIFTSISLFTITLTLTLIQFTHANSEGDALYTLKRSLTDPDNVLQSWDPTLVSPCTWFHVTCNQDNRVTRVDLGNSNLSGHLVPELGKLEHLQYLELYKNNIQGTIPKELGNLKSLVSLDLYNNNISGTIPPSLGKLKNLVFLRLNDNRLTGPIPRELIAVTSLKVVDVSNNDLCGTIPTSGPFEHIPLNNFENNPRLEGPELLGLVSYDTNCS >RHN64731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61360515:61367888:-1 gene:gene27581 transcript:rna27581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerol-3-phosphate 1-O-acyltransferase MNSSGVLKSSSSELDLDRPNIEDYLPSGAAIQQEPRGKLRLHDLLDISPTLSEAAGAIVDDSFTRCFKSNPPEPWNWNIYLFPLWCFGVLLRYLIVFPTRVLVLTIGWIIFLSSFIPVHFLLKGHDRLRRSIERSLVEMICSFFVASWTGVVRYHGPRPSRRPKQVFVANHTSMIDFIVLEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRSEAKDREIVARKLREHVQGADNNPLLIFPEGTCVNNHYTVMFKKGAFELGCTVCPVAIKYNKIFVDAFWNSRKQSFTKHLLQLMTSWAVVCDVWYLEPQSLKPGETPIEFAERVRDIISTRAGLKKVPWDGYLKYSRPSPKHRERKQQNFAESVLRRLEEK >RHN82764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56191822:56195937:1 gene:gene6949 transcript:rna6949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transketolase MASSSLHLSQAFLARAVYLNPEIRAASLSSTSSLSFPALKSSSSSKPPTSRRRTTPAIRATAVETLDKTTEASLVEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPSWFNRDRFVLSAGHGCMLQYALLHLAGYDSVQEDDLKQFRQWGSKTPGHPENFETYGIEVTTGPLGQGIANGVGLALAEKHLAARFNKPDSEIVDHYTYVILGDGCQMEGISNEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTENVDQRFEALGWHVIWVKNGNTGYDEIRAAIKEAKAVTDKPTLIKVTTTIGFGSPNKANSYSVHGAALGAKEVDATRQNLGWPYEPFHVPEDVKKHWSRHTPEGAALEAEWNAKFAEYEKKYKEEAAELKAIINRELPADWEKALPTYTPETPADATRNLSQQNLNALAKVLPGLIGGSADLASSNMTLLKSFGDFQKATPEERNVRFGVREHAMGAICNGIALHSPGFIPYCATFFVFTDYMRAAIRISALCEAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNVLMLRPADGNETAGSYRVAVLNQKRPSILALSRQKLPNLPGTSIEGVEKGGYTISDNSSGNKPDVILIGTGSELEIAAAAADDLRKEGKTVRVVSFVSWELFDDQSDEYKESVLPASVTARVSIEAGSTFGWHKIVGSKGKTIGIDRFGASAPAGKIYKEYGITKEAVISAAKEVS >RHN47331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38936785:38940858:-1 gene:gene41919 transcript:rna41919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MNYFPDEVIEHVFDYVVSHSDRNSLSLVCKSWYRIERFTRQRVFIGNCYSISPERLVERFPDLKSLTLKGKPHFADFSLVPHGWGGFVYPWIEALAKNKVGLEELRLKRMVVSDESLELLSRSFVNFKSLVLVSCEGFTTDGLAAVAANCRSLRELDLQENEVEDHKGQWLSCFPESCTSLVSLNFACLKGDINLGALERLVSRSPNLKSLRLNRSVPVDALQRILTRAPQLMDLGIGSFFHDLNSDAYAMFKATILKCKSITSLSGFLEVAPFSLAAIYPICQNLTSLNLSYAAGILGIELIKLIRHCGKLQRLWIMDRIGDLGLGVVASTCKELQELRVFPSAPFGNQAAVTEKGLVAISMGCPKLHSLLYFCHQMTNAALIAVAKNCPNFIRFRLCILDATKPDPDTMQPLDEGFGAIVQSCKRLRRLSLSGQLTDQVFLYIGMYAEQLEMLSIAFAGESDKGMLYVLNGCKKIRKLEIRDCPFGDTALLTDIGKYETMRSLWMSSCEVTVEACKTLAKKMPRLNVEIFSESEQADCYVEDGQRVEKMYLYRTVAGKREDAPDYVWTL >RHN71274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57828528:57830214:-1 gene:gene19933 transcript:rna19933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MRLLISSWSFILYTLLVFLIVQESCARKITIIKDVAYDLQQLTTEGLVNNIMEVENSDHVEMNKKDEHKEIISKDHHNQIDPELNVFFVPKDLKVGKIMPIYFSKKDSSTAPKFLPKEEANKIPFTPKKLPFLLDFFSISKNSPQAKAMKYTLEQCNFEPMEGETKFCATSLESLFDFANYMFGPNSKFKVLTTTHVTKSSIPLQNYTISKVNEISVPNAVGCHPMPYPFAVFYCHSQKGDTSLYEIVVEGENGGIVQAAAICHMDTSKWDADHVAFRVLNVKPGNSPVCHFFPPDNLVWVPLTATP >RHN54819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12613268:12615415:1 gene:gene29910 transcript:rna29910 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIPAAACVVTSLSSTINPPSTLSRIVRRHMDRGGFGCSCRWLWRAPVVG >RHN63038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47862715:47864685:-1 gene:gene25689 transcript:rna25689 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTHSQYSIKSNTMNNNNNNKPKPPFMPAKDDTKPVLQDPILRSDPIETEEAVLLLPPFSIPNSTSPSQMT >RHN42285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37112324:37116470:1 gene:gene48709 transcript:rna48709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger C2HC domain-containing protein MRYGKSQRELRFGYSFEHQKPQVWTLHSFFFLSLPIHVRISVNPTPISEPIYRATVKIGSKKKMSTRVPEHYNLNSPTSFIESPLHVLNSVDTRTTAPSIDDITTTEDHAAAVCLPLHSTEIDEDRSSLETSESSRTFYDILTIDDVSPIESARARFMQIVMDYFIDDHVIEVIDSDVDYVDQDKMNKRRTRETRYEGDPNFALPLMYVANMYECLVNEVNIRLASLNGIRDKSIGVALEAAGGLYRRLAKKFPKKGPCTYKRRELATSTETRTRFPELVIQEEKRVRFVVVNGLKIVERPNSVPIDDAEWFKRLTGRNEVAISANDYKFYSPRHKYRRGASISLPNIPDIPSYPGADNSTTLNTTQGYRSPQSQHQALGKHHMQSLAHQPQFHPGLQNNQTMHQSQHGGPYSHNHQNGPPSHLSEISHSHQPSISQQMSCLQSFTGGHVGGRLHMMPPSPAKFCDECGAPYLRETSKFCSECGSKRLGI >RHN51361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16668911:16669662:-1 gene:gene35787 transcript:rna35787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MKTQTQSNCVNGLKVGVDAIRQDEVVMISVACLVVLFSLQKYGTSKFGLDVGPALGSVLLRVMVYTILSNMTAAFLGLLILFTSIISLQGIQLKHGILLGRGCLLCPTDHEAMFADLCYFSVRSVQITFLFLVLPCLLLGYLGQVAYLMEHHADAALEFNANLGCLVSKLNKEHDGFQLVDANADDLLLQIVAQPSNAIQGSVWLDTLPTEVHMDFFFDKVGFLMVLA >RHN42807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41149951:41155699:-1 gene:gene49298 transcript:rna49298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MFGGALHSKTQMEKNTYCVHLVVLLCFFGMLLIPSSCQVDATTAVYIVTLKQALTSHYQGELSRVYNHFRRGSSGRTRLDKPRHRNVTKTDKRHGFNIAQVHDSLLRKVFKGDKYLKLYSYHYLINGFAVVVNQQQAEKLSRRREVSNVVLDFSVRSATTHTPQFLGLPQGAWFQSGGFETAGEGITIAFVDTGIDHTHPSFADDKSEHPFNAPARFSGTCEVTPDFPSGSCNRKLVGARHFAASAITRGMFDSTEDSASPFDGDGHGTNIASIAAGNHGIPVVVAGHHFGNASGMAPRSHIAVYKALYKDFGGFAADVVAAIDQAAQDRVDIICLSITPNRRPPDIATFFNPIDMALLSATKAGIFVVQAAGNTGPSPMSMSSFSPWIFTIGATSHDRVYSNSLFLGNNVTILGVGLAPGTPENTMYKLIHAHHALNDDTTIADDMYVGECQDASKFNKDLVQGNLLMCSYSMRFVLGLSSINQALETAKNLSAAGVVFPMNPSVNGFQLNPVPMKIPSIIIPFANDSKILLEYYNSSLEKDGTSEKIVKLGAVASINGGLTASYSNVAPSVMYYSARGPDPEDSLPHEADILKPNLVAPGSLIWAAWSSVATDSDEFLGENFAMMSGTSMAAPHVAGLAALIKQKFPNFSPAAIGSALSTTASLYDNSGKPIMAQRSYPSPELNQSPATPFDMGSGFVNATSALNPGLLFDSSYDDYMSFLCGINGSASTVLDYTGQNCLNFNSIGYGSDLNLPSITIARLNQSRVVQRTLQNIAENETYSVGWSSPYGVSVKVSPTRFSLANGERQVLSVIFNATSNSSTASFGRIGLFGNQGHVVNIPVSVIVKISQ >RHN59344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9727581:9729939:-1 gene:gene21358 transcript:rna21358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQIGRKKMGETPKLVYVIILFLSIFLCTNSSFSQMINFRGCKRDKDCPQFRGVNIRCRSGFCTPIDS >RHN41388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29688098:29689027:-1 gene:gene47706 transcript:rna47706 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTGSNNIEILRVQALSILCTPHPFLEFSGFAPIPDKVDSIRSRKQQIKNDTLFDFDGSSPVQDKVDPFCSTKQQIDNDAFLDFDGFSPAEDKVDIYNRSKEQRQDNDEENIEEGEFSFACTKVRGLHIFADEIFENGKIRQIPHTFDQSLFIYPTSNNNVSHLRPPLKKIFIKNSVNRHSMLGGISKESQNESLQNMTMVEIKASNECYEKSNSTGSSNLWKFRQNMNLRSNSDHKDSLVLLNASDPKNSRKPKVENIVNKKRKDEKHKNGLSAYEKLYVSNKTRKDSNKRRSFLPYKRQLLGSLPT >RHN76445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50312709:50315094:1 gene:gene12771 transcript:rna12771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 3-beta-dioxygenase 1 MPSLSEAYRAHPVHVNHKHPDFNSLQELPESYTWNHLDDHTLIKEGTTSSIVPVIDLNDPNASKLIGHACKTWGVYQVVNHGIPISLLDEIQWLGQTLFTLPSHQKLKAIRSPDGVSGYGLARISSFFPKLMWSEGFTIVGSPLDHFQQLWPQDYAKHCDTVLQYDEAMKKLAGKLMWLMLDSLGITMEDIKWAGSKAQFDEKACAAMQLNSYPSCPDPDHAMGLAPHTDSTFLTILSQNDISGLQVQREGSGWVTVPPLHGGLVVNVGDLFHILSNGLYTSVLHRVLVNRTRQRFSVAYLYGPPSNVEICPHEKLVGPTQPPLYRSVTWNEYLGTKAKYFNKALSSVSLCAPINGLFDVNDSNKSSVQVG >RHN72643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9360267:9362549:-1 gene:gene8387 transcript:rna8387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MAADGLPPEILAEIFSHLPVKSLLRFRSTSKSLKSLIDSHNFINLHLKNNSLNRSLILHYKSELYQIDDFPDLTKSMIPLNHPFNTAPVTYNSIMALLGSCNGLLAISNGQIAFMHPYGANEITIWNPNTRKHRIIPFLPLAIPNILESDKPNRGGICVHGFGFDPSTGDYKLLRISWMADGHCSFYDSHVSLFSLKTNSWKTIPSMPYALQYVQAMGVFVQNSLHWVMTQQSEESHPCFIVAFNLTLEIFNVVPLPAEIESIEVNSECESFQIAVAVLGGCLCMILNYQTTKTDVWVMKDYGSSWCKLFTLVNSCFTLSLNFLKPLGYSSDGSKVLLEIDCKKLFWCDLMSEQVIYVEGISNLDEAMICVESLVPPSFPVDYCRKKENHTSKNKRRDDFLSRGFKLRL >RHN41726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32437766:32450366:1 gene:gene48078 transcript:rna48078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator SAP family MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDNAPGSKTFVRGGTINPNDKLKDDSEGEKSKEGVSGPKKGSRYVPSFIPPPLATKGKESEKKKEEEKPKEKEKGKSRNIDHFMEELKQEQEMRERRNQDREQWRDGRIGEHSISSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGNTVILSGPSGPPVTSVPSQNSELVLTPNVPDIMVTPPEDEHLKHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPALPIAKSPEHDKESGSTHAAGRSRRAEPERTLTDAQRDEFEDMLRALTLERSQIKGVMGFSLDNADAAGEIVEVLTESLTLKETPIPTKIARLMLVSDILHNSSAPVRNASAYRTKFEATLPDVMESFNDLYRSVMGRITAEALKERVLKVLQVWADWFLFSDAYVNGLRATFLRPGNSGVIPFHSICGDAPDIEQKITSDDAIVGGKTDQDAALAMGRGAATKELMSLPLAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDGLKYPGNQTSSGKNSSGQRETSADPEPMGLSGLNHYGDEDLQLQGKGYAPLAPTLPIPQPELKAFAKKEKNDLVLPASKWAREDDESDDEQGKGGKNLGLSYSSSGSENVGDDLIKADESEAAADSSFPAHADSGMNEEQRQKLRRLEVALIEYRESLEERGIKNLEEIEKKVLMHRKRLQVEYGLSDSNEDGQGSRKTSSERRDRHDVSRKRHRSHSPSRSPQQKPSSKDRDRERDRKRDKSYDFDSDRGRDRLREKSGSRERDDHDRDRGRDRDRDRRRRAK >RHN61589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36649482:36653908:1 gene:gene24068 transcript:rna24068 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFQKFQEAVKTLAKSPTFAFARDPRQLQYQIDLNRLFLYTSYNRLGKNASEADAEEIIEIATKASVADQQMVVQENVHAQIKAFCTFMDAVFLPNEKKVNDVSFELSQQTKILPQHSDLRSANGVPKQRLISQVELSQKLKDELGYTLNVKPSQISHKDAGQGLFLDGVVDVGAVVAFYPGVVYSPAYYHHIPGYLDEQNPYLITRHDGNVIDAQLWGRGGDKKELWNGRKMVDEKGSQVDNSDDVLERRNPLALAHFANHPSKGMLPNVMICPYDFPLIENDMRAYIPNVLFGNAAEENTERFGSFWFKSRVPRNNESHVPTTLKTVVLVATRALQDEELLLNYRLGNTKRCPEWYAPVDEEEIIEE >RHN44950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10228990:10230433:1 gene:gene39137 transcript:rna39137 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFIPFKFHFSSFISKDRIMIHQNEDDQQSKVLYELCSMIIHTLKFPLPSPRYSTQHPYSSTSIASSSSSSSSKRQPWWTTTLSTSPKDSPAAFASLFFGICVALMLFGSVTFLIGLLLLPWITLLVLVFYVAAFVSNLSLLGRFIVGSFGVALAQACSR >RHN82518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54411948:54412932:1 gene:gene6689 transcript:rna6689 gene_biotype:protein_coding transcript_biotype:protein_coding MIALEGHICMGFVFFVLGFWHLFNYLKLHFLCSSNTSLPFWFPTKISRYLELYFIVASCAIFSAAEIFEASSNGTIPTNHIHQVEHTSITLSFLIYATFAIILDTKKSTITEKTQYEITLLLAAIAFGQEFLLFHLHSRSHMGIEGQYHYCLQVLIMIGFFTTLMGIGFPKSFLVCFLRSVSMIFQGLFIMFMGLVLSRPGYQPKGCFLEYEGDQLMIRCNDEKAMHRAISLVNLQFSWFFIGITIFVVSFYLIMAIIYGEKVECDSLMKEEHYGEDDGSKNFDVESHIQMITTNVM >RHN63443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51352669:51354688:-1 gene:gene26142 transcript:rna26142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative high-affinity nitrate transporter MAAHKLVVASLLLCCLAEICYGKDLFSSLKRTIDVTASPKQGQVLLSGVDKISGTWALNKTFPAGTDSSYKTIKLKLCYAPISQKDRAWRKTEDELSRDKTCQHKMLAMPYNASNKTVQTFEWLIQRDVPQATYFVRAYAFDSNDKEVAYGQTTNAGKSTNLFEINAISGRHATLDICSVVFSAFSVVSLGVFFYIEKRKGKSPKQ >RHN53171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42511476:42512081:-1 gene:gene37945 transcript:rna37945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prenylated rab acceptor PRA1 MTTYGTISDAEATPSNPNLHYVTEAKERFQDNIGVTRPWKEMIQFSHFKLPSSFYDTIQRINTNAKHFRANYVITMLLTIFLSLLEHPISLIILIVMMIAWVYLYFLRVTPLVIFGYEIDERYVVISLLSITAGLLVLTDVTHNVEVGMCFALGVVLIHAVLRETEDLFTLDEDVGIVRGVKEVVKVPLKQPGSGSFTLPP >RHN79147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24508338:24508867:1 gene:gene2873 transcript:rna2873 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKKVTCAVLVAALSMSAALAATHEVPSPAPAPGPASGASTTVVGSLVGASLLSFFALFQ >RHN52932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40464284:40465026:1 gene:gene37671 transcript:rna37671 gene_biotype:protein_coding transcript_biotype:protein_coding MRITMVVVLKCASPNYEDYKGRCIERSHETRRTFPLEQTRMSTIRPKVLFLLQIIASLWKNHGFLLPYFIHVVRKLI >RHN40255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14622200:14624985:-1 gene:gene46380 transcript:rna46380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MEEIRNNKNTTSSRSSFLNRSFTTVYTNNDEKPPQKTQNLERTVSLRGNVVKKLCSVFEPPKPSQEQGSLFKSKTLKSTESTSGSSLKSSKSIDSVPVIKLLGTEDRIVVYFTSLRGIRRTYEDCYAVRMILRGFRVWVDERDVSMDICYRKELMSVMGEKSMKNVTLPQVFIRGNHVGGAEVIKQLCEVGDLGKLLEGFPKTKGGYVCESCGGVRFLPCGNCCGSKKIFDEDEGLLKRCLVCNENGLIRCPNCCIS >RHN64848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62447694:62451730:1 gene:gene27714 transcript:rna27714 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MAVTANSEIHRFPQYKYIDAVRWLPVLSAFNRFAVLATSDFDSNLSSIEIHSFKPNPLSFEFQSSWTSPSPISSIKSSQFLQKSIIASSTSSGSLHFLFADSTDARLVSEVSVPENELHLEGKCCIDLMDGGVECVTVGDDGRINLVTVGDSNLNYRRLFDSGGLVSYTSVKWASPVEFATGGYGFGLHWWDQRKPGGPVSQFKGNWDKNLNSGIVHSIDIHPSRKHTCLAAGSLGTVLAWDLRMQQQPIILSGTGAGDGAGNTAVQSISESEVWEVQYDRCIKSNTSSTRILPAMICSEDGILGVIEQGEEPIELLAEPCAINSFDIDRHNPLDVICSLEWESVAILTRQ >RHN41903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34000022:34000672:-1 gene:gene48271 transcript:rna48271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MTSSNNFSINYASVFFFVLLSLTMLDIYVANEVKAAPTLFIFGDSTFDVGTNNFINSTAKANVPYYGIDFPYSVATGRFSNGLNTADQIAKQFGYQRSPPPFLALEKFQNGFKQNILRGVNFASAGSGILSQTGQKQWVITSISNSLLSPIQYYLYIIDKYVIVKY >RHN55456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18544307:18547988:-1 gene:gene30637 transcript:rna30637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MLLNLEINTLSLVAPPRETISIPSKTSQQQPLLDYPNDPEARFKNLNLHKNANKRVNSNGFVLKKKNVHTKCSTKCVNYNGRVLAILQALDTIHDLDKALGPWEKRLGKKEMSIILKGQVCWERALMIFEWFKQKGCYELNVIHYNIMFWILGKERKWRFLESLWNEMNANGVLPVNSTYGTLIDVYSKSGFKEEALGWLQRMLSQGMEPDEVTMGVVVQLYKRAREFQKAEEFFRKWSRGEPLVIAIDHNTVDVRHVCNEVSHVNVCLNSHTYNTLIDIYGKAGQIQAVYEIFAKMIKQGVAPTTVTFNTMIHLYGNHGRIREVSLLLQRMEELRCPPDTRTYNILISVLVKHNNIKLAAKYLMKMKEAFLEPDLVSYRTLLYAYSTRKMVQEAEELVREMDERGLKIDEFTQAALTRMYVESNMLEQSWLWFRRFHVAGNITSSCYCANIDAYGEQGYTLEAEKVFMCCKQKKKLSVLVFNVMIKAYGIGKCYDKACQLFDSMEKFGIVANECSYSSLIHILASADKPHIAKPYLNKMQEAGLVSDCILYCAVISSFVKLSQLDMAEELYIEMIGHTVKPDAIIYSVLINAFADVGNVIKATGYLDRMRNAGFVGNQAIYNSLMKLYTKIGYLKEAQQTYTLLQSLDQAPSVFSSNCMIDLYTERLMVEQAKEIFESLKKNNIANEFSYAMMLCMYKKIGRLDEAFQIAKQMRKQGLLTDLLSYNNVLGLYSMDRRLREAKETFKEMIESGIQPDDFTFRALGHL >RHN79958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33862782:33869674:-1 gene:gene3821 transcript:rna3821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ketohexokinase MSSDSALLSLPDNPVIVGFGGVGVDLLATVESFPKPDTKNRTTQFKVQGGGNTGNALTCAARLGLKPRIISKVANDAQGRVLIEELEAEGVDTSSFVVSKEGTTPFSYIIIDNHTKTRTCIFTEGYPTMVPEDLSRAKLLSALNGARVAYFDVRMPATALVIAREAFRQNISILVDAERPREGLNDLLDLADYAVCSEKFPQTWTEASSIPRALVSILLRLPRLKFVIATLGKDGCIMLQKCADEGSHLEEVDVDNSMKSLTMRKDDSTTMPTCVASNVTKFRADGIGSVCGRLYFGTSEKIPPSELIDTTGAGDAFVGAVLYAICANFSPEKMLPFASHVAAAQCRAIGARSGLPYRTDPYLASFIN >RHN56117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27901645:27903522:1 gene:gene31464 transcript:rna31464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKTLNFMFALILFISLFLVSKNVAIDIFVCQTDADCPKSELSMYTWKCIDNECNLFKVMQQMV >RHN56496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31289189:31292214:-1 gene:gene31904 transcript:rna31904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative costunolide synthase MSFAATTMLYFLLFTFIYLLFKLFLHPKQNTINHKKPPGPPTLPIIGNLHMLGKLPHRTLQSLSKRYGPIMSLQLGQVPTIVISSSKGAESFLKTHDIVFASRPKIQGSELMSYGSKGLPFSEYGPYWRSMRKFCTLKLLSASKVEKSGPIRKEELGVLVNTLKKASLVGEVVNVSEIVENLIEDIVYKMILGRGKYEQFDLKKMIKDGLTLMGAFNLADYVPWLGIFDLQGLTQACKKTSKALDEVLEMIITEHEQTTNTDDPKDFVDTVLSIMHQTIDVEGGQDLVIDRTIIKAILLDMIGASIDTSSNVIEWALSELLRHPRVMKILQDEIQNEVGNKRMVEEKDLKNFNYLDMVVDETLRLYPVAPLLIPRECRENITIDDYSIKEKTRVIVNAWAIGRDPDVWSENAEEFYPERFIEKKMNYLGQEFESIPFGSGRRRCPGIQLGMITVKLVIAQFVHCFNWELPHNISPSNLNMEEKFGLTIPRAQHLHAIPSYRLDDAKLE >RHN74507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34097663:34099293:-1 gene:gene10592 transcript:rna10592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MFLLSNNVCVNAMRQKKEKTHHTTLTKFFVYSCSKKGDCNEHMEGGSTKKRAREPREEDVIGSKLPESVITRILSLLPTKEAVRTCVLSKSWIDRWKSITNLQLDDTDLCNYTNICTFCKTEYYRWRLKLDQIFIDFESCLKILKGKKEECFVNFLNKVTDNTLIFSTIGLENFSFVIYNKRCVSLQNTWISSIFKNLRIHSYFYKLPFSPSTSDDLFSSCSLQELELRLKVYSTIKVPTTSLHFQQLKFLNLYGVFFDIDPSSDSMNLNLPLVKKFEISNCHWSKYKDVFVQAPLLETISIGQEADFFMVKTHDPCAQSIKFNALHVKEFNYCGYGLSHKIHLPACDSVSVTFLEVSKVESEIRRIPFTRLLFQQFHQVKCIKFEDFPLELEGLPVGHLPVFSMLSHLELGLVTNIEVIIGFLQNSPVLKTLVLKVSNSNRFFLNESSNATFAVLFSYKFCD >RHN69706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45681915:45685594:-1 gene:gene18212 transcript:rna18212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MIHIRLILFLCITLHNFHGIICSNNTDKDILLSFKLQVTDPNNALSSWKQDSNHCTWYGVNCSKVDERVQSLTLSGLKLSGKLPPNLSNLTYLHSLDLSNNTFHGQIPFQFSHLSLLNVIQLAMNDLNGTLPPQLGQLHNLQSLDFSVNNLTGQIPSTFGNLLSLKNLSMARNMLEGEIPSELGNLHNLSRLQLSENNFTGKLPTSIFNLSSLVFLSLTQNNLSGELPQNFGEAFPNIGTLALATNRFEGVIPSSISNSSHLQIIDLSNNRFHGPMPLFNNLKNLTHLYLSKNNLTSTTSLNFQFFDSLRNSTQLQILMVNDNNLTGELPSSVDYLSSNLQQFCVANNQLNGSIPHGMKKFQNLISFSFEQNYFTGELPLELGTLKKLVQLLIHQNKLSGEIPDIFGNFSNLITLGIGNNQFSGKIHASIGQCKRLNYLDLQMNKLVGVIPMEIFQLSSLTTLYLHGNSLNGSLPPSFKMEQLVAMVVSDNMLSGNIPKIEVDGLKTLVMARNNFSGSIPNSLGDLASLVTLDLSSNNLTGSIPVSLEKLEYMMKLNLSFNKLEGEVPMEGVFMNLSQVDIQGNNKLCGLNNEVMHTLGVTSCLTGKKNNLVPVILAITGGTVLFTSMLYLLWLLMFSKKKRKEEKTILSSTTLLGLTQNISYGDIKLATNNFSATNLVGKGGFGSVYKGVFNISTFESQTTTLAVKVLDLQQSKASQSFSAECEALKNVRHRNLVKVITSCSSTDYKGDDFKALVLQFMPNGNLEMSLYPEDFESGSSLTLLQRLNIAIDVASAMDYLHHDCDPPIVHCDLKPANVLLDEDMVAHVADFGLARFLSQNPSEKHNSTLELKGSIGYIAPEYGLGGKASTSGDVYSFGILLLEMFIAKKPTNEIFKEELSMNRFASDMDEKQLLKVVDQRLVNRYEYMTQNSSGDSHSSESGNISYSDDSKAHWMYKAEECITAAMRVGLSCVAHRPKDRWTMREALSKLHEIKRYILGL >RHN48427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47399695:47400977:1 gene:gene43141 transcript:rna43141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSNLEDYILLLKNATIGSGISCQLQAFRLGFNQVFPIEHLRVFSEEELELILCGEPNSWTFNDLLKHFKFDHGYTARSPPIMNVSMTIMLYSVLLEILQEFNNEERRAFVQFVTRSPRLPPGGLASLDPKLTVVQKISYNHTDTDLPSVMTCANYLKLPPYSSKERMKQKLLYAITEGRGCFLFS >RHN49270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53701924:53703393:-1 gene:gene44084 transcript:rna44084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ammonium transporter, ammonium/urea transporter MATFTCSASDLHALLGGSANSTAAAEYICGGFNAVANKFIDTSYAVDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAIGGIFFYVFGFALAFGTPSNGFIGKHFFGLTDFPSQSFDYGFFLFQWAFAIAAAGITSGSIAERTQFVSYLIYSSFLTGLVYPIVAHWFWSADGWGSPVRSENLLFGSGVIDFAGCGVVHLVGAVAGFWGAFIEGPRIGRFDHEGKSSPIRGHSGTLVVMGTFLLWFGWYGFNPGSFLNIVKAYGESGHYYGQWSAIGRTAVTTTLAGCTAALTTLFGKRMQTGHWSVSDVCNGLLGGFAAITAGCSVVDPWAAIICGFVAAWVLIGCNLLAEKFHYDDPLEAAQLHGGCGTWGIIFTALFAKKQYVNEVYSGSPDRTYGLLLGGGGRLLAAHVVQILAIVAWVSITMGTMFFLLHKLNLLRSSPEEEMEGLDLTSHGGLAYEYHQEAEEHAKRRAFEV >RHN40312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15231267:15231659:1 gene:gene46455 transcript:rna46455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzyl alcohol O-benzoyltransferase MAQPLLFTVKRCAPEYVRPSKPAPHEIKLLSDIDDQEGLRFQIPAIQFYKYDPNMAGKDPVDIIRKALAKTLVFYYPFAGRLREGPGRKLMVDCNDEGILFIEADADVSLKEFGDALQPPFPCLDELLLL >RHN41085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26867317:26869656:-1 gene:gene47370 transcript:rna47370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MKKKQRVEERNNHDYISTLPYSLLSNILSSLKINEAVKTSVLSSNWRYIYTNPTNLIFDAENMLVKDYSFPNIYNLSKVLMFNIKMKRASTFVSNVNKYLSHVKNVQKIDKLKVCFTFRSKGYGCNDLDEWIRFAVERNVEEIDLCLLEENHLSAHNDGSSYVFPCDMFGFKSFFNRLCLVHCVLAPQKPCYGFSTLTNLELFKVDLKSEDYIRNLLSSCDNIEWLSFSECYNMEYLKIEHSICKKLKYLKVNLCRQLKTIMLKSNSLETLEYVGSKVEFFFDTPKLKSFVGRVSESVAYRGENWLVCKLSTDLPLLENLFIECSCMGEVITNRFPTFQNLRHLEVIKVAIFRQDLSWIPFALNACPTLAKLKLHLRTYFTIDEEITYWLPRCPHNHLKEITITGIRGHSSEIAIAIYLLRNANSLEKMIVDPRQRIYLENGKFVHSEACEYWSRIGKNKVEFLLKQEISSLVELLIL >RHN79851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32841157:32848633:-1 gene:gene3698 transcript:rna3698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MASIGVQPPLNSTSEQPPLFDGTTRLYTSYSCPYAQRVWITRNYKGLQDKIELVPIDLSNKPAWYKEKVYPEGKVPSLKHNGKVLGESLDLIKYVDANFEGTPLFPNVSPASDFLENALGKFDDGPFFLGQFSLVDIAYIPFVERFHIVLAEVFKHDITEGRPKLATWIEELNKIGAYTQTRVDPQIIVDKFKERHMNSDLILIFLFATWLKLVHFYCAASTVKVELQQTSSEIFL >RHN68702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37906775:37908447:1 gene:gene17087 transcript:rna17087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative angelicin synthase MLEGRMFQNLPYSFLKKVPHDLNATLFTFLSFFISMLLVFKFKVRRNKLNLPPSPPRLPIIGNYLQLGTLPHRSFQSLSQKYGPLIMLHLGQLPVLVVSSVHMAKEVMQTHDTVFASRPCMTSTKNLLYGCKDIAFASYGDTWRQKRKLCVIELLSQKRVQSIQFIREEEAASLVDKIRKAMSLSNGCYGVNLGEMLLETANNIICRCIFGRKYDGDGCRFGELGRRIMAQVLDLSIGDLFPLLGWVDVLTGQIKNFKATFEEMNDFFDSVIVEHKMATRDPNKKDFLDILLQLQDDGRSELDLTQNDLKALLMDMFLAGSDTTSTTVEWAMAELVKNPAIMKKAQEEVRRIVGNKSKIEDSDVNQMEYMICVIKETLRMHPAAPLLAPRKTTSSVKLGGYDIPDKTMVYVNTWAIHRDPEFWEMPEEFLPERFENNKVDFNGQNFQFIPFGSGRRKCPGMAFGLASTEYMLANLLYWFDWKLAPNGESLQDIDMTEKFGITVNKKVPLCLQPIAYNNFEE >RHN56652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32576997:32579357:1 gene:gene32084 transcript:rna32084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MAHILIQTWRWMMQPKVWRFVGFASSAIGLLCYALSSSFNYLFGDWNLLKIILYSIFSFIISLVVLFARMWQNSRSLRFKAHAAFLVLTITSLYSFFFDKVVNGKPDAYSLISCASFSIMLMSLSRQTQCGFEVDFLYFFLGCLIVQLMKIKLQLFIVGAGFSYFVIILRSSFSSIDFVIDNEHPTGLENESRIVIEVHLQSPQLGSTDIGSSMVEQLSNYMKALQEENSNLIEMLLEKLKEYCNDDSRFNVSDPDLMIKALPTETVDNIHKTAKLMVSAGFEKHFSDMYISCRRECLVESLSRLGLKKHNVEDVQMLSWKELEEEIERWIKTSNVALKILFPTERKLCDRVLFGFSSTADLSFTDVCRESTLQLLNFADAIANGSRSPERLFRVIDMFETLCDLIPEFKSVFRDQYTGSLQNKATTIWKRLGEAVGGIFKELANLIRQDPAKAAVPAVGLHPITHYVMNYLHADCQSRKVLEREFEEDYGYPLNEYPKIEDRVHSTSSLSVKMGLIMELLESSLEAKSKIYEDPTSVLCFPDELQQLEKNGSISHNGVTKSVKEKLKSFNVVFDDLCWVPSS >RHN80365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37463632:37467831:-1 gene:gene4280 transcript:rna4280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycolipid transfer protein MEGTVFAATLEGIKHVKSEQGEILSQPFLDVCKHILPVIDKFGAAMTLVKSDIGGNISRLESKYLSNPTKFNCLYSLVQIEVETKTAKASSSCTNGLLWLTRAMDFLVALFRNLIEHADWSMSQACTDSYNKTLKKWHGWLASSSFTVAMKLAPDRKKFMEVIQGGGDINADIEQFCTSFSPFLEENHKFLARFGLDDMKAS >RHN53334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1046257:1048394:1 gene:gene28230 transcript:rna28230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 12-oxophytodienoate reductase MEADPIPLLTPYKMGNFSLSHRVVLAPLTRIRSYGNVPQPHAVLYYSQRASKGGLLIAEATGVSDTAQGYPDTPGIWTKEHVEAWKPIVDAVHAKGATFFCQIWHVGRVSNSSFQPNGQEPISSTDKSLTSNDFEKFSPPRRLRTDEIPNIVNDFRLAARNAIEAGFDGVELHGAHGYLLEQFMKDKVNDRTDEYGGSLENRCRFALEVVDAVVNEIGAERVGIRLSPFAEHSESRDSNPTELGLYMVNALNKYNILYCHMVEPRIKSNDETIESPYSLVPMRKAFNGTFMVAGGYDRQDGINAIADNRADLVVYGRLFLANPDLPKRFALNAPLNKYNRETFYTSDPVVGYTDYPFLE >RHN64482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59450010:59451383:-1 gene:gene27301 transcript:rna27301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family METYEQIDAHQTQSSSSSSSSIDSNNYPSSLCLSSVYRNSSSSRNRTDLSTDLKLGLSISPSSQSQLPREETCDWPPINKSILRSTLAEKQRPSLFVKVYMEGIPIGRKLNLLEHHSYDGLIKALCHMFRTTILCPNSQPLNSWNFHVLTYEDQEGDWMMVGDVPWEMFLSSVKRLKITRANSC >RHN62678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45133881:45139499:-1 gene:gene25277 transcript:rna25277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Root phototropism protein MWESESETAAGREYGGGVLTSTKHGVKIEGFHQRGNSWYVSADIPSDLQVQIGEASFHLHKYPLLSRSGKLNRILYDSRDPDLNKIAMDDLPGGPEAFELAAKFCYGVAIDLTASNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSSEKLSPWAENLQIVRRCSESIAWKACANPKGIRWAYTGRATKASSPKWNEMKDSSPSRNQLVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFELIGAGIMHYATKWLPGLAGNDTTIQGEETSNNSNSSFSSGDNNSSWRGGLHMIVSGTRDETSTLQTKDQKMVIESLISIIPPQKDSVSCSFLLRLLRMANMLKVAYALITDLEKRVGMQFEQATLSDLLIPCYEKSETMYDVDLVQRLLEHFLVQEQTEGYSPSRQSLSDHKNVGSNLNAKARVARLVDSYLTEVSRDRNLSSTKFQVLAEALPESARVSDDGLYRAIDSYLKAHPTLTEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNALANSSLKGSVESQYQPMVTNRKTLLEGTPQSFQEGWTTAKKDINTLKFELESVKTKYLELQHDMENLQKNFDKLLKQKHTSAWTSGWKKLSKLTKMTNVENHDMVPISEEPNRKTTRRWRNSIS >RHN79226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26241069:26242299:1 gene:gene2974 transcript:rna2974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAT dimerization domain, ribonuclease H-like domain-containing protein MVALKKHIDYPLVYGLLKLAMILPVATSTVERAFSAMKIVKSRLRNRMGDDGMNDCLVTYIERDVADKIDDELIIQRFQKMAPRRGHL >RHN68821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38865319:38865855:1 gene:gene17230 transcript:rna17230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MRSFPYSSSPPMTTTAPTQPHMHHYHHHHHSLFSSHFFPIIILVLLFLVLLIHWILTSLQTMLIRHEDTNTSRHYSNDQLQTHVVHNLDELVRNTIPFSVYTAKYANEESLRDCVICLDEFEDNNDIGTLPLCSHSFHLECIEAWLRKKPNCPLCRSSCHLNHSIIIDVPERSLLTPR >RHN77701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8815368:8819346:-1 gene:gene1183 transcript:rna1183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MESAVKEKEPLISNANPKGGFRTLPFIIATQAFEKMATFGILPNMILYLTRHYGMEAATATNVILRWSAATNFTPIIGAFLADSYFGRYATIAFGSVLGLLGMLLFWLTAMIPNLSPCDQFTMSCNSPTTSQLAFLYSSLCLIAIGAGGVRASSLPFGIDQLDKEKDAGIIEGYFNWTYALATAAVLIGTTILAYIQENFGWIVGFGLPVVFMFISMVSFFLASSLYVKVEPKGNVISECARVVVASYRNRNLELPSSNVLNDGMYYCDKDSEMLMPSDKFRFLNKACLIKHQEQDLTQDRRLKNQWSLSTIDQVEAFKAIIKIIPIWTTGMIMSINLSQGTFNILEASTMNRHITSNFEIPAASMSTFMVLSVVIWIVLYDCVIIPSTSKLRRSPTRLRVKQKMGIGLLAICLSTASLAIAEGKRRKLAIDEGFQEFPEGVVNMSVMWLLPRQILDGFAEAFNGIGQNEFYICELPQSMASIASSFSALGMSCGNILASFILSVVDNVTKGEGKESWVSSNINKGHLDYYYWLLFVLMLANFFGFVYFSKVYGPCKREENEGQEEGN >RHN75225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40339103:40341002:1 gene:gene11398 transcript:rna11398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MASQNTQVQFQDSLPLMANKLGGDGLIDELCNGFNLLMDSTKGVITFESLKKNSALLGLQDLTDVELQSMIVEGDFDGDGALNQMEFCVLMFRLSPELMDGSKMWLEQMLQQEVQDSF >RHN79276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26955065:26957398:1 gene:gene3044 transcript:rna3044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MLSSTIQAIIFFSLILLYPSNGQLNATFYSNTCPNVSSIVKNAVQQALQSDSRIGASLIRLHFHDCFVNGCDASILLDQGGNITQSEKNAAPNFNSIRGFGVVDTIKSSLESSCSGVVSCADILALAAESSVSLAGGPSWNVLLGRRDGLTANQGGANSSIPSPFESLANVTSKFSAVNLDTTDMVALSGAHTFGRAQCQFFSQRLFNFNGTGNPDPTLNTTYLATLQQNCPQNGNGSTLNNLDPSTQDTFDNNYFTNLLTKMGLLQTDQHLFSTNGSSTVSIVNTFANNQTAFFNQFAQSMINMGNISPLTGTQGEIRIDCKKVNGS >RHN74105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27899482:27907588:-1 gene:gene10084 transcript:rna10084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RecQ mediated genome instability protein MQESSRDSESAAMVIETLRRKGWYLEETDDLKAIIVIQTALADDPSKVFESVESELLNSDLKSIGAKSLPEPSLLRTTSYLHGPKVLQISSVRDISMSSVDNISRNSSGRRLLRLCLTDGHSEITAVEYTHIPFLPDNVVPGTKIRLENKVPVHSGIACLNPKALTVLGGVVQSLYEEWQMNQKYSGFSRSSIRKLEDRDSGGPPPFVKLQVGSTTGYADYNSRSGKPIAGASRAEMRPTDIQQDRNRKEDLLDANLKSKPPPERAEGKLSSSELRPKERAEDKPSSSGTRPKEVVESVPVQNQAAAQKLLQKLNQPYQRGRHPRGRGRRGKGQEEDEVVFTLEEYEKRKAQVKPSNNDEVLDISRDEDLAWQLQNQFNLEHSQVQRGPQESEAENIRMSMFTYEKDSDDSYQMGRGGRGRGRGRGRGRGRGRGRGR >RHN45582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23259604:23260285:-1 gene:gene39955 transcript:rna39955 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLVLDCESGWIDRRRRILAAGGVVFFGSGSIQLQPFMAVLLFNDRSHLCSRSEPLN >RHN73051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13031980:13033317:-1 gene:gene8828 transcript:rna8828 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLHKHVGILFVLLVLVIGIVECRKLKEEESMESIGGGGFGGGGGFGKGGRHGGGIGGGVGGGFGGGGGAGGGGGKGGGFGGGGGAGGGGGKGGGFGGGSIGGGAGGGHGGGEGGGVGGGGGKGGGFGGGIGGGAGGGHGGGEGGGFGGGGGKGGGFGGGAGGGKGGGVGGGAGGGHGGGEGGGFGGGSGKGGGLGGGSGGGAGGGGKGGGIGGGGAGGGIGGGGGSGGGFGGGHGGTGGGIGGGVSGGGEKGGGVGGGGGAGGGFGGGHGVGKGGGEGAGGGIGGGVGGGGGAGGGFGGGHEGGGVGGGAGGGFGGGAGGGSGGGIGGGGGAGGGFGGGHGGGKGGGEGVGGGVGGVGHGGFGGGGAGGRGEGGGF >RHN68320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34555449:34555940:1 gene:gene16656 transcript:rna16656 gene_biotype:protein_coding transcript_biotype:protein_coding MCKESLYSQVIKDYIVSEILKNLDETCRASNLKNLPKILHNFHSLKPKVGSLERVAQTYSL >RHN57069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36235734:36236788:-1 gene:gene32562 transcript:rna32562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fumarase/histidase MTLSHTTQIRTFNLLNSQKPTHPIPSSHLSFSFPSHFTSKSLIATNNTNMFRARSGDFELSTLTALSPLDGRYRQKVQELAPFMMTL >RHN54691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11333775:11334122:1 gene:gene29765 transcript:rna29765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-heme dioxygenase domain, isopenicillin N synthase MTGETIPFIDLEKILEQFECKKLRDACEKWGCFRIINHSIPLTLMDEMKIVVIALHDLPMDRKKNNKDVIPGSGYFGPTVFNPVIESLGLYDMGSSQAIQYFCSQLNATPHQRYA >RHN50230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5373231:5379015:1 gene:gene34498 transcript:rna34498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MSSSSKAISKWRKASIVIRAVFRFKSLNFGKSRNETRIHDVFLSFRGEDTRASFTSHLYASLQNYGIKVFRDDDSLQRGDHISTSLLRAIEQSRMSIIVFSTNYADSQWCLDELLKIMECHRTIGQIVLPVFFDVDPSEVRRQTGEFGKAFQNLLNKIEKEENESLEFNLGFTEYLDRKWRAALRQAAGFAGFVVLNSRNESEAIKDIVENVTRKLDKTDLFIANNPVGVESRVQDMIQLLDQDIKQSDNVLLLGMWGMGGVGKTTIAKAIYNKIGRNFEGRSFLANIREVWEENAGKVNLQEQLLFDICKDMTTKIQSIEFGKLVLKDRLCHKRVLILLDDVNTLDQLNALCGSHKWFGSGSRIIITTRDRHILCGNRVHKVFKMKHMDESESIELLSWHAFKQASPTKDFAEISRNVVDYSGGLPLALEVLGSYLFDRGITEWKSALEKLKRIPNNQVQEKLRISYDALNDDTEKEIFLDIACFFIGMDRNDVTLILNGCGLFAEIGISILVERSLVTVDDNNKLGMHDLLRDMGREIIRGKSPEELEERCRLWFHEDVLHVLSDQTGTKTIKGLALKLPRANEKCFSTKAFKKMTRLRLLQLAGVKLNGDFKYLSRNLRWLSWNGCSLPHIPTNFYREHLVSIELENSHVQLLWKDGQWMEKLKILNLSHSHCLTRTPDFTFMPNLEKLVLKDCPMLSEISPSIGNLREILLINLEDCVRLQSLPRNIYKLKSLKTLILSGCVMIDKLEEDIEQMESLTTLIAHKTAIKRVPFSVVMSQSIGYISLCGYEGFSRDVFPAIIWSWMSPTNNLPSPFQTSDIMSSLVPLDVPHSNSQELSSISQYLPNLQRLWVECSSELQLSHDAAIILDALYATSYKELEPTTATSQVSKHSLKSLLIQIGMNCQASDILKEIILQNMDLNRSGSFLLPGDSYPKWLTYSSEGSSVTFQVPQVEGRNLKTIMCIVYTSTPGNVISSGLKIMLLKNYTKAIIQLYKSEVLVSFEDEEGERVVSSIEPGNKMEVVFVFENSFVVTKTVVYLVYDEPIGKKMELYHVPDLNDITCRGAC >RHN61739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37932911:37936705:-1 gene:gene24230 transcript:rna24230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MTAGIANYVLPPFLSKTYDMVDDSSTESIVSWGKNNNTFVVLNSTDFSKHILPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFAHEGFLRGQKHLLKNINRRKSTHANGNNQQLSKPQNPPVGSCVEVGKFGLDEEVERLKRDKNVLMQELVKLRQQQQSTDNQLVNVGQRVQVMEQRQQQMMSFLAKAMNSPGFMAQFSQQQNESNRHVTAGKKRRLQGQEEDSLATKNPHNPLDGRVVKYQPSINEAAKTLFNQMLQMNSSARVDSSIKNLDAFLIDDVPSAIPLDSSSSSTQVSGVTLSDVSPISGQSCIAVESQFPVSCMTNSMSEVQSSPAVLTDCVKTAEFPEFTTAHQDNILDFGEVHGLATESSFMNPDQNFVGSVGENDEELDVISAVLDGTQSLEADAFSSDANENSKLPGINDEFWEQFFRPSPLTGDTDEVKGSSLGYGLTKDQELSLAKKIQQEKMDKIQHMDHLTQQMELLASDSTLCI >RHN61934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39460330:39461572:1 gene:gene24448 transcript:rna24448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MHGLLEELGRKIVQENSSKEPRKWSRLWLETQVDNVMLEKMERRVEAILLKKKTLNKDDEKKVMIVEHLSKMRHLRLLIIWSHVNTSGSLNCLSNELRYVEWSEYPFKYLPSSFQPNQLVELILKSSSIEQLWEDKKYLRNLRNLDLSHSKNLIKMPHFGEFPNLERLDLEGCIKLVQIDPSIGLLTKLVYLNLKDCKHIISLLSNIFGLSCLDDLNIYVLQSKEFECKCITFPINDILPHVALPFLISHSHNTQKFVPFLA >RHN74153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29368148:29370124:1 gene:gene10154 transcript:rna10154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain, acetyltransferase A, auxiliary subunit MDGNKDDALKSLKIGKEALEKGDRNRALKFLNKARRLDPTLPIDDLLSTVNSDAGDHATSAEEPAKNSSDQPSIRRRAGSAPVPGPSSSSSVSYTEEQVSIIREIKRKKNYYDILGVEKSCTVDDVRKSYRKLSLKVHPDKNKAPGAEEAFKLVSKAFQCLSNEESKRKYDVSGEDEVVYERRAAARPARGFNGYYEADVDAEEIFRNFFFGGMGGMAPAGNFGGFSFGGPGMAHRQAAADNGSGGFNVRALIQLLPVLLILLINFLPSSDPVYVLSQNYPYEHRLTTPKNVNYYVKSTKFEQDYPLGSRERATIEERVEREYFGILRQNCQFEMQRRQWGYIRETPHCDMLRKFDSVR >RHN78674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17365779:17371864:-1 gene:gene2311 transcript:rna2311 gene_biotype:protein_coding transcript_biotype:protein_coding MACIERSSPALKQILLKLYRAEKSIEIDHHLYEFGSVEYHIQSQATNPLVAYLSISTPPLYHGNLPNNLSPYTIEMVKGICPNLVEIEEPAREGYQLTLKLNLDQIPRNKDYVKVIEDISTVQSVIISSQLKEILCNVNSDDASQGMYKPIKLVYHPREPFFVIRQQQRIIAIFPIRFKEKFDVVIATAFFQELVDVGNSDKWVKTPPCTWSAIPPPELTGEALEDLSTNGGFVSFDISSRHIEGNRLDKTVWNLLNFNANVRYHVKSTKGFIQRRMRKRLENLVEVLHQTNSEEIEQTKQVKQQHQGRRSTKKLVRSSKHTMKKRWYTLGRKIKRISFRLKIHGFTRFRQCWFQKNSSTKYTKLE >RHN48822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50501244:50502835:1 gene:gene43578 transcript:rna43578 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S19/S15 MRATNFLRVLNKQFLGIAGDTANAVTNKLKSPSPPAPARKPAFIDAFLYKMKKNPELLKNKQIWSRRSTILPEFVDSHVRIYNGKTFIRCKITEGKVGHKFGEFALTRKRKAKDQPNAKVKQLKKKK >RHN71405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58769575:58771101:1 gene:gene20084 transcript:rna20084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif domain-containing protein MLKLRVTKQSGSFEVVYNRRSDAFAALKRYNNVLLDGKPMKIEIVGTNSELSVTARVNVSAMNRQRKKTFVMTYCSASVLGVAEFVVTIGLWVAEFVYKVGLLAICPIFSALVLVMKTEKCRVFYSIYVA >RHN58189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44451089:44453760:-1 gene:gene33806 transcript:rna33806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 3 MATQALVSSSSLTFAAEAVRQSFGARSLPSSIGSSRKGSFVVKAASSPPVKQNGANRQLWFASKQSLSYLDGSLPGDFGFDPLGLSDPEGTGGFIEPRWLAYGEIINGRFAMLGAAGAIAPEILGKAGLIPAETALPWFQTGVIPPAGTYNYWADNYTLFVMEMAFMGFAEHRRFQDWYNPGSMGKQYFLGLEKGLGGSGEPAYPGGPIFNPLGFGKDEKSLKELKLKEVKNGRLAMLAILGYFIQALVTGVGPYQNLLDHLADPVNNNILTSLKFH >RHN51576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20147158:20147589:1 gene:gene36040 transcript:rna36040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Homeodomain-LIKE family MATSTPNRTVESPSSTETPPKPKTSSDGNLILVPSHSRWFSWDSIHECEIRNIPESSKNPRVYKYYRNSIVKFFRFNPNRKITFTDVRKTLVGDVGSIRRVFDFLEDWGLINYHPSSSLSKSEAASNSTESPSLVPANEAKRI >RHN63593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52550975:52553994:-1 gene:gene26315 transcript:rna26315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MNKIETSMEKSEKESVENNEVPNNYRGWKVMPFIIGNETFEKLGAIGTLANLLVYLTSVFNLSSITATNIVNIFNGSANLVTLLGAFLCDTYFGRYKTLGFCTFASYLGLLVIQLTAWIKSMHPPECGNEKSTCIEPSTGQMSFLLLGFGLMIVGAAGIRPCNLAFGADQFNPNTDSGKKGINSFFNWYFFTFTFAQMVSLSVIVYIQVNVSWALGLGIPAGLMLFSCIVYFTGSKYYVKVKATGSPVTNIVQVIYVAIKKRKHNLPEDEYSLVSLFSYISPHSINSKLLHTPQFRFLDKAAIITQQDKINPDGSASDPWNLCSIQQVEEVKCLVRVLPIWVSGILYYVAIIQQNTVLVFQAIQSDRTFFNTNFKIPAASYTIFTMLSLTIWLPIYDRKIVPTMRKLTGKEGGITLLQRMGVGMFIAILCMLVSGVVEWKRRTMAINNPIGFEPRNGAISSMSGMWLIPQLALAGFSDAFTLVGQVEFYYKQFPENMRSLAGSLFFCGLALSSYFSSLLITIIHRLTVKSATGNWLPQDLNEGRLDYFYYMITGLEVVNLGYFVLCSRWYRYKGNEDDTDQGSGVELDKVSKQSETKISGV >RHN70952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55351457:55352119:1 gene:gene19588 transcript:rna19588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MFVIGVFSHQIHNISKTKDYDFHLLNGSSVKVPFMTSNKKQFIEVYDDFKVLHLPYKKGEDKRQFSMYFFLPNAKDGLSALVEKVSSTSEFLHRSLCLSQKELGNFKIPKFNISFELEATRMLKKLGVVLPFSPGGFTKMVDSSLMGKILSVSNIFHKSFIEVNEEGVEAAAATAAILSKGFSFPSQLDFVADHPFLFLIREDLTGTIIFVGQVLNPLTG >RHN62286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42323605:42329603:-1 gene:gene24844 transcript:rna24844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine synthase MASTSLINSLTFTSRTPTLHYLTRLTPTSSHRFAISPRSISTRISSPVLCKAVSVNSQTTIEGLNIADDVTQLIGKTPMVYLNSIAKGSVANIAAKLEIMEPCCSVKDRIGYSMILDAEKKGAITPGKSVLVEPTSGNTGIGLAFIAASKGYKLILTMPASMSLERRVLLKAFGAELVLTEAAKGMNGAVQKAEEIVKSTPDAYMLQQFDNPSNPKIHFETTGPEIWEDTRGKIDILVAGIGTGGTISGTGRFLKQQNSKVQVIGVEPLESNILSGGKPGPHKIQGIGAGFVPRNLDEEVLDEVIAISSDEAIETTKQIALQEGLLVGISSGAAAAAALQVAKRPENEGKLIGVVFPSFGERYLSTVLFQKIREECENMQPEP >RHN74959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38169569:38169802:-1 gene:gene11100 transcript:rna11100 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQIHLSYCNFSAFKQLVVNYLCITEHELFEKIEVLLGEVQVTPAEIAEELTKDCDATECLQDQILPSQENDQGRH >RHN56010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26737728:26738504:1 gene:gene31322 transcript:rna31322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKRQMHNHNPNPNPNKDRVSNLPDCVLLHILSFLDTKHAVQTCILSPRWNNLWKHLSSIKLSSRHFPKNLKGFTKFVSHVFSLRDDTTSLLALDFQREGTMDPRILKIILKYAFAHNVQQLEVDVKCFNPQFPPSFFSCHTLTTLKLTIDHYSHQNLFPTSPNLPQITNLSLNGFLFGVGNDGLVNPFLTLNKLNSLVITGCIVRKNRNLCISCATLASLTIETGFSKSELKFQLSTPSLCTFVYCGHVPLQKLCASC >RHN80818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41035795:41036100:-1 gene:gene4785 transcript:rna4785 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLESKREGSKINRFSSKSPPPPPAAEVLLVVKQPSGSLRLRVVGSSFCMAVVMWEEGGGWADGGGGIDWMVVMAVLTRWCEESLFLEGERGGREKVRKI >RHN43634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47363244:47364330:-1 gene:gene50243 transcript:rna50243 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEARQGVVAKKLWNMVRVLLFIIRKGIAKSKTMVDLNLILKRGKLAGKALINTLMLNHQLYHSSFTCRSDNNSFISPCEYEFSCRNTPANPLRHSSRRFSKSRRQRHNDFSIMNNNIAVQKVFIEMMLNNEKVEAVANSPLAPFTLEDEGNCHQVDIAAEEFINNFYKELNRQNRTIAS >RHN42554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39268887:39269745:-1 gene:gene49006 transcript:rna49006 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLIFLLFIPVFVLPVSFLLLFIFSFFSSFIIFIFLDLFLSFLFLFLNFFFSAVFLFFNLFFSFVFLFINLFFPSNGCFQVLVEILPHRYGYSISFRMKISSMVLNCSISKRSYLGKHSFPINLLSIQTLIPEFFTAITQGSTTPSHKLNSPLIHPMV >RHN79849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32823577:32835269:-1 gene:gene3696 transcript:rna3696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rab-GTPase-TBC domain-containing protein MAESTFNFLHNFESYRDSYGFTLRPQFAQRYREYSLIYKEEEDERSDKWRSFIEQVDKSSQTSSSENEHKETLKAESSEVKEEGSPHRVSNGDDSSSKVSSESPKVEETNADIISEANDSSKRNSTEVNEIVEETIPNRVNKEGDSSRSTSSEETEIKEVTNPGRATEGDDSSNRKSFSDCSTANNSAKELHHSEERKTRKVQRWSKIRPSLSAIEEILSSRVKKGKNLKVEKINGSRDHLPSIEESEPVEGAPKEDIQREVCTNETLDGGNSPREENDLTNQILPELFSPWKELEFLVQGGVPKDLRGEVWQAFVGVNTRRVESYYDDLLAQETNSCEGQEQDVLSAAEGKCRKQIEKDIPRTFPGHPALDENGRNSLRRLLLAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTFVRIIDDYFEGYYTEEMIESQVDQLVFEELMRERFPKLVNHLDYLGVQVAWISAPWFLSIFMNMIPWESVIRVWDVLLFEGNRVMLFRTALALMELYGPALVTTTDAGDAITLLQSLAGSTFDSSQLVLTACMGYLAVTEARLQELRKKHRPSVLDIIEERSKKGRVFKDSKGLASKLYSFKHDPGSLVEEKKPNDNGDMVADKNVQLDLESHSSNIDELLNSLNIDAKVDSLPDAHEQVVWLKVELCRLLEEKRSAILRAEELETALMEKVKEDNRLQLSARIEQLEQEVAVLQQALTDKKEQEAAMLQVLCAPVFSSVLIRLEQDQKVTEDARRRAEQDLAAQKLEVHMLQEKYEKAMVSIAEMQKRVKMAESMLEATLQYESGQSKALSSPRAGRVENPPRKGLLSFGLGWRDRYRGKPNTEESSESPRDNATPRKESNKEEQDK >RHN57647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40769433:40771370:-1 gene:gene33225 transcript:rna33225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MNIGKVEFSVNITNEEVVAATKAMQEHRLALSNLDLLLPPVSIGVFFCYKNPMITIGTTNNTIVGCLKNSLAEALVSYYVFAGEMVTNSMGEPELLCNNRGVDFVEAVADVELQSLDLYKPEETIEGKLVPKKKYGVLAVQATWMKCGGLVVACNFDHRIADAYSANMFLVSWAEIARSSNNKSLIPTTQPCFQRSLLTPRHPPSIHPSLYDMYIPISDLPPPPESKPELDIKTNPLISRMYYVTSEELNTMQLLANTNNSGSVKRSKLESFSAFLWKMVASAASTNSENVVAKMGIVVDGRKRLSNGDKNKEEFMSSYFGNVLSTPYSCRLIEELVEKSLCWVTEQVHEFVEVADTEEHFLGLIDWVEEHRPVPGLARIYCGVTGGADGPTFVVSSGQRFSESKVDFGWGKPVVGSYHIPCGGDAGYVMPMPSPKRNGDWLLYMNLPKEYLHFMVAEAPHFFRPISWDYLLN >RHN55290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16843129:16851170:-1 gene:gene30442 transcript:rna30442 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAYHSGIGISKVLFIAVTGYTGTVLLKNGKLSDLIGDLQALVKGLEKSGDQAEGEGEHASDAIAAQIRRLANEVKHLSSNRQTIVMNGGSGQSSNLSSLVVPAATLGAVGYGYMWLKGVSFSDLMYVTKRNMENAVADLTKKLQHASDVIADAKKHLTQRIQILDDKMRKQYKMAQSIKDDVNKVQDTVTTIHDDLSVVQHTVKMLDGRLNSVLENQEFANMGLDYIIGFITANARRVPDSLQGTIPKEQPKLPGRSTSMLTYPGFQGLKDIAESLSSLDRSASDTIVPGGLDNLEKQRRPLLRATSTKC >RHN67401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26874117:26876411:1 gene:gene15590 transcript:rna15590 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YB12 MADSDNDSGGPHGGGSNAHGSEMSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKGYLQRFREMEGEKTVGARDKDAPQGSGSVTNSSYESGGYGGGGGVMMHQGHVYGSGGGGFHQVMGKGGPGYPGPGSNTGRPR >RHN78658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17250393:17254119:1 gene:gene2292 transcript:rna2292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S3 MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQAVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKDYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPKTPLPDIVTIHTPKEEEEYIRPAAVVANDIEVPVPVPVV >RHN50797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10584165:10584960:-1 gene:gene35130 transcript:rna35130 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKMNQIFAMVVVVMMMAASSVSAADAPAPSPTSDATTLFVPTIIASFVALLFGLLF >RHN44580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6439639:6446620:1 gene:gene38717 transcript:rna38717 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRPPRAVIDPKVRTVGFFTPPDPIQFDSNSLSPVMIPPPCHSSENLDLHSPPSVTPPSGDESVSVTGSYNGSSSELFPAPMSPALSQKIVAGDGGVGGGIVASSFPRGGGVPVCKLTTVSVVNTDSFAFDERDKPNKGGGSSMEVKDQAMNSKQKNEKSSKAERRALQEAQRAAKAAAKAEGNKATGTVTSGNLKSAKATKPPQKVDNTSVAASEKKGVDRPSEKDRKKDVPHPRMQYDDKSRVEKAKRRAVVNHTESRNRVELFRHLPQYEHGSQLPDLEAKFFQLEPVHPSVYKVATLSRYFNLLQSIILICVMVGLQYLSGDISGGNARCIAMLQAFQDAIKDYTVPPEKTLVRDLTAKISSYVSFLIECRPLSISMGNAIRFLKSQIAKLPLTLSESESKTSLQSDIERFIYEKIILADKVIVEHAVTKIRDGDVLLTYGSSSAVEMILLRAHELGKQFQVVVVDSRPSLKGKLLLRRLVEKGLTCTYTHINAVSFIMHEVTRVFLGASSVLSNGTVYSGVGTASVAMVAHAFHVPVIVCCEAFKFHERVQLDSICSNELGDPDVISKVSGRGDVNHLDAWANAENLQLLNLIYDATPSDYVSIIVTDYGMIPPTSVPVVVREYSREQVWI >RHN62705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45280857:45286310:1 gene:gene25306 transcript:rna25306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MENDDVDMIGADNNEADLDDMKKRLKEMEDEAAALKEMQAKVEKEMGSVQDPANASASQINREEVDARSIFVGNVDYACTPEDVQQHFQSCGTVNRVTIRTDKFGQPKGYAYVEFVEVEAVQEALLLNESELHGRQLKVTAKRTNVPGMKQFRPRRPSNPYMGFRGRTPYAPPFAYAPAPYGYGKIPRFRMGMRYSPYY >RHN43590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47058296:47060474:-1 gene:gene50195 transcript:rna50195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MMLGEPPHRTNPTVHVPPWPTLNNPTAEIFSPLTSNDDYSQFYMQEALSAFQHYVNENNDSDSDSEIFPTHESVDSYSNDHFRMFEFKIRRCARGRSHDWTECPFSHPGEKARRRDPRKYNYSGTSCPDFRKGSCKKGDSCEFAHGVFECWLHPSRYRTQPCKDGTSCRRPVCFFAHTTEQLRAPTQQSPRSVPSVDSYDGSPLRLAFESSCVKTLQFMSSPGSVSPPVESPPMSPMTRSLGRSVGSSSVNEMVASLRNLQLGTMKSLPSSWNVQMGSPRFGSPRGPVIRPGFCSLPSTPTQVPSRGRVNHFDLWDQSCEEEPVMERVESGRDIRVKMFEKLSKENSFNGSGMGSGSGLGEVVEDPDVGWVSELVSPFLGD >RHN57230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37446521:37450026:1 gene:gene32740 transcript:rna32740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WEB family protein MEKKLMMMMEDSLEKQEEMENGIRRVSSRAEVDTSMPFESVKEAVTRFGGSGPWLPLYKLGEAYNSIEDFDIKKIEEQAAKLEKDLIMKELETLDVLEELGSAKTILEKLKQQLQSEALKCASTQSCENIGALVKNSEKIVHRQEKILQISSPCHTSSPNMLLTELKEAKMNLGKTINDLGTIQSSVETLNKKMKKERLFLERTRENLQSKFAAISAQNVAKKEAITKPPEAPVEKDFTFDTPQKVVKDYQLDVEQFNGMVGTRSAEVSKQGIEYEENEFNIKTAEMRWFAAKKMEEAAMAAEAVALAEIKALSGCADISSRFSMREHQKVTSALEVYSTLNPEFQIPEESILKKVIHANFKMDEVNASKHNILKKLEEATEEVLRSKEILTEALNGIETANRKQRAAEEALRRWIPENYLKGRAMHNSIKRNKFNQVEKCQTMKPAVRSSVSMRDLLSRKQVPDEYTTTKEMEEHAETKVALSQMLRAMRENQTLPTEHENDGGDQKQSIAHQKKKVGFIRISFPFGKRNDKKT >RHN75758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44827153:44827527:-1 gene:gene12003 transcript:rna12003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MQTFFVESSGALLLNSTLQNSPYSVVASAIQYKNSQDGKNYLRLKVVNYAKASQNLGISINGLSTNVQPSGSSMAVLTSSGIMDENSFAEPMKISPQRTSLANASKDMYVALHPYSVASIDLLI >RHN57275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37755182:37755542:-1 gene:gene32797 transcript:rna32797 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNAKTMIVFGFGMLLVTFWCMKVTEAHDAAHAGCNSKHSDAGEECTDEDDSLGLYSDVDDTFRTAKKHIKAHIHEGMVGDHDDSPDVAHNNVNVLGH >RHN76902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2228108:2228641:1 gene:gene291 transcript:rna291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-hydroxybenzoate 6-monooxygenase MKVTSDMIHKMHQEAEKVWIHELVKVIKETNEPFLNLIYDSDPLEKIFWDNVVLVGDAAHPITPHCIGSTNMSILDAAVLGKCLEKWGPEKVESALEEYQFIRLPVTSNQVLYARCLGRLKQGLVLPDRHPLDPKLANPEDYQDLLLRNTPFFYDVPSLFALILSSI >RHN42218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36422979:36426592:1 gene:gene48633 transcript:rna48633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MIGKELAQGHSLLDSNTKLLIRLQKIFDVLEDNPTIMECFMDIALFPEDHRIPVAALVDMWAELYRLDDNGIQAMEIINKLGIMNLANVIIPRKNASDTDDNNYNNHFIILHDILRELGIYQSTKEPFEQRKRLIIDINKNKSGLAEKQQGLMTCILSKFMRLCVKRNPQQLTARILSVSADETCAFDWSQMQPAQVEVLILNIHTKQYSLPEWIAKMSKLRVLIITNYGFHPSKLNNIELLGSLQNLERIRLERISVPSFGTLKNLKKLSLYMCNTILAFEKGSILISDAFANLEELNIDYCKDLVVFPTGICDIISLKKLNVTNCHKLFSLPQDIGKLENLELLSLSSCTDLEAIPTSIGKLLNLRHLDISNCISLSSLPEEFGNLCNLRNLYMASCAGIELPFSVFNLQNLKTITCDEETAATWEDFQHMLPNMKIEVLHVDVNLNWLL >RHN61321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34770721:34774534:1 gene:gene23774 transcript:rna23774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellular retinaldehyde binding/alpha-tocopherol transport, CRAL/TRIO MMLRFLRARKFDIEKTKQMWADMLQWRREFGADTIMEDFEFEEVDEVLKYYPQGTHGVDKDGRPVYIERLGLVDSNKLMQVTTMDRYLKYHVREFEKTSNVKMPACSIAAKKHIDQSTTILDVQGVGLKSMNKAARDLIQRLQKIDGDNYPESLNRMFIINAGSGFRILWNTVKSFLDPKTTSKIHVLGNKYQSKLLEIIDASELPEFLGGTCTCADKGGCMLSDKGPWNDPEILKMVQNGEAKCRRKTLSEVEEKTIVEDEGVCQKGCDSFNKEYVLEEACHAIAEVAKQCNAYQFDTLVSMNDKQVSWEESLQNDQKALSKNCFGNNVCKTGFRNQFSGRIMAMVLGIMTFIRMTRNIPTKMTAIALYGNSVYIDSNMVKAAPVISVDDHMALMKRMTDLEEKVNILSMRPSMPPEMEELLNSTLTRVDTLEQELATAKKALDDALAKQVELQSHINKKKKKKKLFHW >RHN61784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38328180:38334796:-1 gene:gene24279 transcript:rna24279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 27S pre-rRNA (guanosine(2922)-2'-O)-methyltransferase MGKAKAKGKHRLDKYYYLAKEHGYRSRASWKLVQINSKFHFLESSRSVLDLCAAPGGWMQVAVQRVPVDHLVIGVDLTPIKPIRGAIAIQEDITRPECKSRVRKIMNENGYRAFDVILHDGSPNVGGAWAQEATSQNSLVIDAIKLATQFLAPKGTFVTKVFRSQDYNSVVWCMKKLFEKVEVEKPPASRSESAEIYILGIKYLAPAKIDPRILDIKHLFEASAQPIAKMVDVLGNNKQKRHRDGYEDGNTTLRKVSSAANFVWTDAPLEILGSVTSISFTDPADIPIKDHDLTTEEVKSLCEDLRVLGKQDFKHLLKWRINIRKALSPAKKTEPATTAEVENEHDVVDEDDRLLNEMEELTNAMDRKKKREKKILSKRRAKDKARKATGMQVDAVEDYVDHELFSLASMKGKKDLVAVDTTDYEGGEGEVDDSENDENKGGSEHSSSDLDSDEERRRYDEQMEDLLEQAYERFVIKKEGTAQQRKRIKKSYDADSQLLEAGEDDDIVPSKYDSDDDQDVQEANPLMVPLTDGTGPTQEEITNMWFSQDVFAEAVEEGGFEKDDSENEMDIDGLKEKPFVAEKIKENKPVAEKIKENKSVAEKIKENKMTTSVEADRTQSQVSNEMDFEIVPAPATDSDDSSSDESEDDVGKKAEILAYAKKMLRKKQREQMLDDAYNKYMFDDQGLPKWFLDEERKHRVPVKPVTKEEVAAMKAQFKAIDTRPAKKVAEAKARKKRVAMRKIEKVRKKANAISDQPDISDRSKSKQIDRLYKNAVPKRPQKEYVTAKKGVQVKAGKGKVLVDRRMKSDARKSGMGKGGKRGSKAKGGKAPKGGKAPKGGRAPSGKAPMGGGKAPKGSRAPSGKKGRK >RHN63352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50602375:50604652:-1 gene:gene26040 transcript:rna26040 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGEGLGGSEAIYQETVEDEVKATKKVPVGPIHKLIAENTKSNSLVMKKPNVVIPAHIIAEAISTIRDIDLRWSGPITQKEMEYVEEYVLAKYPEYANLIEGDGSGIDMSSFIINEEPSELPMSDDRRKSPRSPSFGSNLPEMDRTQLEPSRLLDILNKKSSFPGSFISIPEIQAQNKVLKHCGLPDDEYLVLFTPSYKDAMMLVGESYPFVKGNYYMTILGEQQEDYIKEFASFKESRVLIAPKTWLDLRIRGSQLSQNFRRKCKISPKGLFAYVADVNGTMHWVSEAHRNYWHVLLDASAMVVGKDRLHVGLHRPDFLVCCLDNTNSNPSKITCLLVRKKSFDTSNGSS >RHN53779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4096748:4099997:-1 gene:gene28724 transcript:rna28724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPPDE putative peptidase domain-containing protein MRWFQLSTSSERDHRNTQNTSRAMVYLNVYDLTPINNYLYLFGFGIFHSGIEVHGMEYGFGAHEYPTSGVFEVEPKNCPGFVFRRSVLLGSTDMSLTEFRSFMERISAKYHGDTYHLIAKNCNHFTNEVCQQLTGNPIPGWVNRLARVGSFCNCLLPESIQVAAVRHVPERLSFADDDDGSDSDGLSLSIESEEEEPNHRLLNTSNGDVAFLKEKPVRLAREHL >RHN43563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46885702:46887912:-1 gene:gene50164 transcript:rna50164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inorganic diphosphatase MVIVVLDFDRTIIDDDSDRWVINQMGLSDLFNQLRATMPSWTSLMDRMMEELHSKGITTDKIANCLKTAFLSPNIVSAIKSAHSLGCDLRIISDANLFYIQTILEHHNILGCFSQINTNPTFVDEKGRLCITPFHDSKTLPPHDCQLCPSNMCKGLVIDRIRGSLPESKTRFIYVGDGAGDYCPTLKLEGGDFVMPRKNYPLWNRICSDPKLVHAKVHDWSNGEELESILLNLVNKAT >RHN50200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5064840:5066330:-1 gene:gene34463 transcript:rna34463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSVFYGKRTRLEKLKILNLSHSHCLTHTPDFSYLPNLEKLVLKDCPMLSEVCPTIGDLTEILLIDLEDCVSLRSLPRSIYKLKSLKILILSGCLMIDKLEDDLEQMESLTTLLANNTAITTVPFSVVRSKSIGYISLCGYEGLSHDVFPSIIWSWMSPTNNLPPLFQTSNIMSSLVPLDVPHSSSHELSSISMYLPNLRSLWVECSSERQLSHDAAIILDALHTINRKELEPTATTSQVSKHSLKSLLIQIGMNCQATNILKDIILQV >RHN57282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37819870:37821588:-1 gene:gene32805 transcript:rna32805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vinorine synthase MEIELLSRETIKPSSPTPSHLKLYPLSFIDNIVCSNYLPLLYFYNPNGNRDQNSKTSQLRKSLSQLLSKYYHFAGRIKDKITIECNDQGVLFLVTKVKNKLSEIVQNPTEKLLNPLFPDELQWKEMDWRASFIAIQVNWFACGGMVISICISHKIGDAATIFNFMNDWAIIYQKFDEDEKDLLSLLDAGGTIFPQRDLPIFPENVLKRENNVEFKRFVFQPAMIKSLKAMVTSSSMPSPTRVQVVIAWIYKHAVSIMGLNFQTASFYMVVNLRKRMVPPLSEKCVGNILWFSSMMMTNKKEMKLEELVCKIKEGLSECCDVYPKMFREIGKNNLLISECLKQATEPQSENKNVFGFSSWCRFPMYEADFGWGKPIWITTTGCSSKNNILLMDTRDGDGIEAVVNMEEKYMAKFEHDFELLQYASLNPNNVGSDDCVLRS >RHN50941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12031295:12032125:-1 gene:gene35297 transcript:rna35297 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAWLGVEGVDSHVISDHFVQFIEYAGGLKSRRSLFHLIWLQCVWVLWNEWNDRLFRNRESSITIVG >RHN60926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31631670:31632486:1 gene:gene23322 transcript:rna23322 gene_biotype:protein_coding transcript_biotype:protein_coding MRVALMVFVGTRKSLRMNDAEGCSFFITILLQIVFSFLFANIRSVLIT >RHN64754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61562797:61568276:-1 gene:gene27609 transcript:rna27609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Porin domain-containing protein MANGPAPFSEIGKRARDLLYKDYNFDHKFSLSIPSSTGLGLTATGLKRDKFFVGDLNTLYKSGNVTVDVKVNTDSNVSTKVTLNDVSLSHSKKVALSFNIPDHKSGKLDVQYLHPHAAIDSSIGLNPAPKLELSAAIGSKDISMGAEVGFDTTSASFSTYNAGIAFNKPDFSATLMLADKGQSLKASYIHYVDRPDGLTVAAELAHKLSSSENRFTFGTSQSIDPKTVLKTRFSDDGKAAFQCQRAWRPNSLITLSAEYDSKKIIGSPAKFGLALSLKP >RHN59078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7318815:7319766:-1 gene:gene21065 transcript:rna21065 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLDPSDHKLTHLIFLFDLSDISQQHKHNPHSFSKHLKYDFSHHFCEFHQLQRNQHQINQINKKIKARTKKSSFFAKTNSPKQV >RHN60153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21887874:21888761:-1 gene:gene22391 transcript:rna22391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stigma-specific protein Stig1 MGFLKAIIIIAITMALSLTITMKTITQNEPKSLSVHHDSLSQGQQPLILHEKNKFLPSKRVSRLLAQNPNAPIHCHKQDEICDLYGAKNATCCNNKCIDLGYDKHNCGACKKHCKYTQTCCRGACVDTNYDKRHCGGCNVRCEIGQYCVYGMCDYA >RHN76244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48831376:48835197:1 gene:gene12537 transcript:rna12537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MAGLAPEGSQFDTKQFDTKMNELLSTEGQEFFTSYDEVHETFDAMGLLENLLRGIYAYGFEKPSAIQQRGIVPFIKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQTGVHVVVGTPGRVFDMLRRQSLRSDCIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTLEDARMLADIQKFYNVIVEELPSNVADLL >RHN49803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1208142:1209704:1 gene:gene34024 transcript:rna34024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M48 MNWMNRRFEYEADYIGLLLMAAAGYDPQQAPKYYEKMAKLDAPVKYPVLASFPCSHPPGRERAKAVARPEIMKEALLLYYDVRVSHWVE >RHN58750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4486314:4489291:1 gene:gene20691 transcript:rna20691 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIKCIILSPLIQVTQTLLHFIHLLNLSSNFNLFSSNYYKTYLTLGLSEGKGSTQCKANFILLSNSSPMDGASLMSSKSNTSLIH >RHN52169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32296878:32298107:-1 gene:gene36803 transcript:rna36803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MENKSTAATNAKVRNYIADDISFTILSKLPLQSLNRFRCVRKSWSLLFENQHLMNMIRKNFLCNLNCCSYYDQSSLLLKYLDETHKDILYSLSRERFGNKVKLDCKNLIDHLYIFRIFGLGSINGIVCVHANSNCGAIVFWNPATQAIKLIPPSPLELVELPIPGVAKEFVNVEAFTYLHGFGYDSVIDDYKVICLVSFDIQVELGDTFSDSLLEDIYLDELWEIYSLRSNSWRKLDIDMPSSSYCIEGTQVYMDGVCHWLCEENTPAGPCLVSFYLRNEVFCITPIPSDEDDCFKFKASKINLVLLNGSISLTAFHRETTTFHIAILGELGIKESWIKLFTVGPLSCVERPIGVGTKGEIFFQRKDNELAWFDLSTQVIEELGYKARGPYTRISLYKENILVIEGISN >RHN77709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8866711:8868679:1 gene:gene1192 transcript:rna1192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase transcription factor interactor and regulator CCHC(Zn) family MVAKMEEDDYEEYIPVAKRRAMEAQKILQRKGKISAPIDDDSGKLSVVETKPSLLVKASQLKKEQPEISVAEQIVQQEKEMIENLSDKKNLMSVRELAKGITYTKPLPTGWKPPLHIRRMSKKDCDLIQKLWHIIVNGEDIPPPIKNFKDMRFPDPILKMLKTKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPMIMMAMQEEIRMPIVPGEGPFGLIICPSWELARQTYEVIEQFLLPLKEAGYPELRPLLCIGGIDMRSQLKIVKKGVHIVVATPGRLKDMLAKKKMNLDNCRYLTLDEADRLVDPGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPIIVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDNIHEYLLLKGVEAVAIHGDKDQEDREYAISSFKTGKKDVLVATDIASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLQEAKQRIPPVLAELIDPMEDNEEITGISGVKGCAFCCGLGHRMRDCPKLEHQNIVKNANNRKDCFGSGGYKGEI >RHN62815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46007070:46007780:1 gene:gene25429 transcript:rna25429 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSMDKKLGASGVVLVLVFFSFTIANVNGDSVNDEDQSKKSALWVWQRLRNAYSMYSSVFPTNIGQYWHMVKAIVNHTYAYFFPPNLDFRRGEEGEAVVDNNGAGDKVKEALAKSLGTSKATLEDAAKSAADKVKRSLSDDREKKHPKEL >RHN44280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3140418:3142573:1 gene:gene38380 transcript:rna38380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casparian strip membrane protein 1 MTKSTYVELGEEKTSNQKGNMKRGVSILDFILRLIAIVATLASAIAMGTTDESLPFFTQFVRFRANYDDLPTLRFFVVASAIVSGYLILSLPLSILHIIRSSAGMTRVIFIILDTVMLGLLTAGSSAAASIVYLAHKGNRKANWFAFCQQYNSFCERISGSLIGSFIAIPLFIMLILLSALVLSRR >RHN56058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27254997:27260835:-1 gene:gene31384 transcript:rna31384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SLC26A/SulP transporter MRDQRVLHIEDSTSQIERSKWVLDSPNPPPLWKKLFSSLKETLLPHGNKLCFSSKNKSFLALAYSFLQSLFPILVWLKDYTISKFKDDLLAGLTLASLCIPQSIGYASLAKVDPQYGLYTSIVPPLIYAVMGSSRDIAIGPVAVVSMLLSSLVTNVIDPVANPHAYRDFIFTVTFFTGIFQAAFGIFRLGFLVDFLSHAALVGFMAGAAIIIGLQQLKGLLGITHFTTKTDAVSVLVSVYKSLHQQITSEEKWSPLNFVLGCSFLIFLLVTRFIARKKKKLFWLPAIAPLLSVILSTLIVYLSKADKQGINIIKHVKGGLNQSSVHQLQFHGQNVGQAAKIGLVCAVIALTEAMAVGRSFASIKGYQLDGNREMLSMGIMNIAGSLTSCYVATGSFSRTAVNFSAGCQTAVSNIVMAITVILFLQLFARLLYYTPMAILAAIILSALPGLIDINEARYIWKVDKLDFLACIGAFVGVLFASVEIGLLVAISISFAKILIQSIRPGVEILGRVPRTEAFCDVTQYPMAISTPGIVVIRISSGSLCFANANFVKERILKWVVEEDDIQETAKGNVRAIIMDMTNLMNVDTSGILALEELHKRLLSRGVELAMVNPRWLVIHKLKLAHFVDKIGKQWVFLTVGEAVDACLSSKIATA >RHN73953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22067155:22067613:-1 gene:gene9854 transcript:rna9854 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit H MFTEAITVNGPEQFGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELIYDLFEAATGMTMMLNYFRIGGVAADLPYGWIDKCFDFCNYFLTRVIEYQKLITRNPIFLVRVEGVGVVGREEVINWGLSGRYFRLP >RHN78608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16691665:16691868:1 gene:gene2233 transcript:rna2233 gene_biotype:protein_coding transcript_biotype:protein_coding MATCYNVTLSASNNIWMTDDVMIKRVPLLCLQIAYNLLVSRFLFFILKPLHVPLIVAQMLVSIFII >RHN45821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26001631:26003546:1 gene:gene40240 transcript:rna40240 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLERNIFTSLFPIPPIPIISNFSSLSPPSLNFSLPFLHVSLPHNLSYFHRFCMFVRSKSLKLNFIVLINIF >RHN66242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9981207:9982316:1 gene:gene14186 transcript:rna14186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MASTDWCDCELPTELLNLISQRIDDELDLIRFRSVCSTWRRSSNSNHHPNSALKLPQLSYNLSDTINNINTSPFCCLFKRTIYLIKPLRHHKQHRPWLIRVTQNSHGKKLFHLLFKSFYYSHCFPRVLDFNKLSVLNLGTDFIIDHGDFNLCNYFFYNNKKREKFLAITCNGEKPMVLGISEYFPHPMLFCYLNNYWKPISDMSTKYVDICVFKGQFYLVDNTGRTVAIESDSSVQLVDNPFIPGDRKLLVESEGELLLVNIYENLKTFDVFSLDKNNKTWVKLMSLGDRVLFFVNKCSFSASALDMCVVKGNSVVFIDDTFNIYGNCVYLLDGSQQSSASDYRKCFKHVPDGLEYLSLFSAPEWIVKS >RHN41654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31817175:31819196:1 gene:gene47997 transcript:rna47997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MDMGLDLFTCTKQKSCNSKVRQRKCEKIFTKPNMGFSSPIISIILHFLILVCPINSLKTSNQQLVNQTFRSNEELHKLKKMIAARLQQINKPAVKTIQSPDGDIIDCILTHKQLAFDHPLLKGQKPLDPPERPTRYNQMSNFSDNFQLWSLSGESCPDGTIPVRRITEQDLLRADSISGFGRKFTNTYKHTHAVALVEGGGFHGAKATINVWAPQVESPNEFSLAQMWITSGTYEKKDVNAIEAGWQVCEYAQYLLCALL >RHN67280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25475536:25476538:1 gene:gene15458 transcript:rna15458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase WNK-NRBP family MPSVQQNPSDKDTETFVETDPTGRYGRYDELLGAGACKKVYKAFDNEEGIEVAWNQVKLRNFSNDPAMIERLYSEVRLLKNMTNKNIIALYNVWRDKEHNTLNFITEVCTSGNLREYRKKHKHVSLKALKKWSKQILEGLNYLHVHDPCIIHRDLNCSNVFVNGNTGQVKIGDLGLAAIVGKNHSAHSILGTPEFMAPELYEENYTEMVDIYSFGMLVLEMVTLEIPYSECDNVAKIYKKVTSGVRPQSLNKIKDAEVKTFIEKCLAQSRARPSAEELLKDPFFDDVEYDENDDVDY >RHN79113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23409722:23413854:1 gene:gene2829 transcript:rna2829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GINS complex, subunit Psf1, GINS subunit, domain A protein MFGRKACQLVKEFASGEKGQLTPFNKDLFEQVVGECNQHHLDLQSLIRKMQEEGLDVQTARNADHYGALIHLFSIVRNKRCLMAYVYNRAETIRSLLWKIGPVIPKEIEEKLDHREEEYFKKHSSALKSYMSKVFVDLTVDMVPPKDPYIQVRVLEDIREGIVLSDDKNPNFARHSMHFLKRTDAEKYISRGLMEELTG >RHN44918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9918074:9922945:-1 gene:gene39095 transcript:rna39095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TCP family MDPKNSKQQSQLSNMGENKESETKNLQIVLSETTTKDETKKQLAPKRTSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQSEPSIIAATGTGTIPASALASSGNTLTPQGSSLSSGLQLNDRNTWAQTHQAHQAHQGHHVSSTSLWPHHHVGGFGFHQSSSSGGLVATTVGENNSGNYFQKIGFSGFDMPTGTNLGVGGMSFTSILGGANQQMPGLELGLSQDGHIGVLNQQALTQIYQQIGQNQTRVQHQNQQNNNTTKDDSHSSEQ >RHN58005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43074769:43075830:1 gene:gene33610 transcript:rna33610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloroplast protein import component Tic20 MASSTFFSSVAISSLSHSRKPLQSTFLLSSPNPSSLFTLPKKFTKSNKLRTLIVSKSNNSDSADASDRLISALCYFYPFFDGIQYGKFVITQFYPIQAIVQPLIPAIRVFKSFPFNGFNTMQAIVLDVLLIFPDLLERGFNPRGGFGLDLLMSLDSTVFLFLLVCLIYGSSSCILGQLPRLPIVADAADRQVM >RHN60149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21758688:21761126:-1 gene:gene22387 transcript:rna22387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSKLAKSLSPTPSGWSSNTSFCLWTGVRCDEFNSVTCINLASRSLIGTIPSDPNSLSSLTSLVLFSNSLSGALPSLANLSYLETVLLNSNNFSSVPDGCFQGLDSLRKLSMRNNINLAPWTIPIELIHSTHLGLIDLENTNLVGPLPEIFHRLVSLKNLRLSYNNLTGDLPMSFLRSDIQNLWLNDQKPNGFTFF >RHN78078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11719530:11720069:-1 gene:gene1593 transcript:rna1593 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKVYIIVIVSFLLLSFVVADFGFDHNIKTLIPPPPKASHKNLNFGLPKYVRVPSYGLNTRRSNSPPPPKTSNKNLNFDLLPKYVPIPSSGPNNRRSDSPPPPKASHKNLNFGLLPKYVSIPPSGPNNRRSDSPPPPKASPKNLNFGLLPKYVPIPPSGPSNRRCDSPPPPKYPYNN >RHN75531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43055591:43060137:-1 gene:gene11752 transcript:rna11752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDEVPVIEENETKSEAHLTSAAAFVEGGIQDACDDSCSICLEEFIDSDPSTVTSCKHEFHLQCILEWCQRSSQCPMCWQSISLKDPTGQELLEAVERERNIRFNPTRNATIFHHPTLGDFELQHLPVGANDADLEERILQHFAAAAAMGRARHIARREGQRNRSSAQGRPQYMVFSAHPNSPPMAPASSSPPQRGDGEQTPATGEEPPQLTLVPPVQTDQVSASGSGSAALATDNLGLSYNSRRSPNQSSPSSQDRAGPSELQSFSESLKSKLNAVSTRYKESISKSTRGWKERWFSRTSPMSDLGSEVKREVNAGIATVSRMMERLETRDNNRSSSDTAPSNLADGPIQGSSDQHLTDTERDSPLRDNNSKTSCTAGSSSN >RHN43810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48659737:48668851:1 gene:gene50439 transcript:rna50439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynamin central domain, dynamin, GTPase domain, GTPase effector domain, Dynamin superfamily MATMTSLIGLINKIQRACTVLGDHGGEGLSLWEALPSVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTENGQEYAEFLHLPRKRFTDFAAVRKEIADETDRITGKSKQISNIPIQLSIYSPNVVNLTLIDLPGLTKVAVEGQQESIVQDIEQMVRSYVEKPNCIILAISPANQDIATSDAIKIAKEVDPSGERTFGVVTKLDLMDKGTNAVDVLEGRQYRLQHPWVGIVNRSQADINKNVDMIVARRKEREYFETSPEYGHLAHKMGSEYLARLLSQHLEQVIRQKIPSIIALINKTIDELNAELDRIGRPIAVDSGAQLYTILEMCRAFDKVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDRHLSLKNVQKVVTEADGYQPHLIAPEQGYRRLIEGSISYFKGPAEASVDAVHFVLKELVRKSIAETEELRRFPTLSNDIATAANEALDKFRDESKKTVTRLVDMESSYLTAEFFRKIHLEPEKNPNGPPNSNRNAPPNNDNFTDNHLRKIGSNVSAYINMVCDTLKNTIPKAVVHCQVREAKRSLLNYFYVQVGKKEKEKLGAMLDEDPSLMEKRNQIAKRLELYKQARDDIDSVAWK >RHN54059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6597322:6602354:-1 gene:gene29038 transcript:rna29038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGLCTSKNLTHSSRNFNTELPQQNSVTLNGSSEPHTNSVTVNGENSNETETVKRSPLFPFYTPSPAHQFFSKMSPARNFFKRPFPPPSPAKHIRSLLARRRGSVEVSIPEGGEEETVVALDKNFGFSKHFGSRYEVGDEVGRGHFGYTCAARLKKGDRKGQQVAVKVIPKAKMTSAIAIEDVRREVKILRALNGHKNLVRFYDAYEDRDNVYIVMELCEGGELLDRILSRGGKYTEEDAKAILRQILNAAAFCHLQGVVHRDLKPENFLFASKDENSELKAIDFGLSDFVKLDERLNDIVGSAYYVAPEVLLRAYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRTVLKSDPSFDDPPWPSLSDEASDFVKRLLNKDPRKRMSAAQALSHPWIKNYDDTEVPLDILIFKLMKAYMRSSSLRKAALRALSKALTVDELIYLKEQFALLEPNKSGTINLENIKAAFSVNATDAMKESRIADFLASLNALQYRRMDFDEFCAAALSVHQVVALDHWEKRARYAFDLFEKDGNKAIVIDELASELGLGPSIPVHAVLHDWIRHTDGKLSFLGFVKLLHGPSRSVAKVQ >RHN81103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43346377:43355419:1 gene:gene5100 transcript:rna5100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(+) ADP-ribosyltransferase transcription regulator SAP family MATATATAPSKMKVEELRTELNQRGLDTTGTKPTLLRRLQEALLKENQVSKPDADAPSSLGKRPRDSATGTRRGVSKKQSLETIPQDPHHVEQEEQVIEEEKIVTATKKGAAVLDQWLPDHIKVQYHVLEMGSEIYDAVLNQTNVGDNNNKFYAIQVLESDDGGKFLVYNRWGRVGIKGQDKIHGPYPSRESAIQEFEQKFFAKTKNAWSDRNNFVSHPKSYVWLEMDYSGKEKESTVTESPGHALRKQPQESKLEPRIAKFISLVCNLSMMNQQMMEIGYNANKLPLGKLSKSTILKGYNVLKRLADVIDKSDKNALEQLSGEFYTVIPHDFGFKKMREFVIDTPQKLKRKLEMVEALAEIEVATKLLKEDAEMERDPLYAHYQRLQCELEPVEFGTEEFSMIENYMKNTHAETHSNYTVKIVQIFRTSKKGEAERFRKFSNIKNRMLLWHGSRLTNWTGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYPTSTSADGVLLLCEVALGDMAELLTSKYDADRLPEGKLSTKGVGATAPDYSKAQKLEDGLIVPLAKPKKNSGIKGDLMYNEYIVYNVEQIRMRYVVNVKFNFGSRR >RHN56750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33395565:33396209:1 gene:gene32191 transcript:rna32191 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCIGWNQKRLHEVDFCVDPDNLELIKCQTKRPPLTYCVHSKNVAYEFRWVLWAPPRPQSNPHDEM >RHN53494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2061728:2061998:-1 gene:gene28404 transcript:rna28404 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNPFSLIRCEEDSVFSSFHRRRLQHREPLLHESTIYQKRVTRYSIVG >RHN63903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54944338:54946933:1 gene:gene26659 transcript:rna26659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-RSK-2 family MATITKSKPYIDIEPDYDSSSSSITVPESTRSWMSNLSFGSRRRRSSVSVSSTTTYTSSVLGSNAKPHKANQVAWEAMSRLRLDQGRVGLDHFRLLKRLGSGDIGNVYLCQIRNPVVGLPQCFYAMKVVDREALAIRKKLQRAEMEKEILGMLDHPFLPTLYTDFDASHYSCLVMEFCPGGDLYACRQRQPGKRFSLSSSKFYAAETLLALEYLHMMGIVYRDLKPENVLVREDGHIMLSDFDLSLKCDVVPKLLRSKTRLERSIKTTTTMRCSTPSCISPMQPVLSCFLSSSTKKKKTKLETVIRENVQEEFEVDLDPDFVAEPIEARSKSFVGTHEYLAPEVILGQGHGSAVDWWTFGVFLYEMLYGRTPFKGENNEKTLVNILKQPLTFPRIGVSSNYEFEEMVKVQDLISKLLVKNPSKRIGSCLGSVEIKRHEFFKGVNWALIRSVRPPEVPSDKNKTRNRVLVPKLSKKDRDQPFQLKHQFDYF >RHN61258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34236435:34244505:-1 gene:gene23699 transcript:rna23699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MIERREREGPLMKNSPQSLLISRIITTVIIGVLIGCIIVFFFPNDFFVSQSITSIRHLPVAVPKTQENSAECESSDRVNMLKSEFVALLSDKNAELKKQLREMTERLRLAEQGKDHIASVKEEKAGPFGTVKAIRTSPNVAPDESVNPRLAKILEKIAVKREIIVTLANSNVKEILEVWFTNIKRVGIPNYLVVALDDEIAKFCESNKVPFYQRDPDNGFDTVGKMVNGAAVSTLKFHILREFLQLGYSVLLSDIDIVYLQNPFDHLYRDSDVESMSDGHDNMTAYGYNDVFDDPGMGWSSGVYTRRIFVYNCGFFYIRPTIPSIELLDRVAARLSKEKAWDQVVFNEELFYPSHPGYDGLHASKRTMDIYLFMNSRVLFRTVRNDANLSKLKPVIIHLNYHRDKLRRMKAIVEYYVNGNQDALKPFPDGTPR >RHN62361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42926593:42937007:1 gene:gene24928 transcript:rna24928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling DDT family MEGEGSSEGESDRKRGGADDGKIAVSTEGQSKPKRQMKTPFQLETLEKAYALDNYPSEPVRIDLSEKLGLTDRQLQMWFCHRRLKDKKESAPKKVVPPRKPVVQPLPDSPVDDHRMGFEHGNEYDSGSGSGSSPGLEPRNAMALSVGYYESPHDEMELKAIACVEDQLGEPLREDAPIIGVEFDPLPPDAFGALIAVTERQKRPRLAYDGKIYGRHDVKTDKAKARTFHEYLPSKSGIRSDAFGQFSQSHVHVPMQGPSKTPPIVPRNHAAQGHSSRVPILSQQGKQGSPYQSPPRELATNIVSAGMNSHLIEPENPYASPSGQILQNNAMHIERKRKGDDAKITRDVEGHELEKHDSTRRKNEERVRKEMERQDRERRKEEERLMRERQREEERSKREEKREIERREKYLLKENIKAERIRQKEELRKEKEEERRKAALEKATARKIAKESLELIEDEQLEMMELAASSKGLSSIIRLDFDTLQNIESFRDSLCLFPPESVKLRKPFAIQPWINSEDNVGNLLMVWRFLITFADVLELWPFTLDEFVQAFHDYDSRLLGEIHVALLKVIIKDIEDVARTPCTGLGMNQNGAANSGGGHPEIVEGAYAWGFDIRNWHKHLNQLTWPEIFRQLALSAGYGPQFKKRSITSSRANSKDEGRSSEDIISTLRNGSAAQNALTKMQERGLLGPRRSRHRLTPGTVKFAAYHVLSLEDGKGLNVIELAEKIQKSGLRDLSTSKTPEASISVALTRDAKLFERVAPSTYCVRAAFRKDPADAESILSEARKKIQIFENGFLAEEDAEDVEREESESEVDEDPEVDDLVNPSTVNKTSEPCNDFSSSGKENLGHDGELKDGFDKDLPGFPDNGSKNADCPSGQPVACESLIARNLGEDNIEVDESKSGESWIQGLTEGEYSDLSVEERLNALVVLVGLANEGNLIRVVLEDRLEAANALKKQMLAEAQIDKIRLKDDNVNKSDFPSINGIRVETPITCAAAEGNQSPLLDINICNNNNEESPSKAENKRLAVVGQSLSEKLPSVQDLCIGPDNPQTPLSAQYSKRSRSQLKSFISHLAEEMYIYRSLPLGQDRRHNRYWQFVASASCNDPGSGRIFVEYHDGKWRLIDSEEAFDALLNSLDSRGIRESHLRLMLLKIENIFKENVQKNAKCAKIGNTDEICVKNEAEETDSSPDHHTRSDSPSSTLCGLSSDTSETSASFTIELGKSESDKKASLRRYQDFQKWMWKECYNPSILCAMKYGKKRCKPQVDICDICLNLYCLEDSHCSYCHLTFPSNDGFDFSKHVIQCGDKSSKDISIFESPLPLRTRLLKALLAFIEVSVPPEAFQSVWTEDIRRLWGVKLSRSSSAEELLQMLTLFERALKRDFLSSPFSTTGDLLGMNAMSESAAHTSMDLESVTVLPWVPRTTSAVSLRLFELDTSITYLQLEKPEPCEEKEARFIKLPSRYASVKSTKVVEPVDLDHDEFMKVKSAPLKIVQSSKKRRRLNQDKGRDKKLSKRTSHSKRDNGHHNFEVTENLSQRIKQQGQGSQGQTGGRGPRTIRKRREEKRAVEDLSLGHKAANNSSNIGREQSRILDEDWDDEKASPMRPIQMEAADMSSSSEEIEYDDNAQAVESDDNVEAVEYGQGNWEIGFNGTPNRWNRDLVGMSEEEDVEASEDDNDNGIEGNEEEEEGSEADVMSQGSDRMANRVVKEESLDSSDSEDSFD >RHN56302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29639790:29640116:1 gene:gene31681 transcript:rna31681 gene_biotype:protein_coding transcript_biotype:protein_coding MIITSRIFLLNLSVTTIIVDILTVSLASSRTNSSFVTSLVKMICISNFRVSV >RHN49628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:56069927:56071310:1 gene:gene44477 transcript:rna44477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-exporting ATPase MAEEELDKPLLDPDNFNREGIDLERIPLEQVFELLKTSPGGLSSDDAEARLHIFGPNKLEERKENKLLKFLSFMWNPLSWVMEAAALMAIVLANGGEDGPDWQDFVGIICLLVINSTISFIEENNAGTAAAALMARLAPRTKVRRNGQWKEDDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQASLHLLDGNEEKLSLTGESLPVTKKTGDEVFSGSTCKHGEIEAVVIATGVHSFFGKAAHLVDSTEVVGHFQKVTLRNFQHFLASSHSYEKCKAKIFTKGVAICLMNDP >RHN64622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60502266:60506153:-1 gene:gene27453 transcript:rna27453 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSVTDLSNGSNKMSTSSRLSDCRPQHMILERNHSFVQDKEQNMDSTKSDQLKHKQVPLISLPEALVFSSPRPVSELDAAATTLQKVYKSYRTRRNLADCAVVVEELWWKALDFAALRRSSVSFFDVEKPETAVSRWARARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHMYYDIWFKSLSTQPFFYWLDVGDGKEINLEKCPRSTVLQRQCIKYLGPKEREEYEVIVEKGRLVYKQDGRLVSTDEKSKWIFVLSTTRALYVGKKQKGAFQHSSFLAGGATTAAGRLVANQGVLEAIWPYSGHYHPTEENFKEFISFLGEHNVDLTNVKRCAIDDDTYSIVGNDAINEPQQTKVATPKVNVNDNNVTSTHKKDAVAAFNASRRLSCKWSTGAGPRIGCVRDYPEHLQSKALEQVSLSPRPSSSRLNKFGPIPSPRPSPKVRMSPRLVYMGLPSPRNPISTAS >RHN51435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17743419:17752223:-1 gene:gene35868 transcript:rna35868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein METPLLTKRFTSENDYLAVKSLKDVKHVLWSETVKIWKIALPVALCYLFQYLTNTSTSIYAGHLGDIELSSFSLFQSVLNCIYSLLFGMSTAIATLCGQAYGAGQFQNAGIYVQRSSIILFTTCILLLPINIYATPILKFLGQEQEIADLAGKYAILIIPYMFSSAIHLPIQKFLQAQSEVKVIMYIAIVILLIQNGLLYIFISVFDWGITGLAMASNITGWGFAIAQLIYAIGWCKEGWNGLSWMAFRELWEFTKLSFGSSVMICLEQWYTTIIILLAGYLDNPVIALGSYSICLNIQGWNAMLLLGLSTALSVRVSNTLGMSHPRASKYSFLVTMSQSLLIGTIFMTVIFLSKEKFAFIFTNSDDLIHAASELAYLLGITMVINSISQTISGVVIGCGWQVMVGYINLACYYIVGLPIGIFLGFNQHLGVKGLWGGTMCGNILQILVLVVIIYKTNWTNEVEQTANRMRIWSSNKLQKDAI >RHN57400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38655343:38678387:-1 gene:gene32940 transcript:rna32940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MTIMTPAPIDQQQQEDEEMLVPHAVPHADLAENNNHQPMDVVAQPETANTVEPVEDPSPSRFTWRIDNFSRVNLKKLYSDVFVVGSYKWRVLIFPKGNNVDYLSMYLDVADSTSLPYGWSRYAQFSLAVVNQIHNKYTVRKDTQHQFNARESDWGFTSFMPLGELYDPSRGYLMNDTLIIEAEVLVRRIVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFLQHDVQELNRVLCEKLEDKMKATVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCPDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDNGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDPKRAMEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIREADKEKVICNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLGEQIGKDIYFDLVEHDKVRSFRVQKQTPFNVFKEEVAKEFGIPVQFQRFWLWAKRQNHTYRPNRPLTQIEEAQSVGQLREISNKVHNAELKLFLEVERGPDLCPIAPPEKTKDDILLFFKLYDPEKEELRFVGRLFVNNTGKPSEILARLNKMAGYDPEEEIGLYEEIKFEPNVMCEPIDKKLTFRASQLEDGDIICFQKAPATDNEEHIRYPDVPSYLEYVHNRQVVHFRSLDKPKEDDFCLEMSRLFTYDDVVERVAEQLGLDDPSKIRLTPHNCYSQQPKPQPIKYRGVEHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVLEDLKKKVELSRPDAELRLLEVFYHKIYKVFPPNEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFTKDTTQNQMQIQNFGEPFFLVIHECETLAEIRLRIQKKLQVPDDEFGKWKFAFFSLGRPEYLEDSEVVSNRFQRRDVYGAWEQYLGLEHTDNAPKRSYAANQNRHTFEKPVKIYN >RHN79006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20855450:20855881:-1 gene:gene2682 transcript:rna2682 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPLMEEVIVRECPRMKIFSAGNTSTPLLQKVKIAKNDEEWLWQGNLNDTIYNMFQDKELCGKTTICRF >RHN42902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41821072:41822760:1 gene:gene49408 transcript:rna49408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trans-zeatin O-beta-D-glucosyltransferase MASSYQSTTMASNYNTHKKVLSKGTKVIHQSQVVVVVVPFPAQGHLNQLLHLSRLISLYNIPIHFVGTTQHNRQAIVRVQGWDPKSFSKNFNIHNFKVPTFVSPPPNPNAKSKFPSHLFPSFQASSNLREHVATLLQSLSSVAKRVVVIYDSLMASCIQDAIHIKNCESYTFHSVSAFTMFLFFWGVMGKPLVKNSTNIIPEVPSLEGCFTTQFINFITSQYEFHKFSNGTIYNTSRVIEKPYIELIEGMISHKTHWALGPFNPLCVEKRNNNKARHFSLEWLDKQKDNSVIYISFGTTTALKEEQIIELANGLEQSKQKFIWVLRDADRSDVFNEDGVRRMIELPKGFEERVEIEGVGLIVRDWAPQLEILSHSSIGGFMSHCGWNSCMESITMGVPIAAWPMHSDQPRNRVLVTEVLKIGLVVKDWSQRDELVMASIVENVVRRLMATKEGDEMRQNAMNLKNEILKSMDEGGVSRMELDSFIAHVTR >RHN74764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36519724:36523959:-1 gene:gene10877 transcript:rna10877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MMSVTSKSIDFFGKNLVYCGCSSNASFHRYEVKNYAKVNSKGCGNGARKLVCCKKRNTHQYRVSSMDTAEPTLNGGTQAIKDLAKGLNLKSYPATPISPNRLFEVVSDDLLTLNKNLQSIVGAENPVLISAAEQIFSAGGKRMRPALVFLVSRATAELHGLEDLTVKHRRLAEIVEMIHTASLIHDDVLDESDLRRGKETVHQMFGTRVAVLAGDFMFAQSSWYLANLENIEVIKLISQVIKDFASGEIKQASSLFDCDVQLDEYLIKSYFKTASLIAASVKGAAVFSGSDSSMTEKMFEYGKNLGLSFQVVDDILDFTQSAEQLGKPAGTDLAKGNLTAPVIFALEKEPKLRDIIESEFSEIGSLDEAIKLVKSCGGIERAQELAKEKADLAIQSLQCLPPSVYRLALEDMVTYNLQRIA >RHN55547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19379615:19386975:-1 gene:gene30747 transcript:rna30747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MASQMSILYRTRSLLTKPNFTIKSISTFPYLNQEPELVDPTPPPPTESSLPPNPASGSPLYNENWRNPVPKTSSLTQFGHFTRASVSETYDSNALLNVFGDWVASQRWGDVKEMFEGWVRSLDKNGKPNKPDVNLFNHYIRANLMIGASAADLLDLLAQMEQFDILPNTASFNLVLKAMHQARETLAAEKLLERMLQSGNEAFPDDESFDLVIGMLFATDQIDTAFKYIDSTLKHGNKLSMDLFMKCVRSCVKQGRLDTLVAIIEKCRATDQNKALCPSWKLCIFIAEVAIRADNSKLAYYGLEFMARWIVKGERARPPVLLSVDEGLAVSALMTAGRTYNSELLGAAWAVLDRSLRKKKLPNPESYLAKIYALASLGNLQKAFGTLHDYESAYGNSDQEADDLFCPFTSLHPLSVACSKKGFETLDTVYFQLENLSHAERPYKSVAALNCVIVGSANIWDLDRAYQTFESIASTFGLTHNIHSYNGLMYAFGKVNKADEVSKVFGYIVNSGLKPNAKSYCLLIDAHLINRDVKSAMGVLDDMIAAGFEPMKGTLKKIRRRCIREFDTESDERLESLALSYNYRMGSEGRRNMLFNLNYSTEMA >RHN79219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26167604:26168719:1 gene:gene2967 transcript:rna2967 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRVRIPNTPQIHLKISDICIILPPIFIIKNKTKKHQNQCNHWYTTNDVFSFE >RHN73799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19969671:19976812:1 gene:gene9665 transcript:rna9665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MVIINQARRIEGKIVRLGKYELGKTLGEGNFGKVKLARDTDCGQFFAVKILEKNKIVDLNNTDQIKREISTLKLLKHPNVVRLYEVLASKTKIYMVLEYVNGGELFDKISSKGKLTEAHGRKMFQQLIDGVSYCHNKGVFHRDLKLENVLVDAKGNIKITDFNLSALPQHCRADGLLHTTCGSPNYVAPEILANRGYDGAKSDIWSCGVILYVILTGYLPFDDRNLAVLYQKIFKADVQIPRWLSPGAQNIIKKILDPNPKTRVTMDMIKEDEWFKEGYNPANLEDEEEEEDVFIDDEAFSIHEVSLDGDQSPKSPALINAFQLIGMSSCLDLSGFFEQEDVSERKIRFTSNHSPKDLTEKIEDIVIEMGFKVHKKNGKLKVIQEDKAHKSLDSLSVAAEVFEVGPSLYVVELRKSYGDASVYRQLCKRLSNDLGIAEVAEVSSERHGLGIAEAAELVSSESLDI >RHN80687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40099187:40099468:1 gene:gene4644 transcript:rna4644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytidine deaminase MDKKLKTRSNDLIFSIREDRDRKFIAKAVEEAYKGVECGAITVQNDEIVVSSHNMVLRNKDPTAHAEVTVMREITSIFFFNISCSICVISNVL >RHN45726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25038731:25045511:-1 gene:gene40115 transcript:rna40115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dystrophin MSSHWGIIVSDPWLQNQFTQVELRSLKSQFMIMRRESGKLTIGDLSSKMSRLKVVGENLSEEERDSYINDLYQKSDEDVDFELFLKVYLKLQTFASSRTGSNNAKNTSAFLKVATTTLLHTISESEKASYVTHINNYLAEDEFLKKYLPLDPSTNDLFEIAKDGVLLCKLINVAVPTTIDERAINTKRLLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEGRRHLVLGLISQIIKIQLLANLNLKKTPQLLELVGWDSKDMEELMSLPPEKILLRWMNFHLKKTEYKKIVANFSSDVKDAEAYAHLLNVLASEYTNPSTLAVKNPFERAKLVLEHAEKMGCKRYLTARDIVEGSPNLNLAFVAHIFQIRNGLSALAKQSSLLDSLLDDTQDSREERVFRLWINSLGNSTYINNVFEDVRNGWVLLETLDKVSPGIVNWKIANKPPIKMPFKKVENCNQVVKIGKQLKFSLVNIAGNDIVQGYKKLILAYLWQLMRCNILQLLKNLRFHSHGKEITDSDILQWANTKVKSSGSQSHIYSFKDKSLSDGIFFLELLSSVQPRAVNWGLVTKGVTDEEKMMNATYIISIARKLGCSIFLLPEDITEVNQKMILTLTASIMYWFLKHPAVVEERTSSDCESQVETISNSTLDDSASDFSAEDNM >RHN74882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37461289:37463320:1 gene:gene11014 transcript:rna11014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-VIIa-2 family MYDLNIRITYIVAKICKPKELIHVLILKLAGLNSSSYVLLNSRTDDEFNCLKCFTLKEIKKTTKNFGRDSQTKINDLDQLRHPNLVKLIGYCLEVVHRPLLVYEFFTMGCLDNHLFRRASNFQPLSWKIRMKIALDAAKGLAFLHIDEVNMIHGEFKTSKILIDSNYNAKLSVFGVTKAELEPNSGHYLFRRINMPLEFQSYIAPEYMLAGSLTKKCDVYSFGVVLLEIMSGKPAHYVYKAYKERLSEWAKSFLNDINKISQVMDSDIEGQYSLLEAMKVARIVIQCVYGDHWNNVRIPMTHLSKGICNFRSSQKSEECNLNRQVVNEGVLLRIKVLIPTKTFLHKLFPT >RHN50318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6117392:6121653:-1 gene:gene34590 transcript:rna34590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MPHPELIPLESLIHKLTMIAFHNFGRVHGLYAKLERLRAGVEEIKAIFEDDQRKIDKDWIREIKDQVYAADDFFDEIATEILRLDGGKVRSLFSCINAFVFQLQIAREIDEIGKNLEYMIKWDQLAREPARGLAMVEHSYVRNEPVMIGREEEKQAIISLLMEPTDRLLMEPSEEKHSDDSLIGIVGMGGIGKTVLAMMVYKDAQVRDFFDIRMWVCVALNFDVKTIVNEILVSSSGSRSNDQESMETLQIELHKQLNGKKYLLVLDDVWNEDSKKWTELKTYLMSGAEGSKILVTTRSLKVGHAMGTKMLFRLRSLTEENSWSLFKKLVFGDDSNPMIHRLESVGRKIMAKCEGVPLAIMTVAHILDGKYTESEWINALEGSSWELGERELARSTNDISIMSSLAFSYQHLRPRQLKQCFAYCSIYPMGWEIEKNELIQLWMAQDYLNCLNGEQEMESVGIEFVDTLLKMSFFQDPKMDECGNLVSFKMHGLIHDLALEVASDDYYLGSQRNVMEPIHMCFSLESNAIDLLASIDASRLRTIFLQRTNDRGTGRMTRELSLILTFKSLRTLNLSRSSLKMLPDLVGKLKHLRYLDLSWCVKLTRLPKSIGNLVKLQTLKLTGCETLEFSTEVVTKFINLRHLEIDRCKAFENMMPAGLGKLSSLQSLSNFYVVDDRQKKKKSGKLNELQNLNSLSGNLEINRLDQVKDVMPESQHVNLKAKNFLISLELNWENQDKTEGSLQLLENLCPHEYLRRLQVRWYPGDKFSNWLSDIYCLSHISLFGFDNCKSLPPLEHLPFLKSLEISSMKVLEYIHHKELFHTEVTFFPSLERLKFSGCKNFKGWKRTEGQVSVDKHSQRPFVRLSQLIINKCPKLTDLPTFPNVEELQLCESMVKPLKETLDIASSSSSAPLSKLKSLKIEGKLPDICVLPSRWKQNLTSLEHLEIGDVDNLDIWFEDNFPSLKKVVIYGCDLKALPSKMCDMLSLQHIKMMGCHKLASLPKEMVNLTNLVTLEIWDCPLLVERCQSETGVDWPQISHVQNRIMKQNLRR >RHN81763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48726382:48728674:1 gene:gene5850 transcript:rna5850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-carotene 3-hydroxylase MAAELYTATTLKPYNLLQPSTSSPSPSPKTLFFTPLRSFPHSKILETQRTRKSTCFTVCVLTEDPKHTSQLKTEEEIVAQKLARKKSQRFTYLVAAVMSSFGVTSMAILAVYYRFSWQMEGSGEVPWSEMFGTFALSVGAAVGMEFWARWAHEILWHASLWHMHQSHHRPREGAFELNDVFAIINAVPAIALLSYGFFNKGLLPGLCFGAGLGITVFGIAYMFVHDGLVHKRFPVGPIANVPYFTRVAAAHQLHHSDKFKGVPYGLFLGPKEVEEVGGIEELEKEISRRTRSYTGS >RHN52764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38559414:38559895:-1 gene:gene37468 transcript:rna37468 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTLLMAATLFQGASVGPLIDFSIQVDPNIIFSSFVATSLAFGCFSGATLVAKRREYLYLGGLVSSGLSILIWFHLASAIFGGSMVLSSLSCILGSFILEACSDLV >RHN66176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9509611:9510243:-1 gene:gene14107 transcript:rna14107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MSTIVNYVCSMMIICLFQFTVATNFERKQISFSFFMKEYWPCVTDDDCPSDLCKKVDQIPKCVGGLCKCFPIRFGQWER >RHN73886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21166531:21170291:-1 gene:gene9774 transcript:rna9774 gene_biotype:protein_coding transcript_biotype:protein_coding MGETRAAVQLLREIGGKLVKHILILCVMINFIIDAHDLYSEVIVKRVLPDVVTLGNVQCIVVQLKEAIGF >RHN45572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23024679:23025674:-1 gene:gene39943 transcript:rna39943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDTHRIKRRRRHRPNASFSTVFLPDELIAEVLSFLNIKTIVQLKCLSKSWNALISDPTFIEKHLKKSSQKPHLTLYWNQRIKGFNLVPFPVHRLLKNPSITVYSDNFHHLKSKNNCMVVGSCNGFLCTHFHYLTRTPTAVHLKEWLRFLNLATRTRSKKLGVLCHSTPSGADMSIMLSYFKSTFGYDASTRTYKVVTIRRQKNKASWKNVVKVFSLGNNCSRNIESFIVISSIRLDIQYRCHLCALSDGVHLSGTVNWLAMYKLITHVEQFMIVELDLWTEKYKRFLLPSGFNEVPFLEPVLRVLMDCLCFSYDSNKTGFVFWHMKEYGVE >RHN82651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55450027:55453244:1 gene:gene6828 transcript:rna6828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSETPSQPPLTTTTETLPPYWCYHCDKRVSVETVANLPDLICGDCKNGFVESIPTPSRSRSPSSSSDDPNFGSQFLQVLRLIAQSSRDDDASPPPPPSRSPENDFLRIELGGWDHDDEDNEDDEFHNDGGDHEGVAEELEDRLGIEDPHGEDEDMRRRRRDLLRHRIRDLATRTRSMQNRILDWAEILMGLEDNSIEFRLQAPELDRYVGNPEDYVDAAEYEALLQTLAENDGNGRRGAPPASKSAVEALPTVKIAVGSEVVACAVCKEMLGVGEMAKRLPCGHEYHGDCIVPWLSTRNSCPICRFELPTDDKEYEDERASGSGRGGGGGASTV >RHN82113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51330776:51334995:-1 gene:gene6239 transcript:rna6239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MAPPNHDSEIQLPNSSNNNISQHQEECSNAHKVGRGRTVGLSVAKKKKKSATGKLHDVIPTDKMVAVGSGAANFVTEISIVVLKNAPFNVKKWRKIPQETENKIVSKVLDTFDIDNTKHNRDVIIDTAKRLYRNHRCRFRKHFSQYKTNEIALEHKPDDLSDEDWKYLVDYFSSPEFKEISYRNKSNKSKQVINHRCGRKSFQAVSYDARDPETKKEPNYKDLWRMTRTNSNGDG >RHN66122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8746531:8748707:-1 gene:gene14040 transcript:rna14040 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSFRLLYIPKSSQKHVHHHTKIMTILSAIFCCFKSEEEDKSTHVVVEAGSLSKKPKGEPQRSSAPIVVSYFPVNSQPSRL >RHN67939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31657085:31658529:-1 gene:gene16203 transcript:rna16203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MAEPESKPVLVKNSSTNSCRKSGRRVAFEVPSGHNHQSHSSGLDNNIDTSIDDFDKGHHNPCFLACCAWSCLAIFIFVIVFLFLGISYLAFLKAGMPKVNVRTFNMTKLQVDSSSQKMDAIINLGLRFSNKNEELKLLYGPLFVEVISNDVLLGRTKVKGFSQVPKNDTNLDMTMTTNDENVNVYATDDLKSDIKAYEMVFDVYVSGNIGVQIGSLHMVNVPFLSSCEQIKRMDVDYGRKPDCDIKMFSYRPSLN >RHN53298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:796594:797552:1 gene:gene28189 transcript:rna28189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I PsaD MAMATQASLFTPPLSSPKPWKQPSTLSFISLKPIKFTTKTTKISAADEKTEAASVTTKEEAPAAPVGFTPPELDPNTPSPIFGGSTGGLLRKAQVEEFYVITWESPKEQIFEMPTGGAAIMREGPNLLKLARKEQCLALGNRLRSKYKIKYQFYRVFPNGEVQYLHPKDGVYPEKVNPGRQGVGQNFRSIGKNVSPIEVKFTGKQPFDV >RHN71827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2849078:2849954:1 gene:gene7465 transcript:rna7465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MVGAVGFIPLDFVLPMILYNKTYKPPKSSLTYWINISIIVVFIGAGIVAAFSCIRKLILDANQFKLFDSEVVD >RHN63616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52715281:52716930:-1 gene:gene26340 transcript:rna26340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MTEPASIEEENSSPPLPEITLGIDIGRSPCCVSVWNGSRVEIWKESINEMIKRYFETFDHVFTDGASSSNVSLSQAHEDAVSNLKDLISALFNESANFPFLMHTLDIKIRPFVAAFVNKVCKSTTAVELLGNFLVNLKEMAETELKQPIRNVVFIIPVSFSRLQQTRIRIACEMAGLKVIRLMPEPTAVALLYAHQQLHASASSHEDMDNESKKIALIFNMDAGYCDVAIIATEKGKRQIKALAGSAIGGEDLLGNMMCHLFPDYENIFKRNVHRDTEITRMASLRSIVHNAITKLSSEASVKVYLTSLNRRDVTREEFEEVNKEVFENCEKLIIQCIQDAKIEVENINDVIIVGGCCNIPRVESLVTKICKGKKPYKLGVNPLDDVLRGATVAGAVNDPSGDLDLLTSQVTLLAIGIRADGNKFVPIIPRNTPVPTMNSDILLTTIHDNQTAALIVVYEGEGQKVEENQLLGYFKLTEIPKAPKGFPKIIVSMDIDDKSALRVTAFLEMPPISVEVRNYDAGRIGYCDESLIRKHGDRMDLVTLDLEE >RHN43622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47297385:47298914:-1 gene:gene50229 transcript:rna50229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MLHGFGYDVSSYDYTVVLGSYEYHPLVSSSIDLEIFSLRANEWEQIEFDSDLPYWNTERPEGAPRVGSFLNGSIHWLVYNYETEIDVIIAYDLKEKTMSEIALPDDFYSDFSPNLYDLLVLRGLISVWNMEMSTLKIWVMQEYAVHSSWTKTFDFSFDPAPDFSPVCFTNGGDIVGPVADGGLAKLDDKGGLLEYHSYGDCHFVRSQISVYTESLLSLPDGTEQA >RHN73786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19879513:19881788:1 gene:gene9648 transcript:rna9648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Coiled-coil domain-containing protein MREQSENKSKSAKPKTGTKEKKKSEFEFCKVCKINHDQGLRHKYFPKHKQSLSTFLSRFKNKLSDVRFFLKTPSPLTPQLASGNRFWCVFCDQDIDEHSSSFACENAIRHLASVKHVNNLKHFFWKYGGTVDQLDVFTVSHNDVAKESPGAVFGPSSDIHNQSNNENIDSFKNNIYSNSVNSNVVLPLHCYTNEYQVSSSGHSGVGNTGLLDIGKSSLPSEACSSANTLALQDFAAERRSHKLPYNSGQWSSDGYSCNKAVLDNGKVVSGESGIQMLTRISFVPAENGGGNVHSGAPPPWFETTEGVQMHPKPVLGDLVSHSNKSGKHKKLNPKRVGAAWAEKRKIEMEMEKRGETVRNECDASWLPNFGRVWQSGSRRESRKEFEKEKQELSNVEAQPEMPIKIQPYVSKRMVRFTF >RHN43688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47722821:47731695:1 gene:gene50303 transcript:rna50303 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIPNSIWRQDFGTWLVCNLKKSQYCDMKNWHILFVGLDLAFSQ >RHN61405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35418386:35420039:1 gene:gene23869 transcript:rna23869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylecgonone reductase MEAKKVPEVILNSGKKMPMIGFGTSENPSPPHEVLTSILVDAIEIGYRHFDTASVYNTEEPLGQAVSKALELGLVKNRDELFVTSKLWCTDAHHDLVLPSLKTTIKQAYFS >RHN41965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34377692:34379012:1 gene:gene48345 transcript:rna48345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MILDLLGDLGSVTGVDAARHRLAVCITILQKYKAGFLLPMEQYYWLFSGGFVLILNHASLD >RHN48069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44721419:44722904:-1 gene:gene42741 transcript:rna42741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative The fantastic four family protein MSSSLCQGLQSCLEPRLIEPRILTLKLAPPGSNISPSLDQEKPIIINNECEKNDTKSSWSFLQALTETNKIENANGNVYVHPTVKRSSSMLSEKSLEMCTESLGSETGSNAGESSDIDVSLFSSDTRNDVNNNNMNNCVSRRVNKASCNFPPPLTSITDFGGVHVRPHREGGRLILEAIASPSTTPYFHAERVDGRLRLSIFETVFDYDGDDEDYEGEEEEYEEEEVEEGESEKKHKVSVDDYEGDEEKEEEEKEEEGENEKKHEVYGDEVSEEECVEKVEDEMGVTKFGRPRRCKESGNRDFFGDGYFELPSLSLCL >RHN44638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7113167:7115850:1 gene:gene38781 transcript:rna38781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterile alpha motif/pointed domain-containing protein MSPETHLPPPEPPIISLPEIAPETTAAQENADIPAPPPKRQRRPSVRLGEIGEQHGTFRRHNRPPWSWRVSKESSRNSKPRAVSNLVNGVDGDDGDDFGNNPRNKAKRGSTKRLRSNHASKPIDENGSKRFKNFDHDHNPNGPTYSVDENDFMQMDRNDDNRLRVWENDVGGVESESRERRKNEGVWSWLFDLGLSRYAPVFEMHEVDDDLLPLLTLEDLKDMGISAVGSRRKMYNAIEKLRKGF >RHN63283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50048812:50057669:1 gene:gene25965 transcript:rna25965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MASLRIITFVSLMVLLMINTTEAQSTDIPSCATNLIPCADYLNSTKPPSSCCDPIKKTVETELTCLCNLFYAPGLLATFNINTTQALALSRNCGVTTDLTTCKHNGSAPAPTSGGSPPATTGGNKAAASRVSFTGFSFILLLASMMFN >RHN52741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38333571:38334395:-1 gene:gene37444 transcript:rna37444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK-LRK10L-1 family MSLYLYLGKLKDGREVAIKRFHEETEKTIQQFMKEIEILSNLHHQNLVSLYGCSSRHSNKYMLVYEYISNGTLTQHLHESSCNKLSWLTRLNIAIETANALVFLHDSGIIHRDIKGSNILLDENFAVKVADFGLSRFLPDYVTHVSTLPVGTRAYIDPDYYETGRVSEKSDVYSFGVILFELISSKPASLMQGTERVALAEFALSKILNKELQLLVDQSLQISFNKNVVEMITTVTELAFQCVQCPKELRPTMKQVLETLQGIRKGKWGFSQIT >RHN39551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8149721:8151160:1 gene:gene45582 transcript:rna45582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA5-type MVFFTMSNINAAKSLLHIRKQCYAVVAKSLRLQGTKTNMEVVKTNVKESISVANEERKIFWMRDPKTGNWIPENHFGEVDDDADLRNKFLSKISQKH >RHN55209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16136162:16137427:1 gene:gene30354 transcript:rna30354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAVVRGNGTDIVGREVMLRGMPPEVPAFLQHAFATPEDLEWLQKIIFVELPQIMLTYENVNEYFSKAAMVKKMLLIDNGKTVDGHAPYCRLQSILVYFVIFNLWMPSLLLCQFVMDNRFMGRFLDVEMIQKKLVNPTVVTRPYAVKKNRRLIVSNLHPKMTKKHLIEVFLPFGIFDEVSLLSRGDGVVQFVDEVNAAAAAETLSENLRIADKMMKVEFSNESGTETIYITFIMFCFRPINYGDFLTLQ >RHN69866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46963356:46970824:1 gene:gene18394 transcript:rna18394 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKESTSIPLSQADNVSCEDPEDPAKTPPTSPNSSTRRACCFVLQSWVSKKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYSSFGVEIFGLGFITSLAFVFVIGVFVSSWMGATVFWIGEWLIKQMPLVRHIYSASKQISAAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSTVTLQKENEDEELCSVFIPTNHLYIGDIILINSKDVIRPNLSIREGIEIIVSGGMTMPQVISPIERVAHPNERISLNRIG >RHN47714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41894757:41899930:-1 gene:gene42344 transcript:rna42344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNNIAGKPLNGDLEDDDEDEANDDGLEAWERAYTEDRSWESLQEDESGLLRPIDTTAIHHAQYRRRLRALASNAATARIQKGLIRYLYIVVDLSKAASERDFRPSRMAVIAKQVELFIREFFDQNPLSHVGLVTTKDGVANCLTDLGGSPESHIKALMGKLECSGDASLQNALELVHSNLNQIPSYGHREVLILYSALSTCDPGDLMETIQKCKKSKIRCSVIGLAAEMFICKHLCQETGGTYSVALDESHFKELILEHSPPPPAIAEYATANLIKMGFPQRAAEGSVAICTCHEEAKTGGGYTCPRCKVRVCELPTECRVCGLTLISSPHLARSYHHLFPIVPFAEISPSSQNDPNHSFPNTCFGCQQSLLSQGFGAGNKAELSVSCPKCKQQFCLDCDMYIHESLHNCPGCESFRHSKSVTIAQ >RHN78897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19712246:19712618:1 gene:gene2565 transcript:rna2565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (Iso)eugenol O-methyltransferase MNLKSRFLLIIVTGGYEEYVKFLRNCHNSLPKHGKVIVLDYIIPEVPNPSKISKHACAIDNLMFLIHGGKERTENEFQNLCMSSGFPNFILLAVISQLCLE >RHN52415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35108093:35108846:1 gene:gene37090 transcript:rna37090 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKYLFDFLQSTSFDATSNIQKDTLLLFFQSIIAESKYLLDIFMWNSSILI >RHN42339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37473627:37484752:1 gene:gene48770 transcript:rna48770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MPCHNPKRKINSIYTTIFSYKVTKTLCLRKNFQVLNAMAWCLNQRMLSSVIFLLSVSLCLLSNHSSIAEKLPRFEHHLKPQQQSLNFLVVGDWGRKGNYNQSLVAHQMGIVGEKLNIDFVISTGDNFYEDGLKGVDDPAFYESFANIYTAPSLQKVWYNVLGNHDYRGDVEAQLSPILRLKDSRWVCLRSFILDGGIVEFFFVDTTPFVEKYFTDPEEHTYDWNGVLPRESYRAKLLKDVNSSLVQSKAKWKIVVGHHTIKTAGHHGNTQELEEQLLPILKSNNIDAYINGHDHCLEHIIDKESGIPFFTSGGGSKAWRGDIRPWDPKELKLYHDGQGFMSVQITENNADIVFYDVFGKVLHRWNITKEMSAAA >RHN57877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42333798:42337501:-1 gene:gene33468 transcript:rna33468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MMMRISMLKLDHGELISLSKRITTLQSLLPFHAVTVTTGNSTNPFIAAKLISLYDTLNHPTSSSTLFHSLPFKDTFLWNSFLKTLFSRSLYPQFLSFYSLMRSENVLPNHFTFPMVASSYAHFMMIRSGMNLHALACKVGFFPENSAVGSSFVSLYSRCDEMNDAVKVFDEIPVRDVVAWTALVIGYVQNGESEMGLECISEMYRVGDDSQKPNARTLEGGFLACGNLGDLVSGRCLHGLVVKNGIGCLLDIQSSVLSMYCKCGVPREAYQSFSEVINKDLLSWTSMIRVYARFGMMSDCVRFFWEMLENQVCPDGMVIGCILSGFGNSVDVYGGKAFHGLIIRRHYAPDEMVDNSLLSMYCKFGMLSFAERLFQRSQGSIEYWNFMIVGYGRIGKNVKCIQLFREMQYLGIRSESVGIVSAIASCGQLGEINLGRSIHCNVIKGFVDETISVTNSLIEMYGKCDKMNVSWRIFNRSERDVILWNALISAHIHVKHYEEAISLFDIMIMEDQNPNTATLVVVLSACSHLAFLEKGERLHRYINEKGFKLNLPLGTALVDMYAKCGQLEKSREVFDSMMEKDVICWNAMISGYGMNGYAESAIEIFNLMEESNVKPNEITFLSLLSACAHAGLVEEGKNVFAKMQSYSVKPNLKHYTCMVDLLGRSCNLEEAEELVLSMPIPPDGGVWGALLSACKTHNQIEMGIRIGKNAIDSEPENDGYYIMVANMYSSIGRWDEAENVRRTMKDRCSMGKKAGWSMV >RHN41459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30174794:30175209:1 gene:gene47786 transcript:rna47786 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDSDSSTELSDSSEELSNDLVDNDVEGYDIEGETIEDDVEGSVSDVVTGCSFEEAFAVNWLEDISLINFKEVTKQDFLRYHCVDVGVAYTFYNWYASYNGFAVRKAKC >RHN50209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5142706:5147989:-1 gene:gene34473 transcript:rna34473 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MENKFPPESFYHYSSIERKPIPRMKNNLPRTRQRKASPPRSRPELVRIHDVFLSFRGEDTRSSFTSHLYSALQKDGIKIFLDDNELQRGDYISTSLSRSIEQSQVSIIVFSKNYANSRWCLDELEKIMKCRRTIGQVVVPVFYHVDPSEVRHQTGEFGIAFQSLLNKILNEEELVLRWREALREAAGLAGFTVLNSRNESEAIKDIVENVTHLLGKTDLFIADNPVGVESRVRDVIQLLDIQRSNGVILLGIWGMGGIGKTTLAKSIYNEIGRNFEGRSFLANIREVWEQNVGPIYLQKQILFDICNETKTRIQNVESGKSILKDRLFHKRVLLVLDDVNTFDQLNALCGRSIWLGPGSRIIITTRNMKILRGNRVDQVYKMKIMDESESIELFSWHAFKQAGPREGFAGISRNVVEYSGGLPLALEVLGSYLFDMGIEEWKCALEKLKRIPNDQVQKKLKISYDALSDDTEKEIFLDIACFFIGMDRNDVIHILNGSEFFAEIGVSVLVERSLVTVDDRNKLQMHDLLRDMGREIVREKSPKEPEERSRLWFNKDVIDVLSEKTGTKAVEGLALKLPTANGKCFSTKAFKEMKKLRLLQLASVQLEGDFEYLSRNLIWLSWNGFPLKCIPSSFYQENLVSIELVNSNVKVVWKEPQRLEKLKILNLSHSHFLSKTPDFSNLPNLEQLVLTDCPKLSEVSQSIGDLNKILLINLEDCISLQSLPRSIYNLKSLNTLILSGCLMIDKLEEDLEQMKSLTTLIANNTAITRVPFSVVKSKSIAYISLCGYEGFSHDVFPAIIWSWMSPTNNLPSPFQTSLVPLKAPNSGFHDLSSMSKYLPWLRCLWVECGSELQLSQDTERILDALYATNCEELESSSAFQGCSQVHISGTKTSLKSLFIQIGMNCQVTNILRDNILQNMDVNESGGYFLPSDSYPNWLTFNSEGSSVTFEVPLVEGRNLKTMMLVVYNSTPHNVTSSGLKNMLVKNYTKATIQLYKSDTLVSFEDEEGQRVVSSIEPGNKVEVVFVFEKSFIVKKTAIYLVYDAQDLNLIACRGDETECSVKRFSAEDEHADDFNQNRKKKNRVE >RHN70745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53694628:53695258:1 gene:gene19359 transcript:rna19359 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYDGVVIGKLKLKGKPMNVKDGGINKKKKKKHDNSYHYSSEFNSG >RHN72660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9505842:9510551:-1 gene:gene8405 transcript:rna8405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAEGGHQLADKTEFTECWRRTTESPYLMRLALSAGIGGLLFGYDTGVISGALLYIRDEFDQVDKKTWLQETIVSMAVAGAIVGAAFGGYMNDKMGRKKTILMADVVFVAGALVMAAAPAPWVIIIGRVLVGLGVGVASMTAPLYISEASPAKIRGALVCTNGLLITGGQFLSYLINLAFTKAPGTWRWMLGVAAIPAIVQFVLMLSLPESPRWLYRQSKEEEAKIILTKIYRPGEVADEMKAMHESIEAEKAEEGLIGHSLAQKLKGAWSNDVVRRGLYAGITVQVVQQFVGINTVMYYSPTIVQFAGIASNSTALALSLVTSGLNAVGTILSMILIDRFGRRKLMLISLIGICVSLVMLSVTFNIASHHAPAISKHDSLSFGGNSTCNAYATAPNFSSWNCMKCLHEDCAFCANTQSEFQPGACLAAGKNIRGMCRAQKRVWFSQGCPSRIGILAVVILGLYIIAYAPGIGTVPWVLNSEIYPLRFRGIGGGIAAVFNWCANLIVSESFLSMIKALGTTGTFLLFAGFSLIGLVAIYLLVPETKGLQFEEVEKLLQKGFRPFPFNKKKDDDKGKEKVQEMA >RHN42429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38298837:38299999:-1 gene:gene48869 transcript:rna48869 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCCIGQKSKGAFDLLKNEGQDRTTLVVQCLICKTVSGTGQTGGKGQHKNSYFCPSPNHNTTFCTTYKLSKIPK >RHN79805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32354474:32355158:-1 gene:gene3646 transcript:rna3646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative enhancer of polycomb protein MTSKPAIYDLDSDDEDWVFQKHILDDDFESIVDALKKTYHYNPDDCCDEIVSKKIVEVVHGYWMRKGKHNHSSLLSIFEVTFTLLHCLLYWNISMKLSPCSFNRDKFYW >RHN61916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39341699:39351062:1 gene:gene24429 transcript:rna24429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like, endoplasmic reticulum vesicle transporter MLSASKLKSVDFYRKIPRDLTEASLSGAGLSILAALAMMFLFGMELSNYFAVTTSTSVIVDKSSDGDFLRIDFNFSFPALSCEFASVDVSDVLGTNRLNITKTVRKFSIDSKLRPTGSEFHSGTIANAVKHDDEVDTDFVEGSLPLTSQHFDKYVQLFPITVVNFYAPWCSWCQRLKPSWEKAAKIMRERYDPEMDGRILLAKVDCTQEGDLCRRHHIQGYPSIRIFRKGSDVRSDHGHHEHESYYGDRDTESLVKTMETLVASLPTGSQHLALEDKSNGTKRPAPSTGGCRVEGYVRVKKVPGSLVVSARSDAHSFDASQMNMSHVINHLSFGKKVTPRAMIDVKHWIPYLGINHDRLNGRSFINTRDLEGNVTIEHYIQVVKTEVITRKGYKLIEEYEYTAHSSVAHSVNIPVARFHLELSPMQVLITENQKSFSHFITNVCAIIGGVFTVAGILDSILHNTIKAMKKIEIGKNF >RHN70473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51655920:51656310:1 gene:gene19053 transcript:rna19053 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLKEVLRSEVTLFILFEENDSLGCNIAGGRDSLVSTLVDGGLDLFKSFVVSNGSAFGGSGSDFLRNRKLHIVVIMAMVAAKLAANNEK >RHN63830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54306494:54322049:-1 gene:gene26584 transcript:rna26584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MAFLSKSALSVLDVIMNVIFFMDWVLGFFVAHEDSTTHAQMARNYLLRSYGIPDFVSLIPVQLFLWPSSNVVYLNMVYIIFIVVRLWRFRRVIVSISWLEAKNPKWFEWTPFIKFIWVILLNMHMWGCIYYLIASIDPDEGMSWTSGKKDFFKQTFKTKYVTSTYFAMTIYSTVGFGDYHACSVAEMITCMINMITNTGLSAQVLEQFIELVNERRRRKKKSLVLMINPRRRRRIMILVHTSVSKSVCAHLEAGIRNMHNSCFMNAIFQAFIHTPHLYHGLGNFQHRPCSHNGFCFMCAFQDLFIEALSPSRSIVIPSKLFENLKRFRSTFVKGHHEDPHEFMQSALYHLRKYFPNFNNLINQIFGVVFVNKLQCHCGCFADDKFGTEFELSLNITVPDRIENIQMALNNYFEKVRVKRYCSFCKKYNFSDKWLLLTEPPLVAIIHLKRFGNNEWKIAKPVSFSMELDLKPYSTGSDNVSWKYDLYAMVHHKGDVIAEGHFVCYVRSDTGQWYLMDDAVVIKADEAEVLSKEAYLLFYLRKDGSHDKPKKETNSKPKEKENYFGSRVSEFVCAHPIFTYTMPLYHGLPDLQHPRPCGHNGFFFLCAFQDLFINALHPSGSTVVSPLELFRKLQQFKRTFVTGDHEDPHEYMLSGLIQLQSYFPYFNNLIRQIFGAVIVSKVLSRSCRCSADDAEDISVEKLDLSLNINGPDNIDNIQKALVSYFEVKQEKVYCGICKEERTDEKQFVLNEAPLVSIVHLQRFDSHNRKIVTHVAFSMELDLQPYTNDADDVPWKYDLYAMVEHIGDSPDSGNWVFYIRSASGQWLLMNDTEVTQVDEEVVLRKEAWLLIYLRKEDTPSRENKPRENKPVEAHGSRGQMVRVPPIIKGLRRLFKKKNQGTKKEQQRKKA >RHN76327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49383590:49391750:-1 gene:gene12629 transcript:rna12629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-binding, CRM domain-containing protein MLFLNYSFSVIHISSSSNNNNNNNNNNLNHNKPNSLSIPNNDDDNVSIKFKSPTPPWIKAPLLLQPHQLLNSNVEPKQRDHSDKALTGKELRGKKALKKIAHKVESLHKTQTQMGSQKVENFGSLENLMENVEVVRKERMPWERDEKVDFLKVKKEKIVTAADLTLDKVLLQRLRSEAAIMRIWVKVKKAGVTQDVVNQIKRTWRTNELAMVKFDIPLCQNMDRAREIVEMKTGGLVVWSKKDALVVYRGCNYKLTSKGSTKIDTGYIPSRKTNSYEMNGVKLATIGDLYRAESDRSTSELPSWNADHKHSLSTDIHDMNYQPANGSLYERECDRLLDGLGPRFIDWWMHKPLPVDADLLPEVVPGFEPPLRICPPHASAKLTDGELTYFRRISHPLPTHFVLGRNRGLQGLAAAILKLWHKSHIAKIAIKYGVQNTDNETMANELKRLTGGVLLLRNKFYILLYRGKDFLPRRVADLVERRELELKSCQLDEEVARMKAIQAFSSIDEFPLPQGTSTSGTLTEFRNIQNKLDEMKEVNVDLSIPLEAEIYRLEKELKEQQRKAFILNKKIERSTMELSKLNAAWKPSGEDIDLEIMTDEERECFRKMGLKMRSCLVLGRRGVFDGVLEGLHQHWKHREVAKVITMQRLISRVIYTSQFLERESGGILVSVDQLKEGYAIIIYRGKNYSRPSEKIAKNLLTKRKALRRSLEMQRIGSLKFFAHQREKTISDLKLKLLQNRKEVETRQYEN >RHN61410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35434680:35434982:-1 gene:gene23874 transcript:rna23874 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHVDTAPTSTIGGLNNQEQSAPVNNDVVVPVNNVVYVVAVNNDVSANSFSFALHNVMDEIPQGRLPQAVSPVLELSEVAHDDVHSHRVEQTQHDSRTA >RHN69854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46811080:46814552:-1 gene:gene18378 transcript:rna18378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RIN4, pathogenic type III effector avirulence factor Avr cleavage MEQNKEKIAPWLSVPQFGEWDQKGPLPDYSMDFSKIREMRKQNKTNASRASLGNEEEFAAPTQKNVKADHSEPQHPHYHKTNSAVRRRSFMSYFNCCIKA >RHN58427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1618464:1625763:1 gene:gene20337 transcript:rna20337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannosyl-oligosaccharide 1,2-alpha-mannosidase MARGSRSVSSGSSKWRYCNPAYYLKRPKRLAMLFIVFVSVSFVVWDRQTLVREYQVEVAELQKEITDLHNLLEESNKVHGGTVRKLDLKGKTNKSTKEVLDDPIDIQRREKVKDAMLHAWSSYEKYAWGQDELQPQSKSGVNSFGGLGATLIDSLDTLYIMGLDEQFQKARDWVANSLDFNKDYEASVFETTIRVVGGLLSTYDLSGDKIFLDKARDIADRLLPAWNTPTGIPYNIINLSQGRAHNPGWTGGESILADSGTEQLEFIALSQRTGDPKYQQKVENVITVLNKTFPDDGLLPIYINPHSGTTGYSPITFGAMGDSFYEYLLKVWVQGNKTPAVKLYRDMWEKSMKGLLSLIRKSTPSSFTYICEKSGGSLTDKMDELACFAPGMIALGSSGYGPDDSQKFMSLAEELAWTCYNFYQSTPTKLAGENYFFHSGEDMSVGTSWNILRPETVESLFYLWRLTGNKTYQDWGWNIFQAFEKNSRIESGYVGLKDVNTGVKDNMMQSFFLAETLKYLYLLFSPSSVIPLDEWVFNTEAHPLRIVTRNEAEPVKNSNEKQKSFPRIGGRKEGRSG >RHN49849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1671199:1672043:1 gene:gene34073 transcript:rna34073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLVFLTWLLIFVGMVFLVIFHACVSEWFSRRGGSGAMIDRGRRRISKIDLENLPCYDYVVDHRTSTIDCAICLENFTIGDKCRLLPICKHSFHAQCVDQWLLKHPICPICRSMVGSHSGDKVVIGNNGR >RHN69638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45134659:45142600:1 gene:gene18132 transcript:rna18132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative starch synthase MESLELPRLFLHHPRASSAPTRPHPHSTTNSSRFLPQFGFPSKLKNATLRSISVSSQDGPISFEDETQQLRHQDQEDEGRLLSREIDDFGSLVSFRLTPHSASASASGKTAETQGNLRSDEVEKPEIGNAEDEKAQTIVTRNIVFVTSEAAPYSKTGGLADVCGSLPIALAGRGHRVMVISPRYIHGTAADSKFSGAVDLGSPINVFCFGGAQEVGFFHEYREGVDWVFVDHPSFHRPGNPYGDKHGTFKDNQFRFTLLSHAACEAPLVLPLGGFTYGEKCLFLVNDWHASLVSVLLASKYRPYGVYKDARSILVIHNIAHQGVEPAITYSNLGLPQEWYGALGWVFPTWARTHALDTGEAVNFLKGAIVTADRIVTVSKGYSWEITTTEGGFGLQEILRDRKSILSGITNGIDVTEWDPSSDEHIASSYSADDLSGKVKCKIALQKELGLPVRPDCPVIGFVGRLDYQKGIDLIRQAIPELMQDDVQFVMLGSGNPIYEDWMRATESLYKDKFRGWVGFNVPVSHRITAGCDILLMPSTFEPCGLNQLYAMRYGTIPVVHETGGLRDTVQNFNPFAGGSGAEGCNAEGTGWTFSPLTKESMLVALRYAIQTYNEHKSSWEGLMKRGMTRDYTWEKAAAQYEQIIEWAFMDPPYC >RHN39197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4871062:4873109:-1 gene:gene45201 transcript:rna45201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MCKLTLIPFELFFEYNILVDTITNIKKTYGVAKNWQGDPCGPVKYIWEGLNCSVDGYNPQESHLWQLTYPISKLTMLQYLDLSNNSLQGSLPDFLIQLQSLKVLDLSNNGLNGPLPDFLTQLPSLNVLNVRKNKLTGLVPSELLARSKTSSLSLRYALYSRNSHNIQEK >RHN69186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41616414:41618582:-1 gene:gene17621 transcript:rna17621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative crocetin glucosyltransferase MSCLVLLCKHPKLKSNNSIIVYHLEIRHRKRHHHHYINKHFSYVSIHASPVTHFLPTPFPSSSTIAFSQSTTTMAQNHHFLIITYPLQGHINPALQFTKRLISLGAKVTFATTIHLYSRLINKPTIPGLSFATFSDGYDDGQKSFGDEDIVSYMSEFTRRGSEFLTNIILSSKQENHPFTCLIYTLILSWAPKVAHELHLPSTLLWIQAATVFDIFYYYFHEHGDYITNKSKDETCLISLPGLSFSLKSRDLPSFLLASNTYTFALPSLKEQIQLLNEEINPRVLVNTVEEFELDALNKVDVGKIKMIPIGPLIPSAFLDGKDPTDNSFGGDVVRVDSKDDYIQWLDSKDEKSVVYVSFGTLAVLSKRQMEEIARALLDSGFSFLWVIRDKKLQQQKEEEVDDDELSCREELENNMNGKIVKWCSQVEVLSHRSLGCFMTHCGWNSTLESLGSGVPMVAFPQWTDQTTNAKLIEDVWKTGLRMEHDEEGMVKVEEIRKCLEVVMGKGEKGEELRRNAKKWKDLARAAVKEGGSSNRNLRSYLNDIACITQI >RHN52203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32650885:32651305:1 gene:gene36848 transcript:rna36848 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLSYPFTKMLSSLASLFPMISPRAESIAPETQGVCVGTKCVSQ >RHN49570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55728823:55732941:-1 gene:gene44415 transcript:rna44415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MASPLIAGIAVAAAAYAGKYGIQAWQAFKARPPALRKFYEGGFQPTMTKREAALILGVRQTTPTDKIKEAHRRVMVANHPDAGGSHYLASKINEAKDMMIGKTKGGGSAF >RHN70451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51498427:51502578:-1 gene:gene19030 transcript:rna19030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAAFLTRTSSRFSQLSSPQRIASLHTTLPSLSSTTPTPYAPPPPPSTTSPVGISKTAEYVISKVDNLMNWARTGSIWPMTFGLACCAVEMMHTGAARYDLDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVVSMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGLLQLQKKINRRKDFLHWWTQ >RHN39603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8608662:8610954:1 gene:gene45642 transcript:rna45642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoesterase metallo-dependent phosphatase MNLSKKFNFDTKREMSLNFMILVIMVSWFWSIPTTTCALAAKQEENHKLRFDKNGEFKILQVADMHYANGKNTLCLNVLPSQNASCTDLNTTAFIHRMILAEKPNLIVFTGDNIYGHDSSDSAKSMDAAFAPAIESNIPWVAVLGNHDQEGSLSREGVMKYIVGLKNSLSKVNPPEVHIIDGFGNNNLEIGGVQGTVFENKSVLNLYFLDSGDYSKVPTIPGYDWIKPSQQLWFERTSANLRNAYIKGPAPQKEAAPGLAYFHIPLPEYASLDSSNMTGVKMETYSGDGISSASVNSGFFTTLVGAGDVKAVFVGHDHLNDFCGKLMDIQLCYAGGFGYHAYGKAGWSRRARVVVASLEKTDKGSWGAVKSIKSWKRLDDQHLTGIDGEVLWSKSTPGSSGKKQIGGN >RHN80059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34698316:34698798:-1 gene:gene3935 transcript:rna3935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 3-dioxygenase MQDDVSGLQVLKDGKWITVEPLPGAFVVNIGHLLEVHLMIDLMKYISYPPMDYYVSLLFMYFIILLIFGGLQYLVVQIISKGKLKSAEHRAVTNSSHTRTSAAFFIAPLDDCLIEPAEDLTDENNQPILKSFKYKEFLKQFFNTLGDSYLLLKSFEAPKN >RHN80749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40591531:40595234:-1 gene:gene4711 transcript:rna4711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-Extensin family MEVNSSTTVPAGPPTISTKNHTFIHHYHHLHSEKDYHNHVGHFPFKTIIIIITMVALIMLLFTIFLVVCLIRRQKSSSKNGVCKDDCESRVLHDTSRRHIAPTILSFDSSPDVKGGCLYGGNLSRTPPTPKFRGVQVFTYRELEVATNGFNEANEIGNGGINGLMYKGVLSDGTLAAIKLLQSEGKQGERAFRIEVDLLSQLRSPYLVELLGYCADQHHRLLIFEYMPNGTLQHHLHSLNDKTQPLDWWSRMRIALDCARALEFLHEHAVSPVIHRDFKTYNVLLDQNFRAKVADFGLANMGSEKRNGQVSTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPVDIKRPTGEHVLVSWALPRLTNREKVVEMVDPVLHGQYSKKALVQVAAIAAMCIQPEADYRPLMTDVVQSLIPLVRAHSLSSSLRFQKQIPSY >RHN76605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51609022:51614467:-1 gene:gene12955 transcript:rna12955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MNIKHYIFCQIIFSFPLKPRHPCFLDHILFCMNLNLTTCVWDGKGFSDCFAVNVVTIAMILVLGIKQKISGRGTQRSDAQMSLLEKFVLYFVPAIGACCSVLEIMFLLKKEHDGHFVGYHRWLHSCSELLVWANIILFTKCASIHCIVFNRVLCFWWILNPILGIFHLITNFPSLEVSACIMESLVVLMNLSFGVVINVIRLKRLSSKSSLLEDPLLSNGGDLEEGGNHDLENNCNFWDFMTFKFISPVMNQGVLKQLDSDDLLPLLPDMGPSFCHDIILNSWRAQVSNNSLNPSLFSALCNAYGWPYLCLGLLKVINDGIGFAGPLLLNKLIKFLQQGSASWDGYLLALSLGLTSIIKSFLDTQYTFRLSKLKLKLRSSIMTLIYEKCLYVNLAERSKFTNGEIQTFMSVDADRTVNLCNSFHDMWSLPLQIGVALYLLYTQVKFAFVSGLAIAILLIPGCNT >RHN61136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33357144:33361081:1 gene:gene23569 transcript:rna23569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGSCVSTQGKHGGRKKSKDHHINTKHKINDQNHEPNTPTTRRSSVTGRRSSVTSRQLNVVTNPSPGNIFDKYELGKELGRGEFGVTHRCVELKTGEAFACKKIAKTKLRTEIDIQDVRREVQIMRHLPEHPNIVAFREAYEDRDAVYLVMELCEGGELFDRIVAKGHYTERAAANVAKTILEVCKVCHEHGVIHRDLKPENFLFADGSEAASLKAIDFGLSTFYVNGDRFNEIVGSPYYMAPEVLRRNYGPEIDIWSTGVILYILLCGVPPFWAETEEAIAQAIIRGNVDFTRDPWPKVSEEAKYLVKRMLDPNPFSRITVQEVLDHSWIQNREHGKNVSLGDQVRSRIKQFSLMNRFKKKVLRVVADNLPDEQIDGFRKMFDMMDKDKNGHLTFEELKDGFAEIGNVIPDPDLQMLMDAADFDGNGTLNCEEFITMSVHMRRLGNDEHLTEAFNFFDKNKSGYVEFDELKDALSDNGSTDDQVIRDILNDVDLDKDGRVSFEEFKAMMKTGGDWKMASRQYSKAFLNALSFRMFKDKSTGVATN >RHN81042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42850399:42851088:1 gene:gene5036 transcript:rna5036 gene_biotype:protein_coding transcript_biotype:protein_coding MADHGYNNFYTPLEYESYQQYQQHPYEDERISKMENTLNIFMQQSMINMQDTNQRLKNLSLQMEIMQEQIMDIQANIQSTHRKQENNSNTFVEVGKIVEEGVDDTEEDIILEECSTMKMVEELEPLHPEEFPQERPYTEEAETVENEEVMEVTEKEDRILIKEESMEGKGKKVNKLEIDRIIDEICALFNKPKLGRIWTPHQLYFKFMEFLPTRRITKDDVLSVSFWPP >RHN67879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31142400:31142852:-1 gene:gene16124 transcript:rna16124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MSSAGSHVNRRSNSNNENGRAISGSVGSGFKGQIRKCQCGEFLVMKTVTDMRNPNYGKKFWGCRNWRNRSDSGCNYFQFLSDDDNGDVDERDMKIARLKKKNGKLKHEVCFLKEELCNSRRWSKIAIMFGFLCFGFNIVLMTMIFSMYLK >RHN38611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:700515:701997:-1 gene:gene44568 transcript:rna44568 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQENLDHYYPCKPFDIIVPRHKMEADFQGVTNLFVYPCKSMML >RHN56187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28592364:28601010:1 gene:gene31548 transcript:rna31548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative apoptosis-antagonizing transcription factor, AATF leucine zipper-containing MGSRSAKKSKKMQKSDSDLDEYDDDMSFNEVNDDSEVEEEEEEDDEGSDEEEEDERQEESRWKDDEMEQLEKEYMDLHHQEQELNTLKNLKHHKDEDLLKGQAVKAQKALWYKILELRFLLQKPFSSSNRLPQESIKESFCESDETVRGAYEDLITSSKETLDSILELQEALIAKNPSVAQASNGTERSSKDLEISNDLDDNLDQEWAQISQMHNSMATFRDKSINKWQRMTQVTTGAAAIKGKLHAFNQDISHQVAAYMRDPSRMIKQMQLRRSAIKIFGSVAEVNDSSNEPETHADGDPELLDDSEFYQQLLKEFFETIDPSSSEKAFYAMKRMQTKKRKIVDRRASKSRKIRYNVHEKIVNFMAPRPANLPPMAPMLFDDLFGLKTQRSSAAAS >RHN76763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1081317:1081571:-1 gene:gene136 transcript:rna136 gene_biotype:protein_coding transcript_biotype:protein_coding MGHADIGSWVSGQWVMWRRKRSLFVWEEVFNELEATRGGVGQNLVGGSDGVDLVVRKMLRGSRLVLVLNIWFCFYMFNLVIWFS >RHN54717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11551926:11552195:1 gene:gene29795 transcript:rna29795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S13 MNMRAGKLSAAELDNIMTVVANPRQFKVPYWFLNRKKDYKDGKFSQVVSNQLDMKLRDDLERLKKIRNHRGLRHYWGLCVRGQHTTTTG >RHN70051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48332852:48334393:-1 gene:gene18591 transcript:rna18591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MSGLKVNFHKSLLVGVNVTDSWLNEAASMLGCKVGKVPFLYLGLSIGGDPRRLSFWEPVVARVKKRLLGWRSKFLSFGGRLVLLKSVLSSLPVYAFSFFRAPSGIISTLESLFINFFWGGSEDQRKISWVSWNNICASKINGGLGVRRMREFNVSLLGKWCWRLLVDKGSMWYRVLVARYGEEDGRLVVEGRSVSSWWKEVASIRDGLGDVGEGWFRDNVERKVGDGVDTIFWLDPWVGDVPLCVRFGRLLDLAVNKSISVADMFELGWDEGGEAWQWRRRLWAWEEELLGECRILLSDVSLQLNTTDQWVWRLDPSSGYSVSGVYQMLTSQPVQTFEVLADLIWHKQVPLKVSILAWRLLRNKLLTKDNLCVRGVLSHDNQLCVVGCGDFETAQHLFRSCPFYAALWGQIRSWLGIATAEPLCVSDHFYQFVYSAGASSTICSFLQLIWLCSVGVMWSERNSRVFKNKDHTVHQLVEKIKLQSFWWMKTTNFSIRNNFHMWWLSPFVCLGIC >RHN72088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4889701:4894406:-1 gene:gene7761 transcript:rna7761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Trihelix family MPDFHHDSLTPPSRTVPVREDCWSEDASSTLVDAWGRRYLELNRGNLRQKDWQDVADAVNALHGHTKKTHRTDVQCKNRIDTIKKKYKVEKARVTESNGVLHSNWPFFERLDVLIGPNFSSKKLSISSPGAVTPSPPVALPLIPHRKFANSGGYSSPAIAVHSTAVALPQKRNYSAVAAATVNVMDDGYFRRNYSAVAAAAAAAEADEDDDEDDEVEEVEEEDVEVEEEEGRRSEVDEGDKGREGMKRLAKAIESFGEMYERVEEQKLRQMVDLEKQRMQFAKDLEVQRMQMFMDTQVQLERIKRGKPSGSNDMYNS >RHN53060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41618043:41618296:-1 gene:gene37810 transcript:rna37810 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNLAWLFGFGFCFVTALMPSESSICTLSIYLNKSSFMDGECLMIL >RHN78322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14140716:14141746:-1 gene:gene1864 transcript:rna1864 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Dilute domain-containing protein MFSSLILQKECCTFNNGEYVKSGLAELELWCTEVTEEYIGSSLDELNYSKQAVRFLVAQEKDELSYDDLTNDICPVTSRLKLLMTDDSGDDEKSYMLEDNSR >RHN71456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:294539:301021:1 gene:gene7060 transcript:rna7060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane attack complex component/perforin (MACPF) domain-containing protein MAPKEDEAHKVAEIAIGSIGRGYDISSDIRLKFCKGDSIHSRLIEIDEDNDLREVVLPGGVSLPNVSKLIKCDKGERTRFRSDVLSFQQMTEQFNQELSLTGKIPSGLFNSMFEFSGSWQKDAAHTKTLAFDGVLITLYTVALEKSQMLLCDHVKKAVPSSWDPPALARFIDTFGTHVIVGMKMGGKDVIYLKQQHSSTLQPADVQKKLKEMADKRFLDANGQYSSASDQVFPNEKFGIRMQRLTFANISPSSSYSHKEDIVSFCKRRGGSDDKNLSHNQWLQTVQLEPDVISMSFIPITSLLNGVPGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSDLPLGPQWKQRSSASLQFSFMGPRLYVNTIPVDVGKRPVTGLRLYLEGKKSNRLAIHMQHLSSLPKIFQLEDDSNENFRRKSYDKRFYEKVQWKNFSHVCTAPVESEEELSVVTGAQLQVENYGFKNILFLRLKFSTVLGAKEVKHPEWDGSPGLGAKSGLISTLISQHFTGAFQKPPPRPADVNINSAVYPGGPPVPVQAPKLLKFVDTTEMTRGPQETPGYWVVTGARLLVEKGKISLRVKYSLLTMILPDDDDDDDMLDDQ >RHN72309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6660486:6661238:1 gene:gene8007 transcript:rna8007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MDRISKLASQKAVVIFSKSSCGMSHAIKRLFYEQGVGPAIYELDEDKRGREMEWALIRLGCNPSVPAVFIGGKFVGSANIIMTLHLNGSLKKMLREAGALWL >RHN51194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14964892:14968688:1 gene:gene35584 transcript:rna35584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyadenylate binding protein MAAIVSSPKIVVPAALTSAGRFENSSLYVGDLQGNVNDAQLYDLFSQIAPVISVRVCRDQMTQSSLGYGYVNYSNARDAANAMKSLNYVTLNGKPIRIMFSHRDPLIRKTGFANLFIKNLETSIDNKALHETFSVFGNVLSCKVAMDSNGHSKGHGFVQFDNDQSAKNAIEKLDGMLMNDKKVYVGYFVRRQERSSPKFTNVYVKNLSESYTDEDLKQLFNPFGVITSAVIMKHENGNSKCFGFVNFQSSDSAATAVEKLNGSTTNDGKVLFVGRAQKKSEREAELKAFFEQEKLKRYEKLQGANLYLKNIDKSLNEEKLKELFSEFGTITSCKVMSDARGRSKGVGFVAFTTPEEASKAINEMNGKMIGQKPVYVSVAQRKEERKAQLQAHFSAIQVSGGNAGYHSSVQRLAPQQFYYEQGTFGLMAPQPNGYSFQPQFMSGVGTGFVTPNYLLPYHLQRQGHPGNTMGGRPAGNFQQVRQKKNQMLPRNPNQGLKYNDQNGVGMSLDPGSQMMDPSASAATSTGNHHHGPLSNNSLASALASASQENQHRMLEEHLHPLVGRLAPTIQTAKVTRMLLEMDQSEVIHLIESPEELKMKVAEAMRFLRDASQGPAVGDKLIIT >RHN50066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3786319:3786643:-1 gene:gene34316 transcript:rna34316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSSSKQQQQFRCTQIPSKVLHFRNLPWECSDEELADLCSPFGKVMSTKCNVGVNQNQAFVQFVVVEF >RHN78476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15454192:15456868:1 gene:gene2046 transcript:rna2046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-induced protein IAA4 MEFKATELRLGLPGTDEKDMKTIHGSVVKNNKRQLPQTSEESVSISKVSNDDQHVESSSAAPPAKAKIVGWPPIRSYRKNTLQEAEVGGIYVKVSMDGAPYLRKIDLRIYGGYPELLKALETMFKLTIGEYSEREGYKGSEYAPTYEDKDGDWMLVGDVPWDMFVTSCKRLRIMKGTEARGLGCGV >RHN82068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51004780:51006494:1 gene:gene6186 transcript:rna6186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WPP domain, leucine-rich repeat domain, L domain-containing protein MCRLIDMELNSQNRPFSIKLWPPSQNTRQTLVEKMTNNLTTKSIFTQKYETLHPKQAEENAKRIEDKAFATANLHYEKEPDGDGGSAVQLYAKECSKLLLELLKMGPSKNSVKEAVISDAAAVPRESVFDISKGQRAFIEAEEAQELLSPLKEPGNSFTKICFSNRSFGLGAAEVAKPIFISLKDQLKEVDLSDFIAGRPEAEALDVMRIFSATLEGSVLKYLNLSDNALGEKGVRAFGTLLKSQSCLEELYLMNDGISEEAAQAVSELIPSTEKLKVLHFHNNMTGDEGARAIAEVVKRSSCLEDFRCSSTRIGDEGGVALSDALGDCTHLRKLDLRDNMLGVEGGVSLSKALAKNAELREIYLSFLNLEDEGAIAIANALKASAPRLEVLEMSGNNITVDAAPAIANCLAAKQFLAKLNLSENELKDEGAIQISKALEGLSQLKEIDLSCNRITRDGAQQLALTVLQKVDFERLDIDGNFISDEGIKELMVIFEKSPDKLGPLDENDPDGKDGDEESDEEGGVEDALESKMKNLVVD >RHN59882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14363521:14364214:-1 gene:gene22048 transcript:rna22048 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNCSLLCMVLFLCTMSFSTKTTRNIVGASKMYAISDEMGKNRKLLASDQRCRRERITVELNQASIVLNNTALFNRTDVEQPLKLNQTHEGKPENDPRCHNYL >RHN72736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10274085:10274429:-1 gene:gene8488 transcript:rna8488 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKCVSKSWKTLNSNSFFINLHLQRSIRKPQLALVYYTDKPYTESVLPTSLSCLLESSSITLTEDPYYQLKDKNCHVVVGSCNGLLCLLGHSCKLKQRWLRFWNPATRTISNN >RHN70509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51892493:51896164:-1 gene:gene19093 transcript:rna19093 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVVSPAHVSKYSKPLHCCSSRKVKCELSPSPSWKEGRRMVSISLVLSHFLLFPNRATAEGSIMDKYVKRKKLEPLETYVPAVILTQFQIKDLEKTLEGNDPQFSLCRSLLRSGPAASLRVNIRAVAQYASDSGNGKTALNNVDDCLRSLEDLDSLLLRASRNDPDASVKSMMAKVSSALIALDSLLQTVPSDVLSKGRVIADSYLDREDVETENLDPGLKQLESIL >RHN82589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54990740:54991051:1 gene:gene6764 transcript:rna6764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MECARSLFDFFIGRGFTPDVVTYTIMIKSYCRMNCLQEAHDLFQDMKSRGIKPDEITYTVLLDGKLKQAKLKRHFSSRHTKGKDAPYDVSTIWRNMKKMVKLK >RHN82711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55834871:55838421:-1 gene:gene6893 transcript:rna6893 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFNMASSSTKMTLKLPVDTKQNKVLFAEASKAAVDSLLNMFHLSFGTVLRLISSNNVNMHLAGSLGNLHHTSSTLQNLNQNYVLSNFRTPNANDHNLGISLHKCPNGCPYDVTSCDNELLWCCRCLQPMNRKETSGVTMKDQNVIFMLMDDLVIQPILAISVITLINKFNIKQVGASQEIVVEFGMNECFHQEQE >RHN45881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26516698:26519302:1 gene:gene40305 transcript:rna40305 gene_biotype:protein_coding transcript_biotype:protein_coding MASKYSQVLLLLLGMMVLTTVVSYGHNKFGYAPMLDLEEDWPDQFFPPLTIIEGRKSHSFGYPPILDPEED >RHN71302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58047161:58050162:-1 gene:gene19965 transcript:rna19965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-1-phosphate adenylyltransferase MASGCLTIKPNTHFSISKKGSFFGERIKGSFHNSSWVTDQLNERFTNQKKIKHVAVSAILTSDDPKGSLNLQVPSFMRLRADPKNVISIVLGGGPGTHLYPLTKRAATPAVPVGGCYRLIDIPMSNCINSGINKIFVLTQFNSASLNRHIARTYFGNGINFGDGFVEVLAATQTPGETGNKWFQGTADAVRQFTWIFEDAKNINVENVLILAGDHLYRMDYMDLVQSHVDRNADITISCAAVGDSRASDYGLVKVDERGNIIQFSEKPKGADLKAMQVDTSRLGLSPQDALNSPYIASMGVYVFKKDVLLKLLKWKYPTSNDFGSEIIPSAIREHNVQVRGIPIIIYAYICIYPFQKEL >RHN46484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32148795:32152256:1 gene:gene40975 transcript:rna40975 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNFLHRVISYFVNEVVVNGLANSPAFQRFAVRTSKNMEEISKKAIQTQKELAEQLKDLPKKMESFKNQQ >RHN74447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33449553:33451343:-1 gene:gene10521 transcript:rna10521 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFGIMKRDARDFHHVYVRRRRVVRDLDLNIPFGSESSMLETDVSLEIGDDGFCHNFISFYITVLRFPNITASFSYSPSNLSSTVIPRQKRSTLNHQPLRNLRLPNPQPLQVCDCFHMINASITNNHHSHPFAALSMGTIAQTTSPTSTDRHQSPAAAFAAGNKWHCPTYLKIDDDELSMPIKYLKKLKSKKGITSAAPEIGTNSNVQAKLAVIDNQTYYIMSLMPLSGLNGELRRHPSAYCLSMGIMVKLMVIKTKFSDYFCKVSAL >RHN82013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50563848:50567174:-1 gene:gene6129 transcript:rna6129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oberon, PHD finger domain-containing protein MEPEPANSVASNGGSNSSNLVAPVAPEQSGEGLPYAPENFPNPGDIWRWKSGKRISNNGNYRDRYLYVPHRLVANRSSIIFKSKLSVERYVKEKFPDVNITEFFDSFTWSIPSGLPGNMNPRGNTNPLGDDSLLRQFELEEEAESDLLCDIDGCKAGNSTCSSLILEKEHSPIMPCDLCCAAPNFCRECCCILCYKTLDSAYGGYSYIICKVTQGNTICGHAAHLECARRSYMAGTVEEPIGLDAEYLCRRCDGITELISHANELLLTCEAIDSNNDVKEKILHLGLCLLCDSQKATAKELMSRFALALSKLKDGTNTEDILKAYTNLTAAHSPGSNNGNAAMDTSDDESPLNVKKGTKSFRYHSEVLKLDAEFDQTMEAFKKSQKYELKVAEETLREQLKHLNNLSKQLEKEKSELANQPNASHSSVLFQTVKKGEEQLRQEVMKLEEMKKIAKGCGRTSIDVLKLFDF >RHN52014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30351678:30361625:-1 gene:gene36624 transcript:rna36624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQILMFVSVLIIFLSLFLADTKQTNIPCENKRDCPQPLYPKFVTCFEGLCRMHYPLKKI >RHN82106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51286125:51289913:1 gene:gene6231 transcript:rna6231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MLLVASYCLHPSMASNIKVLVFFLTISIIFLNFAINSHAQDPNFLYQVCSKNNFTSNSTYQTNLKTLFSSLSSKAKTDQNIQFFNNTVAGKNHSETVYGLYMCRVDIPSNLCTSCVGNATQRLSTDKECTVSVAAVMWYDECMVRYSNKSFFSTVATGPGYVLPSPTNMTNQGSFNRLLYDTLNKTSDEASSSSKNFATREAKINIFQNLYCLAQCTQDIEERECRSCLYGLINSDLPRCCAGTQGGRVLYPNCVVRFEIYPFYRSLTLPPTPAPAGLVPSPNSAGKSRIAIIVAIPIVVASTIVFAVCFYYRRRKARTSRSNILLQENFGRESTTLEGLQFDLATIATATNNFSHENKIGKGGFGEVYKGTLRDGRDIAVKRLSTSSTQGSIEFKNEILLIAKLQHRNLVALIGFCLEEQEKILIYEYVPNGSLDHFLFGAQQQKLSWSERYKIIGGTALGVLYLHDYSRLKVIHRDLKPSNILLDEHMNPKISDFGMARIVDIGQDRAKTTRIVGTYVQDQRLLKLFQ >RHN81602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47500771:47503967:-1 gene:gene5672 transcript:rna5672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MMEYHILLLFTTLSLIIIRFFLNRQKNKPPGPTGLPIIGNLHQLGSKPHCTLSSLAKTYGPIMSLRFGSVTVTVASSPKTAQEILQKNDQSFANRPIPESVAAQPNVNDTLAWSHADSRWRNRRRICTTQIFTTHRLDLLQHLRHRKVEQLVQHLHKKAAEKTTVDIGELAFATMLNLVSNTVFSEDLVDSEFESAGEFKELVWRIMEDAGKVNVSDYFPVVKMFDLQGVKRHVKVSYVRLHEIFDRMIQKRVEDRNSNSHDGGVVAGDFLDVLLDHCQQEDDSVFSVESIKPLILDLFIAGSDTSGSTTEWAMAELLRNPNIMKKARNEVIQLIPKSNQVKESDIPSLPYIQAIVKETLRLHPPVPLLLPYIAANNVEINGYTIHKGNQVLINAWSIGRNPEFWEDPLLFQPERFLNSNVDFKGRDFEYLPFGAGRRICPGIPLANRMIILMLAVLLHSFEWELPKGVSPETLDMSEQYGITLKKLIPLSAVPISVVV >RHN69641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45171481:45176034:1 gene:gene18136 transcript:rna18136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TAP42-like protein MGEMKMEDMPLPALFEQARKIHATATESGADQELVKKGCEALNKCENMVNRLGLFSANETKEDISTTDLKYILVPYYLAELTEKIAHDDRIPILKASQAKLKEFISFCEAMELVPKEELESYMQGVPKAVADQRAKKIARFKRQKAAESKLLEINERKERRGRSTKAAALSTPVEAGEEEQLDDDGEEEREAWNTSISLAICKAFDLLEMIKKEEEMLSAVKDRQSKEDGNQEFSKDIMDERAKKAEAWHRNAAVRAQYTKPSPPITCATFAQDVLEGRAQASQAHEHKHQPLIFGPQSLVNGSFTNERARMAAQVFQPSHRMPTMSIEEAGLKEMEIMNTWQENTARFIEEANSSWHNDRKFKPGEEEEDEDDDAAQDRARALDDWKDDNPRGAGNSKLTPCG >RHN39735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10093935:10099209:-1 gene:gene45796 transcript:rna45796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cAMP-dependent protein kinase regulatory subunit MTTNEKKFVRFEDWKSESSSFNFSQYDSSIDGFEKRKSKPSVTPEKNNESLEKPASRCSVLDPQGPLLQKWNKIFVITCVMAVSMDPLFFYIPVIDDQRKCLNLNGTLKITASVLRTFFDLFYIIRIVFQFRTGFIAPSSRIFGRGEPVDDPFAIAMRYLSSHFIIDILSILPLPQMVILAMIPIPQGSVPYITKDWLKYTIITQYAPRLMRIYTLFNEVTSTSGILTETAWAGAAYNLFLYMLASHVVGAFWYLFSIESELRCWRRRLKNTPFFDDSYLSCGRVNSTLFSILNNSATCPYKDPDDIIDPTVFNFGIFMDALTSRVVELNTNFHHKFFYCFWWGLRNLSSVGQNLKTSTYIGEIIFAIFIAVFGLVLFALLIGNMQKYLQSTTVRVEEMRIKRRDAEQWMCHRMLPDHMKQRIRRYEQYKWQENRGVEEEKLICNLPKDLRRDIRRHLCLDLLKKVPIFSNMDKQLLDAMCDKLKPVLYTEKSFVVREGDPVDEMLFIMRGKLKTATTNGGRTGFFNSTELKAGDFCGEELLTWALDPTTSSNLPISTSTVETITEVEAFALMHDDLKIVASQFRRLINRKQLQHTFRQGLICFFTFDNASFHFCGKNLYYKKQGPLQKFYSLQWRTWGARFIQVVWRRYREKRAQKLLRESEKRQENASENEEESSSPSIGSTIYVQRFASNALKHLRSGKRVTQTKKFLPLLPRKPAEPDFITGKN >RHN49852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1687429:1689887:-1 gene:gene34076 transcript:rna34076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc/iron permease MIKFVTSKFMTISIIILLQQNLVFSKCSCENQVEDSYHKVSEALKYKLIAMATVFVSSLIGVCIPIFAKKCSYLNPENDFYFLVKAFAAGVILATGFIHILPDAFEALTSPCISEKPWKLFPFSGFVTMVAAIGTLIMEALIMGYHKRSEMKKAQPLDENDETHHSDNGSSHVHNFSIASDRLDSTNRLRYTIVSQILELGIVLHSVILGISLGVSRSPKTIKPLVAVLTFHQCFEGIGLGGCISQAQFKYYKVTIMILFFCLIFPIGIGIGMGISNIYNESSPKSLIVEGFLLSASAGVLINMALVDLVATDFMNSKMLTNFRLQLGASLALFVGMICMSILALGEDS >RHN73049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13016533:13018179:-1 gene:gene8826 transcript:rna8826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MGIPLNIVKIVLRCIVVFALCRTSTTTDEKPAIFIFGDSLLDNGNNNYIVTLARANFQPYGIDFGGPTGRFTNGRTTADIQFVNEFYNVSPDQELGIGLTPPYMATTTGEPMVLKGVNYASGGGGILNKTGFLFGGRINFDAQIDNFANTREQIIRTIGVPATLELLKNALFTVALGSNDFLDNYLARTKQERELLPPDKFVETMISKLRVQLTRLFNLGARKIVVPNVGPMGCMPYMRDINRLSGDECAEFPNQLAQLFNTQLKSLIEELRTNLVGSLILYADAYDITQDMIKNYKKYGFENPSSACCHQAGRYGGLVTCTGVSKVCEDRSKYIFWDTFHPSDAANVFIAKRMLHGDSNDISPMNIGQLLQA >RHN65715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4684027:4686560:-1 gene:gene13588 transcript:rna13588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MLCTLKSLPKMASFFLSSLVLKFIAALILLPQGLAAYNLTPTYKSPNSKLPPSFSFEEEVPPSKKRIAPFRNFEKELRRFFSFEDELRNFEEQLRRSPIYNPWEKRPAPPYQKLLFMYGDDCHDSREQSLTTTYKSNLNKFLSSLTFDGIVSKGYNHTSIGDNTVDAVYGLYDCRGDVTGSFCQFCVSTAASYVLQYCPNRASAVIWYEFCILRYKDCSIFPY >RHN70672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53113331:53118107:1 gene:gene19281 transcript:rna19281 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Agenet-like domain-containing protein MRLVKGSKVEILVNTQGHGVEWHCARIISGNGHSYNVEYDNPSVTAKPLSNRVPRKFIRPCPPAIENIGSWECNDTVEVWDAGCWKEATVLTDMTEEFYLVRVHGSCMELKVHKILTRICQSWQNGQWIISPKGPAKSRVVKFSQNLIPNNYKTMPEVQQAKNVCSPGLDASCLHLYSPSTLKSVSSYVGDYPRKRSAVVIMGESKRFKAVSAAPLLEKVDAIAYPQNTMGEKYMHYSFTNETRKEKPCNIKTHFPETIQEPDFSSNMSSVGSCSVISGSANEFFGDTLAGPCQDDVSLRSDAESLDVKDVGGFYGDMLAGPCRSDDEDTSCSDDAESIDAEDVDEGCTFFPKQGQCRRLACLASNG >RHN66829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19694456:19694809:1 gene:gene14928 transcript:rna14928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MSGRNYVPLFVSVMVLCMVMTTLHASQIDDISCSEAISSLLPCLPFLEGSLPATPSSDCCTGATNLFNKANTIPARKSVCQCLQNASPKLWIHSERAKQLPKLCHINLFFPIDKCNS >RHN55096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15153689:15157214:1 gene:gene30224 transcript:rna30224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIb family MPFGLVSAWNKRRRSKSQDHTDPWIYKPAQLWQLDDKTPRPTKKLHGSSVYTLREMEEATCSFSEENLLGKGGFGKVYRGTLRSGEVVAIKKMELPAIKEAEGEREFRVEVDILSRLSHPNLVSLIGYCADGKHRFLVYEYMVNGNLQDHLNGIGERNMDWPRRLQVALGAAKGLAYLHSSSDVGIPIVHRDFKSTNILIDANFEAKISDFGLAKLMPEGQETHVTARVLGTFGYFDPEYTSTGKLTLQSDVYAFGVVLLELLTGRRAVDLNQGPNDQNLVLQVRHILNDRKKLCKVIDPEMARSSYTIQSIVMFANLASRCVRTESNERPSMADCVKEIQMIIYTNSKGLGMVMHSLRLI >RHN75122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39543361:39547341:1 gene:gene11281 transcript:rna11281 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-disulfide reductase MATVQLESFSLIRSPIVASSRRSTATFPPYTGLKLRPVSATRLRSQSTGRVFPRGGTVVCEARDTTAVEVASITDGNWQSLVIESETPVLVEFWAPWCGPCRMIHPIIDELAKEYAGKLKCYKLNTDESPSVATRYGIRSIPTVIFFKNGEKKDTVIGAVPKATLTTNIEKFL >RHN70695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53264322:53271708:1 gene:gene19306 transcript:rna19306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L4/L1e MASFISKRLLRSYQSLHSLGRRCFNSPSAAGLYGERLISAQRILTGSITQRFSTSTDEAAFPSDLLSKKPLATSERDIGLCQDLLIPVTNFHNEDKGFMVLAGDVFDMPIRKDIVHRVVLWQRAKRQQGTHSTKTISEVSGTGRKPWNQKGTGRARHGSLRGPQFRGGEAKHGPKPRSHAFKLNKKVRRLGLKIALSARAAEGKLLVFEDLEVPTHKTKNFLNFFNQLEDTKKLLIVDDGPVNEKLKLATQNLHYVNLLPSIGLNVYSILLHDTLVMSKDAVNRIVERMHTPISNKSTPQ >RHN60026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16961534:16968178:-1 gene:gene22217 transcript:rna22217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding protein MAKKKKSWFNIVKRFFVWDSHSTQEKKEKRRKWIFGRLKTKKLPSITAPPTISKETEEEKTKHSDSEDAVSATEVVSESIYQKQDNSEESQPIKIRREIKEFSAIKIQTAFRGYLAKKALRALKGIVKLQAIIRGRAVRRQAMNTLKSLQSIVSIQSKICARRLQMVEGKWDYFEDEEMHSSRDKIIRMDSNSERKWDDSTLLKEEVDASCMIKKEGIIKRERIKEYTFNHRRSAESERSKVNGRWRYWLEQWVDTQLSKSKELEDLDSVYSSHSKIGDEFGGRQLKLRSTNRQNPNPIEGLESPILFARNSFPHRRKCSIGEDQSFSSSPATPAYMAATESAKAKARSTSSPKARSWNYDMNSDSYLSPCKKKLSIVSSVNSEVLNRGKLSGCNQQRSPSFKGISVPIKSSRTIKDLSINSDSSLPNWDRQSSFK >RHN52886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39954002:39955103:-1 gene:gene37618 transcript:rna37618 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTSKVIIGVTLVMVVTLAFVIALILVLLFELYCSLLLRRHKLKNQNNNTQTLNNSNSTTTLTNVSSPSHATQNSLSPPPQNFSNIYSQGVLQAPRNILFPCMENISKEQSNKLHQVIHVQALESLVSSPTLSMSPFTSRAPPPQKKTTHQVNIDEISSSLEEKNHHQLVYISNPIYENEEGKESGVNTPFETPNSSPSHLAKSDSSSDEDGDNNVVAAEIEVCVNSPCYTPPLTPMKKLDAEACTVSLREARSLGTNGSDSIISRSVNGLSSSSSGSPSTSSW >RHN46240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29874690:29875019:-1 gene:gene40699 transcript:rna40699 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFCFGRKKKQNKYLSNNVSQSYKGPQDSKQTKMNRRKSFYLVSCCEGFSLCGDLGEAGGDHGGDGGGHGGDGGGAGWGDFGGGS >RHN71225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57373533:57378502:1 gene:gene19879 transcript:rna19879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase-1/2 MDPYKHRPSSAYNSPFWTTNSGAPVWNNNSSLTVGSRGPILLEDYHLVEKLAQFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFVHDGMNFPDMVHALKPNPKSHIQENWRILDFFSHFPESLHMFSFLFDDVGVPQDYRHMDGFGVNTYSLINKAGKAVYVKFHWKPTCGVKCLLEEEAIKVGGANHSHATQDLYDSIAAGNYPEWKLFVQTIDPAHEDKFDFDPLDVTKTWPEDIIPLQPVGRLVLNKNIDNFFAENEQLAFCPAIIVPGVYYSDDKMLQTRIFSYADSQRHRLGPNYLQLPANAPKCAHHNNHHEGFMNFIHRDEEVNYFPSRHDPVRHAEKVPIPTANFSACREKCNIPKQNNFKQPGERYRSWAPDRQDRFLRRWVDALSDPRVTHEIRSIWISYWSQADRSLGQKIASHLNMRPSI >RHN40844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:22965985:22967546:-1 gene:gene47077 transcript:rna47077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MFLLSYLSCVTAGIVFIVVEKRLIEEVHKNDILIVVGETGSGKTTQIPQFLFHAGFCHDGKVVGITQPRRVAAITVAKRVAEECGCELGQKVGYSVRFDDSTSNSTRIKYMTDGLLLREALLDPYLSKYSVIIVDEAHERTVHTDVLMGLLKNVQLARSNSIKDGRNLNNENKNTNGFMLLDKDNGQNGSSLRKDHHKKSSPLKLIIMSASLDARTFSEYFGGAKAVHIQGRQFPVDVFYTRHPETDYVDAALITIFQVIGLISACKNLITWFNLFYCKTP >RHN62333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42685794:42692229:-1 gene:gene24895 transcript:rna24895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor VOZ family MKKGSKRSCKSASHSLFKDKAKNRVDDLQLMFLDLQFARKESRTVDAAVLEEQVHQMLREWKSELNEPSPASSLQQGGSLGSYSTDICRLLQLCEEEDDASSPLTAPKPEPNDQALQAGGNVIFQEGQQQHDFPLVDERKHSTSGVQNVAANQDGAALEYHQFDLQQDFDHNLYAGFNGTGYCEEDAVPLISSYLPSVCPPPSAFLGPKCALWDCPRPAQGLDWCQDYCSSFHAALALNEGPPGMAPVLRPGGIGLKDNLLFSALSAKAQGKDVGIPECEGAATAKSPWNAPELFDLSVLEGETIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVINEFGGLKRSYYMDPQPLNHFEWHLYEYEISKCDVCALYRLELKLVDGKKNSKARITNDSVADLQKQMVKLSAEFPPDNKRPAKGRAKINAKVGMGAVYPASHRMAPLSGTYEYGLAAPYDYLVENTGDYYGT >RHN65670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4253295:4257731:1 gene:gene13538 transcript:rna13538 gene_biotype:protein_coding transcript_biotype:protein_coding MHQYKNHTKMASFFSYLLVFFFAFLILIPQGFGNNPSHNKHLHHPPSTYVHPTTLPKPIYPASTNIPKKHPHHPPKEDNTHF >RHN55191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15920193:15920634:1 gene:gene30331 transcript:rna30331 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIDRLRKHVSVYILLVRVQKTPGLVALACDPSVGIKVLEVAVTMGWVREPGHLGLSGLMQISSGQL >RHN78993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20674455:20674985:-1 gene:gene2669 transcript:rna2669 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAIVSSVIQTRIPMMLDLIWVLEKVSKPISALDFPLSSGLRRTFSSSSILAASLS >RHN62336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42714234:42716398:-1 gene:gene24898 transcript:rna24898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-hydroxyisoflavanone synthase MLVELAVTLLLIALFLHLRPTPTAKSKALRHLPNPPSPKPRLPSIGHLHLLDNPLLHHTLIKLGERYGPLYTLYFGSMPTIVASTPDLFKLFLQTHEATSFNTRFQTSAISRLTYDNSVAMVPFGPYWKFIRKLIMNDLLNATTINKLRPLRSREIRKVLKVMANSAETQQPLNVTEELLKWTNSTISTMMLGEAEEVRDIARDVLEIFGEYSVTNFIWPLNKFKFGNYEKRIDEIFNKYDPIIEKVIKKRQEIVNKRKNGEIIEGEQSVVFLDTLLEYAQDETMDIKITKEQIKGLVVDFFSAGTDSTAVSTEWTLSELINNPRVLKKAREEIDSVVGKDGLVDESDVQNLPYIRAVVKEAFRMHPPLPVVKRKCTQECEIDGYVIPEGALILFNVWAVGRDPKYWVKPLEFRPERFIENVGEGEAASIDLRGQHFQLLPFGSGRRMCPGVNLATAGMATLLASIIQCFDLQVPGPQGQILKGDDAKVSMEERPGLTVPRTHNLMCVPLTRAGVADKLLSS >RHN77016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3190561:3195715:1 gene:gene423 transcript:rna423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BTB/POZ domain-containing protein NPY1 MKFMKLGSKPDALQSDGKFVRYISSELATDIIIAIGEVKFDLHKFPLLSKSNCLQKLVSKANEDNSDEIYLDDFPGGPKAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMTEDIDKGNLIFKIDVFLTSSIFRSWKDSIIVLQTAKSLLPWSEDLKIIGRCIDSIASKTSVDPADITWSYTYNRKLTQVDKIVEDKITLKEKIESVPKDWWVEDICELDIDLYKRVMIAVKSKGRMDGVVIGEALKTYAVRWLPDSVDALVSDAHAWRNKSLVETIVCLLPCDNGVGCSCSFLLKLFKVAILVEADESSREELMNSISLKLHEASVKDLLIPARSPGITTYDVDLVQDLLNRYMNNEKRKRDIEVTDMKDKVFGESILGNRAILNLGKLVDSYLGEIAHDPNLSLSSFVDLSQSIPDFARPNHDGFYRAIDIYLKEHPSLTKSEKKNICGLMNVKKLTADASAHAAQNERLPLRVVVQVLYFEQVRAAASARAFANSPRDPSHALINGDEECEKTLGVSCHSLNRQTSRLKIKDDEFRKSVRLDKKSSKNSRSGMQLLPSRSRRIFDKLWNVGSKGHGENRSSETSGSSNSPTSVVLGDTKSSGSSLRHKRHSIS >RHN76627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51800286:51808653:-1 gene:gene12981 transcript:rna12981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium/proton exchanger MGSASAGDKMDADFDEETPFSSTSPSSTSANTSSSVKPHSFHFDSSSLPKSSSPSFFNHSRTRLISKSIYIVLIKAKINILLPFGPFAIFLHYFSGGKHVWVFFFALLGIAPLAERLGYATEQLAFYTGPTLGGLLNATFGNATEMIISIYALKSDMIRVVQQSLLGSILSNMLLVLGCAFFTGGIVHYNKLQVFNKAAAVVNSGLLLMAVMGILFPAVLHFTHSEVHLGKSVLSLSRFSSCIMLVAYASYLYFQLRSQQNFYAPVDQEVDTSENSDEEEELELTQSEAIIWLAILTVWVSVLSGYLVDAIEGASESLNMSVAFISVILLPIVGNAAEHASAIMFAVKDKLDITIGVAVGSSTQISMFVIPFCVVVGWCMGKEMDLNFQLFETATLFITVLVVAFMMQEGTSNYFKGLMLILCYLIVAASFFVHVDPKSGDD >RHN53197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42762376:42764175:-1 gene:gene37976 transcript:rna37976 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFYTLGIQIFYTLVSLSLNYRFTLRPPQSKKWVWAPCSEVGM >RHN47231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38139958:38148300:1 gene:gene41801 transcript:rna41801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain-containing protein MDIEQRQSELIDQFVKQASAASNTSAISSVIVDATSHPLLFAFSEILALPNVLQLEATDEKFYLDLLRLFAHGIWSDYKSNADRLPQLVPDQILKLKQLTVLTLAETYKVLPYDQLMQELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGNMIQTLSDWLSTSENMLVSIQDKIKWADSMSEVDKKHRKEVEEKVDEVKKSIFKADIDFRGHEEICAESGGVMDYEEDRSRPKRRRHPIS >RHN62121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40921568:40924852:1 gene:gene24656 transcript:rna24656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SLC26A/SulP transporter MEPSTSSNVTDSEFVEIQLDVHEVVPPPHMSTVQKVRTKFKETFFPDDPMRQFKGQPCNRKLILGAQYFFPILQWLPNYNFKLLKADLISGLTIASLAIPQGISYAKLASLPPIIGLYSSFVPPLVYVVLGSSRDLAVGPVSIASLVLGSMLAEEVSPTTESGLFVQLAFTSTCFAGIFQAALGILRLGFIIDFLSKATLIGFMAGAAIIVSLQQLKSLLGITHFTKEMGIIPVMHSVFHHTDEWSWETIVMGISFLVILLLARQISIWKPQLFWVSAGAPLACVIISTIITFVIKGQLHDISIIGKLQQGINPASVNMLLFHGKYLGLTIKTGIITGVLSLTEGIAVGRTFAAIRNYKVDGNKEMMAIGFMNVVGSTTSCYVTTGSFSRSAVNHNAGAKTAMSNLVMSVTVMVTLLLLMPLFHYTPNVVLGAIIITAVIGLIDISAAYLIWKIDKFDFLVLLVAFFGVIFFSVQYGLALAVGLSIFRILLQITRPKTAMLGNIPTTNIYRNLHHYKEATRVPGFLILSIEAPINFINITYLHERLVSS >RHN69836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46670541:46675955:-1 gene:gene18357 transcript:rna18357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MNPPPYFFLLLLIFLSSCSATSPELRSLLEFKKAITSDPENPPLTSWNLSSLRNDNICPRSWTGITCDDLTGNVTGINLNNFNLAGELKFQTLLDLKLLKNLSLAGNSFSGRLPPSLGTITSLQHLDLSNNKFYGPIPARINDLWGLNYLNFSHNNFKGGFPAQLNNLQQLRVLDLHSNNFWASIAELIPTLHNVEFLDLSLNQFSGALSLTLENVSSLANTVRYLNLSYNKLNGEFFLNDSIALFRNLQTLDLSGNLIRGELPSFGSLPGLRVLRLARNLFFGAVPEDLLLSSMSLEELDLSHNGFTGSIAVINSTTLNVLDLSSNSLSGSLPTSLRRCTVIDLSRNMFTGDISVLGNWEDTMEVVDLSSNKLSGSVPSIIGTYSKLSTLDLSFNELNGSIPVGLVTSQSLTRLNLSGNQFTGPLLLQGSGASELLILPPFQPMEYFDVSNNSLEGVLPSDIDRMVKLKMLNLARNGFSGQLPNELSKLIDLEYLNLSNNKFTGKIPDKLSFNLTAFNVSNNDLSGHVPENLRRFPPSSFYPGNEKLKLPDNAPEHSALPNIPDKDKHHSSKGNIRIAIILASVGAAVMIAFVLLAYHRTQAKEFRGRSDFAGQTTGRDVKLAGLSRPSLFKFNTNAQPPTSSLSFSNDHLLTSNSRSLSGPQSEFITEISEHGLPQEVVATSSAPPNLMDNPPMSSGRKSSPGSPLSSSPRFIEACEKPVMLDVYSPDRLAGELFFLDSSLAFTAEELSRAPAEVLGRSSHGTLYKATLDNGHMLTVKWLRVGLVKHKKEFAREVKKIGSMRHPNIVPLRAYYWGPREQERLLLADYIHGDNLALHLYETTPRRYSPLSFSQRIRVAVEVARCLLYLHDRGLPHGNLKPTNILLAGPDYSVSLTDYGLHRLMTPAGVAEQILNLGALGYRAPELASASKPLPSFKADVYALGVILMELLTRKSAGDIISGQSGAVDLTDWVRLCEREGRVMDCIDRDIAGGEESSKEMDQLLATSLRCILPVHERPNIRQVFEDLCSIPSA >RHN81337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44998198:45010130:1 gene:gene5358 transcript:rna5358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydrolipoyllysine-residue acetyltransferase MALSRLRHPLISHSIRILSSSTRSISRTPNSRIYSVGNGNLRPASWSGLTGVCNRPLKSRWIDVKFFSSSDFPHEVLGMPALSPTMTQGNIAKWRKKEGDKIAVGDILCEIETDKATLEFESLEEGFLAKILVPDGSKDVPVGQPIAITVEEESGIQNVPASVGGESGVEEKKPAHQDAADEERKPETTSTIDTSNLPPHIILGMPALSPTMNQGNIAKWNKKEGDKIEVGDILCEIETDKATLEFESLEEGYLAKIVAPEGSKEVAVGQPIAITVEDASDIEAVKNSISSSSVNQKEKTTQHDTKSEVKTKKTNTARISPAAKLLILEYGLDASTLNATGPHGTLLKGDVLSAIKSGKLSSKPASSKEKASSSQSHQVAASQESKSGLKQSDTYEDFPNSQIRKVIAKRLLESKQNTPHLYLSSDVTLDPLLSLRKDLKEQYDVKVSVNDIIIKVVAAALRNVPEANAYWNDEKGEVILCDSVDISIAVATEKGLMTPILKNADHKTISAISSEVKELAAKAREGKLKPQEFQGGTFSISNLGMYPVDKFCAIINPPQACILAVGRGNKVVEAVIGADGIEKPSVATKLNLTLSADHRVFDGKVGGAFLSALQSNFRDIRRLLL >RHN69218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41858108:41861899:-1 gene:gene17658 transcript:rna17658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribosylformylglycinamidine synthase MLANIILSRGSSLLPFVSAQSCATLSSSSCLQHKKLKTTVQPSQLSVTLSLHPSLINTKAFNYLIAYMAASGEIGLSEFLQGTCRQTLFLVKKPHKQTRQLLWGTLCNRGRVLSSTPKSLRLRCQAQQSPRVVVSGGSAASTVQEQSGLVVEKSPAQVIHFYRVPFIQESAVAEVTSLSDVKASGNWMYAAKLDGEGAAMYDAAVSLSEAMIELGIAIDGGKDSSSFRKRSCEGSRKSCNQCLCYLS >RHN70298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50378108:50380031:-1 gene:gene18865 transcript:rna18865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MALLLDNCEGILLSLDSHKSVPAPFLTKTYQLVDDPATDHIVSWGEDDSTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEYFKKGEKHLLCEIHRRKTSQPQQTGINMNHHQQHHNVPPSFFPFSNTRVSISPSNDYSDEQLNNWCDSPPLTSPSFVNGGTQTTATNNYNTSVTALSEDNERLRRSNNMLMSELAHMKKLYNDIIYFVQNHVKPVAPSNSYSSSFLLPQASNSTPMNGGGNVSMVQKPMNQLLGYYNSNPKHVSHLQTQNQTHHGMNVNSPTNTSRSSITMVEGLNSNNCSKTKLFGVSLQSKKRVHPECGSNNPNSLETNKARLVLEKEHDFDLGLNLMPPSTC >RHN77170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4616820:4618507:1 gene:gene596 transcript:rna596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MAPSYNSVKKDTTVSTSNKTKSAMMKERDEKSYVKLTSQQVWQKSGSCPKGTIPVRRIRKRELLKAPSIDEFGRKKPSFRKKAMLFATAFRFVGGKGDIQVCYPFVEKDDEYSTAQVSLVTGSYDNYELVESGWAVNPSVYGDRNTRLFVYWTADGSKNTGCFDLTCPGFIQTSNEIALGAAIYPIPIPGGLPYQITIYVYKDPYTNNWWVQYGDKTNIGYWPSELFTTIIYNADAVEWGGEVYSTTVGHTPHTKTQMGNGQFGSVPWVPSSILRMRIHDNSATLKIPDFVDHYSDEYNCYDVFYNADYVEDPELYYGGPGRNPMCP >RHN45478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21306025:21306897:-1 gene:gene39823 transcript:rna39823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MAIQSHEAEANNLKVIFIPFSATSHIIPLVEMSWLFAMHGVDSTIVTTAGNAGIFQKSIDHDFNRGRPIKTHVLEFPAKQVNLSVVTETFNTDTPLTEAAKFQEGFVMLQSLIENYLLGELEVDCIVSDLCHPWTVEVASKLGIPRIVFSPASIFSRCAELLFEKHRAHNEVESDYDKFTIVGFPHKFEMSRSQLPDWMKKPSMYGMIIKVIT >RHN60767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30256875:30257109:1 gene:gene23126 transcript:rna23126 gene_biotype:protein_coding transcript_biotype:protein_coding MLYMPDSTQFMQEMTSKDQKKEAPVLFAYKHASSSLLKKGQFA >RHN41050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26416082:26421850:1 gene:gene47324 transcript:rna47324 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRNYGELFPERRIIIDAAFSSFGKSDHGSKVSRRSRRFGRIINKHRLLRWIFLLLALFSIFLTIYGLKNFVQAKIESKFSTPLDLPVERNLQEDSFSENVEKPPKSKRRKHFPCEVGLLQSVDGLVEPKNYMNFTWFSLDYVDREEKTMENNLFEPRFGGHPTLEERENSFYAKNQTIHCGFVKGPPGYPSTGFDLDEKDRAYMSSCKVAVSSCIFGSSDFLRRPTSRLISQYSKDNVCFVMFLDDQTLSKLSSEGNPPDERGYIGLWKVVVVENLPYEDMRRTGKVPKFLSHRLFPNSRYSIWLDSKMRLNSDPMLIIEYFLWRRKAEYAISNHYDRHSVWEEVLQNKRLNKYNHTAIDEQFKFYESDGLPKFEPSNHNPLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVDRFTSRDQLSFAYTYLKLRRMNPDRPLQLYMFKDCERRALVKLFRHRAVPSPPGTA >RHN65505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2760610:2767118:1 gene:gene13349 transcript:rna13349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAETAVSFVLGEVFQFLKEETNLLRSVHRDFSDIKDELESIQVFLKDADRRAADEADTNDGIRTWVKQLREASFRIEDVIDEYLRLMHRANPPRCGSLVGKIARQIKTLIPRHHIASEIQDIKLSIRGIKERSERYNFQISHEQGTSSSISIGERENGKWHDPRLSSLFIEETEVVGIEVPKEELSGWLLDGEAERTVISVVGMGGLGKTTLAKLVFESKKVSAHFDCRACVTVSQSYTVRGLLINMMEQFCRSTKDSLLQMLHKMDDQSLIIEVRQYLQHKRYLIFFDDVWQEDFADQVQFAMPNNNKGSRIIITTRMMYVADFFKKSFLTHIHNLQLLPPNKAWELFCKRVFRYELGGHCPSELEAVSKEIVQKCRQLPLAIVAIGGLLSTKSKTMIEWQKVSENLSLELGRNAHLTCLVKILSLSYDGLPYYLKPCILYFGLYPEDYAINHRRLTRQWIAEGFVKLEERRTPEQVAEEYLSELIQRSLVQVSNVGFEGKVQTCQVHDLMRELIIRKMKDLNFGHCMHEDSESVALGRTRRLSIATNPNNVFRSTNNLQFRAIYVFEEDGSLEHFMGKVCSQSRILKVLDIQGTSLNHIPKNLGNLFHLRYINLRNTNVKALPKSIGELHNLETLDLRETLVHEIPSEINKLTKLRHLLAFHRNYEQKYSALGSTTGVLIEKGIKNMISLKNLYYVEVDHGGVDLIEEMKMLRQLRKLGLKHVRREHGNAISAAVQEMQHLESLNITAIEEDEIIDLNFASTPPKLQRLHLKARLEKFPDWIPKFECLVQICLALSKLKDDPLQSLKNLPNLLKLNLLENAFDGEILHFQNGGFQILKELILSHLNRPNSILIEKGALLSLENLKLERIPKLKDVPSGIKHLDKLKVIDLVDMPDEFVKSIDPDGGQDHWIINHVPIVFIRQWFGPKYYDYEVRTINSSTKEVLRDENAKVSS >RHN47690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41633678:41640876:1 gene:gene42316 transcript:rna42316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MCGETESCTSSTNGHHKAEDVVGGNAKAIQILRELITYPRLFTSEAKQLGLKFPRGLLLYGPPGTGKTSLVRAIVEECGANLTIISPNTVNSALAGESERNLREAFSEASSHAALGKSSVIFIDEIDGLCPRRDSIRELDVRIASQLCTLMDSNKATSSNPGVVVVASTNRVDAIDPALRRYGRFDIETEVTVPNEEERLQILELYTRKIPRDSQDLEYVAASCNGYVGADLRDLCLEAVKSAIERSDNANKDVNDSSLTMEDWKNARSLVQPSITRGITVEIPKVTWKDIGGLKDVKTKLEQAIEWPMKHPDAFSRLGITPIRGILLHGPPGCSKTTLAKAAANAANVPFFSLSCAELFSMYVGDGEGLLREIFQKARLAGKSIIFFDEADIVAGKRGDSSSGGAVVGERLLSTLLTEMDGLEEAKGVLVLAATNRPYAIDAALMRPGRFDLILYVPPPDLEGRFEILKVHTRGMNLGSDVDLRKLAEDTELFTGAELQGLCKEVGIVALRENIDASVVYDHHFKTAKNSLKPALTAEEIVSYSSFKRTSSRALSKEIE >RHN78797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18523863:18526681:-1 gene:gene2450 transcript:rna2450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain-containing protein MLLKTRKTPSTQINHLFLSSYLITCIFISYSFNPLLFILCTFCNLFWCLEMGQQTLIYSFVARGTMILAEYTEFSGNFSTIASQCLQKLPSSNNRFTYNCDGHTFNYLVDNGFTYCVVAVESAGRQIPIAFLERVKEEFSKKYGGGKAATASARSLNKEYGPKLKQQMQYCVDHPEEINKLAKVKAQVSEVKGVMMENIEKVLDRGEKIEMLVDKTDNLRSQAQDFRTQGTKMKRKMWIQNMKIKLIAVGIIFVIVLMIFMSICRGFSCLH >RHN76498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50784335:50785833:-1 gene:gene12837 transcript:rna12837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide deformylase MRKLQGSSSLFETEMEAARRLASRLRVVPMMLVFSNATVSSSSSSCNTETPPSNTKLSSFSSASSETAFLSKTLKSELPHIVQAGDPVLHEPAREVDHSEINSDKIQKIIDGMILVMRNAPGISLSAQKIGIPLRIIVLEEPKENLYNYTEEVNKIIDRRPFDLLVILNPKLKIKSNKTFLFFEGCLSVHGFQAVVERYLDVEVEGFDRYGEPIKINASGWHARILQHECDHLDGTLYVDKMVPRTFRSWENINMSIARAVGVSNLAAELKTGAAFDSKY >RHN82072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51066812:51068235:1 gene:gene6191 transcript:rna6191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative The fantastic four family protein MSAVVYHPGLQTHLESPLVESRTLRLRLPSPKQVPTPQSIDLAFKTCFFDSNIKTHHQEEKINKTETFQNKPNKNNGGWNFLDGLSNNIYQNTWKKETTTTTYVHPQQKRSSLAMSPKSLELCTENLGNESGTDIVENDMLLSSMGAMEEQREPCSPVLIATKKPKIHNFPPPLTTMRGSESLRVRPHREDGRLVIEVTKVPPSTSCFQADRSHGRLRLCFLTNETTSFDPEQEEDVDVDDDDVIDENEKPHNKEEELYENEMVGEVIEDVEEEETEEKAEKVELEEVVACEKMKSSDARMEKYEWARRCKEGGENENKEFLNWGESLWVAVATTS >RHN66172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9459665:9460308:1 gene:gene14102 transcript:rna14102 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSATTMKLFFGFVIVFAAVASAQDLSPSLAPAPGPDAGVAGSVAGSVAMIGASIVLSMLAIFKN >RHN78815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18669876:18670501:1 gene:gene2470 transcript:rna2470 gene_biotype:protein_coding transcript_biotype:protein_coding MGISPLLLLRRCLVSLPVITPITTTDEILGPDKRKVQFTVGPKNMSAACLKDGKALSKFLGVEPDTDIITTTDAVLVPAKGKVQVSGGSKNVSATSMKSVQILSKSWGDEVDTDPATDGTMEQDSDSENLLATLKFSPDADKYLDTSLEIGKFTKPDRKSRKHKSPNEKGSGGITSSEHIQTRFKEGVI >RHN55315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17266543:17270662:1 gene:gene30481 transcript:rna30481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase, beta-propeller MLEGRCCVVSRLFCSTCETENDWSYMKYMLDLDIKNGKRPMKIDSAEDEHHPRKCTKMLESCHIVETVCISLQHPKDAVLPETDQKINEPLNVCQGVHDGGDSIDSSDSGIQQSDEEQHAGDSSDSGSLLPRMNRDSSIVCLSRCSRSDYGSLASLNRSFREIIRNGEVYRWRRLNGIMEHWVYFSCALLEWEAYDPIRQRWMHLPRMASNDCFMCSDKESLAVGTELLVFGRELRSHVIYRYSLLTNSWSSGMRMNAPRCLFGSASLGEIAILAGGCDSEGRILDSAELYNSETQTFELLPSMNKPRKMCSGVFMDGKFYVVGGIGGRDSKLLTCGEEYNLQTRTWTDIPDMSPGRSSRGSEMPAATEAPPLIAVVDNELYAADYADMEVKRYDKERKLWITVGRLPERAMSMNGWGLAFRACGNMLIVIGGPRTHGEGFIELNSWVPSEGPPQWRLLARKRSGNFVYNCAVMGC >RHN48635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49032546:49033405:-1 gene:gene43374 transcript:rna43374 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSTMPMVSASENLVINQFPQPTRPLNAYDTSFGTPSTVYPQHENNTFGHPQHMVTPTMLKEEKGDKKFVLVDKLGNRVLDKIYEKLPAKLRDEINLEEVMGKERKRGGKSESGNSRGVGPGEIGLSDKEKLARFKIRTVVAEEVVVKEDEKK >RHN74559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34749699:34753835:-1 gene:gene10647 transcript:rna10647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MATITSDLAGNSQIYDRKSELKNFDESKVGVQGLVENGVTKVPRMFHCEQSNINDLSISESNLKLSIPTIDLTGIHDDPLLRDEVVRKVQNASEKWGFFQVINHGIPTHILDEMIKGTCRFHQQDAKARKEYYTRDLTKKVVYLSNFTLYQDQSADWRDTLAFFWEPHPPKAEELPKVCSDIVSEYSKEVKALGYSLFELLSEALGLNRFHLKEMGGAEKFFLLCHYYPPCPEPELTIGTTKHTDGSFMTILLQDHVGGLQVLHDNQWVDVPPSHGALVVNIGDLLKIVSNDKFTSVQHRVLAKHAGPRISIASLFRAHESEGMPKVIGPIKELLSKENLPIYRDTSLKEFLAHRFTNGIGFSALSTNRL >RHN75290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41013103:41013654:-1 gene:gene11467 transcript:rna11467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MARHNIILIATLLAFVLFIAQTTATRKSDRPDERCRRKLQSLNLRHCEEHIMQRIQKEDEDVLRMRGINHHEDLKEKCCDQLNEVNNKECRCNALQEIMENLSDRLEKREMYEMEREIRNLPKRCNIPQSSECDLSFDQY >RHN76721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:695581:696201:1 gene:gene91 transcript:rna91 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFVCNCSTNDLLYRVGSTFPSLAIACYDIHDNRAPFKQIPDDVTVELQAAKDLYFKVHGAKTRLSFDKMTLKIMDAMITSSELDKIRPSYRTTLIIASENVPFSVSFPCRVSPGYLEYAKLKPNIREDQLLPGFIFKELAFEVSQN >RHN78811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18632860:18638517:1 gene:gene2465 transcript:rna2465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BolA protein MMSTNSLSSCSFRLFSTKFSLSLFNKKNSTFFIKPTNSLFSFKPITFQSLPTKPQPPSSSSSPPPSTSLQPIEELPPKLQEIVNLFQSVQEPKAKYEQLLFYGKNLKPLESQFKTKDNKVEGCVSQVWVRAYLDGDKNVVYEADSDSVLTKGLAALLVQGFSGRPVNEIIRVTPDFVMHLGLQQSLTPSRNNGFLNMLKLMQKKALMLYVEAEKGTSESDSIGNSDLKNDSFVENSSGPSVTPSLGVDFGSEVDDENVELGGRGKRIKKKLEKELQPIELEVEDVSYQHAGHAGVRGSDGETHFNVKVVSKEFQGKSLVKRHRLIYSLLQDELDSGLHALSIVAKTPSEVGEG >RHN40473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16629397:16630110:-1 gene:gene46626 transcript:rna46626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MSSERKSRGRQKIEMKKMSNESNLQVTFSKRRSGLFKKASELCTLCGADVALVVFSPGEKVFSFGQPNIDTVIDRYLSRVPPQNNGTMQFIEAHRNANVCELNTQLTQINQLLDMEKKRAEELSHLRKATEAQFWWAGPVDGMNMAQLEFFKKALEETKKVVAHHADRLVIQGAPTQTFPFFVGNGSSSNMPLHHQPNPSQTQMFPAQFFQNPMLQSHLFGSNNMRGGGGYGPSRFF >RHN80821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41051485:41054580:1 gene:gene4788 transcript:rna4788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 43kDa postsynaptic protein MSMSMSIIHNLKPTTTTTLLSPSISSPFPLPSSSSSSSFKPPIFCSNPSNLSRRLFLPSVSGIWDAITGGSGNNNTNEALLAIRRGMSLFRQGEVLGSVVEFDKAIQLDPRQKAYLWQRGLSLYYLDRFEEGAEQFRLDVAQNPNDTEESIWCFLCEAQLYGVDEARKRYLEVGRDPRPVMRETYNMFKDGGDPEKLVGAFSNSRESDYFYASLYAGLYYESQNESDAAKVHIVAACKSLYGQRSDDYMASLSKVHCLCRNWNFS >RHN63279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50031671:50033019:-1 gene:gene25961 transcript:rna25961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative elongation factor G, III-V domain-containing protein MDIVTLVGLKDTIAGETLCDPKGPFVLDQMDFPVHVIKIAIEPKTKADMEKMEAGLIKLAEEDPSFRVSQDKEDRTIIQGTGELHLETIVDRLKREFKVEANVGAPQANYRESISEVTEVRYVFNKPYILNPWTQVVDTNLRIKSKKKHFQKNSLYWGF >RHN71186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57056694:57058313:-1 gene:gene19837 transcript:rna19837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ornithine decarboxylase MPTLVTEESQSQITNLKPIFCASGVKGNKVTTLSSEVTISDFIQSIIKTKPTENDSPFSVLDLRVIIDLMNKWTTELPTVKPFYAVKCNPNISLIGTLASLGSNFDCASRAEIESVLSLGVSPDKIIYANPCKSESHIKYAASVGVNVTTFDCAGEVEKIKKWHPKCELLLRIKPEEDSGARSCLGLKYGALHNEVPELLKAADMAGLKVTGVSFHIGSGGADAKAYHGAILLAKEVFETASRLGMPKMKILDIGGGFTSGSKFDEAAFNVNDAIKTHFGNDEDLVVIGEPGRYFAETAFTLATKVIGKRVRGEMREYWIDDGIYGTLNNIVFDYAIVKCMPLRFGSKPENVICKDPKTYPSTVFGPTCDSVDTVLKEYELPELKVNDWLVFPNMGAYTTSSGTNFNGFSSSAEHIHIACSTPSLVGR >RHN79027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21343682:21353603:1 gene:gene2710 transcript:rna2710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydrofolate synthase MYTKCGMIGVSHFKSIINHKWWISTQFPAYTNIHNVISRRFVSEVLFERGSSNVVDNGRLQNFPSSSYEAAMEKLSSLITRQRRGEKPPIANKLEKMSMYLKILGLEEDMNSLNIIHVAGTKGKGSTCIFCEAILRECGIRTGVFTSPHLIDVRERFRIDGINISEDKFLQYFWDCWNQLEEKATEQLPMPPLFMFLTILSFKIFISEQVDAAIIEVGLGGTEDSTNVIKEPTVCGITSLGMDHTEILGDTLGQIASHKAGIFKPKVPAFTVPQLPEAMDVILERAKELMVPLEVTEPLDCKQMKGLKFCLSGDHQLYNAALAVSLSRCWLQRTGNWEKVCQNDSNLPDEFIRGLSTANFSGRSQIVCDSSLYSDCPEIMSENCGGELIFYLDGAHSPESMEACAKWFSNALKGYKNASQTSIGVVNAEESSENGPFLHESKTLGTFEKSCRQILLFNCLDVRNPKILLPQLVNECALSGIHFSRALFVPSMSKYTKVTSGASVIPSDLSGIDLSWQFNLQRIWEKIMHGKEMTPLLDKDFKIESKLMLPPHEFLYDNASKGGPSHNDFPCSAVIPSLPLTIKWLRDCVKEHPSTRLQVLVTGSLHLVGDVLKLLKR >RHN38502.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:121020:122397:1 gene:gene50611 transcript:rna50611 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVKYIAEGGSSNRPPLFDGSNYYFWKGKMELFLRSQDNDMWTVITDGDFVPTTKEGVVKAKSAWSTDEKAQVLLNSKARLFLSCALTMEESERVDECTNAKEVWDTLKIHHEGTSHVKETRIDIGVRKFEVFEMSENETIDEMYARFTTIVNEMRSLGKAYSTHDRIRKILRCLPSMWRPMVTAITQAKDLKSMNLEDLIGSLRAHEVVLQGDKPVKKVKTLALKASQQSSSAAEDDVQESQELEEVHEEEAEDELALISKRIQRMMLRRN >RHN70743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53682642:53683243:1 gene:gene19357 transcript:rna19357 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLRFFFTFPEAVAVGLVSFFSPSSTALSSAIISPLLFPKNTNRNQTDQQSHSQDNNTDRN >RHN52603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37036558:37037435:-1 gene:gene37297 transcript:rna37297 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEGKKLGYRNGVSRTAMPPQARVVPLLLVGGFRIRHGQCQCFWLIGPIFFSFFSSTLDNYLQNKLK >RHN45960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27257596:27258818:-1 gene:gene40390 transcript:rna40390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MLVSKTEMPLTKVKLIDSICRLGVGYHFEKEIDEVLQHIHKSYVENGEITLEDSLCSLAMLFRVFRQQGLHVSPNVFNKFKDKQGNFNENLSTDVEGMLSLYEASHMMVHEDDILEEALNFTSTHLESIASQSSPSLAAQIEYTLKQALHKNIPRLEARHYISIYEKDPTCDEVLLTFAKLDFNLLQSLHQKEFGNISKCGRSWTSLPNYLMQEIGSRNVALGFDCIF >RHN72583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8877919:8879485:-1 gene:gene8310 transcript:rna8310 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLFHLRGALMDPPILTQLPLLKSIPSLSSFLFILLLFIALLSPFHFLSSSLSPTFPISPIKPPFIPLLSISNTPISMDTKLKPQTLSPNTTNSNCNSPEFEFWMLRNPSFPQPNLHTADQLFVNGVILPLHLLPTTSKTDPPPQTPTSNPSSHNPVSEPDPEPDSSHPESSPVITESSSSASTFSGSKRWKDIFRKGEKNNTEDKEKEKEKEKEKKNKDKKKERKNGNGANSAAELNINIWPFSRSRSAGNTTTRPKFFTGAPVTRKVNSAPCSRSNSAGESKSRKWPSSPGRAGVHVGRNSPVWQVRRGGGKNSDQQTQQGSNTDKELKKEATVSRRSKVVSGGGGKAKVLSLNVPMCIGYRHHLSCRSDENSAIGVSGGVAVNRGGGDGGGGECHHHDEGSGGNLFNLRNLFTKKSIVTSH >RHN80173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35838787:35839412:1 gene:gene4063 transcript:rna4063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRRTNMTQTLIFIYALFIVVSLFLVVTCETRIPCVSRNDCPKRPYPLFMKCIDNFCEIWKIGKE >RHN80630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39589331:39592675:1 gene:gene4576 transcript:rna4576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein MFGFECFCWDSFPEFFDSDPLPFSLPSPLPQWPQGGGFAGGRISLGKIEVTKVNKFEKVWRCTNSNGKALGFTFYRPLEIPDGFSCLGYYCHSNDQPLRGHVLVARETTSKSQADCSESESPALKKPLNYSLIWCMDSHDECVYFWLPNPPKGYKAVGIVVTTNPDEPKAEEVRCVRTDLTEVCETSDLLLTIKSKKNSFQVWNTQPCDRGMLARGVSVGTFFCGTYFDSEQVVDVVCLKNLDSLLHAMPNLNQIHALIEHYGPTVYFHPDEKYMPSSVSWFFKNGAILYTAGNAKGKAIDYHGTNLPGGGYNDGAFWIDLPTDEDARSNLKKGNIESAELYVHVKPALGGAFTDIAMWVFCPFNGPATLKVSLMNIEMNKIGEHVGDWEHFTLRVSNFTGELWSVFFSEHSGGKWVNAFDLEFIKENKPIVYSSRHGHASYPHAGTYLQGSSKLGIGVRNDAAKSNFILDSSFRYKIVAAEYLGDGVITEPCWLQYMREWGPTIVYDSRSEIEKIIDMLPIFVRFSVENLFELFPTELSGEEGPTGPKEKDNWLGDEYC >RHN82408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53499077:53499250:-1 gene:gene6571 transcript:rna6571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MEFCSSSHWKSFYSFAYKVKRFRGLARMAIKVGQDDPRRVVHSLKVGLGLTLYFCCI >RHN40849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:22995413:23003936:-1 gene:gene47082 transcript:rna47082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MVFGQRDRNKPFVARRNYQPNANANANRNNQTHSSPRRQKIIEQRKSLPIASVEKRLIEEVQKNDILIVVGETGSGKTTQIPQFLFHAGFCHDGKVVGITQPRRVAAITVAKRVAEECGCELGQKVGYSVRFDDSTSNSTRIKYMTDGLLLREALLDPYLSKYSVIIVDEAHERTVHTDVLMGLLKNVQLARSNSIKDGRNLNNENKNTNGFMLLDKDNGQNGSSLRKDHRKKSSPLKLIIMSASLDARTFSEYFGGAKAVHIQGRQFPVDIFYTRHPKTDYVDAALITIFQVHQDEAPGDILVFLTGQEEIDAVERLIKERLSKLPQEYQRLQVVPIYAALPSEQQMRAFAPAPSGFHKVILATNIAETSITIPGIKYVIDPGLVKARSYDPGKGMESLIVVPTSKSQALQRSGRAGREGPGKCFRLYPENEFEKLEDSTMPEIKRCNLSNVILQLKALGVDDILGFDFIEKPSRTAIVKSLEQLFLLGALTDDCQLSDPVGRQMARLPLDPVYAKALILASQFNCLEEMLIAVAMLSAESIFYFPRDKYEEARTAAKSFASPEGDHITLINVFRAATDLLEKRTIEINKMKNEKDFRKWCKENFINSRSLRHARDIHRQIQGHVQQMGLKLASCGEDMLQFRRCLVASFFLNAAVKQPDGTYRALASGQVVQIHPSSVLFRKKPECIIFNELIQTNNKYVRDLTRVDNLWLTELAPQFYAMQN >RHN52329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34000187:34005680:-1 gene:gene36992 transcript:rna36992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-acylglycerol O-acyltransferase MATEAFMLKESAYFSLRALKSLFVLISAIVMVLLLPFRGRRRVSPVEKEEKIQNHECCHHHRKGTVVRVPAKIVPWKSGGGVGVVSMKVLDPMMRRELAIRRVLEDGDEKCLREYWLFGTKRGDIIFTQCWTPLSVKIRGLVILMHGLNEHSGRYNNFAKQLNANGYKVYGMDWIGHGGSDGLHGYVHSLDHAVSDLKVFIEKVITENPGLPCFCYGHSTGAAITLKAFLDPKIEACIAGATFTSPAVGVETSHPFLLVLAPIASLLLPTLKCNSAYKKGLPVCRDPEALIAKYSDPLVCTGSLRVRTGYEILRITSYLQQNLRKMKVPFLVLHGTGDCVTDPTASLKLYEEASSSDKSIKLYEGFSHDLLFEPEREDITEDIIQWLNIRV >RHN68890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39395842:39397098:1 gene:gene17306 transcript:rna17306 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLVGMTGNWLIVSIRGILYTYWAGVAAYQDKSYREVRMHKMHLEREDINAILMLCFHNNETVFILVCTRDNEGRFVLAKISWSSPICNVDVVESIDLRFLYAITWGSPAAVRN >RHN48875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50838985:50842159:-1 gene:gene43640 transcript:rna43640 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein SA MAAPRQLSQKEADIQMMLAADVHLGTKNCDFQMERYIFKRRNDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGANAIAGRHTPGTFTNQMQVSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIRPGLKWDVMVDLFFYREPEEAKEQEEDEVPAPDYAIADFNAGSIPNDGQWPAAIDQPWNDAVPEPIPAVPAVNWAAPEAAAGGDWGEAVPAPQQIPAPGVESIQATGWE >RHN52238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32940946:32942931:1 gene:gene36887 transcript:rna36887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSFFLGSTTRYALFSLPTSILLRHRRLYSFKFNHPLPPFIDNVDHAISSFNRILHMNNPTQPIFEFNKILSSLVKLNHFHTAISFSKQMELKQIQPDLFTFNILINCFCHLGHLNFAFSVLAKILKLGFQPNTVTITTLIKGLCLNGKVREALHFHDDVIAKGFHLNQVSYGTLINGLCKTGETRAALQVLRRIDGLLVQPNVVMYNTIIDSLCKDKLVIHASDLCSEMIVKRIFPDVVTYTTLIYGFCIVGQFKEAVRLLNQMLLKNISPDVQTFNTLVDGLCKEGEIKQARNVLAVMIKQGVEPNVVTYTSLMDGCFLVKEVNRATYVFNTMAQRGVAPNLHSYSVMITGLCKNKMVDEAVNLFKELHIRNMAPDIIVYSSLIDGLCKSGRISDVWDLIDEMHNRGQPADVITYNSLLDALFKNHQVDKAITLLMKIKDQGIQPNMYTYTILVDGLCKNGRFKHAQAVYQYLLFKGYHLDVKM >RHN40390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15907960:15910191:-1 gene:gene46538 transcript:rna46538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MVNTNLEEIKQEHEHVYDRQKELKLLDESKEGVKGLVDAGLTKVPKIFIHDKIHEHNNKQTSSTNLSIPIIDFGPLFTNTSSSSRLEIIEKVKHASEKWGFFQVVNHGIPSTVLDEMIDGVVRFHEQDTEMKKKFYSRDITKRAYFNTNFDLYVTPAVNWRDSLSCVMGPQPLDPQDLPTVCRDITVKYSDYVNKVGMILLELLSEALGLNSNYLKDIDCAEGLFLISHYYPPCPEPELTFGTSAHSDSSFFTVLLQDQLGGLQVFHGNQWVDVTPIPGALVINLGDMMQLITNDKFLSVKHRVLAPKIGPRISVACFFRQHLPPENSKLYGPITELLTPENPPVYKETSVKGLVSHYYGKGLDGNSALDHFRIQAVKQEE >RHN58446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1788231:1788755:1 gene:gene20361 transcript:rna20361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLIIADCFPFLEELVTPYIARTVSRCTGISDEGICEVLRCCKIRHLNLAHSFIEGKLLGLNFEIPKLEVLNLSHTNINDETLNVISKNCRGLLQLLLEQCNYYVTEKGVKHVGENCLQLREINLKGCLKVDHDVVASLVFSRPSLRKITAPSKGTLLASRMSCLLVFQNYSSEV >RHN74117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28360984:28363204:1 gene:gene10103 transcript:rna10103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MANEKVVEIVMADPKRTKRILANRQSAVCSNERKMRYISELEHKVQPLHFLPNLLCCRNGFLGKTKALSEGLAGNVIFFLLVKTPTPKLASSPWAPPPSLASCGRPHLYIASTAMPGADSLYN >RHN72423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7502019:7503245:-1 gene:gene8132 transcript:rna8132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDPMKEKVCKQIHGDLALSITSKLPIKSLKRFGCVCKSWAILFQDPHFMNIYRNNFISKNHPDYDDTSYILRHTVIVPVVDGDDEFHSSLYFLTGERLENKVKLDCSLPFQYLGQDIVIVSSRGINGILCVSDRNETKFAFWNPSTKELKIIPPSPIEAATTYRNCYPLILGFGYDHVRDDYKVIRHVRFGELNFYECAERGLECKDVPWKYISYQPIWEIYSLRSNSWREIVVKLPMRMDRYNSCYIDRFYIDGMCHWSYICVLKETCLVSFDVSNEVYFTTPMPSYMDDGLDHGLVPQRLVMLFNGFVSLISYYEEKTTFHISVLGEIGVRGSWTKLIIIDLLPCVKHPIGGGKNGNIFFIKDKEELVCFDLGTLMIEELVVEGNFYMSQMVIYRENVLPIIGMNN >RHN46806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35019819:35020583:-1 gene:gene41337 transcript:rna41337 gene_biotype:protein_coding transcript_biotype:protein_coding MFEFSNILAASISTNTKVTYLYVKLNFVLQRNSGTIGYSDLQNLWVKACYRCLFNNHFFAVKSNYRIFHP >RHN66459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12550018:12550736:1 gene:gene14450 transcript:rna14450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MMIQTKREIATIGRVKHANVLRLYEVLATKTKIYLILQYAKGGDLFPQILKGNFNYNQARQYFQQLVSALEFCHKKGVYNRDLKPENLLLDENSVLKIVDFGFSTFIGSHRYNMLHTMSGTPMYVAPYVLRGKGCYGEKADNLMELYRKIHKGEYKCPPGFQLRYVDYYQRFSIPTLIVEYLTAKIMENHWLRKGLNLESVQIEREITNVAENV >RHN54906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13392028:13393038:1 gene:gene30004 transcript:rna30004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MSQNETQTSKSPKEKNNNQQGSVGKKTSSSSSTSTTKPPEQNMKCPRCDSPNTKFCYYNNYSLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKKMKSSSSRLSCDSKDSASSSSELVGGLKFLHSLSSPSMDFHLGSGLSFPRLQLHNHPQISTTPMYNQFSSFGETSSAMNNNYHASSNSAAAMNYPFSSGNYNGAIQGMSSMNINHSNNNIASSIESLSSMNQDLHWKLQQQRLSMLFGGGENQKDTEKPLQPILFQNLEVAKQDDRAFSVGNSRTNGGDTATEWFFGNSYGSAAATPTASGGAGHDSGSNWNGGGVHGWNDVQQQYSALP >RHN56686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32831602:32832700:1 gene:gene32123 transcript:rna32123 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGYVEEITRPISAGEVLKANPNHVLSKPSSEGVVRRILILSPETELKRGSIYFLIPSTSLPEKKRRVRRSVAEKNLENKKVYFSSEEKSKNCDDDNKSSSHKHCESKEMKSSRRDRRHSRSWQPHLESIIEDLC >RHN47618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41033042:41033847:-1 gene:gene42239 transcript:rna42239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endonuclease/exonuclease/phosphatase MEEKTFYTSAVYASTSYWKRKELWQKLSTLQTQFDAPWAFIGDFNVIVGSHEHKGSFSLLEHQCQIS >RHN59939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15032785:15035835:-1 gene:gene22110 transcript:rna22110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pleckstrin-like, plant MEFLLCIGSELLIQVMFSSYLTDILIRFRQETDLFLLHQALSPEFLSSQNLLRNGLYRSLIRGRTTGRWLKDQKERKKQEIRTHNAQLHATVSVVGVAAAVAAVAASIASSEKPNPNQKNPTMASAAIASATALVASHCIEIAEDMGAEQDQIITAVDSAINAKTNGDIMTLTAGAATALRGAATLKARMEKGLGATTIPTVEEKCGEAKEANMLTALDCVFRGGVLLKHTRKGVLHWKQVSFNINSNLQVVAKMKSKHIAGTFTKKKKYIVTGVCSDIPAWPGREKATAKREHILE >RHN79562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30126846:30132246:-1 gene:gene3373 transcript:rna3373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MATGVNRKISAASARSHTRRAKKSTSFQLPSGILRTTLAVLFIGVLAWAYQVTQAPPPKVCGSPDGPPITAPRIKLRDGRHLAYKEHGVSKDVAKYKIIFVHGISTCRHDAVVANTLSPDVVKELGVYIVSFDRPGYGESDPDPNRTLKSIALDIEELADQLGLGSKFYVIGFSMGGQIVWNCLKHIPHRLAGAALLAPVVNYWWPDLPANLTAEAYSQMKLHDQWALRVAHYTPWLTYWWNTQRWFPNFSVITGGPDILSKQDKELVTKLMKNRENYVKQIRQQGEYESLHRDLNIGFGRWEYTPLDLQNPFPNNEGSVHLWHGDEDIMVPVTLQRYIAQNLPWIHYHELPGSGHLFPNADGVSETIIKSLLGVK >RHN59138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7818967:7820955:-1 gene:gene21129 transcript:rna21129 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDLLAQKVKDKKKNRVGSNARKHIFGVSTRLCKHFVGRVETTNVKKKILKFLHDPVFPMVYHFHKQQLRREKITLNRSKSCPSPYSSSKPKVKGRLYRDSETQKLVQFESSKTACEETMPSVLKAKVNANFNKNESIIVVREVKCSSVSTKGQNSLKKKIEHVTGESKKEKIRVGMDSVIHKIPQGQEISDGLKKEILKKLTDPIIIPRENQYKSSLRRIKSLQELLESYPQFEEPKLEKEKENSPLGMVIPLQRTLSLPDLQSFTYASLNEEIFNVHSKNQLQFDKLVEDLAQEKFASTDESDSVISNIVKSGSDCIDKINGMVDLIIDDFGHNGSENCSSFNDQYIEAIREYRAAIAASDSKTKPECIDRSDNVAQKMESLTKKLNHEIHVDTKLKDEFNYVKYVLEISGLTSNDSLSAWHSRDTPVDPSLYEEMENDPDFCPHKDAQCNHQVLFDLINETMLEIYGRSDSYPPMVGCHTLHKVWTHMSKSLCLRSKGGQKIDDHVSRDLSKNDGWVNGQFHDEGVGLEVEDMVFHDLLEEIMLDFACL >RHN47451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39869888:39872671:1 gene:gene42055 transcript:rna42055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MAIARTGVYVDDYLEYANTLPAELQRLLNTVRELDERSQSMINQTRQQTKYCMGFSSHGSKKGNHNYNNNYMNEDDDASIEKMRKEIEANQDSALSLCTEKVLLARQAYELIDSHVKRLDEDLTYFAEDLKQEGKISQDEPAILPPLPIVPKPEKRRHAYGTPQSKRLDYRERDWDRDFELMPPPGSHKKDYMIPMDIDQPIDPNEPTYCVCHQVSFGDMIACDNENCRGGEWFHYSCVGLTQETRFKGKWYCPTCRLLPQCQ >RHN68445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35599357:35604317:-1 gene:gene16803 transcript:rna16803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S1 MAPNLECRMYEAKYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRIEPVMVLRVDKEKGYIDLSKRRVSEEDIQTCEERYNKSKLVHSIMRHVAETLDLDLEDLYVHIGWPLYRKYGHAFEAFKIVVADPDKVLSTLTREIKEVGPDGQEVTKVVPAVSEELKDSLVNNIRRRMTPQPMKIRADIEMKCFQFDGVLHIKEAMRKAEATGNDDCPVKIKLVAPPLYVLTTQTLDKEQGILVLENAITSCTESIEKHKGKLSVKEKVRVVSERDDNLLFEHMRKLREQNEEVEGDDDSEEEEDTGMGEVDLDNGNAITE >RHN72442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7683301:7690474:1 gene:gene8154 transcript:rna8154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SNARE associated golgi family protein MAFSWASAFRITLLLLLLLAVIVACFTLPIEKIMKDFLLWVDRDLGAWGPLVLAVAYIPLTVLAVPASVLTLGGGYLFGLPVGFVADSIGATVGAGAAFLLGRTIGRPFVVSRLKDYPKFKSVAIAIRRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVSLVEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWNEFSKSRWAFIIIGLIVSVVLMICVTKVAKSALDKALAENEDIDGVTSSPELPIVAEPPSDLNQPLIIKIDSTEDNHEK >RHN80939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42053101:42057593:1 gene:gene4924 transcript:rna4924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L15 MLRRRLSVLSASIIRSSTHNKSVFGYPSIQFHPLQLPHSLNVRATFNPNAHPDFQGFRAYSLLALNDLRDNVPRKQKTRKGRGIGSGKGKTAGRGHKGQRARKGSKLGFEGGQTPLRRRMPKRGFKNPFSLTFQPIGLGKIATFINAGKIDSSELITMKTLKDAGVLGKQIKDGVRLMGRGSEKIQWPIHLEVTRVTVRAKEAVEAAGGSVRKVYYNKLGFRALLKPEWFEKKGRLLPKAARPPPKQKDRVDSIGRLPAPKKPIPFLVEGIKDLPVGQLS >RHN51146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14426217:14430214:-1 gene:gene35531 transcript:rna35531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase, Polygalacturonase MTTMSIMNPFSMKLIQAIFLICLVLQADQFVCSKEVVRCIQSERQALLQFKFGLVDKFGMLSSWTTEDCCEWYGIGCSNITGHVLMLDLHGDYYYYNNNDDNNNYYISGDIHKSLMELQQLQYLNLSRNNFEGNSILGFFGSLRNLRYLDLSYCHFGGQIPIQLESLSHLKYLNLSNNLLDGLIPHQLGGLSNLQFLDLSHNYLEGSIPCQLGNLSNLQFLDLSINYLEGSIPSQLGNLSNLQFLDLHGNFFKGKLPSQLGKLTNLQELYLGNEYGDSGLTIDNRDHNGGQWLSNLTSLTHLLKMVGKLPKLRELSLQNCGLSDHFIHSLSQSKFNFSTSLSILDLSDNHFASSLIFHWVSNISSNLVKLDLSMNLLEDPPSYGYGTVMNSLQEIDLSYNKLKGVAFKSFMNVCTLRSLVLYANNFKEELQTVLHNLSGGCVRNSLQVLDLSSNGITGTLPDLSAFTSLKTLDLSSNQLSGEIPGGSSLPYQLEHLSIASNTLEGVIPKSFWTNACKLKSLDLSYNRFSGTLPDLSIFLFLEMFDISENRLNGKIFEDIRFPTTLWILRMNSNNLSGVISEFHFSGMSMLKELDLSDNSLALTFTENWVPPFQLYNIGLRSCKLGLTFPKWIQTQKYLQDLDISKAGISDNVPEWFWAKLSSQWCNNINISNNNLKGLIPNLQVKNRCSVLSLSSNEFEGPIPPFLKGSTVTDLSKNKFSDSLPFLCKNGIDAVLGQFDLSNNQLSGRIPNCWSNFKSLVYVDLSSNNFSGKIPTSMGSLVELQAFLLRNNNLTGEIPFSLMNCTKLVMLDLRDNRLEGHIPYWIGSELKELQVLSLQRNQFYGSLPLELCHLQKIQLFDLSLNNLSGRIPKCIKNFTSMTQKSSSQGYTHHQYYITRGSSGYGEEYELNAFLTWKGVEQVFNNNELSLLKSIDLSSNHFSDEIPPEIADLIQLVSLNLSRNNFTGKIPSRIGKLISLDFLDLSRNKLLGSIPSSLSRIDRLAVLDLSHNQLSGEIPTSTQLQSFDSSCYEDNLDLCGLPLVKLCVEGKPRHEVKLKIQDDEDLLLNRGFYISLTFGFIIGFWGVFGSILIKRSWRHAYFKFMNNLVDAIYVKCRWWLKD >RHN61867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38991990:38996014:-1 gene:gene24374 transcript:rna24374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteamine dioxygenase MPYCVQRLYRLCKASFSPDGPVSEEVVKKVREKLDRIKPSDVGLEQEAQVVRNMSRTVLEQNGSHHSLPAIKYLHLHECDSFSIGIFCMPPSSIIPLHNHPSMTVLSKLIYGSLYVRSYDWIDVPGFTYSSGARPAKLVKDTEMTAPSPTTILYPTNGGNIHCLRAITPCAVFDILSPPYSSEDGRHCTYFRQSQRKDLPVNLELDGVTVSEVTWLEEFQPPDDFVIRRGLYRGPVIRT >RHN57955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42730920:42731702:1 gene:gene33548 transcript:rna33548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spo11/DNA topoisomerase VI subunit A MCFLKLLSVSTCQYHNRCPCLCLCFITSDRSHVWCLAHLGVGHRRVITFNHSFFSFKFYRVDMSVSMSSLYLSWGLIENYSEAFDQSVVDHAINDICVRMQCSRHNLNVVSAGNGYLMRSHSIMEYALFCRN >RHN38755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1792604:1792918:-1 gene:gene44721 transcript:rna44721 gene_biotype:protein_coding transcript_biotype:protein_coding MANQDQVLPNFENPNFQPHENPAIRNRERIKNIVTHSIQFTLMLGTFVAIQLQNTIITMLRKNKIMKVFVFNLVIIIHVFFIFTLWLTSIEESANYAVFALYHT >RHN81757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48694176:48696714:-1 gene:gene5842 transcript:rna5842 gene_biotype:protein_coding transcript_biotype:protein_coding MGQENRYAALSTYDVYFNDSSKRSRISYTRSFLLSLAKDCDRRATVKLLKEIQLAMACAFENSPGLLPSPYCDYDSVYQHQQQVKKDFVLKDLDKVNLLHMSTVNKDSVPKALDKVNLLRMSTVNKDSVPKALDKVNLLRMSTVNKDSAPKALDKVNLLHKSSAPYLPPCRNRALSSSTGDSNGSPNGDISGSFECTTQKQAEPGKCGIVSPRTFAKRDNLWECYKQDRFAPIIPDDQIHQESELKSSNVRIEDCSTVIPGLCLPDEDSLIAYDGPFLNHEMEISLGIDSFMSAPESNLTEDDDLDSQSSTFDMIMNLVEFVLDDSDDDYDSNFECDDSLMDRIRAVLVQHGYDVSLMDRIMAELVQHEARLGQSKLSNPWLSSNQLNPGSDQQDDHNCFLRSSTDPAVFSQSKLSCPCRKIYEIFLSLGAGVQQGIMPPQLPSLHKVPYVRGVPDSHGDDDRNSKQAVVNSFKNMNLGASQFLEVLY >RHN82559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54740594:54743998:-1 gene:gene6732 transcript:rna6732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAD-binding domain, FAD/NAD(P)-binding domain-containing protein MEEDIVIVGAGIAGLTTSLALHRLGVRSLVLESSDSLRVSGFALTIWENAWKVLDVVGVGDILRHQHLRLHGNVTTSLITGQQTSTTPFKNNKGKHEVRCVKRKLLLEALANELPNGTIRYMSKVVAIEESGFSKILHLVDGTTIKTKVLIGCDGVNSVVAKWLGFKEASYTGRYATRGYAELKTTHNLEPMFMQYFGKGFRAGAIPCDEKSVYWFFTWTPINQDKELAQDPAKLKQYVLNKLEKMPSDVKHFIENTELDAFQSAPLRHRHPWELMMGNISKGNVCVAGDALHPMTPDLGQGGCSALEDGVVLARCLAEAFSKKPKEEEEYKRIEESLKKYANERKWRCIDLITASYIVGYIQQSGSKSVNFFRDKILATFLAAQLLKKSDFDCGQLK >RHN60077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:18712006:18715066:1 gene:gene22284 transcript:rna22284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MALDQYMDDTVSQIDTFEEKPSLKNWKTSTDVIADSDRNASSGFDCNICLDCVQDPVVTFCGHLYCWPCIYKWLDIQSGISSENEKQKPQCPVCKSELSQSSLVPLYGRGQTMTLSEGKAQQVGIVVPRRPTGPRSFNPTTVSQPTYQNYQHPRQFNSIPSSYPSPMFSTSGSALDNTYGIFGEMIYGRMFGNQIENMYTYPNSYSFQGTNNPRMRRHLMQVDKSLNRISFFLFCSLVLCVLLF >RHN58102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43759714:43762770:-1 gene:gene33711 transcript:rna33711 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTAIRNAAKKLKPKLGAVTLTTPPEQRQTITRALFDIVKEHGPITVSNTWERVKEVGLKDLTSKNHMKVVLRWMRERQKLRLVCNHVGAHKQFLYTTWFTKPGTTQTTTTTTTTTTKSIPSKKRLARS >RHN47200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37822347:37826001:1 gene:gene41766 transcript:rna41766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MMVLPTLIMILCVLPTLSVAEDSEAKLALLKWKDSFDDQSQTLLSTWKNNTNPCKPKWRGIKCDKSNFISTIGLANLGLKDTLELALDINHLSGSIPSTIGDLKNLIKLYLGSNNLSGPIPASIGNLINLQVLSVQENNLTGTIPASIGNLKWLTVFEVATNKLHGRIPNGLYNITNWISFVVSENDFVGHLPSQICSGGSLRLLNADHNRFTGPIPTSLKTCSSIERITLEVNQIEGDIAQDFGVYPKLQYLDLSDNKFHGQISPNWGKSLNLQTFIISNNNISGVIPLDFIGLTKLGVLHLSSNQLTGKLPMEVLGGMKSLFDLKISNNHFSDNIPSEIGLLQRLQELDLGGNELSGKIPKELVELPNLRMLNLSRNKIEGIIPIKFDSGLESLDLSGNFLKGNIPTGLADLVRLSKLNLSHNMLSGTIPQNFGRNLVFVNISDNQLEGPLPKIPAFLSASFESLKNNNHLCGNIRGLDPCATSHSRKRKNVLRPVFIALGAVILVLCVVGALMYIMCGRKKPNEESQTEEVQRGVLFSIWSHDGKMMFENIIEATANFDDKYLVGVGSQGNVYKAELSEGLVVAVKKLHLVTDEEMSCFSSKSFMSEIETLTGIKHRNIIKLHGFCSHSKFSFLVYKFLEGGSLDQILNNDTQAVAFDWEKRVNVVKGVANALSYLHHDCSPPIIHRDISSKNVLLNLDYEAHVSDFGTAKFLKPGLHSWTQFAGTFGYAAPELAQTMEVNEKCDVYSFGVLALETIMGKHPGDLISLFLSPSTRPMANNMLLTDVLDQRPQQVMEPIDEEVILIARLAFACLSQNPRLRPSMGQVCKMLAIGKSPLVGKQLHMIRLEQLHYEIVYCT >RHN74818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36895119:36896564:-1 gene:gene10938 transcript:rna10938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MSTTLIKITSLSLMLCLFMSIKTLAQSENEKILDTKGHPLERGKEYYIKPAITDSGGRFTLIDRNGSCPLYVGQENTDLGKGLPVIFTPFAKEDKVIKDSRDFKVKFSASSICVQSTEWKLGDRDTKSGRRVIIAGSDGSYFRIVKAEFEGVYNIRFCPTDTCSFCRFDCGFVGGLRENGKILLALDGGVLPVVFVRA >RHN61569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36484409:36487679:1 gene:gene24047 transcript:rna24047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nitric-oxide synthase (NADPH) MIVARKFSPSKLKSLVYLALLSDCKTHVQSNLFTTLTPDSNPHLHSLTKNLPHPSSNLIRYFSSAPKQKLPLSREGNYDEGTSQSLHVCPGCGVFMQDSSPKHPGYFIKPSEKDVTYKLHTHLEPVAQESEFSKTVKKGFVVEPEKLCSSDDSLIRKPEKPVVCARCHSLRHYGKVKDPTVENLLPDFDFDHTVGRKLASASRTRSVVLMVVDAVDFDGSFPRKVSNLVSKTIEDNYTAWKQGKSGNVPRVVLVVTKIDLLPSSLSPTRLEHWIRQRAREGGIIKITSLHMVSSLRDWGLKNLVEDIVELAGSRGNVWTVGAQNAGKSTLINSIGKHVGGKISHLTEAPVPGTTLGIVRVEGALPSQAKLFDTPGLLHPYQITTRLMREEQKLVYMTKELKPRTYRIKAGHSIHIAGLMRLDVEETSLDTIYVTVWASPYLPLHMGKIENASKMFKDYFGHQLQPPIGEERVKELGNWVRREFHVCGNSWDSSSVDIAAAGLGWFAIGLKGEAVLSAWTYEGVDVVQRNSLIPYRSNTFEVAGFTVSKIVSQSDRASNKPRSDKKAKRNDSKLLSGSVESPLLASDGGI >RHN45177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12640022:12640623:-1 gene:gene39400 transcript:rna39400 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNFSYSVSLSCIFGGITKTSNRHMTTTYKNSFDSYVLTFFNRKYIHSLKLVDYTENNTKIPIDLVIIGTGIFYFF >RHN46405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31443250:31445758:-1 gene:gene40887 transcript:rna40887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative T-complex protein 1, beta subunit MGQACTIVLRGASHHILDEAERSLHDAVCVLSQTVNDSRLLLGGRWPEMVTTKEIDALVRKTPGKSLLQWRRHFPNNHY >RHN64544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59944815:59947614:1 gene:gene27369 transcript:rna27369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zf-FLZ domain-containing protein MLRKRPSPMIGKLSELLVSGGRMMDTTGSPRGPLDTKMQSPRGLKNYDLGGVGLKIVAALDNNKTCEVLPKHAVCTSNLNRSGPIQIQSVKSPNRFQMDYSSMNEIDMESMEEEYTYVTCHVPNKTFTKVYYDGGEGDVRRQQGYNYINKNNVGVVRRSSPPPQIFIEPEPIFPTSSFLNSCNLCGKNLHGKDVYMYRGEKAFCSTECRSSQIMMDERKERCGSEASRSVELSSSPYARDQIFSTGILAI >RHN42680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40233140:40234403:1 gene:gene49158 transcript:rna49158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MKNMMQQSLISTSFFLLAIAFYTPTTLAQLSPIQTPSTSSPSPPLPSTTASPPLPATTATAPSPGLSTVPLVPTTPTGAPSPTITVPKGPTIDIINILQKAKRFSVLIRLLKTTQLINQLNSQLVSSPSGSGGLTIFAPEDSAFSKLKAGFLNSLTDRQKVELLQFHSLASFVSISNFDTLTNPVQTQAGDDARLQLNVTTYGGSQVSMATGAVNATVTGTVYTDSKLAIYQVDKVLMPLDLVLPAKAPALAPAPAKGLLPKAGKTNSSVADDGSGAGSDDGDGKDLPADVSAAGSVMWVNAVVVFGMGLVGGAVL >RHN46915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35760297:35761157:-1 gene:gene41450 transcript:rna41450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MMRFCPKVSFLLVITLILTSCVYSEAQKCRPNGRIRGKKAPSGQCNKENDSDCCVQGKMYTTYECSPSVSAHTKAYLTLNSFQKGGDGGGPSACDNQYHSDDTPVVALSTGWFNDKSRCLNKITISANGKSVVATVVDECDSTMGCDEEHDYQPPCPNNIVDASKAVWKALGVPQDQWGGLDITWSDA >RHN66186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9545835:9546575:1 gene:gene14119 transcript:rna14119 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMKFFAFFFVVVFAAAVASAQDLSPSLAPAPDPDAGAAGSVTNSVAMIGASIILSMLAIFKN >RHN64192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57179060:57179440:-1 gene:gene26979 transcript:rna26979 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPDDRNRGPSPKHCCLWVFREFLSVWAMERATVEIWVMKEYKVHSSWTKTLVLSISGIPYFSPICCTKSGHIIGTDGYNRLMKYDDKGRLFERHTHFKDKNYHRSRVAMYTESLLSLPIDNMQG >RHN46742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34489732:34490286:1 gene:gene41264 transcript:rna41264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MCRTPNLKRLVFPISGNISKIGIETAMRSWRDLQSITITSVVHHFNIFEAIRKYCKNIVSLKITGGFEQYEARALVKCTPNLKVLSIRKMKVNMGGLCHVLNNLEHLEVVNLSHSLIVDKVDGAFHLYSIDDVLSRVNISCKLITCQITTSHRCKNPFARNPRRMPHGSLENIWREDEISSLSH >RHN50807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10689405:10696484:1 gene:gene35142 transcript:rna35142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative T-complex protein 1, theta subunit MAYGMQSMLKEGHKHLSGLDEAVLKNIDACKQLSTITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLASKAQQEEIGDGANLTISFAGELLQGAEELIRMGLHPSEIIIGYTKAINKTVEILDELVEEGSENMDVRDKEQVISRMRAAVASKQFGQEDTLCSLIADACIQVCPKNPANFNVDNVRVAKLLGGGLHNSAVVPGMVLRTDAVGSIKHIEKAKVAVFAGGVDTSATETKGTVLIHSAEQLENYSKTEEAKVEELIKAVADSGAKVIVSGGAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGSVAMLKLCQPNPDDLGFADSVSVEEIGGARVTIVKNEVDGNSVATVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRIVPGAAATEIELAKRVKDFSFKETGLDQYAIAKFAESFEMIPRTLAENAGLNAMEIISSLYAEHANGNTKVGIDLEPGVCKDVSTMRVWDLHVTKLFALKYATDAACTVLRVDQIIMSKPAGGPRRDQPAPGMDED >RHN70580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52450879:52453200:-1 gene:gene19174 transcript:rna19174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MAKCHRSNVDSIVLHHRHHAKNTTAGNFRFSASSFRRIIFDALSCGGASRHHRHYHREEEISSVASTATVKELRGEVQEEKTEKLLDLLNIQVHETNAESKKKEETLTEMKHVVKDLRGEDSTKRRIAAARVRSLTKEDSEARGSLAMLGAISPLVGMLDSEDLHSQIDSLYALLNLGIANDANKAAIVKIGAVHKMLKLIESPCVVDSSVSEAIVANFLGLSALDSNKPIIGSSGAIPFLVRILKNLDNSSKSSSQVKQDALRALYNLSINQTNISFVLETDLVVFLINSIEDMEVSERVLSILSNLVSSPEGRKAISAVKDAITVLVDVLNWTDSPECQEKASYILMIMAHKAYADRQAMIEAGIVSSLLELTLVGTALAQKRASRILQCFRLDKGKQVSRSCDGGNLGLTVSAPICASSSSLVKTDGGGKECLMEEVNMMSDEKKAVKQLVQQSLQNNMMKIVKRANLRQDFVPSERFASLTSSSTSKSLPF >RHN74513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34190700:34194691:1 gene:gene10598 transcript:rna10598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGKGRAPCCDKSQVKRGPWSPAEDLKLIAFVQKFGHENWRALPKQAGLQRCGKSCRLRWINYLRPDLKRGNFTVEEEETIIKLHKTLGNKWSKIASYFPGRTDNEIKNVWNTHLKKKLVVKKSESSGDESKLESSITSPSSSESFLSNEVPMKDSEKQVSNNELVIINEDPKGSSSNSLSSSIESNTILNSSQIVDNNNLEQELASLGFYDDPNNLIEIPWESDYDLWNFIDNIGTYQSNVGEETVQDVVEAKNWSSHDEFENEFGVVGETKESNNKDDEVLPKNYEVEQEIDPHEAFDFNDIIMQDSELDFGNIQLWPSLPQNGIPKV >RHN40022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12342891:12344527:1 gene:gene46112 transcript:rna46112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MVVTSQTLEITVISGENIHVTEDAYVVVRGESLNCYTTKTVKDNDNCGKNSSFLSWNEKFLLNMPLHARSITFEVQCKKFKSVRPIGVARIALSDFLNGIAPENCLQILSYKLRNWEGRQNGVIHFSVRVVVPEKTSATVAVEKQTVADGKNYGGRLTGMDVGTKDSNGVAIGIPFWWNYPNII >RHN61463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35616921:35619726:1 gene:gene23934 transcript:rna23934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MAEEYEPENEAEVEEEFSVWKRNTPLLYDLFISHPLAWPSLTVQWLPSPPQPHSNSSFNLHKLLLATHTSDEEPNYLMLAESTLPGNPSQPIIATDPENPILPKVEITQRILVDGEVNRARAMPQNANVVAAKTCNSVVYVFDFTKKRGEGCNPDFRLKGHEKEGYGLSWSGFKNGYLLSGSNDHKICLWDVFGASESNVLDAVHVYEGHESVVEDVSWHFHNENLFGSGGDDCKLIIWDLRTNKAQHSLKPHEREVNFVSFSPYSEWILATASSDTDIGLFDLRKLEVPLHFLSSHTDEVFQVEWDPNHEGVLASSSADRRLMVWDLNRIGDELIEGDEEGGPPELLFSHGGHKGKISDFSWNQNQPWVISSVAEDNSCHVWQMAESIYNDGDDDNNWMGSDQH >RHN59104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7502708:7509206:1 gene:gene21093 transcript:rna21093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S10, serine carboxypeptidase, alpha/Beta hydrolase MNMMVPPSHNSLHYFCQILLSLVLLSLHMLTPLEAYGSKVEHLPGFQGPLPFELETGYVGLGEANDDMQVFYYFVKSESNPQKDPLMLWITGGPGCSSISGLLYQIGPVAFENKEYDGSVPSLVSRPQSWTKLCSIIFVDLPLGTGFSYAKNVTAHRSDWKLVRDAHQFLRKWLIDHPEFLSNEFYIAADSYSGIPVPALVQEISNGNEKGLQPLINLKGYLLGNPLTTFKEQNYQIPYAHGMGLISDELYASLQRNCKGEYIDVDSGNELCLRDLQYFHECLSGINTFNILDSYCEDDPHLWRRSLIQELKSSPSSHLKVPELSCQIYSFYLTTKWANEESVRKALHIREGTIGKWERCYMNDFEYDIFGSVEFHANLSKKGYRSLIYSGDHDAVVPFISTQAWIRNLNYSIVDDWRPWFVNGQVGGYTRTYSNQMTFVTVKGSGHTAPEYTPDQCFGMFTRWISNLPL >RHN66483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12924956:12927265:1 gene:gene14482 transcript:rna14482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MMSSYVSLFSPTSIINVEVLSHIGVIYYTFISGLEMDLNGILHVKKKAATIAISGIIFPMVMGPPLYILHRKFYGNGDGSKLERCTMKVYIEWTLILTVTSFSIVAHTLSELKLLNTGLGQTALTASMISDTYSWILYTVILPFSINGVGAIYSVLSTVIFVFICIFLVRPIIVKFIDRKTERDEWNDKELLFLVMGIFVCSCITDILGTQDIVGAFVYGLILPHGKFADIVTTLTDDFCGGFLAPLFFSRNGMRFFVNSIFIYPTWPLTLVIIILLCVPKILSTLFATFFFGMRTQDSLALGMILNTKGALALIMLNTSWDRKILSPPTHAVLTAAVLLMTIVVPPTINVIYKPRKRFEQNKLKTIQKLRLDAELRILTCVHNARQATGVISLVESFNATRISPIHVFALYLIELKGRTGALVAAHMDKPSNQPGAQNLTRSQVEQESINNTFEALGEAYDAVRVETLNVVSSYSTIHEDIYNSANEKRTSMIILPFHKHLSSLGALETTSVAYKDINQNVMQTSPCSVGIFVDRNLGSITKMNFRICMIFIGGPDDHEALAVAWRMVGHPGTRLSLVRMLLFDEAAEVNIISHYEVQGILSVVMDNEKQKDLDEEYVNSFRLKAVNNNDSISYSEVDVHSSEDIPTILNDLDKFGYDLYIVGQGHHRNVRAFSSLLEWCDCPELGVIGDMLASNNFSSGSSVLVVQQYGYGGMVSGNQPNHVSTNKDGFEELVV >RHN52176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32356363:32357249:1 gene:gene36813 transcript:rna36813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MVYGLFMCRGDLPVRLCGQCVKNATDQIYSKCRSSPKGIVWYSHCLLHYSDRKFFSNVETSPMYSDINITKIPSQTKTCSLIHYGIS >RHN78405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14835000:14835389:-1 gene:gene1957 transcript:rna1957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative retrotransposon gag domain-containing protein MQSDVDESSSESSSLRSRRPQRQSFRDNDIKVDIPDFEGKLHPDEFVDWLQTVERVFEYKEILEEKKVKIIAVKLKKHASIWWENLKTKRAREGKSKIKTWEKMCRELSKKFLPSHYYQDSFIQLQNLR >RHN72808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10867484:10870917:-1 gene:gene8567 transcript:rna8567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MEIFKFFYFINLLSTFILSSSSSLAIDPYSQALLSLKSELIDNDNSLHDWVVPSGGNLAKSGSSYACSWSGIKCNKDSNVTSIDLSMKKLGGVLSGKQLSVFTEVIDFNLSNNLFSGKLPPEIFNLTNLKSLDIDTNNFSGQFPKGISKLKSLVVFDAWENNFSGQLPAEFSELENLKILNLYGNSFSGSIPSEYGSFRSLESLLLAANSLTGSIPPELGNLKTVTSMEIGSNSYQGFIPPQLGNMSQLQNLEIADANLSGSIPKELFSLTNLQILFLSINQLTGSIPSEFSKIKLLTFLDLSDNLLSGSIPESFSELKSLIILSLGSNDMSGIVPEGIAELPSLEFLLISHNRFSGSLPKSLGKNSKLKSVDVSVNNFNGSIPPSICQATQLSYFSVSYNMQLGGNIPSQIWSMPQLQNFSAYSCGILGNLPSFESCKSISTIRLGRNNLSGTIPKSVSKCQALMIIELSDNNLTGQIPEELADIPILESVDLSNNKLNGLIPEKFGSSSSLKLLNVSFNNISGSIPEELADIPILESVDLSNNKLNGLIPEKFGSSSSIKLLNVSFNNISGSIPKGKSFKLMDTSAFVGNSELCGVPLRPCIKSVGILGSTNTWKLTHILLLSVGLLIILMVLGFGILHFKKGFESRWKMISFVGLPQFTPNDVLTSFNVVAAEHTEVTKAVLPTGITVLVKKIEWETRSIKLVSEFIMRLGNAARHKNLIRLLGFCYNQQLVYLLYDYLPNGNLAEKIGMEWDWSGKFRTIVGIARGLCFLHHECYPAIPHGDLNSTNVVFDEDMEPHLAEFGFKHVIELSKGSSPTTTKQETEYNESMEEELGSDVYNFGKMILEILTGRRLTSAAANIHSKSHETLLREVYNDNEVTSASSMEEIKLVLEVAMLCTRSRSSDRPSMEDALKLLSVKNF >RHN66354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11321486:11324182:-1 gene:gene14320 transcript:rna14320 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFISKVVRCLHPSSAHICRHSCKHKRWINLSKRSTSFVEK >RHN49781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1102677:1103454:-1 gene:gene33992 transcript:rna33992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MRTDLQSSVFFPYIAFMQNMLNFFCPLHTCRKAILRKIYPAEEVDAEIQALQESVAMELKEAESSEKISMMTLLKTTSVRRGLYAGMGLQIFQQFVGINTVMYFSPTIVQLAGFASNQTAMLLSLITAGLNTFGSLISIYFIDKTGRKKLALISLFGVVLSLVLLTVTFRQTETHSPMISEIETYRFNNTCPAFTPSRGGWDCTTCLKASPKCGFCASDSNKVNMQSSSFHRPFLSHFDKDFTIKYYIRP >RHN63393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50902295:50906098:-1 gene:gene26087 transcript:rna26087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-PITSLRE family MAAGRQSVLGKRDSYNYKHGSVKEFDYYKNDSGKEDREEGEILVDGDEILLPLEKRRKFSPVVWDLAEKKGKNSSKDGVTKLYVEEQSSEGDDVLSGSSKNSSCVDEESDMQGWNITKSKWACDDDLSPMADDGKYKLTKSKWASDEFSPIGDDDKYKQRRRSSSSEVGGSSDSTITRSDVDEDVSDDSPSDAYENSGMMHVERSFNMCQSCRSVSEFEMIKKINEGTYGVVYKAKDKKTGEIVALKKVKMDMEREGFPISALREMNILLSLDHPSIVDVKEVVVDDNDNNDGTYMVMEHMQYDLKQLLESKSQPFSMGEIKSFMKQLLEGVKYLHDNWILHRDLKTSNILLNKDGKLKICDFGMSRQYGSPLKQYTSLVVTLWYRAPELLLGAKKYSKAIDMWSLGCIMAELISKEPLFKGKTEVEQLDKIFRTLGTPDEKTWPGLSKLPGSKANFVKQRCSMLRMKFPAASFTGLPVLSESGFDLLNKLLAYDPDKRISAEAALRHDWFREGPLPRSDCNPVFSSW >RHN41019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25981790:25984887:1 gene:gene47291 transcript:rna47291 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELHYFKRLHLSYLHSLVHLHVVNEIRAIIHSLKRNKMAPHREEALVYEQLSILVSSLVNVGCRWR >RHN59260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8875647:8876920:-1 gene:gene21264 transcript:rna21264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MYYLNITTQSFLCNELRRELKRQLRENKRNRISELPDCLLVHILSFLEAEDVVRTCILSKRWKDLCKRLPILTYIPSSAQSFKNFYSWEGEEDLYKLVQYALSHNLQHLKIYINRSIKPKSELLPLISTSHSLTFLKLSYSRHGWVVVCPKSLQFPALRTLHLECVNFVATHHHYADPFSNCHELNTLVLDDCKLIEDAQVLCISSYALSNLTISYVALAAHQFSLSTPNLSHFTMSGRSIFHQLLFSTCNLSFLQQVKMHGLSYDGKTSIFLTWLQVFCHLASCY >RHN75922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46165642:46167730:1 gene:gene12183 transcript:rna12183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MRAFEIVLVLLWFLPKMFCTNVDYDHRALVIDGKRRVLISGSIHYPRSTPQMWPDLIQKSKDGGLDVIETYVFWNLHEPVKGQYDFDGRKDLVKFVKAVAEAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIKFRTDNEPFKAEMKRFTAKIVDLMKQEKLYASQGGPIILSQIENEYGDIDSAYGSAGKSYINWAAKMATSLDTGVPWVMCQQEDAPDSIINTCNGFYCDQFTPNSNTKPKMWTENWSAWYLLFGGGFPHRPVEDLAFAVARFFQRGGTFQNYYMVLQPEMFFTSSIYYMVLFLRPVFKRN >RHN51728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23620777:23624596:-1 gene:gene36237 transcript:rna36237 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEPESSKSTSYNNVSHDYGTSDKKSDSGKAPKFNGDPEEFSWWKTNMYSYIMGLDEELWDILEDGVDDLDLDEEGAAIDRKTHTPAQKKLYKKHHKIRGIIVASIPRTEYMKMSDKSTAKAMFASLCANYEGSKKVKEAKALMLVHQYELFKMKDDEIIEEMYSRFQTLVSGLQILKKSYVASDHVSKILRSLPSR >RHN73561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17682791:17684692:1 gene:gene9386 transcript:rna9386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MFEKLEGPTIGIDLGTTYSCVGVWQHDHVEIIANDQCSRTTPSYVAFTDSESLIGDAAKNQVARNPINTIFDAKRLIGRRFSDASVQSDMKLWPFKIISGLDEKPMIVVNYNGEDKQFAAEEISAMVLMKIREVAEAYLGSAIKDAVVTFPAYFNNYQIQATVVACVFAGINVRGIIKEPIAAAIAYDLDTKATSVGEKNVLIFDLGGGTFDVSMIKKCEGCGFIKVKAISGDTHLGGEDFNNRMVNHFVQVFKRKNKKDISGNPRALMRLRTACEKAKRTLSFTLQTTIEIDCLFEGIDFCSSITRARFEELNMDLFRKCMEQVEICLRDARMDKKSVHDIVLVGGSTRIPKVQQLLQDFFDGKELCNSINPDEAVAYGAAVCAAQFNEGTRIKDNNLLGKFQLSGIPPAPRGVPQISVCFDIDANGILDVFAEDKTTCQLIKIVLFSTKITITNDKGRLSKENIEKMVREAEKYKSEDEEHK >RHN44602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6687463:6690577:1 gene:gene38740 transcript:rna38740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NTF2-like domain-containing protein MFMVCSSSSSTQVLILNSASPLTSLSHRRIYVPNSVTKVVGVGRRGGKWNMTVNNNGENNSITTTTTHFDDNKVVDSASKVVRNFYEGINAHDVDSVQYLISENCVYEDLVFPRPFVGRKEIIEFFKKFTESTSLDLQFVIDDLSTEDTSSVGVIWHLEWKGKPFPFSKGCSFYRLEVINGKRQITYGRDCVEPAIKPGDATLAIIKSVTWLLQRFPQLADRL >RHN45535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22561249:22577471:1 gene:gene39897 transcript:rna39897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MAKTIHIAVIPSPGFSHLVPIVEFTKRLVTNHPNFHVTCIIPSLGSPPDSSKSYLETIPPNINSIFLPPINKQDLPQGVHPGVLIQLTVTHSLPSIHQALESLTSKTPLVAIIADTFAFEALDFAKEFNSLSYLYFPCSSFVLSLLLHLPKLDEEFSCEYKDLQEPIKLQGCVPINGIDLPAATKDRSNEGYKMYIQRAKSMYFVDGILINSFIELESSAIKALELKGYGKIDFFPVGPITQTGLSNNDVGDELECLKWLKNQPQNSVLYVSFGSGGTLSQTQINELAFGLELSGQRFIWVLRAPSDSVSAAYLEATNEDPLKFLPKGFLERTKEKGLILPSWAPQVQILKEKSVGGFLSHCGWNSVLESMQEGVPIML >RHN81775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48798371:48805021:1 gene:gene5863 transcript:rna5863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mRNA (2'-O-methyladenosine-N(6)-)-methyltransferase MDSVEKKRDEEDWEFTDKRKQQRPRKYVNGGGDEGEGEAEGEREGSDGSGRRKRGDYDSRSKVAAKNTLEKLSSFYEDGEFDGGDKMRESGRESRDKSRGNSEQGKSSRRKWDEVDVVSVKKVQESGSEKGDGKIGKRSDSRERSGSGRNEHGKEDRRSDSERVKSKGDDRVEKPKRHRTPPTGFDVVETVEKPGNVDEDGSVRVRDKSLRETGNSDRSKTPEKSGKRHQDSENFEMDHEKSGSLKRKEIENDGGKDDRSKGGKDETWSNRRKDRESSKDNWKRRPQSNSDRDSKNEDGAFDHNREWELPRHGYDRMDNERPHGRAGGRKDGFRGEAVKTTTKFGISNDNYDVIEIQPKFVDYGKTDSGSNLGKRTEPNQQNNAKSGGNNEERTHHQEERGRKSDSSGSVAPGEDQKERYGDDDYDFYGGRGRGQRGVATPRSTGGSQSQYGNQDSGSFNRGGPQGIKVNRVGVRGGRIRPPGRDNQQVGMQLPMMGSPYGPLGMPPPGPMQPLSHGMSPGPPISPGVFMSPFNPSVWPGPRGVDMNMMAVPPVSPVPPGPRFNAANMGNPPNPAMYFNQSGHGRGIPPSISSPGFNHTGPMGRGTQPDKTQGGWAPPKSSGSMGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTNVVEDYPKLRELIQKKDEIVEKAATSPMYYKCNLKEFELTPEFFGTKFDVILVDPPWEEYVHRAPGVAEHTECWTFEEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKSTATPGLRHDSHTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTQKPEDMYRIVEHFALGRRRLELFGEDHNIRAGWLTLGKELSSSNFNKEAYVKNFGDKDGKVWQGGGGRNPPPEAPHLVVTTPDIEALRPKSPMKNQQQMQQQQSVTISLTSGSGSNRRPSTPQNPIALGVNQDASSSNPSTPAPWANSPMEGFKGREGSVMPSDDKVFDMYGFNGPPPPGYLDFDTLRQMNML >RHN77115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4196947:4199230:-1 gene:gene537 transcript:rna537 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYGIHDTYSTTPTPRFLHFFLAGVVAVIIVLCFWLFYEIFIGCCRESSQPVAPQPVNEVEMLPV >RHN72303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6612041:6612304:1 gene:gene8001 transcript:rna8001 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYGNKDSWTKLFSVSNMGGVNSYPHSKALYVYEDNQVLLELKSKLVVYNSTDGTFKTLKIPMRPCHNWMIPEVYQESLISVILNS >RHN53957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5744090:5747638:1 gene:gene28932 transcript:rna28932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase, strigolactone esterase D14 family MGIVEEAHNVKVLGTGNRYIVLAHGFGTDQSVWKHFVPYLVDDFRVVLYDNMGAGTTNPEYFDSERHSSLEGYAYDLLAILEELQIDSCIFVGHSVSAMIGAIASITRPDLFLKLIMVSSSPRYLNDVNYFGGFEQEDLNQLFNAMAENYKAWCYGFAPLAVGGDMDSVAVQEFSRTLFNMRPDIALIVSRTIFQSDMRQILNLVTVPCHIIQAEKDMAVPVMVSEYLHQHLGGQSIVEVMTTDGHLPQLSSPDIVIPVLLRHIQLNIEPTSCQRNYHTFQNQEASSTKTTNTEASSLMKMEADL >RHN47564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40649252:40652449:1 gene:gene42180 transcript:rna42180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4,5-9,10-diseco-3-hydroxy-5,9,17-trioxoandrosta-1(10),2-diene-4-oate hydrolase MSLAVSSSCSPKKWINNCIRVFNSIICYIVFLIFDLLDAVLCVIYRYLDERIDGVASSCCCSKWERQKKMVMNDEDDGVSESLYERKNMFREIGLLQFGGKREEEDSYGKCGGRIVKSWSDCGCESCLSWVNGGGDDYKLHFVVKEPLIATGENCKGDPYENVIFLHGFMCSSSFWTQTVFPCFSENVNHNYRLIAIDLLGFGKSPKPRDCLYTLKDHVEMIEKSVVQPLQLGSFHLVAHSMGSVIALALAAKYPNCVKSITLVAPPYSSYEENDACLKALEKFAGKKLWPTLSAGSSFMSWYEHLGRTVCLIYCRNHRTWERILKFITRKRDLNFLITDMTRHTHQSAWKSMHNVICGGAKFMDSYLKILTKNGVRINVIQGDGDQVVPKECITKFKLKAPYAEINIIPNADHSTVLLGREKQFAQSLEHTWASC >RHN78718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17792039:17793723:-1 gene:gene2363 transcript:rna2363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MYVRQTISFINHKLIKTYSISYIESGENMTEIVKFNLIIILLSVFIVAMNVDAYVPCRRNADCLGEKCLPPKRYWCRIITEPYEDFPMGRCDCI >RHN42693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40282956:40286804:-1 gene:gene49172 transcript:rna49172 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLVIQDMISLDNNNNCHDMTYAEVEIESGLDLISHILINVYMNDIAIFVLKGGKNEKCSLLSLKHPLSGDIPPSLSCSYIVFCWVLLGTFDIDLFSPVKFIFTGKVLVIVIYTILGFYWSIFAKIQQLMIIEIWTMRE >RHN77884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10208393:10216500:-1 gene:gene1386 transcript:rna1386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Protein-PII] uridylyltransferase MAKVCWPYFDPEYENFSNRINPPRVSMDNDSCHDCTLIKIDSVNKPGILLEVVQILTDLDFIITKAYISSDGSWFMDVFHVTDQQGKKITDNKIIDFIEKALGPKGRKSPEGVKSWEGKRVGIHSIGDHTAIELIGRDRPGLLSEISAVLASFQFNVIAAEVWTHNRRIACVLYVNDATNQAVDDDSKRLSLLDEKLNHILRGCEDDDNVARTSFSMGFTFTHMDRRLHQMLFADRDYESAGGVTTATNVDSPPSFRPNIEIERIEEKGYSVVSVRCKDRAKLMFDIVCTLTDMEYVVFHASISSDGPYASQEYFIRHVDGCTLDTEGEKERVIKCIEAAIQRRVSEGVSLELCAKDRVGLLSEVTRILRENGLTVCRAGVSTIGEKGLNVFYVRDAYGNPVDMNIIEALRKEIGQTVMVNVKKVPANTKAPETSRGWARVSFFFGNLFERFLT >RHN43439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45901334:45903455:-1 gene:gene50016 transcript:rna50016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arabinogalactan peptide, AGP MASSKHSFGFGVMAIIATLIFTISFPAAVQAQTLAPAPSPTSDGSSVDQGIAYLLMLLALVLTYIIHSADISSTF >RHN76208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48536446:48540690:-1 gene:gene12499 transcript:rna12499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MEKDKSLGLGGGFPPPSGRYPGYSPSGSGFNVKSEPPSSSYPPLVPGTSSDSSGFSHDISKMSDNPPRNRGHRRAHSEILTLPDDISFDSDLGVVGGADGPSFSDDTEEDLLSMYLDMDKFNSSSATSNFQMGEGSNAAGASGLAPMSVGQTSGGGTSSGENMAVGTTNERPRIRHQHSQSMDGSTTIKPEMLVSGSDDMSAADSKKAMSAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATSLSAQLTLLQRDTSGLNSENSELKLRLQTMEQQVHLQDALNDALKEEITHLKVLTGQAMPPNGGPMNLASFGGGQQFYPNNNHAMHTLLAAQQFQQLQIHPQKQQQHQFQQQIQQQQQIQQQQMQQQQMQQQQQEQQQSGDLKMRATTPPCPKDNPSSDVNPSATKDC >RHN56823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34010217:34012899:1 gene:gene32279 transcript:rna32279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEAGTEDCEETVDSSEDVNEKNSVSVMISDPNSFDCCICFQPLSIPVFQCVNGHIVCSTCCDKHRNKCPKCSKRIRLKRCKAIENLLQSFEMSCPNEKHGCKETMGYNEKKKHEEECMYVPCYCPLSGCDFVASSEVLSNHFSHKHKDFQSTFSYGHSFIVSLKFNDEAIVLQEECVGKLFILNNSIVSLGNAVSISCIGPNYSEPWYQYDILARSQICSLKLQSFPKNVQRVSLADLSSTFLVIPFGHFGSSELLELEICITPKMQIFIKTLTGKTIPLKVESSYTIANLKAKIREKERIPVDQQCLIFASKELADCQTLANYNIQEKSTLNLILRFGSRPLP >RHN69717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45771948:45772346:1 gene:gene18226 transcript:rna18226 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLALLLPLKPSNASRLPPQITSILSLSLINLFIKSFSPIMLYYL >RHN74377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32336703:32341970:1 gene:gene10433 transcript:rna10433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative YTH domain-containing protein MAAELKKAADEMNKKKLHGDSSTAEHNNSNMVSSKGGGSSPSDARSCVSSIGDASGSFKEGDVDHEFQSADQNVAYSAGSYYGYYYPGYGGFYGEQENQGYYVGADAMDFQYPVMQADNGSYVYLMPGYQTGYSSYFPVNTPGVDVQYQVYPPGSVFQQPIGSPGYISPYGELLPSTYSWDSSLTTQDVAQGNRYNELASKPSGRSIFSSQSRTGSGIVSKSVPSSNVSNSSEVKGSPPILDVSSTHVKRNQPKQANKASISGPVLHSDAMPKGSFPVAKFSAYNQGKSGFPYQNNFPNMKATSTKGWVSTEKLKLRSKVNDSLNEQNQGPRTANAKGTLNSGGNSEDKSVNGNTKIRTDQYNLPDFPTKYDHALFFVIKSYSEDDVHKSIKYDVWASTPNGNKRLDNAFQDAQNRMEEKGSKCPVFLFFSVNASGQFCGVAEMIGRVDFNKSMDFWQQDKWNGYFPVKWHIIKDIPNPQLRHIILENNDHKPVTNSRDTQEIHFPQGIEMLNIFKNYVSRTSILDDFDFYESRQKVMQEKKIRQPMPHTNVQHIEDLTSALGSVDISAVKNMEDPKLVEKVND >RHN73758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19696846:19702516:-1 gene:gene9620 transcript:rna9620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MALSSTKELVLSRTKPIPTLITCTFILTLLLSTPALCASAPTKATSEFEFLKVAPSEFVGTVQDVVGILQEVMSILSQFGGSGFGDSRLSNAVSDCIDMLDLSSDALTWSASAAQNPKGKHNSTGNVNSDVRTWLSSALANPETCMDGFEGTSGIESQLVSTGLSQMMSMLAELLTQVDPNLDSFTQKEQKGRFPSWVKRDDRKLLQANGVNVDVVVATDGSGNFTKVMDAVHAAPDYSMKRYVIYVKRGVYIENVEIKKKKWNLMMVGDGMNATIITGNRSFIDGWTTFRSATFAVSGRGFIARDISFQNTAGPEKHQAVALRSDSDLSVFYRCGIFGYQDSLYTHTMRQFFRECKISGTVDFIFGDATTLFQNCQILVKKGLPNQKNTITAHGRKDPNEPTGFSIQFCNITADTDLLPSVNSTYTYLGRPWKEYSRTIFMQSHISDVLRPEGWLEWNGDFALDTLYYAEYMNYGSGAGLNKRVKWPGYHIMNDSSQASNFTVTQFIEGNLWLPTTGVAFTAGLGV >RHN54900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13336190:13336597:-1 gene:gene29998 transcript:rna29998 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNKNLCLVLVVFLTKLMLSSRKLVLVVLDEQSSFLISRIRVGQSKLVELHQMSRIQKQIIIKSLILNEVKLLVNQVAFG >RHN38731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1631844:1632647:-1 gene:gene44696 transcript:rna44696 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSTITVIEVALVSRTAAIINLIVWMFQIAVVVDVNWPVITNADLEASKKFMKSIAIICVISLLWMINCIVHTLDALLYRSA >RHN57905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42510636:42513848:1 gene:gene33496 transcript:rna33496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MTYGITARAVFGKRNRHQDVFIPALEKVVVLLGRFEIADLYPSIKLLQWMTREKTKNKPCLSRHSLDSLDQTTNMELHNPFSNIIFMLSFLILLVLFKIVKRWSFNNSTTKLPPGPWELPLIGNLHQIISRSLPHHRFKILADKYGPLMHLKLGEVPYIIVSSPEIAKEIMKTHDLTFSDRPNLLLATILTYNATDVIFSKYGERWRQLRKICVVELLSAKRVQSFRSTREDEVSNLATSITASEGSIVNLTHKIFSMTYGITTRAAFGKRSKHQQSFKSAVEEIVSLAKAKMEKLHKEIDMILQDIIDDHKSIHKEDSNEEYLVDVLLKIQQENYHSQHPLTDDNIKSIIQDIFDAGTETSSTTVLWAISEMVKNPKVMEEAQAEVRRVFDRKGFVDETELHQLIYLKSVIKETMRLHPTVPLLLPRESRERCQINGYEIPAKTRVMVNAWAIGRDPRYWVDAESFKPERFVNSPIDFKGTDFEYIPFGAGRRMCPGIAFALPNVELPLASLLYHFDWKLPNKMKNEELDMTESFGITAGRKHNLCLIPITRRL >RHN53654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3132765:3137274:-1 gene:gene28583 transcript:rna28583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase D MAHLVYGETPSFGNSTHGQGQQLVPFPTTSTSLRILLLHGNLEICIQEAKNLPNMDTFHKNLGAMLSILPKKLGNKMNQTSDPYVTVSVAGAVIARTSVIRNDENPVWMQHFNVPVAHQASEIHFVVKDSDIVGSQLIGAVGIPVEKLCDGAKVEGFFPILNTNGKPFKTETVLSLSIQYTPIDKITLYSNGVGSDYQGVPATYFPLRKGGKVTLYQDAHVPQGCLPGLRVDGGDHDVIYESGNCWQDIFDAISQARRLVYIVGWSVYYNVSLIRDTRDGKDCTLGDLLKAKSQEGVRVLLLVWDDPTSKSMFGYKTVGLMNTYDEETRSFFKHSSVKVLLCPRSGGKGHSWLKQQEAGTIYTHHQKTVIVDADAGQHKRKIVAFIGGLDLCLGRYDTPAHSLFRTLQTTHKDDFHNPNYEGSVTGCPRQPWHDLHSKVDGPAAYDILTNFEERWLMALKMTTLQKMKTSHDDSLLKIDRISDIVGIDEVPFHDEHNKENWNVQIFRSIDSNSVKGFPKEPKEAIQRNLVCGKNVMIDMSIHSAYVKAIRAAQKFIYIENQYFLGSSYNWDSYKDLGANNLIPMEIALKIANKIKHKERFCVYIVIPMWPEGVPSSTATQRILFWQFKTMQMMYGTIYKALEEAGLENEYEPQDYLNFFCLGNRELSDNENISNGVKSNGKNTPQALTKKNRRFMIYVHSKGMIVDDEYVLMGSANINQRSMEGTRDTEIAMAAYQPNHTWATKKSNPHGQVHGYRMSLWSEHIGGVEECFKQPESIECVRRIRSLSEYNWRQYVADEVTEMNGHLLKYPLEVDSKGIVKPLVGCETFPDVGGNIKGTFTVLQENLTI >RHN66676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16210276:16216516:1 gene:gene14718 transcript:rna14718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calmodulin-lysine N-methyltransferase MNENGVVALNKKEREKELQKEEDEIVCLDASFFMNDDYQLTEFTFGSQNIQLFCLQSASTDFDLTGQLVWPGAMLLNDYLSKHIEMFQGCTAIELGSGVGITGILCRRFCNKVVLTDHNEEVLKIIKKNIELHSCPENISPTSNGLVAEKLEWGNTDQIHEILQKHPGGFDFVLGADIYILIMYLIMTLISNITTKLKCLKV >RHN63804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54129103:54133144:-1 gene:gene26556 transcript:rna26556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MPVSTRSKITSENDLNPHHGLKEKLKTLTLLYEQQKQQSLTAMRDRNSSIPTTTTVTKTFVLPQPPNQDSKENHNLTVGSDRIVAFSYPKKVTSTKNAVPVVARRLSMPAKVKEEEIGKCGSRIMVFVRVRPMNKKEKELNSRCCVRIVNQRDVYLTEFANENDYLRLNRVKGRHFTFDGSFTDSASQLQVYATTTSDLVEAVLQGRNGSVFCYGATGAGKTYTMLGTVENPGVMVLAIKDLFGKIRQRSCDGSHVVHLSYLEVYNETVRDLLCPGRPLVLREDKQGIVAAGLTQYRASSADEVMTLLQQGNRNRTTEPTRVNETSSRSHAILQVVVEYRVRDATTMSIVNRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGICNTVMIANISPSSLSFGETQNTVHWADRAKEIRLKVSDTNEDQLPMPETETDQTKLVLELQKENRELRTQLAQQQQKLLTLEAQSLASQSSSTPKPSAATLSTPISSQPSEKRRTRPSFLAGTCFTPEIKKKGAEATVRTLQQTVKALEAEIERMKKDHSLQLKQKDDLIREVSQKCGKQALTNGEVGKKVATRAASVQEKEPNNGELKSHRLRSPAPTAKKRSFWDITTNHSPSVTTLNGRKTRSHVLSEPTATAPPPSMLLQPGFARQQANS >RHN58390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1361095:1361671:-1 gene:gene20297 transcript:rna20297 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIFFVLFILIISNAQSIISNEEEGRSIIQINEEAHEFNGNLYGNGNIEVSTRLNSKNVIVASKNEHEHKHELSDAISFSTGGGGRGGGASEGGGMGGGGGVAGGAAGGIIGGGIVGGTVANGGHNGGHNGTHNSATTLSAVPHFCVSTLILCMSFWL >RHN58130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43960323:43961948:-1 gene:gene33740 transcript:rna33740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b561 and DOMON domain-containing protein MTHSHFSPLKTSLENTNLLQKLASNKHPLLQTSITMFHVYLFLLSLSLCFLPHIVFSSHCTTETSTKTFQKCMNLPTQQASIAWTLHPHSSTLELIFFGTFISPSGWVGFGINPTSPQMTGTNALISFPDPNTGQIVLLPFILDTTVKLQKSPLLSQPFDNINLLSSSAAMYGGKMATIHNGAPIQIYAKLKLESNKTKIHLVWNRGLYVQGYSPTIHPTTSIDLSSIATFDVLSGSSSSSSQHTDLTMLRVIHGVLNAISWGILLPTGAITARYLRHFQTLGPSWFYAHAGIQMFGFILGTVGFGIGIQLGKMTPGVEYGLHRKLGIAVFCLGALQTLALLFRPNTTNKFRKYWKSYHHFVGYSCVVLGFVNVFQGFEVIGASRSYAKLSYCLGLSTLIGVSIALEVNSWVIFCRKSKEEKMRKEGLIGTSSDKGSSGIHI >RHN70848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54447696:54448551:-1 gene:gene19476 transcript:rna19476 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNFNEFDMEFLEENYSYVTCHVPIANKTFIRVYYDSGEGDVRIQQDCGGLWIVLIGWVLIMVRFLFWCDMEFVGDVCGDLRSGSTYVVNYSWVCHLDSGWPITFASRSEGFGVSSRRYASMVNCDGIGGYG >RHN49483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55118606:55120950:1 gene:gene44320 transcript:rna44320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MAATMVLQSYYINVVIILMVALTSCFKGTVAQRAFFVFGDSLVDNGNNNYLATTARADAPPYGIDYPTRRPTGRFSNGYNIPDFISQALGAEPTLPYLSPELNGEALLVGANFASAGIGILNDTGIQFINIIRIFRQLEYFQQYQQRVSGLIGPEQTQSLVNGALVLITLGGNDFVNNYYLVPFSARSRQYNLPDYVRYIISEYKKILRRLYDLGARRVIVTGTGPIGCVPAELAQRGTNGGCSVELQRAAALFNPQLIQIIQQLNNEIGSNVFMGANTRQMALDFVNNPQAYGFVTSQIACCGQGPYNGLGLCTPLSNLCPNRDEYAFWDAFHPSEKANSLIVQQILSGTTDYMYPMNLSTVLALDSKNT >RHN74150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29286280:29288650:1 gene:gene10151 transcript:rna10151 gene_biotype:protein_coding transcript_biotype:protein_coding MYDYGRQIASHAQHFPNEVSRTVLKGKRKTMMLIDDQTQQKYKCRLITAERASYEKYLGGQ >RHN71102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56498367:56501435:1 gene:gene19745 transcript:rna19745 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFVTRILVMVFGYAYPAYECYKAVEKNRPEIEQLRFWCQYWILVALLTVCERIGDTFISWVPMYSETKLAFFIYLWYPKTKGTTYVYDSFFRPYVAKHEPDIDRNLMELKTRAGDIAVSYWQKAASYGQTRIFDILQYVAAQSTPTARPAQQRPGVRARQPASSSSNQHAPPTAPPAEGPTPPTSSSSSSQHQKELAEELGSSQVPKTLSSLAGLNTQKNIPTQESGNQSAPAEAEPMQIEAALPSSSSANENPPSSETIMEESIRVTRGRLRKTRSDGTH >RHN67459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27444944:27445768:-1 gene:gene15650 transcript:rna15650 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKDARRVIQEGKTEGWGQLVELPENKRKEGIGFLNSKPGMFDPTRGSFHSAGFIHDSPETNAILDDVSGGVTPVFVTPGGACCNWIAVDIPSVTPRSK >RHN59285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9104403:9104789:-1 gene:gene21290 transcript:rna21290 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSVRSSINDSSFSPSNGTNGRTRIIRVIQEFRTTLGSKIEKVKKNLPMKLLFFLVGFYCATAFSTVIGQTGDWDILSAALAVVVAEGIGALMYSASLPLFTKSLISVFNYWKAGLTLGLFLDSFKY >RHN41591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31324409:31331681:-1 gene:gene47927 transcript:rna47927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-phosphofructokinase MASISHAITTTTNPYFNLPHQTQTPSSILTLSHSNSRRVFKNVGVFAEHRNSSSTSIDFNDPDWKFKFQQDFESRFRLPHITDIFPDSPPIPSTFCLRMRTPIGKDIPGHYTLDEEWNGYINNNDRVLLKTINYSSPKSAGAECIDPDCTWVEQWVHRAGPREKIYYKPEDVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVKKIVGIPFGYRGFSDKELTEVPLSRKVVQNIHLSGGSLLGVSRGGPGVSDIVDSLEDRGINMLFVLGGNGTHAGANAIHNECCKRRLKVSVIGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGVVKLMGRSSGFIAMQASLSSGQVDICLIPEVPFNLHGPHGVLRHLQYLLEMKGSAVVCVAEGAGQNLLQNTNAKDASGNIVFGDIGVYIQQETKKYFKEIGVHADVKYIDPTYMIRACRANASDGILCTVLGQNAVHGAFAGYSGISVGICNTHYAYFPIPEVISHPRLVDPNSRMWHRCLTSTGQPDFI >RHN43254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44371586:44377282:1 gene:gene49799 transcript:rna49799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ClpA/B family, UVR domain, P-loop containing nucleoside triphosphate hydrolase MSRALAQSINVPGLVAGRRHVNNNKGAARSRRSVRMMFTTRTASPRLSSYSGLRTLNSLDSMLRPGQDFHSKVLTQIGTNRAKGGRGSRCVTKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPTNIRTQVIRMVGEGADSVGATVGSGSSNNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVPETIQILKGLRERYEIHHKLRYTDEALVAAAELSHQYISDRFLPDKAIDLIDEAGSRVRLQHAQLPEEARGLEKEVRQIVKEKDEAVRNQEFEKAGELRDKEMDLKTQISALIEKNKEMNKAESEAGDVGALVTEVDIQHIVASWTGIPVDKVSVDESDRLLKMEDTLHKRIIGQHEAVEAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALASYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFERLKTKEIELSVTERFRERVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDADSDGNVIVLNGSTGAPDSLPDALPV >RHN72301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6606381:6608076:1 gene:gene7999 transcript:rna7999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MLSYFRRLFKTSTTPHLLQPFSSSSSSSQNHSFTVNYLIQNCGFSPETASKLSKRVLLNNSQKPDSVLALFKSYGFSNSQLSSLIKTRTDILSYDPNKTILPKFNFLLSKGASNSDLVHIITRNPLMLSQSLQNTITPCYDFIKRFLLSDQSTIASLKHCSCFLYSKYPSHNIQLLLQYGVPESKLLILFQNHYYILSQNPSIFEKGIAEVKELGFDPKTTLFIVALRAKINSKSHWERKIYLYKKWGWSDEIIASAFLKYPWCMLASEEKIEAVMQFLVNHMGWESNVLAKHPMLLMMSLEKRVIPRAFVLKFLQSKGLIKDAKLAAPFKVSEDLFLKRYVNCFEEEASQLLKLYEEKRDASTFK >RHN59872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14246399:14249597:-1 gene:gene22037 transcript:rna22037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MRCNHVRLNCGITMPLIGFGTYSYPNDKKTTEIAVHNALEVGYRHFDTAKIYGSEPALGNAINKAIYRGEVEREDIFLTSKLWGSDHHDPVAALKQTLKNLDMDYLDMYLVHWPVKLKPWVNYPVPNEDDFENLDLETTWVGMEKCLEMGLCRSIGVSNFSSNKIEWLLDFANTPPVVNQVEMHPMWRQRKLRKTCGEHKIHVSAYSPLGGPGNAWGSAAVVNHPIIQSIAFKHQATPAQIALKWGLSKGSSVIVKSFNEERMEENMGSFDLNLDDEDIFEIEKLEEMKIMRGEFHVNQTTSPYKTIEELWDDEI >RHN76005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46940266:46944433:1 gene:gene12275 transcript:rna12275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MKKNMKTRLSFSTKIHNPNHKIQTSTLLIFPIQTFLIQLLCSSLTPVSSNQTPPPLPILPLPTAFQLQWQNSNMALFFHFGTNTFTDSEWGTGQAHPTIFNPTKLNASQWIHVAKDTGFSRVLLTAKHHDGFCLWPSEYTDYSVRSSGWRNGNGDVVADVAAAAGEAGVGFGIYLSPWDRHELCYGDTLRYNQHYLAQMTELLTRYGEIKDVFLDGAKGEGEKNMKYFFESWFSLIHQLQPGAAIFSDSGPDTRWVGNEQGVAGSTCWSLFNQSVIEIGGVDNDPQYQKQGDPFGLDWVPALCDVSIRPGWFWHASEHPKSARKLLEIYYKSVGRNCKLLLNVPPNSSGLISAEDIQVLREFSELRHSIFSHNFAASASLNASSTRGGIQDTRFSPNKVLEEGIHTYWAPEENQSKWILYINLKKLVSFNVLQVQEPIHMGQRVIKFHLEALNRDGLWKSVVNGTTIGYQRLLLFPKLKSQYLKLVVDKSRAEPLISYLGIYLDPVTVLSEDMPDKKSGTYFNGTQVLRSAMKNDSQSATM >RHN45723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24990020:24991582:-1 gene:gene40111 transcript:rna40111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glyoxalase/Bleomycin resistance protein/Dihydroxybiphenyl dioxygenase MASETPQNGAASETASPVVSFVAFKPQLFVEAPKANDAVVFYKNAFAAEEVSRSLNAKRKADQELPLVLSAELKIAGASFLVADAVDDSAKLVKSGGNGVVFCLESENIEAAIAKAVSAGAVAEGEVAECEGACGGGRVGKVTDPYGYVWQFCTPAKKAVGDVEA >RHN42392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38000174:38002347:1 gene:gene48829 transcript:rna48829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 9-cis-epoxycarotenoid dioxygenase MIMSPSSLALNSSTCATHNKPQLPHSFSLFSSSSSSIDLGLYKKSTVKLKKKPNRKALECALHSPSVFDFPKQPYNQPLITKENAPQTQTITTNKPQWNPLQKAAAMALNMFESALLSRELQHPLPKTSDPSIQIAGNFAPVPEQPVVHSLPVTGTIPNCVNGVYVRNGANPMFEPVSGHHLFDGDGMVHAVTINDGAASYACRFTETERLVQERELGRAMFPKAIGELHGHSGIARLLLFYARSLCGIVDHRRGSGVANAGLVYFNGKLLAMSEDDLPYELQITPVGDLTTVGRYSFLDQLHSTMIAHPKIDPVSGELFALSYEVARPYLKYFHFTCDGKKSADVEIRLEVPTMTHDFAITENFVVIPDHQVVFKLEEMIRGGSPVIFDGAKKSRFGVLPKYAKDASNIIWVESPDTFCFHLWNAWEEPETEEIVVIGSCMTPPDSIFNESEENLKSVLSEIRLNLKTGESTRRSLVADMNLEAGMVNRNRLGRKTRFAYLAFAEPWPKVSGFAKVDLVSGEVKMHKYGDGKYGGEPFFLPTRGCENEDGGYIMAFVHDEEKCISELQIVNAVDLKVEATVKLPSRVPYGFHGTFVEAKDLTLQYLG >RHN63579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52387732:52395646:-1 gene:gene26297 transcript:rna26297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WHIM1 domain-containing protein MSHGSPSPLPADRSPPSPEPDSQNPTTTAADAVPKEETATAPPPPTTRSNRPSRACTIRAAQRLYSSPPVIERKPKKEQRRQREREREREDSPESSPSPPSQCSKIVTPLVEPPSESQLPRWKLRSMWELASVLNFLNLFRPLLNISLEFSAEEFETALLNPNDTLFYIHMPLLKAIPPITRVALTRDTWITVLCRKLRDWWHWVAEGDLPIVASQGAEIEVYKSLDPAVRVIILKALCDIRVEQEDIRNFIDNSVKHGVQLSTFRKERIGGDSNGISYWYEDDPVIGHRLYREIRKTEVIQPRKGRARGSQVLSNTSYQWEAVATNFDEFQDVSEKLFSSKNRTETSVGKKLKIDMLPEIEKVHKKKERLLKKQHREALLLDNYLVTDGLSAGRALRDRKHVTYTFDDYDRSINEAIKVTKRKQTSPEPMPRREPVAKPDAQTNGNHGPSHAAQHQNFGISSTESPGSDSDSDSDEYDNTDNLDRSGRRRTKPKRYSENEFVEEVSDYEPEFDSDDDIVGEAVYDEEYLKKRKQRRKHSSSSEGDEEDEWDDDNIEDEEEEEDDEEDSGSISEDSDKPRKVKRLPGRTRRETKRRSVGEIQSSPRRSRRATRNRIDYRQYEMSDSETEFTKLKKSNASANHSDPSENEIENDNENENENDNENENGEYVMESEDSDSIEDGDHEMKVDEPVGAPDTKVEENEQNQPPEKSSSPPGQDEVEGTGKRRFLDLNELAPSPGFDDGPNTIMKDEDNDY >RHN55270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16626870:16628371:-1 gene:gene30422 transcript:rna30422 gene_biotype:protein_coding transcript_biotype:protein_coding MWREGVGKNKDNVSMSKLVRAEAPLRRHGRGNSFCRLCNQRFKCMHDLKVFHLLVKTLPLGMVLEH >RHN48006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44219949:44222976:-1 gene:gene42674 transcript:rna42674 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLCGSWFWLSFKIPTYRRCIGVCGSSLAAYMVSANEEETMVKQRRGDKSNENRQINAENNITMLS >RHN80725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40447452:40455838:-1 gene:gene4684 transcript:rna4684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKKQGKNNNGSKSNGFVPSSFKFISSCIKTASSGVRTAGASVAASISGDGTDRKDQVLWACFDRLELDLSSFKRVLLLGYSNGFQVLDVEDASDIRELVSKRDDPVSFLQMQPVPTKSEGCEGFGASHPLLLVVACDKSKIPGTVQNVRDGHNEAHAENIINSATTVRFYSLRSHTYVHALRFRSTVYMVRCSPQIVAVGLATQIYCFDALTLENKFSVLTYPVPQLGGQGMVGVNIGYGPMAVGPRWLAYASNNPLLLNTSRLSPQSLTPPAVSPSTSPSSGNLVARYAMESSKHLASGLINLSDMGYKTLSKYYQDLMPDGSSSPVSPNSGWKVSRFASNSTETDAAGVVIVKDFVSRAVVAQFRAHTSPISALCFDQSGTLLVTASIHGNNINIFRIMPSYSKKGSGSQSNDWSCSHVHLYKLHRGMTSAVIQDICFSHYSQWVAVISSKGTCHIFVLSPFGGETVLKIHNQDTEGPVLLPVFPLPWWFTPHFTVNQHQQLCHPPQPPAFLSVVSRIKNVNAGWLNTVSNVTSSAAGKVSVPSGAVSAVFHSSVPPDSHNAHAKVHAMEHLLVYTPSGHLIQYNLLPSLMAEPNETASRTAQAPSPQIQEEDLRVKVEPIQWWDVCRRYDWQEKEVYISGSTPGGLEASEMILDVSNCENYSVGNDDSVKLNQDCHVSNAEVHINSGRIPIWQKSEVSFFVMGSFESEKLNKCELLTNGEIEIEDIPVNEVEIRQKVLLPVFDHFHKIQSTWGDRGIVLGRCSSSSSDSHATEEKLSEDAAISHPKLTVPGFVEKTYVGASNFSDGTATKVKSSEHGKVSDNFNSSFSGSDMNMHVTCEESIRDSPDYDQFFQEGYCKASVDCHESAEVTTDVDCSSPSGREKSDEDGDNDDMLGDIFDFSEEG >RHN47561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40624088:40625416:1 gene:gene42176 transcript:rna42176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKSCEKLENELPNHIISYIFSNLALKDLVKTSALSKQWIHEWGLRMDLNFDLYTMSDYNTDQDLSQILPLCQRFHFQSEFATRLDQFMLHYKGAMIRSIRVKFPLCNEHRDVIDRLISKGIAKGAEHIQLLFSSETTDTTISILPYKFSLILLPQNDSVTYLHLQNCLLVKPRYFSRLKNLRTLVLQQIIVKKTLVQTLCSKCKHLVDLTLDGCKITSKLKIIIPSLLHLKIVNVGCYYREPINIIASSLLSLEYSCLKHYVEHPMSIRAPMLSKFGFRGIVFSKGIGLSGLKNVTTIVFDSLLSDLSTNILPHLFSECPQLEDVTFKNCLFKSSINNKFTSSKLRQLIILDSVVNDSPSPPSEISIDALNLSSFEYTGYTTRIISFTAPRLSKVFWDTSERENIPHLFDPIASLPHIENLAMIVGSLQVSYSTIFQHYSAF >RHN73590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17918421:17919034:1 gene:gene9417 transcript:rna9417 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPFGLIPRLMTKGESAWRKVKIEGNRDKFPYGVFRRCNLGNEIKRGPRLQLEERPVGSPNPDPASRVLQVRRDPVPNYRLLPELRYRSRARNGR >RHN72317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6726847:6727371:1 gene:gene8015 transcript:rna8015 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSRFDDLSMSPLFVFSTKDKVFTFVHPKHGLEYKYIINFPQSYLWNLNSQICCLKDGWILLVAPNKCFQVFFNPFRKELLTYPFASKEIMNNIGVFGISHSPTSSEYMVIALVTDERFQHMAIIKVHWPLNGAKILYDCREFPVYNISTVFHNGLFYCLGINGKVGVIGATR >RHN79783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32094865:32100993:-1 gene:gene3619 transcript:rna3619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isocitrate dehydrogenase (NAD(+)) MASQILRRTLGSRYFANHRSFSSDSTPIRATLFPGDGIGPEIADSVKQIFQAADAQIEWEEHYVGTEVDPRTKSFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLNGYKTRYDNVDLITIRENTEGEYSGLEHQVVRGVVESIKIITRQASIRVAEYAFHYAKEHGRKRVSAIHKANIMQKTDGLFLKCCREVAEKYPEIVYEEVVIDNCCMMLVKNPGLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSLNIGEGGIALAEAVHGSAPDIAGKNLANPTALLLSSVSMLRHLDLHDKADRIQNAILNTIAEGKYRTADLGGSSKTTEFTKAIIDHL >RHN44612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6803777:6809832:-1 gene:gene38751 transcript:rna38751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MKFEAMELLFGKNTSKQMIPKVTLLAIFAILVFTVTPFSYPLFRNPSSMKNIKQSNQPSTAFDLLNESASLPSTSTKKCDIFTGEWVPNPKAPYYTNKTCWAIHEDQNCMKYGRPDSDYLKWKWKPNGCELPIFNPFQFLEIVRGKSMAFVGDSVGRNQMQSMICLLSRVE >RHN65441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2272960:2273936:-1 gene:gene13280 transcript:rna13280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I MVHNHNHKPPYLSFPPHIFILYEYDPISYIYLLFHNSLIIVIFLQTKKKNMAASASSMISTHALTTTIQKHKTHNLKPSSLSFQGFNPLTRSTKVNTFTPSKKRFSSLVVKAELNPSLVISLSTGLSLFLGRFVFFNFQRENVAKQGLPEQNGVTHFEAGDKRAKEYVSLLKSNDPVGFNLVDVLAWGSLGHIVAYYILATSSNGYDPSFFG >RHN50271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5683280:5687415:1 gene:gene34543 transcript:rna34543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MTMKQMIFVMFFSFFSLNLLSPIFTLPLAPALYVFGDSLLDNGNNNFLPTLARANFLPYGVDFPRGPTGRFSNGRTVADFLAEYLGLPYSPPYLSFGGLGSLSGVNYASGSCGILPESGHVLALHRSVIPIAVAVVFKVICGAFLHPLCVVYVAPFHVVVMRRDYVSAPPSSAHLGHFFVRCRDFRSGGMWGGPGEEVSSYGEEVVRVSVVVGNGSGDCGERWLLLWWVVFEESGKCITLTEQINLFGREIKRDLTSKVKYPTELSDHLQKSIFIISAGSNDYINNFLQPQFYDSSKFYQPKPFAEHLIENFSQQLKTLYELGARKIVVFEIGPIGCIPAISRTHEHTGECMEEANKMALYFNEKLSAMLKNLTSSLPGSTFVLGQSYSIIIDLYKNPSIYGLIDGRNPCCTTWQHGTSACIPFLRPCMNPSKHIFWDAFHLTEVVYSVVASRCFRNSSSCSPVSIQELVKI >RHN63675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53109652:53112303:1 gene:gene26405 transcript:rna26405 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKGERQINIWNLRYLRETDLQLLESKVHLVLLCLEPSFTRLKRETLNHVTSRETSLCRGSQERGNEVEAKIIVGDGVGLMNCHFI >RHN71280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57875448:57877535:1 gene:gene19939 transcript:rna19939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-PERK-1 family MYNNGEHVLNIPPPAQGGGWTPTPPKKTPPKMTPSKKTPPHQVSSSELTNSSYSGPVDPVLPPPHPTVALGFNQSSFSYEELSTATGGFSKQNLLGQGGFGYVHKGILPNGKEIAVKSLKSTGGQGDREFQAEVDTISRVHHRYLVSLVGYCISESKKLLVYEFVPNKTLDYHLHGKGRPVMDWATRLKIAVGSAKGLAYLHEDCHPRIIHRDIKGANILIENNFEAKVADFGLAKFTQDTNTHVSTRVMGTFGYMAPEYASSGKLTDKSDVFSYGVMLLELITGRRPVGTAGSDYEEDSLVDWARPLCSKALEYGIYLGLVDPRLEENYEKQDMTRMVACASACVRHSGRRRPRMSQIVRVLEGDASLEVLINQDGVKPGHSAMYSSASGDYDAGTYSADMKKFRKLALESSTGSSEYGATSEYGLNLSASSSDQSSVDYTRRTVTGTGGGSKFHEK >RHN68836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38947921:38950836:1 gene:gene17247 transcript:rna17247 gene_biotype:protein_coding transcript_biotype:protein_coding MFEDIQSKLPGGSSIPFLVMSGGYVYMPIEVATHMNIDTSDFSHCMRIDDNNILLGSYSLSLLDKK >RHN69209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41827237:41830205:1 gene:gene17649 transcript:rna17649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zeta-carotene isomerase MAASVILSTSFSPTHHPSLFSSSSSISLSKPKSTSQRFSSPNSKPLSFSHRFNFSFVTHSSVKEKDTTFVGEDSAAFNLTEQKITSWIYFAAILGVVLFILNVVWIDNSTGFGKAFVDAVSGLSDSHEVVMLILILVFAVFHSGMASLRNTGEKIIGERAFRVIFAGISLPLAVTTIVYFINHRYDGIQLWQLQSTPGIHQLLWLSNFISFFFLYPSTFNLLEIAAVDKPKIHLYETGIIRITRHPQMVGQVIWCLAHTIWIGNSVAVAASIGLISHHLFGAWNGDRRLAQRHGEDFEIVKRRTSIVPFAAILDGRQRLPADFYKEFIRLPYLVITALTLGAYFAHPLMQTASFNLHW >RHN54283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8294429:8302860:-1 gene:gene29292 transcript:rna29292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MSSTPSPSPSPSPSYLTPTQRYAAGALFGLALHQAQLHQTHPLGLSTDDFPSPSSSTSTGAVFEDPDLWVHHTSGLLRPVFIFLDIDSAAWSGLEETSGSSVATRHVGPFLRLLSEEYDDDNAKRLDQELALSEAVDALVLSLEKNSESSRSKRKKLREYEHECREKFSTADVQPNSEKVDMHLETQQEEDTLFFECEEDPHEGSSNSKTDEGPVEELMMLSYQRKVTVLYQLLAACLSDIGEKNTKYTRRRKGYDARHRVALRLLATWLDIKWTKMEAVEMIVSSSAMAIVKEQESNKEAQSNESGWAKWKRGGIIGAAALTGGALMAITGGLAAPAIAAGLGALAPTLGTLIPVIGAGGFAAAASAAGTVAGSVAVAASFGAAGAGLTGTKMARRVGSVDEFEFRAIGDNHNQGRLAVEILVSGFVFEEDDFVRPWEGQNDNLERYALQWESKNLIAVSTAIQDWLTSRIAMELMKQGAMMTVLSALLTALAWPAALLAATDFIDSTWSIAIDRSDKAGKLLAEVLLGGLQGNRPVTLIGYSLGARVIFKCLECLAETENHAELVERVVLLGAPIAIKDVNWEAARKMVAGRFINAYSRTDWMLGVAFRASLLSQGLAGIQPIDIPGIQNVDVTDRIEGHSSYLWATQQILDELELETYYPVYNSILPKE >RHN72548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8545420:8547151:1 gene:gene8274 transcript:rna8274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MLLLFTHLLLSLLLDTTKSQSSICRTSCANISIKYPFSIDDGCGSPYYRHILSCSDSEKKLELRTPSGRYEVRNISYADPHIVVTDPFMWKCEDGENFRPTRPFSLDTSTKLKLSTQNEYMFFNCSEKHVIIQPKPMFCEHFPEHCDSSCESASYLCRHLPGCSFSLTSSSCCSYYPKASESLRLMLKYCTSYASVYWRDVGFPQPYDQVPEYGIRVDFDIPVTTHCLQCQDTMKGGGTCGFDTVTQSFMCLCKDGNSTTHCKDQEIAQHNRKVHVIAGTVTAFSAAGAIGIGAGIWYLKKLRATAPVTCGVQTNDNRLF >RHN63008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47557046:47557357:1 gene:gene25656 transcript:rna25656 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLASNYSCKDNQFHKHLHSSPLQIFFFAHDPLMFHYGDTQKLQGKEEIVTYSVFEIKMKMECSVKASPVLSNCDDTHDVLPVWILTKIPPPEPLNGYHLCE >RHN65957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6999570:6999914:1 gene:gene13863 transcript:rna13863 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVAQIEGRVRYSAFKKTVKVMITPTDSLDNLKAQLNTYFEHLGENQYTRHLFGQMPCIDLGEDRDEYAWKTASYMSLLIRDDGNVGFMFRNMVEDNILYMYVRSICNCIECK >RHN59632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12563593:12563991:1 gene:gene21698 transcript:rna21698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MLIGLYVLTSGNRRGICANRYNPFNCRNSKNEKISNNNSKYMKKKEPFFCNSYDAIGAYRQKRINLDSPFWLRWRIDQCIMSSREVPIEVHYESFGTYYEIYGHYLVIRSIKKKFVVYTLEPLLVIFLLSRN >RHN56990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35495167:35496153:-1 gene:gene32464 transcript:rna32464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MGSIDRFSLLHDSLLSIIVSSLPFKDAVKTSTLSKDWENVCKLTRNIEFNELFFIKFDQPHEIVHSQRMAFLDFMKKGIENHGENAIDKFSLTMSAHNVFSEDIDRCIRFAIRNEVKDLELDFTSPTWNKDEFYYNNFVASVDLSSYVYEYSGLQSLKLYSCDFSASEMVNFHSLKEISLGFMRVSICAIEALLTNCEMLESLNFYKCWSLDEFILKGEYLGLKKLVINRCHFKSSTFRINAPNLKVFDYHGSLFDIDIQSPALDEVNLDFPLELGCQSHGSYYLHKLLKDLPSPRVMTVCSYWLQVLFFFLLQISPINCYVFLFSLT >RHN51185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14908252:14910651:1 gene:gene35572 transcript:rna35572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyadenylate binding protein MFSHRDPSIRKTGFANVFVKNLDTSIDNKVLHDTFATFGTVLSCKVALDSNGKSLGYGFVQYDNHESAKCAIEKLDGMLIKDKKAFVGYFIRRQERSENGSPKFTNVYVKNLLETDTDEDLKKLFSTYGVITSAVIMKDENGNSKCFGFVNFQSPDSAAAAVEKLNGTTTNDGKVLYVGRAQRKCEREAELRAKFEQEKIKRYEKLQGANLYLKNLDESINDEKLKEMFSEFGTITSCKVMFDAHGHSKGFGFVAFSTSEEASKAINGMSGKIIGQKPLYVVVAQRKEDRKTRLQAQFSQINVSGGITSFPARIAGYHQSAPRLAPQQLYYGQGTPRLMLPQPAGYGYQQQFIPGMQSGVAPNYIMPYHPQRHGHTGHRMPPVGNFQQVQQNQVLPRNSDQGFRYNGRNSVDPSAVSEGQMIDPSIQNHGALSNNSLPSTLASVTLENQNRMLGEQLYPLVGCLTPNNQTAKVTGMLLELDKSEVIHLIKSPEELKMKVSEAMEALRFVSSGPACRS >RHN72308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6650502:6650802:1 gene:gene8006 transcript:rna8006 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHDRKRIGADTAVAWTARDRKFGLVMMNNMKKNTRKNREKEDEIKGIFVISRLYVKSDVPHQLISDVAVIKWEEGRIFK >RHN75505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42783122:42787277:-1 gene:gene11723 transcript:rna11723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MVSPNVESTTADSTYTFRNLVKKSWAKLVKVINMVKEIGQDDPRRVIHSFKVGLALVLIYILHHFRPSFYGFGDNIIWAVLTVVIVLELSVGATLGKGFNRMLATGLAGALGVASNELATLCGDKGKVVMTSIFVFVIAERVTFMRFSPKLKARYDYGMIIFILTFCLVSLSDVTGHELLEMAYERLLTIIIGSCIAITVCVFIFPVWIGEDLHNKIAGNIEKLADFLEGFGDEYFNNSENTEVAENEKQFLHKYKSVLSSKTSEETMAVLARWEPRHGKFRFRHPWKQYLKIGNLARICAYKIEALSLYLINSKTPYEFRSRIQESCTNISLESGKALKESSLMIKKMCKSSTPNSHVLNAKNAAECLKAVLRTNPWEGADHFEIIPASTVASLLIDIVICVEQICEAVEELASLANFVPCQLLHRGTVQPISDSDGSVHVVNVNIGE >RHN80325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37190360:37194678:-1 gene:gene4235 transcript:rna4235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zeta toxin domain, P-loop containing nucleoside triphosphate hydrolase MEQDGIGKAIRLTHVLAASFAGLIAAAAASRYRKQESTVVTDDKIIPHVDRTESGRLEKIEKFSHYVARQIGFEDASEVPELCKLAQEYLQKSKGCDQSIFEYLGNEKDYESLYVKLVNEFEKCILSYFAFHWKQAPFVISQILKTESQHKTKLKEILLAATRKQRFERVTKNLKVTRVFSTLVEEMKVINGGVSQTKEVMVPMPLSERNPVLLFMGGGMGAGKSTVLKDILKESFWLGAASKAVVVEADAFKENDVIYKALNSRGHHDDMLQTAELVHQSSTDAASSLLVAALNKGRDVIMDGTLSWEPFVEQTIAMARNVHKHKYRMGVGYKVAADGTINENYWEQVNEGEEHQQEENSTGELHSQKPYRIELVGVVCDGYLAVIRGIRRAIMTGRAVRVNSQLQSHKRFANAFPKYCKLVDSARLYCTDAVGGPPKLIGWKDGDHNLLEDPVGIKSLKNVAGFNNEADSICELYKEPSPAMEPGSVWKDFVLSPSRPSVQKELRESIQIIEKSIRKV >RHN67191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24758040:24759125:1 gene:gene15349 transcript:rna15349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSLHEDIMEKEPKINRVMHNETEVDIISTMHDCIILHIMDFLITKEAVQTCILSKRWKDLWKHLPTLNVSHVHFKRADFFKKFLNKVLKHREKSSAKILNKVLKHRKKYNAKILNKVLKHGEKSSALCNIEVEHQGYVPSKLLSKMINCAVKHEVEKFKVGTYLRDKESNTLLFRSIFSLHSLKCLDLSFYTYGWEVKLPETIDLPELANCCLRHVTFTLANDKDYAMPFLKCKKLSTLVVDDCKVSGDDKILVISGDILSSLTIMYQHSRVQISAPYVRSFSFKGAFKGYINHHQVFEHNMDFIENASITVLWYLPNPKLVEIFINMLKRISNVRSLKLYWNSLEVLKLVCSYVLSNLYY >RHN62820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46047748:46049502:1 gene:gene25435 transcript:rna25435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MDSPILPLPILVLLLSSLSLKVSSLLLQDSFVQCLNMNSDRTYPFHTTIYTPNEPSFATILDSLAMNLRCLAPSTPKPEFIFTPSQDSHVQAAVICSKKLGIHLRVRSGGHDYEGLSYVSKIETPFIVVDLIKLRGISIDTKTNTAWVQSGATIGEVYYRIYEKSSVLGYPAGLCTSLGVGGHITGGAYGTLMRKYGLGVDNVLDARIVDAKGNILDRKAMGEDLFWAIRGGGGGSFGILLWWKIKLVPVPPTVTVFTVTKTLEQGATKILHRWQEVAPFIDENLFIRVIIQPAASVGNKTQRTITNSYNAVFLGEARTLLQVMKTSFPELGLTRKDCQETSWIKSVLYIAGFPSDTPPEVLLKGKPTFKNSFKAKSDFVREPIPETGIEGLWQRLLSEDSPLMIWNPYGGKMSEFSESDSPFPHRNGTLYKIQYLSIWQEGDKNVAKHVDWIRKLYNYMTPYVSKFPREAYVNYRDLDLGINSKNSTSYIEATGWGYRYFKGNFNKLVQIKTRVDPENVFRHEQSIPPLPI >RHN55432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18224014:18226842:-1 gene:gene30611 transcript:rna30611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MALIGLFIMVFLTMFSSAYGYGGGWVNAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNSGLSCGSCFEIRCAGDRKWCLPGSILVTATNFCPPNTALPNNNGGWCNPPLQHFDLAQPVFLRIAQYKAGIVPVSYRRVPCRRRGGIRFTINGHSYFNLVLVTNVGGAGDVHSVAIKGSRSGWMQMSRNWGQNWQSNNYLNGQALSFKVTTSDGKTVISNNVAPSGWSFGQTYTGAQFR >RHN43291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44669585:44670729:-1 gene:gene49839 transcript:rna49839 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNQIKKIQAMNKYKKHQFLENLHFYSLTALICSLFCCVTLCLPYLSSILVYISSLIPLLLSSKLLFILCNIIIVVLMMNSKILSSDSSSNSDVYLDEYIQSSHTTKPQIQSFEVNKTKTFEKHVEENIMNIFEKHVGHNTITLKRNVWIKETTKTWGAKEDGDLDEGDEQSNSVAFRSDELSRRAEDFIARVNRHRKLELSRLQNGCY >RHN62476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43708457:43708738:1 gene:gene25056 transcript:rna25056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC transporter, P-loop containing nucleoside triphosphate hydrolase MDRRNMFLYCSHCLKVMQKAKAYYVVHKTKTSKSKGLLCKKAINSEEKLILKGIIGIVFPGELLVILGPSGSGKSTLINALGGRLNENKRNHK >RHN80702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40190955:40191971:-1 gene:gene4659 transcript:rna4659 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCAISLGLDNVRSCNMLCTVFNSGIATSSFGGESAEVRFQDRHESHLGRTSEDSSSG >RHN58440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1759543:1760463:1 gene:gene20355 transcript:rna20355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKRKRTISLNSSSITTRSKTRAAAAAAAAKFYLPDDCWESVFKFVINDKSKFKNRRYLNSLSLVSKQFLSITNSLNLSLTIYNGSRPFLRRLFQRFTNLTSLDLTWYDRDLNKLLCQISRFPLNLTTLTSLTCSRVDSLRSGDMCLIADCFPNLQLLDLSYCSYVSEEGILQVLRRCCKLRHLNLANCSKIELHGMNFEVPNLEVLNLSRTSVDDETLYMISQSCRGLLQLLLRSCYVTERGVNYVIENCTQLREINLRGCCKVHASFVASMLLSRPSLREIIVPSLRHFSVTEREFFKRHICLVY >RHN64093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56529713:56535978:1 gene:gene26874 transcript:rna26874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MSGWDEGGVYYSDQAHSWDDGRGGETDAAISNHTILQKFKEFIRNFETGNNVFPYRESLLHNPKYLLVDMEDLDSFDPDLPSKLRSAPADILPLFETAAAQVLVSLKTKVAGDTGDMEDAAPGDVQILLTSKEDSVSMRSLGAQYISKLVKIAGITIAASRTKAKATYVTLICKNCKKGKQVPCRPGLGGAVVPRSCDHVPQPGEEPCPIDPWLIVPDKSKYVDQQTLKLQENPEDVPTGELPRNLLLSVDRHLVQTVVPGSRLTIVGIYSIFQASNSSASNKGAVAVRQPYIRVVGIEDGNEAKSRGPTAFTNEEIEEFKKFAADHDVYKNICSKIAPSIFGHDDVKKAMACLLFGGSRKHLPDGVRLRGDINVLLLGDPSTAKSQFLKFVEKTAPIAVYTSGKGSSAAGLTASVIQDSSTREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDIRMYEQDKIIASHIIKVHSSASATRGENRTPVSKEENWLKRYLQYCRTECHPRLSEAAATRLQNNYVNIRQDMRRQANETGEAAAIPITVRQLEAIVRLSESLAKMKLSNHATEDNVQEAIRLFTVSTMDAAKSGINQQINLSPEMAREIQQAEVQIKRRIGIGNHISERRLIDDLGRMGMNESIVRRALLIMHQRDEVEYKRERRVVFRKA >RHN52889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39975011:39988534:1 gene:gene37621 transcript:rna37621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase SCY1-SCYL1 family MFKFLKEVVGGSGTGLRDLPYNIGEPYPSAWGSWLHHRGTSKDDGSPVSIFSLSGTNAQDGHLAAGRNGVKRLRTVRHPNILSFLHSTEIETFDGGSSKVTIYIVTEPVMPLSDKIQELGLEGTQRDEYYAWGLLQIAKAVSFLNNDCKLVHGNVCLASVVVTPTLDWKLHAFDVLSEFDGSNETSSTQMLQYAWLVATQYKSTELAKSDWAVIKKSPPWAIDSWGMGCLIYELFSHLKLSKTEDLRNTASIPKSLLPDYQRLLSSTPSRRLNTSKLIENSEYFQNKLVDTIHFMEILSLKDSVEKDTFFRKLPNLAEQLPRQIVLKKLLPLLASALEFGSAAAPALTALLKMGSWLSAEEFRVKVLPTIIKLFASNDRAVRVSLLQHIEQFGESLSAQAVDEQVYPHVATGFSDTSAFLRELTLKSMLVLAPKLSQRTLSGSLLKHLSKLQVDEEAAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDTFAPARGAGIMALCATSSNYDINEIATRILPNVVVLTIDPDSDVRSKAFQAIDQFLQMAKQHYEKTNMAETTGGASDGSSSIPGNASLLGWAMSSLTLKGKPSDHAPVASVSSSALTPTSSNASSGILVADTPSTAPIRVNSTPDFTDHHAPTSPTSTDGWGELENGIDEEPENDKDGWDDLEPLEETKPTPALTNIQAAQRRPVVQPVSQTKASSSRPKIPPRLSRDEDEDLWGAIAAPAPTTSRPLNLNSTTTNDDDPWAAIAAPAPTTRARPLAAGRGRGAKPAAPKLGAQRINRTSSGGM >RHN39444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7187020:7188413:1 gene:gene45467 transcript:rna45467 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFYSHLGFLIFGISICFYAFVVQSQIQDPNGFQSQQNQVVSSPPPPPPPPPPPPPPPPPPPSTPPPPQDFNSPPPPTLPDIPPQNQNPSPISSPPPPHLRRWHDHVQLPPPLAPPPQHSMNAGKKVGLLFVGIAAIMQVGFVGFLVIKRRQLLKTNDNDRYENCS >RHN44655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7320939:7324844:1 gene:gene38799 transcript:rna38799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKPGRKKYNDDVKEDEDRLSDLPDCVILHILSFLDTIDAVQTCILSKRWNNLWKYLPTLILSSSNKFVSRILSLRNASTPLRALHFQRHGTMYPRLLQRMIKYAVSHHVQELSINLSSDIQHFPTCLFSCHTLTSLKLVINHPTLYAGTLFPSSLNLPALATLFLESFTFPVDDDGHTEPFSAFSSLNSLIIRGCKVLDEQNLWILSATLANLTLDTGWAYNYGKIELSTPSLCTFVFVCIGGFPALKLHGIESNLSSVKHVKIDVSIASRSIDVDISLVLLNWLVELANIKSLTINHNALEVLSKVPDLLKVEFHSLCSLKSLRVKTRTPSCIPNGTFDFLLQNSPSTKVEIIDPFRSRN >RHN69091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40955475:40956263:1 gene:gene17520 transcript:rna17520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein METFVFGAIRPRPESCEPEKEPEPESESNSKSKVVLRPNWDWQLKPLEDLTVSDDFSSFEDDIAPFLFSCPRFVYKNKAHREKEAASKRDLFEDDIPFNAIPVPFLAMTCSSNFPRPIDIDDDDDDKRPLLTHLSKFSLDKYNAENKGSNYEFEKLVKAARRPVPRGTYYITFEAKDATDTDASNRPATTFQAHVWNKSSARKKFEKELPVVKSCSIKT >RHN55045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14683144:14686948:-1 gene:gene30171 transcript:rna30171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial Rho GTPase, P-loop containing nucleoside triphosphate hydrolase MSVVKCFNAPFQPSEILVVKKVVRKKLPEGVNERGLSLAGFISLHALFLEKMPREKTWTVLRKFGYNDDIKLADNLIPPLKRAPDQSVELTNEAICFLEKIFDGLDGDFDKVLRPHEIEELFSTAPESPWIEYPYKDAVERNAFGGLSLDAFLSEWALMTLLNPIFSVENLVYMGFHGNPSSAICVTRRRHVDRKKQHSERNVLQCFIFGPMKAGKSALLNYFIGRPYSKAYNPTNKDRYAVNVVDISRENKKYLVLREISECGVTELLANKESLASCDMQSDETSRKASSELLLKIARHGEDTGFQVPCLIVVAKDDQDSFTMAIQEATMLSQNIGVEAPIPVGVKLGDSNNIFHRIVTAAEQPHLSIPKTEAETTCKQYHRLIGRSLMYASVGVAMTIGMVLRLQGRMRQGRITKKQTITSLKNSKSKQCKSIYPERMDAACFWLSYILF >RHN64860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62503178:62506629:-1 gene:gene27726 transcript:rna27726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zein-binding domain-containing protein MSESDITAMKETLRAQQQLLQKLYAELDQEREASATAASEAMDMILRLQGEKAAVKMEASHYKRMSEEKIGHAEATLEVFEELMYQKEMEIASLEFQVCAYKHKLLTLGADFNASEFEFPEDHILNRNDQQHNGENVQSSTIKRLSSLPPIPSKNRDRANRKRDRSPTPIPVSDVIPNTLEDRDREVISPSLELPRKSMDLTYGTLDSYWNQIQSLDEKVNEISDCKESGVEKCANLRSRRGRSCSIFSQESNKIPLDKSYRLPYPINADKENHFERGMDTPQVSSPSCSVNVHDVFEVPQTSEKHEVSEHGKRRLERWISDVDNRLIKPDSMSEEIIEAHVKHDMEKLKSIMLSTNHEVKKSNHKGAKSAVDCNVEAEFHKLHQRIDRLERERVSIRQDEIRHEGNGEEYLRLLKDIQSQLNSIQSEMRNCNKTKKASPKKEDVSLGPLQEAMLYFWL >RHN82552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54703627:54704577:1 gene:gene6723 transcript:rna6723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB family MDLDEPSLPSNPNINDGIGNETPPSSAVNDGEDVKPAGRLQRWTRQEILVLVHGKNDAESRLKPGRNGSLFGSFEKKWTLVSSYCEKHGVNRTPIQCKKRWGNLTADYKKIKEWESQVSDETESFWLMNVELRRERKLPGCFDIEVYNILDSAVAANAVPVAVAAPVSEVVGDEEVYIYDSNRKVSGEDELFSDLEKDDNVLSPVPISVSVSEKQFIPLLSGLQGEGNAINEKQPGERKRKRGVATEGNIEEEEEESVQSELIKVLEKNGKMLCEQLEARNKSLQLDRQQQNQTATKMVAVLDKLANALGRIADKL >RHN75645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44060674:44062116:1 gene:gene11879 transcript:rna11879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MAEHKLVEISEMAEQKLIEQALVSPSTPRTTTTSLPLTFLDLPFAGPVYVERLFFYHFPHSTTHFCKTTLPSLKHSLSLTLQHFFPLAGNLQCPPQPHKPFILCTQNDSVAFTIVESSADFNHLSTNHHPKNLKDYNYLSPKLSRKTTYDGNDIENNTFIFPLLSLQASVFPNHGLCIAITYCHVMDDSCCSHFMKSWSFIHRNCEVVDLKSTPCFDRNFLRDPKGLENVFLRDYFEERKTWKDKLIGQTQSQTSEEEYVKATIVFAKEEIERMKIWALDQWKKNDCEVQAPQFLSKFVVTCGFIWASMVKTRCRKENDNDDDEKEEYFGFPGDCRERLGYKIPEGYFGNCLTLCHATMKRKDMKGEDGYVNAVKVIERVISEMKNEPFKDMGEWRDRFKKMYELGSVLLVTGSPKFNVYETDFGFGKPAKVEMVHPFTCMSIAESENREGGLEVGLVFKSEDFKYFSSIIEQGLQALKF >RHN73623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18298975:18301839:-1 gene:gene9458 transcript:rna9458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator C3H-WRC/GRF family MNKNKFPFTPSQWQELEHQALIYKYMASGISIPPDLLFTIRKSYLDSPLSSRLFPSQQHQHFGWNYLQMGLGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEVVKTATTNTNNINNASSLTKNSPASLTNSSSSLSFETQQHHQNYPQNSCYASNLQQSFMYPHTTSRSTLSSGIGLSFEDNSAPLFLDSNTCSQNNTDYRNRYVYGQKEEVDEYAFFKEPSGSSTMKSFSTSSMEHDPWQLTPLTMSSSSSSSTSLRQRSYSALSNDYSCLQLQSLSEHSKQDEPQKTVHRFFDEWPHKGRDQWLDLDDKSSTTQLSISIPSSTHNFPTFTSRNHHDG >RHN75044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38929119:38931708:-1 gene:gene11192 transcript:rna11192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prenylated rab acceptor PRA1 MVFSSNPLALSVPEPAFESWLRDTGYLELIDQRTSAAADAVSTTTVNSTSSPLVPATSLSSKLLTLLSFLTLNPFAKLTADDFSADTPSWSRSFVGSSDSYSFPSSPSQARFRVHENVKRYARNYSYLFIVFFASALYKMPLALVGLISCLALWDFFKFSSDKWGLDQYPVIRQCLLRIAQCATAVILIYSNVQMALFCAISVSYAGVILHAAFRKLTPAKQPSTVRGG >RHN79551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30053848:30058240:-1 gene:gene3357 transcript:rna3357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbonic anhydrase MALQIYISILSIAALALCTSANHDSVNFSYIGPNGPLKWGTLKQSFAACSNGKAQSPVDLAMTNIVVNNVLKSLDRNYLPTNATLVNHQYSIGVHFEGKVGDININGMNYSLKQLHWHAPAEHRAHGRLHDAELHLVHFTEDNNNIAVVAQLYRLGVPDPLISKIEDKFYKLVNENHAGNKNANIALGTFDVNELNKKIYRYYRYVGSLTTPPCKEGVIWNVIDKVRTLSKKQLELLKAPLGVEFQHNARPLQPLNGRKIEMYNYHT >RHN67557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28236450:28237361:1 gene:gene15755 transcript:rna15755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSQNYSLPLTSHFANETQSSRNRKSLLEDHGEEKKKQATTRTIICQGQSSKSFSCGICFDSVTVSKMFTTSSCNHPFCTNCISMYVDVQRKENVVKLNCPNPDCSVELKPEHVESIIPKQVIVEWESAIYESSIAMMQKMYCPYENCSLLLVNDEVEVVTSCECPSCHRLFCAQCKVPWHTNMNCQEFQEWKDNQDEEQLERKFLELANSEKWQRCPKCSMHVQRNGGCEHMRCRCGCNFCYNCGSDWIYGHTCNTPS >RHN45072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11380326:11389137:-1 gene:gene39271 transcript:rna39271 gene_biotype:protein_coding transcript_biotype:protein_coding MDILFAQIQADLRSNDALRQSGALLQALQQSAAGRDIAVIAKSAVEEIVAAPASAVCKKLAFDVIRSTRLTPDLWDTVCTGIRNDFHFPDPDVTAAAVSILAAIPSYRLAKLISDCNKEISDCFDSQSDNLRFSITETLGCVLARDDLVTLCENNVNLLDRVSVWWGRIGANMLDRSDAVSKVAFDSVGRLFQEFSTKRMSKLAGDKLVDSENSLAIRSNWVSSMVDFVWKKRRALMARSLILPVENFRATVFPVVYSVKAVASGGVEVIRKLSKSSSVGGGGGEVDANAEKLVGVSDVVTHLAPFLVSSLEPALIYEVGINMLYLADVPGGKTEWASQSTIAILTLWDRQEFASARESIVRAVVTNLHLLDLNMQVSLFKRLLLMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPLPGTDIASLFEDARVSDDLNSVTSKSIFREELVASLVESCFQLSLPLPEQKNSGMEGRVIGALAYGTGYGALNWTEPSLEVVEVCRPCVKWDCDGRTYAIDCYLKLLVRLCCIFDTRSGVKRVKDGASQDQILNETRLQNLQRELVKNLREVNTPRILARLIWAIAEHIDIEGLDPLLADDPDDPLNVIISNIRKVLFNIDSTADTTNRVQDVQAVLISAQRLGSRHPRAGQLLTKELEEFRTNALADSVSKHQCRLILQRIKYASGHPDSRWAGVTAARGDYPFSHHKLTVQFYEASAAQDRKLEGLVHKAILELWRPDPSELTLLLTKGVDATSLKVPPTANTLTGSSDPCYVEGYHLADSSDGRITLHLKVLNLTELELNRVDVRVGLSGALYYMDGSSQAVRQLRNLVSQDPVLCSVTVGVSHFERCALWVQVLYYPFYGSGVVGDYEGDYAEEDPQVMRQKRSLRPELGEPVILRCQPYKIPLTELLLPHQISPVEFFRLWPSLPAIVEYTGTYTYEGSGFQATAAQQYGASPFLSGLKSLSSKPFHKVCSHIIRTVAGFQLCYAAKTWHGGFLGLMIFGASEVSRNVDLGDETTTMMCKFVVRASDASITKEIGSDLQGWCDDLTDGGVEYMPEDEVKSAAAERLRISMERIALLKAAQPRPKTPKSDDEEDEEEDKEKMKDGDEDEKKKGPSTLSKLTAEEAEHQALQAAVLQEWHMLCKDRTTEVN >RHN55578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19654023:19658158:1 gene:gene30779 transcript:rna30779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructokinase MSSLSFAHFLPLPRCNLTWSYCYASMNVVQLGGLKIQCKSGHVAMARKKASLGSVEEESSENEPVVEKKATKASKPKKVSAKTKKKSIDESPEGAIDLLVNVDSASIVEGSSPSGDGSEKKTRKTRKKDASSSAVLEEEKEVKEVKKVTRRRKPKEENIILEDKGGGEAEISDIDEPSFIENVEDEIDDGLDLEKYDGEDISDTYGWPPLVCCFGAAQHAFVPSGRPANRLIDHELHERMKDALWSPEKFVRAPGGCAGSVAIALASLGGKVAFMGKLADDEYGQAMLYYMNANNVQTRSVSIDSKRATGVSLMKIGKRKLKLSCVKPCAEDCLTKSEINIDVLKEAKMFYFNTHSLLDRHMRSTTLRAIKIAKHFGAVVFYDVNLPMPLWHSQEETKTFIQQVWNLADIIEVTKQELEFLCGITPSEEFDTKNNARSKFVHYEPEVVAPLWHENLKVLFVTNGTSKIHYYTTELDGAVSGMEDAPITPFTRDMSASGDGIVAALMRMLTVQPDLITDKGYLQHSIQYAIDCGVIDQWILGRVRGFPPQEDTEDITPDPYGIKSITETEYRTLLEPVS >RHN64832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62312713:62320515:1 gene:gene27698 transcript:rna27698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HD/PDEase domain-containing protein MAAAPSSSSSSPSSAVSASTNTTPPPSSLVVPDASPSPFSAIEFLSICHLLKTTKRAGWVRRDVKNPESIADHMYQMSLMALIAPDVPGLDRNKCIKMAIVHDIAEALIGGITPPDGISKAYASRLEAEALEYMCEILGVGEGSRGKEITELWMDYEANSSPEAKFVKDLDKVEMILQALDYEDGQGKDLDDIFRSTAGKFQTEIGKAWASEIVSRRNHSSREE >RHN67797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30358321:30358815:-1 gene:gene16033 transcript:rna16033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MVTKFLCGGFTIGMGLSHAICDGFGASQIFRAIVELGSGRTEPSVKPVWEREKQVRSIAIKPFPQCPMDRESVSLSPFVNQNNTTIIKQYCFKVEGEMITGLKLSLMNKNEKMRFTTFEVLAGYVWRSRARALKLNSNGETVLTIAARVLWKFYYRCKCCFKSE >RHN55276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16673234:16675843:-1 gene:gene30428 transcript:rna30428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MAGGKLFGGSNMSLLLQNERLPCTSEVLESLWVHTPASFQGSNSVVNFENGGGSNRVVTDRPFFQQLEKEENCGDEDYEACYHQQGKKRRLSSEQVQFLEKSFEVENKLEPDRKVQLAKELGLQPRQVAIWFQNRRARFKTKQLEKDYGTLKASFDSLKDDYDNLLQENDKLKEEVNSLKNKLIPRDKEKVNSEDKSSPEAINSPHNNIDPMDIISITNSENGSKMSLPNMVLKCKQEDANSAKSDVLDSDSPHCNDGNNLSSFIEPTDSDFSQDEEDNDNLSHNLLTLPCLPKVEDVCYDDPHENSCNFGFPVEDQTFCFWPY >RHN57779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41679067:41681907:-1 gene:gene33364 transcript:rna33364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-40S ribosomal protein S27a MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKEYNIEGGSVLHLVLALRGGN >RHN80849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41283533:41284890:-1 gene:gene4824 transcript:rna4824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inorganic diphosphatase MFRVSGCCLLIITLKQTSDIKLSMKITTYVNARTTLEARKGKRKSSAVAFRSGCYYHSCRIIGSNGFRSSETRQIFHSNILIKLAVVESLVSGPLVFLQSTIPRQTYVMLIIKSHKVASKLVKAFTDHKVQKTYISLCTGQTPNWETITVRSCYGRSKFGTRRVYVFLRCRSWITGWISCPEVAELGFEVSDGVVVEEKAVKMEGADEGNEIVVNLS >RHN63017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47655775:47657867:-1 gene:gene25665 transcript:rna25665 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSVQEKWNNPREELDEDEEALSLCDLPLSHKKPTKEEHSHVNEKQEEFNFNSWVGLLSTEPKMCVADEVFFQGQILPSRASFSSQAGLLTTTGSQFQRDDDHDHGKQFNFKFNHCKSKHESFNEFRSNSSTSQNSTTSSSTSSTTTPIVSVSKSKVRNQFHTHPSPKPQLKSSCPRKSISQSRKSSVWEIFRLGVVPTPEIGLQDLKVRSSSSNKNCVSRNSSSSSSNNSTFNDKSGKMSKKNENGDKSNHVLKNLVGIRGGLLSGCDCSIETVIKSGYKTENTTKHAVKEKVEWKKQKQRQKQGKKVMSRRRTFEWLKELHASHADEEALLSNSS >RHN67028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23019121:23019878:1 gene:gene15159 transcript:rna15159 gene_biotype:protein_coding transcript_biotype:protein_coding MRHDLFLIYDKCYESLWSPIFDMVEGPKNAYDIVLDSFQKVLNLNQNLPPLLPNANKLFSSLVIPFQQQNKRLKRVEQNQGKIPREIATQLEVAQGNQQNSTLVVIADVVSVSVKLHQGGGNPPGHSDEGSSSRSLPRCGRRDSLPPRRSRRDSPPHCCGRRRRDEYSPECCRPRYC >RHN80539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38892331:38903209:1 gene:gene4477 transcript:rna4477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MMDLRYLLLIILALEILCVANGDNLVFQVERRKTTLSGIKHHDHHRRGRFLSSVDFNLGGNGLPTRTGLYFTKLGLGSPKKDYYVQVDTGSDILWVNCVECSRCPTKSQIGMDLTLYDPKGSHTSELISCDHEFCSSTYDGPIPGCKAETPCPYSITYGDGSATTGYYVRDYLTFDRINGNLHTAPQNSSIIFGCGAVQSGTLGASSEEALDGIIGFGQENSSVLSQLAASRKVKKIFSHCLDNIRGGGIFAIGELVEPKVRTTPLVPNMAHYNVVLKNIEVDGDVLQLPSDIFDSGNGKGTVIDSGTTLAYLPVIVYDQLIPKIFARQPELKLARIEEQFKCFPYAGNVDGGFPVVKLHFEGSLSLTVYPHDYLFQYKAGVRCIGWQKSVTQTKDGKDMTLLGDLVLSNKLVLYDLENMAIGWTEYNCSSSIKVKDATTGIVHTVGAHNISSASTFLIGRILSFFLLLIAMLNCFYN >RHN76050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47313975:47314280:1 gene:gene12324 transcript:rna12324 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVRGTKKRRKTEKTHDNNNGSGSASGSSEKEGLVDWWDELSKKINGIFLGFVDASLFAMMNVLVFNFISILQILGFVPFVIEIL >RHN78446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15192050:15196557:-1 gene:gene2013 transcript:rna2013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzyl alcohol O-benzoyltransferase MAQSLVFKVKRCATEFVRPSKPTPHEIKLLSDIDDQEGLRYQTRLIQFYKYDPNMAGKDPVDIIRKALAKTLVFYYPFAGRLREGPSRKLMVDCNDEGILFIEADADVTLEQFGEDLHPPFPCIDELLYDVPGSSVVLNTPLLLIQVTRLKCGGFIFALRLNHTISDSSGLFKFLSALGEISRGMNEPSISPVWCRELLNARNPPRITCTHHEYEEVPRNKGTVIPLDDMVHHTFFFGPTEVAAIRSLLPVHPLQQHTDFEIISAFCWRCRTIALQLDTNEEVRFICVVDGRSKSVNLQLPKGYYGNALANSAMVTTAGKLIENPLAYALNLVKKAKANVTQEYMHSIADLMVIKGRPHFNVVKSYLVSDVTRGGFEKVDYGWGKPIYGGPARGADGDIPGLASFHIPFKNAKGEEGLVIPFYFPNQVMERFVKEMDSVLKSNTNKPTKCDKKSGIIVSSL >RHN39883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11374167:11375321:1 gene:gene45965 transcript:rna45965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MITTLCKRWHPETSSFHLPVGEMAITLDDAINLSHFLIEGCMLDYDRKVSRDRGVQLMVRWLGVSRAICWPLCFCYIILLEVIYSDMLFAKITTLDYNIIYFFSHFDF >RHN66134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8912590:8922412:-1 gene:gene14054 transcript:rna14054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MASLLSQLNFLSLTHNNTHTNFTLKPKTTTPSSLVVTTRRFRKHFVVSSEQATVDSPALRKLYVGNIPRTVSNDELEKIVQEHGAVEKAEVMYDKYSKRSRRFAFVTMKTVEDANAAAEKLNGTEIGGREIKVNITEKPLTTEGLPVQAGESTFVDSPYKVYVGNLAKNVTSDSLKKFFSEKGNALSAKVSRAPGTSKSSGFGFVTFSSDEDVEAAISSFNNALLEGQKIRVNKA >RHN79546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30035046:30036361:-1 gene:gene3352 transcript:rna3352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbonic anhydrase MNLKLKSHYIFLKKLKIKSHYFLTFLGGLIWTLIFVQIILTSRHNAELHLVHFTEDNNNIAVVAQLYRLGVPDPLISKIEDKFYKLVNENHAGNKNANIALGTFDVNELNKKIYRYYRYVGSLTTPPCKEGVIWNVIGKVRTLSKKQLELLKVPLGVEFQHNARPLQPLNGCKIEMYNYHT >RHN54345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8870688:8876990:1 gene:gene29362 transcript:rna29362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative senescence/spartin-associated MATQNPNQRNSLYPQVISSIPTAPPSSQSNLYPSIDHDFDDLVENLFPDTTTTAGVNTTSPSAPPETTEQILIKIPGAILNLIDQQYSVELASGDFTVVRLRQGENSIAVYARIADEIQWPLAKDETAVKVDDSHYFFSFSAPKGYDSDEDEADRSKNSKTESDLLSYGLTIASKGQEHLLKELDVILENCSNFSVQKVSEKAKKGEVLDGNLAKEVSPKDLESSKKKKEMMEGQCAAYWTTLAPNVEEYSGTAARMIASGSGHVIKGILWCGDVTMDRLQWGNQVMKKRMAAGERDAQISPETLKRIRRVKRVTKMTQKVANGLLSGVVKVSGFFTSSVVNSKAGKKFFSLLPGEIVLASLDGFSKVFDAVEIAGKNVMSTSSTVTTELVDHRYGEEAAHATNEGLNAAGHALGTAWAAFKIRKAINPKSVFKPTTLAKSAAKAAASDLKSSKSKSKSK >RHN61918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39354481:39358542:1 gene:gene24431 transcript:rna24431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rab-GTPase-TBC domain-containing protein MWRDPGVPADSFYETRPECTDVPKSRFRIKAGKTLSERRWRAAFSPEGYLDIGRTLSRIHRGGIHPSIRGEVWEFLLGCYEPTSTFEEREEIRQRRRTQYAEWKEECRQLFPLVGSGRFITAPVVTDDGVPVQDPLVLLENNPENGVIVPPQEVGAPSPNNTAKKVTDKKVIQWMLTLHQIGLDVIRTDRTLVFYEKKENLSKLWDILAVYARIDNDVGYGQGMSDLCSPMIILLDDEADSFWCFERLMRRLRGNFRCTNNSVGVETQLNNLASITQVIDPKLHQHIEHIGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPNMFWMYEDSEETAEESKARLKSIRHYGKFERENMRNGAKNTEEPPLPISIFLVASVLKEKSATLLQQARGLDDVVKILNDTNGNLDAKKACMAALKLHKKYMKKVQH >RHN38577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:408965:409638:1 gene:gene44532 transcript:rna44532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MCIMSTLQSSIVALFFEPNLNAWKIKSLVQLGCTLYAGIMGSAVLFCLQAWCIKKRGPLFSAMFSPLNTIIVTVLASLFLHEEIYTGSLIGAIGVIIGLYIVLWGKAEEVVDVKEKIVPKSMVNETEEVKIDLEEPLLTNFLKTRSEIESLNSSSYGSIGSTT >RHN63840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54365799:54368689:1 gene:gene26594 transcript:rna26594 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCGSCSDVGKANGSCRILQAIVTRAQDKTRSVKTLSTKEFRHFANKVGNLERPFLKDFFPRWVSSCGCPDLRMGFSYNKRKNIVELAVLRGCTALQTSSTAVLDINPDSENRDGDSGWPGMMSIRVYELDGMYDHPILPMAGEAWQLLEIQCHSRLAARRLPKSKKGVKHDGSDDNGDVPPVDTRSSTESPLLWIRADPDMEYLAEVHFN >RHN50332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6255498:6256370:-1 gene:gene34605 transcript:rna34605 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNQTSYNAGQAKGQTQEKASSMMDKASNAAQSAKESMQETGQQMQEKAQGAVDSAKDSTNKN >RHN70469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51628382:51637975:1 gene:gene19049 transcript:rna19049 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP711A12 MVFMDLEWLFPIPISVSFASTILALAGGWLIYLYEPYWRVRKVPGPPSLPLVGHLHLLAKHGPDVFSVLAKQYGPIYRFHMGRQPLIIVADAELCKEVGIKKFKDIPNRSTPSPIKASPLHQKGLFFSRDSQWSTMRNTILSVYQPSHLSRLVPTMQSFIESATQNLDSQKEDIFFSNLSLKLATDVIGQAAFGVNFGLSQSHSVHNESKNVATDNKDLMNASGSNEVTDFINQHIYSTTQLKMDLSGSFSIILGLLVPILQEPFRQILKRIPGTMDWKIERTNEKLGGRLDEIVEKRTKDRTRSSKDFLSLILNARESKAVSENVFTPEYISAVTYEHLLAGSATTSFTLSSVVYLVAAHPEVEKKMLEEIDGYGSLDQIPTSQDLHDKFPYLDQVIKEAMRFYIVSPLVARETSNEVEIGGYLLPKGTWVWLALGVLAKDHKNFPEPEKFKPERFDPNCEEMKQRHPYAFIPFGIGPRACIGQKFSMQEIKLSLIHLYKKYLFRHSADMESPLELEYGIVLNFKHGVKFSVIKRTEMSC >RHN46448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31805487:31811440:-1 gene:gene40934 transcript:rna40934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MSFNDETAIISWRKIPNLKCDVVSPNSYSPLRHNATLIFFSTTVDTKFASISVAEAKIHNHFAPTPSQLLKHPLAALAFVPRDAALFAAGAFAGAAAKTFTAPLDRIKLLMQTHGVRVGQESAKKAISFVEAITVIGKEEGIRGYWKGNLPQVIRVIPYSAVQLFAYELYKKLFTGQNGELSVVARLSAGAFAGMTSTFITYPLDVLRLRLAVEPGYRTMSEVALCMLREEGFASFYKGLGPSLIAIAPYIAVNFCVFDLLKKSLPEKYQKRTETSILTAVLSASLATLTCYPLDTVRRQMQLRGTPYTTVLEAFAGIVARDGVAGLYRGFVPNALKTLPNSSIKLTSYDIVKRIIAASEKEFQSITEENRNKQKNVNNQ >RHN77666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8513185:8514501:-1 gene:gene1142 transcript:rna1142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MATPVKVYGPALSTAVSRVLACLNEKEIQFQLISLNMSKAEHRKPDFLKIHPFGQVPAYQDHDISLFESRAICRYVCEKNIEKGNKQLYGTNPLAKASIDQWLEAEGQSFNPPSSTLVFQLAFAPRMKIKQDEGAIRQSKEKLKKVLDVYDKRLGETRYLAGDEFTLADLSHLPNIHYLVAAADADTADLFTSSSRNNVSRWWTEISTRESWKKVIDLYPKP >RHN76053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47325686:47326024:-1 gene:gene12327 transcript:rna12327 gene_biotype:protein_coding transcript_biotype:protein_coding MDIENPMNSREKELQIVIRSPLKLPDGWLVEQRSRPNRNPNHADIVDRVTLCSLSLNLIIHLCMHLFNAYSNLSYDHIMHAPYVRTCVTIVAFDCVFRPFLLINFKFNALAL >RHN46805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35014846:35018949:-1 gene:gene41336 transcript:rna41336 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKNLSGVNIFRFFSNDGISSHSLDIDAYNVHSFITLSIMDLISFDLVHNQSMSMVSSTLHIQRHRHSRHLIIVVFLRSCTLTFYSIHMDVVMPQK >RHN76707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:599747:604553:-1 gene:gene74 transcript:rna74 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxoacyl-[acyl-carrier-protein] reductase MTNPTKRVLLASNGDDISHGIAFNLAKQGCRVVLMGETSSLRRIVQKITESVTDSVVEVVELDMENENESVFHDAVDKACKILGKLDAFVNCYSYEGKIQDHLELAESEYKKIVKINFMAAWFLLKAVGQRMRNFNKGGSIVFITSIMGSERGLYPGAAAYASALAGVQQLVRASAMEIGKYQIRVNAIARGLHLEDEFPLSVGRERAEKLVKEAAPLERWLDVKNDLASTVIYLISDGSCYMTGTTIYVDGAQSITRPRMRSFM >RHN66247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10005570:10006347:-1 gene:gene14192 transcript:rna14192 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQNDDSCEYISIFLMQRTRWTSYLNFNFCELSICIFYFRFNSSNKRILCSFPQLIFIADNFLFFFLLEENNA >RHN53731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3615101:3615465:-1 gene:gene28670 transcript:rna28670 gene_biotype:protein_coding transcript_biotype:protein_coding MGMECHVIFLQEQKFDSFKKRKIHQSPHSSSFPSEEALQGASTKRIKTP >RHN65051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63863862:63865145:1 gene:gene27944 transcript:rna27944 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVETQQAPTTVTEKETVEVTKVEETTPPASEVPASEPATTEEVVAVENEETEVPVEAESTQVTQEAKPEVENPEPEKVEVKEEVSAEEAKETTETESAPEKVEAKEEVSTEEAKETTEIESAAIAAPVEEVTTTEA >RHN54614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10784112:10786137:-1 gene:gene29682 transcript:rna29682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MLEGEVPTNGVFGNVSQIEVTGNKKLCGGISRLHLPSCPVKGIKHAKRHKFRLIAVIVSVVSFLLILSFIITIYCIRKRNPKRSFDSPTIEQLDKVSYQELLQGTDGFSDKNLIGSGSSGDVYRGNLVSEDNIVAIKVFNLQNNGAHKSFIVECNALKNIQHRNLVKILTCCSSTDYKGQEFKALVFDYMKNGSLERWLHPRNLNAETPTTLDLDQRLNIIIDVASALHYLHRECEQLVLHCDLKPSNVLLDDDMVAHVSDFGIARLVQAIACTSLKETSTTGIKGTVGYAPPEYGMGSEVSTSGDMYSFGVLMLKILTGRRPTDEVFQDGQNLHNFVAASFPGNIIDILDPHLEARDVEVTKQDGNRAILIAGVEESLVSLFRIGLICSMESPKERMNIMDVTQELNTIRTR >RHN78971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20407247:20415871:1 gene:gene2643 transcript:rna2643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MLRPLLLKRSLHLRHHHYHTLTPSHSHPLRTLTTITPPNPFLRRFSSLPAEPNTDAETRKYLGYAALLLFCGAATYYSFPLPDNAKHKKAQIFRYAPLPEDLHTVSNWSGTHEVQTRHFHQPESLDQLERVVDEAHRSKTRIRPVGSGLSPNGIGLSRIGMVNLSLMDSILEVDKEKKIVRVQAGIRVQQLVDGIKEHGLTLQNFASIREQQIGGIIQVGAHGTGARLPPIDEQVIAMKLVTPAKGTIEVSKEKDPELFYLARCGLGGLGVVAEVTLQCVDRQELVEHTFVSTMDEIKKNHKKLLSENKHVKYLYIPYTESAVVVRCNPVSKWKGPPKFKPKYTKDEAIQHVRDLYRESIQKYRVEGSRNKSSDDDEQNIDELSFTELRDRLIALDPLNKNHIVKVNQAEAEFWKKSEGYRVGWSDEILGFDCGGQQWVSETCFPAGKLATPSMKDLEYIEELKKLIEKEEIPAPAPIEQRWTASTRSPMSPASSPSQDDIFSWVGIIMYLPTMDARQRKDITEEFFHYRHLTQAKLWDNYSAYEHWAKIEVPKNKDELIALQARLRKRFPVDAYNKARNELDPNKVLSNNKLEKLFPQSETI >RHN71013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55805388:55809286:1 gene:gene19653 transcript:rna19653 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWDSHTPDPKSESLERNRSLTKEEIDAFWRSKKETEDEHLTAISNLSRTIQPGKYEDTVPLARRMKKSFGMDVDKNMEQLKNMKKNGWWTKSNWAFLNEPPVMEAPTSKYASQYHVANLGSSKLNTGHGISA >RHN54264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8143893:8144834:1 gene:gene29269 transcript:rna29269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MVSERAKLHIALTFLQFCHAGNHIFLRIALNTGVSKLVFPVYRNITAFILLAPLAYFTEKKDRPQITSYCLIQFFLLGLVGITMKEGFYLLGLDNTSPTFASAMQNSVPALTFLMAVILRQAITL >RHN42134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35660835:35661452:-1 gene:gene48535 transcript:rna48535 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCPLNLRQPYAHTLNFSQPVLSKTSTLYLVKVTFSFPLIYSLSSGTIVQDKSTRPHLHITCFIDPKLHIA >RHN56982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35439763:35445239:1 gene:gene32455 transcript:rna32455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVLKKRLGNGFRDYQVPKVPRCPRSVRRTVAFKKPVEDGHSCAFELLASLAGELLKESESSASSNASDVKTLTEERFRNGSCEEKSSEKHLQSAGTDCILECISANNNVQSEIFKLENRFGNHSNTNRLVEVPNHHEADSSGFKRLSAVHSPSLANLSGNIKSPFCGELFPNASFSRNGRNDSKLGFIDDDENFIRCNKVCTKPKAFRPSRYIARKIIRKRWTSNSKHWKVAPKLKDFELSRYDNGMRPSSHKRKTYYNFERSQCHTLLKRKKLSDRGSMLTHGGGFSNESVSNSPKKLIGGNNRSSSAKVRVSKDSNVKFRIESFRIPELYVEVPETATVASLKRTVMEAVMTIIGGGVHVGVLVKGKKVGDDNRTLRQTGISCKENIDKLGFVLEPSSSQASPVVCAGDPSHCVASQPTRSLGTPSIDSGISVAKQDSSLHTNTGGDLVGMNHESASSLADTISDKLDIISDKLTQDSGALVSVPAHSTEALTVVPVNQNTGPSELVQRRTRRPFSVSEVEALVHAVEEVGTGRWRDVKLRCFENADHRTYVDLKDKWKTLVHTAKISPQQRRGQPVPQELLDRVLAAHAYWSIHQSKQHVKHQTNVVQPLVIM >RHN41026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26093320:26094315:-1 gene:gene47298 transcript:rna47298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGDVKIAEYSCSRKRLHHSQNDTLTKLELLFLPEEIIVNILLRLPVRSLLQFKCVCKSWKILISDPQFAKNQFLSSTEYPQLVSPVFGLAKCEIVSYPLKPLLENTLTIDKPVKPVIFSSRCQIMILGSCNGLLCLHEDSHFRLWNPSLKLESKRSPTIVCFNHYEVTFRGFGYDIVNDRYTVLVVVNNRYNSKEIVTIIYTFGENSWTTVQNFPYDHEYNSNNWLGKFVSGTINWIVNKDRNSTNQEVIVSFDLDKKTYGEILLPEYDGDNVCNPMLHVLTNCICVSFDHPKEAHWVVWMMKKNSVVESWTKLKIIPQNKLTLQTMVLFS >RHN66098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8548015:8551720:-1 gene:gene14013 transcript:rna14013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MIEFGSSMVFISIRTDMAWYRLGKPSKQYSPWYDTVLKTARIAISIITLLKEQSRVSRLSFGDVIKRVSGFSQDNKSYISSDPLAVERYVVVHGQIILQLFAEFPDEKIRKCPFVAGLTSKLEARHHTKWLMKKKKVLPRSEPNLNPRAAMAPVVSKRKAMQATTTKLINKIWGEYYSNHLPEDSKVVIASEVKDDDEDAEVETVLLEGMQKPHSVSKQTKAFSDDGEIRWEGGPEGKTSSGLLLYKQAIIHGEVVSVGISVSVEVDELDELPDIYYVEFMFESKGGKKMCHGRIMQDGGCQTVLGNAANEREVFLTNECKDLGLQDVKQINIVTIQKTPWGHQHRKANIVADKIDRERAEERKMKGLPTEYYCKSLYWPERGAFFSLPFDTLGLGSGVCQSCKLQEAEEEKDVFKVNSSKSSFVLEGTEYSLNDYVYVSPFEFEEKIEQGTHKSGRNVGLKAYVICQVLEIIAKKETKQAEITSREIKVRRFFRPEDVSSEKAYCSDVHEVYYSDETCTISVQSIEGKCEVRKKIDVPEGSAPRIFHNVFFCELLYDPATGALKKLPSHIKVKYSSGTADAAARKKKGKCKEGDSISEPDLERKTSNEKRLATLDIFAGCGGLSEGLHQFGVSSTKWAIEYEEPAGNAFKANHPEALVFINNCNVILRAIMEKCGDRDDCRMWRSVTSRSSHAIVRAMSTLMP >RHN61919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39359201:39361469:-1 gene:gene24432 transcript:rna24432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ribosomal subunit protein S13 MFGSAGMFSNIAVCLRQNLSFRGVQVRNINIGGGLGGEIPDNKRLEYALQHIHGIGRGKAHHIVCELGVENKYVKDLSKRELYSLRELLSKYLIGNDLKKLVQRDVGRLVGIQCYRGIRHADSLPCRGQRTHTNARTRRTMRTYGGSR >RHN80198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36049641:36059276:1 gene:gene4096 transcript:rna4096 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGVTPQVLLLQPGFGDEKQSPQPSEFAREHWAERQRAGRYVEEMYLFSQSTQRNWPPRSTMTFSGTNGVLWLI >RHN49573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55746751:55752992:-1 gene:gene44418 transcript:rna44418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MALDSLTSGLASEAISQIIDTVSEIVYSAGGVLVNKDSFKELAAYLQRIAPILKQLSKEKVSDSETFNYAIEVLDREIKDGKKLVQECSKKSKVYLLVNCRTVFKRLKHNTSEISKALGLLPLATSGLSAGIIEEIKRLCDNMQAADFKAAISEEEILEKIESAIQEKNFDRSYANNLLLLIADAVGITKERSTLRKELEEFKSEIENEKDRAETIQMDQIIALLERSDAASSTREKELKYLAKRNSLGNQPLEPLQSFYCPITGDVMVDPVETSSGQTFERSAIEEWFAEGNKLCPLTFITLDTLILRPNKTLKQSIEEWKDRNAMITIASMREKKIQSGDEVGVLHCLQALQDLCEQKDQHREWVVLENYIPVLIQILAEKNSDIRNHVLVILCMLVKDNEDAKERIANVKNAIESIVRSLGRRLGERKLAVALLLELSEYDLLREYIGKVQGCILLLVTMSSSEDNQAARDATELLEKLSSSDQNVIQMAKANYFKHLLQRLSAGPDDVKMIMVKMLAEMESTDRNKEILFDSGILPPLLRLVSHNDVEMKLVALKALQNLSTLKKNGLEMIQQGAARKLFGILFQHSLPSSSLSEHVAPIIMQLAASTISQDTQTPVSLLESDEDVFNLFSLVSYTVPDVRQYIIQTFYSLCHSPSASYIRNKLRECPSVLVLVKLFENESLSLRASAVKLFSCLVESCDEDAILKHVNQKCIETLLQMLKSSSDKEEIVSAMGIIRYLPKVQQITQWLYDAGALSIICKYVQDGTDKDLQKSKLVENSAGALCRFTVPTNLEWQKSAAEIGIITVLVQLLESGTAQTKQLAALSLTQFSKSSNELSSPMPKRKGFWCFSAQTEAGCLVHGGVCIVESSFCLLEADAVGALAKTLGDSDLGVCENSLDALLTLIDGEKLQSGSKVLADENVIPLIIRFLGSPSPGLQEKSLNALERIFRLLEFKQKYGASAQMPLVDLTQRGNGSIKSLAARILAHLNVLHDQSSYF >RHN63475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51553263:51553829:1 gene:gene26174 transcript:rna26174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MASSSSSYNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVQRLQKELDAANTDLLRYSLADISPPPPASLSVPQGMISVQPIPQRQFSGRNEGFYRQSPTTTYSSFPYSLPWTDTSSVDMSEGGGGGGNHM >RHN76921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2414264:2415743:1 gene:gene314 transcript:rna314 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIVPPSGEKFSLDVNPNTTTLHQLKVAIQQFNGMPVSNQRLFFSLSLGQNDSDLISNLGIGPFSTLTLHTPFYGGADDDTIGATSSTVKDELVKEVDSDSESESESESDAKARADSEYLIYPDSDSDVDDKIEKRRQSELFEIRRRRNSAKFEEQRMSIFFKNYEEKFCAEMERWWEEEDKKFLEEEKEAEEELLKEIEEDRKQAEEEARKKVKRARKAEEVARKKVKRAKKAEEAEAKAKD >RHN65673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4268410:4273284:1 gene:gene13541 transcript:rna13541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MSRELNGIVKAWESTVRKSATAKKRANSIFKPMSVANVDDEDDDDKQSSSSSSQEVSHVEKTMPNGDFYTGQWLDKFPHGQGKYLWIDGCMYVGEWIQGFTMGKGRFSWPSGATYEGEFKTFYMHGKGTYIGSSGDTYKGNWVMNLKNGDGNESYPNGDFYDGEWKKGVQNGHGRYQWKNGNHYIGQWRNGLFNGNGTMMWQNGNRYDGCWENGFPKGNGTFRWSDGSFYVGVWSKDPKEQTGTYYPSGSSDAHLEWDPQEVFTVELIECMICPCEDITTYPSDKILNWPGLEEDKGTEKQIHKKGTHGNGKPRRSSADGRISNHSSEGGRKSAFDELGGNSVQSWNKSPRLRLKAPKRPGETISKGHKNYELMLNLQLGIRHAVGRPAPSASLDLKSSAFDPKEKVWTRFPPEGSKHTPPHPSCEFRWKDYCPVVFRALRKLFKVDPADYMLSICGNEALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVFLKMLPAYYKHVRTFENTLVTKFFGLHCVKLTGSAQKKVRFVIMGNIFCSQYVIHRRFDLKGSTFGRTTDKPEAEVEPTTTLKDLDLNFIFRLQKSWFEEFCRQVDRDCDFLQHERIMDYSMLVGLHFRDTSPSGNVTPCGRSSGAHTPSEFDDGGPRLSGVDADHLIVDPSRWIQLGINMPARAEIALRKSTCESPQLVGEPTGEMYDIIIFFGIIDILQGYDISKKLEHAYKSMQYDPASISAVDPRLYSKRFRDFIFRVFVEDT >RHN55129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15411995:15414265:1 gene:gene30261 transcript:rna30261 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIKFSLFTIFIFVLAMVVAGHDGHVHSPAEAPSSFASSLNCHSVIGGFVPILIAILFARNGL >RHN75291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41018799:41023441:1 gene:gene11468 transcript:rna11468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eukaryotic translation initiation factor SUI1 MSELDAQIPTAYDPFAEANAEDAGAGSSKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPEQGQVIQLQGDQRKNVSTFLVQAGIVKKEHIKIHGF >RHN42119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35533347:35537552:-1 gene:gene48519 transcript:rna48519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellular retinaldehyde binding/alpha-tocopherol transport, CRAL/TRIO MFLLRRQTQNQPENASLTQEAKVAELRAAIGPLSGRRLKYCTDACLRRYLEARNWNVDKAKKMLEESLKWRSSYKPEEIRWAEVAHEGETGKVSIANFHDIHGRAVLIMRPGMQNTVSEENNIKHLVYLLENAVLNLSDGQEQMSWLIDFTGFSFSTKISTKTAREIIHILQGHYPERLGIAILHNPPRIFQAFYKAIKFFLDPKTAQKVKFVYPNSKDSVELMKSLFDMDNLPSEFGGKTTLKYDHEEFSRLMTEEDAKTAKFWGIDDEKPFTTKNGHSGAEVAPEPVA >RHN42615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39727043:39727364:-1 gene:gene49072 transcript:rna49072 gene_biotype:protein_coding transcript_biotype:protein_coding MYVENMLMLESGSIGEIVGGTMLPAIVDKLIELDVEIGWDGKIQEDAKSIFEMEFEENAEFEDDGEKYYSMVWSNII >RHN57878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42345156:42351609:1 gene:gene33469 transcript:rna33469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase MCSPRALSVSLSLFLLLALVSAQTKRSVWNTLTGSPPLVISHGGFSGIFPDSSDSAYILAAATSTPNAALWCDVQLTKDAVGICLPNLNLLNSTNIFGVFPNKTTSYLVNGESTAGYFSVDYSFEDLSTVTVTQGVFSRSPLLDGNNFIINTVDEVLKLVAPQTPGLWLNIQHDAFYSQHNLSMRSFVLAVSRRLHVSYISSPEAGFLRSITKRFNPKTTKLVFRFLNQEDTDPSTNQTYGSFLKNLTFIKTFASGILVPKGYIWPVDASLYLQPHTSLVSDAHKAGLEVFAADFSNDIVISYNYSFDPLAESLQFIDNGEFTVDGVLTDFPLTPSAAIDCFAHIGNNATRRDKTLIISKYGASGDFPACTNLAYNKAIQDGVDVLDCPVQLSKDGTPFCLKSIDLLESTTVAQTSFSDLAMSVPEIKSGRGIFSFNLTWSDIKGLTPSILAPFSKYTLFRNPKAKNAGTFLTLSDFLSLTKNQTSLSGVLIIVENAAYLAEKQGLSVTDVVIKALSNAGYDKPGSQKVYIQSTNSSVLLKFKEKTKYELVYQIDESVGDATNAAAEDIKTFASSVVINKNSVYPQNAGYVTTKTNIVEKLQASKLSVFVETFSNEFVSQAFDFFSDSTVEINSFIQGAGINGVITDFPKTAHRYSRNKCLNLGNSTPPYMQPVEAGSLFGVISNTSLPPAMAPLPPLTKDEVVEPPLPPVAKIAPAASPAAGTKSPPGNAQPKVSACFFLSSLAVFVASILLL >RHN69061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40701788:40703707:-1 gene:gene17489 transcript:rna17489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGLSSLPAQSEGVLCIILVNTAMSISIFKGIIRTILHIVGIIASPSSSPSQDYIPQNIPESYEIHLSPSDDFVEEFRSRTPTLRFDSVCNSCKEPEHDCSVCLTQFEPESEINYCISCGHVFHKVCLEKWLDYWNITCPLCRSPLIPEDDASCLW >RHN39162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4598923:4607063:1 gene:gene45162 transcript:rna45162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MKQTVMRMLLHFFSVLFAVLTILVLIQAQDQSGFISLDCGLPKDVNYSSLETGINYISEAKFIDSGVSKRIPPTEIIVKQQLEHVRSFPNGVRNCYRINVTSDTKYLIRATFYYGNYDDLNDPPEFDLHFGPNVWDTVNFPNASLVTFMEIIYTPSLDYIQPCLVNTGKGTPFISVIELRTLNNKAYVTYSSKSIVLSLFRRFNLGSISDKSYRYKDDVYDRIWNPFKSGFKLLNSSNNDLLLQNNYALPAIVMSTAVTSLNPSAPLNFSWTANNVNDQYYLYMHFNEVEELAANETREFNITVNDRFWYGPVTSYITIFSREPFPRAKTYEISLFKTDNSTLPPIANAIEVYKVKDFSQSETHQDDVDTIMNIKNTYGVSRNWQGDPCVPVNYMWEGVNCTIDANSIPRITSLNLSSSGLTGEISSSILKFTILQYLDLSNNSLNGPLPDFLTQLRSLKVLNVGKNKLVGLVPSELLDRYKSGSLSLSVDDNPDLCKTESCKKKNIVVPLVASFSALAVILLISLGIWLFRRQTDEVTSPNSERGSMKSKHQKFTYTEILNITDNFQTIIGEGGFGTVYFGILQDQTQVAVKMLSPSSMQGYKEFQSEAQLLTIVHHRNLVPLLGYCDEGQIKALIYEYMTNGNLQHLLVENSNTLSWNQRLNIAVDTAHGLDYLHNGCKPPIMHRDLKPSNILLDKNFHAKIADFGLSRAFINDNDSHISTRPAGTFGHVDPEYQRTGNTNKKNDIYSFGIILFELITGQKALAQRASGEKIHILQWAIPIIESGNIQNIVDMRLQGEFSIDSAWKVVEIAMSCICQTETERPDISQILAELKICLSLDMVQCGSTRSRDELVSLAIESETAILAR >RHN77641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8366288:8368251:-1 gene:gene1114 transcript:rna1114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTEATAMSLPDEVMIEILSRVDSSNHLELRCVCKLWKSLFLDPIFMKNYFLTSITDLTSLCRKANEQFNALKSRIKEEQEKEDDGNEEDLDLDFNGAAAVEEEEEEEEDGDVDAEEEEEEEEYSKKNVLAELIKFMEKEKQFEKKGENLDSLDEKWMIIKVVVLDNSLLFVRYIKNFTLNFLESIKSMEDRVELKGNSESIRVEMQTMEYKMKCLEIFTQIYLE >RHN40821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21617658:21633205:-1 gene:gene47036 transcript:rna47036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor STAT family MGNDEIRRRNKGYCELKDLCLDFDEYDGTFSFCFWIYLIKSTTFPATILHQIHSDISESAPFLVINDNKRINVLPVLYLHEEAPVTCMGPWTEVPHVTVDFEFPLEKWVHVGCEVCPNYIRLHINGEIVGEKSLSSLLHKESNSSNLKEIHLANLCGDSSDVQGYVHNYEGFHTFSSIKDHHLKDPPLKLSIDESSISEVEEESDGVWGIVGGKASCRRNVSLDVVLSDAFGQPVDMENEVFASLVYADTGAPVENTTDDEAPLLSSYDGIEFSSCERPSKFLLGRASFKLRISQLSSKCDSRLFLIRFCVPKLGDYPFLQTNTRPIRCISRSRNTKLSTLVWKKSTYALERLNLSQSSAIDDVSLESMNSGHEEKTNPLMKRFRVGLDKISVSVKANPTLKQSGVECSNSHVWTANQVEKGFPKSLDGRSLNFEEADVSPSESSESTGERYSPSNSLESRRYQISDMTIFKYCLAGLAERSQMLKEIAISASDREISELAHSVSHFSGCSHHGNQILISKRLIEDGTNLWLAMSPNNHPIPWKSAVYEVEEQFMKIASCGSRSLSQQDIEILRSIAGCQEYLSLENFEKLWCWLYPVACVISRDWVNAIWNSMSPKWIEGFITKEEAEASLQGPGGFQERGTFILRFPTSRSWPHPDAGCLIVTYVGNDYKLRHKLLSMDHIYGSDNQRIDAKPLQDMLLAEPELSRLGRIMRSY >RHN40126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13498353:13500487:1 gene:gene46232 transcript:rna46232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BEACH domain-containing protein MLYDCVYVAKFGIVNDYSFPLQVLYYLVRVEPFTTLEIKLQGGKFDHADRMFSDISATWNGVLEDMSDVKELVPELFYLPEVLTNENSIDFGTTQLGEKLDSVRLPAWAENPVDFVHKHRMALESEYVSAHLHEWIDLIFGYKQQGKEAIEADNVFFYITYERTVDIDKISDPVCPIFFYIFSIQLVA >RHN66960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22180956:22181516:1 gene:gene15085 transcript:rna15085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLSGLIPSTLGNLSSLNHLWIGSNKFSGEISKLTFSKLSSLEELDLSNSTFVFQFDLDWVPPFQLHTFSLSSTNQGPNFPSWIYTQKSLQSLDLSSSGISLVHRNKFSSLVERITDHLILSNNLIAEDISNLTLNCSNLGLDNNNFTGGLPNILTMAYAVDLSYNSFSGSIPHSWKNLKDLYSINL >RHN66615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15043433:15046835:-1 gene:gene14643 transcript:rna14643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MAILPTILVITKLLLFFSKFSFATDTITQSLPDGSTLVSKGGVFELGFFNPGSSTNRYVGIWYKNIPVRRVVWVANRDNPIKDTSSKLIISQDRNLVLLDKNQSLLWSTNATIEKVSSPIAQLLDDGNLVLKNGGEEHFLWQSFDYPCDTILSGMKAGWDKRKDLNRSLVAWKNWDDPSSSDFTSAMVLTPNPESFIWKGLTKLYRTGPWTGPRSSGVIGLTENPLYDYEFVNNQDEVYYLFTLKNSSVVSFVVLNRTLSVRLRLIWISESKTWNVYQTLPQDSCDVYNVCRENGLCMINASPMCRCLDGFIPKSPQQWSAMDWTQGCVRNSNWSCGIKDRDGFKKITGMKLPDTMHSWIDEKMTLDDCKTKCLKNCSCTAYSSLDTSGAGSGCSIWFGDLVDLRVSQSGQDLYVRTDVSDIGDKNANTKTIVLAVSITSSLVLLILLAVTYIYITKTKYKEGIEKTTSSEEKYEDSHEDFELPIFDQDTILNATKNFSFDNKLGEGGFGPVYKGTLLDGQEIAVKRLSRSSGQGLKEFKNEVILCTKLQHRNLVKVVGCCIEGDEKMLIYEYMSNKSLDTFLFDPFQSKLLDWSARFNILFGIARGLLYLHQDSRLRIIHRDLKVSNILLDDDMNPKISDFGMARMCGGDQIEGRTNRIVGTYGYMAPEYATDGLFSIKSDVFSFGVLLLEIISGKKNRALSYHEEDHNLIGYAWRLWKEGIPLKLIDDYLRHSCIESEALRCIQIGLLCLQNHADDRPNMTSVVVMLSSENGLPKPKEPGLLIKKLSTEGEASSGRQTSSSTNEVTISLLDAR >RHN78540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16086613:16088365:1 gene:gene2120 transcript:rna2120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MVQMCNWQGMSMLTLSVSLPSLKPPECHEMDVTKCKEASTLQLVVFYGALYTLAVGTGGTKANISTIGADQFDEFDTKEKKHKLSFFNWWMFSIVFGTLLANSVLVYIQDNVGWTLGYALPTLGLGISIITFLAGTQFYRHKLPAGSPFTKMAKVIVAAIKKWNVSIPSDPKELYELDLEEYAKKGNVRIDSTPTLRFLNKACVKTNSSTSTAKLCPVTHVEETKQMLRMIPILATTLIPSTMVAQINTLFVKQGTTLDRDIGSFQIPPASLGTFVTLSMLMSVILYDRFFVKIMQKWTKNPRGITLLQRMGIGMIIHIMIMVVATFTERYRLSVAKEHGVVENGGLVPLSIFILLPQFILMGTAEAFIEVAKIEFFYDQAPESMKSLGTSYSLTSLGIGSFISTFLLSTVSHITEEHGHKGWILNNLNASHLDYYYAFLAILNVLNFIFFCIVTKYYVYRAEISDSIKVLAEELK >RHN66106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8574538:8574918:1 gene:gene14021 transcript:rna14021 gene_biotype:protein_coding transcript_biotype:protein_coding MACISLSTIIFSFMIIAIMSGKPNTMVSGTHNLLETNIPQLPKLDFPPLAKHELLKVSELPKSEIPESSKPKFPKVFELSAPEIPELSKFEKYELLEIPQLPPLEIIIQPPDFAIIIPGPILRNRP >RHN51661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21690153:21691090:1 gene:gene36143 transcript:rna36143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MAFTTSILAEKKNKILFILGATGTGKTKLSINLGTRYPAEIINSDKIQVYKGLDIVTNKVPQSEQRSESIDLIIGNGRLPIIVGGSNSYIKKLVEEPTIAFLSKYDCFFIWVDVSLPTLFQYVGKRVDEMVESGMVDEIREYYAPGADNSKGIRRAIGVPELDSFFQIEKKNDIDDAQKEKILAEAIRKTKQNTCILVQNQLLKIKNMAQILGSMVYKIDSTEVFEALLKGEDYKHLHQENVIKPSIEIVKRFLEETPVGFEYEKYSNENGKHALNGVSNIRAKII >RHN80438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38166938:38168091:1 gene:gene4366 transcript:rna4366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MASSCVGTLFSSQTKHLTATKVSVTLHSTKRRVVRAAVTEKLGIKIESNPPESKLTQLGVRQWPKWGCPPSNFPWTYDATETCYLLEGKVKVTPSGANEAVEISAGDLVVFPKGMSCTWDVSVGVDKHYKFE >RHN53636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2961602:2964930:-1 gene:gene28563 transcript:rna28563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MWVLCLGALVTICIITRWVYRWRNPSCNGKLPPGSMGLPLLGESLQFFSPNTSCDIPPFIRKRMKRYGPIFKTNLVGRPVVVSTDPDLNYFIFQQEGKIFQSWYPDTFTEIFGQQNVGSLHGFMYKYLKNMMLNLFGPESLKKMISEVEQAACRTLQQASCQDSVELKEATETMIFDLTAKKLISYDPTESSENLRENFVAFIQGLISFPLNIPGTAYNKCLQGRKKAMKMLKNMLQERREMPRKQQMDFFDYVIEELRKEGTLLTEAIALDLMFVLLFASFETTSQALTYAIKLLSDNPLVFKQLQEEHEAILERRENPNSGVTWQEYKSMTFTFQLITETARLANIVPGIFRKALREINFKGYTIPAGWAIMVCPPAVHLNPAKYQDPLVFNPSRWEGMEPSGATKHFLAFGGGMRFCVGTEFAKVQMAVFLHCLVTKYRWRPIKGGNIVRTPGLQFPNGFHVQITEKDQKKHESECTTTY >RHN47496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40163542:40164425:-1 gene:gene42107 transcript:rna42107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MALSRSLFLFALIATIFSTMAVAKDFVVGDESGWTLGVDYQAWAANKVFRLGDTLTFKYVAWKDNVVRVNGSDFQSCSVPWAAPVLTSGHDKIALTTYGRRWYISGVANHCENGQKLFINVLPKQDGWYPAPSSPSASPSPSPVPAPEAAPPSNAPWAASVQTSELTWSPVPSPSPTPAPEAAPPSNAPWVASVQASEINWSPVPSPTPAPQAAPPSNAPWTAPRRSLLQK >RHN49422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54717873:54721708:1 gene:gene44250 transcript:rna44250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SSXT family MQQHLMQMQPMMAAYYPNNVTTDHIQQYLDENKSLILKIVESQNSGKLSECAENQARLQRNLMYLAAIADSQPQPPTMAGQYPSGGGMMQGQQQQGGAHYMQAQQMTQQQQLMAARSSLLFAQQQQQQPYSALQQHQLGGGGTSGLHMMQSEACSNMNVGGSSSSTLGSGGGFPDFIRGGGEGLHRSLIGGSGKQQEIGMSSSSDQGRGGGGDGGENLYLKSSDDGN >RHN73810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20143617:20152702:1 gene:gene9679 transcript:rna9679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target SNARE coiled-coil domain-containing protein MATSLQMTPQVEQIHGQIHDIFRALANGFQKLDKIKDSNRQSNQLEELTAKMRECKGLIKEFDREIKDEDGRNPPEVNKQLNDEKQSMIKELNSYVALRKTYMSTLGNKKLELFDTGAGSSQPMTEENVQLASEMSNQELINAGTKTMDETDQAIERSKQVVHQTIEVGTQTATTLKGQTEQMGRIVNELDSIQFSIKKASQLVKEIGRKVATDKCIMLFLFLIVCGVIAIIVVKIVNPHNKDIRDIPGLAPPVPTRRLLYVRTG >RHN68240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33946013:33947204:1 gene:gene16565 transcript:rna16565 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQPEIDNAGIEDHENFGEERVEDSLKLDMDIEQDNEDLEEEFVKDSLDLESDIEEDNENLEEEIVEDSLDMDNNIEEDNEGFEEEIVEDSLEREIEEDIEDFADNNRVVLDIDLNLPAHHDFDLNELPIEEDEGLEEEEMHEEEVFHIEENEGLEEGELHEEEVEHIEENEDPEIKEEVKNMLQNTI >RHN44435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4717087:4726147:-1 gene:gene38556 transcript:rna38556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rossmann-like alpha/beta/alpha sandwich protein MIIFKLIHVHAVGNFLPISQVCDDVAATYKKEIELETNQMFLPFKKMCEQRKVHVEVVVIESDDVASAVAEEVMKYAITKLVVGASMGGLFKRS >RHN58384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1329572:1330267:1 gene:gene20291 transcript:rna20291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKGYCTNTIMFPSICNALCFSTDGQTIFSGHVDGNLRLWDIQSGRLLSEVATHSHAVTSISLSRNGNIALTICGTLRATVNIVASNWSRSCICPDNNHVAAGSADGSVHIWSISKGEIISTLKEHSSSVLCCSFS >RHN55842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24664517:24664851:1 gene:gene31112 transcript:rna31112 gene_biotype:protein_coding transcript_biotype:protein_coding MNELLVLSTATTVVAVCCFLVFGAVSAGAAVTPSFLLLQPLLFGRLQGLVAFLLGLPAGFSLLLEQQAMFCRIGVFWCGSVYALAFCNLFFFFSRS >RHN78032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11398727:11406698:1 gene:gene1546 transcript:rna1546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MTRFLKKELDGKSLTNIFRNTQLLLLKIKLNYLFSSFKIKTQTHTHTNIPFPSFIQFLTMSSSPIATPSLPPTTVSSPPYDRVKALKEFDETKSGVKGIIDSGIKTIPSFFIHPPETLSDLTPRSDFPQPKIPTIDLSAVHHSRAAVVEQLRSAASTVGFFQVINHGVAPELMRSVIGAMKKFHEQPAEERKKVYRREMGIGVSYISNVHLFASKAASWRDTLQIRMGPVPTEEKEIPEVCRKEVMEWDKEVVCVGDILLGLLSEGLGLGEERFTELGLSQGRVMVGNYYPFCPQPNLTVGLNSHADPGALTVLLQDHIGGLQVRTQHGWIDVKPLDGALVINIGDLLQIISNEEYKSADHRVLANPSNEPRVSIAVFLNPGNREKLFGPLPELTSAEKPSLYRDFMLKEFMTKFFKKELDGKLLTNFFRK >RHN57098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36449399:36454332:1 gene:gene32596 transcript:rna32596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MRGSCWLNRRIEQRFRLLAISTVKSAKIKLLLFCCVALTLLAFSSTGSSFLLWNNTNQTPQIPSFTDSRKGYSIVMNTWKRYDLLKQSIKHYSSCPRLESVHIVWSEPNPPSESLLKYLHHVVKSKSRDGRYVKLRFDINTEDSLNNRFKEIKDLETDAVFSIDDDVIFPCSSVEFAFDVWQSAPDAMVGFVPRVHWVDSLNGDSNKFRYGGWWSVWWTGTYSMVLSKAAFFHKKYFSLYTNEMPSSIREFVTKNRNCEDIAMSFLVANATGAPPLWVKGKIYEIGSTGISSLGGHSERRSDCVNIFTSVYGRMPLVYTSVKAVDSRNVWFW >RHN55577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19649679:19653693:-1 gene:gene30778 transcript:rna30778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate synthesis bifunctional protein MSILKYLSARRSQLCAARNYLKVLGLSSLHTAPNSSVELHTQDEEVVIALGSNVGDRLQNFKEALKLMRKSGINITRHASLYETAPAYVTDQPRFLNSAVRAVTKLGPHELLSALKRIEKDMGRTDGIRYGPRPMDLDILFYGKFKVRSDILTVPHERIWERPFVMAPLMDLLGTAVDNDTVASWHSFSGHSGGLNALWEKLGGESLIGEEGMTRVMPVANGLLDWSQRTLVMGVLNLTPDSFSDGGNFRSVESAVSQARLMISEGADIIDIGAQSTRPMASRISVEEELGRLIPVLEAVMSIPEAEGKLISVDTFYSEVASEAVRRGAHLINDVSAGKLDSNMFKVMADLDVPYVAMHMRGDPCTMQNNENLKYDNVCKDISSELYAQVKEAEMSGIPAWRIIMDPGIGFSKKTEDNLEVLAGIPDIRAEFSKRSLAISHAPILIGPSRKRFLGEICSRTSAVERDPATIAAVTACVLGGANIVRVHNVKDNLDAIKICDAIRRQKCCPVKFGQ >RHN45685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24446784:24448972:1 gene:gene40068 transcript:rna40068 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSDQLILMVEQLMHRTTVKKWQRKDGREIIRLKREASKPKPSRFCHELD >RHN69289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42366172:42369231:1 gene:gene17736 transcript:rna17736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vinorine synthase MKLEVEIISKEIIKPSSPTPSHLRHYQLSFLDQIAPMVYNPIVLFYSHNDALNTATISNTLKKSLSKILTHFYPLAGRMNNKNFIDCNDEGIPYIETKITNYNLKDIIQNPIPNELNRLIPFQLDDITNIAFGIQLNFFSCGGIAIGACLSHQIADGLSFFNFLNSWANITRKLIFPKPIFDSSKLFPPKNISGFDPRSGIAKENIVCKIFVFNADVVENLRAKYINFNPTRVEALSAFIWSRYVDVIYNDGVQRNYGVVHAVNLRQKMEPPLPLESFGNYLRFTITIPKMNSGEECYGLAKQVRDEIKKIDKEYVKKVQEGKEHLEFLKESFDRVIVKEELVVFNFTSLCRFPLYDADFGWGKPIWVGSTALNFKNLVVFVDCKDGGGIEAYVSLKVEDMVKFEADLELLACVNK >RHN74043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:24659659:24669024:-1 gene:gene9984 transcript:rna9984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HD/PDEase domain-containing protein MKLRMGSGVVVSLFCSTTPPLFISSSSSSAQLRLKPPSSSSLGARVCCHMAHAASSITTPSPSPSPSSAIDFLSICHRLKTTKRAGWLRKEVQNPESIADHMYRMGLMALIAPHFPGVDRDKCVKMAIVHDIAEAIVGDITPIDGIPKEEKSRREQEALDHMCKVLGGGSRAKEIAELWTEYEANSSPEAKFVKDLDKVEMILQALEYEEEQEKDLDEFFRSTAGKFQTEIGKTWAAEIVSRRNKTNDSSHSNSTK >RHN56142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28116056:28116826:1 gene:gene31496 transcript:rna31496 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDNNVMNPGDTPKRRRICIGIWILILHRYLWIRTHEVSELII >RHN58537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2624966:2625427:-1 gene:gene20459 transcript:rna20459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MATATEATCSYFPEELWERIFKFLNDDDINILLPNGDLGFTHQCCFRSLSLVSKQFLSITNRLRFSVTISEKTIPFLHRLFYGFPNVTSHNITLSSQDRNRESDLGELLTHISTFPLDLKSLTLYEPIRLPANALRALSKKMKNLTSLNSQLL >RHN68151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33204697:33205429:-1 gene:gene16459 transcript:rna16459 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRRPDLDLQKIWQALRSLARPNLFLPLYGTCTTHARWAIQTCVLKKLFSFYFDSPWTDARHLYYIHTSMWDNSDKSLNFLSTTTHRKFKS >RHN51729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23630309:23630677:-1 gene:gene36238 transcript:rna36238 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKQPKSMATLNAIVQDKKENLREYVERFTREGVEVHGAHDGLKCFIIENNLRDDCKFKEELGLYVAKYMSNHNAIIQYLIIANNLRPQIHKFWSIMIHKHGIRFDTPTTSQIQTFKLRKQ >RHN73168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14021355:14022701:1 gene:gene8955 transcript:rna8955 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKTPIFPISDPQHFSDYGFDPQINYFQVLEEAMKHKRETSRSIDSIHFKLQKPISKEESRNKFHHTKSKKKRWWKNALFFFKRKWANHRDDPYDSNDIHQARAKAFRATISGPVYLTESRSGSTTPYRTTSRPSSGPLAGTLTPPAIDAVDIPYLSLRELTMEQQQQQRMSTSAMPIYFVT >RHN54107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6955613:6957122:1 gene:gene29092 transcript:rna29092 gene_biotype:protein_coding transcript_biotype:protein_coding MCYRLECATCGKYTWGGCGKHLTTLYRSIDEGMHCMCRSWPGVVIPSQQRTATTTDQPSTSNNSHDNTNSQSS >RHN69595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44761224:44763166:1 gene:gene18083 transcript:rna18083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MSNTLRLAVAVLGNAASVSLYAAPMVTFKRVIRKKSTEEFSCIPYIIGLLNCLLFTWYGLPIVSYKWENFPLVTVNGVGIALELSYVLIYFWYSSPKGKVKVAMITTPVLLVFCITVAVSTFFLHDTTHRKLLVGSIGLVVSVALYGSPLVAMKKVIQTKSVEFMPLPLSLCAFSASVFWLAYGILVRDVFVAGPSLVGTPLSILQLVIYFKYRKERVMEESKIGDLEKGSIELEKVVKVEKIVTNCEQC >RHN74174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29849497:29850957:1 gene:gene10181 transcript:rna10181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-oxo-beta-amyrin 30-oxidase MEGIELDVQGLHGNPYRLLLGDAKDYFVMQKKVQSKPMIFSDDIAPRVAPYIHHAVQTHGKKSFIWFGTKPWVILNEPEQIREVFNKISEFPKVQYKFMKLITRGLVKLEGEKWSKHRRIINHGLFLFFIFFALLY >RHN46421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31579615:31583458:-1 gene:gene40904 transcript:rna40904 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIGNKRKRLESSNCSSHVTGHHYYSETVEDHSSYTDHQTGKLENKQMENKFQDKEDNVYYQPSPSIAIPGQLEPQPEANNNSFPAYNSFPETMPDNPISADNQTTPSYTIESYGMESHPRDKPAMKGRGLVPKRLNFSDEVIKVSFDGKDGEYVLKLTTGDKKENAMEEQAQAAAMLLSQAAMLRRLGETLLSNLEAQFEPQFFNSLKMKEPTE >RHN81312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44763404:44770290:-1 gene:gene5330 transcript:rna5330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative immunoglobulin E-set, protein-tyrosine phosphatase, phosphoglucan phosphatase DSP4 MNCLQNLPRSSSVLQFHTLVSPPSTARHHNIFLPSSSSSLSLGINTNSIYTPTMLLKATSGSIPSAETSSSDVEEEVKSEIYSNNMTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQTPEDVDKLRKIGVKTIFCLQQNSDLEYFGVDIDAIREYANSCNDIQHLRAEIRDFDSFDLRKRLPAVISKLYKAINSNGGVTYIHCTAGLGRAPAVALAYMFWVQGYKLNEANTLLLSKRSCFPKLDAIKSATADILTGLSKKPVTLSWGHRNCSTVEISGLDIGWGQRVPLNFDDKQGSWFLKKEMFEGRYEYKYIVDGEWTCNNDELVTSPNKDGHVNNFIEVLDDADSGRASLRERVTGDDPDLTKDERNRIIEFLEALPNEDL >RHN77482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7156874:7158880:1 gene:gene945 transcript:rna945 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRKPTFRFRIPSWLSGTPESMSRRPKDASKPITRSDTNVPIQRPSRPSLITPAEFSPSPTKTQEAATIEPQNHSPPHPSQPTPLSSSVFVETTHSKPQSPSTSPNHVNSPPPSSHAASQVHVASTQPQSPSHHDTMKVPKPNSSSVEESTHPTSPRVSKIEPASQHHPPSPLAPEKKNEHHLPSPSDIERKNEHHLPSPSDIERKNEHHPPSPWTWTPEQKNEVLKANHEENTRHTSSTSQEEKGKMSVSDPVPSEPEPKTKSPSKIIPVSQPENLSKHTKTKLDEEISTSKLTPKSHLETQRKFLQPKEKEKVMHETKKVGKAKDKDKSTSQPNQHTKASSSGTKDKKHHGVRETVERKIMFSTSNSSGKDISHERIVPSSEEREKENEKGKAPMHKGIKDDITKFVHKISASVQPTQPMEDKKFSVITLTGDNRGATMHVGSESDKKEGSIHIHRAYKTESEESIDVTTDGEGNTNNEEEDSMEHGEVGKAYVNSNIQSINNSLMFHGSINERDPGVQVTLPQKPLETINHDDKDTHNRRTEFNISRSQKSTFQPTVRRYGRKFSCGNEDIEIM >RHN40301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15097278:15099505:1 gene:gene46444 transcript:rna46444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MNTIDNPIDNSDRLKAAALTGDIDLLYTVIQDDPSILEHIDLITFVETPLHTAASMGHLRFATEVMNLKPSFAWKLDLQGFSPIHLALQNNQKPMVYRFVDINKDLVRVKGREGLTPLHFASQNGEVDLLVCFLLLCPESIEYLTVRQETALHIAVKNEQFEALQVLVGWLKENCKRGAENLENNILNQRDEDGNTILHISALSSELQALQLLVSTGINLKEKNLENKTALDITSTPEMKSILLSVGAKHSIEVADAPTRAHRLRLMATTKTMSNKLVSEITRTRSDMTEEQRNIWLIVATLIATAMYQSVLSPPGGVYQISAGDNNLNITSSNSTISTPKNVGRSVLSGYLFSQFSICNLFSFLSSAITIIIMASSSTQSGIFVYTLMSSFLPCYLICMLQISPTDVNTVIFGTPLLLFLFGLSVCMMRSLWVRGRW >RHN46856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35339661:35345065:-1 gene:gene41390 transcript:rna41390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MANSFLSIFNKKPNKPFSRIYFLTFTIFLCTFFYFLGLWQNSPTTTSAAISGNNHHSTTIIRPDCPPANFTSTTTSSTTLDFSAHHNVPDPPETSERVTHAPVCDVALSEYTPCEDTQRSLKFPRENLIYRERHCPEKEEVLRCRIPAPYGYRVPPRWPESRDWAWYANVPHKELTIEKKNQNWVHFEGDRFRFPGGGTMFPRGAGAYIDDIGKLINLKDGSVRTALDTGCGVASWGAYLLPRDILAVSFAPRDTHEAQVQFALERGVPALIGVIASIRLPYPSRAFDMAHCSRCLIPWGQNDGIYLTEVDRVLRPGGYWILSGPPINWESHWKGWERTREDLNAEQTSIERVAKSLCWKKLVQKGDIAIWQKPTNHIHCKITRKVFKNRPFCDAKDPDSAWYTKMDTCLTPLPEVTDIKEVSGRGLSNWPERLTSVPPRISSGSLDGITAEMFKENTELWKKRVAYYKTLDYQLAEPGRYRNLLDMNAYLGGFAAAMIDDPVWVMNVVPVEAEINTLGVVYERGLIGTYQNWCEAMSTYPRTYDFIHADSLFTLYEDRCNIEDILVEMDRILRPQGSVILRDDVDVLLKVKRFADAMQWDARIADHEKGPHQREKILVAVKQYWTAPQPEQNQQRNL >RHN43173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43737569:43738030:1 gene:gene49709 transcript:rna49709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MVESEKYIEGLIHFLENMSKSLALITIMLVIIATIIVPTHCQHVDWSPTKTTVRISNDMGTVVDVHCKSKDDDLGSHDLYQGEITEWSFRANIRGTTLYSCVLKWDSVTKNVVIYDAKKDEDLCITKCWRVLRTDGVYFYNQNRNSWDKRYEW >RHN56808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33903848:33904474:-1 gene:gene32262 transcript:rna32262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQIRKNMTKIIKFVYVMSTFLSLFLVATVSAHNPCKTVVDCPPPHPYGFVVAVKCVRRLCIYNVHLHT >RHN62699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45228782:45229943:1 gene:gene25299 transcript:rna25299 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFDTRKKKSHADFLTQCLILTRRSFVNMHREVGYYWLRLLIYGALVQVFEREKINGHYGVIAFTIGNIIYYSILAIDVIVPRTSGLLPTILEKYPLHYISFHKYAYQGLFKNEFQGLTFAISNQVTSGDDILRHLWQMETSYSKIMFLIIIKCFEKVKPIVAGINSPQEIFRFTKVTRSSEI >RHN62825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46069709:46070241:1 gene:gene25442 transcript:rna25442 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPESWTTPVMVLWEGTVATYEGIVVTARRKGVSPEHYCSLEVARQDGGLRRRKPRFGSVQHNEPRVRRRVSLDLMRGALFQ >RHN55928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25612986:25614191:-1 gene:gene31222 transcript:rna31222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MARKAVKRNDTVSSPILTEKTITTKPQQLIGTLISSSLHSSPLPTIPFDLIPEILYRLPVKPLMQFRCVCKWWNSLISDPKFAKKHFRFSTTCLIHILTYSSLSQKYTIKSYPLDSLFTKDVACKKIAQHEIPSNHAVYIVGSCNGIICNPSIRKFIQLPPLELQLNGYILQMHGFGHDPISDNYKVVVVFLDYDSTDNNKTDVKVVHNVGTNIWKDIKETFQYDRFIVEQKSGKYVNGTINWLASKDYSKGQRFIASFDLGNESYKKVLLPDCDYRAIDSLTLHLSVFGNCLCWISSNDVWIMKEYGMTASWTKLFTIPFMPSYYFFANVMHIFEDGLVTWKSTQDSIQNLVFYNSINGSVKFSYFQFEFILEVCVESLISPCS >RHN79873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33064323:33065680:-1 gene:gene3723 transcript:rna3723 gene_biotype:protein_coding transcript_biotype:protein_coding MNQILFPTSKKIINNNNIIPSTNQLINQMTSNKTSTTGNHHSQPPTTNSRRNPPHSKGCTLVAGVEPDQPRSR >RHN46313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30646365:30646798:1 gene:gene40793 transcript:rna40793 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFLTLTDGVTARHHHHHHHQQLNLQTLRLRGFLELTTTISRMILIQILYDTVILDLSSYYCFLETLYS >RHN68128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33116366:33116637:1 gene:gene16429 transcript:rna16429 gene_biotype:protein_coding transcript_biotype:protein_coding MQINCDTMKLCKMVEHELLHGTNNKIVPVAFEFSIILNHLNNGYRAIYTIIRISRTCISIHDF >RHN60843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30865959:30866781:1 gene:gene23229 transcript:rna23229 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPTTRSTMKLPQATQTLLPPRRGLVKIRVIKSLVKSAAAFASLSGDGGRRNSHGDGTEDGDHSPSSTPPTPGGYNSDHNN >RHN68847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39078287:39078733:1 gene:gene17258 transcript:rna17258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MNLSSPFSSISPEFDVSIKADNGNHKLGIYYENDSSVEIFYRDVSLCNGVLPAFYQPSNNMTVFHSALKGNDVELAETDRRALMNAVAKRRVPLTFNLRAPVKFKVGSLVKSWKIMFRFECDVTVDELTVEAKILQKKNWWYGFDLKG >RHN42653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40002408:40009023:-1 gene:gene49121 transcript:rna49121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MMPSGASLCNICGEQLVLSENGELFVACHECSYPICKACFEHEINEGHKVCLKCGTPYEGRTNNDNVDDEREDDDDDIMVHENPSTMASQINNSEDGGGLHARHISTVSSLDIEEVNEESGNSKWKNRMKGWKGKGKGKGKGKDKKNKTKKDAPTAENEAAVPPEQQMEEIRSTDAAALPLSVLMPIVKSKLAPYRTVIIVRLVILGLFFHYRVTNPVESAFPLWLTSIICEIWFAFSWVLDQFPKWSPVNRHTYIENLSARFEREGEPSGLASVDFFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLTFESLVETAEFAKKWVPFCKKFSIEPRAPEYYFSQKIDYLKDKVQPSFVKERRAMKREYEEYKVRVNAMVAKAQKTPEEGWTMQDGTPWPGNNSRDHPGMIQVFLGHSGARDIEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPEVGRDVCYVQFPQRFDGIDRSDRYANRNTVFFDVNMRGLDGIQGPMYVGTGCVFNRQALYGYSPPSMVNSPISSCCCCPSSKEVSRVSRDGKRAELDAAIYNLREIDNYDENERSMLISQMSFEKTFGLSTVFIESALMENGGGVPESADPSMLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMQCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYAVGGGRLKWLQRLAYINTIVYPFTSLPLVAYCTLPAICLLTGKFIIPTLTNVASILFLGLFLSIIVTSVLELRWSGVCIEDLWRNEQFWVIGGSSAHLFAVFQGFLKMLAGVDTNFTVTAKAAEDTEFGELYIIKWTTLLIPPTTLIIINMVGVVAGFSDALNGGYESWGPLFGKVFFAFWVIFHLYPFLKGLMGRQNRTPTIVILWSVLLASVFSIIWVKIDPFVNKVDSETIAETCVAIDC >RHN42833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41358641:41358958:1 gene:gene49326 transcript:rna49326 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSRHVVILALVMLAMIGLAYAADAPAPAESDFLEDDDEYLVGTRKGDPPSANGINIVAGPIGGPVHAGTFDNIAPAPSAASTIYISSIAGTVVTASIAGFFYF >RHN66929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21752341:21752868:1 gene:gene15051 transcript:rna15051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative immunoglobulin/major histocompatibility complex MPLIQWTILMSSGCRTRDNETLRPFRTYASAPDGSWPANTRGYVTCQSGFLGSTSMSKLFPGLLQTLGLLRQGTWLWPSQSLLYMSSASRRGVIYFCRVSHPIMNPPASIPDYTVDAHHRHVPPYEEVLVEQQWVRHPPDPYKIFINMRARVESAMGHPDVFSNPEEVLLLMQGI >RHN50987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12706447:12708597:1 gene:gene35347 transcript:rna35347 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQMASITLTIALAIISLSFPSQISANNYIYSSPPPPKPYYYHSPPPPSPPPPVYSPPPPVYKYKSPPPPVYSPPPPVYKYKSPPPPVYSPPPPVYKYKSPPPPVYSPPPPVYKYKSPPPPVYSPPPPVYKYKSPPPPVSSPPPPHYVYSSPPPPVYSPPPPHYIYSSPPPPYHY >RHN71918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3566227:3569211:-1 gene:gene7569 transcript:rna7569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MGLVDVQENGNGNGDVIEIPLGAKNKYIRMTSEEEEEEEEGFATRKHDSKKYIFACAVFASLNSVLLGYDVGVMSGAIIFIQGDLKITEVQQEVLVGILSIISLLGSLAGGKTSDWIGRKWTIGLAALIFQTGGAIMTLAPSFKVLMIGRLIAGVGIGFGVMIAPVYIAEISPAIARGSLTSFPEIFINFGILLGYISNYAFSKLPAHLNWRIMLGVGLIPSVVIAVALFIIPESPRWLVVQNRIEEAKLVLSKISESEKDAEEKLQEIQKAAGSGNADKYETKAVWKEILYPSPPVRRMLITGCGIQCFQQITGIDTTVYYSPTIFKNAGITGNSELLAATVAVGFTKTVFILIAILLIDKLGRKPLLYVSTIGMTISLFSLSFALAFLSHAKIGIALAILAVCGNVASFSVGLGPICWVLSSEIFPLRLRAQASALGAVGSRVSSGAISMSFLSVTKAITVAGTFFVFGVISCSAVAFVHYCVPETKGKSLEEIEVLFQNVGESQESEVEMGDVEHLMQKS >RHN80948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42120782:42128992:1 gene:gene4933 transcript:rna4933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cadmium-transporting ATPase MPSSSTKDLTRLFNGLTLIANEYAKRSLPARTSDFETLIKTALLSATNVSGITKGQLRQFSPPQPSNDSSSDSSSSTPSVVVFSDQPSSSAPDSTPPPPSPSPATTKIEVVTNNDVFAEVSNETNRPVETTDATVCATAGNESEVVSSSETVKQGGTTSEEVPVRKRRPRERKVPATPFSRALGFAGLGAGLAWGTVQESVKRLAYGTPTSQGNQTTLSPFLSDKNAERLALALCRMRGAALKIGQMLSIQDESLVPAPILAALEIVRQGADVMPKSQLNQVLNAELGPHWSSNLHSFDYEPLAAASIGQVHRAVMKDGLQVAMKIQYPGVADSIDSDIENVKLLLNYTNLIPEGLYLDRAIKVAKEELSRECDYKLEAENQKRFRDLLAGAEGFYVPIVRDDILSKRVLTTELVHGIPIDKVALLDQETRNYIGKKLLELTLRELFEFRFMQTDPNWGNFLYNEATKTINLIDFGAARDFPKSFVDDYLRMVLACANGDNDGVIEMSKRLGFLTGMESDVMLDAHVQAGFIVGLPFSKPGPYDFRSTNITQSISHLGATMLKHRLTPPPDEAYSLHRKLSGAFLACIKIGAVVPCRELLLDMYKHHKFGEVNDDLLSSGSYI >RHN66168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9415732:9422434:1 gene:gene14097 transcript:rna14097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MSNRVCKFYARGVCLKGDQCDFSHQRKDTASDICSYYQKGSCAYGSRCRYKHVRASQASSSASMVSDSAVPVARSAKVASNWVPKVTKVPSPDKRGVKGLQRKHQDSTDVGESSTGSARPHENLFCKFAAANCPGGCSRIHGNQCLYCRKYCLHPTDKKEKENHLRTCDKKEKYLLALKNSEEIECNVCLERVLSKPKPSECKFGLLPECDHAFCLSCIRNWRSSAPTSAMEIGSNTNTVRTCPVCRKLSYFVIPSGIWFTTKEEKQEIIDNYKANCRLIDCKHFDSGNGNCPFGASCFYKHTVKPGSYTWRHRRPPPQRRQNHFDMHDMLDVLGEVDLSSGEFYSIMRDSDFFEGMDPFEMMALSDSLAGGSGPCLGPFDSDDDDDDGFRVSRMAAMQEAMVSGIDDFGPDDFPGIDPMDAALISMMMHSHMDDEEDDEDYEDEEEYTDDEY >RHN65861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5963710:5964015:1 gene:gene13753 transcript:rna13753 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGFTLPGKSGHLGFLRIELLSFVNECFRHRITLLIYFLWTFLVSLFNFSFGVGASKSFFILWKLLNNTATCVRPNNLISLQFEFLFEKFYYELCVNRVP >RHN69880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47076749:47080817:1 gene:gene18409 transcript:rna18409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MGELGPYLAVFILQLIYSGMTLLSKAAFNGGMKTSVFVFYRQLIGAIIMVPLFLIFERKQAVPAIFSFITIFKIFMLSLLGITLTLNVNGIALAYTSAMLAAAIVNCLPASTFFFAVMLRVEKVNLRTKSGISKIGSVLLCMAGVAILAFYKGPQLRIARHLLSGYHHNNQEHEYHESYDKKWILGALLLFLGTIMWSLWLVLQAQLLKSYPSKLKFITIQSLSSAIQSFVIAIAFERDIEQWKLGWNMRLLAVVYCGTLVTAVAYYLQALVIDKKGPVFPATWNPLSFIIATIGSVLLLGEPLCLGSVIGGILLVLSLYTVLWAKSKEGITQNSLPIIQDYNECANQVKTEVPCIKPPQ >RHN47774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42432402:42436510:1 gene:gene42413 transcript:rna42413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative N-acylneuraminate-9-phosphatase MTVMNSFRSVFTSKSKSLTKWCRSPSYSSTSSAAAVVAEEHQDSSTYLKDYADYRRSLYGNITHKALLVDAVGTLVLPSQPMAQIYRTIGEKYGVNYSEEEILYRYRRAYSQPWGKSRLRYVNDGRPFWQYIVSNSTGCSDSQYFEELYNYYMTDKAWHLCDPNTEEVFKALRNSGVKLAVVSNFDTRLRPLLRALNCDDWFDAVAVSAEVEAEKPNPTIFLKACELLDVKPEDAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKEVAQRIGVQV >RHN57464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39337901:39338068:-1 gene:gene33011 transcript:rna33011 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMDSLGASIIFYLCNFMLSFVTMIFCGHLGSLKLAGASIATVGIQGLAYGIMV >RHN82639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55375860:55384257:1 gene:gene6814 transcript:rna6814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MATAEIPQDGLKKLEYLSLVSKVCTELESHTGTGDKVLAEFITELGHSSNSVEEFDAILKENGAEMPDYFVRTLLTIIHAILPPNPKKEKENQNVKSSTSNTKFKALAISDDRDRAKELREEIEIEAREKQKQMEPPMDRYEDDGGYRDRDRDRRDRRRDRYEEDDRRDHRRGNDRDRDRRDKRGDDRGRDRDRYERRRRDEYEDGREETDGNQKGRRERDSKRGGGGSGEPELYMVYKGRISRVMDTGCFVQLDDFRGKEGLVHVSQMATRKIVNAKEVVKRDQQVYVKVISVSGSKLSLSMRDVDQHTGKDLLPLKKSSEEEDSFRTNPQDSKDGPVVRTGLSGIRIVEEDDTVSSRRPLKRMSSPERWEAQQLIASGVLSVSEYPTYDDEEDGVMYQEEGAEEELEIEMNEDEPAFLQGQSRYSMDMSPVKIFKNPEGSLGRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPESGERHLAQELRGVGLSAYDMPEWKKEAYGKTITFGQRSKLSLQEQRQSLPIYKLKKELVQAVHDNQVLVVIGETGSGKTTQVTQYLAEVGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILVDESLSQYSVIMLDEAHERTIYTDVLFGLLKQLVKRRPELRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYAKQPESDYLDASLITVLQIHLTEPEGDILLFLTGQEEIDFACQSLHERMKGLGKNVPELIILPVYSALPSEMQSRIFDPAPPGKRKVVVATNIAEASLTIDGIFYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTAIPEIQRINLGMTTLSMKAMGINDLLSFDFLDPPSPQALISAMEQLYSLGALDDEGLLTKLGRKMAEFPMDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKKARFFQAEGDHLTLLAVYESWKSKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDKYKLDIVSAGKNFSKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFFKVADPTKMSKRKRQERVEPLYDRYHEPNSWRLSKRRA >RHN64358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58461683:58462405:-1 gene:gene27169 transcript:rna27169 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSSLLQLLRLLDGHDTETDTDDELVEPQPRHSPNSNAVAPQRHDTSQDQFLASFNNTGTQNMKGLINNSGYTKGNGNGSIIFGGFDSSNRRYNY >RHN55825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24459142:24465963:-1 gene:gene31095 transcript:rna31095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metallo-beta-lactamase MATLQYCNSLSFLNKTSHPIRRRHCFNPVFSSPSIGTSISTTSTVLNTKISILRCNVSADKAVVSDSTITDSFKLTYLEGNSWLWNVGGANILVDPILVGNLDFGIPWLYDASKKFIKNFQLSDLPEIDCLLITQSLDDHCHLKTLNPFSQKFPNIRVIATPNAKSLLDPLFRNVTYIEPGQSSEIETKYGSKVGIKATAGPVLGPPWQRPENGYLVTSSQVQLSLYYEPHCVYNQSFIEKEKADIVITPVVKQLLPKFTLVSGQEDAVKLAKLLQARFVVAMKNGDLDSKGPLASIIASEGTIESFKELLSKELPDAKVVEPIPGVAVEISAN >RHN47755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42285283:42287696:1 gene:gene42392 transcript:rna42392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MAELFVNQGKEYADARPSYPPQLFQFIASKTPSHNLVWDVATGSGQAAKSLAALYKNVIATDVSEQQLEFATKLPNVQYKHTPSTMSIPELEQIVTPQGTIDLVTIAQGLHWFDLPNFYQQVKWVLKKPHGVIAAWCYFLPRISDEVDTVFDQFYYTDSQPYWDSARKLVEKNYRSIDFPFQAVDGVDHTGPFEFVTETFMSFDGLLTYIKSWSAYQTAKKKGVELLGEDVVEKFKLAWGEDGHKTAKFPVYLRIGRVGNV >RHN65472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2487058:2488618:1 gene:gene13314 transcript:rna13314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVRTLKFVYVIILILSLFLVAKGGGKKIYCENAASCPRLMYPLVYKCLDNKCVKFMMKSRFV >RHN45524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22110012:22110627:1 gene:gene39881 transcript:rna39881 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCTWRILNGQLLGWIKIIDRSEVHILDSDMTKSQVMSDLMVYFPPISREGHPTVIAGVVAHHLHDTGVVLPWDQIPKKANAHLKIIRIQRNQTESESDEDVEEKPKKKAKKAKAPKSPAQVLEKRTRGSLVVVSTPKKKIKNQPKKPAKNLVSSKYTEEEDIEEDSSSLVIRIMRPNTVEKFKEISSEL >RHN57726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41337895:41338543:1 gene:gene33309 transcript:rna33309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase MVSTKFNFPNLLPRSVFNHHFHSNPLALVLGFVVSQQIAMAGAGQLNMIDSPSRGSRSVDCFERLEKSAKAPIVMFTWPKRSKPVKLSLSRKYVWIMGEGKWTLTNNFDGAFEHIEKDAAGVEDGGDGGGCCAGF >RHN44856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9113202:9113528:-1 gene:gene39022 transcript:rna39022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFQKLEVISIEKCPSFELILPFLSVFQKCPALISITIKSCDKLKYIFGQDLKLESLEKMELSDIPILIDIFPECNRTMSLSIKKPPSISGDASEQQEQSDPIKFNIFS >RHN64477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59417575:59418104:1 gene:gene27296 transcript:rna27296 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKSSSFCGMLKSCFSSGGSSRDDYYYYDDDGSSRRIFASDEDRGRWVAEPGIDKKASAFIARFYANRATDSEQQIAS >RHN42908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41860053:41863973:-1 gene:gene49414 transcript:rna49414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MVTPNNKEFMFICFLVALWYSSNIGVILLNKYLISNYGFKFPIFLTMCHMTACAIFSYISIVFFKIVPQQMIKSRSQFLKVATLSFVFCGSVVGGNISLKYLAVSFNQAVGATTPFFTAVFAYLATFKREAWITYVALVPVVAGVAIASGGEPGFHLFGFIMCLSATAARAFKSVLQGILLSSEGEKLNSMNLLLYMSPIAVVFLLPAVVFMEPNVLDITLSLGKEHKFMGVLLFLNSAAAYGANLTNFLVTKHTSALTLQVLGNAKGAVAVVISILLFQNPVTFIGMAGYSVTVMGVIAYGETKRRFR >RHN55809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24060149:24060283:-1 gene:gene31076 transcript:rna31076 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKLKRSSGLSSNKGFGGVLREQRARLYIIRRCVIMLLCWHE >RHN54854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12924156:12925406:-1 gene:gene29948 transcript:rna29948 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKLPSHDSSSIPLIARLDHLEFVMKYLERKQRCGSNVITAAEKQSSEASIKEAYFKGTLMDRVACLENRLFQLCVEIDSSGSSNPLSRAFTVASGESSSSQGSKGEVCYSFPTFNNVPNHNGDKEIMPQNHNNTLALKVK >RHN76678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:342297:346741:-1 gene:gene41 transcript:rna41 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase sigma-70 like domain-containing protein MGLCFGFRLNTKLRYSTVPLHHSSPFFSNSHIFLSSSSSAGGRETLFNPVRLSLLSTVYEEGETLQKDFRKTFAFSSALEAPEKDLLQGGELTKVNKGKRSLSSVHEMIDNSHLPFEESHFGLLMENLGVLEETFADSEALRLEKNIILQLEKLGALELFNVCLTTSFGTSCISNCTHKVLEQVEENKRNHKVDDYTGKVIVHSSKRKENRRRKRGSVSIAPSSRSLPLDDNQEDPLRSSPASFVKRASNTKNKRAVIAQREVEMSKGVKVLAELEKIRTAIEEDTKQVVSLSSWAETSGVDEKVLQQQLYHGYYCRDELIRSTRSLVLYFARKYRGMGIALEDLLQVGYIGVLQGAERFDSTKGYRFSTYVQYWIRKSMSRMVAKYARGITVPWSMNKAISQIQKARKVLKNTTMKYPNDLEIAKMTGLSLDKIMSASHCLRTVSSMYRGIEYMGHMPDLSIESPEETVMKQHIKKDIHDILQSLDSRERQIIILRFGLNDDHQPKSLEYIGKIFKVSKEWIRKIEKKALTKLRNETNISKLNYYLDL >RHN41708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32232994:32235615:-1 gene:gene48058 transcript:rna48058 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MSKAIQFFDLNTGAKIPSVGLGTWQAEDDPGLVAEAVATAIKAGYRHIDCAQLYGNQKEIGLVLKKLFDEGVVKREDLWITSKLWNTDHAPEDVPLALEKTLAEFQLDYVDLYLIHWPVAIKKGPIGAEFTAKAEDLLIPNLAGTWKAMEALYDSGKARAIGVSNFSIKKLGDLLEVARVPPAVNQVECHPSWRQDKLRDFCNSKGVHFSGYSPLGSPTWLHTDVLKHPILNEVAEKLGKTPAQVALRWGLQMGHSVLPKSANEKRIKENFDVFDWSIPEHLFAKFAEIEQARLLRGDIFVHETYGAYRSVEELWDGEI >RHN71469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:395093:395458:1 gene:gene7074 transcript:rna7074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 9S-lipoxygenase MYQRSHKLSSKVTTILARSIQIIKTCKKFHLKISQEDSTTIIICPKVSKIQIKKKAVRRLMPEIGTQEYNELEENPHKAFLKTITSQFQAVLGLSIVKILSRHSNGEVYFGQRAPNIGLLI >RHN81214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44142185:44147429:-1 gene:gene5226 transcript:rna5226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-Pl-6 family MSNEDPGISGYQYKGTTSVVFSDITSSDKDDEDNICLQTGEEFSAEFLRDRVALRRLPVITDSEQHLPNRLDFNTINNSNNYQLVHENQNHVLGLGRMGSDSNLDLSEIALARGYVAEVDNRGYHNNLSRYQCEHGPIRQASSAFSRQLSGRFSDGSDQGSSIPNTPTIYAVESPRSCHPYGTVFSEGSFYKKIKFLCSFGGRILPRPNDGKLRYVGGETRIISIGKNMTHEELTGKTYGICNQTHIIKYQLPGEDLDALISVCSDEDLHHMIEEYEELERAGGSQRLRIFLVTSNESESPSSNELRVNQQSDVDYHYVVAVNGMLDPSPRKNSSGMSLASHTNQFANTSDYNSPHFHRESSTSSFDSEAKDCNPTSSKLTSIMSKRGPYLTALNVPGRSFNQKPPSPIRVLPKDLKISKVQLFKDQPYNVANENIIPFVAEKVPGDNSLYVDNTNFVNPIAYYNKLPQGSPCVNCHPSNQYTLESDHVKKSNDEFHFHKRSNSNEFVSSAICNQRDMIFERPLVNNELGSYHFNKIVSYHPHESSSVFSVSNDRDVSQYRMLHALSDSTLLENSENYKGQLQFPLNVERDKLSSLETSSSLGECSVHLGEINDGKERMILHQNLPTFGTSTSFKRLSEIGTENLHCKDKNIGWLNEKVGVMPKHLQHIYYQHGASSSSPDLQSSGYNASAAPFISSQSIGSMTEQPHGIPLDTIAYEFSVTSQNSSTHQYDVSETKDGQPPPPGSFELRSTESQIDTESTLAISYPDMVSSSLREVVVHDDNPAYYQNQKDENIVTDKRYYEYIDEFCINKPKSAAVVKETVEYISLGIQSCLQAVSNTAEEDKHTSLEKAGVLCVIPEFESKPPKADSGNFTKPMGDSKTSEIESELHGLQIIENGDIEELHELGSGTFGTVYHGKWRGTDVAIKRIKNSCFAGRFSEQERLTKDFWREAKILSTLHHPNVVAFYGVVPDGPGGTLATVAEYMVHGSLRNVLLKKERVLDRRKRIMIAMDAAFGMEYLHLKNIVHFDLKCDNLLVNLGDPERPVCKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLDGNSSRVSEKVDIFSFGITMWEILTGEEPYANMHCGAIIGGIVSNTLRPSIPKRCDSEWKRLMEECWSPDPEIRPCFTEVKNRLRNMSAALQKKRPNIGNR >RHN46927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35819672:35826672:-1 gene:gene41464 transcript:rna41464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain, AT hook, DNA-binding protein MDHGDHMALSNSASYYMQQRVLPGSGAQPELHVSPSFNQLSNPNLPFQSNIGGGGSNIGTTLPLESSAISSQGVNMSGHTGVPSGETVKRKRGRPRKYGADRVVSLALSPSPTPSSNPGTMTQGGPKRGRGRPPGSGKKQQLASFGELMSGSAGTGFIPHVIEIASGEDIAAKILTFSQVRARALCVLSSSGSVSSVIIREPSISGGTLKYEGHFHIMSMSGCYVPTENGSSRNRDGGLSISLLGPDGRLFGGAVGGPLVAASPVQVMIGSFLWGRLKAKNKKKESSEDAEGTVESDHQGAHNPAALNSISPNQNLTPTSSLSPWSAASRQMDMGNSHADIDLMRG >RHN48961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51407025:51408211:-1 gene:gene43737 transcript:rna43737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MQRIQYARTKSDVIAKADGTFVPREKRKRHDDKAGKKRKDQNDANLAGTGLNPAYAGAYGATPALSQIPYPGGAKSLLPEAPAPPNNILFIQNLPNETTPMMLQMLFLQYPGFKEVRMVEAKPGIAFVEYGDEMQSTVAMQALQGFKIAPQNPMLITYAKK >RHN48648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49201510:49201926:-1 gene:gene43387 transcript:rna43387 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLAGDEVTISTSSSRSWKSMSFQDIWTATAGAVPDVFERSDRHTQEDDEYHLTWAAIERLPTLERMRKGVMKHVDENGKVGHDEVDVAKLGLHDKKLLLDSILKIVEEDNEKFLRKLRDRQDRFGLFYQTLIIYN >RHN65621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3832044:3838646:-1 gene:gene13484 transcript:rna13484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDLIASVASNVALPFIRQFTYVLMYNSYLIELETEIQKLQREEKEMRHTVEAAKRNGEEIEDTVRDWFFRAQAAIEKAEAFLRGEDEGRVGCMDVYSKYTKSQSAKTLVDLLCEIKQEKFDRISYRCALKCNFSPSARGYVELESRTTMLNEILQVLKEDSSVHMIGLYGMAGVGKTALVKELAWKAEKDGLFDVVVMATVTNSPDVRTIRSEIADGLGLKFDELTEVGRASRLRQRIRQEIKILVILDDIWGKLSLTEVGIPFGDDQEGCKVIVTSRDLNVLTTNFGVKKVYRLEVLSEDESWNLFEKRGENAVKDLSIQPVAMKVAKNCAGLPLLIVNLVEALKNKDLYAWKDALEQLTNFDFDGCFYSKVHSAIELSYDSLESQELKTFFLLLGSMGNGYNKKDLLVYGWCLGLHKHVDTLADGRNRLHKLIDNLRDACLLLEDEKDPVVALDVVRNVAASIGSKVKPFFTVEKNATLKEWPRKEFLKNCHHIFLDWCLINELPERLECPNLKILKLNSQGNHLKIHDNFFDQTKELKVLSLGGVNCTPSLPSSLALLTNLQALSLYQCILEDIAIVGEITSLEILNIEKSELRVIPPEIEHLTNLRLLDLSDCSTLEIVPRNLLSSLTSLEELYMWDSNIQWEVKVKEIESQNNTSILSELKNLHQLSTLNMHINDATIFPRDMLSFGRLESYKILIGDGWKFSEEESVNDKSSRVLKLNLRMDSRILMDYGVKMLMTRAEDLYLAELKGVKEVLYELNDEGFSQLKHLNIKTCDEMESIIGPTIWSVHDHAFPNLESLIIQNMMKLERICSDPLPAEAFAKLQVIKVKNCDLMESVFLHSMVQHLTELVEIEISECRYMNYIIAKKIQENEGEDDKIALPKLRSLTLESLPSLVSLSPESCNKDSENNNDFSSQLLNDKVEFPSLETLKLYSINVQRIWDDKLSANSCFQNLTNLTVDGCESLKHLFSFSVAEKLVKLQHLLISSCKLVDKIFVREETTHHHLHIRKSHPVEMVPIFPNLETLVISHMDNLKSIWPNQLIQTSFCKLKKLEIISCDQLLSVFPSHVLNKLQNIESLNLWHCLAVKVIYEVNGISEEELEIPLRNLSLGHLPNLKYLWNKDPQGKIKFQNLSMVKATKCESLNHVFPFSVAKDLLQLQVLEISDCGVEEIIAKDQGEVEEDLGLVFSRLVTLKFLNLQELRCFCSGNHNFRFPLLNKLYVVECPAMETFSHGILRASILRRICLNENGDQCYLEADLNTTIRNIFNRGSQGRLLVS >RHN79801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32326320:32329163:1 gene:gene3642 transcript:rna3642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CR4L family MHIPFKPLLFILFFFFFHGTNSFGTMGPISASFGEYELFCSIDASGKQDVICWGKNTTSPQTPSSSSYVNNIPAMSALSGGEGFLCGILANTSQVFCWAATSKQNADPILVPPAYRTTAYSHVAAGQNHVCAVRGSYYSDRDSGTVDCWEIAKRSKNGTLMAVINESFDDQSVTNLEMNRVVSGEGFTCGEVRDGGLVCWGPNSENLKVSNVSDSFAVLAAGRTAVCGVLNASGDLRCWGDIEPPLKTEVRFVSLSGGARHFCGVREDNHVIECWGNLNSSLVPKGYGFMAIASSDYTTCGIREADLLLDCWLVNASKPDFDPPLELSSPGLCRSSSCEANEFDFNVSVLNQPDLTSLCVRQDLRICSPCGYNCSQGFFLSSPCTQNSDRVCTACSLCQNSSCLNVCKLHSSNGFWHWHHIRRWVLIIGSSVLCLLLILTCGCILRCSTGSRRKHGTKKQSKSCIGKHEQENDDEVNGNGNGLLHSASSAASCPGLPQVFRLSELKDATNGFKEFNELGRGSYGFVYKAALADGRIVAVKRANAATIIHTNNRDFEMELEILCKIRHVNIVNLLGYCAEMGERLLVYEYMSHGTLSDHIHGGLSPLNWSLRLKIAMQTAKGIEYLHKELLPPIVHKDLKSSNILLDSEWGARVSDFGLLTSSDKDLISDLESDVYSFGIVLLEILSGRKAYDRDFDPPNVVEWAVPLIKQGKAAAVIDRNVALPRNVEPLLKLGDIAELAVRENPSERPSMSDIASWLEQIVKDGLIL >RHN75702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44418756:44418923:-1 gene:gene11940 transcript:rna11940 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAGSRLPDCSHACGSCSPCRLVMVSLVCASLAEAESCPMAYKCMCHNKSYPVP >RHN81452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46039214:46043783:1 gene:gene5496 transcript:rna5496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 23S rRNA pseudouridine(2605) synthase MGSAATMAMTALYFTTIKSTKLTSTFRTLPRFTCSSSSKFNISFSPSNPKPKPTPQPKTQTPHDDDDEGPLMIPWIVRGEDGNFKLLSEPPPSFLKEMANAQTGTKKSEKDQITKNKKKGEKETKIRAVTAPPKYSKAARRFYNENIKDDSGTRLSKVLAASGVASRRSCEDLIFKGKVTVNGSVCNTPQTKVDPSKDNIYVNGSRLPKRQPHKVYLALNKPKGYICSSGEKESKSVISLFDDFLSSWDKKHPGVPPPRLFTVGRLDVATTGLLIVTNDGDFAQKLSHPSSNFSKEYIATVDGLVHKRHLTAISEGTTIEGVHCVPDSVELLPRMPDTQRSRLRIVVHDGRKHEVRELVKSAGLEIHSLKRVRIGGFRLPPDLGIGKYIELNPTNLKALGGRVNKANS >RHN51312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16073433:16074898:1 gene:gene35729 transcript:rna35729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEIVKLIYVMIIFFYVFLVSMNVDASDECVKVSDCSPTKYCLPGRRMICSKGKCKCLRNMFIPIPE >RHN75053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39004880:39006747:1 gene:gene11202 transcript:rna11202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MESFFHHHHNMNNNSNNNSDAHLPPGFRFHPTDEELITFYLLKKVLDTTFTARAIAEVDLNKCEPWELPEKAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTYSLVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHFLSRNSKDEWVISRVFQKSNTGNGSTTVTVATTSGAKKTKIASNSASNNSMSLCPEPSSPSSVYLPPLLDSSPYTAASTASFNGGQMCNYNSSNNNTDLKEHVSCFSTTSTTSNVVSVHNNFNSNSNGSFDLVSPSMNATMDPFARFQRNVGVSAFPSLRSLQDNLQLPFFFSSAAAAQPFPGGGDILGGWGMPSEEQRVVVDSGGGLNMGGLGSSELDCMWNY >RHN69516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44091373:44093612:-1 gene:gene17995 transcript:rna17995 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKQNKPTTQISFFTLYKKKRSKKENNRTMLDPTRKHHQPKNSFDLYDETRHETWEATNSNNLWPSTQQPQLDDEQPRWSMNSPHYRSLSPVSRTEAIVRGQKELMEMVRNMPEYNYELSLKDLVEHHHRTREENTEEEEEDKVKKNSSVKKIDDKKVHVKKNDGKIDRDRGFYLKVGLPFFSLGSKDKKKKKKESKVSPRPSISDVPVKGAADKEKEWWKKTPSPSVYKESDDTSAASSSNSNGSMKSSISSSSRSNSSSRSRRLRSNSIRRENGGGRCWSLFRKPKIQIKK >RHN57526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39787581:39790377:-1 gene:gene33082 transcript:rna33082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MIQQRLMSFAARQGRVYINSFNSCSSLHRILHPRLFSYVSPCDHDVTASSDFHKVMSLLSNAPPHGHGYQIHSDLNSLDLTNLTLEQQQQFALKVIQTSCQTKLVQTPKVSPHNLILFIRWVWKNYKCLITTPVLESLVSSMCSSESESSHLKLRKNDILFLWDLLKNIGHYQTGLLNPPILNHLIQFFSIIGREGKSALEVFHMFEVFQCVPNQDTYYFTLQALLTTTCSADIIHQASSICQNLLLLLHSKDDNRHSSSQGYTYTYLPPCPDDVTASEDFRIVMTFLSDVSVSHAWKLCISHQHQPHFLLKIIETSCQTIPVETPKVSPHILIHFIKWAFRSNSHLITTPVLESLVSAICDVPTKFDVELRQNDILFLWDLLKHIGHSETGLLNTQILNQLLDSFGYVWDQGKTALQVFHKFEVFQCVPNQDSYIFTLEALFKTTSCSADMLQQAASICQKIVLHPETLLPDDGEIIGVLLVWSSKNNMIKEAYAIYLAAKEKRKTNPNWSLDLDMLLSRTMINILCSKKETVYLALQMLIDFDILEEVEHWEEGWKSRMCNIVVNALCRFEDFDAAKQLIFKMIEDGPLPYPSQSVFDIIISACVKARETGRALEMVLLLESIGLYTSNFLRIHSSDSFGMKEAGKILEEARKKDSKLIIALLYHSLIVGYCKLHNFDKALKLLTQMKNFGVSCTNLDELHKLIHSLCLKAMDRKMTIVQLEEMEPMDREMAENQLAEMNDMDMKMAWEHLEEMYLNIMASFDRRIEYQCKSY >RHN81869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49447417:49449930:-1 gene:gene5964 transcript:rna5964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-hydroxy-tetrahydrodipicolinate reductase MAALSCQFHSINYLNSQMVKSRSKHSIISCSAQPIQSNIKVVINGAAKEIGRAAVLAVTKARGMEVAGAIDTQHVGEDIGQLCGMDEPLEIPILNDLTMVLGSISQSKATAVVVDFTDPSAVYDNVKQATAFGMKSVVYVPRIKLDTVAALSAFCEKASMGVLVAPTLSIGSILLQQAAISASFHYRNVEIVESKANANDLPSAEANQIANNLSNLGQIYNREDSSTDVLARGQVLGDGIRVHSLVLPGLPSSTTVHFSGLGEIYTIKHDITDVQCLMPGLLLAIRKVVRLKNLVYGLEKFL >RHN47839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42941897:42944393:1 gene:gene42485 transcript:rna42485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MEGVVISEKGLLECGRKCTPSPFLLKTYMLVEDPITDGVISWNDEGTAFVVWQPAEFARDILPTLFKHSNFSSFVRQLNTYGFRKVATSRWEFCNERFKKGERELLSEIRRRKAWSNKQQQTVQNQDSDEDQRSSSTSSTSGYTNLVDENKRLKKENVVLNSELTSMKRKCKELLDLVSIHTKKMEEEEAKDNDKRPMLFGVRLDVQQGERRIKRTRAEISENASILLSQSCK >RHN38670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1206718:1207383:-1 gene:gene44629 transcript:rna44629 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIICMLGISTLQGYRSRDAWCGYEDHQHPRTMMFLPVRGLAARYPYRGHLNQLDLPGVIISMYAEHRHICSFERVNLYSGRLRYGTCKDLGLAVMTGVESVHGYIRWFYSISHPRLILADEAVPMSKSQPSRRPLMRLVLSRRESMGT >RHN75666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44171378:44172428:1 gene:gene11901 transcript:rna11901 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIRNWTVAASVGVVEALKDQGLCRWNCALRSAQHHVKHHFRSSSQTKKLSTSNSYAMVSSRLKEQEAKRSEESLRTVMYLSCWGPNN >RHN72127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5180729:5181307:1 gene:gene7802 transcript:rna7802 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIGGMLYSLLAVGFVHGCHETAAVLLFLIVARFRKMCYVLEPPF >RHN79635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30787602:30792064:-1 gene:gene3452 transcript:rna3452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MEQTMHNNNVSVGSPQQLVTTNTLPQEMNMNISVGNSIVTTIGTSIVALEAPTVTPTTTTPGSLDLFGKKKRGRPRKYDADGNLNPSYKKIVKTTTPILTSPPGFTLSTNEFASKKGRGKSTGFVNYQTFSSFGEVFPSTAAVDFAPHVVTVYAGEDVGGKILSFAQKSPRGICILSANGAISKVALGQPGSTGGSILTYEGRFEILSLSGSYTASDNSGIRTREGGLSVSLAGPDGRVIGGAVAGVLIAAGPIQIVVGSFMSNGNNSKPLKRKYQREQTVASPTSTGPEIVTAARPISQANIHGENFMIPIMSHQLPDQIQRESINVSSDKLNLDATPDDDTWNSSDEYSDQRTSPDINISLPDE >RHN52290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33508115:33509073:1 gene:gene36949 transcript:rna36949 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRLCFRPSTSHTLFIEMEELPIVLVPMIFPVWLPPNFTSPPSFIVRVRNKAFSPVMCHEHPLSRYHDLCFSLALKHTCSFNQFRFRYL >RHN58442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1768980:1769780:1 gene:gene20357 transcript:rna20357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKRKRTNSFDSSQQQQSISSIISRSKSMAAKFYLPEDCWEHVLRLLGYNTDGEDPYKYVSLVSKKFLSITNRLRFYLSVEDHHTLPLLHRLFHRFTNITILDLSSYNGNLNALLCKISLFPLKITSLDLSDQPTIPAKGLRYFSQKITTLTSLTCSYIDAIDYTDLILMADCFPNLEDLALNDCDDISEEGISHVLRRCCKMTHLNLEGCSDLKMTINFEVPKLKVLELSSTRVNDDALFVISKTCRGILQLSLNDCDDACHREGS >RHN66733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17866845:17877868:1 gene:gene14802 transcript:rna14802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MSRSDTVMMTTTTSTTSDLNRIGTVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKRLKLTNVSRIISGQRTPIFQRYPRPAKEYQSFSLIYNERSLDLICKDKDEAEVWFSGLKALISRSHQRKWRTESRSDGFPSEINSPRTYTRRSSPLHSPFSSNESLQKDSGDHLRLHSPYESPPENGLDKAFSDATYYPIPPKGFFPPDSASGSVHSVSSGGSDSVHGHMKTMPMDAFRVSLSSAVSSSSQGSARDDGDALGDVFIWGEGTGDGVLGGGIHRVGSRLDVKMDSLLPKALESAVVLDVQNIACGGQHAALVTKQGEIFSWGEESGGRLGHGVDSDVPHPKLIESLSNTNIELVACGEYHTCAVTLSGDLYTWGDATYNYGLLGHGNQVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVTSSGKLFTFGDGTFGVLGHGDRRSVSLPREIESLKGLRTVQAACGVWHTAAIVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKESKLVPTCVVALVEPNFCQVACGHSMTVALSRAGHVYTMGSCVYGQLGNPQADGKLPTRIEGKLSKSFVEEIACGAYHVAVLTSRTEVYTWGKGSNGRLGHGDTDDRNSPTLVEALKDKQVKSIACGTNFTAAICLHKWVSGVDQSMCSGCRVPFNFKRKRHNCYNCGLVFCHSCSSKKSIKASMSPNPNKPYRVCDNCFNKIRKTAETDASSQSSISRRGSINQGSLESIGKDDKLDSRSQNQFSRFSSMESLKQVDRRSSKKNKKLEFNSSRVSPVPNGGSQWGAMHISKSSNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTTPKIVVDDTKRTNESLNQEVVKLRSQVENLTRKSQLQEDELAKTTKQLKEAIAIASEETAKCKAAKEVIKSLTAQLKDMAERLPIGAARNVRSPSYSTPGSNDLSIASVDRLNIQATSPETDVTGSYNQLFSNGSSTVTDRSAGHCKHSQSDAANKNGSKPKDNESRNESEWVEQDEPGVYITLTSLAGGAIDLKRVRFSRKRFSEKQAEHWWAENRIRVYEQYNVRMVDKSTIGIGSVDLAH >RHN45825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26045145:26046009:-1 gene:gene40244 transcript:rna40244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated domain, type 1 MAINNGVYVSGTLNWLVIQNTGNESPYDYFGERITVDNFVIISLDLSTETYRQLRLPRGFDKVPPVELTLIVLMDSICFSHDFHKTHFIIWQMKEFGVEDSWTQFLKISYRRLSIVYQGPSYMLFRPEFLLLPLCLSENGDTLILASDNDKQAILYNLRDNKVETTRITNQIQWFRAKECVESLILPNRILFFLFLLSESDAKERIPLELKRVASPTRFRTRPSRCF >RHN43363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45183816:45190540:-1 gene:gene49923 transcript:rna49923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MAPWWGKPSSKEAKKNKKTNRESIFDMIQRKLKNVSDEKSSCRLVGFRKHRSGIVSKKGSRSFGPSTRSRSPSRSVSRCLSFADNRYCRPLTVPETSFPTVSNADSGITLTSKLERAVGDPTSRPSIYFPLPEPGYITIRGEFTDVEGDIVTASVSSDSSIDSGDSSDSHLVSPLVSDCENRNKATINSSFSVAHRDQSHITIERNSRASSKPGHKLCNNKHLSTSPKRVPLHPKIGRPGGLCSAPDSSMSSPRSPTRAFGPEQVLNSGLWTGKRYPDIASGLCSSPGSGHDSSNNSVGGDLSAFRAHNKCSTDCSPIPSPRMTSPGPSSRIQSSNVSPLHPKAGGAAAELPARRPDVTKQQSYRLPLPPIKVTKSCPFSPTYSASSTPSAPRSPARSENSTSPGSRWTKGHLLGRGTFGHVYLGFSRESGEMCAMKEVTLLSDDPKSRECAQQLGQEIALLSQLRHPNIVQYYGSETVDDKLYIYLEYVSGGSIYKLLQEYGQLGEIAIRNYTRQILSGLAYLHAKNTVHRDIKGANILVDPNGQIKLADFGMAKIITGQALSFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWGQYEGVAAMFKIGNSCELPTIPDNLSEEGKDFVRLCLQRDPLDRPSAGQLLQHPFVKSASLERLILNADSSESPSAIINAMRSLAIGQSKHNSCLDSEAAGISGIPPTRSFRAGYESSNAHKKGIVSCPVSPSSGLRLPSRSMNSSGMISPSPTSSLHTASGPSTPQPRSGKIPYHQTKQPIFPQEALGTIQKSPTGFQSNGGTAYQEGPKQGQFQRNMQARRDIISPEYNALANHNRRAVEGVRRKFCNEKACLADCASQQQLVRDNTRPNVPIDRKSGAPVAGRMDGL >RHN68231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33893714:33895211:1 gene:gene16551 transcript:rna16551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-VIIa-2 family MVVGREEVVSGGLSNKVSSSTVLLTSRTEGEILQSNNLKCFTFNEVKTATRNFHPSGELDERGFGRVFKGWIDEHMQAPTKPGTGFVVAVMMFNNESNQEHSEWLAKINYLGQLRHPNLVKLIGYCLEGSYRILVYEFVTKGNLDNHLFRSFSNFLPLTWKIRMKIALDAAKGLAFLHSDKVGKIHGDFKTSKILIGSVSGFIDFTSLEMDR >RHN57854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42177906:42181401:1 gene:gene33443 transcript:rna33443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associate protein Vta1/Callose synthase MAENEPAKPLLPYLQRADELQKHEPLVSYYCRLYAMERGLRIPQSDRTKTTNALLVSLMKQLEKDKKGLKLGPEDNLYLEGFALNVFGKADKQDRAGRADVNTAKTFYAASIFFEILNQFGELQPDLEQKQKYAVWKAADIRKALKEGRKPVAGPPAGDEDLSVLSSSPSNIYDIGTTETSASGAGSESDSTHGYHNPVNYQNLPSIPPAPKFNDTLNDQNSANIPPSLQFHDRVNDNKHSSIVSPSSHSYTPGVYPSQDYHPPPSSQDYHHPPPSQEYHPPPPSQDYHPPPPSQDYPPPPSQDYHPPPPSQDYQPPPPSQDYHQPPPARSDSSYSEPYNHQQYSPDQSQNLGPNYPSHETPPPYSLPHFQSYPSFTESSLPSVPVNHTYYQGPDASYSSQSAPLTTNHSLNTQNSSSSRNGTVPEPKPTTPTYQYDSSYQPPPERIAEAHKAARFAVGALAFDDVSIAVDYLKKSLELLTNPSVGQ >RHN60464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27084038:27094439:1 gene:gene22777 transcript:rna22777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nicastrin, nicalin, EF-Hand 1, calcium-binding protein MATVSKKPRNREILFDSVIALVFILVACVDLCDGATVVDVYRLIQYDISGVPFGSRLASINHHAASLHFAPSADLSRTVLLIPLRELNMSFVQEYIGGSKPLGGLIFLLPQIFSFKNKGGASSNHDDGSKEPLKNVLDKLEQILIHTNLPYPVYFAFEDDNIDTVLLDIKKNDASGQPATATTGGYKFVVSATEPKKVGSPPITNIQGWLPGLKTDGDSHQLPTIAIVASYDTFGAAPALSVGSDSNGSGIVALLEVARLFSLLYSNPKTRGQYNLLFGLTSGGPYNYNGTRKWLQSFDQRLRESIDYAICLDSIGSWENDLWIHVSKPPENAFIKQILEDFSSVAEDLGFQVNLKHKKINISNPRVAWEHEQFSRLRVTAATLSELSTAPELLQSTGGLVDGRQFVNEAAIVRSVKLIAESLAKHIYGHQGKNVQIFADNSNLAVNPSYIRSWLDVLSRTPRVAPFLSKDDPFITALKKELEDHTDEVNLQREVLDGMFTFYDSTTAQLNIYQVASVTFDLLLLLVLGSYLIVLFSFLVITTRGLDDLISLFRRPPSRKMKTA >RHN58391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1372727:1373416:-1 gene:gene20298 transcript:rna20298 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVQMKPYQMNMKISMFLVLFLLINSCAQAISNKDEARSIQSNEQAQGFNGNLDGNGNIEASTRLNHKDGFVESQNAHKHELSVTIRKGGGGGGHGGSGGHGSGMGGRGIGRGRSGGAAAGIIGGAVAGGVAGGVVGGAVANHGHNYGHKHLHVCVPTFILCLSFLL >RHN59774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12994350:12994913:-1 gene:gene21922 transcript:rna21922 gene_biotype:protein_coding transcript_biotype:protein_coding MHAESLNDFDKLIAQNKTILKLESEISKIKEAFECLRNKHALLVNEKIVSPTIETPKKKSPKYNNWMDFSSCEICPSFHEEINSLNKKLERVSKGAMIFAMKSKDGRTPFKRPYTKYSYVRKNKNHSKTCVPIIRCHYCGISGHTTPHCHIRRVEVPKGVMMWVPKVTCCETHPKAPTCVGSQMNPN >RHN62113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40829123:40830415:1 gene:gene24647 transcript:rna24647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MANILGLIRLRIKKGTNLIPHDSRTSDPYVLVTMEEQTLKTAVVNDNCHPEWNEELTLYIKDVNTPIHLIVCDKDTFTVDDKMGEADIDIKPYLQCVKMGLSDLPDGHVVKTVQPDTTNCLAEESSCVWRDGKVVQEMSLRLRNVESGEVLVEIEWIDVTDSEGKGLSELEF >RHN39677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9515924:9516685:1 gene:gene45724 transcript:rna45724 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNPYFCRSHVLSSSHRLPSRILSRSSLRNNWTMNLLLSIWIKSICFHHEFILMHLRLWISELVYSPPLYQ >RHN41767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32752076:32752270:1 gene:gene48125 transcript:rna48125 gene_biotype:protein_coding transcript_biotype:protein_coding MYQFLLQAGDSSPEERANATQVQGTYLPIVREKPSVELVKVTDEMKAFKAYYKLRLECQIKESR >RHN40249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14603970:14604104:1 gene:gene46374 transcript:rna46374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MRFRAKALSDNGEKAILVGGSSHANLIQIIEELKSLKYQKLYGN >RHN41558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31053545:31055125:-1 gene:gene47892 transcript:rna47892 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSGWLCSLRRSGRSRKQLDKEESLCKKPNVNEEYLETFRTKSYIEICNKAQGQFGKTINTKRLSSSCSTSSPLPPICLNLTESLLEPRHEIITNMRRNFKVHDLLVNYFDASLEASRCCDTILEGIHSTRISYTRITRVVKLSKRVLNEQTKKDIYRELASFSSKVQNNPLSVISTMQFDNIHNRYIELLHRLTSKRKKIQRRLALIRVCKKVGGIALVTSHGAILIALLVVSFHSVVGLVAAPSIVGSLVGLFIKKIKIRLRRSSYCERLCEQLDAAAKGVFILINDLDTMSRMVKRLNDEVEHRKIFADVCVKNNVGSKCEILKRVMSEYRDQESSFLDQLEELEEHVYLCFLTINKSRRLVMQQITEKNK >RHN67167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24533715:24536426:-1 gene:gene15318 transcript:rna15318 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGFTVGIVLLFITSLITVHNLSLKNFLWEGKTPSFPTVLISRASYVVRII >RHN79014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21075254:21083542:-1 gene:gene2693 transcript:rna2693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MKQFERDGILMYSLMAQLSLESQAVIDGLPVVNEFPEVFLDEISGVPPEREVEFSIDLVPGTKPVSMAPYRMSASELAKLKKQLEDLLDKKFVRPSVSPWEAPVLLVKKKDGSMRLCIDYRQLNKVTIKNRYPLSRIDDLMDQLVGAKIFSKIDLRCGYHQIKVKYEDMQKTAFRTRYGYYEYKVMPFGVTNAPGVFMEYMNRIFHAFLDKFVVVFIDDILIYSKNEEEHAKHLRLVLQVLKEKRLYAKLSKCEFWLSEVSFLGHIISGSGIAVDPSKVDVVSQWETLKSVTEIRSFLGLAGYYRRFIEGFSKLALPLTQLTCKGKSFVWDGQCESSFNELKRRLTTAPVLILPKPEEPFVVYCDASKLGLGGVLMQDGKVVAYASRQLRVHEKNYPTHDLELAAVVFVLKIWRHYLYGSRFEVFSDHKSLKYLFDQKELNMRQRRWLELLKDYDFGLNYHPGKANVVADALSRKTLHMSALMVKEFELLEQFRDMSLACELSPQSIQLGMLKIDSDFLNSIREDQKVDLKFVDLMTSGNDTEDSDFNVNDQGVLRFRGRVCILDNDDLKKLILEESRKSRLSIHPGATKMYHDLKKLFWWSGLKRDVAQFVYACLICQKSKVEHQKPAGLLTPLDVPEWNWDSISMDFVTSLPNTPRGHDAIWVVVDRLTKSAHFIPINISYPVAQFAEIYIHSVVKLHGVPSSIVSYRDPRFTSRFWKSLQDALGSKLRLSSAYHPQTDGQSERTIQSLEDLLRVCMLEQGGAWDSHLPLIEFTYNNSYHSSIGMAPFEALYGRRCWTPLCWFESGESVVLGPDLVHETTEKVRLIREKMKAS >RHN74780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36668160:36671337:1 gene:gene10894 transcript:rna10894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MMLLLCFASQMSVYFMPMATIALSLNSKTDKLALLALKEKLTNGVPYYLPSWNESLHFCEWEGITCGRRHMRVTALHLENQTFGGTLGSSLGNLTFLQKLSLSNVNLHGEIPTQVGLLKRLRVLLFGNNNLQGEIPIELTNCTNIKVIDLPFNKLIGRVPAYFGSMMQLTWLSLGHNNLVGTIPSSLGNLSSLEKLSFRQNHLEGSIPYSLGRLSVLTWLSLGVNNLSGEIPHSLYNLSNIQMFSIDSNKLFGSIQSNIDLVFPNLERFFIGSNQISATFPSSISNLTGLQVFDKSSNNINGPLPLTLGRLNKLEWLNIGGNYLGSGGSHDFDFLPLLTNCTQLSRIYLYDNNFGGVLPNLIGNFSTNLQFLHMEYNQIYGVIPKTIGQLIGLVALTISYNLLEGAIPDSIGKLKNLGSLALDDNKFIGNIPLVIGNLTFLNGIYLSNNKFEGSIPFTIRNCTKLQGLYFNSNKLSGDILNQTFGYLDALIFFDLSNNLLTGPIPSEFGNLKQLSQLDLSLNKLSGEIPKDLASCIALTELWLGGNFFHGAIPLFFGSSLRSLEKLNLSENNFSGIIPSELENLTYLNSLDLSFNNLYGEFPKGGVFSNVSAILLTGNKNLCGGISPLKLPPCFKVPSKKHKNPFKRKLIIGSVVGGVLISFAVLIILYFLARKSKRLPTLPSSKNGNFRVTYGEIHEATNGFSSSNLVGTGSFASVYKGSLLYFERPIVVKVLNLQARGATKSFTAECKALGKMKHRNLVKILTCCSSVDYKGDEFKAIVFEFMPKGSLEKLLHDNEESGIHNLSLTQRVDIALDVAHALDYLHNGTENVVVHCDVKPNNVLLDDDMVAHLGDFGLARLIHGATAYSSVDQVNSSTIKGTIGYVPPEYGAGGQVSPHGDIYSYGILLLEMLTGKRPTNSMSSIRNVPDGIFEIVDSHLLLPFAEDETGIVENKIRNCLVMFAIIGVACSEEFPSYRMPIKDVIAKLNEIKSMFPCEDPLLVFTRKYD >RHN65738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4860531:4862920:-1 gene:gene13618 transcript:rna13618 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGPIHFFHLSLSLNLSLLSDLSPLSSLLRWLLAGEDEGSTGNFIFSGEKLGFRRAKHPEFKFFYIFLFVFKP >RHN68068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32544499:32546703:-1 gene:gene16360 transcript:rna16360 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEQKNSHDSFVDLVCHYQFENGSSQFLFLDVDQNEKKGGTFLNHSLSFSIENFVQTLASCKGLILLNGYNSDESCYYVINPLTKHSTMIPLPCIQGHVIRVGLASNDSNNFKVVLIEAKSPKLINGLEFHIFSSDTNKWKKENHSINLTLPSLPEFEFKELSTSPLYSNGAIHWEICGYLLVYHVQENYCELIEMPNFFQDWSWQSTMMYRRCLCESGNSVYYCYTDIDGFHIWELLKENYNLGPFCDSKKFRWRLVQTVMHEVFVSNHSNFCEALFEWEPYKIAPIAYSEQAQIIYLQLPGAVVAYNFNTGNLGSICKYSYPGMNFNCCSFLSSINSGIHNVHKGRDLVTDGEIMELNLPIGEIEKLSL >RHN59754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12816731:12821616:-1 gene:gene21866 transcript:rna21866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MAKISKFFQFFNFISILLLTSHYIFPPCMSLTNETQALLDFKSHLNDSLNTLASWNESKSPCNFLGITCDPRNLKVREISLDGDSLSGEIFPSITTLDSLEVLSLPSNSISGKIPSEVTKFINLRVLNLSGNELIGAIPDLSGLKNLRVLDLSANYFSGRFPSWIGNLTGLVSLGLGENLYTESVIPESLGDLKNLTWLYLGGSHLKGEIPESIYEMEALKTLDLSRNKLSGKISRSILKLKNVSKIELFSNNLTGEIPEELANLTNLQEIDLSANKFFGKLPKQIGEMKNLVVFQLYDNSFSGQIPAGFGKMENLTGFSVYRNSFNGTIPEDFGRFSPLKSIDISENQFSGFFPKYLCEKRKLTLLLALQNNFSGNFSESYASCKSLERLRISNNSLSGKIPKGVWSLPNAKIIDLGFNNFSGEVSSEIGYSTNLSEIVLMNNKFSGKVPSEIGKLVNLEKLYLSNNNFSGDIPREIGLLKQLSTLHLEENSLTGVIPKELGHCSRLVDLNLALNSLSGNIPNSVSLMSSLNSLNLSRNKLTGTIPDNLEKMKLSSVDFSQNSLSGGIPFGILIIGGEKAFVGNKELCVEQIPKTSMNSDLKICDKDHGHRRGVFAYKYFLLFFIAVIFAAAIVIHRCMKNRKEKNLQKGEKEASQKWKQASFHQVDIDADEVSHLDDDNLIGYGGTGKVYRVKLKKTGIVVAVKQLEKGYGVKILAAEMEILAKIRHKNILKLYACFLKGGSNLLVFEYMPNGNLFQALHREVKDEMVTFDWNQRYKIALGGAKGICYLHHDCSPPVIHRDIKSSNILLDANYEAKIADFGVARFAEKSQMGYSVFAGTHGYIAPELAYTTEITEKSDVYSFGVVLLELVSGREPVEEEYGEAKDIVHWVMSNLNDRESVLSILDGRVASTHCVEDMIKVLKIGIKCTTKLPTLRPTMRDVVKMLVDSEPCKKKSKPCGFEKNAGSFD >RHN73983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23102753:23109089:-1 gene:gene9900 transcript:rna9900 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSSKFLLLLFFLSFFFLSTSSSLRIPDGVEVDGERNPNEVLQWKTRRSMEEDAVLPNASLILAQKRTTRKDPLDHFNRYNGGWNISDEHYLASVVFTAVPFFVVAAVWFVIFGLTLSFICLCYCCCPKEPYGYSRVAYALSLILLILFTLLAIAGCIVLYTAQGKFHGSTTTTLKYVVSQADFTAENLRNVSDYLDAAKNIGVDAVFLPSDVQTNIDTIKTKINSSAVELSTKTHENSEQINDGLDKMRLALVILAAVMLFLAFVGFVFSIFGLQGLVYFLVILGWFLVTGTFILCGVFLFVHNAVGDTCVAMDEWVQHPTAHTALDEILPCVDNATAQQTLLQSRDVARQLVILVDKIISDVTNRNLPAQAGPVYYNQSGPLMPPLCNPFNPDLTVRPCVPGEVPLDNATEVWKNYTCEISPAGLCRTPGRMTPTIASQMEAAVNVSYALYHYVPFLVELQDCTFVRQAFTDISQKYCPGLRHNSRWIYVGLVLVSAGVMLSMILWVIYARERRHRVYTKQFIAG >RHN71865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3146889:3153159:-1 gene:gene7505 transcript:rna7505 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMKSLRWLMRKKSGLSDGGKSSFRMKQLPFMAVVCTVMLFIVYRTTKYQYHQEEIDKKWSLWGKAEAYLTTSQKLKGLPRGIIQDSSDLELRPLWLRSNSRSKSRDYSNRNLLAIPVGIKQKHNVNAMVQKFLPENFTIILFHYDGNVDGWWNLDWSSKAIHIVAQNQTKWWFAKRFLHPDIVSIYDYIFLWDEDLGVEHFSPSRYVKIVKEEGLEISQPALDPNSTEIHHRITVRARNKKFHRRVYERRGSTRCSDASEGPPCTGFVEGMAPVFSRSAWYCTWHLIQNDLVHGWGMDMKLGYCAQGDRTKKVGVVDSQYVVHKGIQTLGGSDHGATKVSKLKKTTTKQGGATVDVRSEIRRQSTWELEVFKERWNQAIAKDKNWVDPFKRRIRKWRSTH >RHN42299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37220553:37223317:1 gene:gene48723 transcript:rna48723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEHLEQPTRLLLEDDLLQSEDSVHTGDGSVNVKGELSVKRETGTWKSCPFILGTFFCERLAYYGIAANLVTYLTTRLHEGTVSAAKNVTTFQGTCYLTPLIGSFFADTYLGRYWTIAVFYGIYLIGICILILSATIAALKPMECVSSVCPSATLAQRAVFFLGLFLIAVGTGGIKPCIWPFGADQFDDTDHKERASKGSFFNWNYFTSNIGALLSVTILVWTEENVGWGIGYGICALFIGIAIIIFFLGTPIYRVQSSGGSPLTRIFQVISAALFKWKLEVPQDSCLLFEKAMRSSSIEGSSRLEHSDDLRFLDKAAVISDAEKESAEATSPWRLCTVTQVEEFKILIRIFPIWASGIIFCAVYAQMSSLFVEQGKMMDTTIDSLKIPAASLSTFDIIAVIIWVPIYDKGIVPIARKFTNKVRGFSELQRMGIGLFLSIICMSAAALVETKRLQMAKEFGLVDKNVPVPLSILWQIPQYFLLGAAEVFTFVGQHEFFYEQAPDGMRSFCSALALLTNSSGNYLSSSIVTIVDYITAEDGNSGWIRDNLNEGHLDYFFWLLAGLSFVNMLVYIVYARQYKQKKACHIT >RHN67418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27054748:27055964:-1 gene:gene15607 transcript:rna15607 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGPPNVAIAMAILLLCNMNGVLGIRFVIDREECFSHYVQYEGDAVHASFVVISVTDSTWHLTQEGLDLTVRGPNGDQIREFRDKTSEKFDFVAHTKGPYRFCFTNKSPYREKIDFDVHSNHFSTFEQHAQDEHFTPMLDQIIKLEEALFNIQYEQHWLEAQTERQSIVNNAMSSRAIHKALLESAALIGASTLQVYLLRRLFERKLGL >RHN54783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12205288:12209599:1 gene:gene29869 transcript:rna29869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MNHHTLRCCGNIPKTHFLFSFFSLFFLFSFTNSAFDLATIPFNDGYSHLFGDGNVVRSSDGNGVQLLLDRYTGSGFISSNMYQHGFFSANIKLPSNYSAGICVAFYTSNGDVFEKTHDELDFEFLGNIAGKPWRFQTNLYGNGSTHRGREERYRLWFDPTKGYHRYSILWTAKNVIFYIDEVPIREVLRNEEMGSEYPVKPMSLYATIWDASNWATSGGKYKVNYKYAPFVVEFKDLVLKGCSVDPIQEVSDNDVCSDQNVDLEAQDYANVTPMRRLAMRRFRQRFMYYSYCYDTIRYPVPPPECNIIPAEKQRFKETGRLKFGGSHRRHSRRGGRTSTPVEDTDQGDM >RHN61778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38289868:38296308:1 gene:gene24273 transcript:rna24273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MVCLGFDMVEVEAQRLELCLVKRKEVGLLLRTVFGLLVFLLFLSPVTGLRPLRDKTGSWGDEWIFSGKDESDIGPFSQWNITGTYRGTWKFLDTTNGSSKFPDIRKINGNSVIELVSTPTKITGVHYVQGVVIFHDVFDNEYNVGGAQIKIEGVYIWPFRQLRMVANSGKEGGLNQDGDYILSNPYHLLGVFSSQVFQESSRHTMWRRKHSPLHGMEKNCNVEISARVSRLSSSKHDGEHDSFQLEGLMESPSVDDDGDCISPLQLNATSINIGVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSIIMIGQQAIVDAYLCLVHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLSNGEGWETMRRELSVLYSRFYGILLGGILFMYEFHNYLRPILLLVYSFWIPQIITNVIRDSRKPLHPHYILGITVTRLAIPLYVFGCPNNFLRIEPDQSWCVCLVLFTGFQATVLLLQHYLGSRWFIPHQILPEKYSYYRRSSQDTNHATDCVICMTAIDLTPRSNDCMVTPCDHFFHTGCLQRWMDIKMECPTCRRPLPPA >RHN58450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1832937:1833447:1 gene:gene20366 transcript:rna20366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MCYLSFKLPEGWLVEHRPRPSNPDHVDRCYIEPHTGQKFRSLVSVKRYLNKETRDYLPTDRVISENKDTVSSNFMFTSVSSISMLSFV >RHN68374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34942789:34948409:-1 gene:gene16717 transcript:rna16717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative JAB1/MPN/MOV34 metalloenzyme domain, eukaryotic translation initiation factor 3 subunit F MASTNRTVLQFSSSSSSSQSLSAKVHPLVVFNICDCYVRRPDQAERVIGTLLGSVLPDGTVDIRNSYAVPHNESVDQVALDIEYHHNMLLSHQKVNQKEIIVGWYSTGLGVTGGSALIHEFYSREVPNPIHLTVDTGFTAGGGTIKAYVSNNLSLGDRQIAAQFQEIPLDLRMVEAERIGFDILKATTVDKIPSDLEGMEASMEHLLALINDIYKYVDDVVEGRVAPDNKIGKFISDAVGSLPKLPPSDFDKLVNDSLQDHLLMVYLSSITRTQLSLAEKLNTAAQIL >RHN73642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18448392:18449384:1 gene:gene9480 transcript:rna9480 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYDEGGLGTKSLICLNEATNLKMCWTLLHSDQLWAYILGNIALRDKRSIIYHIFSSI >RHN71357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58488473:58489524:-1 gene:gene20030 transcript:rna20030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MAIRSKSSKLAQNTVLKQILRRCSSLGKKNEYDQDEDDHGLPLDVPKGHFAVYVGENRSRYIVPISFLTHPQFQSLLRQAEEEFGFDHDMGLTIPCQEVVFRSLTSIMLRS >RHN39531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7917567:7918379:-1 gene:gene45561 transcript:rna45561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MAKLGKLTKLKSAIKRWPSLTKLSRNNSSVSSSTKQHEHEQELHAVYVGKSRRQYLVNSDVIQHPVFQELVDRSSCDDGVVSVEGETQLGSMAELVEFYNCGAC >RHN50885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11433049:11442968:1 gene:gene35234 transcript:rna35234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5'-(N(7)-methylguanosine 5'-triphospho)-[mRNA] hydrolase MSNHHRSPSSSYKTVLPPQELLDDLCSRFVLNVPKEDLQSFERILFLVEYAHWFYEDNSVENNPSLKSLSLKEFTSLMFNSCDVLKPYVAHIDDIFKDFTSYKVRVPVTGAIILDETFERCLLVKGWKGSSWSFPRGKKSKDEEDHACAVREVLEETGFDVSKFLNKDEYLEVIFGQQRVRLYIIAGVKDDTSFAPQTKKEISEIAWQRLDELQPSSDEVISRGITGLKLYMVSPFLASLKSWISTHQPHMAPRPDLPLKGICVWKAKHSSTGSSSTIMDSQPTKSEPDSQPIDVGPGRSLRNFRFSIAPILQAMEASFS >RHN78704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17671451:17674636:1 gene:gene2342 transcript:rna2342 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLKYQFDLRRREKDNRRMRTMDLFGEEMEDQITTMAMDVDDVDNFDILSEVVLFQERSAYSCKHSHTYILLMNALHY >RHN75158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39872334:39875242:1 gene:gene11320 transcript:rna11320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MESTDSSTGSQQPNLPPGFRFHPTDEELVVHYLKKKAASAPLPVAIIAEVDLYKFDPWELPAKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLTSGGTQKVGVKKALVFYGGKPPRGIKTNWIMHEYRLADNKPNNRPPGCDLGNKKNSLRLDDWVLCRIYKKSNTHRSPVEHDREDSMDDMIGGVPPSINVGQMSVASRFHNFSRLSSNNYNNALLENDQNLLEGMMMNNNNTLGGSNSKAELSFVPTMTTSSNANSPSKRTLSSLYNWNDEDVAAQVGTSSSNKRFSLENVVRNDQENGTVATSFASLLNNLPQTSSLHQPQTMLGSIPYQIQGMNWYG >RHN40805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21425091:21425444:1 gene:gene47019 transcript:rna47019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MKDLIRKLSAKLRRNHTILRSDSTLQDRLLQKNDVPEGHVPVYVGEEMKLFVINAELLNHPVFVNLLNRAAQEYGYQHEGVLRIPCKVAVFERVLEVLRRNGDSSVLHDILSSDELF >RHN62109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40786341:40788589:-1 gene:gene24643 transcript:rna24643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Siah interacting protein MAEEFALDLEELRHLHEIAKRPRILSLLTSEIRNLEKLSSEATSTARASQIPIPAPIATGTTVSPSPARSYSPLASFSWDQDNDKVKIYVSLEGVDETKIESEFKPNSFDVKFHDIQGKNYRFAVVKLHKDIVPENCKILVKPKRVIITLVKASKANWLDLHFKEDKLKPAMDKEKDPMAGIMDLMKNMYEDGDEEMKKTIAKAWTDARTGKTADPLSSYR >RHN70208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49663596:49670801:-1 gene:gene18764 transcript:rna18764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MRVTGDLGFRSLVLVVVCVVVPVIGFVIRRKWQIAVARNEEIKRLLILAAEETARVERETLYSSGAVVSATNNYQCALCYFPATARCAKCKSVRYCSTGCQTVHWHQGHKFDCRPPSKTHRSNGVSDIGKRELEHDYSGNHEEKSENRGAECKIPYEDTGFSPEVSFGKDDNIRAGFLAEENLADSNSEFSSNSFSGFSASTSSGDSSDDSSVCESIISNEHDISEGHVSVAHTLDLTDKTTIDSKVDAAMSSSPKFASLLDSVDGSSTVHKLNHVAPGSSNEERKVGSNGALGSSIGKGAKIEPSGFWDKALDSRGIKDDTYPSHSDESAGEKTDSGLSFRFQFSTTPPLHIQDTKAKESSNDDTLPNPVGKNMPHPGPASSENVIHSSKARNLSFINGKDANIMSTTPGGSEHDQLESKDRSEPPLYSFSSQTSSIGKDPGSADAMSIHNFQSSSSVASNHVMDNHGCTLKSTDIRCQTRGLADSKLVSTIEVNSHPGTKHRKNEYGTVTSSHAASYSANSKSGLKTSVLKVVDQFRGSNLSKHVPSAARSDIAGKYNDKGFFPYETFVKLYNSNKVELRPFGLINIGNSCYANAVLQCLAFTPPLSAYLVQGLHSKSCSNKTWCFICEFERLIFKSKDTKSPLSPTGILSQLQSIGSQLGNGKEEDAHEFLRHAIDTMQSVCLMEAGINASGSSEEDTTLMGQTFGGYLRSKIKCMKCGGKSERQERMMDLTVEIEGEISTLAEALRRFTSTETLDGENKYHCVRCKSYQKAKKKLTVSEAPNILTVALKRFQSGKFGKLNKPVQFPEILDLAPFVSGTSDKTPIYRLYGVVVHLDVMNASFSGHYVCYLKNIQNKWFKVDDSVVTAVELERVLTKGAYMLFYARCSPRAPKLIRNRILSQDSNSKVNGKSTKARSTSSNSGAAEPISSSVSPDGSPTLESFYSRFHHLKKILEEDSSSDSSSLFSSNSDEGSCSTDSTRDSTSMDDFSDYIFGDSGRGWSSTWRNSDSDTSSSSSPLNCRQSPLSDMDKYDSVSPEATNFRIPTGSSAKTDRDDVISHLHSDTILQHRKLDSSSISSNSSSKDADSFLKLGSNHSNDKNSVVSSRKSRKRTE >RHN39123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4263337:4269734:1 gene:gene45121 transcript:rna45121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CK1-CK1-Pl family MPVMRSGARRGRPTKRQSQKKQEEEKKTPVEVEAVATRTRRRRAAAAAAVKEEPAVKVNDDVVAVVAVAEKKEEEEEAGGVEKEMIEEKQMEEFNRGGHSIDKANAGEEDSNGFVIPEKVQVSTSPLYKTEKKLGKGGFGQVYVGRRVSDGNLNERTGPEALEVAIKFEHTSSKGCNEGPPKEWEAYDTLGGSHGVPQVHYKGKQDGYYIMIMDILGPSLYDEWNNKSHSMSTEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGASGTPDEKKLFLVDLGLATKWRDRTTGLQVDYDQRPDLFRGTVRYASVHAHLGRNVSRRDDLESLAYTLIFLLRSRLPWQGYQGENKGYQVCRKKMGTSPENLCCFCPQPFKEFVEHVVTLKYDEEPNYAKYISLFDGIIGPNPDIRPLNTEGAQKLVGHKRGRLASEDDDEQPKKKVRVGLPANQWISVYNARRPMKQRYHYNVSSTRLSQHIDKGNEDGLYISSVASSQGLWALIMDAGTGFTSQVYEFSPQFFHKEWIMEQWEKNYYITAVAGIANGSSLVVMSKDTQYSQQSYKVSDSFPFKWIKKKWEEKFFVTSMATAGPKWGVVMSKGAGFSKQAVELDFLYPSEGIHKRWESGYRITSTAATWDQAAFVLSIPENKLQDETQETLRTTTFPSTHVKEKWAKNLYIASICYGRTVS >RHN68940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39763149:39763514:1 gene:gene17363 transcript:rna17363 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKCQSSPIWYFFLVFTLWIEIGYHIFGFLLLLSKSQLVGTMHNICKVWVQTSTTNKKKSSFNISFLTPKPLFVGMFGASKTDEVEIAQREVGERERERKTSFSSAILKTWILNLIIIMF >RHN58641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3599870:3601708:-1 gene:gene20569 transcript:rna20569 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFTLNPTLTPLSSSLKPNNLPSSSSSSSNSFSCNCRLPFTVSFPPLRRSSKHVVRMAPDEEKLTRRSPLDFPIEWEKPKPGRRPDIFPQFSPMKTPLPPPMPADPPEEDEEEEEKREGEEEDPDKEDPEKPEQ >RHN73866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20821838:20827071:-1 gene:gene9751 transcript:rna9751 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAIRSEISKRDGKTSILLLAIGSALSPSCLIPFVVVTGARRILRCSCTLIRFDSVDVGFETGLMINGGGLDRNSCCGF >RHN45299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:15035704:15036798:-1 gene:gene39551 transcript:rna39551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MRATHHTMSMDNKGKRVRGNLNMDMPRGVRKGAWTYEEDKLLKACIDKYGEGKWHLVPQRAELNRCRKSCRLRWLNYLSPNIKRESFAEDEVDMILRLHKLLGNRWSLIAGRLPGRTANDVKNYWHTHLHKKVVSRTQEEKKEKTKETMKFHEIIRPQPRTFSTHSPSLNGKHNINATPIVAISTQHCEVSPNRDNKEITVPNQIGRDIVGVSEPSLGNAPIPCAMWWDGLLNLQCGEKIGSGSSLQEENFIEFPNVDDSFWDFNLCDYDSLLDH >RHN60619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28915980:28919939:1 gene:gene22960 transcript:rna22960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MTNFNDSELFVIKSKVRTGHKREFSFALNSYSEIGTSLSKTRPRKNQNMVPVSNPKKTGMSSSKEELKDNVVVETVAKNGDYAEKKMKNKTDKKKNKKPSIRGKRQKFCVDYRGLFSSSCEESKNRQPSPRHTIETIDSRVSPTTVNHRSPEPVVPQTSSYKGMKCNTYCDKSPRRITRKDRGLHKLVFQENMLEDGAAVGYFDRGKKLLHGKININKSGILCGCCNEVVSPSKFEAHAGRASRRKPYSYIRTADGVSLHELANNRRISMSDSDERCSHCEQVGNLLWCDRCQRSFHLECIPLESPPKRKRYCEYCRNKFHKDKNVKHKENDVATGRIAEGDPSEQITEVCTLSEKQKEVKDGPCALCSERDFNNNESGPRTVMICKQCEKEFHVECLKDHNMANLVELPKDKWFCGIDCDDIHMKLQKLMARGEAELGLDIKWRLLNTKLNNPKHNISPLISKANAIFHVSIVVSVKVIACAGIFRVLGQEVAELPLVATTTKYQKRGYFRSLFSCIENMLRHLKVKTLVLPAAHEAESMWIDKFGFTKPNDKEMNNFRRFYPNIMIFDGSLLQKHLSPPLEECSAKPPI >RHN55945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25882725:25883135:1 gene:gene31239 transcript:rna31239 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLMITLFFSGAHGCSSLPLACASQPLPPPPVSCPPPPPVACPPPPSVFVAPPPPPFVFAAPPPPPPSVFATPSPPPPPTNEGFWDVIRGWSRPMTIIVSVVTLLVGLGCCGRRYRRGRGHLQDVEDVTSAISDL >RHN80327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37207339:37211212:-1 gene:gene4237 transcript:rna4237 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sieve element occlusion MADLMKSFLHITSGNIIHNNPLIMSDIQIMGEIYSTHVHSDTKFDVESLFNIAANILRRSTYIVENVVQGNQGGLEPLDNTHPPASFTPPLCILKKINSEMSCKAPGEKIAYETTLTILKKLSNNLWVEKGVLTLSAFAIEYGEFWNLSQHLPTEPLAKSLAIMKRVPQLTKTEALKKHRNEILELNNLIKATWKVIEIIIELERLNSLHDIKKVPALALALEEFPVDVFWVIITIVTIVTQIECLTTDSNSRQKLSQFGHKISIIINKLTNHVAQCTIYIDEAEYIKLLKKLFQTPTEVMEVFKVLIFRKDAPKESIYDGSTKTLVDIEVLKKKEVFLFISTLNISQEDISILIPIYDHLKETGSQYKIVWIPVVDEWTDKLRKKFDSLKSKMPWYVLHHFAPIKGIKYIKEELHFNQKPLVVVLSPQGKILHHNAFHMIQVWGVKGFPYTEDKEKSITQELKWVVSLLVDIDIQIKWEEEKFVIIYGGKDKAWIQEFTKFATALANDTNIKQAKTSIELLSLESQKPNVVNKFWTKVESLFLTKMNNNDTTDSVKQQVEKLLSYKNETGWAIVTKGSIVIAVGHGTTVSKTVSEFDKWKEVAIKKGFEHAFNEHHKKVAPSFHLCSHLEIHKVAGKIPDFVECPDCRRRMEVFITYKCCHDEDKTY >RHN48083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44849366:44850226:-1 gene:gene42757 transcript:rna42757 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquinone biosynthesis protein Coq4 MVGGGLIKLKTWQQTAVALGSAVGALLDPRRADLIAALGETTGKPAFQRVLQRMKSSPEGRVILLERPRVVSANVGHAWDLPANTFGAAYARFMGSRNFSPDDRPPVRFMDTGELAYVAMRAREVHDFWHTFFDLPTNLIGESALKVIEFEQMYLPMCVMSVIGGTARFSEKQRKLFYQHYFPWAVRAGKHCNDLMCVYYEQHFHQDLEDVRRKLGIIPVPAIP >RHN39357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6367251:6370460:-1 gene:gene45371 transcript:rna45371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Linoleate 9S-lipoxygenase MGIPGAFYIENFMLGEFFLVSLTLEDIPIHGTINFVCNSWIYNAKKYKTKRIFFTNKTYLPSETPAPLVYYRQEELKTLRGDGTGERKESDRIYDYDVYNDLGDPDKKESLARPVVGGSNNLPYPRRGRTGRKHAKKDDKSESRSDFVYIPRDESFGHLKSSDFLVYILKSASQNVIPQLRSALTLQFNQPEFNTFEDVRSLYHGGIELPTNALSKISPIPVFQELLRTDGESALKFPRPKVVEVNQSAWMTDGEFAREMIAGVNPHIIKRLQEFPPKSKLDTQLYGDNTSTITEEQLQLNMDGVTVEEAIQNNRLYILDHHDPIFPYLRKINSTDTKAYATRTFIFLQNDGTLKPLAIELSKPHPQEDSYGPVSNVYLPASEGVEASIWLLAKAYVVVNDSCFHQLVSHWLNTHAVVEPFIIATNRHLSVVHPIHKLLLPHYRDTMNINALARNVLVNAEGIIESTFLMGRYSLELSALLYKDWVFIEHGLPNDLLKRGVAVEDPTSPHGIRLLIEDYPYAADGLEIWDAIKSWVEEYVSFYYTSDAAVAQDSELQAFWREVVEVGHGDLKNATWWFKMQTCTELIEACTILIWIASAHHAAVNFGQYPYGGYILNRPTKSRRHMPEKGSAEYAELSKNFQKVYLRTITPKNDTLTDLTILEVLSRHASDEQYLGQRNEGEVWTSDSQPLEAFKRFGRKLAEIEVKLIERNNDESLRNRYGPVNMPYTLLYPSSEKGLTCRGIPNSISI >RHN52167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32281656:32282004:1 gene:gene36801 transcript:rna36801 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVVNSLQTGCAISASNQNLGEGWIFFLGGWGLKNKFSCLQVHQCYPFSSVSDKIYLFSPPSNPKLSLSLSSSSDFFIYRIFDFSVLK >RHN48667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49362262:49364749:1 gene:gene43409 transcript:rna43409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MVVREGEMNWQQGEVESLQREGEEAIRNDVNNVISSSLGRQSSSIYSLTLDEFQHSLCDSGKNFGSMNMDEFLSSIWNAEENQQQAASNNNNSNNNNLSAAQKGISKQASLPRQNSLSIPAPLCRKTVEQVWSEIHKEQQNHHNINNVAQNTESTPRQPTFGEMTLEDFLVKAGVVREQQSGMPVAIAPPPTAAAVSSHRPQQQHYAAVYPNNNSTMAQAASFAIGGGGNLNVVAPPYQTVAQGGGAVGEPSSSGYVGNGKTRDSIGTGYPPPPPAICYGGRVVNGAAGGYGVAVAQTMGMGGPVSPVSSDGIGNENSGGQFGIDMNGLRGRKRMVDGPVERVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLREENSQLKQALAELERRRRQQCSEETNVRVQTKAQKAKEKLRGLRRNTSCHL >RHN51665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21721915:21723606:-1 gene:gene36147 transcript:rna36147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MAQKVVLKVLTMTDDKTKQKAIEAAADIYGVDSIVADVKEQKLTVIGSMDTVKIVKKLKKVGKVDIVSVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >RHN58996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6478398:6480729:-1 gene:gene20974 transcript:rna20974 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQEPKFPLRLLVDKEKNRVVVAESKSDLIDILFSFLTLPLGTIIRLVSKNQHDHIHEINDQPEEILGCINNLYKSVENLNDGVFWNSICKTMLLCPRNPCEILCKKLKLNLDDSETIKYFMCGKCCRGSDWFLSTFVGASCCSCGKLMDKEMKLHGDFGEESYNFDDGVFVKKGSLYLIFDDLKVLQNSPGNSVQQLIQLGYKNFHKLTQVSLNVGLKEILDLLKQSLISKSPLTDIFLANEEFKMMSTFSPRQEPKFEKWTNIKLKVMVRKSKKKILYAEAEGDFVDFLLSFLTTPIGSILGQLNGNFSLGCVENLHTSVKEFNPSWFIRPLGNPLPNPKVAPQFGCMKQPLINLCEEKAPTYWYGHGVIKNHICYSNVNGVVSKQKRFVKNPEAMKLFDPRSPNGTIESALGFVKRPSLFIVWDDLQVTPFANTSSISFLHKMNVPLDDLEEHIVSIGETEALNLLGASLTSSKEALTEGLFYLLMKNPKEEVTA >RHN39380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6613131:6613798:1 gene:gene45398 transcript:rna45398 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTEHLSLVNRVDKVQVYNHYLHYSVFCLRYKTKQQPCLQNLYTQDGNEFEAYSSKDYTQIRQRITGG >RHN75695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44368677:44368910:1 gene:gene11933 transcript:rna11933 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIGLELLGYFLSMAKTVLHFFILALSPFQQWWVVPIKVAFDQTVWSTICNTIYFVVWVCCVSTLWNTNYLILGYV >RHN66311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10688139:10692269:1 gene:gene14266 transcript:rna14266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAAIGSAFLSATIQTLVEKLASTEFRDYIKNTKLNVSLSRQLKTTLLTLQVVLDDAEEKQINNPAVKLWLDDLKDAVFDAEDLLSEISYDSLRCKVENAQAQNKSYQVMNFLSSPFNSFYREINSQMKIMCESLQLFAQNKDILGLQTKIARVSHRTPSSSVVNESVMVGRKDDKETIMNMLLSKRETTDNNIGVVAILGMGGLGKTTLAQLVYNDKEVQHHFDLKAWVCVSEDFDIMRVTKSLLESVTSTTSDSNDLGVLQVELKKNSREKRFLFVLDDLWNDNYNDWIALVSPFIDGKPGSMVIITTRQEKVAEVAHTFPIHKLELLSNEDCWTLLSKHALGNDKFPHSTNTTLEAIGRKIARKCGGLPIAAKTLGGLLRSKVEITEWTSILNSDIWNLSNDNILPALHLSYQYLPCHLKRCFAYCSIFPKDYPLDRKQLVLLWMAEGFLDCSHGGKAMEELGDDCFAELLSRSLIQQLSNDARGEKFVMHDLVNDLATVISGQSCFRLGCGDIPEKVRHVSYNQELYDIFMKFAKLFNFKVLRSFLSIYPTTSYDKYLSLKVVDDLLPSQKRLRLLSLSGYANITKLPDSIGNLVLLRYLDISFTGIESLPDTICNLYNLQTLNLSNCWSLTELPIHIGNLVSLRHLDISGTNINELPLEIGGLENLQTLTLFLVGKNHIGLSIKELRKFPNLQGKLTIKNLYNVVDAWEARDANLKSKEKIEELELIWGKQSEDSQKVKVVLDMLQPPINLKSLNICLYGGTSFPSWLGNSSFSNMVSLCISNCEYCVTLPPIGQLPSLKDLKICGMNMLETIGPEFYYVQGEEGSCSSFQPFPTLERIKFDNMPNWNEWLPYEGIKFAFPRLRAMEYT >RHN51181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14848403:14849601:1 gene:gene35568 transcript:rna35568 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRANVYPMIIFLFIFFVTMFVKGKTISILLNFFGYFILNILSYFSNIFFFSFFIIVSHSHCVIDAHCPRNSADFIFHQGV >RHN40631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18351160:18352771:1 gene:gene46803 transcript:rna46803 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTIPFAEGFRFDNRRCICPNRPGVDDIPTSVMVDPVKKKLWFAFYNAFEKIWWAQDEVKALDELKVAAVAAFQEAQNALDKALASEKKEELEAVRAMPTDMVPGAVERRRKKNGMRKRKREKREEVEADRAVAADTGSSDDERRGKKNEMTERKRKKLSY >RHN70245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49978913:49979422:-1 gene:gene18806 transcript:rna18806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MTSENRQFISVFDGFKVLRLPYKQGEDKRQFSMYIFLPRARDGLPTLIEKVASEPELLHHNLPFTKVEVGDFRIPKFKFSFELDTSQMLKELEVILPFSCGGLTNIVDSQHASQNLYVSKIFHKSLIEVNEGGTEAAAVTVWARRATAACKPLVPITRINFVADHLSCF >RHN70643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52962457:52966215:-1 gene:gene19252 transcript:rna19252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase D MLHLLHGKLDVTIYEVDTLQTLAGCNFDLCNKGTCTSMGKKILSQVKSCFLCQWQCKPEIIGMGLYATVDLDKARVGRTRMIGSPKWNETFHIYSAHSISNIIFTVKQDNPIGATLIGRAYVPVEQVIKGNIVNTWVNILDVDHHPIQGGSKIHVQIQFSHVKNDPNWSQGLKSPGYQGVPRTFFKQNNGCQITLYQDAHFLDGSVPYIPLDGAERYVPGKCWEDTCNAINDAKHFICITGWSVYTEITLIRDPNESTRATITLGELLKKKANEGVNVLMLVWDDRTSVPDFKKDGLMATHDQETAEYFRNTNVHCVLCPRNPGDGRSIVQGFEISTMFTHHQKTIIVDSKVASSAQWEKRTITSFVGGIDLCDGRYDTMEHPLFSTLNTIHHDDFHQPNFPGASINKGGPREPWHDIHCKLEGPVAWDVLCNFEQRWEKQVGKQLIPLPSSMFREYDIARVSNVATANQNNTWNVQLFRSIDAGAASGFPQDPTEAAEKGLVTGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSYGWKSSDIKVEDIGALHLIPKELSLKIVSKIEAGERFSVYIVIPMWPEGIPESASVQAILDWQRRTMEMMYSDIAEALQRKGIRANPRDYLSFYCLGNREGKKMNEYTSTEAPEPDSDYSRAQNSRRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAIGAFQPNHIATNNRPPKGQIYGFRRALWHEHLGDIGNTSFYENPESLDCINLVNGFAKTNWDIYSKDAFDEYRSFHHLMQYPIQVTNNGTITALPGFECFPDTKAKILGSKSEYLPPILTT >RHN52756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38409193:38416842:1 gene:gene37460 transcript:rna37460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK-LRK10L-1 family MENHITILINITHISFFLVIIIIATFFPLQSHSQPPSFNDYSTCKDIKNSYSCGSIISNISYPFWGENRPFHCGAGNPYHLYCHNNKDTTILLYSQKFTVLDINIEKHTMKLKRTDLDQNLCSPQYNDTYLFPPLFQYPSTVNNITIFYNCTPSQSKDKISLCGSQNHAFCHVGNQDILLKEYPELKNCKRHVQVPAGEGFPLWHFYDGIVDIDNLKSGLNNGFEVNYSVKEECAKCLGSEEGDCKWKSNRDIEKHVNSSCYYDNCSDGSIHFSTQQCSNHNPWNWKRKLGIGLASGVLGAALAVITGLYFYKRRKNASYAKSYVQSHSFSSDPSSRDIERGSQHFGGSQNFGVQAFTYSELQEATNNFDPSKELGEGGFGTVYFGKLHDGRSVAVKRLYENNYRRVEQFMNEVRILARLVHPNLVSLYGCTSRHSRELLLVYEYVSNGTVADHLHGKKAKHGKLSWHVRMNIAVETASALKYLHVSDIIHRDIKTNNILLDAHFRVKVADFGLSRLFPNDQTHVSTAPQGTPGYVDPEYHECYQLTDRSDVYSFGVVMIELISSLPAVDITRHRHEINLSNMAINRIQNRTLHEIVDPTLGFESDPKVKKMIHAMAELAFQCLQSSKDMRPSMDEVLETLKDIQSDGKHKSQPEVIDISKSADEAVLLNHDPPPLSPDSNILSNYTTPNASG >RHN82821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56608900:56622596:1 gene:gene7011 transcript:rna7011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase transcription regulator SAP family MDGEEEGDYDIFRDDEDDPDAQNSFQNNNDSNKEFVVYLVDASPNMFITTSSSESEEQESHFQIALSCISDSLKAMIINKSLDQIAICFFNTKEKKNLQDLNGVYVFHVAEEGTDYLDRPTAKLIKQFDNLHHSFSKNIGSQHGVMSGSRENPLYNAIWVAQALLRKGSAKTIDKRILLFTNQDDPFGSLKGPFKSDMTRTTLQRAKDTQDLGITIELLPLSFPDQTFDVSKFYVDLIGLEGDDLVNFVASAGNKLEDMKDQLRKRMFTKRRVNKFKFTIVDGLSVELNSYALIRPTAPGAITWLDSITNLPLKSERTFICADTGALMEESTRRFHPYKNQNIIFSMKELSEIKRVATGHLHLLGFKPLSCLRDYYNLKPSTFLYPSDEGTDASMCTFIALHRSMVQLNRFAVAFSGSSSRPQLVALIAQDEVIQSGGQIEPPGMHMIYLPYSDDIRLVEERYSDTSGVVTKASDDQIKRADDLIKRLDLKDFSVFQITNPALQRHYAVLQALALEEDDIPEIKDETLPDEEGLSRPVVIRALEEFKSYVYGENYDEESELGTGKPTEASKKRKAHAEFAMKECENYDWGELADTGKLKDLTVVELKYYLTGHNLPVSGKKEALVSRILTHMGK >RHN82289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52602768:52603551:-1 gene:gene6440 transcript:rna6440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein TIC214 MIYQLFILDRLVGLWLKILNSAIVMGLYYGFLTTFSIGPSYLFLIRARVMDKGTETEIAATTGFITGQLMMFISIYYAPLHLALIRPHTITVLTLPYLFFNFVYKNNKHYYSADSHFYLDLDYGYKNPNSIRKFRIYKVFFNNLFFQLSNPLLFPSSILLRLMNIYLFRSNNKLLFLTSSFLGWLIGHIFLMKCIGLILLVWSKQKNSIKSKLTMRFDKYILLQLRNYAEHRFHIYILILMKS >RHN49983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2863018:2863743:1 gene:gene34229 transcript:rna34229 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKNNNLCRLKVYISNNSCILDGDISISHFTDLIRIFHLQHPIESRPSREAIDEQIIHLPSATELLEAGVRFKVNTESNCLLDLRFSGGVLEIPQLTVEDSTEILFWNMVALEQCHYPHESYIADYVVVLDYLINTGKDAGILVWNKILENWLGDSDSVANLFNGLCKNVVYSDISSQFSILCKELNAFCSNPWHKLKATLRRDYGKTPWQTAASIAGILLLILTLLQSVCSVLQVVQAS >RHN51056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13451807:13453105:1 gene:gene35426 transcript:rna35426 gene_biotype:protein_coding transcript_biotype:protein_coding MRFATRYKGSSIVNLRATQVHSMEYSSIDKELNLFQKHVSDRFNELSSISNDNLLSLSWVSKLLDTFLCCQEEFKMILHNNRSMVCKPPLDRLVNDFYERSVKALDVCNAIRDGVEQIRQWEKSLEIVLCALDHKRIIGEGQFRRAKKALVDLEIGKIDASSKDSNNVSSFGNRNRSFGRNIVSKDKDSSQVGHFRSLSWSVSRNWSAAKQLQALGTNLCFPKSNELVATNGLALAIYTMSSILLFTMWSLVAAIPCQERGLHLNFSVPRQLPWASPVMSLHERILEKSKKRERKNCCGLLKEIQEIEKCARGMNELADSLEFPLSEEKEEEVRVNVEDVVNVCEGLKDGLDPLERQVREVFHRIVRGRMEGLDSVS >RHN75262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40737425:40738353:-1 gene:gene11435 transcript:rna11435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MYAKCGDLSFSRNVFDMIAIKDVVAWTTMINANAMHGNGKEALFLFEKMLLSMVKPDSVTFICVLSSCSHSRLVEEGVQIFNSMSKDHLVEPNAIHYSCVVDIYSRAGRLNEAYEFIQRMPMGPTAGAWKSLLAGCRVYKNVELAKISAKKLFEIEPSRSRDYVALCNILVTAKLWSEASKIRMFMKESGITKTPGCSWLHVGNRVHNFVAGDKSNMENDKI >RHN56942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35065226:35065471:1 gene:gene32412 transcript:rna32412 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMTLFSVEDFPKPLLLFVYTRRQRKRSSIEVDCERTTLKRRRIGSNIELEGFGIDLNLIGKIDDGPGLRKCRNQIGNFF >RHN40883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23694261:23694718:-1 gene:gene47126 transcript:rna47126 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTLSDWNTDKHKCFQETVELGPFEILLCIYIEACSGSIVSTF >RHN53212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:126428:130815:1 gene:gene28099 transcript:rna28099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MKHGRRQVQTHPFLDRIKLMETTNSMEYWWPHTTHTYWKLQDPNSLPTISLPNNNNKSQRRNLWSILIALYFSFIYVIQFILRVLWIRDRKTAITGGEGVDLWPRKIATATFSLEHMKTVKNAVPNATINDVLFAVISSGISRYLDFREPNGLQDGVQLTGLAMVNLRKQPGLQELSNLMKSNSGAKWGNKFGLFLLPIYYHRSNSSDPLEYLKRAKVMIDRKKRSLEAHLSYKIGDLVMSTLGPKFASLLNYRILCNTTFTISNVVGPQEEIMIGGNPITFLRANNSALPHALVLNMVSYAGKADMQVQVAKDIIPDPEFLAKCFEDALLEMKEQVTTKI >RHN48134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45301810:45305857:1 gene:gene42820 transcript:rna42820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein LONGIFOLIA 1/2 MTREMEVKDQKFEKQIQKQIGCMSGFFHIFDRHPGKRIYSVKRLPSSISTEPETNGNSPAETSIPQPEKTSVLPVFDFKEGTKSPWKFTREAPRLSLDSRAIVDAKGTMTIHPKEEEKRRRSTSTSVVAKLMGLEDSDPNPKLLRSASESTVHRDLSQQYRFFDTTNFQLKQFDKVSSLNDSAIDLNEKTEPFRGVIKQKKCFYDSADFFPGPKQSGTVSVGEIEKRLKMRGIHEPSKDLDTLKQILEALQLKGLLHSKKSVNVTNQRNFVIENVNDSHSPIVVMKPGRSINRTGWIGNDSPPPVSTFRSKPRVRTDHIQPHVRNRTNVNSPTHSSNRVRKITNVETQRYVDNNVDRRRVTPVHSSRSEPNRQSPNQSPRMRKVATYQKEKSSVEDESSSTSSHTDTERFNKVEEQYREGKELLKRCDKLLNSIAELEQPSPVSVLDSSFYKDDSSCSASPVMKRSIEYKDLGAESEDDGWCAALCYSEAKSEDNDFVYVSEVLRASNYLPEDNDMFLLLEQQQYLKGNDTSKVSTLERLLIFDTIHEILNRKRHLPPWKLENAPSLNHIWSEFRRVREREESEDMFEVICGILRKDMNGENEWCECHVEIGDVVLDIERFIFKDLICETIRDLALCKVPRNKVSMLRRKLEFYN >RHN54651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11066735:11067214:1 gene:gene29722 transcript:rna29722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MADDDGDHPFYKNSIVLLIVVGSAAFVVASMYRVLVIWFCHPQSTTTDQNLPQPPRFATTPSTSSSIVNLIPTHKYHKRNKGDVVTDDEGGTCAVCLGDFEEGEELRTMPECLHSFHVKCIDMWLHSHLNCPVCRSSAAPSPAVNAHHHSIDMSRLVRW >RHN45322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16546862:16548334:-1 gene:gene39591 transcript:rna39591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MRLTPTTSDTEVSGLEKKNIGRITQIIGPVLDVVFPPGKMPNIYNALIVQGRDTVGQEINVTCEVQQLLGNNRVRAVAMSATDGLKRGMVVINTGAPLSVPVGGATLGRIFNVLGEPIDNLGPVDTGTTSPIHRSAPAFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINGKNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLGTEMGTLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFKLILSGELDSLPEQAFYLVGNIDEATAKAANL >RHN55596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19895198:19896709:-1 gene:gene30798 transcript:rna30798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MPPLHSQPLTVESINDFPDDILTHILSFLPIRDAFRTTVLSKTWVSLCYSLPILHFDNKDVNNVKEQIQFHQMLNAVMFSPRSKHVTLKSFHLNCRSSDNCFTFEKWIEAAKQRCVEKLYLYLPKNKSLAPTIFCCETLVVLKLFSIHVTTMFRSSVDLPSLKTLYMCFVSFEDMKDLMKLFSGCPMLENLKTICVDANADVTAGGYFKPLSKLINADINLFEVPLRAVYNVQRLYVFWMGHSLPNAEINSYYKDFPVFGNLTKLLLCWGNEGIHEWDEETDSLATRGRDDWKYPYHVPECVLSHLTTCNITHYQAVEADFRFATYILQNARHLKVMKILHTSFSNPLESPQFLEDLSSCSSISPACKLSLSESY >RHN50032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3304638:3306913:1 gene:gene34280 transcript:rna34280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MGCVSSKQKGYCHCNTPYCSVSRSYTMHVHHPPQNKGDSYHVVALTSTTLGSLELVSHSQGNGFVPYSTLLKANNTSENGFEVGKGFMFSNGKVSENFKEEVENEVKTWSNMIEEKLPKSIAKNSITTPPCEDIINTWELMEGLEDTSPFQSPNQLRSFSFDVNVNGHVDPSKTSFMENDDGIDLHKPKLDHMIIEDGSNDLNLNVEVLNFDDCKVVSSFKKPLQEKQEAMDAKRFFFEEKNISDNVVNFKIAPYEKKEKAVLYFTSLRMVRKTYEDCCIVRMVLKGLGIRVDERDVSMHLGFKEELKELLGEGNYGKGGLPKVFIGRKYIGGVEEIQKLHDDKKLEKLFDCCERVDDIEGGDGGCEACGDIKFVPCEKCYGSCKIYYEGDYEEDDDYEVGECGFQRCPHCNENGLTRCSMCCF >RHN79258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26769913:26772606:-1 gene:gene3025 transcript:rna3025 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTKSEPFYYTLLELSVEELIAKGNSSLLARQNAASKLLDKVFRVRLGRGFYGECLGVRADGNSNLSDEIGMLLSVKSAAIGLRPIGAVIFMQRNNLKMCLRSSDNATDTSEVAKAYGGGGSASSSSFIIRMDEYNQWLSANSL >RHN81913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49783629:49785447:-1 gene:gene6010 transcript:rna6010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MSGQPFSPEPIDPFLHLKITLNSNGTITRLREDPHISPSSNPNLPISVLTKDILINPSHNTSARIFLPRTALEHASKLPLIVYFHGGGFILFSAASDFLHNYCSNLANDVNSIVVSIDYRLSPEHRLPAAYDDAIEALHWIKTQPDDWLRNYADYSNCYIMGSSAGANIAYHTCLRVAVETNLNHEYLKAIKIRGFILSQPFFGGTNRVASESRLLNDPVLPPHVCDLMWELALPVGVDRDHEYCNPTVGDCVGVLDRVRKLGWRVLVSGCEGDPLIDHQMALARVMEDKGVVVVRSFTAGGCHGAEVRDLIKQKQLNDLIKDFILS >RHN48338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46781311:46782930:1 gene:gene43045 transcript:rna43045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MTNPNICLIPDNDDKLPIHLAVSRGHVEVVEELKNAKPCSIQKIGDDGSLLHLCVRYNHLEALKYLVQSVNGAQEL >RHN52992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40911583:40912062:-1 gene:gene37735 transcript:rna37735 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLKYANINFLELKNTPVVTSTKSCSHVRLVSCDGYLLVFNIMSKVTYNVYKIDFSTMDYVKLESLGDIAIFYVPPKRYYALSNPRMWGYENNSIYAIDAPCDKYRVYKGDNNKMPEFIIPGFKRSELPHPSYCCSKQRYIDWCFRHLQYEVDYTLFE >RHN66505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13110718:13111188:-1 gene:gene14508 transcript:rna14508 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIRFFTRFVKEMSLDLGLRGRFTWVLQTDLEILFFDFVLVLTVSFLGCDEKTCRFFRWNLGKHEP >RHN74367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32234651:32239609:1 gene:gene10418 transcript:rna10418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MANSKWSQILFIVIITLANLFQCNIATDPFVQQGQDNIGRALPGQNFNISFEHYSGYITVNEDVGRNLFYWFIQADHVDPTSKPLLLWFNGGPGCSSIAYGEAEEIGPFHINSDGKNLHFNPYSWNQVANILYIDSPVGVGFSYSTKNSSDDILNNGDKRTAEDNLIFLLKWFERFPQYKKTDFFISGESYAGHYVPQLSQVIVKYNSATKHDSINFKGFMVGNALTDDFHDQLGIFEFMWTNGMISDQTFKLLNLLCDFQSVEHPSQSCERILEIADKEMGNIDPYSIFTPPCHANDNQQIKRKNSVGRLRGVYDPCTEKHSTIYFNRPEVQRILHVDPDYKPAKWETCSTVVNTNWKDSPRTVLDIYRELIPTGLRIWIFSGNTDAVIPVTSTRYTINALKLPTVSPWRAWYDDGEVGGWTQEYAGLTFVNVRGAGHEVPLHRPKLALTLIKAFLAGTSMPTLEPLTAAS >RHN64532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59851482:59854900:-1 gene:gene27355 transcript:rna27355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin-containing monooxygenase MSMNRKNNHPKKASKIGIIGGGVSGIAIAKQLLHHNPIVFEATDSIGGVWKHCTYNSTKLQSHRRDYEFTDFPWPNRDNPDFPTHTEVLDYLRSYAKHFDLVKNIRFNLVELKFTGNPKFGGVGLPRDLDYGSLLPGQSMWDVAVQINDSDIIQWYSFEFVVVCLGKNGDIPKIPVFPHKKGPEVFNGKVLHSLDYSKLDQHAANQLLNNKKVVVVGFKKSAIDLVMECAHANQGIEGKPCTMLIRTLHWTVPHYWIWGLPFFLFYSTRFSQLLHERPNQGLLKALLCFILSPMRLGISKFIESYLLWKFPLEKYDLKPEHPFAEDYASCQMAIMPENFFSEVEKGKILLKKASKWWFCNEGIEFEDNTEVEADVVILATGFNGKKKIKTILPEPFCSLLEYPSGLIPLYRGTIHPLIPNMAFVGYVETYSNLYSSELRTMWLSGLVDDKFKLPKVERMLSQTLKETEVMKRATRFYKRHCISTYSINHSDEICNDLGWSSWRKNWIAEAFGPYISQDYKKKDWIHSL >RHN77290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5777530:5781885:1 gene:gene731 transcript:rna731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant organelle RNA recognition domain-containing protein MFHHQTNIIILLRTSSKRHYCLWSSKKDPDLESALSRNKRWIINNQIKNIILRYPNNQIPIQTLQKKFKTLDLQGKALNWISKYPSCFQFHQDHVLLTKRMMELVHEEQSLKDSLESVFVPRLAKLLMLSLNNCLNVMKINEIKNSLGFPDDYLIGIVAKYPDLFRIRNESGRRSSMVVELMKWNPDFAVSEVEALAMKNGVEVNFSCCLPSSWVKSLEKFHEFELVPYVSPYSDPRGLVEGSKEMEKRNVGLVHELLSLTLWKKISIMKLGHFKREFFLPDKVNVLLLKHPGIFYVSNKYRIYTVLLREGYVGSQLVDKDPLVVVKEKFGEIMQEGLHEYNQRRRLVNIEKKRNKGLPLNRVDEDHMKGRRRRRNREVSDEDDEVERENGNKLGGLLDPEERKRFYKVLFYDDGS >RHN58691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3984615:3985616:-1 gene:gene20624 transcript:rna20624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MPSQHFLTLFFFLLISCNTLTTSTSSEKQDTFDFVRPIDRKLLGLNKKEKLSHFRFYWHDVLSGKNPTSVAIIPPSSKVNSTTAFGLVNMIDNPLTLGPELSSKLVGRAQGFYASASQEELGFLMTMNFAFIEGKYNGSTLTIVGRNPAINLVREMPVVGGSGLFRFARGYAQANTHWIDFKTGDACVEYNVHVFHY >RHN56794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33805330:33810824:-1 gene:gene32248 transcript:rna32248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MEMRYTAMEKCLHRGSLIDIVFSWTLEDILNENLFKYQVPKIPKTFISTNDYVNSFFPALIEETHSYLSSSLWNVPQAFCEIRTMEISKDFNYPHALFYQTTLKNTTEEVYGVGKYEPEVGDLVAFTNVRPRSVSDLSRIERYCHIGYICGSKDELNDQITMLLSKEMHNNIDLRSNKAQKLYVVYLINMTTNIRIWNALNSDMEESNMNIIKKVLQPYSRMEQNCHTCWSGEYLPQSYSRVKNMIKAQNLNKSQEDSILSCFHIKKCHHNDPIKLIWGPPGTGKTKTVASMLFCLLKLRIRTLTCAPTNTAVLAVVSRLHSIAKDSLEHGSYGLGDIVLFGNSKRMKIDSYKDLGEVFLENRVDDLLHAFSPMTGWINSLESMIKLLKDPEEQYDLYKNDVNEGVVMSLEEFANGNYNHVKNAYFSYTKHCEHDCPMTLEEFVKKKYDYIIEQYDMYKDDKKLSIGMSMEQFLRQRFCFIGGKLKLFMKTLCTHLPTCFLPIKVAKKVFRVLELLKSLEKEALHEKEELLHTLCFLSETIKLPKVTSKYGISQFCLKNACLLLCTASSCVKLFSEGMAQVEFLVIDEAAQLKECESAIPLQLNGLKRCVLVGDEIQLPAMVKSEIADRAGFGRSLFERLATLGYKKHMLNVQYRMHPSISIFPSREFYNKKLSDSQIVREISYNKRFLGGKMYGSYSFINISKGKEQCNHDHSLKNVIEAAAISEIIGRIQKEFVRTRNKVSIGIISPYKAQVHEIQERVKQYMVSAPNFSINVRSVDGFQGGEEDLIIISTVRSNFGGKVGFLSNRQRTNVAITRARYCLWIVGNATTLINSNSVWRKVVMDAKQRNCFHNADEDKKLDQVIEDACFEFELLDESESAFNKLSIRDKSETTNFSR >RHN61802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38487559:38494072:1 gene:gene24300 transcript:rna24300 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSLAKSKRNHTQHNKKHHANHKPKLQPQSHSPFPSSSNPNQNGAVKERAIEKKTNRSKRDSSALPGNWDRYEEEELDSVPEIGSKTLDVVVPKSKGADFRFLVAEAQSNADKTLDGFNEALPWEFGVGLSSILEVRGEGIVSWVGDDNFVVQDKTSAYQEASFISLNLHAIAEKLAKVDLSKRLFIEPDLLPSELCVEDLAVSSDEPGVHETAEDCELANRMSKELNLDNFAADQFTSSSSCSSSHAASTPALSDDFLIPVSNINVEFQQVGSSGENKAFQPSAETNLHSIEDTAVKHSTFEATAVEEDLDMLLDSLDVTKSSASFTVPLGDLPQISNKEPVQSRIASVTASLDDALDDLLEETSTLMKPNVLSWPQEEKHVHHSILSSHSQNKSKVSDDFDSWFDSL >RHN58846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5395557:5396347:1 gene:gene20800 transcript:rna20800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L5 eukaryotic/L18 archaeal MRGMLRLLEPGEDYSVEPSDSRRPFHPLVNVGLVIRTTGNCVFGAFKGALTLIADDEPAKYQRQFSKRIKKGIEADEFEKLYKKVNDAIRVDPSIKKSQKHPPKQHKMYNLKKLTYEERKAKLIECCKLLTLLLMTMRMTTS >RHN79787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32190662:32194130:-1 gene:gene3624 transcript:rna3624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LOG family protein METNGEIRVSKFKRVCVFCGSSPGKKSSYQDAAIELGNELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLVNVDGYFNSLLSFIDKAVEEGFISPNARHIIVSAPTSKELVKKLEDYVPCHEGVASKLSWQMEQELAYPEEYNISR >RHN46819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35124460:35125632:1 gene:gene41350 transcript:rna41350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MAPYLTLLFLFTTIISATTAATGYKNHTVGGTSGWLFNSSTNTSATNYSSWASSQSFNLGDYLIFNTNSNQSVILTYNKTAYTSCTADDSDVGTFIYNGGTNNFSETLTIPVPLTIVGPNYFFSDTNEGVQCQHGLAFQIDVQRGIGLPPSLNQPPPPPYAEPPGADSVDSPPITIPQTPSGGAFARRVDVRVVVYGFCVAMLLVKFW >RHN42424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38240715:38242594:1 gene:gene48862 transcript:rna48862 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCCIDFSNKDLEISFFVFKPTVVIVDRLIHEIKQFSSRAENLGCVQSSILKSIHGNMIIWFGAWQKRSYDEKDKLTADLLSILIHVTRMAVLVEHYFLNAYAGESRDGSSTINFSTGDIISMNSILTNIKDLNDLCYAILAIFRSRFSQIQGKNSGLCLKGQKLPNVVCIHVWKSLQFCYSWILNPDYRNWMIPYLERFSINMMKYDIFRVVYVSGDNIVNLPYDYNVHEMLENEEESRHGHVMQN >RHN73582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17839486:17844377:-1 gene:gene9408 transcript:rna9408 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSESEEHVEYTDIEPSAAARKRLRDRSKEVLSKQAVKIAKRAEEHESFINKVTHLLNVLGFGGFCFILGARPQDVPLVYCLFYFVFVPLRWIYYRFKKWHYYLLDFCYYANTIFLVYLLFYPKNEKLFLVCFSFAEGPLAWALIVWRCSLVFSSLDKIVSVLIHLLPGLVFFTIRWWNPETLEAMRPEGAAARVTWPYIEDKSYLCTWLFLVPLVVYTLWQVLYYLIVNVLRRQRFLRDPEVMTSYRELSKKAQKANNMWWRLSGLLGDQNRLLMFILLQGMFTVATMALTVPIFLSYKLSVVFQILKVSASVWNGASFLLEVMPRQVILKEKKKSEMLTVPILNDQS >RHN52996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40943343:40948542:-1 gene:gene37739 transcript:rna37739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lactoylglutathione lyase MASSSIRPSLSSLNKLPSFSSRNLSQRFSLFHLRNGVRLLPQNFGLKASRLLRHDSGSMRVMASRSMSQSVTQENALDWVKWDKRRMLHVVYRVGDFDKSIKFYTECLGMKVLRKRDMTEEKYTNAFLGYGPEDAHFAIELTYNYGIETYDIGTGFGHYGIAMDDISRVVDIVRAKGGIITREPGPVKGGDSTVAVIEDPDGYKFELLERAPSPEPLCKVMLRVGDLDRSIKFYEKVVGMELLRKQDDPESKCTVAIMGYGPEEKTTVLELTYNYGITKYDKGDAYAQIAIGTDDVYKTAEAIKLAGGKITREAGPVPGYRTKITSCVDPDGWKTVFVDNHDFHKELE >RHN45728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25059611:25062058:-1 gene:gene40117 transcript:rna40117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MNQDKAEKFTNMILDRTIFPRSSINTTASDPEGKFLVLNVCIDMPPKVTSDGNWANHDQAAMPMQSTLPLLELQILTIFAITQCFHLVLKRLGVPYFVSQIMAGLVLGPSLKFSKTWIGFKNILFPYGTEDVISVISLLGYAFFLFLTTVKMDFTMITRTGRKAWTIAFCSFLIPMFFGLLVCYRFQEFWKLEMGNFEAKNLPVIVIGQSGCYFAVIASLLSDLEILNSELGRLALSTTMVMDSFNSIVTGIGTAFISSIKTDSRDNGDGKGTLKAFLNVFYYLCFMVVTPLVLRPILKWFVKKTPEGRPMKKVYMYIVFIIALAVGMLGLLTKQSVLGGICIVGLIVPEGPPLGTEMIKQLELFCSWFLFPIFVTSCAMKVDLSVYVKSDYIYVWLGIIVAVHLFKMLVTIGICWYCNMPMADGLCLALMLSCKGLLSSEALSVLSINVLVIGTLARISVKYLYDPSRKYAGYQKRNILSLKPNSELKIVSCILKPSHIIPIKNVLDICSPTSSNPLVIHILHLLELVGRSSPVFISHRLQERVGSSYHTFSEAVIVTFDLFEHDNAGHQGS >RHN44003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:670255:671811:-1 gene:gene38047 transcript:rna38047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MVEERALKEYAITSSDEPYDAIVHPTVAGNNFEIKPALIYLVQQNQFFGSPTEDPNFHISTFLRLSGTLKANQEAVRLHLFPFSLRDRASAWFHSLTIGSITSWDQIRRAFLAQFFPLSQTAQLRARLFQYTQKDGESLYDAWERFKEMLRLCPHHGLEKWLIIHTFYNGLSYTTKIYVDAAAGGALMNKTYTAAYDLIEGMAQNHYQWTSERVITASSPSKKEAGMYKISSLDHLTAKVDALRQKFDTMNTSAVTPAPVSPPCEVCGVFGHIDIDCRLGSVVRGPEQVNQNLFGQQTTPPSYANNQRVPQKSSLELLLENYVINQSKQLQELKNQTKFLNDSLAKITSKVDSIATHDKILETQISQVAQHVTASSRTTGIFPGQTETNPKGHISSITLRDGNQLKDPVVKIKNNEREIGSDEPQSEKTIGDNEKPFVSPPHEPKNPLAQGFVKSKLDDQFRIFIEILPNKLSSKLKNPESFPIPCVIGSESIEKAMCDLGENVRLTPLSLWERLGIG >RHN58132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43969951:43970346:1 gene:gene33742 transcript:rna33742 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYIFRLLNMGDDIFDIRSVLTHLVLLNGSIALILNYTDTSTFHISILGELGVKESWTKIFIVGPLPCLEYLIIRAGKKGEVLVAKKDAEHAWFDLNTQMIENLDVNKYEFACRLIIHKENFLPLERKSI >RHN73685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18840982:18842291:-1 gene:gene9532 transcript:rna9532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MVKSNMEKIAVERSDSSLYRGVRKRKWGKYVSEIRLPNSRQRIWLGSYDSDVKAARAFDAAMFCLRGSGAKFNFPNDPPEIAGGRSMTHSEIKTAAARFANSGQVNNEYSGQPDNSTIETPSWSQGTTFLPMDGVSTCYTQVETPSLLEGTTTTLLSSPALSDVTVQTDSDLGENGLCKDLFSETGLGYTMFPGFYDFCGDFYVPELTNFAYEEENMDGLIIQDSFLWNF >RHN68207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33663623:33664542:-1 gene:gene16524 transcript:rna16524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MVLSFDQSMANVKLAPFAIFLLAAFLMFSMKKIEGAKCGEDCDTQVNFCNNHDGCQCFVTGVLNQPGWCATLLHIEKKVEEQPNLCWSHAECIRKGSGNYCAHLPNSNIKYGFCFASISVAEATFKMAASTIFKSNFLKMSVPA >RHN76473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50659064:50660291:1 gene:gene12805 transcript:rna12805 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGLDVIPHTLVPISLFSFSTKIDRSLIRSKNKVFLSSKESIKRKPETHTVRIRSSFERIMDVGDE >RHN59980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15823926:15827295:-1 gene:gene22155 transcript:rna22155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MMLSTYNKCIVSFTLLCFCLGFSQGGIKQGDIVRDQEGDVLFSDGFNFAMGFFGFGNSSSRYVGIWYYNIPGPQIIWVANRNTPINGNRGSFTVAENGNLVILDENKNQIWSTNVSISQNNTDNYEAVLRDDGNLVLANENAVLWESFENPCDTYVPGMKVPVNGKSFFFTSWKSSTDPSIGNHKMGIDTVGLPPQVFVWEGERKKWRSGYWDGRVFTGVDAKSSFLHGFILNYDSNGDRYFVYNDNEWKLNGNSSVRFQIGWDGYERELIWNETEKYWSVNQKGPHNQCEFYNYCGDFASCDTSDSGWAICSCLQGFELKDKENLSSGCTRKTALKGDQTSDGFDEDGFFERTSMKLPDFARVVDTKDCEGNCLENASCTAYAEVTGIGCMVWHGDLVDVQHLGRDEGNSLYIRLAQSDLGHGGKMNRTMIVIISTAVTGLICLGIFVLLVWRCMKASKYKNIQILPVVYARETSSEFSGSLELSLKSNQLSGAELPFFSFTCMSAATNNFSQANKLGQGGFGPVYKGKLPTGEEIAVKRLSRPFGQGLDEFKNEMRLFAKLQHRNLVRLMGCSIEGDEKLLVYEFMPNKSLDYFLFDPIKKAQLNWARRYEIIEGIARGLLYLHRDSRLRIIHRDLKPSNILLDENMNPKISDFGLARIFGGNQNESNTTRVVGTYGYMSPEYAMQGVLSAKADVYSFGVLLLEIVSGHKNTSFRHSDDSSLIGYAWHLWNTKRSKELVDACISDLTPNNDVINRCIQIGMLCVQDLASRRPKMSEIVLMLESKSITLPLPMQPLITSIKRNVNRESPKNAVDDSNDLTITMVEGR >RHN58253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:282096:286014:-1 gene:gene20144 transcript:rna20144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-dehydrocholesterol reductase MCWCRFGIFNPTIVYDHLGEIYSTLSFGSFIFCIFLYIKGHLAPSSTDSGSSGNIIIDFYWGMELYPRIGKYFDIKVFTNCRFGMMSWAVLALTYCIKQYEENGKVADSMLVNTTLMLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSVYTSPGMYLVNHPVNLGTQLALFILVAGIMCIYINYDCDRQRQEFRRTNGKSLVWGKAPSKIEASYTTASGETKRSLLLTSGWWGLARHFHYVPEILAAFFWTVPALFNHFLPYFYVIFLIILLFDRAKRDDDRCRSKYGKYWKLYCDRVPYRIIPGIY >RHN47980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44011472:44015968:-1 gene:gene42644 transcript:rna42644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase, trichome birefringence-like family MADTTKYVPIGGGTTNGNLHKLDFKNIFTILKTKTTLAFVYAFMLVFIAFTLFLAFSPSPTISTTTPSSSTSQFSSIFSYFFPNTTTFSQLNNTTDLVHPFDPFQNNTSITRSTNVTSQSHNPNTSEKTINSNITTVQNSSHDAVPVQSPIPSKNNTSITKPTSQIVKKQPSLVTNHTSNSDETKVMKNSNQTTNATVEGVPIVPNKNLSSDSSLKGVDLHNYTASLARKQNNGSNKYAELMESLMKCDFFDGEWIKDDSYPLYKPGSCSIIDEQFNCIRNGRPDKDYQKYKWKPKGCSLPRLDGHRMLDLLRGKRLVFVGDSLNRNMWESLICILKNSVKDKKKVYEANGRVHFRGEASYSFVFKDYKFSVELFVSPFLVQEWEMPDKNGTKKETLRLDLVGRSSDQYKDADIIVFNTGHWWTHDKTSKGKDYYQEGSHVYDELNVLEAFRRAITTWGRWVDANVNPTKSIVLFRGYSASHFSGGQWNSGGQCDHETAPIDNEKYLTEYPPKMRVLEKVLKNMKNPVSYLNITRMTDFRKDGHPSIYRKQNLSPEERKSPLRFQDCSHWCLPGVPDAWNEILYAELLMREYRDKHQQKRS >RHN45967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27370639:27372160:-1 gene:gene40397 transcript:rna40397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyl-CpG DNA binding protein MDPENELMSVVYGHNILIANNTINKKMDPSTSSVVLGEINLLCLNMKEIIPMNKKVDSEEGAENSIVQQVPPLNHYEFDIMKPTISGFKKQMDIYINKLKELEEPKQCWAMIVFYDSENKVEKRKGRKATSKVIGPISVSYELPRCYLDIDVQRVIATRPRSKDAPLRSTVFDRIKNWTIELRKPADKQRYDIYYVHLPSNIIFRSKNEVVNFLLYGEHPPKPETSKKIKQCNELDSSSIVPNKRMKNCPKMPQGVKMENQMRELSLEDIWKNDTTENNMSNTWLELCEIQDYFDGPQKDSCFSL >RHN46896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35634407:35638082:-1 gene:gene41431 transcript:rna41431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVMEVEQKIDDDELESMAIEVEQQNSYEDDEFKSDDHDSFSSGSEFDDASPLEVPFFITLSLSNDITMHICMLSSVDITCMCEFHMLQLFSCDLEGRMNIAMSNSVTTEIRGCVKEMAIGKTKTNDKADRATVENAIDPRTRMEIYKMMNKRWFQDSNGCILTRKEANVYHATKSDGRELAIKIYKTSVLAFKDRARYVKGDRRFENEYCGSNPRKMVKTWAEKERSNLSRLKAEGIRCPKPYLLKQHILVMEFIGKDGCAAPRLKDADLSLDRLREGYVEMIVAMRTLYQKCRLVHGDLSEYNILYNEGHLYIIDVSQGVDLDHPLAFEFLIEDCIHVSDFFKKHGVGVMTIVELFVFIFDALIADDAVDSYLEEVQQKLLARGDVSIEDENADRLFAKVTGHIKLLFV >RHN51257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15438385:15438883:1 gene:gene35667 transcript:rna35667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MPTCFILLKHCPLFMIVNPIVLFLLLSLFPCLKMLRRDRELLGSNSKYPRAVVLCASEEKVEQCFNAARYIIHNAEVKSAKNRASSDTEKSNSSIGLMIGTPYEILQYIEEGTIVPAELKYLVSVTYL >RHN81366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45271629:45280157:1 gene:gene5391 transcript:rna5391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kelch-type beta propeller, galactose oxidase, beta-propeller MYYWVGASSSDYAGTPPQPRSGHSAVNIGKSKVVVFGGLVDKKFLTDILVYDIEAKLWYQPECTGSDSDGHVGPTPRAFHVAVAIDCHMFVFGGRSGGQRLGDFWVLDTDIWQWSELTGFGDLPSPRDFAAASSIGNRKIVMYGGWDGKKWLSDVYVLDTISLEWTELSVSATLPQPRCGHTATMVEKRLLVYGGRGGGGPIMGDLWALKGLIEEENETPGWTQLKLPGQAPSPRCGHSVTNAGHYLLMFGGHGTGGWLSRYDIYYNDCVVLDRVSATWKRLSVGNEPPPARAYHSMTAIGSRYLLIGGFDGKSTYGEPWWLVPQDDPIANRLTASPPRNISESKDAASLNGNFQPQFQENQVEKFPLSELQRRLQLSVSQSNSMLPIVNELEDKELLELASRLAGQNVSANSLAIEALREHWKKAEPNMIKLKELGPLLRDYQRLIHRLHLEKSTSDQQPGFDEHVMHRFYHVRNVSQLRMDDIPKLLDEYRQLPVLCF >RHN82464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53983872:53984075:1 gene:gene6632 transcript:rna6632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MKTKRTYKLIDSNTPGFKIAAETSAVMATSSIVFRHVDRKYARHLLNKAKSIGNLCTIFSLIFKPRR >RHN72064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4720638:4722122:1 gene:gene7735 transcript:rna7735 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADSAICKKKRNGEWSNMPYDLLSNIANRLELIDFTSFTHVCKDTCGTLYDAKNLVWGQYIRSVTLFEHRLLKGVWILPRYHKH >RHN48747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49913744:49914996:1 gene:gene43497 transcript:rna43497 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNGSGPKLDLKLNLSPPRVNRRMESSPTRSASVSPPSSCVSSENGSSSPEATSMLLVGCPRCLMYVMLSENDPKCPKCHSTVLLDFLNAENNNNKKS >RHN40113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13384494:13385695:-1 gene:gene46216 transcript:rna46216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MDPYEFLKIKLNSDGSLTRNYIVPTVPSSSDPTNSPLQPALSKDIPLNAAAKTSIRLFLPNPPPSSSAAKLPIILYFHGGGFILYHPSSLIFHHPCSTLAAQIPAIVASVDYRLSPEHRLPAAYDDAVDSLLWLKSQAQNPTESDPWIRDHVDFDKCFLMGDSAGGNIAYFAGLRALDLDLSHIKIRGIIMKYPFFSGVQRTESELRLVNDRILPLPAGDLMWFLCLPEGKDRDHEYCNPTTLDHVYGEKIGRLPRCFVNGYGGDPLVDKQKELAKILAARGVHVESCFDEDGYHAVEIFDRSKAQVLLENVKKFILSAVSVAPQSSM >RHN59177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8208440:8208736:-1 gene:gene21172 transcript:rna21172 gene_biotype:protein_coding transcript_biotype:protein_coding MKMWVEMRNLGDRVLFLGEDSAFPVLASNLCIANGNCVIFRDDILRNVRPTDLGISFFHLDQCRTLPLSDFPSYAKLFWPPPEWVGMCIDNSRVCVLD >RHN54913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13468925:13469635:1 gene:gene30012 transcript:rna30012 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MAASSLIVRHFLSSSGGPLRTVGSSSRSFNANPIPPLLRPVAAYRSPERSTPQCRHWVFVLQCQRSSLFPYDSFNMGSPTSIWSQVYNMGRQLFKLLEEQCFSVRETEDSLRIRLYMFGLDKEDVNITVDHKTLTIKGLKQTEEGSRQVLSTTYDLTGKPYKIHQIKAKIKNGCVLKIVVPKMKEVKEIQDVKINVKIQDCREEEEEEE >RHN48679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49427672:49429389:-1 gene:gene43421 transcript:rna43421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MKKHLLKPITKPPPPILNPPPPPPPPTTTTSLFPPTLSPTQHYTHFLHFLNHHLTPPLTPQTLTHFLKSKLHHHPSFTHFDFHLFSWASTLDTFSHNHTSYEWMTRTLALSHRFSLLRTLLTFISTNPCQCSQAIFSCPQTEPIFRFAIQYYCKAFKFDDAVYAFNVMRRLIDGKPSVSVCNILIHGFVKSGRFDRAFEFYNQMVKDRIKPDVFTFNILISGYCRDFKFGFALEMFDEMRKMGCHPNVVTFNTLIKGLFRECRVDEGIGMVYEMIELGCQLSDVTCEILVDGLCKEGRVSQVCDLLMEFSKRGVLPKGYDYFVLVEILCGKGEAFKALEVIYELWSKGCVPSLISCIVMIDGLRGLGKIEEAMRLVEKMLKEEGMVLDVVTFNSVLQDLCDAGRTEDANRLRLLASSKGLEPDAMTYKILVAGYRGEGNQSEGELVVNEMLDKGFIPDLASYNKLMDALSNCQRPNRYQANQIGR >RHN80675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40000041:40000573:-1 gene:gene4630 transcript:rna4630 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMKRSVWVIFILGVFSSFSSSIAHGDLRYGSISDDASFPFFDQVLFALPLHLSTALGICFLGFSVYFQIIWDGYGVFSGKLGVKGCLSELFLEFGGSIAFDLRSEVSFLIQVFGLVMVCSQAGRESSGGGIRRRVGISHFLVFNSARLW >RHN78761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18124108:18125054:1 gene:gene2410 transcript:rna2410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MGRFKTSTEDENKLELDCNAEGVVFDEASMDITAKELFTSSMMPNWSWKKIECKVESHLPLAIQVTNLRCGGMILCASISHILCDGSGASQFLNAWAQLTKNPNSKLTITHPLTTDAY >RHN62614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44698683:44703098:1 gene:gene25208 transcript:rna25208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-galactose 1-dehydrogenase MELRELGRTGLKLSSVGFGASPLGNVFGSVSEEQANASVRIAFQSGINFFDTSPYYGGTLSEKVLGKALKALNVPRSEYIVATKCGRYKEGFDFSAERVTRSVDESLERLQLDYVDILQCHDIEFGSLDQIVNETIPALQKLKEAGKTRFIGITGLPLEVFTYVLDRVPPGTLDVILSYCHHSINDSTLEDIVPYLKSKGVGIISASPLAMGLLTEAGPPEWHPASPELKSACRAAATYCKENGKNVSKLAMQYSLLNKEITSVLVGMRSVEQVEENVAAARELAASGIDEAALSEVRTILKPVKNQSWPSGIQQS >RHN69005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40226073:40231607:1 gene:gene17431 transcript:rna17431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MGENLRRNGSGSSSSSLGSSFHEDTEDDQTIANMLAEDETLHAGSRQLGKRLSHLDSIPHAPRVNGEIPDVNDATLDHETLSERLVTYGLSELQMEGDGNCQFRAIADQLFQNPDYHKYVRRQVVKQLKHHKKLYESYVPMKYKSYIKQMKKSGEWGDHVTLQAAADRFDAKICLVTSFRDTCYIEILPTNKRPARELWLSFWSEVHYNSLYTSGDVPSRVPKKKYWLF >RHN76693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:473471:478220:-1 gene:gene58 transcript:rna58 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MGNVSNEEQELKEIEILERNDIEKVGDELEDASTVAPWMKQITIRGLIASILIGIIYSVIVMKLNLTTGLVPNLNVSVALLGFVFIKLWTKILEKANIVSTPFTRQENTIIQTCAVACYSASFGGGFGSYLLALNRSTYDRVGGASTPGNTPDTKEPGIGWMTGFLFVSYFVGLTALIPFRKMMIIDSKLPYPSGTATGVLINGFHTPKGNVMAKKQVKGFTQFFSFSLLWSFFQWFYAGDGQCGFAQFPTFGLKAWKNTFYFDFSMTYVGAGMICSHLVNLSLLFGAVVSWGIMWPLIRVLKGNWFPESLPESSMKSLNGYKVFISIALILGDGLYNFIKIIYFSALNFQACMKMRDQNAASAADNNQNQTLDDLTRDEFFIKESIPIWLACAGYAVLTIISIIVIPLMFPQVKWYFVVVAYLLAPILGFCNAYGAGLTDMNMSYNYGKVALFILAALGGKSNGVVSGLVACGLIKSLASTSSDLMHDFRTGYLTLTSPRSMLATQAIGTAIGCVVAPLTFFIFYNTYDVGNPNGEYKAPYAIIYRNMAIFGVEGFSALPSHCLQFCCGFFAFALLANLLRDLNPKNIGKWVPLPMAMAVPFVVGAYFAIDMCVGSLVVFVWSWMKNKEAGLMIPAVASGLICGDGIWVLPSSLLAWFKIHPPICMNFLANK >RHN60547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28006514:28032375:-1 gene:gene22868 transcript:rna22868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MNRKWDRRDNKVSKKQKLLNSAEEELEAKLGFDLFSEGEKRLGWLLTFSSSSYEDEDTGKVYSCIDLYFVKQDGSSFKTKYRFRPYFYVATKNKMEMDVDAYLRRRYESQIADIKIVEKEDLDLKNHLSGLRKSYLRLSFDTVQQLMNVKSDLMQVVERNKAKSDAAEAYESILTGRREQKLQDFLDCIIDLREYDVPYHVRFAIDNDIRCGQWYDVGVSNDGVTLERRTDLLQRAEVRVCAFDIETTKLPLKFPDADYDSVMMISYMVDRQGYLITNRECVGDDIEDLEFTPKPEFEGFFKVTNVQNEIELLRLWFSHMQEVKPGIYVTYNGDFFDWPFLERRAAHHGFKMSDELGFQCDMNQGECRAKFAPHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPKEVNPEDMVRFAKEKPQMMASYSVSDAVSTYYLYTTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQSYKANVICPNKHQSDPEKFYKNHLLESETYIGGHVECLESGVFRSDIPCSFTLEPSAFEQLISNLDRDLQYAIRVEGKMDLESVSNYDEVKNAIMEKLVNLRDAPIRDDCPLIYHLDVAAMYPNIILTNRLQPPSIVTDEICTACDFNRPGKTCLRKLEWVWRGATFAAKKSDYYHLKKQIESEFVDGVNERSSKSFLDLPKAEQQLRLKERLKKYCQKAYKRVLDKPVTELREAGICMRENPFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKASGNSIKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGKPLELDTDGIWCALPGSFPENFTFKTVDSKRKLTISYPCVMLNVDVAINNTNDQYQTLTDPNRKTYTTHSECSIEFEVDGPYKAMIIPASKEEGILIKKRYAVFNDDGSLAELKGFEIKRRGELKLIKVFQADLFEKFLHGSTLKECYSEVAKEANRWLDLLDNQGKDIADSELLDYISESSTMSKSLADYGQQKSCAVTTAKRLADFLGDTMVKDKGLRCQYIVASEPKGTPVSERAVPVAIFETDADIMKFYVRKWCKVSSDVGIRSIIDWSYYKQRLSSSIQKIITIPAAMQKVANPVPRVVHPDWLHKKVREKEDKFRQRKIDDTFKSMNKNEHSKKHNDSSGVNLVMVDDTVNDMEDFGNKGRSSTLGPRPIIRHYEANNEQHSEKFNGQEDSEQQHIDSSNIDQQNETSCENVDRTVDYQGWLQLKKRKWKSMLGKRKKQRLENSKKSDHLNGSLEQTNSKMNHGRSNVSSYFRRHEVVLTRGHWQIIQLVHSPQVGQFFAWVVVDGIMLKIPISIPRVFYLNSRSPTEEFSGKRVNKTLPHGRHSYNLYEVTINEVQYKEESKKLAALLADPDIEGVYETKVPLEFNAIVQLGCVCKVDKTAKKRSLQEPWNLNELHMKTTTECAYLEQSISLFYLYHSISEGRAIYAGYFPGSQAITFVVVNPYQNQDLSPAYLDRQFRDACRTLSIEAPPRNGINFKVEYVALVKDAETIMQSAINDLRSEHGPMVAVIECPDVQLLKLGIRALDDFPCLSIPFNARDSQYPILGWQQMAAKLGMQRCAASVQWLNEKIALSRYAHVPLGNMEFDWLMFTVDTFFCRALRDNQQVLWISDDGFPDLGGNIGEENCFLDEVRQPVCTFPGAYRKISVELKIHHLAVDALLKCNQVNEMEGGALLGFGHEFDSEAFQVNGQNGFEESTSCTHAFRVLKHLIQRCLADAVTSGNVFADAILQHLYRWLCSPQSKFHDPALHQLLHKVMQKVFALLLAEFRKLGATIVFANFSKIIIDTGKYDFSTAKAYCDSLLRTIQSRDLFEWIELEPLQFWCSLLFMDQYNYGGIPAKSDEAMNDESQVDIISSWNIAEYLPKKIQDHYVFIVSQFMYIPWNYTRKQAAIRASVQNGDSCTPSINIGAAEAFESEITEYIKEQISSYFTDKLLGVVRDIVLHMKGMGRSESDQSTSSGLHQLTDDLHRGDAALEFIKHVCAVLALDQSVQHDVHVMRKNLLKYVRVREFAPEAEFHDPCHSFILPNVICSYCNECRDLDLCRDPTLLNEEWHCAVPQCGQPYDREVMENALLQIARQRERQYHLQDLVCVRCNQVKAAHLAEQCACAGSFKCKEDATEFCKKMRVIYNIASRQKFQLLQECTAWMLELKIE >RHN79617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30621911:30629151:-1 gene:gene3434 transcript:rna3434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEKPRRPFDRSKEPILKRPRLIENPDRAPNSSVRPIQQRKQVNSGISTLSSASRFRQNDRELESSDFDGGGYEPQPIRFEDLVAQYKSALAELTFNSKPMITNLTIIAGENQAGQKAIAATICANILEVPSEQKLPSLYLLDSIVKNIGQDYIKYFAAKLPEVFCKAYKQVDPSVRQSMKHLFGTWKGVFPPQTLQIIDKELGFTPVINGSSSASTALRSDSQSKHSPHSIHVNPKYFERQRLQQSSRTKGVVGDMTGAIANSNDDHEMTDRDLGVARAWNNQRTHRDPFNDSVPEKSISASYEDNEHGSNLSRNLGMGIGRTDGRVAKLGHRNLSSKAAAGVSGTISGQRNSVGLKHSFSNTEASMHQPIRNIAGIQRNVISSSWQNSEEEEYSWDEMNTGLTGHGVRNNLGNDAWTADDENLEAEDNHHQIRNVFRANVDREMPNRSQATEKKQSHAFQHHPSLSWQLQEQQSIDALDRKPGHLEGSMLMSGSLPANASSSAARMGNRAFLPNARIGLAEIEGQQFHSVGSESPSGQSPLRHRSPSPPSIDHSHLMKSLAMQDHPHTRKTSHFLGGLHSQYNEDSSPTLAPNIHVGDLRRSSQLKDLQGPLPSSGFQPRYQQQLSSSHAEVTIETEKPPLSKVSLARKTSEQPATSHTKAASVKNGTFSNMPTTSSLPSLLGARPSQSGGSSHAKIISSVSVNVSPSSPVLPKRPQRKAGQPLRTSTLSPASSNVSSASAQSSGATNHTSNPLANLLSSLVAKGLISTVTETPAEVPPETLTRLEDHCDSFSTSSSMPVASLSGSAAVPIPSTKDELDDTAKTPMSLSESTSTDIRNVIGFEFKPNVIRKLHPSVISGLFDDFPHHCSICGLKLKFQEQFNRHLEWHATRERECTGLITASRWYLKSSDWVAGKAECPSENEFTDSLDSQDSETDKDQEDAMVLADENQCLCVLCGELFEDVYCHENSEWMFKAAVYMTNADIATEVGINDVISGRGPIIHTRCLSDYSLSSVVKTELD >RHN70637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52901550:52905598:-1 gene:gene19245 transcript:rna19245 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMMKKWWLASNQSNKHTVKVNQLKLELGLFGVNVATTVNDKLAIEITWIKKCNENSSSSFSCMIPFHRTLKPPCSHITPCRRFVAAGKTPSHVWDNHDLCAFNLGLKDICHVTFNVLYGQGGGEESKGKMVVVGKVCMTLAMEEMKTESNVQRKLPIRLKVNGLIIEATLLVCLRLLLKLRNNSDYDSAITFENTVKSDKKLGTIEKGKYLTCLIKKKNGKFDEHPYESDGSPVFDSDESSNESTTSGGSSSSSSNSPTESRVNFPSSGIKTSLDSNRRFKGWDFKTGTAQLETSTIHQTPFTKSDELSLRSNLQGNSSKWEMKYILSRDGQSKLKTNVFFASFDQRSEKASGESACTVLVALISHWLHSNQGIPTRTQFDNLITQGSSEWRKLCKNDYYSKHFPDKHFDLETVIDANLRPLVVLPQKSYTGFFSPEKFHCLEGAMSFDEIWNEINNINNKNTVVDFEPRIYIVSWNDHFFILKVEVDAYYIIDSLGERLFEGCQRAFVLKFDDSCVMYGKVDKNEEEVNKDVGTKSSSRGEESFEIVCRGKECCKEFIKRFLAAISVRQLEKEEKKWSVSNPYLHRQLQIDFHFSSPLSFSTSSMLYFLTNEHLEA >RHN59204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8427684:8429285:1 gene:gene21202 transcript:rna21202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MVESSEEKKKKTTTLPYLPHELIFQILLRLPVKSLTRFKSVRKSWFSLISAPHFANSHFQLTSAKHAASRIMFISTLSHETRSIDFKAFLNDDDPASLNITFSLTRSHFPVEIRGSCRGFILLYRPPDIYIWNPSTGFKKHIHLSPVDSKSVAQCQGFGYDQSRDDYLVVSLSYNPSAFSTHLKFFSVRDNTWKEIEGNYFPYGVLSSCREGLLFNGVIHCCLRYLFQVILSTEHQDILVYDNDKDTLEIWVMKEYKVHSSWNKTLVLSVDAIPDHYFHPIHSTKNGDIIGRTLNSRLVKYNDKGQLLRHRTFFNSPSEVVMYTESLLSLPGDNEQV >RHN66573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14141524:14149463:-1 gene:gene14586 transcript:rna14586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MRQYDEHSDKSNVADRRHAYCSFACTRHDDFLSDVNMVDQLMDNPFLSASHGIGSGGVRRGWDAKEIEDSLLLRLDMPGLGSRIPWTDEEHKLFSVGLQKVDKGDWRGISRNYVKTRTPMQVASHAQKYFLCRSNLNRCRRRSSLFDITTDRVCMVQPKAEMDLQFQSTNMSNT >RHN53796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4202244:4202579:-1 gene:gene28743 transcript:rna28743 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVVKIESRVRYSAFKKTIKVMKTPTDSLDDLNLKAQLNTYFEHLGENQNTRHLFGQMPCIDLGEDRDEYAWKTTSYMPWLIKDDSDVRFMFRNMVENNILYMYSFHMQL >RHN48977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51520505:51521095:1 gene:gene43754 transcript:rna43754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MCTFAILFCRKILKNTILIALKICRDELLWGAAWLHKATKNLMYLNYIKVNGQIHGADVTDNTFGWDNKHAGARILLSKGHADNFICSAIPGDSLFLPIYTRWAFCCEFVEKNTPIKNLMRGAN >RHN38446.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000013.1:130422:131001:1 gene:gene50732 transcript:rna50732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator GNAT family MESPYVVVRDATPVDLFKILMDAIFPNVDVKTLANGKILQVAEDKLLGVILGFIVFDNGELKRTGYGHITSLFINRIFQRKGHATRLVTNAEMDLDKVSRCCLRLSVRPDDRHRSITLLQKDGV >RHN73626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18339280:18340159:1 gene:gene9462 transcript:rna9462 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKISYQQTFDDKELVVRFLSLTIALNTIRRNNQRAATMLLRLLIVWKIICNILN >RHN54187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7680095:7680785:-1 gene:gene29185 transcript:rna29185 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGRSFRLSRSERILLSSESITSECAKISGYERLSQSMRLSEEYEHKKNKRGIGFITKVLSFRRISTPHEGNVAVELAAKKEKKRSSWLPDPNNRWPIQGW >RHN53482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1945955:1958332:-1 gene:gene28391 transcript:rna28391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycloartenol synthase MWKLKVAEGGSPWLRTLNNHVGRQLWEFDPNSGSPQDLADIESVRQNFRNNRFSHKHSDDLLMRIQFAKENPMKEVIPKVRVKDVEDVNEESVTTTLRRALNFYSTLQSHDGHWPGDYAGPMFLLPGLVITLSITGALNAVLTDEHRKEMRRYLYNHQNKDGGWGLHIEGPSTMFGSVLSYVTLRLLGEGPNDGEGDMEKARDWILEHGGATHITSWGKMWLSVLGVFEWSGNNPLPPELWLLPYILPFHPGRMWCHCRMVYLPMCYLYGKRFVGPITPTILSLRKELFTVPYHDVDWNQARNLCAKEDLYYPHPLVQDILWATLHKVVEPIFMNWPCKKLREKAVETVMEHIHYEDENTRYICIGPVNKVLNMLCCWVEDPNSEAFKLHLPRIHDYLWIAEDGMKMQGYNGSQLWDTSFIAQAIISTNLIEEFGPTLRKAHTFIKNSQVSEDCPGDLSKWYRHISKGAWPFSTADHGWPISDCTAEGLKAVLLLSKIAPEIVGEPLDAKRLYDAVNVIISLQNEDGGLATYELTRSYSWLEICNPAETFGDIVIDYTYVECTSAAIQALSTFRKLYPGHRREEIQRCIEKAAAFIEKIQASDGSWYGSWGVCFTYGTWFGVKGLIAAGKSFSNCLSIRKACDFLLSKQLPSGGWGESYLSCQNKVYSNLESNRSHVVNTGWAMLALIEAEQAKRDPTPLHRAAVCLINSQMENGDFPQEEIMGVFNKNCMITYAAYRNIFPIWALGEYRRHVLQTC >RHN46789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34905519:34913007:-1 gene:gene41317 transcript:rna41317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MASSQEKRHRSIFELPSNYFDSCRLLPSPHSSLPDHHTQTLQPPSNDAVDDIQNAVVSGPRLTCNTCKAHFDSLQDQRSHFKSDIHRFNVKLTIAGKNIVKEEDFEDLTSDFVKDYDVSSISGSESDDDDDSENESRSQNLVPNKSGISLKQKLFVSLQTGQRVSVWKSLIMNVSENVVYEDEQVQKNLVERLKSLTVEPSDKTRLRIVLLASGGHFAGCVFDGDTVVAHKTFHRYVVRAKAGKKQSAKDASGRTVHSAGASLRRYNELALKKEVHELLTAWRPYFDASICIFIHAPSSSRELLYDGEKPCFTNPQCVRNIAMIVRRPTLREAKRIYGQLTLVSYEADEKEILQSNQQDGVPIRKAKRNGAPTVSKVDIAGLDENDKAEVCSSNQNDEPLILSDDISENELPVISTPLHQAAQSGDSEKVMELLEQGLDPCVKDERGRTPYMLAPDKEVRNTFRRFMASNLDKWDWNAAKVPSALTKEMEESQAAKQAEKDAKRKARAKELKKLRKAKEKKAQAEAAEKQKIASTSATGQSQSKSGVKLSKAEELKRAQDAEREKRAAAAERRMAALKIQASNSTTSANNSGLDGDILCSCCNSSLAGKVPFHRYNYKYCSTSCMHVHKEILEDG >RHN78963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20343064:20344409:1 gene:gene2632 transcript:rna2632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MSLHHPKEFLKIVQHHELHNGELRVPKKFVEKYWKRIPNPVILRLPNGAQKEIFWVERDGDIWFKRNWENFAKSLKHGYALVFKYLGGPYFKVKIFGHNTLEKDYSNIKFIDESCEGREEVVQEVQRRKNGKRKISQQKITGSNKGGIIKKAKKCSTISEKENNENPSFELEMSQTYAHGYTLRIPSDFSRTYLNENLKGSSGSIRFGENMPMKVKVRFQDIKNNRTCIVTSGWKPFAKKYNLQVGDKCKFVMTQLQPLSFTITITQAKGFSFLLSRFIYCYLKKNKKFIDI >RHN58879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5680076:5680237:1 gene:gene20834 transcript:rna20834 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQKDEKDTEQLKLSLNLKTRMVRQNPNSPWDLHESHKVIPIHYMNDDLKGN >RHN62596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44591534:44592318:-1 gene:gene25189 transcript:rna25189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ves allergen MSFNNTFSLFSLFLTILSLWFSFSNAQNLPQDFVDAHNTARAQVGVANITWDNTVATYALNYANSRKSDCNLVHSNGPYGENLAKGSSGTFTGVTAVNMWVNEKQYYDYNTNSCINGGQCLHYTQVVWRNSVRLGCARVQCTNGWWFVTCNYDPPGNYVGQKPYY >RHN48656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49277900:49280322:-1 gene:gene43396 transcript:rna43396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative solute carrier family 35 member SLC35F1/F2/F6 MDWTTISTWWSRTATLQILGILLLGQLVSFLLALMSITSSLIAQFGVDAPLTQSLFTYGSLALVYGSILLYRHQKPLVSWYWYLLLGFADAQGCYLVIKAYQYTSVTSVTLLDCWTVPWAILLTWIFLGTRYSLWQLCGGTLCVLGLSLVLFSDTWDGGGGGGGGSKPVLGDVLVIVGTVFYAISNVVEEFCVKKKDRVETVTMLGVYGFLVTAIEVSVLELKTLKSIKWSSDIVLAFAGYGVSSFMFYSLAPFVLKLSGSTMFNLSLLTSDIWAVVFRVFIYHQKVDWLYFVSFLVVAIGLIIYSTTYVCFLH >RHN75893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45976073:45978943:1 gene:gene12152 transcript:rna12152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein disulfide-isomerase MLIAPGCFAVFIFANIDDFKNLLDPLQEVARTFKSKIMFIYVDINNENLAKPFLTMFGLEESTNTVVVAFDNGMSSKFLLESKPTRSNIEEFCSKLVQGSLLTYFKSQPIPDNTEAIVQVVVGKTFDEEILNSKKDVVLEVFTPWCFNCEDTSKQVEKLAKHYKSSCNLIFAKIDASANEHPKLQVNDFPTLLLYKANDKTNPIKLSTKSSLKELAASINKHVKVKDQVAKDEL >RHN63528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51975607:51978173:-1 gene:gene26234 transcript:rna26234 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGPHMMYAMGSGLCLTTVSNGRFSPHHTLIYTINSFFGPDIGSFSQWLVSLFGGHTVASTFADYIHHPFYYIIILGYPLCLLYSRISSFLLHKHFLDSSFSKVPLTKMQCLFLISAGSLTHFFLDHLFEENGKTTMYTWILSTGWWQGRAPVNPDAVVVVGFLCVCLIGGFFYLNRASSSDSIKKKSYQSMLLMVSIASLYCLWCAIQIYVISLRRPAIGEEADLGVIIFFAFYFFLPYCLCITSMHPKDLDSNQIPR >RHN52401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34898295:34898869:-1 gene:gene37072 transcript:rna37072 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNLQEVNQISNINIKFLYRLRIRAENVSSIDEIVFVLTHTITIRKTFDF >RHN45432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20690564:20692369:1 gene:gene39771 transcript:rna39771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MSNLYGDYNTKIDYVFKVVLIGDSAVGKTQLLGRFARNEFKVDSKATIGVEFQTKTLIIDNKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMARWLEELRSHADKNIVIMLIGNKCDLSYLRAVPMEDAEEFAQRENLFFMETSALEATNVETCFLTILTEIYRINAKKPLSSNGDDQNGTSTLLKGSRIIVPNEIDGGGKKGCCFAS >RHN45527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22140921:22145800:-1 gene:gene39884 transcript:rna39884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MFVYNMILFVSLFLVVVDGEKECACVADCIYKYPTLRDLVVKCIEGYCKAILYRKDSLTVKLSSES >RHN41492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30473736:30474234:-1 gene:gene47824 transcript:rna47824 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFPICAPSRHYPPRIGPRSGPTIGSASTMPPSSPLPDPTPGSPPPPGSPPDPSWESFLVAEHMLKPNSTKRAMLRTFEVAI >RHN61356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35082799:35088071:-1 gene:gene23812 transcript:rna23812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MTLKLQLHFHHISPFKILNLTPPSHSPLLFHAPNDTFTISSKLFHVASKRRSVRILNARVVGSNELTDESDDEECYEEMGEKKELAEKSVWNQMKEIVKFTGPAMGLWLCDPLMSLIDTAVVGQGSSTELAALGPATVVCDYMTLTFMFLSVVTSNIIATALAKQDREDVQHHLSILLFIGLACGLMMLLSTKLFGAATLAAFTGPKNAHVVPAANTYVQIRALSWPALLVGWVAQSASLGMKDSWGPLKALAAASVINGIGDILLCSCLGYGIAGAAWATMVSQVVTAYMMIQTLNKRGYNAFAFSIPSMKEFLTILSLAAPVYLTSISKVAFFSLLIYVATSMGTQTMAAHQVMIQIYMACTVWGEPLCQTAQSFMPELMYGVNRSLPKARLLLRSLVIIGAILGLLLGIVGTSLIWLFPYIFTSDQMVIQKMHRTLIPFFVALAVTAPTRSLEGTLLAGQDLRFFSLSTCGCFCVSALVLLIFSRYGLQGCWFTLAGFQWARFSVALLRLIFPNGILYSKRPV >RHN71491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:509776:511643:-1 gene:gene7098 transcript:rna7098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RING/FYVE/PHD-type MEIDVASEVSAETETEDGSSQGNVEMDVLATESGSTILPQVSVCLTCRDVGFKEALVYCNKCEVYALHSFTFLNVVSPYTLQLLLFGYCLDGPVIFTYEVFWLCDDCEEEVIDIDYPDQDTSDSENGEVDSSEEQCAIHCLKFRNDKFTGLIGHLSNLACPKVHEVTKRLPEVLDAELLQRSDAWLLVDSGKITSAGVALRWCFWDPSRCFFVQVECSLDLEATQKDTNVTQQYCPNFNLEYNVDFNEMVMI >RHN71380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58606677:58609780:-1 gene:gene20057 transcript:rna20057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MGAMDHISELFDCSGGSSHKHRKQLQTVEVKVKMDCEGCERKVRRSVEGMKGVNQVDIDRKAHKVTVQGYVEPNKVVARIAHRTGKRAEIWPYVPYDVVAHPYAQGTYDKKAPSGYVRNNYDNNQYSGSHLARASSTEVRYTTAFSDENPTACSVM >RHN41689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32081881:32087161:-1 gene:gene48039 transcript:rna48039 gene_biotype:protein_coding transcript_biotype:protein_coding MECIFDYIDSKLLISRAIVHTHCKITLLGEIKEISEQKRKEDDVLLAIAAGNRRPILPNLEFEYPDPEIQEDLYQLIKYSCGEVCSTEQLDKVMKIWTTNLEPMLGVPSRLRVPKDTEDAVEAKKDSAKTGTASIAKGDSSPGVGATVMSPKNTFEQSNSCKEWQTNGVGGVKEDDCLKSDRSVPKTETLGSSTLQGNVHINASIPDEVSRVNKQDHSIEQLVNANVSMSSRVEPSNGRININNASGLAATPSRPGYVYREGGLDLPSSEGADSTRPDTSTNGAIIEDTKAHRCHKESVGHFKSEREEGELSPNGDFEEDNFAVYANSGLEAVHKGKDCNTSQHCQNRREEQICRVAGGENDDESDGSPHRSSDDSENASENGDVSGTESADGEECSREEHEEDGDHDNKVESEGEAEGMADANDVEGDGASLPYSERFLLTVKPLVKYVGPVFHGKEENVQIFYGNDSFYVLFRLHQTLYERIRSAKINSSSAEKKWRASNDTSSTDRYARFMNSLYSLLDGSSDNSKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQAVASGTDEMDNKLLQLYACEQSRKSGSFFDIVYHENARVLLHDENIYRIECVSFYVFHFSAWISF >RHN64454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59213329:59213769:1 gene:gene27273 transcript:rna27273 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAVTGWSLLACFGFCCLHPPAGDSLGLRLLYASVFHFRKGSGLAWLCLLQGVPRWQNLLRFRVFRLYMAPFVFFIPFRLFRVLFVLLVQLIENKFG >RHN49736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:731580:732944:1 gene:gene33943 transcript:rna33943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain, rmlC-like jelly roll MKSICLFFTTFLAMTSFAFTFDPNPLEDFCVIINDIKTWVLVNGKCYKEPKLVTPDDFFFSIKEENISSQLGSQVTLPTVSEILGLNTLGISFARIDFAPKGLNPPHAHPRAAEILIVLEGTLNVGFVTSNPENRLITKVLNKGDVFVFPIGLIHFQHNMGDGNAVVISGLNSHNSRVITIIKEVFGSNQISSELLTKALQVDNNIVDNLQKQFWLDNN >RHN41854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33610598:33611302:-1 gene:gene48217 transcript:rna48217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MTKKTIAARMQQLFRTLTSSSLHTPPLPFLPFDLITDILCRLPVKFLIQFQCVCKSWNSLISDPKFAEKHCRLSTTRLVHTLTFSNLPYKHILKSYPLHSVFIDLTTNQTAHPITQLETPSKYYFYFVGSCNGILCLLACDYAGFVSIRLWNPSIRKFKELPYLQKQEGVMYGFGYDAVTNNYKVVVVLRACYSSGNSFEVNVYTLSTDSWKSLQIYIMPSFKFQGKMCLCLIH >RHN70815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54265523:54266070:-1 gene:gene19437 transcript:rna19437 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVQEDRISPFSADEKEQVCRGISSKKKSVVEWICLQPLKDESIRFISDIKFSLTLLCSRHCLLCVLMNQFPLRLPLIYPLIKLRLPCVLNRGLIMHQWKQLAN >RHN53483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1959538:1961760:-1 gene:gene28393 transcript:rna28393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-coumarate--CoA ligase MEGSIKCNANYVPLTPISFLERSAIVYSDKVSIIYGDVTYTWSQTHQRCIKLASSISQLGVSPNDVVAVLAHNIPATYELQFAVPMSGAVLCTLNTHQDSSMVSLLLNHCDAKILFVDHQLFDIAKGALEILSKSTTKLPILVLILDSDENTSVSSNTLIYENLIAEGKLDFEVRRPKDECDTISISYTSGTTSTPKGVIFSHRGAYLNSLATIIINEMKSTSVYLWCVPMYHCNGWCVPWSIAAQGGTNVCLRNVTDKDIFDNIFKHNVTHMGGAPALLNMLINSPIRKPLSGKVVVMSGGAPPPPDIFSSAEELGFHVTHAYGMTETYGPASICTWKPEWASLPPDAQAKMRVRQGVQHVGMDELDIKDPATMKSVPADAKTIGEVMFRGNNVMNGYLKDLKATQDAFEGGWFRTGDMGVKHTDGYIEVTDRATDVVISGGENISTIQLEGVIFSHPAVFEAAVVGRPDEYWGETPCAFVKLKEGYNATEEEIIQLCQKHLPSFMAPQTVVFGDLPKTSTGKTQKYVLRGKAKAMGSLYKKKTLAD >RHN44509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5550833:5552489:1 gene:gene38637 transcript:rna38637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative naringenin-chalcone synthase MVSVSEIRKAQRAEGPATILAIGTANPANCVEQSTYPDFYFKITNSEHKTELKEKFQRMCDKSMIKRRYMYLTEEILKENPSVCEYMAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIVCTTSGVDMPEADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPVPEIEKPIFEMVWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPGIVSKNITKALVDAFEPLGISDYNSIFWIAHPGGPAILDQVEQKLSLKPEKMNATREVLSEYGNMSSACVLFILDEMRKKSTKDGLKTTGEGLEWGVLFGFGPGLTIETVVLRSVAI >RHN42195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36274136:36274258:-1 gene:gene48608 transcript:rna48608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MCTENGAGPERDELDFEFLGNRTGQAYLIQTNVYKNELDR >RHN46699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34169498:34174178:1 gene:gene41219 transcript:rna41219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-Pl family MVSSQFSGMYKPLLFPVNDPGTATTDHVELDFSDVFGPLTVEVNNIDSTSFESIDESSELVYEDPEVIYTRSHSLVGPSSCISQSLKLSKLTIHESDSDNSLELVERVTEETIEDIKESSVENVIIEESLNDEDGNLMEIRRVGIEDFEVLKVVGQGAFAKVYQVRKKGTSEIYAMKVMRKDKIMEKNHAEYMKAEREILTKIEHPFVVQLRYSFQTKYRLYLVLDFVNGGHLFFQLYHQGLFREDLARIYAAEIVSAVSHLHSKGIMHRDLKPENILMDADGHVMLTDFGLAKKFEESTRSNSLCGTAEYMAPEIILGKGHDKAADWWSVGILLFEMLTGKPPFCGGNCQKIQQKIVKDKIKLPGFLSSDAHALLKGLLNKEAPKRLGCGAKGIEEIKGHKWFKPINWKKLDAREIQPSFRPDVAGKHCVANFDKRWTDMPLVDSPAGSPNGGNPFKDFSYVRPAASFLQNNSPAC >RHN45111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11890479:11892025:-1 gene:gene39319 transcript:rna39319 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQPFLLVRFCTSTTIMIFLSSTDDHFIPHLYDSHFMTSSPPPPSSSSEPASELGCCTFFVSMSSSFITAAAGSVNSDGDKLMLPVLFSSL >RHN38893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2676222:2676938:-1 gene:gene44868 transcript:rna44868 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCLLEHLGFKEENITLRTNLSLFNLVDNKTSVLLNNLCSFITQSKKRDCLLILLAGHGGYHLDEQKKEMVHYFLGPDKQVIRGTVQFTVFLFYFIIYS >RHN68311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34486444:34487738:-1 gene:gene16647 transcript:rna16647 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLFNTVINNKFKVHKHNSSFQMRKAETSNYQLMTKESLIAKRGT >RHN52952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40677410:40678674:-1 gene:gene37694 transcript:rna37694 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFPSNGNIKFGSNLIMNFNRREEKSSGHRECWQVKYIIESSFVNLLLNREFDRDGRVQGLRLQFFDRRYRSELIIFFIDKAENDLLNKIDFESIRQVSDTNTMIQTEKHDSRGFVTKTSIFFHGGGRGLIVVEENSTHIDMNPYKVTVKHYYAVPREDYRSRNKIDIGLSMVVDISVSNNVLVLSVVGPVHHPSSTLLRMIKEVARTGIWKGFETTHEHDSDTGSVNNRVAVVNNNQNVFWGDNNASVNTHYHFHEYKIIDGNTNHYREYKIINGNTSNKVL >RHN47479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40068107:40069159:1 gene:gene42089 transcript:rna42089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase MEWHQISVSALSNLTHIDLHNNSLTSPLPCLSNSVMLETLYLGHNNFTSMLDFCFVWTNSLRTFNLSNNLNLVPWVIPLGLIDSSLLHTLDLEATNIIDSLESGMFDWYPSLHTVFLSNNITRPLPLSLGQIISQQLGGGQNETASSHGGPSKARLTPVWIYRGGSSKKTMKSTDYNAEDFIQSYNMSVPIKHYRYAEVKRMTNSFRDKLGQGGYGVVYKASLPDGRQVAVKVIKESKGNGEEFINEVASISRTSRHM >RHN50596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8400080:8401042:1 gene:gene34902 transcript:rna34902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation protein, beta-barrel MTRFQLPRLIFIHDLDQGGADPWNVVDQVKSKLNHRCAAIQVPICIDDCYVGFVDLVKLKAYFFSSCGLFDLANKLKAYFFRSEIPDYDDAREVPKDMQAFVLKKRHELIEIVSEIDDKISEALHGGSQIPESYLDDAIRRATIARKFVPIIISDERYEGIEVFMEGVIRYLPSPIDVSNYALDQNRNGEKVELSGSIDAPFVAKAFVTWYRMYLKLTYLRIYQGVIKKGDFITNVNTGKKIEIPRLGKRREHDESEEVDKIKEVDEAHAGEIVFVFDAHLESGDTFTDGSVRYIMTSAADVPAYSVSKDCGEKFSNGVN >RHN59662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12601536:12601916:-1 gene:gene21734 transcript:rna21734 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S8 MLTLIRNADMNRKRTVQIPLTNITENIVKILLREGFVENVRKHGESNKYFLVLTLRYRRNRKESYKTFLNLKRISTPGLRIYSNYQQIPRVLGGMGIVILSTSRGIMTDREARLERIGGEVLCYIW >RHN46340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30883458:30883999:-1 gene:gene40821 transcript:rna40821 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKPSNSAGAHCQNMKLNIDDIVPLKTDYLCENETCRIENRNCLSYYRNQKCICGKLLNREKSRKLRKEIGLVNETSTFIVSDDLYVMPNVVITSLNLLQKHGVNDIDAIDKQALNINISKKEVVDLLKLSLVSKLLCPTLYLKSNNLLKL >RHN48375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47062126:47066840:-1 gene:gene43086 transcript:rna43086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol-polyphosphate 5-phosphatase MKIESKKSKSWPKLAVKNWLSTKNSSEKFTSDYSVTGSATETRKSCSDQDSYILVPDNFSGWLKHSTNGVKRSVHGEIKPSTITNPLNLRMFVGTWNVGGKSPNENLDLKNWLISTSPADIYVIGFQEIVPLNAGNVLGSENSGPAAKWLALIHQALNTSNNEIPNQKKRFSLVASKQMVGIFLCVWVRADYRNHVGNLKVSRVGTGIMGYLGNKGSISISMRLYQTTFCFVCTHLASGEKCGDELRRNLDIAEIIKRTKFSHSLGILEHDNIIWLGDLNYRLAAGYDEIHELLKNNNLKALLEKDQLRMEQNAGRIFEGWNEGSIYFAPTYKYLMNSDQYVAQTCKSKEKRRTPAWCDRILWKGEGLNQKMYVRGESKFSDHRPVYSLFTAQVDMTNKNLTRSASTTISRSCPLKPFTNSAALPSTCCAAAKVQAEEQIMLLATRTQSCIDSVSRFL >RHN63766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53900227:53900622:-1 gene:gene26510 transcript:rna26510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase transcription factor WD40-like family MPIQHYSYKCSHHLIFCRDFRTLVDSGDHSGSQLRYDPSTNQTTVLLSNLAVPTGVAISRDGSFALVSEFLTFKVWKVWLKGPRANSSELFMLLAGRPNNIKRNSRGQFWISVNSFLGRLQDVRLCLQESE >RHN70005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47926468:47927938:-1 gene:gene18543 transcript:rna18543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MGREMTILPLMSLVSCGMTVLPLPPSFLLHVFFFSSSQSYFLLSETLKHPLFFSIEPSLAFPHLRFTFSSSKMSTGNSSTGYTYGSLLYGEFETSCGMLPMCRCELPMVIYIANTRANQGRRFWKCRNWMKKNTC >RHN39536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8006766:8007236:-1 gene:gene45567 transcript:rna45567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid binding NABP MLHNMETWRDFGKHIFRLFSQQKQQYELPLLRKSGLLNQGLFGSQPYGLGMPHSGKKISNSSLPSLGFGNPLFENERISHINSTMRSSMGGSGSSWHADIDNNMETCSMSSRTTKPNLLNFSDITDHQFIYDFEPPMLFLVAWLWKSKSIIYAIMQ >RHN44754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8151202:8152176:-1 gene:gene38915 transcript:rna38915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MKTPPNKFLLLHLTLLFLSSIYFSTSNADDVEFSCNANDKATLLKIRDHFGGPNGRLSDWDNSTDCCSDWSFVGCGKPLPGRITVVTISRGWGLSGTLPAEFGDLPYLNFLSLAEMPKVTGPIPNSFSKLKRLQKLDLGSNSLSGPIPTFLGQLKGLQEFDLSNNQLSGVIPASFGSIPSLSQFNVSFNQLCGAIPTGLNKFAKSSFDHNKCLCGAPLAACK >RHN41756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32653996:32658270:-1 gene:gene48109 transcript:rna48109 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKGLFRRYKKWNPVHPTYGAFYGMGFGVGCGVGWGPGFGPEVVGYVGAGCGVGFNVGITLVGFGIGLPANVIFAAPYNALLATKSSALKLARSCGHLSGTQASGDVWIRNVPSVSDFQREAGEKFSCFRQKYLSINVTDFFDMKNSLPLVTTSACKSIQSFHSQLFSPRKGKD >RHN70125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48934068:48935045:1 gene:gene18677 transcript:rna18677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MSKQSNKCYSQDGNEIDSCEQTHVTGWSHQKDTIFIGWKWPQDGWVKLNCDGAHKNSINLSGCGGLLCDSNGICLISYARKIGACDAFHAEMWVMYLGLELVRRRGITHLQVVSDSKVLVDMITGNCNINGSAPTLVRRIREFKDMNWHV >RHN75769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44911896:44915264:1 gene:gene12016 transcript:rna12016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclear cap-binding protein subunit 2 MALLFKDPAKISAYRDRRFPGNQEEFEHALKTSTTVYVGNMSFYTTEEQIYELFTRAGEIKKIIMGLDKNTKTPCGFCFVLYYSREDTEDACKYISGTILDDRPIRVDFDWGFQDGRQWGRGRSGGQVRDEYRTDYDPDILFTVFHKFICYCLHL >RHN60130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21425548:21425964:-1 gene:gene22364 transcript:rna22364 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFLHLYVELNPSRSVLFAPTCSFCSGLVQARPFCSGSVRFVFVMC >RHN63866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54619030:54623088:-1 gene:gene26621 transcript:rna26621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif domain, nucleotide-binding alpha-beta plait domain-containing protein MTLDDDSSIYVGGLPYGATDETIRTVFNLYGAILDVKIINDQRTIGKCYCFVTFTNPRSAIDAINDMNGRTINGRVVKVNGVKSRGGGSGRSNFGRERERYYHHNDERNGDWDRGGRDREIRDQDYDRVRDREIRDQDYDHVNEKDGYRNRGSDWSRGGDWTRQHQRSRDRDRSRDRDRSRDHDRDRDRRVEHMQNYDGQARESMLVRDRSRDRDRDRDRRVEHMLDYDGQARASMLGRDRSRDHDRDRDRRVEHMQDYDDQARESVLDRDRSRDRDRDRGIKVEHLQDYDDQARESMLDRDGSRDRDRDRSRRVEHLQGYDDQARESMLDRDGSRDRDIMVRDRRVEHLQDYDDHRESMLDDDWNRDGDRAENELENSRVQGGDVDKDRTLDVDPDKKNDRISDPDKSFDEHKKEQPRRNIDLNVTNQNSPSDSNGDHNDEVEDELERSIQLLDQLKKEVSKLEEGLEERRLNVMELQKHSKKMEDAVITAKKKSLYRQMQLTKLHECFLQVHDSTERLKTSEKELQALVESILLESDGDGGLGQLTNGSLDGR >RHN59049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7096469:7098013:1 gene:gene21033 transcript:rna21033 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLNVEAPLKNLYQISYPNNSVGNCRLSCIGNVTGFKDGHVEVKWATGLMTKVVPYEIFRIGKHEASTATPVSYETNIEELTEEITEHGSLPSDQKGKIVTMIEINVKSIKERVVLFPCLKLPLNFSL >RHN57995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42996722:42997056:1 gene:gene33599 transcript:rna33599 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAIVSVVLVSLVVAIFLNGYNAEDGGRGNPPKKDDGIYKSQKFGHCIDCMILYKMCLVNPYLWTLHDTFCPSIDHTSNNIASSGKDAPQVVSDIP >RHN44285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3183592:3185940:1 gene:gene38385 transcript:rna38385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRSPCCEKVGLKKGPWTSEEDQKLLSYIEEHGHGSWRSLPTKAGLERCGKSCRLRWTNYLRPDIKRGKFSVQEEQTIIQLHAFLGNRWSSIATRLPKRTDNEIKNYWNTHLKKRLTKMGIDPVTHKPKNETLLPENHSKNVANLSHKAQWESARLEAEARLVRESKLRSQLQHQFGSNTSVFPSQSSSSSSNQVLNIKEEGEKEWKGYEDSTHLLEFKDLMENSSMAFSSTLQHEMTMINVAEEGFTNLLLDNSNSGDLSLSPESGGECNTCDGSGSGGGSDFNEDNKNYWNNILNLVNSSPSDSSMF >RHN56344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30140444:30144980:1 gene:gene31729 transcript:rna31729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MVVNEEINIPKREESGVDSIGTARTTLKQHFVLVHGIGGGGWCWYKIRCLMENSGYKVSCIDLKSAGINQSDADSVLSFDDYNQPLLDFMSSLPENEQVILVGHSAGGLSITHACHKFAKKICLAVYVAATMLKLGFCTDEDLRDGVPDLSEFGDVYQLGFGLGIDKPPTSALIKKEFQREVIFNLSPHEDCTLAAMMLRPGPILALTSARFKESNEAEKVPRVYIRTKHDKVVKPEQQEAMIKRWPPLNVYELENSDHSPFFSTPFILFGVLVKAAAAFDV >RHN44464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5060415:5063369:1 gene:gene38586 transcript:rna38586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MANSRNHKFQCNTTLLHFLFLHLLFPLIASSYNPDYSLAISCGSSTNTTAFDGRIWVVDNIDNSNLFSFIEPKNTNPSLKTKPNSLSNTQIPFTTGRASLSNFTYSFSNIITNSTIFLRLHFYPTSYQNFETSYALFSVEVNNNITLLKNFNPSLWLHHDENHIVKEYSIQIKPNEKLNITFIPNNTNQLSPSYAFINGIEVVSMPSFLYYTNLSDQDYRIKLPGSDNTEYQVLNNKALETVYRVNVGQNQVPANQDTGMFRNWDNDSPRYLEKEYPSSVSSDFTHNLTYKNNVIPNYIAPEGVYLTARSYGMYETEDYNVTWNFEVDSAFTYMVRLHFCEFDWQIKQDGDRIFQIFIDDSLAEPSADVIGWSGAPFVPVHKDYAVTMGSHGGSSQIEKVNLSIKLQRLPKPMPAVYRDVTLNGIEILKISDKNNLFGVNPKPMSFSPKEQVLPTQQWKKSTTKILAVVAVSCLILASVVGITVFARRNIIFNSHTEIEETSWKTKKQGSSSLPSHLCRYFTIAEIKAATNNFEDIFIIGVGGFGNVYKGYIDGVTPVAIKRLKPGSQQGVNEFLNEIELLSQLRHIHLVSLIGYCNEGVEMILVYDFMQRGSLCEYLYGSDNKPLTWKQRLEILLGAARGLHYLHAGAKHNIIHRDVKSTNILLDEKWVAKVSDFGLSKVGPTGMSMTHVSTMVKGSLGYLDPEYYMRQRLTLKSDVYSFGVVLLEVLCARPPLVRSLDKKKASLAVWFQTCYNEGMVIEDMVDPFIKDSITSECLKCYCQMVLNCLHDDGNQRMSMSDVVATLEFALQLEMSEEDSKLVGTKEKEKSEQRIELSHFTDDGSDMCFTSSSDDYGSHTTNSTSTEEQPLFSENFHATVFSEIGNPKAR >RHN81114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43406628:43411846:-1 gene:gene5112 transcript:rna5112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronate decarboxylase MSSELTFRGHETQQVNDEYSPKPNKPWLSVIRPIRYMLREQRLVFVLIGIVIASVFFTIIPSSSTSSSSSFSTRPYESDSISYFDRESKTTPAVYKQRAASVVHSSGKIPLGIKRKGLRIVVTGGAGFVGSHLVDRLMARGDSVIVVDNFFTGRKENVMHHFGNPRFELIRHDVVEPLLLEVDQIYHLACPASPVHYKFNPVKTIISFFIISLSLTPLSEFITNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQETIDPDAKIVYRDNTEDDPHKRKPDISNAKEHLGWEPKVDLRKGLPLMVSDFRQRIFGDHKEGGSDA >RHN64730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61358865:61359868:1 gene:gene27580 transcript:rna27580 gene_biotype:protein_coding transcript_biotype:protein_coding MIELSVLFHINLYFRSVLKMRLFSPSHSPLSWHIRDMGWDGREFHREYPLGRLFRLRLIMFL >RHN56605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32188368:32188888:1 gene:gene32035 transcript:rna32035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol-forming fatty acyl-CoA reductase MGEMLVVNQKDNVPLIIIRPTMITSTNKDPFPGWIEGVRTMDSVICGYGLGKLACFVGNANTVLDTVSVICLDLLSYFILLNTYFLELNMFLVLINIQTFRFIPSKIFLQLLVPIKFSITTFDLYF >RHN44461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4981710:4984181:1 gene:gene38582 transcript:rna38582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MANSINEKFHTKITLLSLYLLLFPLVANSYNPDYNLAINCGFSTNTTALDSRIWVGDNIDNSNLFTFIEPKTTNPSLKSSPNSLSNIDIPLTTARISLSNFTYSFSSITNTPVFISLHFYPTSYQNFDPSNALFSVKVNNNLTLLKNFSPYYEKEKITKEYCIQIKPNEKLSITFIPNNINHSNPYYAFINGIEIVSMPSFLYYTDLNDPKYLLKSLDFDNTEYQIHNDKALEMVYRVNVGQNQVPPNQDTGMFRNWDSDFPLYLEKEYPQSVSTVYGSDHPNYLNNTIPNYTAPEAVYFTARSYGMDVTEDYNVTWNFKVDSSFTYMVRLHFCEFDLLIKNKGDRVFQIFINDILAEQYADVIYWSGGNMIPVHKDYAVKMYSQNGSSEIERVNLSIKLQREPESIYTNYRDVIMNGIEIFKISDKNNLAGLNPIKHIIPSSPNRRSKSKKSPIVMFALVGLSCLLLAFIMGVIVFLRRRRFESQFEMKESHWKINNEGSSILPSHLCRCFTIVEIRAATNNFEDIFIIGVGGFGNVYKGYIDGLIPVAIKRRKLGSRQGLNEFMNEIELLSQLRHIHLVSLIGYCNEDAEMILVYDFMEHGTLREHLYGSDNEPLRWNQRLNILLCAARGLHYLHEGAKHNIIHRDVKSTNILLDEKWVAKVSDFGLSKVGPTGISMTHVSTMVKGSIGYLDPECYLRNKLTLKSDVYSFGVVLLEVLCARPPLDHSLDKEKVNMVALFKKCYNEGVIVEEMVDPFIKDSITSECLKCYCEMVLSCLHGDGNQRMSMSDVVGTLELALKLVMSGEDGKFDATQKGGSKAIG >RHN78699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17653454:17653761:1 gene:gene2337 transcript:rna2337 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNHVLQLLLPGHCWRRLALPLLYLYLRMLLCGVVLLCLFL >RHN57987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42928676:42931411:1 gene:gene33585 transcript:rna33585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MMLSCRVVVVLFVMVFVEVDLAECVKGGVRNYISWEDLIVNEQRLVVKKSNNVQNNVVRVIVVDRNGKGDSKTVQGAVDLVPNGNKQRVKIYIFPGTYRERVFVPKTKPYISFIGRRNLTASPVITWNSKSSDRGPNGQELGTYGSATVAVESNFFCATEITFENTVVASPGGRGMQAVALRVDSDRAMFYKVKIKGTQDTLLDNTGTHYFYKCLIQGKVDFIFGNAKSLYEKCRLQSIAENYGAIAAHHRDSPLQDTGFSFVGCSIRGTGKVYLGRAWGDYSRVIYSNCHMDDIITPEGWSSWNHPERNKTAVFGEFKCHGIGANIKKRVPWSKQFSYGEAKPFLDINFINGNQWLRL >RHN77292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5810152:5810409:-1 gene:gene734 transcript:rna734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MKYLLMGGASSSILVHGFSWLYGLSGGEIELQEIVNGVINTQMYNSPGISIALIFITVGIGFKLSLAPSHQWTPLTFHELKCLLY >RHN51473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18377084:18379003:-1 gene:gene35911 transcript:rna35911 gene_biotype:protein_coding transcript_biotype:protein_coding MEITITEEAIAKACGCSNSGLFQWDVVKSQWEDKINGVLVNGKAKAKTTEMSSIHRMYLKILSECIFQKGGGIDHLSLDHKVVLYCLASFEKINLPKYILYYMCWAIREGQKNERRQIPYGRLLSVIFVQGRLLNYLKNYGVSSDEELGTVTGKIINGRTLQFMNIIKEFHPHNKDLKESTVQSDLMKDFPLISKEDNPEVLYQFITAHFKETGEIISYASIPGTMGGAPLKIKWKRTKIIEKEDAPAPKSKRAKVAKTEASSAPDTIAPEGSKKRRIKGIKDIKEAVKEATEEWVHEENGEEEEHTLEPRKKKAKKPLEIVSPMMILAPAAEFEEQAVKEATGMLQEALKKGKGISEDIASESASGALLSGKSSDLLAHVNSQTLSSSPSSSSSSTDLDDIPLSQHYKKPLPKSKTTKTSNLPQTSSLEPSNIDERTISLSERRIEVCKRLSADHPFEPPIIQTLNVIQPSSEPSPSQPSSNIPQPSSPSTLFSLEKHLGGEMSVTLQKASKIVPKKIVLENQQPPSPIIEEEPEGHIQFQNQPQNDSETQPEIINQRTIQNEIILEQQIASDQPSTSQTIIIP >RHN69845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46741027:46741974:1 gene:gene18366 transcript:rna18366 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLKQAFSPKGYKIKMREFEGENMAYYTVIYVFRDIGWKVGR >RHN38573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:390340:390812:1 gene:gene44528 transcript:rna44528 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFGLDIMDKTVLQKIVLVLVCTFLFWKIIRYMSDLLKVEKEPVTVLVTGAAGMIHLFVFLVEILVNLRKCYVLYYQSHIFVPYYIANKVVFININLKIHRVNCKWRSGLTATIH >RHN50935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11976778:11977122:1 gene:gene35291 transcript:rna35291 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIRILFFFATLVVVVAGTATFNGGEFFLFPSFKNEDTLGNNNIASELVHLSRSNSVIPDDWEIYEEPASPSGEFPVNLDTSSQIHHHLLHPQIHHHLHQLAIRYLLRNRHIL >RHN55228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16286650:16287460:-1 gene:gene30373 transcript:rna30373 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALVDTHIVHFEACPAAATLEESKVFSLQHEDFNGIWT >RHN61171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33636198:33636719:1 gene:gene23607 transcript:rna23607 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKQHQEKPFNPYYRFAVKSVLSKVAPGQFYTKEELKQLEEIEYSLFTSESSMKEEIVMNSSDDEGSTSERRAKPKKKKKEPNEHGNAIVPPPPSLPPELPIRVRNMIAALNGTDIRYVMCKNLFATDLSKGHNRLSLPGGQIFVTKEEKKILDSRDKDDKPVGFEVIVLEF >RHN49596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55852785:55859198:1 gene:gene44443 transcript:rna44443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSEGAEIDDRVDLDEENYMEEMDDDVEEQIDDDGVDGGEDENAEGSVEEHEYEETAAEAGQKDQFPEGEKSDHGAEEDELKPALIDEEEREKHDELLSRPPHGSEVFIGGLPRDTSDDDVRELCEPMGDIVEIKLIKDRETGESKGYAFVGYKTKEVAQKAIDDIHNKEFKGKTLRCLLSETKHRLFIGNIPKTWTEDEFRKAVEGVGPGVESIDLIKDPQNQSRNRGFAFVLYYNNACADFSRQKMSSVGFKLDGITPTVTWADPKTSPDQSAAASQVKALYVKNIPENVTTEQLKELFRRHGEVTKVVMPPGKASGKRDFGFIHYAERSSALKAVKETEKYEIDGQALEVVIAKPQAEKKPDGGYAYNPGLHPNHLPHPGYGNFSGNLYGSVGAGYGVAAAAAYQQPMIYGRGPMPAGMQMVPMVLPDGRIGYVLQQPGVQVPGPRPRRNDRGNGPGGRGGGGGNDDGNRNRRYRPY >RHN68012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32113659:32115203:1 gene:gene16288 transcript:rna16288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant organelle RNA recognition domain-containing protein MSHRVFMKKRSLTQMGTHIVNFLTQHKHQNQQQPLCVFVRSKTTSIQYVNSRARDPTFEKLMDKYKNLLKVIAVHDLILANPKNRSVSVDFLSNLSQRLHLNRGATAFLRKFPHIFNIYYEPSKLQPFCRLTDAALDVSRQEAEAINTSLPLVVERLVRILSMSASKTVPLRAVFKVWRELGLPDDFEESVIAANSSVFQLCDADEQNTHLLKLVGDVPSSGFRAAVEDWRVVECCKDESSVNSMEMRYSFKQRYPPGMRLSKNFRANVKEWQSLPYMGPYEMVSEKKKTSKAGMMAMEKRAVSIVHEFMSLTVEKMVEVEKISQFRKWFGIDFNIRDLFLDHPGIFYLSTKGKRHTVFLREAYERGCLIHPNPVYDARRRLLDLVALERRGLPAVNSKLHDKSCGNEVEQGENMQKHESLSSFD >RHN69834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46656032:46657836:1 gene:gene18355 transcript:rna18355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MSSLNCSRLTMISLVLFVLIIGSANAQLSTSFYSSSCPKLSSTVQSTVQSAISNEARMGASILRLFFHDCFVNGCDGSILLDDTSNFTGEKNANPNRNSARGFDVIDNIKTAVENVCPGVVSCADILAIAAADSVAILGGPTWNVKLGRRDAKTASQSAANTAIPAPTSNLNTLTSMFSAVGLSSKDLVTLSGAHTIGQARCTTFRARIYNETNIDTSFASTRQSNCPNTSGSGDNNLAPLDLQTPTSFDNNYFKNLVQNKGLLHSDQQLFNGGSTNSIVSGYSTNPSSFSSDFATAMIKMGDISPLTGSNGEIRKNCRKPN >RHN46605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33194889:33195701:1 gene:gene41111 transcript:rna41111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTTSLKFVYVAILFLSLLLVVMGGIRKKECRQDSDCPSYFCEKLTIAKCIHSTCLCK >RHN53520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2234733:2236363:-1 gene:gene28435 transcript:rna28435 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGPENIFFMTLIGVGFGDLNSIRMLFFCQMCNASIFPA >RHN43449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45979623:45981183:1 gene:gene50029 transcript:rna50029 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYSKSTKWDCGSTLYDSYELNSFKRQIDSAIANSPRTHSMPHLPQRTLQFQVQPQPQNHEPTSSLVINNKSTFKISRTFQKLVRFVFKSSANKSNKVNSSSSYSNSFRSYPKDQEQRLCMVYDKYESEPILLSTIPELPDFEIAGLSPDISNFVRKSSSERFTAPANIGISCA >RHN67771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30122261:30123408:1 gene:gene16000 transcript:rna16000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQIFKFFYVMTIFIYLFLVSTTVDAGMRCNHVSDCPKDTFCWLDSHMQCIKHQCKCVRIFEPIDPA >RHN77413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6691909:6693793:-1 gene:gene861 transcript:rna861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MKFNFPKPKLLFFFLILSLLCLQSLSSSTIKKHASKTRKTIINDQFKEAPQFYNSPNCASITEPEEDTEHDTGTYICSEEAVHVAMTLDTTYIRGSMAAILSVLQHSSCPQNIIFHFVCSSNTSLLHSIISKSFPYLKFQVYNFDDSIVSGLISTSIRSALDCPLNYARSYLANLIPLCVRKVVYLDSDLILVDDITKLASTPLAEKNAVLAAPEYCNANFTFYFTPTFWSNPSLSLTFANRKACYFNTGVMVIDLEKWRDGDYTTKIEEWMELQKRMRIYELGSLPPFLLVFAGEIVPVDHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWVRLDANRPCPLDALWAPYDLLQTPFSLDS >RHN74748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36358750:36365547:1 gene:gene10856 transcript:rna10856 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHDKPKSSSGHPPQPPSQSQPFPVPPPQYGTFQGVSNFPPPNPGPYPTVGFPHPVPPPGSDPSAPPPPYYPHGYQAFPGYAVAEGRPVRERRLGCCGIGCGWCLFIMGFFLAAIPWYVGAIIMLCSRVDYREKPGFIACIVAAVVATVAIVLGATNVADDW >RHN55417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18112217:18113933:1 gene:gene30595 transcript:rna30595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MTNDSTMKLFGRTIFQKHNTDVPTNDSSSEFSPHLAHEDFSDHSLHSSLSSSSPLEENSSNEHDSKRYKEQSRKEPTSVLDYEEASKQTTEDLNIPTTSETETSLQNSTKIDEQSDMSQDKAPNKILPCPRCKSMDTKFCYYNNYNANQPRHFCKNCQRYWTSGGTTRSMLVGAGRRKNKISSLSSDASHNCQMSTVLTFGSDSPNMSSTSLAKKMNVGSDNETFDKSNQCFFPQQFPWNPAMCYPVSFQPNIAYYGGCLVPSWSVQPITTQSCVPSKPTLGKHSRDGLENNNKESDNNSVLIPKTLRIEDPIEASKGSNWLTLGIKNGGGLFNGFASMVGDRNHVVEADSSVLKANPAALSRSFVFREMI >RHN38801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2123848:2124147:1 gene:gene44769 transcript:rna44769 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPPPNLTPIYLTIMVVVSIAEMTSDRPPQKPQWQHDGIITSSLPGLRYNVFFVVFMTSYISKKMPMAIINKFCILLASQMTCQHVVDWSSQRPPKLI >RHN45219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13142325:13145907:1 gene:gene39450 transcript:rna39450 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQQSEQNQTQLHHNEEDEEEHNNIEQSSSKSPSPPLHSLSDSPISDDHRSLPLVLVQPPLVTAHRFQVEPAVVTRVDPGAEEGFVGFKDVEGEQERDAGGGGGGGGDGGGVEGEREQDATSGGGVKRGLRPDVKSLLRSEKVVSLNKVLLGLRVAGFVFCLVSWSVLAADRKKGWAIDSFYLYKEFRCENPFGLASWCLAWDLGVCSCRLSRFQVRFSPYSLSVNVIGFVYSAVQICDLVKYLITKKHIVEHKLRGYFTFALDQILTYLLMSASSSAATRAYDWESNWGNDKFPFMANASVVLSFIAFAAFALTSLVSGSIVCRFR >RHN43779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48438908:48439204:-1 gene:gene50401 transcript:rna50401 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLDPHTNKKTENNLQRQNNIFIFSYLFVFNNSGQIVAVFGAECESGDTFADGSVRYSMTSVNILTCSVSKDSGGQFSSALNGFQRKDPTFPVGLAC >RHN51504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19056642:19057355:-1 gene:gene35951 transcript:rna35951 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPTKASKTVPGKTVLENQQTETIPEQNVPEQTVPEQTVPEQDASKQVAPDLQTTEPEQQPEPPIIDLTSSDQQTASDQPSTSHTTQSEPSTIPDYILESEYIDEQLIKLSDEIQTLILRRTVPVPPIHYLDQWMDLKKSFDDLLDKLSSKCVSSHSAMLQKMLDDMHEAARVKELSYVPMLDITPFYPEEEYISRATRIHAGYKRRLREKDELLKKKDEQIKYLLEQMYKQTQP >RHN59968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15431731:15432306:1 gene:gene22143 transcript:rna22143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEKGTVESPIMVLPKELMFEILFRDESSNPLELMRVSKLWKSLVLDPYFVEKHMKKSFIDIAFLFAKAMKPWNAFRSQHFIQKEEEVVDKEEGAIEEEKVEEEEERVVDEEKEAIEEEKTVDEEKEKFEKLAKGVVIVLNNDLATLSSIKGKMEALNVEMEVQPMEDRIKRLRSFVLVYIKSTTSSSSCSH >RHN41809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33167900:33170487:1 gene:gene48170 transcript:rna48170 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSPASTEPATMVANSLAFAPGDSVCSGHSISKQNRRSSTRNMNSFTPSISTCNKTPFSGSHGHSVLNSI >RHN39198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4874209:4875474:-1 gene:gene45202 transcript:rna45202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MITHQQQLHFVRSFPSGVRNCYRINVNMGVQYLIRATFLYGNYDNINEPPQFDLHFGPNVWDTVLLSNASRPTIKEIIYIPSKDYIQPCLVKTGKGTPFISTIELRTLGNDSYIGDSKKPVILSHFMRYDLGSITNLEYRYKDDVYDRIWSPYESSDWRRLSTSLNNNALAQNSYKPPAIVMTTAATPVNASAPLQFHWYADSVNDQYYLYLYFNEVEKLAGNKTRAFNITLNDEFWYEPVVPAVIYTTTHMTGAKRYQFSLIKTENSTLPPILNAYEVYKVKQFLQPETQQDDGKLAL >RHN77913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10460317:10460619:-1 gene:gene1418 transcript:rna1418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MITVNIEERHILEMSVTVHDVTNVMNSLEKIKVDDNADTACSMEQCSICLKEFYNETEVPAIVRTKCMHVFHQQCVARWLMQCCISNRLYSCPLCRSEIQ >RHN72693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9799475:9800378:1 gene:gene8440 transcript:rna8440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MTPSPKITPITPPTPITSQPPKTTPAKSPTLTPSGQPTRPVTQPSRPTTPPPKLTPTSPTSTPTTTPPTFPPPTPPSRPFTPPPKLTPTTPPPPPMSPPSTPPPNIGSPPIFTTPPPKLPSITPPFTPTPPSSPPPPPPPPPMFPPSIPPPKIVSPPILMTPPPKLPSTTPPPPPSIPRNCPMGNLHVCANLLNIVIGRPQNQPCCSLINGLADFEASVCLCAAIKTNSIPGVIRINHSIALNTLISRCGRKMPNGFACS >RHN68826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38879456:38880193:1 gene:gene17235 transcript:rna17235 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERELSLSSTLNIEISSMVVMALIDDVLGPWHVKYISCRLSTNYNGSLIVWMTRSLEMGLSGSHQWDPGGNDSVFKHYSTMRVFGEFIYQEIQCLIAIVLVVALLCAVATVVLLKLFMFNCLGDLQATCVKASL >RHN67319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25942564:25950106:-1 gene:gene15499 transcript:rna15499 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRPNFEPFFGPDDWRNRSNLNRMTISELVSELRDTFIQSDFDVVEETLIAREAALKGEIDEKNNEIKLLEEKFDMLMLEKVSVEMELKRVKEEKGNGELGVGRCSGQMVKKRKVGNGVSCGGGGGGGGGDFEGGRVLVKEKRGVGEQGKKDGVGASGDNFDSVDEALVVREAMLKQATEEKVGELLENNQLKSWEGISFEIEVNTVTGGRHGKKIMKVGENDKWFGLDDCKVVKSGAGHEKYKFEGENVEVLAKTLVVREAMLKAAAEDKVRELQENNQFNGWGRLNFEVEVKTVTGERREEDVGKVEVLAKKNRFVGEPGKKDALGSSDTERLSSSRAVNANLETRLAKLTDIISGNNNREEPLGVREAKLKEEIEEKIRLLHRKNQFKSLGIHDFDVEAHKVNGERCGEELVKFRENGDGINVDNGNVLKAELGNERHKLEGVKNSGVVDGEACAVGELKGENVKDSTERKRLACEPSKKDGLGSLDTGEKSSFGAVRRNFATKLAEPNRIFNRNSASSLGIAATLDILEELSSDSSSSSSSSSSSSSGEFDFTFLNSNKKIKTNGDQR >RHN54958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13984379:13984798:-1 gene:gene30069 transcript:rna30069 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIAAERAVAAMMGLLMENAAEGEGGASVLPGPGAGPPGPGAGGEEEVESGVGASEVGVGAGGEVAGAGVAGVGAAAGGGVAVGGVAVGGVAVGGVATGAGVGGVGVADGGCVGAAPGACAKQEVARRPKMRKTCTAA >RHN60308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25080980:25081261:1 gene:gene22605 transcript:rna22605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MQMNNLFGSIPRTFSKGNVFVTIKLNGNQLEGPLPRSLANCSYLEVLDLGDNNIEDTFPSWLETLQELHVLSLRSNKLYGSITCSSTKHPFPN >RHN57751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41485768:41485986:-1 gene:gene33335 transcript:rna33335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin activation peptide MLSFFFHLLHRQPNFSIKKEKTSAKISPTDKITCPTRTVPIRRTTKDDLIRAKSLWNNNILANNARFAPVIF >RHN47723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41957128:41957478:-1 gene:gene42354 transcript:rna42354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MHPEHSHIDEFKRQKREYTGFSHNDLKYVEFRGCVGSINVIELASHLLKSANSLRKMTFSSRDKAYIGAGRWTRDPNDRRKDTSFCGDGGCRWFGENVIHEMLKDEVNEQCQLIIL >RHN41059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26509581:26510477:-1 gene:gene47335 transcript:rna47335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MALRFLFSILTLSLVMLGLANCSNAGKIVIYWGQNGNEGSLAETCATRNYEYVIIAFLPTFIPTQNPMINLAGHCNPQSANGCMALSSDIKFCQSKGIKVLLSLRGDKGSYPLESTQEASLVATYLWNNFLGGNSSSRPLGPAVLDGIDFDIEGGSSNQHWGDLARYLKGYNGKKVYITAAPQCPFPDAWLGNALTTGLFDFVWVQFYNNPSCQYNSDEFNNFEQAWKQWTLDIPAKKIFLGLPASLEAAGSGYIPVGNLTSIVLPAIKGSSKYGGVMLWSRYYDVLSGYSSSIKSYV >RHN82350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53062673:53068324:1 gene:gene6510 transcript:rna6510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative procollagen-lysine 5-dioxygenase MYHHHNHNHNQNGSLERRAQASNGDIAAATTNGNGVVGASNLNPTTRLLGSPRGDHMPDNYEDLPLDFNPLVFTSLERHLPSHILNLSRDLKAHYMSNILLRYLPHSERVRMQKQKEYRQKIILNYPPLHKEIYTMHSEHFFAPSFLRAIKENTEASFRSIMAEPSRGVYTFEMLQPQFCEMLVSEVDHFERWVHETKFRIMRPNTMNQYGAVLDDFGLETMLDKLMNDFIRPIARVFFSEVGGSTLDSHHGFVVEYGTNRDVELGFHVDDAEVTLNICLGTQFSGGELFFRGVRCDEHVNTETQPGEIFDYSHVPGHAVLHSGRHRHGARPTISGNRINLILWCRSSAFREIKKYQREFPSWCGECRRKKKERERVSIAATKEELLKRELKSAS >RHN78376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14542386:14543598:1 gene:gene1923 transcript:rna1923 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLALSSISVFSSRDMVTGNAPSPFKVFSHFCCINTASILTFHNPKSLSPVNFSISHFEPMILDYPLIFAPRGHQLL >RHN67461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27461825:27462697:1 gene:gene15653 transcript:rna15653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKEAVGLLNQMTSKTVTPNIRTFTTLVDGLCKDGEVKKARNVLAIMIKQRVEPNIVTYNSLMDGYFLVKEVNKAKQLFNTISLRGMTPNVRSYKVMINGLCKNKMVDEAANLFKEMHSKNMVPDTVAYNSLIDGLCKSRRIYDVWDFIGEMHDRGQPANIITYNSLLDGLCKNHQVDKAITLLTKIKNQGIRPNIYTYTILVDGLCKNGRLRDAQEVYQDLLNKGYQLDARIYTVMINGLCKEGFLDEALSLLSKMEDNKCTPDGVTYEITIRALFESDRNEILYIFIFL >RHN64376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58593900:58602278:1 gene:gene27190 transcript:rna27190 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSIITLIIPIFLLLTQSESAPQPFKREPGHPQWHHSAFHDVRDSVRSDIRRMLHSRAEVPFQVPLEVNVVLIGFNGDGGYRYNVDPHKLEQLLKTSFPTHRPSCLETEELIDIEHHLVYNVLSAGQPELIALEKALKEAMVPAGKARESDFGREVPLFEVEATAVEPVFQMLYSYIFDMDSVGSSVTEMDKPVPSAIFIVNFDKVRLDPKLKGIDLDSLMYGKIPQLTEEDMKNQEADYIYRYRYDGGGATQVWLSSGRFVVIDLSAGPCTYGKIEAEEGSVSSRTLPRLRNVVGLSSTASYRSSNDIFLGQLASLVSTTVEHVIAPDVRFETVDLTSRLLIPIIVLQNHNRYNIMQKGHNYSINIDEIKAEVKKLLNDGQEVVIIGGTHALHHHEKLSIAVSKAMRGHSLQETKNDGRFHVHTKTYLDGAILKEEMERSADVLAAGLLEMADPSLSNKYFLRQNWMDESEGSTDSVLKHKPLWSSYNLKHDKKKRKNVKKLGDLQPTFGTRVVPVFVLSLADVDPNLMMEDESMVWTSNDVVIVLEHQNEKIPLSYVSEIQRRHAIPSQAQRHILAGIASVVGGLSAPYVKASHVHERPIVNWLWAAGCHPFGPFSNTSHVSQMLRDVALRNSIYARVDSVLRKIRETSETVQGFAAEYLKTPLGEPVKGKKEKSTTELWLEKFYKKTTNLPEPFPHELVERLEKYLNGLEEHLVDMSSLLYDHRLKDAFLNSSDILQSTMFTQQYVDHVLTSERDNMKCCKIEYKYPVHSSQTYIYGGILIAGFIVYFVVIFFSSPVR >RHN41623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31600343:31601163:-1 gene:gene47965 transcript:rna47965 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSEKAQVVEGGFESENNSRKWVIAGIALRAPLKPIQTNSIEKEQQKEEVEIEIEECSTPTNEESKIPTSFTCPPAPRKQKSSLKWNYQSSGVVREFFKAPDLENVFIRHVESAN >RHN51936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28709568:28712316:-1 gene:gene36509 transcript:rna36509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase MTSGGGEPFFHGHRGNILGLDSLMEISTPSSSSKELRRIGKIRMVWEESVSLWGEEGEVHRGRIRVQNSSFLHADELTCFDDAMVICPLESFEDGPFKGFSVDRFVSGQQVKVFGRRKSSSTSSAIVPLDFRDPTEYYMDCLLRISSPQSLPTLFELKHHLQEPPPQNLRFPLRKEVFDDLPQGKEFFFTTTSEPLDCRSIIYDIVGPIIRTNNNINNPSTLPFSSRDSFIGLWDDCINRVVSRFCHDEMVITRKPFLSFSSQSQDTLLLQDEWPNVSGFVNNFCLWRGEECEEFKENIQNPSSTIIQKLLWSYLDLPYILGYYAIGNKVTFCALSKSQEDGKIIRTDLHQVNLTTPSERFKALGPCFRIGILLSMLSKACSNMQKGSFVYSDFERYSFGQGVLIEMTPNTCKRVFLEKRKFSSVKEVYEILDHRIPHSEYLVKVLENNMSLVFKPRGIRAKPLNIEQLVEALKYVTKALVALHDLSFMHRDLCWEKVTMRNDREGGEWFVSGFDEAAGAPELGKYVKDNHEGGMVERGRHAPEMERGLHGVKVDVWSVGYLIMTCGLVNVPKMLRELQNWCMEQNPEQRPTAADCYHHLLQLQSTLLVSGGVVAGSCGVSGGGGLM >RHN46924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35808300:35809595:1 gene:gene41461 transcript:rna41461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNIQSAKSMALSSVVLPDDLIAEVLSFLPVIFLLRFRSVSKSWKTLISNPAFVKLHLKRSATQNPHFIVITSYVKHLLGGGIEVDYSVVPYPMSHLIKNPTETFPLLVDPYYFVSDKECCAIVGTCNGLICLSGENYNPTDDYIEYWLRLWNPATRTTSPKFGQFGEFGKTMGPHGMFNFKFGCDDSSGTYKVVAYRYNHIQLRSVVKIISFGDNVWRDVESFPVDPLDVDCIWGDYCPDEGVYLSGTLNWLAIHNSLDYNFEDITVGQFVIVSLDWRMETYNQYKLPRGFDEVPSKRPTVGVLGGCLCFSYLYVKTDFDFVVWQMKKFGVEESWTQLFKISYQIPGEWFNAEDAIKYCQMVPLLLTENGHVLLKLRIMQQGYASLYNWSENREDKIQFTASRPITNNNITREDARLCWAMDYVESLVSIF >RHN43914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49438278:49440389:-1 gene:gene50549 transcript:rna50549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MWMVGYNEGGEFNMADYPFSGRKLRPLIPRPVPVPTTSPNNTSTITPSLNRIHGGNDLFSQYHHNLQQQASVGDHSKRSELNNNNNPSAAVVVSSRWNPTPEQLRALEELYRRGTRTPSAEQIQQITAQLRKFGKIEGKNVFYWFQNHKARERQKRRRQMESAAAEFDSALEKKDLGASRTVFEVEHTKNWLPSTNSSTSTLHLAEESVSIQRSAAAAKADGWLQFDEAELQQRRNFMERNATWHMMQLTSSCPTASMSTTTTVTTRLMDPKLIKTHELNLFISPHTYKERENAFIHLNTSSTHQNESDQTLQLFPIRNGDHGCTDHHHHHNIIKETQISASAINAPNQFIEFLPLKN >RHN43544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46696646:46702707:-1 gene:gene50145 transcript:rna50145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MTTTLEEGPSAAIAAVASEAGEDKNDGGVNSELWQACAGPLVNLPLPGTHVVYFPQGHSEQVAASLKKDGDVQVPNYSNLPSKLPCTLHSLTLHADSDTDEVYARMTLQPVSSFDMDAILRSDISLKSNKPQPEFFCKQLTASDTSTHGGFSVPRRAAEKIFPPLDFSAQPPAQELVAKDLHGNVWKFRHIYRGQPKRHLLTTGWSLFISGKRLLAGDSVLFIRDEKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHASANNSPFTVFYNPRASPSEFVIPLAKYYRAVYSHQISPGMRFRMMFETEDSGTRRYMGTVIGVSDLDSVRWKNSQWRNLQVGWDEATAGERRSRVSIWEIEPVTAPFFICPPPFFRPKRPRQPGMPDDESFDFSNLFKNTMPWLGDDMCMKDPQAFPGMSLAQWMNIQQNPAMVSSLQPNHVPSMPASVVQNLPGSDIAHQLGFSTQQISQSNNVAFNAPGMPQMPLSTSSGLGAVMQPEQHSRQNLAYQTLPQSQVQTQLLNPQSIVQTNNILQSQQPSIQNNQLHRSLSQNPLQQFQQTIIGQNQPQNMIRPTMPDHINQQLHMSDNQVRLQLLQKLQQQQQTLLSQQSALQQPAQIIQNHDQQRQELDVTHNSRAITPRQVFEIPHTLQNSLLEANSMNHQMTDHSSQNNVQFSHPAKQQKLQHQQQQTQPGSLSKMSSHVGLPSATTANQLSASGCSIRTVATGTVPSVNTDHAPSCSTSPSTNNCVGALPPVTTSQILMSTIGDDVAQSAVTILSQSSNANVVKYAQPNYDVKPCLNISENHNPVNFYPQTCLNGAAIQTDCLDTSSSTTSVCLSQSDSRFHQTDRPVSYYPQSMFSRDNIQNVEVQADARCNVAYGNSMNGQMRMPLNLDSTVTKGTARLGKDLSNDFSSGGLLGGYENNGDAQPELSSSMVSQTWGVPDMTFNSIDSTINDSGFLDSGPWAPRPPPHQFQRIRTYTKVYKRGAVGRSIDITRYSGYDELKHDLARRFGIEGQLEDRQRVGWKLVYVDHENDVLLVGDDPWEEFVNCVRCIKILSPQEVQQMSLDGDFGNGGLPRQASSSSDGGIA >RHN65074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64016866:64022414:-1 gene:gene27967 transcript:rna27967 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSDNTNRPVKTTSDNTSRTVMASADSTSGSVKASSDAEDIVTGGKNNEAANEMKCDWAKILTSEKYSGVFAGRTADELRDKYKNMEKDKYEQMLKDTKKKQQMEGSSRDCMMLLDNGKNNMLEAVLVRNELLRKTLDTMDIAVNIMQAEEEEKEE >RHN73999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23356725:23359381:1 gene:gene9923 transcript:rna9923 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRSDSSSSSWLRWCLVLFAMISALMVCGPALYWRFKNGITLNSKLSSCPPCICHCPPPLSLFQLAPGLANLSVSDCGGNDPELREEMEKQFVDLLTEELKLQESVSEAHTRHMNITLAEAKRVGSQYQREAGKCVSATETCEQAREQAEAMLTKERKITLVWEKRARQMGWEGE >RHN39898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11533899:11538730:1 gene:gene45981 transcript:rna45981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAAALIGGAFLSASVQTLMDKLTSTEFRDYITKTKLNESLMEEMETTLLALEVVLDDAEEKQILQPKIKQWLDRLKDAIFDAEDLLNEISYDALRCKVEKKQDGNITDQFWNLLSTKNSNGESNSEMEKICKRLQTFVQQSNILGLQRTVRGRVSRRTPSSSVVNESVMVGRNEDKDRLLDVLVSDCGTSRYNNLGVVAILVMGGVGKTTLAQLVYNDEKVEQHFDLKAWVCVSEDFDVVRITKSLLESVVRNTTSVNSKVWESNNLDILQVELMKHLKDRRILFVLDDIWNDNYLDWDRLITPLINGETVSKVIITTREEKVAEVACAFPIHKLEPLSDEDCWSLLSKHAFGGEEFLRGKYPNLEEIGRKIARKCGGLPIAAKALGGLMHSKVVEKEWTTILHSDIWNLKNDTILPALHLSYQYLPSHLKRCFAYCSIFPKDYPLDRKKLVLLWMAEGFLDYCHGQKVVEEFGDDCFAELLSRSLIQQSNDDAHGEKFVMHDLVNDLATFISGKSCCRLECGNISKNIRHLSYNQEVRDIFMKFKNFYNFKCLRSFLPIDFSDFNIHLSTKVVVDLLPTLKRLHVLSLSKYTNIFELPDSIGNLVQLRYLDLSSTNIGSLPDTICNLYNLQTLLLSRCQCLTELPVHMGNLINLRHLDISSTDIKELPMEICGLESLQTLTDFIVGKPQVGPSIKELRKFPHLQGSLTIKNLHNVIDATEAEDANLKSKEQIEILNLKWGKLSEDSLKVKVVLDMLHPPINSKGLSIDLYGGTSFPNWLGDSSFSKMVSLYINDCEYCMTLPPLGQLPSLKKLSIRNMLMLETIGQEFYCVKEGKASNSSFQPFSSLECITFVNMQNWKEWLPFEGKFFSFPRLRTLELHSCHELRGHLPSHLPCIEKINITGCAHLLETPSALHWLSSIKKIDINDGMTELSLLGSDSPCMRQHIAIEKCVTLSSVPKLIQCSNFLQDLRLYKIPSLTAFPTEGLPTSLQSLCVHSCENLSFLPPETWSNYKSLVSLELFSSCDALTSFPLDGFPALQRLKLYDCRCLDSIFILESPSCWSSSLRSLEIKSHDSIGSLQVKLRMDMLTALEVLSLNCPELSFCEDVSLPPKLESIEILSERSTPPVTEWGLKDLTALSRLTIGVGDDILNTLMKQSLLPISLVSLTIDCRYKMKPYDGSGLRYLSSLKDLSFWCSEHFESLPENFLPSSLISLKFFFL >RHN52020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30465158:30466693:1 gene:gene36634 transcript:rna36634 gene_biotype:protein_coding transcript_biotype:protein_coding MTHCLQIFDFIHFIEPCLLIVSYFEIRDYVPLVGVPFFKYVLCFYQLCLSAFNVGNLQGIERFLIVNFVHLLCIHILWNWCLFYAICSGKSA >RHN42703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40318159:40324199:-1 gene:gene49183 transcript:rna49183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate peroxidase MVLVLGANNGLDIVVRLVELLKEQFPIISYSDFYQLEASQV >RHN55104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15176597:15178585:-1 gene:gene30232 transcript:rna30232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase, protein altered xyloglucan 4 MRSGKKAQKKAMKMGTSIFLKDKSNLFTKRLIPLTICSLLPITMLLLYFYHLPFSQAPKIEITNSKPIINITSHSASPPSSSYSEKKKGHQNQCDYSKGDWVKDTRGPLYNDTTCGMMKEGRNCMTHGRPDSDYLYWRWKPNECKLPRFEPNTFLQLSKNKHIAFVGDSLARNQLESLLCMLATTSIPKLVYQNGKDNQFSRWYFSSYNSTISLYWSPFLVQGIEKSNTSPNNELYLNHVDEKWAKDMNQMDMIVLSIGHWFLLPAIYHEGGTILGCHYCGLNYTEIGFYDVLRKALRTTLNSIIDRRGNKGNEINVIVTTFTPHHFEGAWDKAGACPKTKPYRNGEKKVEGMDGEMRKIEIEEVVAAKAKGNEFGRLRFEVLDVTKLALLRPDGHPGPYMNPFPFFNGVQEHVQNDCVHWCLPGPIDTWNEIFLEIIKKWEEQPS >RHN47311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38731214:38741121:1 gene:gene41891 transcript:rna41891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diacylglycerol acyltransferase, serine aminopeptidase, S33, alpha/Beta hydrolase MPSAGASFFTGVSSPLFRRDPPQLLRKPRILRMAPRFAISVDRVPATIVTEEKLTMTVAKREEEISTVETEKRWEENEEKERRTGWKEYFEHAKEFIGVADGGPPRWFSPSECGSRLDNSPLMLFLPGIDGLGLGLISHHQKLGRIFDVWCLHIPVADRTSFTDLVKLVERTVRSEYERSPNRPIYLVGESLGGCLALAVAARNRDIDLVLILSNPATSFSRSQLQFVTPLLETLPDSLSPALPNILSLTAGGPLRLVLDNFVKGLPLQNTARELIGDFTTFSSSLPVLADILPKETLLWKLKMSKSASEYANSRLYAIKAQTLILSSGNDQLLPSQQEGERLHKLLPNCELRKFDDSGHFLLLEGSIDLVTILKGASYYRRGKYHDYVSDFIPPTPYEAKEVIESNRLINAVTSAVMLSTLEDGTIVKGLAGIPSEGPVLFVGYHMLLGLELVPLVSRIYNERNILVRGIAHPMMFKRQKNGSLPEISSFDTFRIMGAVPVAPTNLFKLLSSKSHVLLYPGGMREALHRKGEEYKLFWPEQSEFIRMAARFGAKIVPFGAVGEDDLGQVVIDYDDLVKIPYFKSEIEKLTNEAMQLRTGASGEVANQQVHMPGILPKVPGRFYYYFGKPIETAGRKQELKDRENSQELYLEVQSEVERCIAYLKEKRESDPYRSIVSRLFYQATHGFTSDIPTFEI >RHN59249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8767036:8767587:1 gene:gene21252 transcript:rna21252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MWSNPVHCNDLVNFLYGEELEQLSLAFFKLCMVNLTDHYYVNGKFLFQLFKSCKLLEEAILVKCIHLTEAGVASALLEKSTFWFLSFTLYERQQDYAMLFACIRNCPSLREIIFDYKRWVSRIRSVENYNSLMDIVISPQIKSLSLQGSGALKDKNIKMFSEPPEDLLPQLQADSRREMYHRD >RHN58514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2387947:2390186:1 gene:gene20433 transcript:rna20433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA desaturase MALITSQPKNPILHNHFTTLHCSIPQFSRSILNLGPFSNNAKAIKLCNFNSKSRNFPLKNNPFNFNPKIIAKSNAAIGEATVEPEEEVPLKHRKIFLSDVEVKREKEVFFGRKWNSLDIGTFVIVLSMHLLCLFAPFNFNWPAFWVSVALYVVTGLFGITTSFHRNLSHRSFKLPKWLEYFFAYCGVLALQGNPIDWVSTHRYHHQFCDSEKDPHSPIEGFWFSHMSWLFDTNSIAERCGEPTNVGDLEKQSFYRFLRSTYMVHPFALGALLYAIGGFPFLVWGMGVRVVWVYHITWLVNSACHVWGKQPWNTRDLSRNNWWVALLAFGEGWHNNHHAFEYSARHGLEWWELDMTWYLVKFLQAIGLATDVKVPSESQKQRMALNNNNNDVVAT >RHN65977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7201539:7205235:1 gene:gene13886 transcript:rna13886 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIGTWLPLSLPASKNLINLSLSSSLPYMVDSSMRAVDDNLFEGFQLTKPSLLAQGLSPNPNSFFFFARSSSLSSCSLSLHSNGFVVKAETKPNKLVVSGSDSAFSLFSIASVEISSNSWSAS >RHN62068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40456810:40463344:1 gene:gene24599 transcript:rna24599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MAALVSGELPHHGASDGSSCRSSQDKQEEALGRWYMSRKEIEENAPSRKDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILISYEMIHKKDPAAAQRIKQKEVYEQQKELILLGERVVLATLAFDLNVQHPYKPLVEAIKKFNVAKNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRIPQSQGSEAEGVAAGGVRAAARAPATSEEQVSKQISSHSPPQRSSVENNAVPVSRTENQSNDGSAEMGSDITDHKMDSETRDSQTSEKLPDKDKLVGDQEKLVATKEAAELGRNDDTSLNKSSFNVGQNLERREGPLGQSPKEAIKIDKDKVKAILEKRRKERGEMTIKKDVMDEDDLIERELEDGVEITNKMIDKDKVKTALEKMRKERGEMTIKKDIMDEDDLIERELEDGVELAVENEKNKQQRRQSLSKPDDEDHGEDLEEARDRDGFNAEKGDMIDDASSLLNNHHKRKGSPPASQPETKKRLDSNYHNDFSE >RHN69865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46951285:46953680:-1 gene:gene18392 transcript:rna18392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MCMVKVANSKDKVVIKSEFNDHTKLSTEMIFPGLNRDREMSAMISALTHIVSGDVPTQSDADIVHHNSAIEGRVAFATNINNNMSSPSTTQSLSSPSSSCSSLKRTREDDRFVGDFKFLGGSSPALPTTIAESSSINRTTTRITREMGNAVYEYKRTENVVREGEAKRKYRGVRQRPWGKWAAEIRDPFKAARVWLGTFETAEAAARAYDEAALRFRGNKAKLNFPENVTLRNPPPATTTTQWNVSNSPSSIVSITTSTDPVVHTRPFPNSSQHSTNFYDRFQFSGIPPARNVYDDNVIRASSMASHLQSSSSSPASSLSSSSSAFVSSMQGNTSVSSYFSTQLPPWSTSDQSSSPS >RHN44448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4842125:4847614:-1 gene:gene38569 transcript:rna38569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MNNEISGMKTEKEEEQVLNNNNLSPTSNKKRKRKLSKQRKKMKKKLATQQNDTSLNSNIISELTNSAQEDRPEDLQIPSIGKSIGCLKKKLLVLDVNGLLADIVSHPYPKNIKRDAMIAKKAVYKRPFCSEFLNFCFENFDVAVWSSRIEKNVNRFLNYLMGDLKERLIFCWSISQCTVTNISTLEDKRKTVVFKDLRKIWDKYDPNLPWEKGYYNESNTLLLDDSPYKGLLNPPYNSIFPHSFTYRKKKDKSLGVGGELRQYLEKLAKVEDMVKYVEKHPHGQKRISETNESWDFYLKILSLVEPKE >RHN75130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39600878:39606563:-1 gene:gene11290 transcript:rna11290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleolar GTP-binding protein MTKKKERNVNLSGKPKHSNDANRSNDSKTEKRSAATVRRLKMYKTRPVRNRKGHVLSNEYQSKDLPCTRIKPDRQWFGNTRVVNQKELEFFREEMAKHSASNYNIILKGKNLPMSLLNDYQKQSRVHLLDREPFSDAFGPKTKRKRPSLLASDYESLAKKADGSQDAFEEKHASGTAAEADEADGFRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVIDARDPQGTRCYHLEKHLKENCKHKHMVLLLNKCDLIPAWATKGWLRVLSKEYPTLAFHASINKSFGKGSLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYHNNDSETDVVLKGVVRVTNLKDAADHIGEVLKRVKKEHLTRAYKIKEWVDENDFLLQLCKSSGKLLKGGEPDLMTAAKMILHDWQRGKIPFFVPPPRQDALSESEEPNVNGIDVDEAVDHNQASAAIKAIANVLSSQQQRNVPVQGDLYNENELDEETADQLPDTGDYTDEDSDASEEDPSTEVPSEQDPSTDVPSEQLISAEPVPTSES >RHN82266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52426395:52430311:1 gene:gene6415 transcript:rna6415 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPQRISVHHALGSGLVADVLLWKNWRGGVTLLISATTLWYLFERAGYNFLSFVANVILLLVVILFLWAKAANLLNRPLPPLPDLEISEKTIAKLSDVLQIWLNRALSVAHDIAINRNLFLCAEVVGVLLTISYIGSLFNFLTLIYICVLLSLSLPVAYDKYQDLIDEKIHVVHGIIHPQYQKIRRIVLSIIPNRSSKEKKAHVQMVN >RHN43918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49459042:49460896:-1 gene:gene50555 transcript:rna50555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MVKSSESESESESFSKDFVMAGAAAIISKTAVAPIERVKLLLQNQSEMIKRGNLKTPYLGLSNTFNRVFAQEGLLAFWRGHQANVIRYFPTQAFNFAFKGYFKTVFGFSRDKDGYIKWFAGNVASGSAAGATTSILLYHLDFARTRLATDSLECRATGHRQFKGLIHVYRKTISTDGFAGLYRGFGVSIFGITMYRGMYFGIYDTMKPILLVGPFEGNFFASFFLGWSITTVSGVCAYPFDTLRRRMMLTSGHQNKYYNSMHAFREIVGQEGFLALFRGVTANMLLGMAGAGVLAGYDQLNRISSRHSNCNETNQRL >RHN60468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27131161:27133605:-1 gene:gene22781 transcript:rna22781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MEDIFPPGFRFFPTEEELVSFYLNNKLQGVTNAIDRVIPVIDINGVEPWNLPTLAGELCREDKEQWFFFSPGQEREARGGRPNRTTACGYWKATGSPGYVYSSDNKVIGVKKTMVFYKGKAPSGRKTKWKMNEYRAIQVSNQSNTATPQLRREFSLCRIYVISGSFRAFDRRPLERERVDQLPVNEIDQNPFGATSTHQNATSSFEISQLGGLQSTHMPPEVVGGSNSTNWHVNSNNENNGDEVQFQESLWEWDSEHFNWS >RHN77224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5184378:5184758:-1 gene:gene655 transcript:rna655 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKGSRASQRGNEAKGKDEGAKSNACCPYFDNLPSHLTAHILLKLPIKLEPIFKLPLRDAKSIREKRDEIENKSKHPLRAVRLALEKKNKKVMVGKERVYIACNPDHDSFQVVNSCKLLQLLSG >RHN68280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34228919:34230032:-1 gene:gene16614 transcript:rna16614 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQFCKISYSSHQLGSDILRKQNSHLVQSSFRNHSPSYRVLVQDNWGNVLTSNDLLCCLKPNSVETTNIRIVARQFGLVHHVSLGYRAGNEPNQLEYSSELDSKMNSLNLVHEPNELNLS >RHN54606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10738618:10741478:-1 gene:gene29674 transcript:rna29674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MHERVTELNLGGYLLHGSLSPHVGNLSFLINLNLINNSFFGEIPHELGKLLQLQQLYLNNNSFAGKIPTNLTYCSNLKELSLQGNKLIGKLPVEVGSLKRLQILAIGKNNLTGGIPSFMGNLSCLWGLSVPYNNLDGVIPPEICRLKNLTILYADPNNLSGIIPSCFYNISSLIKLSLTSNKILGSLPSNMFHTLFNLQYIAIGRNQISGPIPISIEKAHGLTLVDFGTNNLVGQVPSIGELQNLRFLNLQSNNLGENSTKELVFLNSLANCTKLELISIYNNSFGGNFPNSLGNLSTQFSVLDLGVNHISGKIPAELGYLVGLTVLSMGFNHFEGIIPTTFGNFQKMQKLLLGGNKLSGDMPPFIGNLSQLFDLRLELNMFQGNIPPSIGNCQNLQYLDLSHNRFSGTIPVEVFNLFYLSKILDLSHNSLSGSLPREVSMLKNIPGTIGECMSLEYLHLEGNSINGTIPSSLASLKALRYLDLSRNQLYGPIPDVMQKIYGLEHLNVSFNMLEGEVPTDGVFANASHIDMIGNYKLCGGISELHLPSCPIKGSKSAKKHNFKLIAVIFSVIFFLLILSFVISICWMRKRNQKPSFDSPTIDQLAKVSYQDLHRGTDGFSERNLIGSGSFGSVYKGNLVSEDNVVAVKVLNLKKKGAHKSFIVECNALKNIRHRNLVKILTCCSSTDYKGQTFKALVFDYMKNGSLEQWLHLEILNADHPRTLDLGHRLNIMIDVATALHYLHQECEQLIIHCDLKPSNVLLDDDMVAHVTDFGIAKLVSDIGITSDKDTSTVGIKGSIGYAPPEYGMGSEVSTCGDMYSFGILMLEMLTGRRPTDEFFQDGQNLHNFVASSFPDNLIKILDPHLVSRDAEDGSIENLIPAVNECLVSLFRIGLVCTMESPIERMNIMDVTRELNIIRKTFLSGELK >RHN63473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51529271:51531267:-1 gene:gene26172 transcript:rna26172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRHSCCLKQKLRKGLWSPEEDEKLFNHITRFGVGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDLIISLHEVLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLLKQGIDPTTHKPLTEAYLKEENKITETTVPSMQIPSITSHGSAFLITDSSYYDDNGLTEASREIFTSKQALDPLFCYDFQSGYNLPMSNYHTTLKPCDQSQFGLNSSYGFTSMPSLTNSDHANVSVTEFSDNNSASKINSFFMNDQVKESNSSNSSNMSTIYPSQMRSTMMENNNAGFCWDGSEKNIDPLFQFQVNAIKSEDYGTSSWEEGQLQTHNSIEDFNSYPLTSLSEDLTEANFDVFHHI >RHN80151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35599473:35600342:-1 gene:gene4041 transcript:rna4041 gene_biotype:protein_coding transcript_biotype:protein_coding MATALFASSSPKIATFLSTSSSSSSLHFNTRFYSLPTTSSFSPIITTTRKSIYFQRKSKLWPNPVAATAVEDAAIDASEQLASSTATDDGVSIIVSALFFIAFIGLSVITIGVIYLAVTDFLTKREKEKFEKEEAASGKKKKRKVVRAGPKGFGQKIVQTEDDD >RHN75183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40007105:40007266:1 gene:gene11351 transcript:rna11351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MYAKCGDLSLSRNFFNIMSAKDVVAWSTMIFANGMHGNGKEALFLFEKMLLSI >RHN50585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8300363:8302004:1 gene:gene34888 transcript:rna34888 gene_biotype:protein_coding transcript_biotype:protein_coding MESEHVWRVGACNRNPSLNNDNNIFNGIMLRFRPIAPKPVTGEQTPANQSTTASLPGKRTKRKYVRIRRNNGYIKKNSCKKSSPEEEIVVQHSNSNDEMKRNLAVVTLQLMPEKAAATSNVDLNLNLNLTVENIQILDNLNRSQNNPDLETMNAGKFLDLLPAVEKAVVESWITVESVTGMCMVVEKVKNIETDTCPCFITDGNGKVLRVNDAYKKMVVNEESEEVEVVIWLKVKQSVAWCYSYPAFTCGVRLQYTWRNEKCLKMVPCDVWRLECGGFAWRLDVKAALSLGL >RHN70740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53667541:53670837:-1 gene:gene19354 transcript:rna19354 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASNLPFPDVPFPVVDFGDNSDYSWLHRGVAYWPFLIAGLAVFAFFAISALVYWVIYPEFIKWRHSTPRSAVAPMPVGEVEMLPA >RHN46787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34893317:34901893:-1 gene:gene41315 transcript:rna41315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator FHA-SMAD family MAMEEDKTRGNGGTPRSNGNSPNKQQQNPASILGAKDRIVSVASSFASQPLHNSDPNVWGVLTAISNNARKRHQGINILLTADEHCIGRLVEDVRFQIDSNSVSANHCRINRTKITNENMENTTSIFLKDTSTNGTYLNWEKLKKNGAAVKVCHGDIISFAAPPQHEVAFAFVYREVHVSNPVADNGVAKRKAEDFVSENKRLKGLGIGAAEGPISLDDFRSLQRSNTELRKQLESQVVIIDTLRSDNRAAVERHESELKSAKESITKCYLDQIKDLQQMVDLKQKEVGDVNRAFAEQKHNLEDLNERLSASMQSCAESNELISSQKVNIVELKEQLDEERTQRKEEREKAAADLKAAVHRVQSEAQEEIKRLSDAALKREGELQEAINKLKESEREMCVLVETLRSKLEDTRQKLVVSDNKGRQLETQVHLEKQNTENGMKKVEELEQETRRLRKELESEKAAREEAWAKVSILELEINAAMRDLDFERRRLKGARERLMLRETQLRSFYSTTEEIQSLFAKQQEQLKAMQRTLEDDENYDNNSVDMDGVVGGTSGREKEGVVYHSNNAAKAGSTTSAQKLNRDQVETSSNEASVTEKHDCDIRSEGCQNTQEAIQNTQEAEFTSADHDHGVRDCVGSDVDGVGTTAMMEGDTVGTERVLETESPSNNGERNFDLNKDGPLEGDTMKIDDDMETEKLDQIPMETEKNDRIPCRELSQHSQSNNHIDTQKTIEGAEAGCLLIRTEDLITSEVAASWACSTAPSLHEENEPSKSRDNNEGSGTLNDSNIVVAESPNTPSDAAAARKNERRALSEMIGIVAPDLKEQFEDAACRGGEDHSGSSDSDTESCSDTGDEDGRVITKRGSIISDEETQGVDHVEEDQKQDDSMDEDEEDTEED >RHN68138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33158431:33159611:1 gene:gene16441 transcript:rna16441 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSYLVLLPIFFKCHFWRKFLFLFNCFKVQCNIKCYFINIILSYLLRREKYMK >RHN80963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42260991:42262173:1 gene:gene4950 transcript:rna4950 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNCISKLPTASLQISEFYNLFHVFQLKLLAAPVPLASHFSLKQSWLGLNWFAFVAIFHRKMIPKGQLLLLQSVDIFKFDCENATDFGGEYFHNSFDVLISLLQDPCWVKFEIARIVVSFYNPATFWILVDPLLNDYVQTESMYSQNLAKRLNALNLDLVAIVNFWKMISILVQKNDLQLTSEACFVVDFIQDISYELLIPAFLNIMGWQNFEALVMGVATIFHEQESYHYHPGFLWKINKFVHFFVNWDVCVNATLPTDIPLDIEDDCFASATSQGTRIVHQRRKYVAVFHRKVIQKGNVQAVKVLIKWKTLPLTHGQGCLKGRVLL >RHN47044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36727036:36730755:-1 gene:gene41595 transcript:rna41595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-aminocyclopropane-1-carboxylate synthase MSLENKNHQLLSKIATNDKHGENSPYFDGWKAYESNPFHPTKNPQGVIQMGLAENQLCFDLIEEWIRNNPKASICTPEGVNEFRHIANFQDYHGLPEFRNAVANFMSKVRGGRVRFDPDRILMSGGATGANELIMFCLADPGDAFLVPSPYYPAFVRDLCWRTGVQLIPVQCHSSNNFKITREALEEAHKKAQEENINVKGLIITNPSNPLGTTLEKDTLKSIVSFINENNIHLVCDEIYSGTVFNTPTYVSVAEVIQEMEECKKDLIHIIYSLSKDMGLPGFRVGLVYSYNDEVVNCGRKMSSFGLVSSQTQHFLAAMLSDDIFVDKFLEESSRRLRERREFFTKGLEKVNITCLPSNAGLFFWMNLRGLLKEKTFEGEMKLWRLIINEVKLNVSPGSAFNCSEPGWYRVCFANMDHETVEIALRRIRAFVNGREKGKTVEIKRWKSNLRLSFSSRRFDENVMSPHMMSPHSPMPHSPLVRAT >RHN70116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48890053:48893492:1 gene:gene18666 transcript:rna18666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase, AcpA MGASKIRSSIFLLFLTVSSVFPHPTTYAQKQQPIKNIVVLVMENRSFDHMLGWMKKAINPLIDGVNGDECNPVSTESPRKDTICFSDDAEFVDPDPGHSFEDVLQQVFGNGSIPSMNGFVEQALSVSQNLSETVMKGFKPQSVPVYAALVKEFAVFDRWFSSIPGPTQPNRLFVYSATSQGSTSHVKRQLAIGYPQKTIFDSMHHNGLDFGIYYQNIPTTLFYRNLRKLKYISKFHQYDLRFKKDARNGKLPPLTVIEPRYFDLTGLPANDDHPSHDVANGQMLVKEIYETLRASPQWNETLLVITYDEHGGFFDHVKTPFVNIPSPDGNTGPAPYFFKFDRLGVRVPTIMVSPWIKKGIVVRSPKGPAANSEFEHSSIPATIKKMFNLSSNFLTHRDAWAGTFEDIVGELSSPRTDCPVTLPDVTPLRTTEAKENGGLSEFQSEVVQLAAVLNGDHFLSSFPDEMSKKMSVKEAHDYVKGAVSRFIRASKEAIKLGADESAIVDMRSSLTTRTSIHN >RHN38753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1782906:1786160:1 gene:gene44719 transcript:rna44719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ANTH domain-containing protein MSQSTLRRAIGAVKDQTSIGIAKVGSSASIGDLQVAIVKATKHDENPAEERHIREILSLTCYSRAFISSCVNTLSKRLIKTSSWTVALKTLVLIQRLLADGDRAYEQEIFFSTQRGTRLLNMSDFRDKSKSNSWDYSSFVRTYALYLDERLEYRMQYKRGRSGRFAYDEDEEEQSRESKRERYRERDRDKEIVVRSTPLREMKTDDLFSRMQHLQLLLERFMACRPTGRAKTHRMVIVALYPIVKESFQTYHDMTSILGIFIDRFTEMEVPEYYKVYDVFCRVGKQYDELDLFYSWSKSIGIGRSSEYPEIEKVTTKKLDLMDQFIRDKSLVSQANKLITQEENNEKNEEENEVEEDMNEIKALPPPEGFNEEQVEEEIKEQDQKEEEKIVQTEGDLLDLTDSMTNQDYVGNKLALALFDELPNTTSNTIQALPWHAFDDVSDWETTLVQSSTNLPNQKPSLGGGFDTLLLDSMYNQKPSLQGMNGYGSASSVAIRSEATMLALPAPPTSRNGSQDPFAASMLVAPPAYVQMSEMETRQRLLAEEQAIWQQYAKNGMQGQVGFATQQQPNSNFYMGGYQQNHYGNYYH >RHN77754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9184017:9190324:1 gene:gene1241 transcript:rna1241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MWPVTVILVGFSLLFQQCRSSTTTSGQELSCPFSSCGKISNISYPFRLKDDPKQCGDSRYELACENNVTKLYLYSAKYHVQSINYNNFTIRLVDPEVQQSNCSSLPRHSLSRSNFCNTYDYNNKNCNDPYHANFINQELFFDSNKLLFEHIVYMNCTHQVINNHKYVNASSCLNSNSKSKGYYIYAIAGKLVAQDFQVGCHAKLVAPTSWLGNLQRNQVLSYDIIHKALVYGFEISWMKLPCQKLCGDSLRCFFNSSEQHLQCNDLCRTVMGSWITGSCGIRSQIASIVSDTITGIIQGMYEMTKGENFLLKGIPRYQLGMAIGHYFLPIFFCRFVFGIAFFIALLIYKWRKRHWSMYECIELYLQQQNNSMPIGYSYKEIKKMARGFKDKLGEGGFGTVFKGNLRSGPGVAIKMLGKSKGNGQDFISEVATIGRIHHLNVVQLFGFCIEGSKRALVYEFMPNGSLDKFIFSKEGSINLSYNKIYDIAIGVARGIAYLHHGCEMKILHFDIKPHNILLDENFIPKLSDFGLAKLHPMENSVITMTAARGTIGYMAPELFYKNIGGVSYKADVYSFGMLLMEMAGKRKNLKTNAQHSSQIYFPFWIYDQLRKEEEIDIENISEEDMKIVKKMIMVALWCIQLKPNDRPSMSKVVEMLEGNIERIEMPPEPTMYPDETISRDETTSFDQTTSGDFISSSDSGENMSNPLLENTS >RHN44275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3095187:3099761:1 gene:gene38375 transcript:rna38375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lipoate--protein ligase MSVLGKAREGGIIPLMKVLRLKGMSILDQLHLEERLLRTSSDNWCLINGGTTSPSIVMGLSGKLSELVETKSVLRDHIPIIRRFTGGGTVIVDNGTIFVTLICNKDAVPNVQPFPRPIMSWSGQLYSKVFEGLADFHLRENDYAFGDRKFGGNAQSITKNRWVHHTSFLWDYEAKNMSYLKLPTKAPKYRLTRDHMDFICRMKEYMPRSEFIERTIKAVGDEFSVSPVSLESLNSDSVSEYVHTTKLLTEQEIREASIV >RHN67815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30465051:30465545:1 gene:gene16051 transcript:rna16051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MAASNPIILKFSILLVILLIIEVRAIDPTVTVTIINKVVELPNPQSLIVHCKSKDNDLGNHVLRVGESYSFSFRPVIFPITKNTLFYCSFSWPLKPRLHYLDVYDESNAKCTDCTWKINIDGGCLNNYPCVHWKSVELMDAYNTSKWPETRGFVERGDAQPPTL >RHN68072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32571406:32573064:1 gene:gene16365 transcript:rna16365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MISGLEQDGLTCSSVSPAVGNVAGSHPVTHIQLSDQLSCANDYALKARKPYTISKQRERWTDEEHKKFLEALKLYGRAWRSIEEHVGSKTAIQIRSHAQKFFSKILRDTSASITNTKESIEIPPPRPKRKPMHPYPRKLVETVGTKEISILKKAINSNSLKTSDFDQANQSPKSVLSTLGSESLGSSDSDTPNGSLSPISSISCVRASVFRPAEFKTPSEEEARLDADSAPDEKPLLKLEILSDESVSAKESIAEESSRPTLKLFGTTLIVKDFCKPSSPTIEACKPIPHDIHVRKGEGKLELLGKSTLCETSAISQLRVRVRRETCGKGFVPYKRCMSKNENQSSSATADER >RHN54798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12463062:12464386:-1 gene:gene29885 transcript:rna29885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(ADP-ribose) polymerase, catalytic domain-containing protein MDTKLAKTLDGAALNKKREHATRCVADDSNDKVGSENTVVDIKQEPDVNLDAYTEYAFENLDVDSVQRMFLTGMTFLGFIESDIVEVYRNSGKSMQIRLDLFKMQVDITKEVKGDANVRYAWFASSKEELSTMMEHGFSHYELSPPKCLYGFGVHLATLPHPYVCSLFCDVDENGTKYLVLCRVIMENMELLRPNSDQVRPSGYEYDNGVDNIQCPKYYVVWNININTHIYPEFVVRFKSSLEAEGDVTFL >RHN42723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40467344:40469266:-1 gene:gene49206 transcript:rna49206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exodeoxyribonuclease I MGIHGLLPLLKSIMIPIHIKDFHGCSVAIDTYSWLHKGALSCSTDLCKGIPTTRHIEYCMHRVNLLRHYGVKPILVFDGGLLPMKGDQENKRARSRKENLERAVEHEANGNSTAAYECYQKAVDISPQIARELIQVLKQENVQYVVAPYEADAQMTFLAISKQVDAVITEDSDLIPFGCPRIIFKMDKFGQGVQFQYSMLQKNKELNFEGFNKQMLLEMCILSGCDYLQSLPGMGLKKAHASIKRFKSYDKVLKHLKYNGVSVPPFYEESFRKAILTFQYQRVYDPVSENIVHLANIPEDIGDELDFLGPYPLKILLPFFIEIFDINLLII >RHN55039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14624476:14628441:-1 gene:gene30164 transcript:rna30164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase transcription regulator Homeodomain-LIKE family MIIPVRCFTCGKVIGNKWDSYLDFLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTMEKHEAN >RHN40619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18234040:18234531:-1 gene:gene46789 transcript:rna46789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MKILIFFFVTLFLATCHGNILNHDHGSNNQIFPLRVKSGSGGHYIPKVSCASWRLGVEAHNIINWKTIPQECEKYIGNYMLGDQYRADSKAVNREGYFYAKTLNITTGKDIWVFDIDETSLSNLPYYAKHGFGYDCDLLFSCSSFASSLLDHYNKYDLIQHHD >RHN61788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38384683:38385964:1 gene:gene24284 transcript:rna24284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyketide synthase, type III, thiolase MPQGDLNGSSSANGERVTRAPVPGKATILALGKAFPSQVLPQECLVEGYIRDTKCEDDYVKEKLTRLCKNTTVKTRYTVMSKEILDEYPELFIEGTPTIRQKLEIANPAVVEMATRASKACIEEWGRSPEEITHIVYVSSSEIRLPGGDLYLANELGLKSDVNRVMLYFLGCYGGVSGLRVAKDIAENNPGSRVLLTTSETTILGFRPPNKARPYDLVGAALFGDGAAAAIIGTNPLPNQERPFMELNYAVQQFLPNTQNVIDGRITEEGINFKLGRDLPQKIEDNIEEFCKKLMAKSGVKEFNEMFWAVHPGGPAILNKLENTLKLSGDKLECSRKALMNFGNVSSNTIFYVMEYMRDYLKNDGTEEWGLGLAFGPGITFEGVLLRSL >RHN48685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49467679:49469514:1 gene:gene43427 transcript:rna43427 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSLECIEGTQVYMDGVCHWLCEDDYESLQGHDSPSGPCLVSFDLSNDVFFTTPIPSDLDGCFYVGESWINLVVLNESIALISFHEKTAFFTYQFWVNLLSRNHGPNSSLLDRCIVLSVLSKWG >RHN77746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9151096:9152526:1 gene:gene1233 transcript:rna1233 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKCYKFKEVDLVSLRELALKVKSQTGFRLRYGGLLTLLRTDVEEKLVHTLVQFYDPSFRCFTFPDFQLVPTLEAYSNLVGLPIAEKTPFTGPGASLTPLVIAKDLYLKTSDVSNHLITKSHIRGFTSKYLLDQANLGTTRQDTLEAILALLIYGLILFPNLDDFVDMNAIEIFHSKNPVPTLLADTYHAIHDRTLKGRGYILCCTSLLYRWFISHLPSSFHDNSENWSYSQRIMALTPNEVVWLTPAAQVKEIIMGCGDFLNVPLLGTRGGINYNPELAMRQFGFPMKSKPINLATSLEFFFYTNAPTGQRKAFVDAWSKVRRKSVRHLGVRSGIAHEAYTQWVIDRAEEIGMPYPAMRYVSSSTPSMPLPLLPATQDMYQEHLAMESREKQVWKARYNQAENLIMTLDGRDEQKTHENLMLKKELAKVRKELEEKDELFMRDSKRARGRRDFFDRYCDSDSESDDLPTTSYA >RHN45220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13147075:13151153:1 gene:gene39451 transcript:rna39451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-methylene-furan-3-one reductase MASEITTIKAWTYSEYGHSVDVLKFDPNVPIPQVKDDQVLIKVAAASLNPIDYKRMEGAFKASDSPLPTAPGYDVSGVVVKVGSEVKKFKVGDEVYGDINVKALEYPKVIGSLAEYTAAEEILLAHKPKNLSFAEAASLPLTIETAYEGLERTGFSAGKSILVLGGAGGVGTHVIQLAKHVYGASKVAATSSTKKLELLSNLGADLPIDYTKENFEDLPEKFDVVFDTVGETEKAFKALKEGGKVVTIVPPGFPPSIFFILPSNGAILEKLNPYLESGKVKPVLDPKSPFPFSQSVEAFSYLETGRATGKVVIHPIP >RHN67560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28271908:28274189:1 gene:gene15758 transcript:rna15758 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKTRRLTTCHRHPSQPITGFCASCLTERLSGIESADAEPELRRSKSCSGSRAGDQASSSAVEPRRRSCEILAPPEQNRGTLSDLFNIDDEKKKQKPGFNRCLENESRKSRFEIIDFDGGCDIGRVLVEDENDEEETKTMKEFIDLEIRSGKNAGRDSSRTSFWDAASVFSKRLRKWKRKQKLKRNNADCEGGVVTGNGGGLRSMEVEKQRRRNLRETQSEVGEYGLSLCRRSCDTDPRLSVDDSRFSFDAPRASWDGYLIGKTCSRFSPMVAINDDRVLVEEEEDEEGEEEVVENLESSGGGLHPGDSAETKHYYSDRRRRSFDRSNPRRKSAVIGDVDELRVISNAKVSPATTELFYGAKVLITEEDLRDANLKPKNSVNVQSDCRTGSASKEACDVEIGNDQKGTNKFHKWGKLWNKLGLVQKRKEDKLGEEESVAGDVVNKPIAESWQKLRRVVNGQGSESVSQKLIRSYSVSCKNHSRTAGLVNGLGGGTETKCNVLNGRQELTLQRNRSVRYSTSNVDTGLLRFYLTPLKSYRRSRSGKSNSKDFNPSARSFL >RHN59004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6585926:6588051:-1 gene:gene20984 transcript:rna20984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase METIPLFVICFLIQLFTFQTPTRATLPGTWELLVQNAGIASMHTTVTRFNTVILLDRTNIGPTRKLLPKNHCRFTKTKPDCYAHSVLFDPIKNQLRPLKILTDTWCSSGSFLPNGSLLQTGGDLDGFKKIRIFNPCDSTGSCDWEELNDVELSEGRWYATNQILPDGSIIIIGGRGSNTVEFYPKRENGVVLFPFLQETEDTQMDNLYPYVHLLPNGKLFVFANTKSVMYDFNENRVVRVYPELTGGPRNYPSAGSSVMLALEGEFSEAVVVVCGGAQYGAFLERNTDIPAHGSCGRIMATGSDPVWEMEDMPFARIMGDMVILPTGDVLIINGAMKGTQGFEMGSDPCLNPVLYRPGEPVGLRFMVLNPGTVPRLYHSTANLLPDGRVLLAGSNPHVFYRFVDVEFATELKIEAFSPEYLDSDKANIRPKILEVPETVLYGVGFDVVVSVPLPVVGIIEVNLGSAPFATHSFSQGQRLIKLGVASAMVDGDQRWRIRCTAPPSGMVAPPGYYMLFAVNQGVPSVARWIHMS >RHN60722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29897580:29900891:1 gene:gene23078 transcript:rna23078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxoacyl-[acyl-carrier-protein] reductase MPKQLEPWHHLAGKVVLVTGASAGIGRDFCLDLARAGCFVIAAARRVDRLQSLCDEINGKDGRLRAVAVELDVAADGGAIEKYVQKAWEAFGHIDALINNAGVRGNVSSPLELTEEEWNNVFKTNITGTWLVSKYVCKLMRDSKRKGSIINISSIAGLERGQLPGGTAYACSKAGVNMLTKVMALELGAYKIRVNSISPGLFKSEITESLMKKDWLNNVAIRTVPLREWGTSNPALTSIARYLIHDSSEYVTGNIFIADAGATLPGFPIYSSL >RHN52710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37972806:37978921:1 gene:gene37409 transcript:rna37409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MHTKTDSEVTTTSVATSTSPTRSPPRRPLYYVQSPSHDGEKTVTTSFHSTPVASPHHSSSSTHFSASKKDQQHQHSVNPWKHIDVIEEEGFLEKERNGGYSRRCYFLAFVVGFFVLFSLFSLILWGASRPMKPKIVIKSIKFDHVTVQAGSDSTGVATDMITMNSTLKFTYRNTGTFFGVHVSATPLELSYSDIVIASGNMKEFHQSRRSHRLVSVAVMGSKVPLYGSGASLSSTTGMPTLPVPLNLNFVMRSRANVLGNLVKHKYYKRIQCSITLDPKKLGALVSLKNSCTYD >RHN70541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52177381:52177950:1 gene:gene19130 transcript:rna19130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MESKTIFLVLTLFLCSITIPVNSEYHSEGTLKSLHLKEKVTHLHFYLFDILSGNKPTAVQIAQPDTTVGPKSATPFGHVYAIDDPLREGPNKSSKVIGNAQGLYLSSSQSENLSLVLYADFGFTTGKFKGSSISVFSRNPITETNRELAVVGGRDKFRFARGFVQVKTHSLNYKNGDAILEYHVTLLHY >RHN70663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53061774:53062103:-1 gene:gene19272 transcript:rna19272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 9S-lipoxygenase MPEKRTQEYNQLVENPDKPFLKTITSQFQAVLDISLLKILSGQRETKHLTSNVKALDWRLFEKFDNKLAEIEKRIVIMNNDEKLSKATCMVQSSEGGRTGIGIPNSISI >RHN46265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30220091:30224870:-1 gene:gene40733 transcript:rna40733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-BEL family MAEEGFENYYHIPQQSRREKLRYLSQNQTSFIESSPTLNPSFSPLPSLYDPSLISPLDAINSNPFLYQMNHVYNHGGSNSNNNEVMLLKSEPLSLSLSSNKNNQNQMSAANFQRYGSVVDVSRNTVPLGPFTGYASVLKGSRFLKPAQQLLDEICDVGVRAEKIIADADASLMETNHVIGGMINGVDDEDTLGGDGRKNKSRLLTVLDEVCRRYRQYYQQIHAVITSFEYVAGLGNAAPYASLAINAMSKHFRFLKNVITDQLQFIGKSNYHISNRKDESPRFHNGDGAPYSQSPGFMEHVQQPVWRPQRGLPERAVSVLRGWLFEHFLHPYPSDTDKLMLAKQTGLSRNQVSNWFINARVRLWKPMVEEIHMLESQQSPKESQRDEHSRNNLSENNIAENPSTSTDKFIDVAYKRTRNELHNMSVPNHSIASNQQVGNVGVSMMNNGATSNGVSLTLGLHQNHGIGLSEPFNMSAAQRFGLAHQPDSYAASGFQLQNRQFGRDFIGGQLLRDYVG >RHN70250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50001912:50002401:-1 gene:gene18811 transcript:rna18811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MASEVAKEVNLWAEKETNGLIKTILPAGSIDGSTCLIFANALYFKGAWDEKFNTMDMEGYDFHLLNGSSVKVPFITSRNDQFISVFDGFKVLYLPYK >RHN64970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63361239:63361795:1 gene:gene27854 transcript:rna27854 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVGNTALLSDLTLCASKRIVFRKQCNSSRKLLEEIFLALGHFLHIRLSPLVMC >RHN39081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3977686:3978798:-1 gene:gene45074 transcript:rna45074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MCKFAHDRKILFLTIVKSDIYTYRCEPYDPPAFRAWAVKGWCTAIPPVLKLFNKLIDNGFKVILLTGRDQESLGQVTVDNLHNQGFIAYERLIMRTAAYKGQSAVMYKSNIRKQLEDEGYKIWGNVGDQWSDLQGNSSGNRTFKLPNPMYFVP >RHN61503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35994029:36004522:1 gene:gene23976 transcript:rna23976 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFPYPLHDDTPLSFLSPPTNPIINHFLYPFIFLQSCYFFLLSRFALLLNFEVSA >RHN41384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29679017:29679295:-1 gene:gene47702 transcript:rna47702 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRSNSDHKDSLVLLNASDPKNSRKPKVENIVNKKRKDEKHKNGLSAYEKLYVSNKTRKDSNKRRSFLPYKRQLLGLFTNMNGLSRNLHPF >RHN80938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42041393:42046472:-1 gene:gene4922 transcript:rna4922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hepatocellular carcinoma-associated antigen 59 MENSKEEKPRRKNYRKRTPTEEHDQPPQSQQNNDSDDESERRLALEEIKLLQKQRERKSGIPATLTLQQSQPGISSGLASKAVDKNDAGGDGGDKDDLVLQDTFAQETAVMDEDPNMVKYIEQELAKKRGRNIDEEDQVENELKRAEDELYTIPDHLKVKKRNSEESSTQWTTGIAEIQLPIEYKLKNIEETEAAKKLLQEKRLMVGRAKSDFSIPSSYSADYFQRGRDYAEKLRREHPELYKDRSQQDDNSASKQNESSSDAPGAVQRQAATDQFMLERFKKRERHRVRR >RHN62191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41556697:41560450:-1 gene:gene24741 transcript:rna24741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MNFAWDAMSVKTPGSVNLASQSSIYSLTFDELQSTIGGVGKDFGSMNMDELLKNIWNVEETQALTSLTGGGVGEGPNNPNGGTLQKQGSLTLPRTLSQRKVDEVWRDLMKDSGSSMPQRQPTLGEVTLEEFLVRAGVVKEDTPNHAQQIERPNNNEWFSDFSRSNNNTNLLGFQQPNGNNGDMSDNNNLVPKHVPLPPSSINLNHSQRPPPLFPKPTTVAFASPMHLLNNAQLGNNGRSVGPGVGTLGLSASNITAPVASPGSKMSPDLITKRNLDPSLLSPVPYAINRGRKCVPVEKGVERRQKRMIKNRESAARSRARKQAYTVELEAEVAKLKEVNEELQRKQAEFMEMQKSKEDLVRTNKIKYLRRTLTGPW >RHN65735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4832528:4835586:-1 gene:gene13614 transcript:rna13614 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKAAKISPLLFTCSSSLLQNDSLPVEPFQMHVCQLNQSPWDAIPFHFDKSSIQFEDSLAALDESVASMMETDIVDIDNKTVIENSSIMAIDNNQQCDGNKKVVNNNGGCNKGLKRNSNGGGDIEAPRRGRGRPKKVKADSASVLKSHEE >RHN49059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52145902:52146647:-1 gene:gene43846 transcript:rna43846 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYPVSADEKPSLELVIQTWKSLYGSLSAGFGCSTTTNFSGDLCKLINRWLDENVSMLGSSTAFKLTYNDIDLGVLHLSGNFLICILEQIQTLELVSETSRSKSECDSKILYSIKNCLTFASK >RHN77859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9997739:9998011:1 gene:gene1360 transcript:rna1360 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YB19 MGEEVRMPINHVTRVMQSVLPPDTIITDDAKELMQLCVSKFMDMVTSESFQQANVEHQMIVSADDLLWTMNRLGFEEFVRSLGKDLKQCQ >RHN76399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49945049:49951269:1 gene:gene12719 transcript:rna12719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein disulfide-isomerase MSKSINFLLTLFLLLSFNHVVTQCSEVDEFDELLALDDELEREKEHDGVKSSEAEVLTKAQRIVHELNNENTERIVNGYEFVLVLGYAPWCSRSAELMPHFAEAANSLKEFGNSLVLAKLDGDRFTKAASFLGIKGYPTLLLFVNGTSQPYSGGFTADDIVIWARKRTGTPVIRISTEKAAEEFLKKYHTFLIGRFDKFEGPEYEEFVSAAKSDNETQFVDVSKVELAQVLYPDIKPTGNFLGIVKSEPERYTAYDGAFRLDKIMEFLSYNKFPLVTQLTEMNSVSVYSSPAKHQVFVFANTDDFKNLLDSLQEVARTFKSKIMFIYVDINDENLAKPFLTMFGLEESTNTVVAAFDNGMSSKFLLESKPTRSNIEEFCSKLVQGSLLTYFKSQPIPDNTEAIVQVVVGKTFDEEILNSKKDVVLEVFTPWCFNCEDTSKQVEKLAKHYKSSSNLIFARIDASANEHPKLQVNDFPTLLLYKANDKTNPIKLSTKSSLKELAASINKHVKVKDQVAKDEL >RHN46182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29433599:29436939:-1 gene:gene40626 transcript:rna40626 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSIESFRDLLIKPWKTIPFPTIKSNDHFSLFLKVGLAISTIFLISHFFYLSQNYHQPHTHFYQIASKQMFQPRTPKTLIIESGEAEEPTNISHILFGIGGSSATWQARRHYNELWWRQNTTKGFVWLDTKPSKNETWPETSPPYKVSADTSSFKYTCWYGSRSAIRIARILKESFELGLDNVRWFVMGDDDTVFFPDNLVTVLSKYDHNQMYYIGGNSESVEQDVVHFYTMAYGGGGFAISYPLAAELVKILDGCINRYSEYYGSDQKIQSCISEIGVQITKEPGFHQVDIRGNPYGLLASHPVAPLVSLHHLDYVDPIFPNMERVESVKKLVTAYKSDPGRTIQQSFCYDHTKNWSISISWGYSVELYPYLPTAKYLEMVSQTFKTWRTWSDAPFTFNTRPVSQDSCVKPVVYFMDRIEGLDRGLTRSGYKRYDDGSGKECDKVDYKVAHGIHYVHVSAPMLLPHYWKQAPRRQCCEIINNVDGLNSTVINVKIRGCHRFESVTPR >RHN42798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41067659:41068623:1 gene:gene49287 transcript:rna49287 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAGELGLCPLDSADTYQYSAYDHHLMQQIIRLQALQAKTKFSV >RHN68894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39414660:39414902:1 gene:gene17310 transcript:rna17310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative double-stranded RNA-binding domain-containing protein MFKTKLQQLCHQRRWSLPKYTATNDGPQHKPSFNASVHVNGVTFASSDAFNSAKEAQNQAAMKAFRNFTSPLSGTLSQFF >RHN44787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8465807:8471384:-1 gene:gene38949 transcript:rna38949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Pyruvate dehydrogenase (acetyl-transferring)] kinase MAAIEAFSKSLLQEVHKWGCLKQTGVSLRYMMEFGSQPTDKNLLISAQFLQKELAIRIARRAIELESLPYGLSKKPAILKVRDWYVDSFRDIRSCPEVKDMKDEREFTDVIKAIKVRHNNVVPTMALGVQQLKKELKTKIDSEDLVEIHEFLDRFYLSRIGVRMLIGQHVELHNPNPPPHVVGYIHTKMSPVSVARNASEDARSICMREYGSAPEINIYGDPDFTFPYVPAHLHLMVFELVKNSLRAVQERYMDSDKVSPPIRIIVADGLEDVTIKISDEGGGIPRSGLPKIFTYLYSTARNPLDEHADLGVADSVTTMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >RHN50219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5264247:5274286:-1 gene:gene34486 transcript:rna34486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dihydrofolate reductase-thymidylate synthase MAGDSSAIFNGNGNGSINLQRTYQVVVAATKEMGIGKDGKLPWRLPTDLKFFKEITTTTSEPGKKNAIVMGRKTWESIPLQFRPLLGRLNVVLTRSGTFDIAAAENVVICRSMSSALELLAASPYHTSIEKIFVIGGGEIFREALNAPECEAIHLTEIQSNIECDTFMPPIDTTIFQPWYSSFPKVENNIRYSFTTYVRVRSSAAEYPSQNTDSLFDSNSASKKFEVQNFSFLPKMIFERHAEYMYLNLVQEIISQGTTKGDRTGTGTLSAFGCQMRFNLRRGFPLLTTKRVFWRGVVEELLWFISGSTNAKVLQQKGIHIWDDNASREFLDSIGLSEREEGDLGPVYGFQWRHFGAKYTNMHGDYANQGLDQLLDVINKIKHNPDDRRIILSAWNPADLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHICELVPGDFIHAIGDAHVYQTHVRPLQEQLHNLPKPFPTLKINPKKKDIDSFVATDFKLIDYDPHQKIEMKMAV >RHN75244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40561406:40563850:-1 gene:gene11417 transcript:rna11417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MMQQVQMQKEEVKKLLLSSRNDMAQILNLIDSLQRLGISYQFEHEIDEALEQIHNNFSDNKEMTSEEGDLHFLALVFRLLRQKGHHMSSDIFEKFKNPKGNFNEKISKDIQGMWRLYEAAHLMIHGEDILDEALDFTYSKLNTLETNQVSPFLATQVRHCLKTPLYKRVPRVEIRCYISSYGEESSQSKVLLNFAKLDYNMLQKMHQKEIGSITKWWKKSDFVTKVPYARDRVVEAYFWPLAMSYVPKDSIARKIVAKLISVISLVDDTYDAYGTVEELELFTQAIQRWDISLIQSLPECMQVVFNTIVEFYDEMERTIVEIGKSSLVLPYIKQDFYKVARAYLVEAKWCKAGYIPTYDEYKVNGLASTSIPNLILTFLVFGELSNEELVNWILNYPTIIDAVSIFGRLTDDISTNKFEHERVHVASAVDCCMKQYDISQEEAYKLILKEIEDLWRVMNEECLKLHHIPRPVLECILNFARGTHFIYEDFEDKYTNPDLLKDYIAALLVDPISIEQCE >RHN62144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41113782:41115839:-1 gene:gene24686 transcript:rna24686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MDCTVSFLSLHFVPLGRTLCRNQIITLAANSNRRRKNPTKNLRYPRRDKLPPEFGVNLFLKKPNTTTTEPIHDDDKELNVEESDEEERNLDTDVWESDEIDAISSLFQGRIPQKPGKLDRERPLPLPSPHKIRPLGLPTLKRLPAMVSTRGSMDKKLYKSPSFLVGLAREISRLNPDEDVSMILGKWVHFLRKGSLSLTIKELGQMGYPERALQTFCWAQNQPHLFPDDWILASTIEVLARKNELKVLFNLNKFTGSASRGVLEALIKGFLKGGNLRLAWKVLAIARRDKRMLDPSIYTKLIMELGKNPDRYRHVVPLLDELGARDDLNLNSQDCTAIMKVCAKMGKFEVVESLFSWFKQSGSQPSVVMYTTVIHSRYAEMKYREALELVWEMEASNCLFDLPAYRVVIKVFVALNDLSRAVRYFSKLKEAGFSPTFGLYKDMLEIYMASGRIAKCKEICKEAEIAGFTLHNHLVS >RHN47627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41095660:41101570:1 gene:gene42248 transcript:rna42248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MAPEKEAVLATTLSASESDPPTMFDRSIIKRENPSTVFYEDDEVLAFRDIAPEAPPHIIIIPKSRNGLTGLSKAQVPQFSSPVGLNNITLGEEQQSTQKRKRTRFTPGEDKVLIQAWLIVPKNAAAEANQRVDSFWLRIKNTYNEHRGHFKPRGVSQIKSRWHKLNSIVHKFVGCYKVAFEKKKSGSSESDIMGEAYTLYYQDEGEQFKLEYAWRLLKDEPKWSNTSFEVGGKRTKISASGAYSTTSNLDTPSSCEYNAASPTLLCLTGTEVEERKGKSKSEETSSVVCLCQTGTEVEKGKEKSKSEETSSVNVELIEVKEIPKTKVLVMAEMARVQDEQNKLKEKELNLREREFELEFLFKDISGMKARQQRDHEMLCNVIREKYGIM >RHN40014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12282490:12283703:1 gene:gene46103 transcript:rna46103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVKMKETLYIPYELIIQILLRLPVKSLLCFKCVCKSWFSLISDPHFANSHVDVSSARIVLISRTPPTVGIRSIDFETSINHDSFSLDHNFLLHRGYYFHEIKGSCRGFIFLHCWTNIYVWNPSSRFHKKIPLSPFDLKLHAYHRHHLYGFGYDRSRDDYLVVLLSLCPALVKTSSKLEFFSLRDNKWNEIEGPHITSFNTRDHPKPSKAGLFFNGVLFIEILLPDDFNHGLMYYGLWVFGEFLSLWNMNFDNQTLEIWAMKIYKLRSSWTKTLVIPIGNDMHCFSPIYSTKSGDIIGTDSGHGLVKYNNKGQLLEYLKMNPNASEVAMYTESLLSLPGDREQVTAFVDYL >RHN53469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1850563:1851761:1 gene:gene28378 transcript:rna28378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSSSGAASSAYRGVRKRKWGKWVSEIREPGTKTRIWLGSFETPEMAAAAYDVAALHFRGREARLNFPELANTLPRPLSNNADHIRMAAHEAALRLRANTNMLAPLDNNSGTGCSASSTDAVAPLRVRLSPSQIQAINDSPMDSPPTWMQMSHPFMMDDQNMLYGNGYEFEENEWEDIQQHSDSLWDP >RHN66560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14052637:14053449:1 gene:gene14573 transcript:rna14573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TFIIB MSDAFCSDCKRATDVVFDHSAGNAVCSECGLVLGSHSIDETSELLTFANESVNNHHVRVGGPSNPLLIIDTMTEKLGLVPTIKDRAIEIYKLVANQKYSKARNQDALLAACLYIACRQEDKPHTVKEISSIAIGATKKEIGRAKQYIMKQLALENGGQSVEMGTTHIGDFMGRFCSNLGMNHQAVKAANESVQNSEEFDIRRSPISIAATVIYIITQLSDDKKSLKDISVATGVAEGTIRNSYKDLYPRVSKIIPTWYAKEEDLKNLCIP >RHN82606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55140068:55145175:-1 gene:gene6781 transcript:rna6781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MASPEEVRLDQLPHALLATIMTKLDVASICSLATTASTFRSCAKHILSFLPNFHLIDVAPSGNLLTPLLPRNPYLKSLKVDCDRLDDSSISLLVKPSLHELSLYNCSDFSGKLLSEIGTQCKDLRSLYLGSVAEKRGRSIHISDLEELLTGCSQLEVLILMFDVSLFLRHNLARVWASASEKLTSLEIGYISSVTVIELFSSNLGSHQPLNPIQPSILPGIQKLCLSVNYITDAMVNTISKGLVFLTHLDLRDAPFVEPRITFDLTNAGLQQINQHGRLKHLSLIRSQEFIICYFRRVNDLGLLLMADKCANMESICLGGFCRVTDTGIKTILHSCSRLYKLKVTHGTQLTDLVFHDISATSLTLTHVSLRWCKLLTNHSVFSLTSNKELKVLDLRDCRSLGDEALRAIGILLRLKILLIDGSDITDAGLSYLRSTVINSLYALSLRGCKRLTDKCITVLFDGCGKLELRDLDLSNLPNLSDNGVLELAKSRIPFLDLRMRQCPLIGDTSIMALASMMTDDAGWYESGLRLLDMYNCGGITPLAFRWLKKPYFPRLKWLGVTGSVNRDMVDALARSRPFLYVACNGEELGPDPCDMSDGLYTHDYDEVDEFEQWLLEADIDEADIENDDEEMVDAENEEEPIM >RHN48250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46101100:46103922:-1 gene:gene42947 transcript:rna42947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEVVEEKGPANGKEDYTQDGTVDLKGRPVLRSKTGRWKACSFIVGYEVFERMAYYGIASNLVIYLTNKLHQGTVEASNNVSNWAGSVWMMPLVGAYIADAYFGRYWTFVVASCIYLLGMCSLTLAVSVTSLRPPECARGVADQNCPRASPLQRGVFFLALYIVAVGTGGTKPNISTMGADQFDEFEPKERTYKLSFFNWWFFSIFLGTLFSNTFVIYIQDRVGWTVGYGLPTAGLAVSVLVFLVGTPLYRHKLPSGSPITRMLQVFVAAMRKGMTRVPEDPKELHELRIEEYASNGRNRIDRSSSLSFLDKAATKTGQTSPWMLCTVTQVEETKQMTKMIPILITTLIPSTLLVQSTTLFVKQGTTLDRRMGPHFDIPPACLTAFTTIFMLISIVVYDLAFVPMIRRYTKNPRGITLFQRLGIGLVLHIAIMVTACLAERKRLRVARENNLFGRHDTIPLTIFILLPQFALAGIADNFVEIAKLEFFYDQAPEGMKSLGTSYFTTSLGLGSFLSTFLLSIVAKITQRHGHKGWVLDNLNISRLDYYYMFMAVLSLLNFLCFLVVAKLFVYNVDVRQNKSSLEMNPATSQNSARISKNTPQQDANS >RHN79232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26295974:26297655:-1 gene:gene2984 transcript:rna2984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRGKNMAKTIKCLNVLILFLYMFLVLTLLDFGSSTTPTPCRTDQDCPRKKKFSVTCRKGFCAEIRHVY >RHN57063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36205896:36209587:1 gene:gene32555 transcript:rna32555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L35A MNLCCFRRTKTNRDRGSFFIFTPIKMVKGRQGERIRLYVRGTVLGYKRSKVNQYPNTSLVQIEGVNTKEEVAWYAGKKLAYIYKAKVKKDGSHYRCIWGKVTRPHGNSGIVRAKFKSNLPPKSMGARVRVFMYPSNI >RHN48407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47269256:47271362:-1 gene:gene43120 transcript:rna43120 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L22 MALSLTAINLPPPPVRDNALASQFQFRPNLLKFPKIPSSSSSFNGISLKTVTPDNNNPFACHVSSSQFGVQESNKSYAEAVAVGKHIRMSADKARRVIDTIRGRPYEETLMILELMPYRACETILKIVFSAGANASNNLGLSKSSLVISKAEVNEGRTMKRTRPRAQGRANQILKRTCHITITVKGLPAESVVEASSS >RHN81807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49026672:49036375:1 gene:gene5897 transcript:rna5897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinacetylesterase/NOTUM MIHNSFSVTMNFAVAFALFYLITVESWRVHSQEPKKLYVNMTLVNNARETGAFCLDGSLPAYHLDRGFGAGEDNWLLQFEGGGWCNDLKSCLERAKTRRGSTNYMTKYETFNGILSNNATVNPDFYNWNRVKLRYCDGASFTGNRVFNNGTTKLYFKGQNIWEAIIADILPKGLGKARKALLSGCSAGGLATFHHCDNFTKYLPTNASVKCLSDAGFFLDGRDVSLNHTMRYFFKSVVTLQGSVQNLNKNCTSAMSSYPDLCFFPQYVLKYISTPYFILNSAYDVFQFHNILVPPSADPHGHWNHCKKDPAACTPTEINTLQGFRLSMIAASKPIYFYSNRGGIFINSCFAHCQSESQDTWSGADSPRIINTTIAEAVGDWYFCRNKSKAIDWPYPCDTTCRNLIPVLHNLIP >RHN79305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27373088:27374104:-1 gene:gene3080 transcript:rna3080 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCRWMSKEGIRGDILETIIWPEQGRIRIPKQYYKDNKKEFNLKAPGMLRNAEEITITTHFGKDDVGVYMTPGVRVAKVFGCEVPTRVRLDYDGAKNFFRLTRLGNILVPNVFPARVKEEPIQIVSDNEMEEEEDEDETEEEDETEEEEEAEHEEEEPENLNFYHFGKTVTKALASIKKLQVLVLKKRYLRMLMEDVETRKTYQCWVRTAQERTMRRVYVVDGFNLLLTVV >RHN75866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45678003:45705552:-1 gene:gene12122 transcript:rna12122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-CTR1-DRK-1 family MEETREDAGSTEQGPSNANISWWPSDFVEKFGSVALDSQDQTVNNNESPRHSDKDVLSPLRASQILWRTGMLSEPIPNGFYSLIPETRLKELFDSIPSLDELHALGGEGYRADIILVDVEKDKKLSMLKQLIVALVKGLNSNPAAIIKKIAGLVSDFYKRPSVESPAKAAFDETSHILENRGVQMLGQIKHGSCRPRAILFKVLADTVGLESRLMVGLPNDGAVECVDSYKHMSVIVELNSVEMLVDLMRFPGQLLPKSTKAVFMSHISAAGESDSAENDSCDSPLEPNSPLFGVSESAEKEESLQFNRRSEVSSNVTSLSLRNMMLRSNSSLSLSHSEPNIATAFGRRSRRKAIAEQRTASSSPEHPSFRARARSMLSGDRTEFRDFADDQATSRSSYRSDGASSSEARRIRRRSISITPEIGDDIVRAVRAMNETLKQNRLIRERGDDNSFSHYPSNRSSDADLHKNASNFHLDGHDERSTLYSFQRDQVTSQKAMSLPSSPHDYRSQASERSELSRYGVNDEMESTWNKVLESQMFNGKPLLAYEAWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMEMGSLYYLIHLSGQKKRLSWRRRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMLDPPIRDSSSAGTPEWMAPELIRNEPFDEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYSVAHEGSRMEIPEGPLGRLISDCWADAHERPSCDEILSRLVDMEYSLC >RHN65507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2773585:2777471:1 gene:gene13352 transcript:rna13352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAETAVISALGEVFQLLKVKTNLLRGVHKDFLDIKDELESIQIFLKDADRRAADEAETNEGIRTWVKHMREASFRIEDIIDEYLRLIHTANPPGSGSVFNKITSPIKTLIPRHKIASEIQDIKLTIHGIKERSVRYNFQISNEQGSSNSSNTTEEKENGRWRDPRLSSLFIEETEIVGFEGPKEELYGWLLEGSAERTVISVVGMGGLGKTTLAKLVFDSQKVTTQFDCQACIVVSQSYTVRGLLIKMMAQFCQETEDPLPKKLHKMDDRSLITEVRKYLEHKRYLIFFDDVWQEDFSDQVEFAMPNNNKGSRIIITTRIMQVADFFKKSFLVHVHNLQLLTPNKAWELFCKKVFRYDLGGRCPPELEAVAKEIVQKFKQLPLAIVAVAGLLSTKSKTMIEWQKDFAIHHRRLTQQWAAEGFVKSDGRRTPEQVAEEYLSELIQRSLVQVSDVNFEGKVHTCQVHDLLREVIIRKMKDLSFCHCMHDDGESLVVGKTRRLSITTSHNNVLKSSNISHFRAIHVFHKSVSLEHFVGKLCSKSRILKVLDIEGTSLNHIPKNLGNLFHLRYLNLKSTKIKVLPKSVGELQNLEILDITYTLVHEIPREINKLTKLRHLFALHRNYEEKYSLFGFTSGVKMEKGIKNMASLQKLYYVEVNHGGVDLIQEMKMLSQLRRLGLRHVRREHGEAISAVIVELKHLEDLNITTIGEDESINLNFVSSPPQLQALHLKAKLDTLPEWIPKLEYLVEIKLALSYLKNDPLQSLKNLPNLLKFGLWDNAYDGEILHFQIGGFLKLKRLNLRRLNRVNSILIDEGTLISLEYLNMDRIPQLKEVPSGIRSLDKLKDINFTEMPSEFVESIDPDKGKDYMIIKHVPLVSIHHSSGPKFYDYAIRTIHSSSKQS >RHN82261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52402557:52405442:1 gene:gene6409 transcript:rna6409 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CAD1 MGSIEVAERTTVGLAAKDPSGILTPYTYTLRNTGPDDVYIKIHYCGVCHSDLHQIKNDLGMSNYPMVPGHEVVGEVLEVGSNVTRFKVGEIVGVGLLVGCCKSCRACDSEIEQYCNKKIWSYNDVYTDGKITQGGFAESTVVEQKFVVKIPEGLAPEQVAPLLCAGVTVYSPLSHFGLKTPGLRGGILGLGGVGHMGVKVAKAFGHHVTVISSSDKKKKEALEDLGADSYLVSSDTVGMQEAADSLDYIIDTVPVGHPLEPYLSLLKIDGKLILMGVINTPLQFVTPMVMLGRKSITGSFVGSVKETEEMLEFWKEKGLSSMIEIVTMDYINKAFERLEKNDVRYRFVVDVKGSKFED >RHN59891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14480136:14480435:-1 gene:gene22058 transcript:rna22058 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAISKMSAENMELKERLKTNEELIRASQEESRLAREQAQQSQEDSRLLREQFQKLMESFT >RHN65848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5773340:5778774:-1 gene:gene13740 transcript:rna13740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MQNHNMVLMLIVCTFLFSSMPALSKLKTLTPNQYIQYNETLVSAIGTFEAGFFNFGDPQRQYFGIWYNSILPRTVVWVANRNTPVQNSTAMLKLTDQGSLVILDGSKGDIWNSNSSRTVAVKTVVVQLLDSGNLVVKDVNSTQNFLWESFDYPGDTFLPGMKLKSNLVTGPYRYLTSWRSPQDPAEGECSYKIDTHGFPQLVTANGAIFLYRAGSWNGFLFTGVSWQRVHRVMNFSVIFTDKEISYQYETLSSSIITRVVLDPNGISQRLQWTDKTQDWAALAKRPADQCDAYTFCGINSNCNMNDFPICVCLEGFRPKFQLKWEASDWSGGCVRKTHLNCLHGDGFLPYTNMKLPDTSSSWYNKILSLEECKTMCLKNCSCSAYATLDIRYGSGCLLWFDDIVDMRIHQDQGQDIYIRLASSELDHKKNKQKLKLAGTLAGVVAFIIGLNVLVLVTSVYRKKLGHIKKLFLWKHKKEKEDGELATIFDFSTITNATNNFSVRNKLGEGGFGPVYKGVMVDGQEIAVKRLSKTSGQGTEEFKNEVKLMATLQHRNLVKLLGCSIQQDEKMLIYEFMPNRSLDFFIFDTTRSKLLDWTKRLEIIDGIARGLLYLHQDSTLRIIHRDLKTSNILLDIDMIPKISDFGLVRSFIGEQAEANTNRVMGTYGYMPPEYAVHGSFSIKSDVFSFGVVVLEIISGRKNRGFRDPLHRLNLLGHAWKLWIEGRPEELMADILYDEAMCSEIIRFIHVGLLCVQQLPENRPNMSSVVFMLKGEKLLPKPSEPGFYGGRDNDINNNTISTGSSSKGCSVNEASISLLEAR >RHN58802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5012795:5015652:-1 gene:gene20749 transcript:rna20749 gene_biotype:protein_coding transcript_biotype:protein_coding MDLISVYPPKIQQVLRLYESYQVTEQLESHKHMNDAPMKPKVDFLRKEINFMWRGDQFSVKSMFILM >RHN70721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53447647:53449445:-1 gene:gene19333 transcript:rna19333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdate transporter 1/2 MASSNPQTLPTTTPTRLTTIQKVKNNLNFHSTLSELNGAMGDLGTYIPIVLSLTLSKNLNLGTTLIFTGFYNFLTGAMYGVPMPVQPMKSIAAVALSDPSFGIPEIMASGILTGAVLLVLGFTGLMKLAYKLIPLCVVRGIQLAQGLSFALTAIKYVRKVQDLPKSKSLSNREWFGFDGLILAIVCVFFVVVVNGAGEKENEFDETEEELGDSIEGNERKKSGRSFKKIVFSLPSAFIVFVLGVILGFIRRPNVIHEIKFGPSNIELVKFSKHAWKQGFIKGTIPQLPLSILNSVIAVCKLSSDLFPTKDFSVTSLSVTVGLMNLLGGWFGAMPCCHGAGGLAGQYKFGGRSGGCVAILGAAKLVLGFVLGSSLAHFFKQFPVGILGVLLLFAGIELAMACRDMNNKEDSFVMLLCTAVSLVGSSAALGFLCGMVVFGLLKLRNLTSFKSLITIWKHEGQEQV >RHN45565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22940765:22942691:-1 gene:gene39932 transcript:rna39932 gene_biotype:protein_coding transcript_biotype:protein_coding MILLNKHPFSPTQHFRKKILNKSLIIQCHCISLKFLDLCTINDVWPTKSKERE >RHN66819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19303362:19315513:-1 gene:gene14913 transcript:rna14913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MATFTLHKETVQSWLPLHRTHIVFHFICILFLFYYRIKNLFISYPWLLMTLAEIILSFMWVFNQAFRWRPVTRSVMTEKLPAEEKLPGLDIFVCTIDPEKEPTVEVMNTVVSAIAMDYPPDKLSIYLSDDGGSTITLFGIKEAFQFAKVWVPFCKKYGVKSRCPKIFFSPLGEDEQLPTHEFEAERDQIKSKYEKMEKYIEKLESDPKNLRVVNDRPSLIEIINDEKEMPLVVYVSRERRPDVPHRYKGGALNTLLRVSGLISNGPYVLVLDCDMNCNDSSSAKQSMCFFLDPETSKDLAFVQFPQMFHNLSKKDIYDSQARNAFTTRWKGMDGLRGPGLTGSGNYLSRSALLFGSPKQKGDYLLDAQTNYGKSTMYVESLKAIFGQQTTNQNASRDVSLQEASVAASCTYESNTNWGTEVGFSYAIKLESTVTGYLLHCRGWRSTYLYPKRPCFLGCAPTDMKEGYLQLVKWTSELCLLGISKYSPFTYGISRLPIIHCLTFCYFTTTTQYTIAYTLYGIIPQICFLKGISVFPKVTEPWFIVFTLLYVSTQIQHYIEVISSGGSSRIWWDEQRSWIVKSIGCFFAIIEATKKWFGLNKGKFTLSNKAVDKDKVKQYEQGKFNFEGATLLMAPLIVLLIINIVCFFGGLWRLLNKKDFDEMFGQLFLISYLIALSYPIIEGIISMKRKVG >RHN66510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13225514:13225843:-1 gene:gene14513 transcript:rna14513 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYNGGTYLCDQHHQYNGLFIILCQNLITSSMQMRRPGDFHLSLHYMSFRILKEALLCRSFCSSVNTRETTTSVIGGCQTSNMEVQVCNEQVDAELASAATSGYVFRL >RHN75659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44130896:44131763:1 gene:gene11894 transcript:rna11894 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSRAWVAAGSVAVVEALKDQGICRWNHTLKSVQNHVKNNVRSFSQAKKLSSSSSSAMVSNSSKRQREKTKQSEESLRKVMYLSCWGPN >RHN41347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29330071:29330615:1 gene:gene47658 transcript:rna47658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MYLVHMFFYAFIIFLSAPLPSVRSDFPCKTKADCLQHIYYIVECIFGFCQYFKPLKHSV >RHN39678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9516713:9518944:-1 gene:gene45725 transcript:rna45725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MVQGEKKQENGHKKIDYDMEEKIDYVFKVVVIGDSAVGKTQILSRFSKNEFCFDSKSTIGVEFQTRTVTINGKVIKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDITKRQSFDHVAKWVEELRAHADNSIVIMLVGNKGDLVDLRMVPTEDAVEFAEDQGLFFSETSALTGVNVEGAFMKLLEEINSVVSKKALECGNVKGIALKGSKIDVISGAELEISEIKKLSSCSC >RHN47766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42373613:42378052:1 gene:gene42405 transcript:rna42405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase transcription factor WD40-like family MFHFPQSILNMDSKYSLPAATFLTLFCIFTLFSPVLVAGSMHNLHSARLLHVTRAIGPESLVFDSHGGGPYTGVADGRILKWKGKKRGWTEFAVTSSNRSQCVRPFAPKLEHICGRPLGLRFDKKNGDLYIADAYLGLKVVGAAGGLATQVATEAEGHPFHFTNDLDIDENEGVIYFTDSSTVYERTQYTLLLVSGDKTGRLMKYDKSTKEVKVLLRGLAFPNGVALSKDGSFLLVAETSNCRILRLWLHGPKAGKVSTFVDLPGFPDNIRRNSYGQFWVALYSSAVKLSDEGEILETLEDFERKTMKNISEVEEKDGKLWIASIVTPYIGVYSL >RHN64738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61421457:61427466:1 gene:gene27589 transcript:rna27589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADPH--hemoprotein reductase MQDSSSMKFSPLDLMSALIKGKIDPSNGTVPASLILENREFVMILTTSIAVLIGCVVVLIWRRSNSQKPKPIEVPKRVIEKLPELEIDDGTKKVTVFFGTQTGTAEGFAKAIAEEAKARYEKAKFKVVDMDDYAADDDEYEEKLKKETMALFFLATYGDGEPTDNAARFYKWFEEFEGEEDSFKNLQYGVFGLGNRQYEHFNKVAKIVDDKLLEKGGNRLVPVGLGDDDQCIEDDFTAWKEELWPALDQLLRDEDDATVATPYTASVLEYRVVIRDQLDATVDEKKQLNGNGHAVVDAHHPVRANVAVRKELHTPASDRSCTHLEFDISGTGVVYETGDHVGVYCENLSDTVEEAERILGLSPDTYFSVHTDDEDGKPLGGSSLPPPFPPCTLRTALAKYADVLSSPKKSALLALAAHASDPSEADRLRHLASPAGKDEYAEWVIASQRSLLEVMAEFSSAKPPIGVFFASVAPRLQPRYYSISSSPRVAPSRIHVTCALVHDKMPTGRIHQGVCSTWMKNSAPLEKSQDCSWAPIFVRQSNFRLPADNKVPIIMIGPGTGLAPFRGFLQERLALKEEGAELGPSVLFFGCRNRQVDYIYEDELNHFVHGGALSELIVAFSREGPTKEYVQHKMIEKASDIWNMISQGAYIYVCGDAKGMAKDVHRTLHTILQEQGSLDNSKTESMVKNLQMTGRYLRDVW >RHN70571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52375686:52379847:-1 gene:gene19164 transcript:rna19164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MEPTCVDTSLNLNVIPSRDKPVAAVADQVLVEELERLSSENKRLTEKLNHMCNNYIALQKHLSQFSQTNFENELVSPISRKRKAESVENCFNRFGYAIAESSTITTEEETFKHNNIISPKVSKVLVQTDASNTGLYVKDGYQWRKYGQKVTRDNPSPRAYFKCSFAPGCPVKKKVQRSVEDQNVLVTTYEGEHNHAHHQPEMSLTSSNQSETTPTYNLVPASSSSPINWRTAQASKLDLVQPRQLVVDDSHKSSIQQLLVQQMATSLTRDPNFAAALATAISGRIIILDVENPK >RHN53635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2960753:2961807:1 gene:gene28562 transcript:rna28562 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGLFLAIFPTVLVLLWLLHQKGLFDPLYDWWEDICGADEKQFIMDRHRVKINQTHHHIHDNKHRKQEVRHLNHRAPNRRKTSYEHNHKHKHSEGNSDYFNHLHHVQKETHKHRHRKHVDNLQNIDDNHPAHHKHRKEQDPSTGLIKDLRHDKIRHANYGKHKQVLVYDPGAE >RHN71615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1376410:1381268:-1 gene:gene7232 transcript:rna7232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MANTKNREPKVSSVFLCFSIFYVAVLLNCNHVYGQTSTVFACDVAKNTNVSSYGFCDKSLSVEDRVSDLVKRLTLQEKIGNLGNSAVEVSRLGIPKYEWWSEALHGVSNIGPGTHFSSLVPGATSFPMPILTAASFNTSLFQAIGSVVSNEARAMYNVGLAGLTYWSPNINIFRDPRWGRGQETPGEDPLLSSKYAAGYVKGLQQTDDGDSDKLKVAACCKHYTAYDVDNWKGVQRYTFDAVVSQQDLDDTFQPPFKSCVIDGNVASVMCSYNKVNGKPTCADPDLLKGVIRGKWKLNGYIVSDCDSVEVLFKDQHYTKTPEEAAAKTILSGLDLDCGSYLGQYTGGAVKQGLVDEASINNAVSNNFATLMRLGFFDGDPSKQPYGNLGPKDVCTPENQELAREAARQGIVLLKNSPGSLPLSSKAIKSLAVIGPNANATRVMIGNYEGIPCKYTSPLQGLTAFVPTSYAPGCPDVQCANAQIDDAAKIAASADATIIVVGANLAIEAESLDRVNILLPGQQQQLVNEVANVSKGPVILVIMSGGGMDVSFAKTNDKITSILWVGYPGEAGGAAIADVIFGSYNPSGRLPMTWYPQSYVEKIPMTNMNMRSDPATGYPGRTYRFYKGETVFSFGDGMSFGTVEHKIVKAPQLVSVPLAEDHECRSLECKSLDVADEHCQNLAFDIHLSVKNMGKMSSSHSVLLFFTPPNVHNAPQKHLLGFEKVQLAGKSEGMVRFKVDVCNDLSVVDELGNRKVPLGDHMLHVGNLKHSLSVRI >RHN47508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40216240:40216923:-1 gene:gene42119 transcript:rna42119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MALSRALFLFALIASIFSTMAVAKDFVVGDEKGWTTLFDYQTWTANKVFRLGDTLTFNYVGGKDNVVRVNGSDFKSCSVPLTAPVLTSGQDKIIITTYGRRWYISSVTDHCENGQKLFITVQPKQDGWSPVPSPSPSPSLDLVTPEAPPSNAPWPASSVPRRSLLPKKLFQIFNRD >RHN76439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50260425:50263222:-1 gene:gene12765 transcript:rna12765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative N-acetyltransferase ESCO, zinc-finger, N-acetyltransferase ESCO, acetyl-transferase MQSKINRFFKSPAIVADNNVGNDDLSNWDWENKKQHSIFNTYARTGRNLHSIASPSTVIQKPIIEKNKKISYDQLHLDFVQSDFLLRPCSICGVRFTPGNVEDEKLHAQFHKRFTQGIQFRCWNNERVISSNKSGRIVLILDTDPSSHRNKVEEVVKMMEIELGSGWIAHQDCKVYLFVSLQRIVGCVVAEPIKEAFRVVSCSDTGHYDNARKKERKVCPTTLQFGNIVFQREVEKRSVNASDSEVSVGRAIFCESKPVAAVCGIRAIWVTASNRRKHFASQLLDAVRKSFCTGLELERTQLAFSLPTSAGKALACSYVDTGSFLVYKLV >RHN50539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7997204:7997539:-1 gene:gene34836 transcript:rna34836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MRFQSLFLILVVLFASAATNQALNIRDLNISDPYVIDIAKFAVTEHNKQVTEGKLKFEKLVGGLSSDVDDETHYIFNISANNGSTSNRYLSYVGEKPPNHFYLALFEILPK >RHN72084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4848753:4849379:1 gene:gene7757 transcript:rna7757 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLSNNFDLEQTFLSILVNQRVTCCSPKNKKANNPDMNRLLRERGFGFSDFLFLFFLICSFICCFTYLY >RHN72239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6028081:6033655:1 gene:gene7930 transcript:rna7930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-dependent channel, 7TM region phosphate MDFSSFCTSLGTSFVIFLVLMILFALLQSKPGNNVVYYPNRILKGLDPFEGGSKTRNPFSWIKEAFSSSEQDVIAMSGLDTAVFFVFLSTVFSILVICGIILLPVLLPIAVTGGAGKKLTTSEGTFNELDQLSMGNITAKSVRLWAFFIACYFVSLVSLFLLWKAYKHVSWLRTKAFKSIDVKPEQFAIVVRDIPPVLDGQTRKEQVDSYFKAIYPETFYRSMIITDNKKVNKIWEELEGYKKKLARAEVVYAGSKTTAKPEGTRPTNKTGCLGLIGKKVDSIEYCNEKINELVAKLESEQKVTLREKQQNAAIVFFSNRVIAASAAQSLHAQVVDHWSVFGAPEPCQLLWPNLKIKYFQRELRQYLVYFIVTLAIFFYMVPITFVSAFTTLKSLEKLLPFIKPIVKIITLKTVLEAYLPQLALIIFLAMLPKLLMFLSKLEGIPTESHAARAASGKYFYFTVLNVFIGVTLSGTLFDTFKRIQNKPKDIVPVLAESLPGRATFFLTFVALKFFVGYGLELSRLVPLIIYNLKKKFLCKTEAELKEAWAPGDLGYATRIPADMLIVTIVLCYSCIAPLIIPFGALYFGLGWLVLRNQALKVYVPRYESYGRMWPHINNRILASMVLYQVTMFGYFGVQQFVYAPLLIPLPILTVLFGFICSKKFYPSFQHQALEVAASEVKEVPNMELIYRSFIPLSLSSEKIDDDQFEDARSEVSRQTSFV >RHN55731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21959092:21959505:1 gene:gene30962 transcript:rna30962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAUS augmin-like complex subunit 4 MCMYQATRLREYQGVDPHFDDIARQYHDVVKKLENMQWTINQVEDDLKRM >RHN55167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15724788:15726323:1 gene:gene30304 transcript:rna30304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane-type matrix metalloproteinase-1 MKIQGLSQIKKHLSTFGYFRQFLLKFDDVLDEETISAIKTYQQFFNLQVTGNLNTETLQKISLPRCGIPDMRYEYGFDVGSDVSFPKGNKWFPKGTKKLTYGKKFSLDMIEGFRNAFTRWSQTTRVLNFSETTSYDDADIKIGFYHIYNNDIVDDVVVGDSFISRNLDSNVKSGMIRLERSKFWVSPTTTYFKKWELQEFDLETVVMHQIGHLLGLDHSSDKESIMYPLIDPLQEKKVQITDSDNQAIQQLYTNTAKANPNSDHSGCFKLFESSMFLLAFCPLVLLL >RHN61912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39272904:39291796:1 gene:gene24425 transcript:rna24425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-alcohol oxidase MSDHNTERKMRRECHPLLSGVRENSKYKHGFSVAEMESLTSICEVVLPSLPMDDALTKDDESSKDVQSFFNISASMYPIPDEVAEMLVKSGVTEAVILIRVILWLLATRLGTLLICGLLCLSKKWPFINNFSSLSLDKREKIVQRALKLRFLTPFRLAFAYIKVLCLFVFFSWVDENGDNPAWKAIGYEVSTADEKMTNDTKKRPLEKGIIEIMHEHDTTLQQSLSNKGLNVTLDSKNNILKIKCDAVVVGSGCGGGVAASVLSKAGYKVVVLEKGNYFVPKDYSSLEGPSMDQQYEKGGMLASVDSRIVLFAGSTVGGGSAVNWSACIRTPQNVLKEWSDEHKLPLFETLEYLSAMETVCERIGVNENCTQEGFQNQVLRKGCQNLGLKVDYVPRNSPGNHYCGSCGYGCPKGEKQGTQATWLVDAVDKGAVIITGCKAERFLFDNNYKNANTRKKKKCLGVLAKTLNSRVTMKLQIEAKVTISAGGAILTPPLLISSGLKNKNIGRNLHLHPVLMTWGYFPESKSDLKGKIYEGGIITSVHKVSSSLNDHSISDTRAIIETPLLGPSSFASIYPWESGLDFKQRMLKYPRTAHLITIIRDKASGQVKTEGRISYKLNSIDRENMRAGLQQGLRILIAAGAVEVGTHRSDGQRIKCNENTGEKEIEEFIDSVYPMEGALWPGENWNLYTSAHQMGSCRMGVNEKEGAVDENGESWEAEGLFVCDASVLPTAVGVNPMITIQSTAFCISNRIVDFLRKGQEP >RHN82757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56148273:56153679:-1 gene:gene6942 transcript:rna6942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LisH family MEMDSIPNGNNTAAGAQIGAIAAVNPTPAPSSNLPRLTESLKLEHQFLRVPFEHYKKTIRANHRVVEKEMSAVISGVSDAAGSDLSPDDAVNHLNSLVSRLQGLKRKLEEGNRAENLQAQKCRVRIEHLESAEAENMSEWNTTRLKRILVDYMLRMSYYDTAEKLAECSNLQDLVDIDVFQEAKTVIDALQNKDAAPALAWCADNKSRLKKSKSKLEFQLRLQEFIELVRTESNLRAIAYAKKYLAPWAGNHMKELQEVTALLAFKRDTPCTKYKVLFEPKQWDYLVDHFKQEFCKLYGMTLEPLLNIYLQAGLSALKTPYCYEDDCTKEDPLSQEAFRTLALPLPYSKQHHSKLVCYITKELMDTENPPQVLPNGYVYSTKALEEMAKKNNGRIICPRTGLVCSYTELVKAYIS >RHN69727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45864566:45869910:1 gene:gene18239 transcript:rna18239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription initiation Spt4 MASAPAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCPFLQMEEDQERAVECTTPNFNGIISVMDPTRSWAARWLRIGKFVPGVYTLAVSEALPDDMQAICEDKHVPYTLPKRS >RHN42507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38920073:38922373:1 gene:gene48954 transcript:rna48954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rho protein GDP-dissociation inhibitor MSAAVGAVSTTKDVSFNAHLEEEHKNKGTNKVGVNYDEAEEIVPDEDEEEEPKLELDLGPQFSLKEQLEKDKDDESLRKWKEQLLGNVDVSAVGEKIEPEVKIVSLTIICQGRPDLILPIPFTADSKKSMFTLKEGSQYRLKFSFTVSNNIVSGLRYTNVVWKTGVRVENTKKMLGTYSPQQEPYTYELEEEITPSGLFARGTYSARTKFVDDDRKCYLDASYRFEIQKNWPTPH >RHN61220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33945023:33953083:-1 gene:gene23660 transcript:rna23660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MTIQVQVAKLLHQPLKPNPKKIHVTLFFSTSSSEQETLITTAVSILTNHRSKSRWNTLHTLYPNTLPSTDFSQITLHLKNKPHLALHFYQWTQSKSLCHHNLSSYSTIIHILARARLHSHAYNTIKTALINDDSSSTPLKLFEILVNSYRDCGSAPFVFDLLIEVCLESRKIESSIEISRMLLSRGISPKVATLNNLISRVCRKFGVDVGFEIYREFFRLDKEKDEISKRGYGFRGFRVVKPNVHSFNTLMLCCYQSGLVEKVEEIWNEMSEMGCDPNAYSYSLLIAAFCDGGRMEDCEKMWEEMRKKEIEADVVSYNTIIGGFCKIGDVGRAEEFYREMGLVGIDATVSTYEHLVKGYCGIEDVESAVLVYKDMLRKDFRPDASTLDMVVRLLCNKGRVEEAMKFLRSGVGKFDLVPKEKSYEALIKGFCFEGRMDEALKLQAEMIGKGFQLNSEIYEVFIDGYVRQGSEEMAEALRKEMLQTQMHS >RHN79934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33632089:33636355:1 gene:gene3792 transcript:rna3792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MNHEQQLMTGSCTNCGLPNHSEKSCFERPQRVPARFRQNLVPEPIARELVPASDGWDRYLLKKKEDKDSTGGFRSLRLRGDIPEYLLKKVSKDSDDTTDLTTHFDPRTRFNYREKMSSADKYFNAGSQLQMSSWVDREDIVRGPAGSSVPHVANEDTYARSIHVGNVHYACTPKEVQQHFQSCGTVNRVKILTDKFGRPEGFACVEFVEADAVQNALGLNGSELHGRLLNVSSKCTHVPELKQYRRRHAGFRGRRPVRSSPYFPPNAYRRVPRYRRPTRYQPY >RHN66410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11988890:11992876:-1 gene:gene14381 transcript:rna14381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin synthase MQLCEKRITSHVNFFPHISSNFFSSCILFNHHIELILRENKFKQRICGVKIEDGEDITYEKLTTTVRRGAHHLAALQTSDGHWPAQNAGPMFFLPPLVFCMYITGHLDSIFSKEHRKEILRYIYCHQNEDGGFGLHIEGHSTMFCTTLNYICMRILGEGPNGGQDNACARARKWIHDHGGVTQIPSWGKTWLSILGVFDWSGTNPCIQVELVIYVHASKMWCYCRLVYMPMSYLYGKRFVGSITPLILQLREELYTEPYAKVNWKKARHQCAKEDLYYPHPFVQDLMWDSLYIFIEPILTRWPFNKLIREKALQVTMKHIHYEDENSRYITIGCVEKVLCMLSCWVEDPNGDAFKKHLARVPDYLWVSEDGMTMQSFGSQKWDVGFAIQTLLASNLIEEIGPALAKAHDFIKKSQVRDNPSGDFKSMHRHISKGSWTFSDQDHGWQVSDCTAEGLKSKNGGLAAWEPAGAPEWLELLNPAEFIADIVVEHEYVDCTGSAIQALVLFKKLYPEHRKKEIDDFIANAVQYLEDKQTSDGSWYGAWGICFIYGSWLALGGLAAAGKTYTNCAAIRKAVKFLLTTQGENGGWGESYLSSPNKIYIPLEGSRSNLVQTAWALLGLIHAGQAEINPAPLHRAAKLLINSQLEEGDWPQQEITGSIVKNCMLHYPMYRNIFPLWALVEYRKRVTLP >RHN43290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44663863:44665510:-1 gene:gene49838 transcript:rna49838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MDLIHQFLNIVAPPFTFFSLLLFLPPYWTFKFFLSIIFAFFPENVAGKVVHITGASSGIGEHLAYEYAKRGARLALSARRDTALREVADRARDCGSPDVIIMRADVSKVDDCRRLVDETVNHFGRLDHLVNNAAISAAMMFEGVTDITNWRPLMDTNFWGSVYTTRFALTHLRNSRGKIVVLSSIDSWMPAPRRSIYNASKAALVSLYETLRVEVGADVGVTIVTPGYIESELTKGKVLLPPEGKMGVDQDMRDVEVSATPVGSVSECAKSIVNGTLRGDRYLTAPAWFRMTYVVKVLCPELLEWGFRILYLTRSSNTPAREAPSKKILDATGIKNVFYPSSIRSPEVKTE >RHN48132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45276596:45278964:-1 gene:gene42817 transcript:rna42817 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVQYPCKIDGSISCQSLYMKVLLWTIFLDICSFDGPDAPIGEKTYWKLLHHPFIQPRIQGTQSREGV >RHN50812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10725560:10727454:1 gene:gene35147 transcript:rna35147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MDICNNVSVSEEFQQEFSTIDDLFPAHNTEVDLGMEWLSVFVEECFSSKPSCVIAPSSNVQIQESTNTKPSNTMQKPQQQNQSYLQNFVVPGKARSKRKRLSAPSTNIWSHSHLISDGNLISDPPLLKQAYWLADSELIAPKNEQKVSAVAYGDQKEAKRRVKKESYEVGIIQVKNSENVNDDDEEHIPNARRCTHCLSQRTPQWRAGPLGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSYLHSNSHKKVLEMRMQSIK >RHN75975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46682108:46689478:-1 gene:gene12241 transcript:rna12241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MVKSTRSSQKAKDEENNNGRVTRSSEKAKIKAHPNVSDTAGIRKSSREKPSKIIATSSSTQKSEQVEKGTLPAPETRRKSVRVEKMKTPSPLTRSGKTRNHSFSGPSDSKSAGSLGSISRQKLQKEKSVKHLTFEAKEVNENEEHNRESSQVKRKKMTALMYRSLFTQPKKDCLGNSDNNSKSNQEGDNSSGAKIDELSKGSCSDNKEVSKNGTLPSEDARAKETTVDSRIGDKSKGDNSSGAKIDELSKGSCSDNEEVSKNGTLPFKDGKAKETRVDSRTDEPRCQSKGDNSSGAKIDELSKGSCSDNEEVSKNGTLPFEDGKAKETRVDSRTDEPRCQSKADNSIGAKIDELSKGSCSDNKEVSKNGTLTSEDAKAKETRVNSRLSGPMTDLAENCATPGSFIPSNTPTYETSVVPRRVQPDCCGEETLPTLVSGDSVFDDDDLVNNNAGLGGGEKLAPSKRKAITMDIDSNVPSSLSKGDSSNLIPDALPSKLGGNESCSKRIRLDFNPTVEESRDPCATEDIDVIEATMQQKDKSDHASGTGHLKGTTVEDKNIHALLDSQSVVHPKEKVSSHIANRCKSDSYKLVEYWVPVQISNVQLEQYCNTLLSNASFLCSSPKIDSVGAIRDVLISIRKCCNHPYIIEESLQPLLLKGIEEVEYPSQKEKAVAYLNVGIKASSKLQLLDSMLTELRKNKLRALILFQDATW >RHN48868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50784610:50791113:-1 gene:gene43632 transcript:rna43632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase PP2A catalytic subunit MGANSMIADVTHDLNEQISQLMQCKPLSEQQVKELCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDFFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >RHN50936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11979736:11981676:-1 gene:gene35292 transcript:rna35292 gene_biotype:protein_coding transcript_biotype:protein_coding MESHNKTQKETSANPGKDGSNDMLRHNNFKRSPHGKILLNDDAVVAKKLSFTPSPTNISSKRQKKMSASDVAAAKSCLASPESTSTMVTRGKLHPRPFRPHIFKSSGGILPKIYVPIVDEVGHWCLMVVHIVERKIYLLDYHLVVDKVEERHRLLKQIVSAHVSLLCVCCLVLTTITRRCSPRMQRNSGRRLKL >RHN60859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31028138:31055983:-1 gene:gene23246 transcript:rna23246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MNKPKSDGVIFTKEPYIEDTGPRKIAGITFSTLSDTDIINIGETQVWKDSYYDAFRKAVDGGVLDPRLGPANKSSPSCATCHGNFTDCQGHYGYLPLAQPVFNVGFLSTIVKILKCICKKCARILLPEKDCKKWLVKMRNPKLDGLQKMNMLESIMKKFKSAKQIECPRCGYINGAVKHNKPTLSIVHDCSKANNDDVAELQSALPHINNYRACNDLTSRPLNPVVVLELFKKMHGEDCKLLYIAEKPENLIITNIAVPPIVIRPSVMMDGQSNENDITEKLKKIIQANAIMQQESVETQKYQDGYLMLQFEVAQYINSDVKGGPYNPQMSKSLTGFIQRIKGKQGRFRSNLSGKRVEYTGRTVISPDPNLKITEVAIPIHMARILTYPERVTHHNIEKLRQCVRNGPDKYPGARMLKEAGGNSWNLRVSSRTRRADELKFGDIVERHIEDGDIVIFNRQPSLHRMSMMSHRARVMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALLLMTVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRSSFSLICSYMGDGMDPIDLPTPAIIKPVELWSGKQLFSILLRPHANVRVYVNLTVKEKNYGSKLDDREREFKTMCPDDGFVYFRNSELISGQLGKVTLGNGNKDGLFSVLLRDYKSDAAASCMNRLAKLSARWIGNHGFSIGIDDVQPKDKLIKGKEETIDDGYKKCDGFIEAFNSGKLELLPGCDAAQTLESKIFGTLNGLRDTTGKVCMKTLHWRNSPLIMSQCGSKGSPINICQMVACVGQQSVGGCRATNGFIDRNLPHFPKHANTPDAKGFVKDSFFTGLSATEFFFHTMGGREGLVDTAVKTADTGYMSRRLMKALEDLFLHYDYTVRDTNGSIVQFCYGDDGMDPAGMEGKNGKPLNFDRLFLRSKAICPADGNDVILSSSDVCKVLHEKLSEVGMSMLVEKNASDKDITSDIGFSADFLKSLQSFVEKNTTLTKSIGEDDSSNLKNFLPKISGISRRQLEVFLDICLSRYRLKKIEAGTPIGAIGAHSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIIEIINGASNIKTPIITAILERDDNAKTAKIVKGCIEKTNLGQVAKSIKVVYSNKLAAVFISLDMGIIEKAGLNIDANIVKESILQTGKLKLKPENVKILDIKKLQVEPQGSSRSELLFQLNNLKNLLPSVVVKGVKTVERTVLEIKKIKTNSGKESEKYTLLAEGKGLKEVMGIEGVDGHKTVTNHIIELMNILGIEAARYGIIDQIQYTMKQHGMSIDVRHIMLLADMMTVRGQVLGMTRHGIQKMGRSALMLASFESSTDYLFDASLRGKGDPIEGVSDCIIMGKPIHIGTGMIEVKQRLEPPVLLQGAKPILSSV >RHN54559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10497517:10498736:1 gene:gene29623 transcript:rna29623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MVFSQFVCLIEEEKTSQNDDSNSTSCSTFFGLIDFFIYSTLFIRIQKFFSTFWFFLLCQLRSGDYEVKGEKQVLETESNVSRESGEIKRVEVETVMAKMGIFCSSESDELDEKYGSNELSELFDENEPSLEEVKMAFDVFDENKDGFIDAKELQRVMCILGLNEGLEVKNCQKMIKNFDENQDGRIDFTEFVKIMENRLC >RHN53921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5362136:5363248:1 gene:gene28887 transcript:rna28887 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRRQQRHEDFASDDIHILSKTLVDVTCNAATYMIIYIIVGRVSKPSPTLY >RHN42873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41578434:41578807:-1 gene:gene49371 transcript:rna49371 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSDMNRESYQYHVKNTMIRRRFHKTEFFLLCASTVVKELRLPLSLRHE >RHN45399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19611280:19612267:1 gene:gene39719 transcript:rna39719 gene_biotype:protein_coding transcript_biotype:protein_coding MINQAHNILFIFCLPFQLELHRRGPLGALVTGWVTLVHRRANRVLPVYSLGCLHIIKFKFDYI >RHN77394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6557801:6564616:-1 gene:gene841 transcript:rna841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSSFLCSLLLTFIFTSQISTATTDATGKFRYICDQGNDDGNYTTNSTYHTNLKTLLSTLTSNKDINYGFYNSSYGVNTDKVNAIGLCRGDVKPDDCQNCLQNSTALLTQLCQNRKEAIGWYDDEKCMLRSSHRLIFGINEMGPAMIAWNLQNATKEVEDEFDKGLKNLLYNLKNLAASGESDLKYAVGSDVIGPNNNQTIFALVQCTPDLSETLCDECLDKSIKEIPNCCDNRIGIGGRFLRPSCYLRYETNSLFYQQTQDSPSSSSSSPSPTPSPASVPSFSAPPPFAENTTSSPGKSNNSTTIGIALGVPIAIVVMVFIFICIYLRLRKPKKTCEEVQEEEEDDIIDITTEQLQLDFNTIRIATNDFSDSDKLGKGGFGAVYKGRLFNGQEVAVKRLSMNSGQGDSEFKNEVFLVAKLQHRNLVRLLGFCLEGRERLLVYEFVCNKSLDYFIFDQAKRAQLNWGKRYLIILGIARGILYLHQDSRFRVIHRDLKASNILLDEHMNPKIADFGMARLFGVDQTQENTNRIVGTYGYMAPEYVMHGQFSVKSDVFSFGILVLEIVSGAKNSSIRDGENTEYLSSFAWRNWREGTATNIIDSTLNNDSRNEILRCIHIGLLCVQENIVNRPTMASIVVMLNSDSVTLPMPFEDLKSLSDSSAKESGFLKLSKRAAQAKKSGLNMGWKNRARVRPFTDSRAYGLTWNALIGGHADDKDPNATIQAFNLMRREGLLSNYITVVTLVICLQSFTYTHLTPQRTYPNLPILNKKKLQY >RHN59253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8786571:8787662:1 gene:gene21256 transcript:rna21256 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLEEDTIYDYETCDCGGATAAPPSFSFLVFRFSFVARSKLRVFVFIFVFLFPVHLIWLFQSASSDLSPLPVHVDCGGGLGGPPTVVVCFSGGGFSCLTEGGFPLQWWLCSHLSVLLQRLFVVFLSPVKGKTTLLVVCVVDGCVVASLLWCFRCCGGCVVGDCTSSVVWLGLVWVVLFVLLVSFVLFVLFVLCCCSSFFVQLGGNFGVVPSLFYNARKGLVRRRSVLTVVVIYVSSDLFGSGWWSLVAFWLDCCLLQRQTPSPRH >RHN50702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9608290:9612922:-1 gene:gene35024 transcript:rna35024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MMDHYKVLGLNKTASKEEIKIAFKKLAFQFHPDKHSQSSKSVRENATIRFKQVSEAYEVLMDDRKRAEFNYRWRAGGGGGSGGAGGSAGNYYYQSQYSYGYGRSGSGSGSGSGYYGKSGSGGSGGFGDKFGVFIRILTARSSLLNLGFAAAILGAMVVVDSSGESLWKMQNSGKSFEEAINTIEKAKVYKKDNTNEHP >RHN51511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19100382:19102128:1 gene:gene35960 transcript:rna35960 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGGSICDSCGVFCVKFLAEWEGDNTQMKSFKDWSKMRKHGKNDKIAKIVDLRIGICSTILSDSSNCKRNYVENAAISYYEEMLQKLARPT >RHN60538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27914887:27915138:1 gene:gene22859 transcript:rna22859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol-forming fatty acyl-CoA reductase MLLFVIRFKLYITENRANLYGWPNTYTFTKAMGEMLLVHHKDNVPLIIIRPTMITSTNKDPFPGWIEGLRYISYSHHSQTLKD >RHN54898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13323292:13329805:-1 gene:gene29996 transcript:rna29996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamyl-alcohol dehydrogenase MYSDKNPCLELVFEMATEGKVVEHPKKAFGWAARDPSGVLSPFNFSRRETGEKDVAFKVLYCGICHSDLHMAKNEWGTSFYPLVPGHELAGIVTEVGSKVEKFKVGDKVGVGYLIDSCRSCQDCNDNLENYCPKFVVTCGAKYRDGTVTYGGYSDSMVADEHFVIRIPDNIPLEFAGPLLCAGVTVYSPLRFFGLDKPGLHIGVVGLGGLGHMAVKFAKAFGANVTVISTSPNKEKEAIEHLGADSFLISSDPKQIQGAIGTLDGIIDTVSAVHPLLPMIGLLKSHGKLIMLGVIVQPLQLPEYTLIQGRKILAGSQVGGLKETQEMINFAAEHNVKPDIEVVPIDYVNTAMQRLAKGDVKYRFVIDIGNTLKPSS >RHN42154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35874851:35875291:-1 gene:gene48558 transcript:rna48558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MANPNPTTLKFSLVLIVILSFEARETIASLFGKVSVTIINDMRQNHIPTNITFHCKSKNDDLGFHTLTFGGSYTFSFRPQLFGATLFFCRFTWQGSLHPYYFDIFDFQRDDCKTCKWKISKFGGCKYRTETMSFDVCLPWNSRSQI >RHN55594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19879425:19880246:-1 gene:gene30796 transcript:rna30796 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNNSNVDGSESSSDSERQIDVIIGENNYLDTDINRTKSLSSSGRRSSSSSSSSESSSDVIFDALKLTASDASKLASKSEKNYASSSDSSAKSEVDNSLSVPTTTYQVYNVAYNQTIMSPTISPPIQVMDRSARYDPARIPSSIFERNTNNDWSIASNDSLFSIHIGQNSFSRDAFKFAEPRKSSELTKPIELNMLDRIQSVSIEEVESSRKSDDIESLQISEESFKFKPNFIERPNDVRTLHQAARSMSTKSSVTTPSLQSGSIRHAFVPL >RHN72590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8959120:8961511:1 gene:gene8319 transcript:rna8319 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate oxidase MENFPVVDMGKLNTEERKATMEMIKDACENWGFFECVNHSISIELMDKVEKLTKEHYKKCMEQRFKEMVASKGLECVQSEINDLDWESTFFLRHLPSSNISEIPDLDEDYRKTMKEFAEKLEKLAEELLDLLCENLGLEKGYLKKVFYGSKGPNFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDDQWIDVPPMPHSIVINLGDQLEVITNGKYKSVMHRVIAQTDGARMSIASFYNPGNDAVISPASTLLKEDETSEIYPKFIFDDYMKLYMGLKFQAKEPRFEAMMKAMSSVEVGPVVTI >RHN82622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55239998:55242341:-1 gene:gene6797 transcript:rna6797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L18 MVIPPPVRPPRLTQYLKPYVLKMHFTNKYVSAQVIHTPTATVASSASSQEKALRSSLETTRDVAAAAKIGKLLAERLSLKDIPAVSVHLKREQRYHGKVKAVIDSLREAGVRLL >RHN81440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45917673:45921955:1 gene:gene5482 transcript:rna5482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MSEECGGNTIATSTTPLNWWYLQANSLSSNWNDVKHAWNNQMNNPNSSSSCEDQDISVSSTSFTNASNHSSLTVESSRRVFVEPHAPPSSNDFMAEHASDNQLWSHVLSGVDSNGELHNNQEIGENFLDGLSSKTMTNTMFDEPACDYLKKLDTTSWEYNTSGSTSFNTNFEKHLNGYSEALIENNERLTKLSNLVSTWSIAPPDPEVSSHFDSQTNNNLSTNLNNSNSSNMDHNHYTHDSDPNCHFKLPFGDSTSCTIREGVGNKMFPSCLDIQDMNKVKEEYHHHASEVMQGHVFRKSLNPNGYLDGFNSVGDHNGKFYEGLPNISPCTKNFSDVISFNSRLGRPVVGIHPQRPNMRYSNLSESKKQGLQTPSHMRTTNGRGEGTTREVKKKRSEESSEASLKKPKQDTSTTNSSSKVQAPKVKLGDKITALQQIVSPFGKTDTASVLFEAIGYIKYLEEQVQLLSNPYLKANSHKDPRGSYFDRKDKEDAKLDLRSKGLCLVPTSCTPIVYRENNGPDYWTPAYRGCMYR >RHN63293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50135482:50138844:1 gene:gene25977 transcript:rna25977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Protein-PII] uridylyltransferase MEWSACTDEYEKLVFRMSTPRVVIDNAVCSNSTIVKFDSARKHGILLEAVQILSDLNLFIKKAYVSSDGRWFMDVFHVTDQNGNKLTDESVLKYIEQSLSSIYNGKTNHRNGLTALELKGTDRVGLLSEVFAVLAELQCDVVEAKVWTHNGRTASLIYVKDSITGTSIEDSQKINRLEARLRYVLQGDSDIRSATTSISDAVIHPERRLHQMMFADRDYQMNPIFKFSSETPVVTVQNWAERGYSVVNVQCKDRVKLLFDVVCNLTDMEYVVFHATINTRVDQAYMEFYIRHKDGTPISSEPERQRVIQCLQAAVERRSCEGVRLELCTEDRQGLLAEVMRTFRENGLNVTRADITTTGDLAANVFYATDAIGYPADQKIIESVRQKIGLTNLKVKELPFASHQKVEREDQSVGVGGAVLLSLGSIVRRNLYNLGLIKSCS >RHN74037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:24202456:24206340:-1 gene:gene9975 transcript:rna9975 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTWSNLGDSSFKVEKISGSIPNLSAVRLTTTYETKCVNQTTQSVSDTECGSLLKKPFKVYAEKKNLGDIVSTEELQSRLSKRNEEIARLRNEHNDEVKTVKRIRYKRWRRNSVIKQKQWKEIKFQILLKVMLSQNTTGLDTEALADLITTPATDANNSLRSSMSTFTPDDNEMSNDDIDEEFENLEEHNFFLKMKRYRCVCVFLKMLIVTKDNLNLFIKD >RHN69508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44056749:44058027:1 gene:gene17987 transcript:rna17987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EGF-like domain, wall-associated receptor kinase, galacturonan-binding protein MAVSKQVLLMVVFAVFYTKVASQPMSLPNCQTKCGSVTIPFPFGITKDCSLDSKFIISCYNTSSTPKSTTYKPFLANTNQRVLNISLNGELRVAWPVASHCYANSVMLLAQTSQDIIVTHFQVSPTRNKLIVVGYYTVGLLTTYDSDGHNYTTGCLTLCNTNDNITVHEPCSCESSMPTGHVTTGVSYDSASVLNNHIVEHDFRSCGYAFVVEYGAYSFNSTDLKLNNKEFPVLLDWAVGNQTCHQAQKDLSTYACKADKSTCYDATTDRSGYLCRCSHGYRGNPYLVHGCQDVNDCMESNYCVEEARCINHPGSYHCSCPEGYEGDGKNNGTGCSPNSSTKSRNDILDIIALS >RHN39871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11243446:11247302:-1 gene:gene45951 transcript:rna45951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IV family MTTTFVHNRSQHSGHRSTPDRMSRSSDFSTSVQSTTSSSSSGSRNPVVAAAMSVAGLFAACFTPPDSSNSKSVVDSEEFKSTSTSVASNASRAGSQRGRGSNRGTNIGLYNTIQGNESGIVKYTMEEIIQATRNFSPSFKIGQGGFGAVYKTKLLDGTIVAVKRAKKSVHEKNLGSEFQSEVQTLSRVEHLNLVKFYGYLEQGDERIVVVEYVPNGTLREHLDCIHGNVLDLAARLDIAIDVSHALTYLHMYMDHPIIHRDIKSSNILLTEHFRAKVADFGFARQAPDSDSGMTHVSTQVKGTAGYLDPEYLKTYQLTEKSDVYSFGVLLVELVTGRRPIEPKFELKERITVKWAMKRFIDGNAISVLDTRLDQTSANNLALEKILELALQCLAPHRQNRPNMKRCAEILWAIRKDYREISASNFRSYSTTSQRSASLRE >RHN63592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52540620:52544813:-1 gene:gene26314 transcript:rna26314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MIMNQMTNGETVENEEESLLKNENSGDDGPKINYRGWKVMPFIIGNEIFEKLGAIGTLSNLLVYLTTVFNLENIAATNIINIFNGSTNFATLIGAFSSDAFFGRYKTLSFCTVASFLGLLVIQLTAAVEKLHPHPCEELENTACQGPTEWQMTVLKTGLGLLMVGAAGIRPCNLAFGADQFNPNTESGKKGISSFFTWYYFTFTLAQMISLTIIVYIQSNVSWAVGLGIPAALMLLSSVIFFMGAKLYVKVKPSGSPITSIVQVIVVATKKRKLKLAEYLYPSLFNYVPAKTMNSKLPYTYQFRFLDKAAIMTPQDQINPNGSASDPWNLCSLQQVEEVKCLLRVLPIWVSAVFYYVVIVQQHTILVFQALLSDRRIGQSKFMIPGASYYVFLMISVAIWLPIYDRKVVPLLQRITRKEGGITLLQRMGIGIFLGILSMLVSAIVEDRRRTLALTKPLEVESRKGAISSMSGLWLIPQLALAGLAEAFMSVAQIEFYYKQFPENMRSIAGSLYYAAHAGASYLSSMLISSIHHITVKSKTGSWLPEDLNKGRLDNFYLIIAALEIVNLGCFVLCAQWYRYKETENSSIELDKVIKQSGKDGNGVK >RHN74546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34582828:34596660:1 gene:gene10634 transcript:rna10634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MAGLLLGDASHQGAHPVGSQRYSEEKPEDGSRWYFSRKEIEENSPSQEDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVIMVSYEIINKKDPTAVQRIKQKEVYEQQKELILLAERVVLATLGFDFNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRMPPSNEAEGTTGGAASIRTTVKAPTSNDETAPANSNSQTGGISSRLETSKPASSKTAFDSSAANQVGRPVSNPGRSRDYGSTEIKHRVEDDVKGNQHPEHEPLPYKDNLQQVQDVVRSRSDNGQKEHENNTLRTETKEHSESKDKHNSRNPDHKDVSMLSRPPQEAIKKINTDKVKAALEKRRKAAGHLTKKTDFMDDDDLIERELEDGIELAPPESEKSKQDRRQSWSKLSADKADYENSHGRHHDHADEQPHGVKGLPSRGPDPSAVEEGEVAAFDDISAGLPSPKSSSRKRKAGSSPDRAVEGKQRHNHGPGPHHNNRSDYVEDRSKVSRLSHTERDSKRHAQENHV >RHN74196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30085168:30086615:-1 gene:gene10211 transcript:rna10211 gene_biotype:protein_coding transcript_biotype:protein_coding MERYVLEDNVISESNIGQKVYISRLSLTPSEKRLPFMFQRQQFSLIVSFVMTIYKSQE >RHN54512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10165866:10166204:-1 gene:gene29572 transcript:rna29572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 3-beta-dioxygenase METYGKAIHNVALKIGQKIAESIGILDADFEDWPCQYRINKYHFTSESIGSLGGSLHTDSGFLTILQDDENVGGLKVMDNSDSFVSVPPFHGTLLANLGDIAQVSTKYKVIH >RHN66887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20852168:20854287:1 gene:gene15001 transcript:rna15001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase, Xyloglucan-specific endo-beta-1,4-glucanase MASSSYYGSLFLLLLSLFLWFSTNVLGGNFNTLFDNLFGEERVDIKEDGNSMTLTLDEYCGSGIVSKNEYLFGRFDMKIKLVPGNSAGTVTAYYLSSVGAQHDEIDIEFLGNLTGEPYLLSTNVYAEGIGGREMQFYLWFDPTEDYHMYSIDWNPERIIILVDNNPIRVMLNRQRIGVPFPTKRPMRVYTTLWNGDSWATRWGEVKIDLTNAPFVAGFKNFNAIACIANQGQTANCRNYNGGKYKGLDRESKRKMKQVLSKWVVYDYCADLRRYAHGLPYECRKENRIQLD >RHN64724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61297798:61300642:1 gene:gene27573 transcript:rna27573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAM177 family protein MSLNGESTPPPPVIGKIGPYTVFMTPPSTPKPSSSSDPVALHSPKPINNAKIAPPPPQIHTPLPSSKTLSSDASVLGFFKNAVNKVQTAHSSLDDHLARWFGLNQSKYQWALDDYYETKGTEKGDQKVKEMSSKVQSV >RHN52870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39754010:39758611:1 gene:gene37601 transcript:rna37601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Trihelix family MDGMEDDSRYPSKGYPLRRKNPNLRQKHPIRNLQYQRYNDREEDFEDDEPEEFDDYNVDEDGIGNGYVGNFEQNDGFVRKKRKMRSGPSGGFGGGSVSNYELLPWGSGKFSNFNRGGSSSSVHSSGSEEWTEHATFVLLEIWGEKFLQIGRNSLRSEEWHDVAEKVSEELKVERNVAQCRSVLDKVKRRYKKEKSRMDEMGLGLSSCKWPFFKKMDMLMSSSARQEYGLACGVDSGEYVFMNTRVYLNRSNGFDEMRDSPGESESSEDDEDEDFDGDEDDVDREEEEASYRILADSIQKFGNIYEKIENTKRQQMMELEKVRLDFNRELELQKKQILDRAQAEISKIQEAVDDADGDDEDTDTSADNLSE >RHN71441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:178283:181321:1 gene:gene7045 transcript:rna7045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase MQWVVYAPNPNPLLQTLPPIKKRGLSLQLLVSNGERGSLVVAGWPSWLTAVAAEAVAGWIPRTADSFHKLDKAGLQHCLHSYKQRRVLYEREKLLEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRVVSETFKNFPSSALSLLEVLLAIEPKDRGTASSALQNEFFTSKPLPCDPSTLPKYPPSKEFDPNFEKRKREANKGHVRQESVGWNFKESKVVPAPDANVEIQSSIEKRQGQCNSKCISEKYNPEEEGGYGFPLEPAKLRSRNIFSHSGQSMHPSAYGSSRDMNLKEEAALPGPDRVFTSRKIELRKQNSYWHGSTAQLSRFSNSVAIRGDSQLDMSGDCSLNSQWLEDQFDMRYSHLDDGESNQLLDGTKHSRKKDFHLFRKDRAMGCAPKNGHILNYSGPLLPREDNLEEILKEHERQIQQAVRKARLVKENKKADSENGQTESLFHFYQVRSGR >RHN51940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28800869:28804850:-1 gene:gene36515 transcript:rna36515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAASPSSSSITNDGYEYDVFLSFRGPDTRSDFTGNLWNALHNRGIRTFRDDLEIYKGKNIEKSLFEAIEKSKAAIVVLSPSYATSSFCLDELCHILKCIKGRGRFVWPIFYEVEPSHVRWLEESYGEAMAKHKASNVYSEIKLQEWKNALNQVANLSGTVHKWKRGDGYEYMFINKIVRVVSTVIQSFSLSIPDYLVGLEDQKQDVLSLLNIDSDDKVYMVGIHGIGGIGKTTLAQAVYNSIVDQFDGSCYLEDVRGNKENQGLIHLQNILLSKIFGENKIVVTSVNEGIKELQVKLKEKKVLLLLDNVDKLDQLRAIVGEPGWFGRGSRVMITTRDTQVLRSHGVERTHEVKMLNKDEAYDLLRWKTFQTNEVSPSFEDVFNRALKYTSGLPLAIEIIGSHLFSKKKIEEWNSVLDRYKKIPKQEIFEILKVSFDDLVQDDKDVFLDIACFFKGHCLEEVKKILHAHYGDEKKDHINVLIEKSLIKINESNVLRLHDLIEDMGKEIVRLESPYQPGERSRLWSSKDIVEVLEENTGTSKIGTIIMFLDFNEEIVVNWDGEAFKNMTKLRTLFIDEGVKFSESPKHLPNSLRILLWGGYPSEYFPVDFFPKQLTICILSSELYRPREDFFKKASVMNLYPPSYIYYTALFLSLGGRGT >RHN62263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42159540:42162811:1 gene:gene24818 transcript:rna24818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc-finger domain of monoamine-oxidase A repressor R1 MGTRRRRRSEEEESASSDGEDGGAATTETKTEKTNGDEGVVVSGSSSHYERIREQRMEENAERMHKLGLLKLSGNLKKTPKPRPSVKKNSLPSNESERRSSRLSTLPPVNYCSKRPKAERQESSSSKKRKEVEIHIPEGTNPEVYTEEHEKLLGDCETDWELYVDGYDEDGDHIYDPTKGEKCHQCGRLTVAQLTDCNKCELPQGRLCGDCLYTRYGENVTEANINPKWTCPSCREICNCNSCRRKNGWLPTGNIYNKVTKLGFKSVAHYLIKTRRSEESMEGPGAENNVAKEIPEISPDSTLTRTLSRPIRTRRALRS >RHN57219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37370036:37371013:-1 gene:gene32729 transcript:rna32729 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSAKEKENEVVMGNGSGASEGEGARVKVPLHNFRLPSSLKWGSQRQLRCQKVPDNGDGSSQREMVSSPESAMERMSMLRIDYGDDEGVNAMRERLTLDLKAEGRRMKDAILKKEKENGVGSGGEGSSMAAAREKTWNFRTRRGVAGETGKGLKIDEKKPNISSPLKGGGSTEKKAMKFSLSLTKKEIEEDFIKMTGQKPPRRPKRRPKNVQKQMNTLFPGAWLPGVNADSYKVSDAPENGKKLSVGLDVVFAEVGETLRMATWKACIS >RHN67868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31069042:31069569:-1 gene:gene16113 transcript:rna16113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha,alpha-trehalose-phosphate synthase (UDP-forming) MLNAHIIKFQTFDYACHFLSACSRILGLEYESKRGQIWIKYFGRTIFFKILHVGINMGRIQSTLNHLSNSKKVRELSKQFKGQKLIIGFDDLDMFKGVSLKLLAFERLFIWFPTLQGKLVSLQIINPPRSDGWHVEKAKEQAYMISKGIHERFGFLGYKDVVIIEGYVPFHVKEA >RHN48066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44685326:44686916:1 gene:gene42738 transcript:rna42738 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNINLVLKLMLISAAKDQTDVQNLQRTNSINVSCMVGGPIAVFNLHIYSSK >RHN39145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4453157:4459264:-1 gene:gene45144 transcript:rna45144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LysM family MILVGKPHLKLLQFVLFLFYLNFNRSSSNQMAPMNCTDTRRVCTSFLAYKPQQNQSLGVIQSMFDVLPSDITVEGNGWDYIFIRKNCSCASGIKKYVSNTTFTVKTNEGFVDDLVMDAYDGLILLPNTSRKARNGAVISLRLFCGCSSGLWNYLLSYVLRDGDSVESLASRFGVSMDSIEGVNGLDGPDNVTVGSLYYIPLDSVPGDPYPLKNASPPASVPTPSVDNISGDQDNHKYHVPYGWIIGGLGVGLILIILGIILCVCLRSSNCFSDSRSHEKDAEGKVSHKFQILRNPSFFCGSGRYICGKHVDQKQTDGDSSTHTITVPKASTLGPDVFDMDKPVVFAYEEIFSSTEGFSDSNLLGHGTYGSVYYCLLRDQEVAIKRMTATKTKEFTSEIKVLCKVHHANLVELIGYAASHDELFLVYEYAQKGSLRSHLHDPQNKGHSPLSWIMRVQIALDAARGLEYIHEHTKAHYVHRDIKTSNILLDASFKAKISDFGLAKLVGITNEGDVSTTKVVGTYGYLAPEYLSDGLATTKSDVYAFGVVLFETITGKEAIIRTEGMMTKNPERRSLASIMLAVLRNSPDSLSMSSMKDYIDPNMMNLYPHDCVFKMAMLAKQCVDDDPILRPDMKTVVISISQILLSSIEWEATLAGNSQVFSGLVQGR >RHN77155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4499730:4499963:-1 gene:gene580 transcript:rna580 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFFLLMLDAFMMEQNRLGLVVAKEVDPTLAEALGIRWSLQVAKEANILEATIFSDA >RHN56006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26691801:26698721:1 gene:gene31318 transcript:rna31318 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSEPSLVPEWLRSAGSVVGAGNSAQHFASSSSHADSHSPSAANNNRNRSSKNTGDFDSSRSVFLDRTSSASSRRGSINGSAKHAYSSFNRNHRDKDRDREKDRSNFGDHWDRDGSDPLVNLFSGRIERDTLRRSHSMVSRKQGETLPRRVAADTKSGGSSNHNNGNGALSVGSVGSSIQKAVFDKDFPSLGADEKQGIAEIGRVSSPGLGATASQSLPVGSSALIGGEGWTSALAEVPSVIGSSSAGSSSAQQTIAATSVSVSSSTAAGLNMAEALAQAPSRARSTPQVSVKTQRLEELAIKQSRQLIPVTPSMPKALALNSSEKSKPKTAVRNAEMNVATKSALQQPSALHIASQSVRIVNAKVDVPKTSGKFTDLKSVVWENGASPTSKDVSNPTNYANSKSANQHCVASAAAPTPVRNPSNLNSPRERKPASLDLKLGSALDKKQSISQVKSRNDFFNLLKNKTATNSSTVFPDSGQMVSSPTLEKSGEVNRESVMPSASPQSVGNAAEPTSNGNAHAHAHEVLSRISDDDEKNSRATVYPDEEEAAFLRSLGWEENSDEDEGLTEEEINAFYQECKKLDPSALKLCIEGMQPQLSKLFDSCASNLRGASAELNSSEPRSEA >RHN57454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39250505:39250903:1 gene:gene32999 transcript:rna32999 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLNIGCFGSMIFLSLIMKKELTLCCNNTENNKIRRCYVILIGINMIQCVLLLCCSYLLIQTLYPLLNSTVGTNLSYDAFSLYLLFILCLGIYVFQFRIVID >RHN77531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7487828:7489851:-1 gene:gene995 transcript:rna995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor STY-LRP1 family MAGFFSLSSGRGKEEAREQEENNNNSGNNNNQFLFRNEEIYNKGFEIWPQQHQQSSFHHHQQQHQQNINNFYSFGVGSSRRNDDNNNNNDNVSVSFSDESTRFGLTVMRSSSGGLGGGGMNCQDCGNQAKKDCPHLRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQQQLTSLQQQNQQQQQQFHSSRRHRENQNDPSGASGSLTCTPVPITTTGLELGNFPPELNSPAVFRCVKVSAMDAQDERYAYQTAVNIGGRVFKGILYDQGLEGSYTSPNAAVAGGEGSTGGGGGSGGEGNQQNLITTTTTNVNNPFEYSSLYPAPLNAFMAGTQFFPPPRS >RHN73987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23160116:23160829:-1 gene:gene9904 transcript:rna9904 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFGFPMKAKPINLATSPEFFYYSNAPTGQREAFIGAWSKVRRKSVKHLGVRSGIAHEAYTQWVINRAEEIGMPYPAMRYVSVSAPSIPLPLPPTTQGMYQEHLAMENHEKQMWKARYNEAENLIMTLDGKDEQKTHENLMLKKELVKVRRELEEKDELLMRDSKRARGRRNFYARYCGSDSESESEDHPTTSYA >RHN46668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33920315:33920533:1 gene:gene41187 transcript:rna41187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MATSMFVKVTFLIVICLVLGISMTNAALLCPQVQLTVVPCLGYLRNPSPSVPAPCCNGIRALNNQAKTTPER >RHN77545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7625161:7625679:-1 gene:gene1010 transcript:rna1010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MENSYSLMDFVVSPNLKSLCLGHSLQLRDENIKVLASIFPNLELLDLKNCYDISKEGIFHILRRCHNIRHLNLSYTSVDDEALYMISKSCGGLLQLLLKGCDNVTEKGVKHVVENCTLLKEINLQNCGKVNGNIVDEMVVSRPSLRRIEAPPAWDWSYEKKELYSRHGCVVH >RHN75861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45600271:45600651:-1 gene:gene12116 transcript:rna12116 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFEASNKLKFCGGDDEEHLNFKWLASFDKKTSHSASREDYESEDDDDVPISWRIKMHAMSSEKSFSLLKKELALVETSFEECKRKRQVEEGRLQSIKRDVEECSKELENKKKEISCVGRINEVH >RHN57099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36455189:36459222:-1 gene:gene32597 transcript:rna32597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MASSVSPKLEYWKLRTLYSLPSDSLKSPRSVSSLPLRNKINCFSFSRNLRGKRVNRSCSCSSSLFDDFHFHSNENKREILEPSFLGIQPEPPSWPEREEILRLSFERKVKSVGIPLSIRMIKKKLQLEEGLKDEASKLNNCSVKKSFSSMLFMLHELQNHALQTRELLCGEDLESVMVKLNREMDDSFVWLFQQVFSETPTLMVDVMVFLSNFSLFSMSNNNSSLMNEVLHGDVVQDVGVKKELTEEEEKLWNFMLEEASKIQRELRGEDLDHETMMKFLSPVSVEIEGDQYEEYEKTDAYYKKHINLAPYNSLLLSNYAQFLFLVMKDNDGAEEYYKQSVVVESPEAEAYCRYGDFLLWIRKDNWAAELRYLQALEADPGNTYYLSKYASFLWNTGGQQENSTSFPIEELDNLQI >RHN48606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48775308:48776407:1 gene:gene43344 transcript:rna43344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MVYFSTDLSTLPVSPIPILKSRTADKIHLNFLLFKRPFSEEFMKFCLERFEVGIWTSAKKHNVDGALTFAIGEESKNKLLFVWDQSHCFYCIGMKSMEKKEKPLFFKELKKVWEKVKKGGSYSPSNTLMIDDKAYKSFIDPPNTTIFVKSYDTEDKEDNALDPNGELCEYLKGVAEAEDVQSYVKDNAFGLPPLTSTHPHWSYYTQIFTPQFLNFWSAGK >RHN75273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40832312:40839415:-1 gene:gene11449 transcript:rna11449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MGMRNDGQKQQLLQQGGVGIGVVGGGRTNGFLPTSFRAISSYLRIVSSGASTVARSAASVASSIVDRDDVADHDQVIWAGFDKLEGEGDIVQQVLLLGYRSGFQVWHVDESNNVRDVVSKHDGPVSFMQMVPNPIASKKSEDKFASSRPLLVVCADGFFGGGSNIKDGLTGSPNGTASNSHDQMNGNYMPTTVQFYSMKSHSYVHVMKFRSVVYSVRCSSRIIAVSQSTQIHCFNATTLEREYTLLTNPIALSCPGSGGIGYGPLAVGPRWLAYSGSPVAVSTSSHVSPQHLTPSASFPGFSSNSSLIAHYAKESSKHLATGIVTLGDMGYKKLSRYCSDNIGSVQSVNSGSKVNGSINGHSADLDNVGMVIVKDIVTKNVVAQFRAHKSPISALCFDPSGTILVTASVQGHNINVFKIMPLRENSSASDAGPSHVHLYRLQRGFTNAVIQDISFSDDSKWIMISSSRGTNHLFAINPQGGYVNIQSNDDNFNTKTNGLSTATNQSVRRASILAVQMPKQQSLYVGGPPITLSVVSRIRNGNNGWRGTVSGAAAAATGRKSPLYGAIASSFRNCKGSGAVYGDGNNSKANHHLLVFSPSGSMIQYALRTITGQDSAVVSGLSPAHESTPQAEARLVVEAMHKWNICHSHSRREREDNVDIYGENGIADSNKIYPEVVDEDIIIPKMRNGVTKVNPCLKEEHHLYISEAELQMHQTQIPLWVKPEIYFNPMLKESTIMDEEDASGGEFEIERIPTCMIEARPKDLVPIFNYMQAPKLQQTRAPAMDRKINEQVLHHRSESYGNGRISPRSVSETPEYMNNYGGEVITEHENHIEGTEWGNHVMPSETTGFVNNNDNLKPNTQHEIVNNRKEHLNMGAQLMLVNSDKRPENEEHLEENEDEFD >RHN53571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2625884:2627283:-1 gene:gene28492 transcript:rna28492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NTF2-like domain-containing protein MDVVAKFPTRVSCHYPGIYLDIKKRLTLSFSPRKTTCNLHKKIEIQQRSICVKNVKNGTWDSNRISAILSKRGPKSGKNSMSPAEIVDHFYTCINEKELKQLDEYISQDACFYDYTFINPFQGKKEVMHFLQQLTAGMGQNVKFIVKNICEGDDLTVAAKWHLEWKKEQIPFTTGCSFFQLEKVEENMTIRRAEIFIESLVKPGSIVLTVLKTMTSLFDDFPNAAEWFLRRPHAILIWIMKIYNILVAPFLNPLLDSYIKLWSFMIRLLSYAFSAAMFISKTIFK >RHN79466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29225802:29226458:-1 gene:gene3260 transcript:rna3260 gene_biotype:protein_coding transcript_biotype:protein_coding MASFIAKSISIMSDTVSSLTSDVKAIFFTLCTMFLCLFIGITNLAFLSLFITPLKLNTPLVHLDSITVTSLNTQAVDLTATLNLTLFFHAPNYDEKIVCYKNVEVIVWWSGKDDIFLGRTILSPFTQAPGSVTMIRAGVTVPPGISNDRDIAIGLGAERDRGSVQIGVILLGYMVTDSASVSMTFKFGPNAVKFPAGSRNGAWSWAIPRPILMHNFMV >RHN47100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37145404:37147979:1 gene:gene41656 transcript:rna41656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-tyrosine-phosphatase MGMIVEVENIDEDDDVLIPPPNFSMVEDCIYRSSLPKPSSFPFLQTLNLRSIIYLCPEPYPEENLDFLKEQNIRLFQFGIEGKTEVSLPALRDSIMEALKVLVDVRNHPILVHCKQGKHRTGCLVGCFRKLQNWCLSSAFEEYQRFAGVKSRAADLTFIERFDLVSLRQCLYSIIYQYQGASKKRRLMYQDENIQKPRLTSF >RHN60743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30063253:30064847:-1 gene:gene23100 transcript:rna23100 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQVREPIFGKKSRSEFAHSWNKTVDQTFGPLIKSLSSRGW >RHN66229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9892950:9894152:-1 gene:gene14172 transcript:rna14172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MIYFHTAVATSSMASVDWSELPKDIIFLISQRLDVELDLIRFRSVCSTWRSSPIPNDHNILPFQFPLLKYVPTPDSISNNNEIIDNIENTSTSFGHLSKRSFFLVKPPQEQQQQETLIRRRPWLIRITQNSSGKTQLLKPPFLSLTSTAFSHLPNVVDFTKFSPQHLATDFIIDKDDLTFQNQHSSYLYPQKILAVTCPEKKPLVLGTLSYCSSKRVLFHDRDKRWTPVSNLSTAHGDICLFKGRFYVVDQSGQTVTVGPDSSTELAAQPLYRRCVRGENRKLLVENEGELLLLDIHQTFFQFSIKFFKLDEMEKKWVKLKKLGGRVLFVGSGCSFSASGWDLCLPKGNCVIFIDTSVLSSDNMAGGNRVFHLDRGQLSHVSEYPECENLFLPPEWILKI >RHN48244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46068339:46069625:1 gene:gene42939 transcript:rna42939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MSLLSYNRNPLGYIHPPTTKLKIKPAPSMAVFSSRGPNTITPEILKPDVTAPGVNIIAAYSGAVSPTKLDSDKRRVPFMTMSGTSMSCPHVAGVVGLLKTLHPTWSPSAIKSAIMTTARTRDNTVKPIVDDINVKATPFDYGSGHIRPNRAMDPGLVYELNINDYINFLCFLGYNQTQISMFSGTNHHCDGINILDFNYPTITIPILYGSVTLSRKLKNVGPPGTYTASLRVPAGLSISVQPKKLKFDKIGEEKSFNLTIEVTRSGGATVFGGLTWSDGKHHVRSPITVGGVKG >RHN81001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42513036:42515076:1 gene:gene4989 transcript:rna4989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MVPRRCFHLILLVLSCLCFSCRSEMESDFDKWISWNVKNYQRKTIMEKRYRNVSGNVQGLDPKLKKAESNKVRLKVSQDGSAQFKSITEALNSIQPYNIRRVIISIAPGYYREKIVVPKTLPFITFLGDVRDPPTITGNDTQSVTGSDGAQLRTFNSATVAVNASYFMAININFENTASFPIGSKVEQAVAVRITGNKTAFYNCTFSGVQDTLYDHKGLHYFNNCTIKGSVDFICGHGKSLYEGCTIRSIANNMTSITAQSGSNPSYDSGFSFKNSMVIGDGPTYLGRPWGNYSQVVFSYTYMDNSVLPKGWEDWNDTKRYMNAYYGEYKCSGPGSNTAGRVPWARMLNDKEAQVFIGTQYIDGNTWLISP >RHN51715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23324281:23332505:-1 gene:gene36220 transcript:rna36220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxalate--CoA ligase METATTLTGLLQSVAEKFPSRRAISVAGKFDLTHSQLHELVESAANHLISAGIKPNDVVALTFPNTIEYVIMFLAVIRVRATAAPLNAAYTSEEFEFYLSDSESKLLLTPLEGNNPAQTAATKLNIPLGSVCFNKTEEETKLSISLNQTESANSVSELINEPSDVALFLHTSGTTSRPKGVPLSQHNLVSSVRNIESVYRLSELDSTVIVLPLFHVHGLIAGLLSSLGAGGAVALPAAGRFSASTFWKDMIQYNATWYTAVPTIHQIILDRHQSNPEPVYPKLRFIRSCSASLAPVILGRLEEAFGAPVLEAYAMTEATHLMCSNPLPEDGPHKAGSVGKPVGQEMAILDESGRVLEADVNGEVCIKGENVTKGYKNNEEANKSAFLFGWFHTGDIGYFDSDGYLHLVGRIKELINRGGEKISPIEVDAVLLGHQDVAQAVAFGVPDQKYGEEIHCAIIPREGSNIDAEEVLKYCKTNLTSFKVPKKVFITDSLPKTATGKILRRLVAEHFVSQA >RHN61372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35227093:35230320:1 gene:gene23832 transcript:rna23832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructose-bisphosphate aldolase MASASASCFKSSLVLDKSEWVKGQTLLRQPSSMSVVRCNPTAPSGLTIRAGSYADELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAWRTLLVTVPSLGDYISGAILFEETLYQSTVDGRKIVDVLIEQNIVPGIKVDKGLVPLAGSNDESWCQGLDGLASRSAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTYEVAQKVWAEVFFYLAENNVQFEGILLKPSMVTPGAESKDKASPATVADYTLKLLHRRIPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTALKTWGGRVENVKAAQEALLFRAKSNSIAQLGKYTGEGESEEAKKELFVKGYSY >RHN47285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38482272:38485902:-1 gene:gene41863 transcript:rna41863 gene_biotype:protein_coding transcript_biotype:protein_coding MEITRYLSRRGYRRLDYGTTTTGRRKKMQIIRMRSPRRDWKIRTSPKLRWMIRSPLKLLTKVKNTYMNFMLKLAGNVGGALNTDNKFGMKRIPKARQVSNSKGYSGDAFEARLIFEISKTLVASHELYSMDWKIRTSPKLRWMIRSPLKLLTKVKNTYMNFMLKLAGNVGGALNTDNKFGMKRIPKARQVSKGYSGDAFEARLIFEISKTLVASHELYSM >RHN45835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26154516:26155469:1 gene:gene40256 transcript:rna40256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNSNGDPTSMLLVLLNEILSEILSRLPFKTLMQMKCVCKSLKTLISDPAFAKVRLQKSLCDASLTLIPNWSTMPDQDLNLNCSIVPFPVTGLVEDEEITIDDDPYYLISDKDCCQMVGSCNGLICYSSVAKNRNWLRFWNPATHSLSEKLFLLSHGRHTKFTFGYDISTKTYKVVAYSEYNVKILTLGDNVWRNIRSFPVVPFHVVPPNGNCHPFVNNGVYVSGTINWLAIRNTTEYQWTDILVEQFVIVSLDLATETYQQLLPPEGFVEVPTVEPSGYFVLWKMMEFGVQDSWTQFLKISF >RHN51922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28465231:28465898:-1 gene:gene36494 transcript:rna36494 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQAQGHGLGRNLCEGSSSSQRSQNDEFSNLSQVRPIGEFRNFMQTTFCITVGKTNKFNPNQFGWYYESCPKCPRSSRLNNGSYKCGCGEDVEVPFTRLFI >RHN65247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:549411:550418:-1 gene:gene13067 transcript:rna13067 gene_biotype:protein_coding transcript_biotype:protein_coding MISRKLDFDDLFEFAGVCKNWREFHKFYWRNFLASEEPLLVQKSSHYEKYFSFISLPDRKVYHSKVINHFWRLAYCGSSSGYLIMTTHNNSFMLMNLFTRRKMEINTSSFKVKFSTFAYHVLLAFGKGIEEFILVALCKSSGSLHVYQSRNFGWATYSTKGNPLKVVDFVVLQNTIYVVTDKANIGVLDLNSTNIKFLELKSMPDLTSTSHLRLVSCDGQLLVIHITSQEILNVYKIDFSIKNFVNLETLGDIALLYASSEYFYALTNPERWGFERNSLYAINLSSIKCTVCLGDVNKLPKYIRQDRHRISARKRPYLLDWCFKHLHYEVDYFLV >RHN61409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35431382:35435440:1 gene:gene23873 transcript:rna23873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L29 MAKTYELRQKTKPDLLNQLKDLKAELALLRVAKVTGGAPNKISKIKVVRLNIAQVLTVISQNQKTALRAAYKHKKYLPLDLRPKKTRAIRRRLTKNQLSLKTDREKKKEIYFPLRKYAIKA >RHN79817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32544297:32547303:-1 gene:gene3659 transcript:rna3659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosinetriphosphatase MIDKFSVSARGKELLKNASVKISHGKRYGLLGPNGMGKSTLLKLLAWRKIPVPKNIDVLLVEQEVVGDDKTALEATVVSANVELVKVRQEVADLQNAASCEEGADKDDTNAEEDAGEKLAELYEQLQLMGSDAAESQASKILAGLGFTKDMQGRPTKSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHNRDFLNTVCSEIIDLHDFKLHFYRGNYDASERGIEHRRSEVNKNYEIYQNQLKAAKRTGNKAQQDKLKDRAKFAAAKEASKSKSKGKVDEDEAQVEVPHKWRDYSVKFHFPEPTEFTPPLLQLIEVSFSYPNRKDFRLSDVDVGIDMGTHVAIVGPNGAGKSTLLNLLAGDLVPSEGEVRRSQKLRIGRYSQHFVDLLTMDETPVQYLLRLHPDQEGLSKQEAARAKLGKFGLPSHNHLSPIVKLSGGQKARVVFTLISMSKPHILLLDEPTNHLDMESIKALADALDKFTGGVVLVSHDSRLISRVCKDEERSQIWVVEDGTVRNFPGTFEDYKEDLLKEIKAEVDD >RHN43306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44814543:44824378:-1 gene:gene49856 transcript:rna49856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MGKPKVKKSGGTNKRIDNVTKRNSKQQTPEKADEHPEWLPNGWDIEVRTRQSGPAMGSAYKCYIDPSNTYKFYSKPEVLRHLETIRDRKCSSNKKKGTSKHSPSKEEGEHTKSKQHSPSKEEEKKTKSNQHSPSKEEEKCINMHSPNKGEEKHISMHSPSKDGEKCTNMHSPSNVAGENSIVEDLPPGWIKEVKIRKHRSGIKKDWFYIDPVSGYVFHSKKDVQRFLKSGDIAKCAIKPSRRQYQDEDNSTPSPAAKRQKRKQSTPMQKIFVAKEPVESLELPDANNSRKGQDVNMSSGTMVALDTSGESLKMDHCNHNISKNDVNVSHRFSRRLAGVEPVKLVDNDVVNVSHRFSRRLAGVEPVKLVDNVTNDQALLVPKRNLRKNRTTLGADMENKSSQHFNGVPKIEQPEAMDTSNTLSEVVFKDQPRQLERDKIEDNKPEFHTNSNKSSKKKEPSIPCRASKRLAGSEHRLMNSISCEIASKCKSTRSKEVIAELQQSEGGPVTKLTDHAPLHRESGNKRRKSPRVIPAADKQVEKPEVDDEKSEPQLSFAFHYSWSDPSLDYAINTLTGVLPPVDNSVDNGPSTVPETDILKPAFDNVTGRSRGSQNNSVYNGPTTVHETDIEKTLFDNVSRGSNDSQNNLLDNVTKSRDKNPPVQSKSKRKKEVKVPMRLSKRLAGIEPEASPSDKALEYSSRKPCKEEPTATVLLTNGGSNHLYVGEETKLTRHVSDSLKTEVLGESSRKSGKSYDTQTVHKEQQLERAEAKNISNDRSKSELTLPFGESWSDPCLEFAFKTLTGALPVDSSAEIFKVSTPSVGELPNNKLYGRVTTSMDKKVHDKTNQSQNNKERNMNDQSSKILLGQPELRTSSLSGKNMPKFTNGESHSHKGNIIRNQEPVLRTSSSSGKSVSELTTRESHNHEENMMRNLFGEPLYVEDENTTQLPHHSRTNAYSQIHEEPFKKNDQVAEGEFGTLEKPPGFETKMFKHGNGESHSHEGNNIRNLEPVLRTSSTSGKNVSTTRESHNHEENMMMNLFGEPLYVEDENTTQLLHHSRTNAYSQIHAEPLKKNDQVAEGEFGTLEKPPGFETKTFNHGNGESHSHEGNTIRNLEPVLRTSSPSGKNVSKLATGEFHSHEDNLMMSLFGEPLYVEDENTTQLLHHSRTNAYSQIHEEPVKKNDQVAEGEFGTSEQPPPFQTVMLNHDNTELQFCESFMNSWSDPCLEFAFKTLTGVIPVEENLAVQGGVQEPVNCHDGRDGVSALPDFGSSSFSQSDFSFHFDTGGKSMPGQQSSMSSPFPSLSLQGCPGVDPQRQYSQFNNNFQRR >RHN62331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42672734:42677224:-1 gene:gene24893 transcript:rna24893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casein kinase substrate, phosphoprotein PP28 MGRGKFKAKPTGRRNFSTHEDMVAGTSSRPKTFRQKEPENEDEATREVSEDESEEESEDENTKTKGVQGVIEIENPNLVKPKNIKARDIDIGKTSELSRREREEIEKQRAHERYMKLQEQGKTEQSRKDLDRLALIRQERAEAAKKREEEKAAKEQKKAEARK >RHN45485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21346734:21347795:-1 gene:gene39830 transcript:rna39830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin MLYLFLFLIWGILSSNILLSLICAFRNAYNMVVHKFGEKLYSGLVATTTSHLKEIARSLEATEGSSFLEELNRKWNDHNKALRMINDILMYVDKIYIPQTKKTHIYELGLNLWTENVIYSKQIRTRLSNMLLELVCKERAGEDVNIELIKNITKMLMDLGSSVYEQEFETSFLQVSAEFYRAESQKFIECCDCGDYLKKVERCLNEETDRMCHYFDPSTEKKITSVIEKEMIENHMLRLIHMENSGLVNMLCGDKYEDLGRMYNLFRRVTDGLSKIREVTTSHIRESLKQLLTDLERLDDIHVEFVQRLLDEKDKYDKIISLGFNEDITFQNAFNSSFESFSDEYISAEYILV >RHN79748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31816946:31817272:1 gene:gene3580 transcript:rna3580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MLDSFVGKVQKSLSVFVPRKHALSYINEDHATTTSDLADDVTKGYFAVLAKKGVETRRFIVGLDYLTDPAFLGLLDDAWEEYGFRQKGTLVVPCRPIDLQNILDSRKT >RHN54374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9093636:9095265:1 gene:gene29394 transcript:rna29394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKRKKVSIDAARTKVDNEAESHDELFPFFDNLPSHLTANILLRLPVKPLLICKCVCKIWKRLISEPHFAKLQFERAPLSFMIRTLDDIRVSRTMYLLECEPEKFEIGSNKHVKLEPIFKLPLISFRDKRSEISNEFNRPVRAARLVSGKNNENIDRDRTNRYSYTACNKYYDKFDIVNSCNGLLCLSEPTTGNPSVICNPVTGEFIRLPEATTNRTRVRMVGQVGFGFQPNTNEYKVIRMWIRHGKRANDRVILQINTLGTTILRNVEVDPQISFLSLEYPTCVNGALHWIRYENQQRSILFFCFESERLQSFPSPPHVFGNHNSGIVDNRHIMGELKGFLYICDWTFLSDVSVWVMNEYGIEESWTKVYNIDTSFNPSGCLITWGSGLWPIKHFEEGAAILLYHCYNCFIFYEPEKYGFKVFRIHGSHSKFFEVIPHIPSLISLKDVLKGDNIEVLNIHSRCAKFKLREERDVLSLFQEIV >RHN38627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:799668:806694:1 gene:gene44585 transcript:rna44585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane transport protein MNFLKLFLVALAPVLNTLFIAIIGAVLALDNIGILTKNAKKHLNIIVYFVFTPALLYSSLAKTITLKSLIMLWFMPLNILLRYIIGTTLAWILTKITRVPRHLHGLVMGCCAAGNLGSLPLIIVPAICKGRSHPFGDVDSCYHKGLAFTSLTMAVGHIYAWSIVYNILRIYTPKTMVVKFDESTKFEEINNPKNLSICSIRALTPIKEESLSNGHIDQLQVECKVIDGQEKVVEKPNIMKHLKILGDKIKLKELFAPALWGSMFGVIIGIVPQFRKLLVGQSAPLHVVQTSIVMLGEACIPSMILLVGANLLKGLKGLGNKVPLVVGIIVVMYIALPAIGICIVKGAAHFRLINSDPLYQFVLLLHYVVPPAVSISTMTQLLGVGQSECSLIMFATYSSAPLLLTLWCTVFMWLVL >RHN51376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16962302:16963632:-1 gene:gene35806 transcript:rna35806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MASYEVEVKLSSANNLKNVNWRNGPNRPYAVVWVDPSQKCSTNVAEFGDTQPNWDEEFLIPLPPSANINDYILFVDVLHSEPNDKPLVIGSAQLSLNEVQIGDGSVNRTLKLKRPSGRPQGTVDIKVQIKNKGYQAPYGVPPPYGVPQPYGVPPPAQQPYGTPYGAPAPAPYGNSYGGGVSVTYGNPYNTTPPPLGYPAPAPTPAPYAYGQGSQQGYGSTPSVNVTVGGAVAPEKINLIGQPNYGYGQQGSGYGQPAPTVNVTVGGAAPEKNSGQPSYGYGQSGQQGYGSSQSVPPVNVNVGREVQQEKKSSKFGLGAGLVMGAVVGGIGALALEKGIDHVEDQIADRAAEKVEVNEYYEDYYEEEEY >RHN73242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14682290:14684902:1 gene:gene9038 transcript:rna9038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MAPVPISSINVGHIDDVQELRRTKPKTVPQRFVRDMTERPTLLSPQNSDMPVIDFSKFSKGNKEEVFNELSKLSTACEEWGFFQVINHEIDLNLMENIEDMSREFFMLPLDEKQKYPMAPGTVQGYGQAFVFSEDQKLDWCNMFALGIEPHYIRNSNLWPKKPARLSETIELYSRKIRKLCQNLLKYIALGLSLEEDVFEKMFGEAVQAIRMNYYPTCSRPDLVLGLSPHSDGSALTVLQQAKGSPVGLQILKDNTWVPVEPISNALVINIGDTIEVLTNGKYKSVEHRAVAHEEKDRLSIVTFYAPSYDLELGPMLELVDENHPCKYKRYNHGEYSKHYVTNKLQGKRTLDFAKMEIMNNTN >RHN65458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2410098:2410716:-1 gene:gene13298 transcript:rna13298 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSRLFDSDPVLISKISSESDASGNVCCFLSFVWLCSLVSFIWFLLDLELLGYLLYQLNAFGVWCVRWLLSGDLSPRFSFAACVVRCLGFLASGVVLFISGLGSSYCWDIEAWLCIWFLARMYTMYLAGGVVVFCVGHVMSLVLCWCCSSFMQLGGNFGVVP >RHN79034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21409129:21416331:-1 gene:gene2720 transcript:rna2720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase (ATP-hydrolyzing) MEKRCEPDTFIDSIKRKRKIQKQWVYEDGKIVHRKISYVPGLYNIFDIILVHAADKKRRDPSMNRLRVKIDTKMNEISVYHNGAPLIGDETFDDVINNFDLTNSYSTCFWVELADECGISRQEFSNNMDVKFERVVCKVDDYSTMVTFKPDLTKFEMTCLEEDVVALMKKRVLDMAGCLGDTVTVELISEVIPFNSFKGYADFFLNCAQESTPFPLPRTHAKLSDSLEICLSLSDGKFQQVSFVNSIATIKGGTHVDYITKQITTYIKKEVLKMKEHVNVNADTVKNRLWVFVNARIDNPTFHSQTKEMLTTKPARLGLNSRLTHGQKSEIAKTLLPRIRICLKRTHVETHVEAMLAGGSERGKCTLILTHGEFAFAMAGVSAWLDPDLYGVFPLSSKLLNVRDSRKLLKKKEIQILMTILGLVRNKKYSDAESLRYGRLMIMANQDEDGAYLKGLLINFIYSFWPSLLKVSKFMSVFTIPIIKASNSKEGKELSFDSMQQYEDWEKDLGNTSTDDWEIEYCKGFTAAQEVKEYFQDLGERRAYFVWDDDDEQDGTSTSIELAFSKKAEEWKAWVCNSQMMQPGTCNYESKSIKYRDFVNKELLFFSMKNLQRFIPSMVDGLTLGQRKTLFCSFKMKLTKPTEVFRLTTYVALHSFFHDDEQSIASTIIGMAQDFVGSNNINLLIPYGQFGTRELGGKDHASFRKLCIKLNCVTRLLFPVDDDKLLEYLNEDGKSVEPNWYIPIIPLVLVNGCHAMGTCFSSDIPKYHPCEIIENVRRFLNKEVMVPMKPWYRGFRGTIKKSAKGYTVNGLVERINEQTVRIEELPIRMWTEDYKKFLEKKTAQAHIESFRQIGVYEMVDFKVKMKPEKIATTEDEDKEELRRKFNLTCTISTSNMYLFDAEGKIMKYNSPEQILEEFCRLRQEYYVKRKEYLVKNFKQLLRSLKMKQTFITNVVYASCRIYRRAEFLTEILKKVKSSEPQVAGAIDYGSEEQKADEQETVATLGDYKYLSSLPLESFTRESLVKLEAELGEKKKELKTLKDTSPDSMWLNDLMLFEKEFDKLQKIQTEKDRNRSSMLKKKNDFAIDAKKLSQPRNNQKEADDDIPSLACHFESSSGEKIRGTFFGDLVQEKATPAKEGPTKAATTSKRKNVQPAESAGLSPNRKKVRMTRESPINKRSGSILDRAGNLDLSLNTDLFDDSTNDSYMSDNSDDEQRWTRDISDSDSDGQ >RHN78342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14261005:14261421:1 gene:gene1885 transcript:rna1885 gene_biotype:protein_coding transcript_biotype:protein_coding MRCWECVSSKRGGAENKTKGIWALGEARRVWAWNRLVQTGSKVEPVQSSIINRHLRFFLSFFIFPSLSSLILEREALPSLFPFFIFSGQVGPSGAATGESSRRRHRAGVKNFSFFYFFVLSPSFHSLFLIFEPKNYFY >RHN59809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13475426:13477592:-1 gene:gene21965 transcript:rna21965 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSLNLALIICVIGGLSIMEFWYLRDFSVAQKICPLGDAIILNSTNVGLIPRGLVSERP >RHN45416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20381071:20385305:1 gene:gene39745 transcript:rna39745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MAITMDYILGTKYILVISCILYITLLGSSNAAPTTTYNVIKFGAKPDGKTDSTIQFVNAWKSACASLIPATIYVPKGRYLLKNINFRGPCKRKVTFLNGGTLVAPEDYREIGNLGSWILFNHVDNLVVSGGKLDGKGAGFWNCRRSGKNCPIGARTMSFDWVNNLVVSGITSINSQLSHLVINNCNDVVVKNVKLIAPDKSPNTDGIHVQYSNRVTINGCIMQTGDDCISIGDATYNLLMSNIKCGPGHGVSIGSLGQKVEEKGVENVTLTNAIFYGSDNGVRIKSWARPSNGFVKNVLFQNIMMNNVENPIIIDQNYCPNNLGCPGQTSGIKISQVTYKNIHGSSATKEALTFDCSPSTPCQGIKLEDINLSYNKKAATSSCKNIGGTSSGTLVPESCLSN >RHN49133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52674195:52677862:1 gene:gene43930 transcript:rna43930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MADQEETEELKLLTEWKRKKGGFRASMFIFVLSALDNMGFVANMVSLVLYFYGVMHFDIPSSANTLTNFMGSTFLLSLVGGFISDTYLNRFTTCLLFGSLEVLALALVTFQAASDHLHPNACGKSSCVKGGIEFMFYTSLSLLALGIGGVRGSMTAFGADQFEEKDSNEAKALASFFNWLLLSSTLGAITGVTGVVWVSTQRAWHWGFFIITIASSIGFVTLALGKPFYRIKTPGDSPTIRIAQVIVVAFKNRKLSLPESHEELYEISDKDGTVEKIVHTKQMRFLDKAAIPQEYIKPQPWKVCTVTQVEEVKILTRMLPIVASTIIMNTCLAQLQTFSVQQGNVMNLKLGSFTVPASSIPVIPLIFISILVPIYELFFVPFARKITNHPSGITQLQRVGVGLVLSVISMTVAGIVEVKRRDQSRKNPSNPISLFWLSFQYGIFGIADMFTLVGLLEFFYRESPSSMKSLSTSFTWLSMSIGYFLSTVFVNLINVVTKRITPSKQGWLHGFDLNQSNLNLFYWFLAILSCLNFFNYLYWASRYKYKSEDQNSSPIGLKSLHEMPLKMIGGTKQNWEGSIGGHTQD >RHN42061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35153162:35158511:-1 gene:gene48452 transcript:rna48452 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVPLVLVQVQLKPLIDQIGRVKDLPVPEFGSLQAWEARASAAGRAANGDNAGDSNMGYNGAPLPYSGDTKVVVDFNGTEGKGDGVKSETDSTSLKVLPPWMITSGMNLTKEQRGEVKQETKTDGTSTSTAAQYTDEKKSTIGHDEKNIQDEYIKAYYAALLKKQHELEEAAKIPQDMNTADDPFSSTSNRQAGMKSKRGEDDDGTEWEEAPVRGNGGYKVDLNVEADAPAEDNEDDVDWEEG >RHN55230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16295282:16298065:-1 gene:gene30375 transcript:rna30375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK-LRK10L-1 family MNRKKKLLLLVILAFHCCNKFAFGKLLHNFELSVCLLCVPLHVTLFFLPFHFLLFLSPNPRTSLLTSLYSLPSHSPSLRSHLNQSPINDFITYHTFTLHPSTMSLTTLLPFLVLLLFPTSTHTCPTNNTTKTHPSPCPPFQSTPPFPFSTTPGCGHPSFQLTCSTPHSFITINNLTFSILSYKPNSTSIILSPHNPISQQNNNTKCPTTSSIPNKPINLSNTPFTLSDETCSRLSFLQPCSPPNLPNCSHCPWQCKLIKNPSEIFKSCRSMHHSVSDNEPSCQSDVLVYLNEILIQGIELEWDEALTQDTYFTNCKECINNNNGFCGFNSSDTKKQFVCYHFHSKSTLSPPWIHKMKPSKIAVFAIVIAFTSLILFLSVVISILRSRKVNTTVEEDPTAVFLHNHRNANLLPPVFTYDELNISTNNFDPKRKIGDGGFGSVYLGNLRDGKLAAVKHLHRHNHTAAFSSKSFCNEILILSSIDHPNLVKLHGYCSDPRGLILVYDYVPNGTLAEHLHGSKSKRKGYMMTWQTRLEIAIQTALAMEYLHFSVKPPIVHRDITSSNIFIEKDMRIKVGDFGLSRLLVLQESNQTTSSGGFVWTGPQGTPGYLDPDYHRSFRLTEKSDVYSFGVVLLELISGLKAVDYCRDKREMALADMVVSRIHTGQLKEVLDPVLDLGNDNDALDAVGAVAELAFRCVASDKDDRPDSKEVVGELKRVRSRISGGITRSMSTT >RHN49703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:413058:416484:1 gene:gene33908 transcript:rna33908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like ferredoxin MLGHERGGSFTLNQEQPLKGLKDSNFDSFFNDVIVSCKPWDSGVQDVIAGSYNFVCAHGSRDVRCGVCGPVLIDKLNEEIQLKGFKDQMEKLWVIGKLSQFAYLIFNYMYGYVTPNDVPALLDQHIAKGEVIQKLWRGQMGPPIAEVKGENDEKLANGKNINVESNNKNIVGCCQGVDGVSCGHLFLGYELPPPTQLNYIHAITTRSCTSLAIKEPNLSTTNTHHSSSTNRDKITPKGRRRWYAPQTKEKEKKKKKKGGCTPTSTMFSTVISLIKYRGIIYLTNSIQ >RHN59724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12712954:12713250:1 gene:gene21829 transcript:rna21829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S18 MDKSKSKRLFLKSKQSFRRSLSPIQSGDRIDYKNMSLLYRFISRQGKILSRRVNRSTLKQQRLITIAIKQARILSLLPFVSFNSLTLPNGKKNMKKKI >RHN76813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1479915:1482902:1 gene:gene191 transcript:rna191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcriptional coactivator Hfi1/Transcriptional adapter 1 MQPQQSSRIDLGELKVQIVKKIGPDKSKRYFYYLNRFLSQKLSKSEFDKLCFRVLGRENLPLHNHFIKSILKNALQAKTPPTVHPSDSSKSGARVTNLSPGGEDGHEQSAASFQNHNASIWTNGVLPVSPRKLRSGMRDRKLKDRPSPLGPNGKVDSVAHQYTATEDSGSKVDMENGTLTPCDYQRPTQHLQVVSELPEIVMGDGMQGISENQRIRGKGLTERSTVEDGEEAEQLNRLNFARNPLIAPLGIPYCSASVGGARKALPVNSAGDFVSCSDSGRLSDTDTLRRRMEQIAMVQGLGGVSPECASVLNSVLDVYLKRLIKSSVDLVGARSANEPTTTKFPVSKPQIPGKLINGMLPNHHLHVQSAGRLAESEPEHRSQFSVSLHDFKVAMELNPKQLGEDWPLQSEKISMQSFEK >RHN54168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7505734:7506063:-1 gene:gene29161 transcript:rna29161 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFSSFLFLFYSLLLILVERANHHQANHRAGKHWSKTPPPNPSPVRTKQRERKEEQKRCNVQGRRGRARSTKEKSHRSTAGENLSAGKMVMVERERIPEKRRERIEQK >RHN51562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19897351:19900036:1 gene:gene36022 transcript:rna36022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MKEVAKKIDVIAEERIKFGLQAVVMEDRQRGDDEWRQTTSVVTEPKVYGRDRDREQVFEFLLSHAVDSEELSVYSIVGVGGQGKTTLAQVVFNDERVDTHFNLKIWVCVSEDFSMMKVLQSIIESAVGKSPDLSSLESMQKEVQKILQNKRYLLVLDDVWIEDQEKWNQFKYFLQRGNGTKGASILVTTRLDIVASIMGTYPAHHLLGLSDDAIWYLFKQKAFETNREERAELVAIGKELVRKCVGSPLAAKVLGSLLRFKTEEHQWLSVKESKFWSLSEDNPIMSVLRLSYFNLKLSLRLCFTFCAVFPKDFEMVKEELIHLWLANGFISSVGNLEVEHVGQEVWNELYARSFFQEVKTDKKGEVTFKMHDLIHDLAQSITGEECMAFDDKSLTNLTGRVHHISCSFINLYKPFNYNTIPFKKAESLRTFLEFDVRFLNSTLPSIPSLRALCTCSSQPSTLKSLTHLRYLEILNSRIKTLPESVCRLQNLQILKLVCCPDLSSLPKKLTQLQDLRHLVIKHCNSLDSMPSKISKLTCLKTLSTFIVGLKARFGLAELHDLQLGGKLHIRGLENVSSEWDAKEANLIGKKELNCLYLSWGSHANSQGIDTDVERVLEALEPHTGLKGFGIEGYVGIHFPHWMRNASILEGLVDITFYNCNNCQRLPPLGKLPCLTTLYVFGMRDLKYIDDDIYESTSEKAFISLKNLTLLGLPNLERMLKAEGVEMLPQLSYFNISNVPKLALSSLPSIELLDVGQKNHRYHSNKGVDLFPERIVCSMHNLKFLIIVNFHELKVLPDDLHFLSVLKELHISRCYELKSFSMHALQGLISLRVLTIHKCHELRSLSEGMGDLASLERLVIEDCPQLVLPMETAGYYRA >RHN40892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23860426:23863209:1 gene:gene47136 transcript:rna47136 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEQSDNAVLASYVTPNSTVSQQNEIFSLEDLAWVDSCLNNDFDTSESDWIPMRNALLEIISSESQSSKVDGGDGNQSIPSSEESNTTFQLNQSSSTSDVEHLQSRSSTYNVSLISMATETSIDTEFTDSLSSSTFQGNPFLPTYNEDLRPNKTFDLGLDLGSATYEMDQTSENIFKFWDMGYATDESEQASESIFKVWDLDIPSEEGELVKELKKALSKNSLRKDMKEESLDDLIAGIADLSLNKKV >RHN66153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9095830:9101538:-1 gene:gene14080 transcript:rna14080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MGKTTLAKLVYNDNKIEEHFELKAWVYVSESFDVVGLTKAIINSFNSSADGEDLNLLQHQLQHILTGKKYLLVLDDIWNGNAECWEQLLLPFNHGFSGSKIVVTTREKEVAYHVLKSTKLFDLQQLDKSDCWSLFVTHAFQGKNVCEYPNLESTGKKILDKCGGLPLAVKSMGQLLRRNFSQHEWIKILETNMWRLSDGEHSINSVLRLSYHNLPSILKHCFSYCSIFPKGYEFEKGELIKLWMAEGLLKCCGSHKSEEELGNEIFGDLESISFFQRSNEDWNHYAMHDLVNDLAKSVSGEFCVQIEGARVEGIFERTRHIRCYLRSNCVDKLIEPICELRGLRSLILKAHKNVSISNNVQHDLFSRLKCLRMLSFRSCGLSELVNEISNLKLLRYLDLSYTLITSLPDTICMLYNLQTLLLERCNIRELPSNFSKLINLRHLKLPYETKMPKHVGKLENLQSFPYFIMEKHNGADLKELENLNHLHGKIHIKGLGNVIDPADAVTANLKDKKYLEELLMDFDGGREEMDDSIVESNVSVLEALQPNRNLKRLTISKYKGNRFPNWISRLPNLVSLQLRDCKEIKIIGADFYGNNSTIVPFRSLEVLEFKRMDNWEEWICLQGFPLLKKLFISECPELKRALPQHLPSLQKLSIDDCDKLFFGGNRHTERKLINFTFLEELYLDFTGLVECPSLDLRCHNSLRKLSIKGWRSYSLPLELHLFTNLDYLRLCGCPELESFPRGGFPSHLTDLVIFDCPKLIASREQWGLFQLNSLKSFKVSDEFENVESFPEENLLPPTLESIWLFNCSKLRIINCKGLLHLKSLKYLKIYNCPSLESLPEEGLPNSLSTLWISGSPLFQEQYQNEEGDRWHIVSHIPSVQIF >RHN68608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37055029:37057194:1 gene:gene16980 transcript:rna16980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAGGGLKADAPEMDVESKITLSVVITCIVAASSGLIFGYDLGISGGVTTMMPFLEKFFPEVLEKAAKAESNTYCVYDSQMLTLFTSSLYLAGLVASLIASRITSTLGRRNTIMLGGIIFLVGSAITGGAQNITMLIIGRILLGFGIGFTNQASPLYLSEIAPPKWRGALGTGFNFFMQVGVVAAGFINFVAAKHPWGWRISLGLAVVPAALITIGALIIYDTPNSLVERGKIDEARKALHEIRGSDNVEPELEELVKQSQYAKSLKQEPFLTILERQYRPHLVIAVAIPLFQQLTGINMVAFYAPNLFVSIGFGHEAALLGNIILGLVNIVSVIVFSTIVDRVGRRVLFIIGGIQMLISQIAVAIVMATATGVHGTGSISKGNGTLLLVLMCFYSAGFGWSWGPLLWIIPSEIFPLKIRTTGQSIAISVQFITIFVLSQTFLTMLCHLKYGAFLFHSAWIVVMTLFIILLLPETKGLHLDSIYDIWCKHWYWRYYVKGTLIP >RHN54215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7867363:7874709:-1 gene:gene29215 transcript:rna29215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MSAAKLTSCLAAATAAAAASISSQSNPAYSESFFRFPFFSSSPSNSPPPTDQSSDKKSDSPPPAEEPNKSGFDPESLERAAKALREINSSPHAKKVFDLMRKQEQSRLAELDAEKVNYELIQTQGDIDRLRKMAEEQRNLIQEQNQRQAQVLRFEDELARKRMQTDHEDQRRHNVELVQMQEKSFVRKEQARKDSEEQMQAQKLLTEQKKAEIDKETIRAKEKANAEKRIRLKVLTEEQNRRELKDKLQGETDKWIAAINATFSHIEGGLRILLTDRDKLLMTVGGATALAAGVYTTREGAKVTWGYINRILGQPSLIRESSMAKFPGSRMMSQAKNKVLNYSTLAREKKSVGIQNGLGNVILHPSLQRRIVHLARATSNTKAHQAPFRNMLFYGPPGTGKTMVAREIARKSGLDYAMMTGGDVAPLGPQAVTKIHEIFDWAKKSKRGLLLFIDEADAFLCERNSSHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDSAITDRIDEVIEFPLPGEEERLKLLNLYLNKYLCDESNGSKGGLFMKKQPQQITIKDLSEDVLKEAAKKTEGFSGREIAKLMASVQAAVYGRPDCALDSKLFREIVDYKVVEHHQRLKLAAEGGLPA >RHN70224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49829755:49830335:-1 gene:gene18782 transcript:rna18782 gene_biotype:protein_coding transcript_biotype:protein_coding MLWPRPPSWKSQVWSSLYQDGDWYWVNRHSWWSRLLWALLIRLLLEILLRVLLIWELLVWLLLVWLLLVWISWELRILWYLRILRYLRMVICLLKRVRGGCDGSNVLIGWISLLRPSMSHHTDFLFFLPREMVPGLLGPTGRSCILY >RHN64765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61663535:61664577:1 gene:gene27620 transcript:rna27620 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNCIVFSFVFVTFIIKLINYLYHFFTQLTGTTLLYAGVED >RHN58589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3039872:3042894:1 gene:gene20513 transcript:rna20513 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLAVAPNNHRVRQNTFQQLFLYRRQIFQQLPRVVQTMNSGPQGREQQYTSNRGH >RHN57151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36886023:36889621:1 gene:gene32654 transcript:rna32654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase, protein trichome birefringence-like 28/ESKIMO 1 MQSFRRKTPLFNSEAGTMKGRKNNNLSIFVVVFSICLFAVFIYNEDVKSIAEFPFSRPKVQETHQEKPNKIESLQKDTKKVVVEEDTVDVDDTKKDVVEETVTVKASKNSRTKPEKSVDDDEDSDEPQERVKVKKIVMTEKEEKIEYLEEEEEDEEEVELPPKDCDLFNGKWVLDNVTHPLYKEDECEFLTSQVTCMRNGRRDSLYQNWKWQPKDCSMPKFKPRLLFKKIRGKRLMFVGDSLNRNQWESMVCMVQSVVPSDKKTWYKTGSFAILKITEPGHIITTVEFYWAPFLVESNSDDPNMHSILNRIIMPESIEKHGVNWKEADYLIFNTYIWWMNTFNMKVLRGSFDEGATEYDEVSRPVAYERVMKTWSKWVDDNIDPNKTKVFFTGTSPLHIKSEDWNNPDGIKCAKETTPVLNMSTPLNVGTDRRLFVIANNVTKSMKVPVYFLNITTLSEYRKDAHTSVYTIRQGKMLTPEQQADPTTYADCIHWCLPGLPDIWNEFLYTQIISQS >RHN42233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36558886:36560342:-1 gene:gene48649 transcript:rna48649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucoside 6''-O-acyltransferase MTGIQLISTSAIQARNHGGDKSTHKIIHLTPWDLGLLKIDPIQQGLLFHKPKTNQIQHLKQTLSSTLHFFPPLVGRLVISQHDEHNNASCSIICNNAGALFVHAIAENTTIAHILRPNYVPPIVHSLFHLNGVKNYKGTSQPLLAVQVTELIDGIFIGIEMNHVVVDAKSFWHFVNSWVEISHGFNKPTKIPSFERFFPNNINHHPIRFPFTKETQSQQFEVSHPHRIFHFTKEQILQLKSKANAEISSSCGGDKIIISSLQALLSHFWRLTISKQNLKPEENTIFRLPIDCRTRMCPKLAENYFGNAIGVYGDVIMKVGELIKEGGIGKAAMEMNKMISKESHHEKVMNNYESWLKTPIIGEAGMLRSSNVYLVSNSPRFDFYGNDFGWGKPIAVRNGVGLQKNIGKVTIFCGAEEGSIDIQVCLPYDILEAIGNFHMSMKN >RHN59902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14559011:14560394:-1 gene:gene22070 transcript:rna22070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-methyl-2-oxobutanoate hydroxymethyltransferase MLVHCRAVARGAKTPLLVGDLPFGTYECSSNQAVDTTVRNLKEGQMDAIKLEGGSPSRIVAAKAIVEAGIAVIGHVGLTPQAISVLGGFRPQGRNVASAVKVVETTLALQEAGCFAVVLECVPAAATTALQIPTIGIGAGPYCSGQVSWPNIHVCLD >RHN54790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12300252:12300602:-1 gene:gene29876 transcript:rna29876 gene_biotype:protein_coding transcript_biotype:protein_coding MVGITNYKYSATASNVVCLCCSCFRQYDLYSCTSIPGKPCNDYTAEKGHKMLIACEDDKIYEMAMRAAERALSTIRIVIFGDVNVIGGKHFYVKGDIYISIFVAVKLIDNISFPCF >RHN61855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38897858:38908220:1 gene:gene24359 transcript:rna24359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ALOG domain-containing protein MSTSGSNFHGKNLEQETSSTTISVATLSRYESQKRRDWNTFGQYLNNMRPPVPLSQCNSNHVLDFLRYLDQFGKTKVHVQGCMFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSPDTNPFASGSIRVYLREIRESQAKARGIPYKKKKKSTSQSKGSEESSSSNIQFS >RHN81458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46067080:46071675:-1 gene:gene5505 transcript:rna5505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MGGSENPKAMVVGRLADSIREISGLPECQNVCKKMYGNLIRRVKLLSPLFEELKDCDESLSDEEIEAFESLRVALDLTMILLKSVNHGSKLYQALRRNDTADKFQQITEKIEAALSDISYNKLEISEEVQEQIELVHAQFKRAKAQTEFADLQLDLDIAVAQKDKDPDPAILKRLSEKLHLRTMNDLKKESSELHELVITSNGELGDSFETVSSLLRKLKDCVLAENPEVDTYDSENVPIKHRSPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIQKWLDAGHRTCPKTQQTLLHTALTPNYVLKSLIGLWCDSNGVELPKKQGSCRTKKSGTSLSDCDKTAIKALLDKLTSNDIEQQRAAAGELRLLAKRNADNRVCIAEAGAIPLLVDLLSSTDPRTQEHAVTALLNLSINESNKGTIVNAGAIPDIVDVLKNGSMEARENAAATLFSLSVLDENKVAIGAAGAIPALIKLLCEGTPRGKKDAATAIFNLCIYQGNKARAVKAGIVAPLIRFMKDAGGGMVDEALAILTILAGHHEGRTAIGQAEPIPILVEVIRTGSPRNRENAAAVLWLVCTGDLLQLKLAKEHGAEEALQGLSENGTDRAKRKAGSILELLQRIEGEDSLQNT >RHN50438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7125601:7126920:1 gene:gene34721 transcript:rna34721 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MRFYLFLSLLFFCFCGVSLTETQNHGFKMELIHPTSSRSPFYNSKEAQIQRVSSVINHSINRAHYLNHVLSFSRNDMPKPTIIAHDYMGPYYVMSYSIGTPPFQLYGAMDTGSDGIWFQCKPCKPCLNQTSPMFNPSKSSTYKNIHCSSPTCKRFENSHCSSNHKRKCEYKVSYLSKSMSQGDVGIDTLTLNSNDGSPISFSRIVIGCGHKNSLKTEGGNTSGIIGFGRGPLSLISQLSSSINGKFSYCLVPLFSNANSSSRLNFGDTAVVSGPEVVSTPLVGTTGYFTNLEAFSVGDHIIKFNDSSLIPDKEGNTIIDSGSTLTILPEDVYSQLESTVASMIKLKRVKDPNQQLSLCYKSTLKIDKVPIITAHFRGANVTLNAVNTFIEINHEIMCFAFSSSIVPFVIYGNVAQQNFLVGFDTLNNIISFKPKDCTKQ >RHN43809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48650484:48651733:-1 gene:gene50438 transcript:rna50438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MHFHIQSKTLFPRISNNFRVLFFNLYGTQDSKFPEYEMPTVTWGVIQGRKEKLVSRVIIFDYLKGLGIIPDELQDLELPSTVEVMRERVEFIQKLGLTIDDINQYPLILGCSVLHASVIVELAPVIKFLRGLDVEKDDIGFVLQKYPELLGFKLEGTMSTSVAYLVSIGVNPRDIGPMVAQYPYFLGMRVGTMIKPFVDYLVNLGLPKKILARMLEKRAYLLGYVLEETMKPNVDCLISFGLRKECLPSVIAQYPQIIGLPLTAKLSLQQYFYSLKLKIDSEGFAKVVEKMPQVVSLHQNVIMKPVEFLLGRAIPLQDVASMVIKCPQLIALRVELMKNNYYFFKREMGRPVKELVEFPEYFTYSLELRIKPGY >RHN53017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41260488:41271599:-1 gene:gene37761 transcript:rna37761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MGSKKEGEVMKVKEGGSIGIILRYGDWIDVVLMLIGALGAIGDGMSTNVLLLFASRIMNSLGGSNDDNSETSMSEVEKCSLYFVYLGLVALLVAFMEGYCWSKTSERQVLRIRYNYMEAVLRQEVGFFDSEETNTSEIIDSISKDTSLIQEVLSEKVPLFLMQSSSFISGIAFATYFSWRLALVAFPTLLLLIIPGMIYGKYLIYLSKSSMKEYGKANAIVQQALSSIKTVYSFTAEKRIMERYSDILDRTSKLGIKQGIAKGLAVGSTGLSFAIWAFLAWYGSHLVMYKGESGGRIYAAGISFIMSGLSLGVVLPDLKYFTEVSVAASRIFAMIDRTPEIDSEDTTKGIILETISGKLDFEHVKFTYPSRQESVVLSDFNLKIEAGKTVALVGASGSGKSTAIALVQRFYDANEGVVKVDGFDIKSLQLKWIRGKMGLVSQEHAMFGTSIKENIMFGKNDATMDEIVAASSAANAHNFIRQLPEGYETKIGERGALLSGGQKQRIAIARAIIKNPVILLLDEATSALDSESELLVQNALDQASMGRTTLVVAHKLSTIRNADLIAVVSNGCIIESGTHNELINTPNGHYAKLAKLQTQLSINDDQDQNQNQEQSILLSAARSSAGRTSTARSSPLILPKSPLPNDIIISQVSHSHSHPSPSFSRLLFLNSPEWKQGLIGTLSAIANGSIQPLYALTIGGMISAFFAKSHQEMKHRIMNYSLIFTALSVASITLNLFQHYNFAYMGAKLTKRIRLCMLEKILTFETAWFDEEKNSSGALCSRLSNEASMVKSLVADRVCLLVQTASAVTIAMIIGLVVAWKLALVMIAVQPLTILCFYTRKVLLSTLSTKFVKAQNQSTQIAVEAVYNHRIVTSFGSITKVLRLFDEAQEAPRMEARKKAWLAGIGMGSAQCLTFMCWALDFWYGGKLVEKREISSGDVFKTFFVLVSTGKVIAEAGSMTSDLAKSSTAVASVFEILDRQSLIPKAGEETNGIKLEKLSGKIELKNVDFSYPSRAKTPILRKFCLEVRPGKSVGLVGKSGCGKSTVIALIQRFYDVERGSVKVDNVDLRELDIHWYRQHTALVSQEPVIYSGSIRDNILFGKQDASENEVVEAARSANAHDFISSLKDGYETECGERGVQLSGGQKQRIAIARAILRNPIILLLDEATSALDVQSEQVVQEALDRIMVGRTTIVVAHRLNTIKELDSIAYVLEGKIVEQGSYSQLKHKRGAFFNLANHQIQT >RHN78871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19456583:19459823:1 gene:gene2536 transcript:rna2536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4a-hydroxytetrahydrobiopterin dehydratase transcription coactivator Tc-PD family MATTKLVLLSFPAVHVNSTTTKINPSFNFQHHRTSTPNGFSPLRSLANDFLGDFGARDPFPAELESQFGEKVIGSYNTEHKILIPNISALSLSQQECTPISPLQNPLSQDDANQLIRKVLGWRLVNDEGILKLRCLWKLKDFKCGVELINRISTVVETAGHFPNIHIEQPNLVRAELWTTSIGGLSMNDFIVAAKIDAIKTSDLIPRKRAWA >RHN55182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15812088:15817912:-1 gene:gene30320 transcript:rna30320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, MYND-type MECAAKGSGTRCSAVATTLCARCEAVAYCSLSHRIAHWNHHKHECDRLQQQMESVEELNDFPFTSSHEATFQICAKQETRCSFLTKRGLHRVGIWMLECHCETSSASFDLLRSNNCWNLPSVLCPCRGPDSLVSEQLCSWRDYYNWRGIPLDSPIALLLHWPLTIYHAAQLVGITTLNLDVSDKLYIHYLGPEKELLQLAVFGELLALFPGVHIHIDLVGPAIHSQRDGEKIGISKYPCCNEANCVCKLVTENVSITSALTLQLWRGFYHDRYKDIVKDSFPHLIIAPNGGIAAYSSWLPSIELIGKIDVPAVFTDYCEEACHLAASCIKTVTGRPLRLPVQLNPFRQPIAVEDSVLLLPCYSNCFLFGI >RHN43938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49591097:49591378:-1 gene:gene50576 transcript:rna50576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MSLFFYRNLPFKFFLPFLFSKRVSGKILIIPKQLENMMCLVARTGMQLQRYDDDGCRQVVGYVRACMHRIPYLVYFLSLRSGDIFFFSLYSQL >RHN70665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53071125:53071639:1 gene:gene19274 transcript:rna19274 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLTLRDINAENNVFSYMLVRLSSPALVKKALQLMPYKAIYGYFDPAAVRAYRNFDQAAVRAYRNFDQAGLAAQIAAGPLCVYCKGNINPLTIANYSDDIKLSQLAPIYKAPYHEPTLRLVKIILDEYGIQYGRWYQGARAAAIQSPTDNRFRAIVKKIREVA >RHN68197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33596299:33597533:1 gene:gene16512 transcript:rna16512 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFTSVEKLNINLLNARVRRLFAIIVERRVTLILNARSLRRLRRRVENFLL >RHN75554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43239444:43241496:1 gene:gene11780 transcript:rna11780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MAKTISNNDENVEVDIDSSKDDVDARTGMKKGPWTPHEDMILTEYVNKHGEGNWNFVQKNSGLLRCGKSCRLRWANHLRPNLKKGSFSEEEEKIIIELHAKLGNKWARMATQLPGRTDNEIKNFWNTRMKRRQRAGLPLYPPEIQAEAIAYNDKLLQLQHQPLSSSSLSFSLLLSSCYPKKIDDPNHYDYNLLENNSGSANNHNTNPCPQFNFSNDENIEINETLALQKSPSLSPYPSSSSNLFNQNFTPPSDSHDYQYSENLSFEHHGFNAGSLYDSKTPASSYASGVDGNFMGNSSMVNDYYEVAPLSHDGKNSGLLDDLVMEGRSISCNKGDVSHKRKNMEGEEYEDEEGIVPLVSTMKKKKSIDESQKKDFSSSQLSTGKITQLFLQFDTFDSY >RHN74460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33611825:33620699:-1 gene:gene10536 transcript:rna10536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MAATNQKLYLLFGERYENKLQIKLPHPFDGNRGYYQTVSILSSGINGTLCICDNNRGLTNALWNPATGEVKIIPQNKARLSYGLETHFNIHGFGYDHVRDDYKVLQYVVYIGDDCYSVAPPGPYWEIYSLQSNRWKKLYVDMRQRYLTSEGSMVYLNGVCHWWGNTYLMGIPSETFVVSFNLANEVPVTTLFPFDLHALKRFDRHLTMLNGFVAMIVTYEKTSPSFHISISVLGEPGVNESWIKLFDVGPMSGIDHPIGTGKKGDIFLRKDDGELACLDLTTGVMENIGAKAAEFRSQIVLYKKNIIPIRGIKN >RHN53873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4867618:4868365:1 gene:gene28833 transcript:rna28833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AT hook, DNA-binding protein MDPHETTNSPHPHHDQLENVILVEPNPFTNTTQTTIMEPNTAQLSPIIMNANLSPNYEPIVNNIVPSSLNPSISVSSDTESIKRKRGRPRKHFPIGNIASSLGSDPGPTLASIATSPSSSTCKKSTSGKGRGRPRGSFKKKHLVETHGVTESCFSPHVIFVNQGEVCNGTYNSNINMLYITLSFTFFSKKNGYFINYNVNVYFDNVKKVVVYRIFENVC >RHN45843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26227731:26228141:-1 gene:gene40264 transcript:rna40264 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGAATCAIDGGDLARTGVDGQLIGTGTNGVLTRADAGATTLEGDVVGDVGGEVEGNDMGEATGAGDVGLEGGVAGNEARVVAGDVDSVAKGEWPLTAATTMQMSAKENIVFFSILEIENTLFIILWQRGFEKQK >RHN75516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42916110:42922099:1 gene:gene11737 transcript:rna11737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MGESNSSTIIEDDLLLKNFFAEVSEVERDNEVLRILGCFKLNPFEHLNLSFDSSIDEVKKQYRKISLMVHPDKCKHPQAKEAFGALAKAQQLLLDPNERDYLLSQVNSAKEELRVKRKKHLKKDTASKMKSLVDEGKYDKQYEQSEEFKQELKLKVRELLTEKEWRRRKMQMRISEEEGRLKKDEEEQKEIWKRKREHEEEWEGTREKRVSSWRDFMKGGKKNKKGEIRPPKLKTEDPNKSYVQRPVKRG >RHN47327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38913164:38917436:1 gene:gene41915 transcript:rna41915 gene_biotype:protein_coding transcript_biotype:protein_coding MADPISKPLIAFLLIASFLLCSHASSDVPFIVAHKKASLNRLKTGAERVSVTIDIYNQGTSTAYDVSLSDDSWTSDLFSVISGTTSKTWEKLDAGGVLSHTFELEAKSKGVFAGEPAIIKFRVPTSAALQEAYSTPILPLDVLADRAPEKKFEWAKRLLAKYGSLISVISIIVMFVYLVATPSKSGAKGSKKKR >RHN52264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33256420:33263001:1 gene:gene36917 transcript:rna36917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-MAST family MNELADIARCASNASLEDDRTAAYLLSCLDDLRVVVERRKFDALTVETFGTRIEKLIREKYLQLTEMVDVEKVDVDSPVMDDDVILEDDVVHSLRTSPIHSSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLEEDESHTYTSEDQRERRKKRSAVGTPDYLAPEILLGTGHAYTADWWSVGVILFELLVGIPPFNAEHPQTIFDNILNRKIPWPEVPDEMSLEAHDLIDRLLTEDPNQRLGARGASEVKQHVFFKDINWDTLSRQKAAFVPASESALDTSYFTSRYSWNTSDGGLVYPPSDFEDSSDADSLSGSSSCLSNRQDEMEGDECGGLAEFDSSSSVNYSFSNFSFKNLSQLASINYDLTKGWKDDPSTNSSA >RHN40250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14605023:14610764:-1 gene:gene46375 transcript:rna46375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative orotate phosphoribosyltransferase, Orotidine-5'-phosphate decarboxylase MESLVLKLHEISAVKFGNFKLKSGISSPIYIDLRLIISYPSVLRQISQTMISSVSNTKFDLVCGVPYTALPIATCVSVTHNIPMVMRRKEVKDYGTSKAIEGDFKHDQSCLIIEDLVTSGTSVLETAAPLRNIGLKVSDAVVLIDREQGGRENLESNGIKLHAILKLTEMVKILKEKGRLDEEMVRVVMRFLEENQKVAALENTTKLKKALSYLERAKLSKNPMGKRLFEIMAEKESNLCLAADVGTAAELLEIAEKVGREICLLKTHVDIFPDFTPDFGSKLRAIAEKHNFLIFEDRKFADIGNTSAMQYEGGIFHILEWADIVNAHIISGPGIVDGLKLKGLPRGKGLLLLAEMSSAGNLAKGDYTAAAVKIAEDHSDFVIGFISVNPASWSGAPINPCFIHATPGVQVAIGGDGLGQQYNTPYSVIHDRGSDIIIVGCGIIKSANPVAVAREYRLQGWSAYLEKCN >RHN45977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27477312:27486530:-1 gene:gene40407 transcript:rna40407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKPTLGQAQSNWEADKVLDVYIHDYFLKRRLHNTAKAFMAEGKVSTDPVAIDAPGGFLFEWWSVFWDIFISRTNEKHSEAAASYIETQQTKVREQPQIQQLQRMQQRNAQLQRRDPNHPGLGGSLNPMNSEGMLGQPPAGQLAMKMYEARMKHPHSIDANRMALLKSATSHQGQLVHGNSGNMSAVLQQMQARSALNNVIKREVKIGASPMNFPMDSSVYRQSILQSKSGLGRAGLNQGVTCLPLKGWPLNGIGQLRPGLGVQVPKPNLTSQNQYLLASQQQQVLAQAQAQQNSLGNSNYGDMDPRRLSGLPRGSLNPKDGQSNWNDGSISSQMQSGSPKMKMAQTQQSLSQQQEQLQQHQLQQSNRKRKQASSSGAANSTGTRNTAGPSPYSPSSTHTPGDALNTASSMEHVNNVQKSMMMYGTEATGGLRSSSNLLDDIDGFGDVGALDDNVESFLSNDAGDGGNLYGTIKQSPAEEQKDSSKTYTFAEFSCIRTRNIVTSCHFSSDGKLLASAGEDKKVTLWNMDSLRTEHTPEEHKLVITDVRFRPNSSQLATASCDKSVRLWDAVNPTYCVQEYSGHSSAIMSLDFHPKKTDIFCFCDNENEIRYYNITSSSCTRVSKGGNAKVRFQPGSGQLLAAASDKVVSIFDVETDRQIYSLQGHPEPVNNICWDTTGDMLASISPNLVKIWNLTSGECVQELNSTGNQFYSGLFHPSYATLLVVGGISSLELWNMAENKSMTIPAHENVISALAECAATGMVASASRDNSVKLWK >RHN68780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38476229:38543691:1 gene:gene17176 transcript:rna17176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MAKVSSRDVREIVEKLSSDKVKAREEGIKLLNSWLEGERSYNFCQFIGLSTSKLRPDEVPHSETWPFLVSLLIQSASSEISSSKRRNPKMIYAKTLRLIVQRAEEAKYSGQILPLSSVVKPLFNHVSDVLSNVPSFQSEYGIILRHLLAVRDYSFQMRKRIYCSLVLMYIEKVEASLNGKNISHCTSKEEVFRNILTLHSLLDYPPGDYPVNLREDIAKGFVRICSFIREEGKVSRKLVECINTYLLNDGPNLGCQLLEIHKAIQQFLFHCWLTTHDRVLKDSLMFYARTQLNLTRGAADRCLLVEQLLDVIYKDLDQGSMSSTSMPRGDGNKDDKLGTLSSSQCGLVELAAVLFYRACLNTTRASPSEKRVKREPAAVVLREALMKGKWLWNAAFCYLTRNFHSRMCKDLFLYWFEGICMSFDRILNSANVDRAYDGLLWTLRSLQELSSALLLPNSMIKISSMPPSTLNEVINGWKVLWSTIVHGLPIFSNIAILVDAALTLLSNITSNDLVDTCLIPQDVWDLQFFKRPTSISILHFFSCYFSRKNSHTDLRDILHLRKKLLKAVLNHLDWKGYSSSNERILLYMPCAMFALCVGCVTFTECFKEIPLVYSSFDVTESLDNSHKLEDPKHECLHEFLDCSVEVLTEIHKVSNAEVSELQIFPGIRVPQEISDQLLLEMESSILEVLVEEENNETHLPDIFLKCSLLSNLLYGYVFTRKINVSFCSKLSQYLQVRLNNAVRIIQEESDHRASSLSYVPTCEDTGSLAASVHCFLSSPIFCEWRDQNLDFVPFGEVIQSVERLLKSFVGLYDGYSQHLASLQSDMIMQDTASTDSVPSSCSYDISKSRIVDMELDVNDDSRDADSLLVVKKIGSGVSSSVEKWKVGMISLISCFFYASPVLTWSTLFKLMEEEYDPKVRGKILHHLCEHPHWSSSSRLIDLINVMSDIITEQVGLKLSSGNVLTSTHALLCNLASLDVVGKEKCGLYLSEVETEQCFQSLTDLVHNLSKVDLDWFGRVKLIDCICNLISLHPQFGQTMIERLLLMINDIDYRVRLSFARRVGVLFQTWDGHEELFQDLCSNFGVPLVVYSKVKTINAKEVLAAGPQPQPIMETVLITLMHVALHSEKVELEAVFMICVVSAIDPCQRELVCAVLDNLSKELQYTTRMKYLEELLGSLLFCWVACGVSLPALVETRHLFAPDAQPGHFLQYCCTWLLPALLLHQNSPDLNWVAKVTGQPMTVLVKQHFASIFSISMALHCSKKPGSERGTHVLQSSILHFGQISENERDKLIKRHMVSIVSSILSLCSCSSDPVVPFFSRETMSLEIQTIVDGFLESDGSHTTAAVADKINIFRTDRVFMFLVEIHYKITAASHSRHKCHRLSGIEVLISVLGERVTALSTSNYLFNLIGPLIGYPALQDQCCRILSALLLSFKKHLSADITSMLGEQLQFLVSKLVACCTPSQRKESCDSVVSGALSLLHMFTLDSDPSMHDYVKELEPFPELKIFDKIRKFHEELCHTYSIRDHILKFAKRSCYLPPRLLSSSLQALHKKLLIEESFQIRGREEGQFKDKYWHGDHEIAHAVWTLVHMGGSDDASGVRELVSDFISRVGAGDPHSVVFHLPGKSTHIHPSKFIDNGSTGETNYNLDACISEELLVVLMKLLMKYLMDDSVKIVDMASQTLRGILSTERGQKALLSFDSYQRSLVKIHSKGVNIELVENFLLDLDRKSKVAEISLEKSTVWLTDGKSFETWICPLVYSLIVYCNDVVLRLCQDMILLKAEFAELLLPSIFVNIAARKDLEIDLHKLISLQLKEHIFVESNKMIKSIQVILNCLNELRVCYVMERSSLVPSMHEVSKNSRTPNYSSKSRSTPAKARQRQSAVISSGLSESPSSWEKVYWLSIDYLPVAKAAVSCGSYFTSVMYVEHWCEEQFKAMTVGGPDFSHNETLPDHIEVLVSAVTRINEPDSLYGILQSHKLTSQVITFEHEGNWGKALEYYDLQVQSGVLLPKDSSSRSLSLEHAEPAKSSYFASEVEMRQSRAYKGLIRSLQQIGCTHVLDMYCQGLTSSKEDFRHDREFAELQYEAAWRAGNWDFSLPCVGTSFPPTQNIKYDQFNENLHSCLRALQEGDLIDFQRKLRDSKQELVWSVSHASEESTEYIYLTIIRLQMLYHLGMAWDLRWRTCQDDIRKFSLQKRNVSLEPVVPSSEQLSWLDMDWCSILQRTQLHMNLLEPFIPFRQVLLQTLSCKDSMLQHLLQSATTLRKGSRFSQAAGVLHEFKSLCVGTKGQCSSLYWLGRIEEAKLFRAQGQNEMAINLGMYISQNYQSNEEVSDVYRLIGKWLAETRSSNSRTILEKYLKPAVSIAEDVKSTDKKAMEKKCQTHFHLAHYTDALFRSHEERLNSNEWQSAMRLRKHKTVELEALIKRFRSSTKGEKTDYTMKIQELQKQVAMDKEEDQKLQDDRDNFLNLALEGYKRCLVIGDKYDVRVVFRIVSLWFSLSSRKHVVNSMLSTIDEVQSFKFIPLVYQIASRMGSSKDGQGPLNFQFALVSLVKKMAIDHPYHTILQLLALANGDRIKDKQRSRSSFVVDMDKKLAAENLLNELSSYHGAIIRQMKQMVDIYIKLAEMETKKEDTNKRMTLPRDLRNLPVLELVPVVTATISIDRSCQYHEGTFPYFKGLADSVMIMNGINAPKVVECLGSDGCRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLRNHQDTWRRRLGVRTYKVVPFTPSAGVLEWVNGTLPLGEYLLGSSRDGGAHGRYGVGDWLFSKCRTHMSNERDKRKAFQEVCRNFRPVMHFFFLERFLHPAEWFDKRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQTTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVTGVEGVFRRCCEKTLSVMRTNKEALLTIVEVFIHDPLYKWALSPLKALQRQKEMDVDLDTGLEEPQNEYEGNKDAARALLRVKQKLDGYEDGEMRSIHGQVQQLIQDAIDSDRLCQMFPGWGAWL >RHN69335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42707295:42711832:1 gene:gene17787 transcript:rna17787 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTRSPNGRKPSEIMRLIVTTFIGVVFGFFLGVSFPTLSLTKFNLPSGLLPSIDLSYIEERYTGRRAWSFINNSNRRALPNRLSNDTSKIWVPSNPRGAESLPPGIVEAESDFYLRRLWGKPSEDLTFKPKYLVTFTVGYEMKKNIDAAVKKFSENFTILLFHYDGRANEWDEFEWSKKAIHVSARKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFNAEEYLKLVKKHGLEISQPGLEPNKGLTWQMTKRRGDKEVHKVTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFAVRRCVEPAHEKIGVVDAQWIVHQGVPTLGNQGKTETGKAPWQGVRERCRKEWTMFQSRLANAEHAYFKSGGGIDMSNSTGH >RHN78581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16423233:16424272:-1 gene:gene2199 transcript:rna2199 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVRSVSVFRHQHVVTFNHFYFLNSVYVSMSVLCLMSVSVSMLHNGDIVCTNLSNSLHLVD >RHN41876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33781649:33785958:1 gene:gene48240 transcript:rna48240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-coumarate--CoA ligase MNNIFKIMLWTRSLTHFRSTHHTFSRKFSNFSKDPDRGSWESMQGLVHCHANSVPLSPINFLERAAKVCRDRTSLVYGSLKYNWGQTHQRCLKLASSLTQLGISRGDVVATLAPNVPAMYELHFAVPMAGAILCTLNSRLDAAMVSVLLEHSQAKILFADHQLLEIAQGALDLLRERGTKLPIIVLVNDSDFSSPIRITSTTYEYEKLMEVGDNGFNIVKPQSEWDPISINYTSGTTSRPKGVVYSHRGAYLNSLATVLLFQMDIFPVYLWNVPLFHCNGWCLPWGVAAQFGTNICLRKVSPRNIFDNIIQHKVTHMGGAPTVLNMILNSASTHRKPLNHKVLVMTGGSPPPPQILSKIEEIGFSISHLYGLTETYGPGSFCAWRPEWDTLPPEERSKMKARQGVPHVALEEIDVKDSATMESVLADGKTVGEIMFRGNTVMSGYFRDLKATEEAFKDGWFHSGDLAVKHSDGYIEVKDRLKDIIVSGGENISSVEVETVLYSHPAVLEAAVVARPDDHWGQTPCAFLKLKEGFDQNAQEIIAFCRERLPHYMAPKTVIFLDMPKTSTGKIQKYILREKAKALGSICISSPLNCEKAVVDCSSKFRE >RHN72696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9878194:9878547:-1 gene:gene8445 transcript:rna8445 gene_biotype:protein_coding transcript_biotype:protein_coding MWYGYLGNFTSKLQPLFNPLLLSHNHNLYPLLSRNLFFSDHLHSLIAAVLRPSHRRLRAVVTHHRITTPSSPSPPFLHLYWRLAFFFLQIYVSGGHEVVGEEKEGESVYRREIFQSF >RHN81505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46557715:46561197:-1 gene:gene5559 transcript:rna5559 gene_biotype:protein_coding transcript_biotype:protein_coding MCASYLRHTYIVQQSSHGIVIFKVTGLCFLTAEQSSNDLITVWKEGRHGKSARRGKLR >RHN62919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46758430:46761114:1 gene:gene25556 transcript:rna25556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LysM domain-containing protein MSGGNKMVTVWLITVAVVLVASVGITVTEAQPEANFKCLTENATCRSLTDYTSTNSTTLKEIATLFGVKHFLDLLGANNLPSNTNNSYKVNPNQVIKVPFPCKCSNRTGTSNHVPRYKIVPGDTLDAIARVRFAGLVKYQQIQTANKIPDANNITAGATIWIPLPCSCDPVDGTSVMHYAHIVPMGSSIQSIAQQYAISQQTLLSLNDLDDPKNLLAGQLLDVPLPVCNSSIKSDSLDFPLLVPNATYFYTAHECVKCKCDSTGDNKNLQCEASNLKPINNWSVCPSLKCSGSVLLGNTTSTDSCSRRVCDYTGYTSSRNISTTLATQNTCAVAPSGSGDSGSGASRSMLNGWVLNKLLILIHFLILFVYLL >RHN41901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33994132:33995911:1 gene:gene48268 transcript:rna48268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MAKRFMTILFLLAMVNLSLTMDTNETVKLPAIYIFGDSIFDVGTNSFLPNSSSRADMQFYGIDSPFQKPTGRFSNGYNAADRIVNLLGYSESPPPFLYLIQNYTENFKTENIKGVNFASGGSGLLNDTGKRFNRVIPMVEQIQQFETVHGNISQNLNDPSESRIHQSLFLFSVGSNDILEFFDKFRKTNPDNATQEVQQFITTLMNQYQAHLQNLLNLGARKFGILSVPPVGCVPILRGTNSDGQCINELNVIAQFFYLALNGVLQDLNSEFPDMKYSLGNTFEIIYSMTDNPPFPILDVKSACCGNQTLKDGVPCSPDAKVCENRSHFLFWDQYHPSEFACTLAAHSLCNGENPYVSPINFSVLFQPS >RHN69341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42737172:42737738:-1 gene:gene17793 transcript:rna17793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MIIMFIVFLFGLILMPLFALILHHFSSYDHNRLNPITAAVDLFRREFNRQIIHKCPVLVYSAVKDHKIGKATFECAVCLNEFQHNDKIRLLPKCYHIFHQDCIDVWLLSHMNCPVCRSKLTPDVPDAAIPIDAATESTEQQQEESNTVDEEIFHSRELRILDKYPFPRSHSTGHFLAENEEKVDRYIL >RHN42918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41934201:41937101:-1 gene:gene49424 transcript:rna49424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor CG1-CAMTA family MFWFTLGGSLFLYNRKVVKHFRNDGHNWRKNKDGKTLQESHGKLMDEGVEVLQCYYARGAQNNNFCRRIYWLIEEKLSHIVLVHYLEEEGKKASVICSKEYEESNPCAQQTYKVMANTEMETSRSSNLHPHSYHIHLQTNGAQASECEEAELAFNSEANSDLHSFLELQCPVSQKIKTQLVGSNCSLPLKDDQERLPVFRQVDYIPLSQANETRHINNVGSACEPSKLLGYYSSWQHILDNTAASYDELFQPSFPEIQSNNMEINSTSQQYEVMGQPFSSTITKQHQNGSLIQSVGNWQATGFNSLTSWLLDGDHSVSTCEISYNNNEQEELSKPSTSNAYWDTIESENGFDSTIIPSQDHFDDCVLCPSIFCDHLFSIINISPSWTFEDSEIKVFIFGQFLKSQQEAEVCKWSCMFGEVEVPAEIIGDGVFCCRTPPHKVGRVHFYVTCSNRLACSEVHEFDFRVNTSQEINTAG >RHN49191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53193641:53195298:1 gene:gene43997 transcript:rna43997 gene_biotype:protein_coding transcript_biotype:protein_coding MSNESKRNPCKLKPNHQTSILEKSNRSTTRFCINLTQMTRISIYSI >RHN56851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34199355:34204414:-1 gene:gene32310 transcript:rna32310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MSQLSLSSFFFFFFTLSFFSFTFSIIASTEFDFGTLTLTSLKLLGDAHLNNGTVTLTRDLTVPFSGAGQALYSRPIRFRQPGTTTPASFTTFFSFSVTNLNPSSIGGGLAFIISPDDESLGDAGGYLGLAGNGFVAVEFDTLMDVEFKDINGNHVGVDLNSVVSSKVGDLDSIGVDLKSGDSVNAWIEFDGSNNGFNVWVSYSNLKPKDPILTMNLDMGLYLNDFMYVGFSGSTQGSTEIHRIEWWSFSSSFMTGSGGLSPPPAVSMMNTTANSARSPPPSMAPTMNSSNEERKESKKSCHNGLCKQGLGAVAGVVTAGAFVLALFAGSMIWLYSKKVKRVSRFDSLGSEIIKMPKQFSYKELKSATKCFNANRIIGHGAFGTVYKGILTENGDIIAVKRCSHSSQGKNEFLSELSIIGSLRHRNLVRLQGWCHEKGEILLVYDLMPNGSLDKALFEARTPLPWPHRRKILLGVASALAYLHQECENQVIHRDIKTSNIMLDEGFNARLGDFGLARQTEHDKSPDATVAAGTMGYLAPEYLLTGKATDKTDVFSYGAVVLEVASGRRPIEKDAAGVGKVGVSSNLVEWVWSLHREGRLLAAVDPRLEGEFDEAGMTRVLLVGLACSHPDPLARPTMRSVVQMLGGEAEVPIVPRTKPSTGLSTSHSHLLMSLQDSVSDCDGILTISTSTSENSFNGGDIV >RHN67686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29321582:29323583:1 gene:gene15901 transcript:rna15901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MSCSVAIPNSPIFSLSSSHYFTKNCYIISSSTDSLPTSSSSSHSSPVLKKKRPAKLDIPVASLIVELPPAVPSRSAAKDVVEVEGDGFSVYCKRGSRKHMEDRYSASLDLHGQSKQAFFGIFDGHGGTKASEFAAQNLEKNVLEEVIRRDESDIEEAVKHGYLKTDSDFLKEDLNGGSCCVTAFIRNGNLVVSNAGDCRAVISRGGVAEALTSDHKPSRKDEKERIETQGGYVDMCRGVWRIQGSLAVSRSIGDRHMKQYVIAEPETKVLRIEPHHDFLILASDGLWEKVSNQEAVDLAGPLCVESNRQGSFQACKKLVDLSVSRGSIDDISVMIIKLQNYI >RHN44094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1577767:1579597:1 gene:gene38153 transcript:rna38153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MRAFALKKLQVLSHYFCSNLHNIRKTSFSWNPILLSRKLHEATPVEKLHDDASMRQEELTIERKRSWISREDTIDHDEQKRFQFLLENLGLTTLVRMIHLHGPSIGFTGFNRLVKLLIEKARSTGDKCILLEQLNLIRHLLQSMPVVFRFQLEEETYGPLLKYIIDMGAVDEFKMFSKLIKDHNHNSISRLGYYDMLMWIRVNDEEMIRDACEYITIEDRKRTSKLRENYFLALCESDRKEQISDVSKNTNITEFASKSISNILKSLGRLQLKSEAEKLLLDYSEDHDDWEDYNDISNFIASYAVSIPNLEVEHLIKEIENLHDLMNISPSSSTYEKLILYCCGKNKVDAAIYVVDKMCEAFCMPSSHVMQSVLETCSETNLDFQVLYILRKAASLFFFSFKYILIHFFAQYVALHE >RHN59033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6875083:6881666:1 gene:gene21016 transcript:rna21016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sphingomyelin synthase-like domain-containing protein MSFYIGREASKLWKRICSEISIEIKLLAENWKYLLAGLVCQYIHGVAARSVHYFHKPGPILQDVGFFLLPELGQDKAYISETLFTSIFISFALWTFHPFIFKSRKIYTVLIWCRVLAFLFASQILRIITFYSTQLPGPNYHCREGSKLATLPRPDSFLEVFVINFPHGVLYGCGDLIFSSHMIFTLTFVRTYQKYGTRRSIKQLGWLLAVIQSLLIVASRKHYTVDVVVAWYTVNLVVFFVDKKLPELPDRSIAAAATLLPLSTKDKDGRNKEENYKLLNGNSGDPADRRQRSQINGKITDDGNTHHNDSSMNSA >RHN39258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5366752:5367057:-1 gene:gene45266 transcript:rna45266 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGIENLNISIAIELSLSLLSFKTLKILKLEWLKVKDLSHQVYLPLLKTLHLNKVYIRHEHLVKLLLCSPILGDMQIIYLHILHSLLPKEEKFKALPYLI >RHN52999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40974091:40983250:-1 gene:gene37742 transcript:rna37742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cGMP-dependent protein kinase AGC-PKA-PKG family MGCIYSRVCIGETCKGSSINGDPISRHELQQAFSPSSDGGEIRDQLNQLSLTRDPEAGIRRLARVSSQFLPPDGSRIAKVDSEKYELRYSYLSQRGYYPDALDKANQDSFCIHTPFGTDVNDHFFGVFDGHGEFGAECSQFVKRRLCENLLRNSKFNVDPVEACHASFLATNSQLHADVLDDSMSGTTAITILVRGKTVYVANCGDSRAVIAEKKGKDGDIVAVDLSIDQTPYRVDELERVKLCGARVLTLDQIEGLKNPEVPCWGSDEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVSFELTPNNPFFVIASDGVFEFLSSQTVVDMVAKFKDPRDACAAIVAESYRLWLQYETRTDDITVIIVHINGLKEPNVAHSESYREVLRTPVPQVVEVTGSESPSTFGWNARNHRVRQELSRARLRAIENSLENGQGWVPPSSAHRKTWEEEAHIEQALNDHFLFRKLTESQCHVLLDCMQRVNVEPGDIIVKQGGESDCFYVVGSGEFEVLATQEEKDGEVPRVLQRYTAEKLSCFGELALMYNKPLQASVRAVTNGTLWTLKREDFRGILVSEFSNLSSLKLLRSVDLLSRLSILQLSQISDSLSEVTFSSGQTIINKNEVLALYIIQKGRVKLTFDADLLTSPNTYSLKADIENEDDDVQSGTELSIEKPEGSYFGEWSLLDQQIGSLTAVAEDDVVCALLTKDKLESVIGSLQKISQEDHKLRDNSEEFTKSFEFSSFDKVQLSDLEWRKTIYSTDCSEIGLANLKDSENVLTLKKFSKPKVKRLGKESQVLKEKDLIKSMSSSACVPQVLCTFADRIYAGILLNTRLACPLSSILSSPFSESAARYCAASVVIALEDLHKNGVLYRGVSHDVLMLDQRGHIQLVDFRFGKKLSDERTFTICGRADSLAPEIVLGKGHSFPADWWALGVLVYFMLCGEMPFGSWRENELDTVAKIAKRKLNLPETFSPDAVDLISKLLDVEENTRLGSQGSDSVKSHSWFNGIDWEALRHHAFPVPPEIVSRITQYLEVRYEDSSASVESPLEEVEELNVPEWLEDW >RHN69306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42452876:42455715:1 gene:gene17755 transcript:rna17755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA reductase MDCCIISKLKTQPFWFLILFSLGLFTILRFTLLLLNWFYVNFLRQPKNLKNYGSWALVTGPTDGIGKSFAFELARKGLNLILVGRNPEKLKDVSDSIKAKFGKTEVKTVVVDFTGDLDDGVKRIVETIDGLDVGVLINNVGISYPYARFFHEVDQELLKNLIKVNVVGTTKVTQAVLPGMLKRKKGAIVNIGSGAAIVIPSDPLYAVYAATKAYIDQFSRCLYVEYKKSGIDVQCQVPLYVATKMASIRRSSFFVPSTDGYAKAGVKWIGYEPRCTPYWPHTLLWAVARSLPESIVDTWRLGFCMGIRKRGQLKDSKKQE >RHN48730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49786570:49788064:1 gene:gene43477 transcript:rna43477 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFLLFVGMSEREIKGFCSFYKTGFSQKKSNPRIRGSSIHYPNPEVVRFNCKTHDLDHKLPSGDLN >RHN49110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52518383:52518716:-1 gene:gene43904 transcript:rna43904 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPWLVDTYTPNCNKKLWLVGVLRSVRRTKTNILAKAKAVVFI >RHN69280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42291977:42295599:-1 gene:gene17725 transcript:rna17725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glyceraldehyde-3-phosphate dehydrogenase (phosphorylating) MASDKKIRIGINGFGRIGRLVARVALQRNDVELVAINDPFITTDYMTYMFKYDTVHEVAVFGTRNPEEIPWGEVGADYVIESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKPELNIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPVLNGKLTGMSFRVPTVDVSVVDLTVRLEKKATYEEIKAAIKEESEGKLKGILGYTEDDVVSTDFIGDSRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSTRVIDLIVHIASVA >RHN72599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9027496:9027843:1 gene:gene8334 transcript:rna8334 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDHFDNHVWFVEALNKSGILSRVVSVSAAVVDNCLLNLDDYDVSASINDENTNNVKKEHLIDVDYSVLVDDDDEEEEEEEENMFNYSVANLPEIRVRVDHDDDGSGARIEACN >RHN41032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26137052:26140663:1 gene:gene47305 transcript:rna47305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSDHVVLFVDRLMRPMPVEPLAQHPAQPAPEPMPPVIQSPAGPSGSSTADDDVGEDEPLLQMEMAECRICQEEDGIDNLENPCACSGSLKYAHRKCVQRWCNEKGDTTCEICHKSYEPDYTAPPPRPHPEETTIDIGGGWTLSGTPLDLRDPRLLAIAEAERQFFEAEYDGYAASNANGAAFCRSAALILMALLLLRHALSVTDADAEDDPSMFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQAAALAATQVAFVLQSGQHRGLQFAITPASNPRPHQEQV >RHN62043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40269110:40270876:1 gene:gene24572 transcript:rna24572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 14-3-3 protein MVECMKTIAKLDVELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEEAKGNENNVKLIKSYCQKVEEELSKICSDILEIIDKHLIPSSTTGEATVFYYKMKGDYYRYLAEFKNDQDRKEAADQSLKAYEAASATASTDLPSTHPIRLGLALNFSVFYYEIMNSPERQVTLLTLFISFWAQMYWVSACHLAKQAFDEAIADLDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGKIPIDT >RHN64642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60680467:60681033:1 gene:gene27476 transcript:rna27476 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAALEPNADEKPTSAPSYMEEDDDELFNLLQEDWEETVKFINDPYSSLLVFQSSSSYVTINGNEESCGSSFSDFGTSLMASIDVSSFSFIPKYQVFPFTTLNL >RHN67266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25340511:25340936:-1 gene:gene15441 transcript:rna15441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MLVATLIATITFAAAITVPGGNNQEKGIPIFLLHNKFTVFIVSDAIAFFCSMASLMKLLPQTKGPINEEGIRTVFTINLRIGLYYLLVALVATTIAFSAALSMLLEKRFKFSIIYISLFAYFPITYATSLRYPKLRRIKLL >RHN81427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45847469:45851962:1 gene:gene5466 transcript:rna5466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pleiotropic drug resistance protein PDR/CDR MMPPEQEKTINAPNNNSPNTNRTENSSIHGEPDGSSSNKVKPSLDIDHNAINIPSHPTTPLTSFSILPQSLRPVTLKFEDVSYSITMTNQKKNGCVMGKESKVTRKILNGVTGVARPGELTAMLGPSGSGKTTLLTALAGRLAGKVTGTITYNGNSDSSCMKRKIGFVSQDDVVYPHLTVLETLTYTALLRLPKTLTREEKVEHAERIITELGLTRCRNTQVGGCMGLFRGISGGERKRMSIGQEMLVNPSLLFLDEPTSGLDSTTAQLIVSVLRGLARSGRTVVTTIHQPSSRLYRMFDKVVVLSDGYPIYSGNAGRVMDYLGSVGFVPGFNFVNPADFLLDLANGIIADVKHDEIEQHDQDQASVKQSLISSYKKNLYPALKEDIQHINTEPVVFASRGTSRRSSDNQWCTSWWEQFKVLLKRGLQERRHESFSGLKIFQVLSVSILSGLLWWHSDPSHIQDQVGLLFFFSIFWGFFPLFNAIFAFPLDRPMLTKERSSGMYHLSSYYVARMVGDLPMELVLPTIFVTITYWMGGLKPSLVTFVLTLLIMLFNVLVSQGIGLALGAILMDVKQATTLASVTMLVFLLAGGYYIQKMPSFIAWLKYFSFSHYCYKLLVGVQFSVNEVYECREGLHCRARDFPAIKCLELGNMWGDVAALTIMFVGYRVVAYLALRRGNLNA >RHN51856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27075621:27075887:-1 gene:gene36404 transcript:rna36404 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASEEEGEETATELLTREVRKKQAEDAAALQRAVELAQQAKIPVTSIAREDFSTIAEKVIEAAEEVQGLISSEAEHLLNVAAGSS >RHN70518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51978141:51978732:-1 gene:gene19103 transcript:rna19103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MDYILGKNPEGRSYMVGFGKNPPTQAHHRGASVPKLSPNEDINCPMSFSKWLKRDGPNPHELTGAIVGGPDINDKFDDNRTDSPKTEPCTYVNSLAAGALAKLASLG >RHN67186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24707951:24713865:1 gene:gene15343 transcript:rna15343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain, legume lectin MIIVALLLATTELVTSQKTTSFDFQNFTSGQSDLIMQGSTAILPNGVMALTNLSKQSTGRVLYSNPVTIWDSTTGHVASFVTSFTFTVEDYQGYKQADGLIFFLAPQDTVIPHNSVGSKLGVVDGKNAFNQFVGVEFDSHANKLVFDPNYAHIGIDVNSVISSSTTPWNRVSGSLVKVSIIYDSPSNTLSVVATNSNGQISTLADVVDLKAVLPPNVRVGFSGTVTAVGRQLQQIHSWLHHYFSIFSKRKGRNSEQIMCINICHQHACSFTYYQNYIIL >RHN50624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8631794:8632159:1 gene:gene34932 transcript:rna34932 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKFDMTTRQKVVFRCLQTTHAQYFLLAILIDGLSQHMSPIEYRTILIYRIMIPLLSIDEVSLFAIRRVWIPLGSIKFIVRSFPASNKGTNLLWMFFLIYLGGQISVKKKAPLKFLTDPQ >RHN64337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58294222:58301073:-1 gene:gene27145 transcript:rna27145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MSVVTDSPAHSSGSDDFISYLNDALDASSPDSSADEEAETQDEFEDVRNKRRKLESTEETEGSTSEGIMEHKLVVAESSVKVDVCTHPGSFGEMCIRCGQKLDGESGVTFGYIHKGLRLHDEEISRLRNTDMKKYLFSKKLYLVLDLDHTLLNTTILGHLSSEELHLLNETDSLEDVSKGSLFKLEHMHMMTKLRPFVRTFLKEASEMFEMYIYTMGDRPYALEMAKLLDPQGEYFNSKVISRDDGTQRHQKGLDIVLGQESAVLILDDTEHAWMKHKNNLILMERYHFFASSCRQFGFNCKSLAETKSDENETDGALAKILEMLKEVHCTFFDKLQGDLVDRDVRQVLSSVRGKILSGCVIIFSRINHGALPTLKRIAEQMGATCLMELDPTVTHVVATDAGTEKSRWAVKEKKFLVHPRWIEAANYFWQKQPEENFVVKKTTNPL >RHN79273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26903941:26907117:1 gene:gene3041 transcript:rna3041 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLKIVNNMEIIIFLFLFVTSQCLEVNGEVLNPRGKVFHSAWCNEDSDCKPDVCTPLSVICDAQRCKCHTRCIGDFDCKEKICFPPFLSHSKCDEHICICTNYFETLL >RHN61096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32930681:32935812:1 gene:gene23521 transcript:rna23521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MESDLQQHPTMFLDHQNHHHQQQMNSGLTRFKSAPSSYFSNIIDKEFYEHLFNRPSSPETERVFARFMNSLSGSGGSGGGGGGDAESVSVTASIAPITDSVVDSLTQQLPIVKEEIDQQSQTMQTMNNNNNNNNNEAVDLPQLQRQQSNNMSNYGSSAAPQSFYHNSGRPPLPNQMKTGRSNLIRHGSSPAGLFSNINIDTGFAAMRGIGTMGAANSTSKEANFSSSVVRLKNAPNYASALGAEIGSNSIPQNNLEPEGFAETRGNDFIPGFPLGTTWEDTAMISDNITGLKRYRDDDDVKPFPPGLNPAETKNETGGQTTSAPLAHQMSMPNTTAELAAIEKFLQFSDSVPCKIRAKRGCATHPRSIAERVRRTKISERMRKLQDLVPNMDKQTNTSDMLDLAVEYIKDLQNQVETLSDNRAKCTCSHKQQQ >RHN52324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33913268:33914820:-1 gene:gene36987 transcript:rna36987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSSSSKHRDMLKVEMINNGMQEFFVQFHKPKHSPYQEGVWKKRVELPDIYPYKSPSMGFVIKVYNPNVDEILSELSFGGSPRSRQASRLVEC >RHN79590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30356629:30360030:1 gene:gene3403 transcript:rna3403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L11/L12 MSVPKVVAATIRLRVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKFKPDTPMAVTITAYKDNTFEFTVKSPSVSWYLKKAAGIELGSTRPGHVTATSLSLRHVYEIAKVKQSDPYLQGMPLESISKSIIGTARSMGIKIVKDLE >RHN41434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29978343:29988543:-1 gene:gene47752 transcript:rna47752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MWSKLAKRASSRRFILPSQSSTSLRFSHQHNFPEKILRHPFSRFSQVGIFTSEYKLGRSNFSFSEHPFSFSSISLNHFRTYGSVAAEAIESTDTEDDCSGSEEVVQKLLDQMVIEEEKKNPQLEEKKKNKNNSYKYKMLRRRQIKIETEAWEEAAREYQELLEDMREQKLAPNLPYMKSLFLGWFEPLKNAIAADQEICKDTKTRLSHAPYFNELPPDMMAVITMHKLMGLLMTNSNGVGSARVIQAACQIGEAIEHEGRIYRFMEKTKVKKATSHKSDSDSVPAPVKGENLTAEENEKLAKEEKRLRNKVASLIKKQKKQQALGIVKGRDQAKPWGQEAQVKVGSRLIQLLIETAYLEPPANKFGDGGPDIFPAFKHTLKTISNDSNNGSRRYGVIECDPMIQKGLEKTARNMVIPYMPMLVPPNNWIGYDKGAYLFLPSYVMRIHGAKQQRDALKWAPKNQLDSIFEALNTLGNTKWRVNKSVLGVIDQIWANGGRVADLVDRDDLPLPEELDTEDESEIRKWKWKVKNVKKENNERHSQRCDIELKLAVARKLKEEDGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGILEFAEGRPLGKKGLQWLKIHLANLYATGGVDKLSYDGRVAFTEDHLDDIFDSADRPLEGKRWWLQAEDPFQCLAACINLSEALRSPNPEATLSHMPVHQDGSCNGLQHYAALGRDKLGASAVNLVGGDKPADVYSGIAARVLQIMKRDALKDPATDPHALHARRLINQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERCAVEDDSELFAASCYAAKTTLTALEEMFEAARSIMGWLGDCAKVIACTNQAVRWVTPLGLPVVQPYREVGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKQAGMNFAGVHDSYWTHACDVEEMNRILREKFVELYETPILENLLENFEKTFPNLKFPPLPERGDLDLQEVLKSPYFFN >RHN63619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52738412:52742908:1 gene:gene26344 transcript:rna26344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MECSSGRSGDGDSGLKDDKRSEHSTGDDHESHHHKKEIIAEEAPIASTERSIEAGPSTISSPKNDKVDEQLETTKAQMGEVREENQRLKMCLNKIMTEYRALEMQFNNMVKQETKKNNDNNHEEMNAESDLVSLSLGRVPSNNIPKNDQEKVNKVSKLALNNDEEFNKEELSLGLECKFETSKSGSTTEGLPNIPSPVNSSEVVPIKNDEVVETWPPSKTLNKTMRDAEDEVAQQTPAKKARVCVRARCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPSCPVRKQVQRCVEDMSILITTYEGTHNHSLPLSATAMASTTSAAASMLLSGSSTSNSGSMPSAQTNNNLHGLNFYLPDGTKSNQLYLSNPALSSQHSHPTITLDLTSNPSNSSTSSPFVRFNSSYNNNNQLPRYPSSTLSFSSPESNPMHWNSFLNYATTQNQPYSNNRNNNNLSTLNFGRQNTMESIYQTYMQKNNNSSNISQHVGLQDSTISAATKAITADPTFQSALAAALSSLIGNTTNQGNQNQSAGENLSQKMKWAEMFQVSSTSLPSSSSKVNGCASSFLNKTAPVNNTQNGSLMLLSPSLPFSATKSASTSPGGDNSDNTN >RHN62983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47285226:47285707:-1 gene:gene25626 transcript:rna25626 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQPKTNIISCFEVQSNTRMLEYRLDDIVVGDICDISLL >RHN50161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4586209:4586935:-1 gene:gene34416 transcript:rna34416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MPFTIQAAEEHALPIVLFSTGSACSFLSALHFCTLFQKGLIPLKDESYLTNGYLDNRVDGIPGLQNFRLKDLLDVLRTTNPNDFRVNFIIETEDRFHKASTIVFNTYDELESGVLNALYSMFPSLYTIGPLTSLLNQTPHNH >RHN68634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37308575:37309945:1 gene:gene17010 transcript:rna17010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphate-induced protein MTSSMSSSVLLSLFLFISLLHLSSAARKLTQNDQQLKFQYHKGPLLTGKISINLVWYGKFNPSQRAIISDFITSISSPTIKPQPSVATWWKLTDKYYHLANSQNLVLTTGSHILDENYSFGKSLTNDQIIKLASKGSQTNAINVVLTSADVVVDGFCSSRCGTHGSSVDHKFAYVWVGNSETQCPGQCAWPFHQPIYGPQSPPLVAPNNDVGLDGMVINVASLLAGVVTNPFGNGYYQGPKEAPLEAASACTGEFGKGAYPGYAGNLLVDPTSGASYNANGVNGRKYLLPALFDPTTSVCSTLV >RHN42809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41163149:41167718:-1 gene:gene49300 transcript:rna49300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator FYR family MFRYSNEKDFEIESNMVIYTVAMPQISSRWDPVEACRPIIGETPVFYPTFEEFEDTLGYLAKIRPLAEPYGICKIVPPACWSPPCPLKEKEIWENAKFPTRIQQVDLLQNREPMRKKSRGRKRRRGKQSKMGTCNRRTGNSCSEANATSESDDTFGFQSGPDFTLKEFQQYGNSFKDCYFGLSDAKDGKGSDNNLHERRQPSLEDIEGEYWRIIEKPTDEVEVYYGADLETGALGSGFPKTSSLTESDSDLYAMSGWNLNNFARLPGSALCFEGSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYLHWGDPKVWYGVPGSHASAIEDAMRKHLPDLFEEQPNLLNELVTQFSPSILKSEEVPVYRTVQHSGEFVITFPRAYHCGFSSGFNCAEAVNVAPYDWFMHGQNAAEIYSLQCRKTSLSHDKLLFGSAKEAVHGLSETTLNGKENLKCLNWRNACGKDGVLTNAVKTRIMMEKERLEWLPNHLKMLKMDNDFDSVEERECYYCFYDLHLSAVGCECFPDNYSCLRHFKLFCSCEMDKRFVLVRYTIDELSTLVEALEGEPRAIEAWETRNIGVVSASVEDACMHEQDMERVMCKTENYKEWKISPSCTGTYERLNSNLPSSPHSHISSELVHSEAHHETSRTPYVDLTGDMDNMNDTMLVMDNKVKEDKGSSLYLNIGDISDKPENSLLNIAEIHHNKCVPYAEKVTSAEIRKERDNIELSAGGMSVLENEPSPCPTNVRNSGTLDGYKLFGVNLQMHSDLGQKLNSTFETGVLDTSNTSISLTNQSSPMKKFSISVVLVSLGSVVYRKHWCSKHAIYPKGFKSRVKFFSILDPASICNYVCEVIPGGFLGPFFRVTLEEHPNELFTNTSADKCWESVIDRLTYEINKCKSLGELDMPPLELLHNINGHKMFGFLSPSIIQVMQTRKSSIEAQDPSQECVEYWNHKQVNSGSSGKAIDDSKLTCGSSNSSLGDSRTKLFGVDLIKLEEDDIGESYHSFEEMKLILERFLKRGSPDELRAMRQLFSSDAQLTQWRAALKVLIEKIQKDCE >RHN47092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37074654:37075152:-1 gene:gene41648 transcript:rna41648 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLLNSFDYTPSSLKTVLLNNESPNNWVCCCCCASVPTFRFDLVFGFCY >RHN69237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42035478:42037351:-1 gene:gene17679 transcript:rna17679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MDSELPSYHSCPGLGCCKHVLFFLSETLVHSSLKPSEDVDFTFTVNFKSEEYIVYVRCRPHLKEFLERVSGLFEIIIFTASQSIYAEQLLNLLDPKRKIFRHRVFRESCVKVEGNYLKDLTVLGCDLAHVMIIDNSRRAFGFQVDNGIPIKSWFGDPSDKELLLLLPFLESLVGVDDVRPKIAQRFKLRDKIATAVHPLNTNGRDFLSE >RHN63462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51464044:51464541:-1 gene:gene26161 transcript:rna26161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain-containing protein MIERLHVTSLVNSLQVKYGNTFRRFSVHVDENNEVDLNMVSLKAKIRSIFNFSHANFILRYVDEEGDLVNLVDDDDLHDMMSQQLEFLRIEVHMIHNLGKKIWKEIIFNEEILFYSLVYVFVVVALGVLCLAIVFNLPDDEPTERKWVRASLVLGLLTLLKSIIL >RHN75362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41628452:41631092:-1 gene:gene11549 transcript:rna11549 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKFSFEKKKIAMISSFSAPSEPAQYYYGLSAQYKEQYEYLQSMNYNLEVQRPKLKPADRLVFHINVLSYSVDSNSVTRLNTLFHDYQEVSSKRFFQEDQEWIRSILFHQDFPSESLEQLAQRLVLEVHELFDFNEKLFGSNLASGVGTSIAHQFTLHLTIVVEI >RHN67323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26008541:26008951:-1 gene:gene15503 transcript:rna15503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MEKLKYLKCVVKETLRLHPPSTFLLPRECGKACEINGYDIPFKSKVIVNAWAIGRDPNHWDDPERFYPERFIDSCVDYKGNNFEFIPFGAGRRMFPAVTFGLVNVEYPLALLMYHFDWKLLNETKNEDMGMSETIG >RHN70175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49355712:49356293:1 gene:gene18730 transcript:rna18730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MYIFFIGQVTITYSNIHTQRVNLWAEKETNGLINEFLYRGSVNKLTRLIFANALYFKGAWKNKFHASRTQNYNFYLLNGSSVKVPFMTSEKRQFIRVFDGFKVLRLPYEQGEDKRQFSMYIFLPKAKDGLQSLVEKVASESELLHHKLQIPKVEVGEF >RHN61565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36430668:36438459:1 gene:gene24042 transcript:rna24042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alanine--tRNA ligase MPETESIDIEWPAKRVRDTFAKFFEEKNHVHWKSSAVVPLNDPTLLFANAGMNQFKPIFLGTVDPNTALSKLTRACNIQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKEEAISWAWELLTEVYNLPSDRIYVTYFGGDEKTGLGPDNEARDIWLKFLPPGRVLPFGSKDNFWEMGDTGPCGPCSEIHFDRIGNRNASSFVNDEDPNCIELWNLVFIQFNREADGSLKSLPAKHVDTGMGFERLTSILQHKNSNYDTDVFVPIFDAIQQATGARPYSGKVGPHDADKVDMAYRVVADHIRTLSIAIADGSQPGNVGREYVLRRILRRAVRYGREVLKAEEGFFNGLVNVVANVLGDVFPELKQNEERIRKMIQVEEESFSRNLFKGIRKFNKAVEHVQGNILSGEVAFELSHTFGFPLDLTQLMAEEKKFSVDIEGFHRAMKAARERSKTAPKKKVLSLKSNVETLSIPAAKKAENKIEIVLPQDQMRKAQKHVAEEDKRKAVKITTEKADLAVSDGKYFCISHVDVGLDVAAVREAVKKVIDQKGLSVMVFSTDESTNKAVVCAGVPEKGDKGKLDASEWLSNALGPLKGRCGKGKGGLATGQGTDASHLNEAMGLAVKFASVKLT >RHN54637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10937905:10942090:1 gene:gene29706 transcript:rna29706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MTRGKQKIEAQKRNAEKNQKSKGSQIEARGAGLKITCPICKVQLANPNQLADHYASKHPKEKPPAESS >RHN74166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29735467:29736130:-1 gene:gene10172 transcript:rna10172 gene_biotype:protein_coding transcript_biotype:protein_coding MFHNLSKKDIYDSQTRTAFTTMWKGMDGLRGPGLSGSGNYISRSALLFGSPNQKGDYLLDALYNFGKSNMFPESLKVLRGQQTTKQNISRDVILQEACEVAS >RHN75487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42638735:42639067:-1 gene:gene11705 transcript:rna11705 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKSRGLELRHPSPEESKSSSVDHLPGLVSPCSSSSSISNSSKMTVHDQGASTETKEDNVAQYANAITKEMVLVGCPKCYMYVMSSEVEPKCPKCKTTVFLDLFIEED >RHN79745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31804904:31806370:1 gene:gene3577 transcript:rna3577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acylphosphatase MGFSWPRPSFLKTRYYYYRIPYWFSFIDTTMAIALAPIHLRPRFIAYLSNSIANNTSSRIFHFRYNTTSRIFAFRYNSNTPSLQFPLPRSLSVMASSQDSSSTKTERVVIKGRVQGVWYRNWTVENARELGLKGWVRNRRDGAVEALFSGSVEAVQEMERRCRRGPPDAAVTGLEVFPCGDDDDPGTGFQRKPTV >RHN42790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41016333:41017587:-1 gene:gene49277 transcript:rna49277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-hydroxy-3-oxopropionate reductase MGRKYPNPITTSTTRIGWIGIGVMGGAMASRLISAGYTLTFYARNPSHPNSLSLQSLGAKLANSPSHLANSSDVVFTMLGHPSDVKSIYLDKNGVVSSLNPNTVTVDTTSSHPDLAKEISVAARAKSAWSIDAPVSGGDIGARDGKLAIFAAGEAAVVEWLQPLFEIMGKATYLGPAGCGQSCKIANQITIGANLIGLSEGLVFAKRSGIDLEQFLDAIKNGAAGTKALELFGKRMINKDFRPGGYAEYQVKDLGMGVNFVEGDDDEVVVLPGASLVKQIFTGMVANGDGKLGGQGVISVIDRINGS >RHN54774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12108310:12110214:1 gene:gene29857 transcript:rna29857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MITIILLLLVLDVALRLLKDYPNLVAEVIENVNENVPGESRKVSVLVALAKLHSSFPSGSGFGGLLQQFIYDNLIVGKEFQNNYGIPESNIAKFVSSGTFGDDRNLHTSSSAKRWSVAGFDIPLEKLLDFEYRLVLFFKSQNIVGTREIYDEKYAHYEVLGILKHFSQNIGKFSYEQLIKASAHEAMLYAAENGIVEFVNAMREANPDLLSVTDNNGRGIFWYAIQNRRLKVFQLIYFLKGLEKEMFRYRTDVLGNNLLHTAALLVSSSNRNGRLSPAMHIQTEIQWFTVTHIIYLSINISIQR >RHN44852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9092957:9093214:1 gene:gene39018 transcript:rna39018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MTIFFAFATTFKVDSLFQYLNFFLSIARAKFEEININLFNECLETVENCLIDAKMDISFIHYVVLVGGSSRIPKVQLLQEFFKLI >RHN56858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34261179:34264654:-1 gene:gene32318 transcript:rna32318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MSCAEIFPVSSSSLSSPSSKFLTKIFTKPLLCHFPPLNPRWVSPPLTCFRSKTLPLPTNVSSTENDSDNVLDSVLKLLETSNDVEDTLDSFGEIISPKEITMILKKLRNWEIVVRVFKWFRSQNNYVHNVIHYNVVLRTLGRAKQWDQLRLCWIEMAKNNVLPTNNTYSMLVHCYGKGGLGKEALLWVKHMMVRGFFPDEVTMSTVVKVLKDVGEFDRADRFYKNWCGGKVDLDDLDFDSSDCAIADGSRSSVPISFKQFLSTELFKTGGGIRDSNMLSMDMEEIAPLKPRLSTTYNTLIDLYGKAGRLKDAADVFADMMKSGVAMDTCTFNTLIFISGSHGNLLEAESLLDKMEERGISSNTRTYNIFLSLYATAGSIDAALSYYRRIREVGLFPDTVTYRALLGALCTENMVQAVEGVIDEMEKNSVSLDALSLSGIVKMYINEGDVDKANDLLQKYGEPPSFICAAIIDAFAEKGFWAEAENIFYRKRDKARQARDILEFNVMIKAYGKANHYDKAVLLFEEMKYQGISPADSTYNSIIQMLSGADLVDQARDLTVEMQEMGFKPHCQTFSAVIGCYARLGQLSDAVIVYQEMISAGVKPNETVYGALINGFAEHGRLDEALQYFHLMQESGLSANLVVLTTLMKSYSKAGDLKGVKSIYKQMQNMEGVLDLAARSSMITAFAELGLVSEAKLTFEKFKETGQADSTSYGIMMYVYKDIGMIDEAIKIAEEMKISGLLRDCVSYNRVLTCYAINRQFHKCGELLYEMIVSKKLLPDDGTLIVLFTILKKAEFPVEAAEQLELCYQEGKPYASQATYTALYSLLGMHTLALKFAQTVLENLDSSAAYNVAIYAYASAGDVEKALNIHMKMRDKHVEPDIVTYINLVGCYGKAGMVEGVKKIHSLFEYGEIERSESLFKAIKDAYKICNIDPSQHMRFKFNSEEYSEGESETEYDIETEYDIESETEYSEGEYDSNSDGD >RHN41462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30210729:30212288:-1 gene:gene47791 transcript:rna47791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-phosphofructo-2-kinase, Fructose-2,6-bisphosphate 2-phosphatase MLVAADFFRADNPEGMEARNEVAALAFEDMLSWMHEGGQVGIFDATNSNKRRRNMLMKLAEGKCELVYEPVGEGSYIKMIDKVSGHGGQIQVSTA >RHN41805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33144147:33146829:1 gene:gene48166 transcript:rna48166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase IRE1 family MKVLPWFLFLFLFSFFQDCCCVHAPPPNKATKLIPTKLFATPDGTIYFVANYENGRTRILWSFSTGSPTYSSYQAPGATDFLECEDDWSLYMQDEYYGKLIILQSIGEVVDLAPMISYKGEATIGSKKITSFQVDAKTGSVSTNSKNFAGLRNLNASKPLLINIYRKDLFLKYDGPTSGSGFWNLTVAEFDAVLLCQHLTTFHIEDLNFKMPYPCKKKQKVFKLNKNFLLESLISESSHGAYHGKDTLSMPASDRMIQLQPNYDRFFNNHDGNMAMPPTPFPQQNDYKRKDKLRQPLTEISDLPGHAYLNKKSGWPTPSPTMFVILLVVVSHYCYLVVKGIKYKYIPKDTNREVSMNFNEGVDGEIIGELFVSKKEIGRGRRRTNATAVLHDGQSVAVKRLLKSRHSVALNEIKKLVSDHHQNIVRLYGVEYDEDFIYLALERCTCNLNDLVQVESGKDTTEYLWKKNDHPSPLLLKLMRGIVAGVVHLHKLGIIHGNLKPQNVLIIKDRSLSVKLSDMAITRHVPGKSVFAKSYCTGWHAPEQQQGTETRAVDIFSLGCILFFCLTKGSHPFGDDHLWRESNILNDRKDLSLVEFIPEAEDLISCLLNPDQNLR >RHN68403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35193653:35198417:1 gene:gene16749 transcript:rna16749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoinositide phospholipase C MKTKIFKIVVKFLTKKGKVDKEEPLFDLKEAFSKFANGENHMSKEQLLRFMVEYQGEQNCTLLDLEPIIEKVLKMESSNTETSSIVGLSLDDFINFLLVDDFNGPLKDEVHHDMEAPLSHYFMYTGHNSYLTGNQFTSESSDKPIIEALKQGVRVIELDLWPSSTKDGGIKVVHGKTLTTPVALTKCLEAIKEYAFVKSDFPVILTLEDHLTPKLQYNFAKIAIQIFGNMLYRPGTDCLTEFPSPASLKNMVLISTKSPKEYNQSDSVSNQVLNGCESSEDESWELQDSMVKLKTEEKSVNDEDKEDINTNAFKSNQQVALQYKHLIAIHGGKSEGNMKDILKVDGGKVRRLSLSEKKLKTASKSHGPDLIRFTQKNILRIYPKGERVRSSNFRPLLGWMYGAQMVACNMQGHGKPLRLMQGMFKANGGCGYVKKPEFLIQEVANNEAFDPKRKLPAKQILKVKVYKGVGWSSDFSPTHFDRFSPPDFYTKVSIAGVRADCAKKKTSVKMDNWNPIWDEEFEFRLTVPELALLRIEVKDKDQTKDDFAGQTCLPVSELRHGFRSVALCDRKGKKYNSVKLLLGFQLETLKML >RHN39864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11185244:11186053:1 gene:gene45942 transcript:rna45942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGFPVGYAEVFFPNLFLHTLTLLGLLRSFVFLLFHFLGLSDFLETNTHHTGEEATSTPEPCKNPSVSALLIREFLPATKYGELTDTEEGCCCAVCLFEFSEEEEIRCLKNCRHIFHRNCVDRWIDLDQKTCPLCRTGFVPDDMVDDYNQRLWVASGISEFYSYADYTSSF >RHN73068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13186150:13186789:1 gene:gene8846 transcript:rna8846 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSKSTFFIGVPKIKIGDGETQKTTHPRMPPSSLIPLPGRNRYNSYYSSNRSWRQMFNKLGQNNGNSNGANEQSTLKT >RHN72582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8868157:8868414:-1 gene:gene8309 transcript:rna8309 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTRDIIQGKIGKDEVLGTIIAKMKQCFVHASINQGKLIDAISMYFFEGS >RHN76151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48044750:48047785:1 gene:gene12434 transcript:rna12434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSTHPYFSNDFNGYWFDLNNPPFYNFPYSNNISFASSPSSPPPREALPLLNLSPTKHEDLLESSFSSMEMMNMKEKELSISSNSLDDDAVTVALHLGLPSISSSDLASSNIYKDDEKVVTVDSSECPPNKISRGQYWIPTPAQILIGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAPGCKNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCCKAFAVRGDWRTHEKNCGKLWYCCCGSDFKHKRSLKDHIKAFGNGHKAYGIDECLDQDDEHGGSEIEQENESFQS >RHN44890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9518669:9520509:-1 gene:gene39063 transcript:rna39063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MARILYFFYTLLIFVSLFMIAINGSLPDAPPCLFTPECPPDMCPTDLTLKCINLTCQCTSEYDID >RHN68638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37353263:37353463:1 gene:gene17016 transcript:rna17016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphodiesterase I MKGSSFSKINMIFVILCFFTNLRICLGDMNGIGEQPLSKIAIHKTILALHSSASITASPFLLGNKV >RHN45800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25745595:25746281:1 gene:gene40205 transcript:rna40205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQKGKNMDETMKFVYILILFLSLFLVIIVCDSIHFHVSRPCMTDNDCAPEKYYNIRCRKGFCVQIRKY >RHN68193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33580785:33581800:-1 gene:gene16508 transcript:rna16508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MAYVKLAPFAVFLLAAFLMFPMNKVEGAGCQQSCGTFLPGCRNGCECVLVDCTFGVCVGFCEKDNVVSGNFHRKVDEHPKLCMSHDECTKKGSGNYCAHFPNSNLKHGFCFASVSEA >RHN48701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49563564:49565729:-1 gene:gene43444 transcript:rna43444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small nuclear ribonucleoprotein G MSRSGQPPDLKKYMDKQLQIKLNANRMIVGTLRGFDQFMNLVVDNTVEVNGNEKNDIGMVVIRGNSVVTVEALEPVNRSI >RHN40127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13502988:13506176:1 gene:gene46233 transcript:rna46233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MFPKHLIMSACNYDDNVYISLHVKTIFRNPKVVKPYVVPSPEYCNLPAAAIQASSDMIVVVDSNAPAAHVAQHKWQPNTPDGHGTPFLFQRGKAASGSGGGPLRRMFKGPTGTGEEWQYPQALAFGVSGIRSQAIISITCDQEIITGGHADNSIKVISSDGAVTLETAHAHCAPVTCVGLSSDSNYLVSGSRDTTILLWRFHKELPSNSSFISESSTGPGTPSSRNNSSSHLIEKNRRRRIEGPIQVLQGHQSEILSCCVSSDLGIVVSCSETSDVLFHSIRTGRLFRRLDGVVAHSVCLSSEGVIMTWNELQHTLSTFTLNGVLIAKTELSISTSISCMETSHDGRNALIGINPLQNGRANGGNLQSSKETAIDIRSESEETHESNIINVPTPAICFLDLHTLEVFHVLKLKEGQDITALALNKDNTNLLVSTSDKNLIIFTDPTLSLKVVDQMLKLGW >RHN64153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56980990:56982459:1 gene:gene26939 transcript:rna26939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MNNEEYQRRKDSIIDKLSINIPHTSHLRTHASVFVIILQTKIHMEKMILHLPHELIVQILLRLPVKILFVSTSELQTLSIDLEASLNVEATSLNHLTFMPQSYLYIHINASCRGFIFLHRSSEIYLWNPSTGVHKQIPLSPIDSRLDGTYFCYLYGFGYDPSTDDYLVVLMSYDTTLANVSSHLEFFSLRANAWKEIEGTHFPYMNASDDPRVGSLFNGAIHWLAFRHDLPMNNVIVAFDLMERKLLELSLPDDFYHEPTYCDLWVFREFLSIWVMGDDTVEIWVMKEYKVRSSWTKTLVLSFSSIPTHYFSPICCTKSGDIIGTDGDSGLVKYDGEGHLLEHRSYCKKNNTWPSFCSQVAIYTESLLSFPSGDNGQA >RHN41643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31702513:31703481:1 gene:gene47985 transcript:rna47985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MASIATIDPTNGSWWLEYGLGNPIGYWPSSLFTTLKDNATIVQFGGEIVNAKSTGAYTSTQMGSGHFAEEGYGKASYFRNMQVVGSKNFLTPLSNPTYTADQPNCYNVQGRFNDKWGHHFYYGGPGRNEKCP >RHN70965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55454729:55458959:-1 gene:gene19602 transcript:rna19602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rhamnogalacturonan endolyase MDFHNLFLFLMLQFILVLGVRLDIQENYVVIDNDIVQVNISNPEGCVTGIQYNGLDNLLEINNHESDRGYWDIVWDQGGEKRTKKGAKGKGKLDRLETTNMRVIMESEEQVELSFTRTWNLSLEGKLAPLNIDKRFIMLRGSSGFYTYAIYDHLKEWPAFDLDNTRVAFKLRKDKFNYMAIADNRQRFMPLPDDRLPPRGQVLAYPEAVRLVNPVEPEFKGEVDDKYEYACESRSNQVHGWISIDSSSDSTGCWLITPSYEFRSAGPLKQYLGSHLGPTMLSVFHSTHYSGADLIMKFGENEPWKKVFGPIFIYLNSNSDGFSPIKLWEDAKQQMVNEVESWPYTFPASEDFLSSAQRGKFEGRLLVRDRYIRDAFVPVSGAYVGLAAPGDVGSWQREYKGYQFWTITDDKGYFSIINVLPGDYNLYSWVNGFIGDYQCNNIINITSGSEINVGELVYEPPRHGPTLWEIGIPDRSAAEFYVPDPNPLYVNRLYVNHSDRFRQYGLWERYADLYPNEDLVYTVGISDYKKDWFFAQVTRKKDNNTYQGTTWRINFNLDSVNTKGTYKLRLALASVHYAELQA >RHN67293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25657184:25660815:-1 gene:gene15472 transcript:rna15472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MCDTALSCARDNLLPLARDHLLPILKEAFNMIKGVPKEIAELKDELERIEKFINNADRMADAEEDVETSQKIKAMIKQLIEASFHIEDVIDDYIFLEEHQSSDLGCAAGLDLIKTKILRLQIAVKIQNINSRIREIKQDSSEKDHGFQIRSSSDKPSSSSPTSENASLLHNLQDASIYMDEADIVGFEEPRDKLIDLLVEGREDRTVVSIVGMGGLGKTTLARQIFDNQKVVKHFDCLLWIMVSQSFNIEKVLRDIMLEFYKQQRKVPPQSLHQMDRQSLVDEVRNYLQEKRYVVVFDDVWESHFLHDIEFAMIDNKKGSRILITTRNMDVANTCKKSSFVYELKGLTVEQSLELFNKKAFHDLNGRCPKNLIGISSKIVEKCNGLPLAIVVIGGILAPKDRNTIEWYEFNENINADQFKEYSIVRKILGLSYHDLPCNLKSCFLYFGLYPEDYEACSKTLTRQWIAEGFVKEYGERTLEKVAEGYLKVLICRSLVQVVSTSIDGRVKSCRVHDLVHEMILEKHKHLSFCENITEGKQLSLTGMIRRLSIAPNSDNLIMEGIDSSHVRSLLVLEPKASLESFKRRIRTTYRWLKVLVLKKYELEIPIDLGSLKHLKYFGINVGKCFELPKSIGMLVNLETLDLRDTYFVNDNMPKEICKLRKLRHFLGYRMSLIELKDGIGGMTSLQTLSGVHLNDSERENDNRVVELIQELGKLKQLRKLGLTGVRSKYMSAISFSINEMQQLEKLIISGVQSTNTFIDLDLNSPPPKLQHVKFDGNLYKFPEWIQKLRNLVKLRVTLTKQQNDAMKLLISMPNLLSLHISDGSDYYEDKFERLHFQVGWFTNLKELIIFHFNKLRYILIDEGAFGCLKMLKLGSIPQLMTLPSGIQHLQKLEVLILYDMSDELKESIASDEGKEHWIFNQVPSVVIF >RHN71140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56742334:56742986:-1 gene:gene19789 transcript:rna19789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MLNERALIHNYKHGFSGFAALLSKHEANSIAQQPGVVSVFPNSILKLHTTRSWDFLKIQTQANTPSNSSSSSNIVIGVLDTGIWPKAQSFSDKGMDPIPPGWKGVCMNDIK >RHN56623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32320637:32326467:1 gene:gene32053 transcript:rna32053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metallo-dependent phosphatase MASLCMNSTVPLSPSSHPRNNTTLSSSSSLLVDNNNNIHSNSLKTTSSNLKPIVVIGHPPTFVSAPGRTILAVGDLHGDLKQARYALEMAGVLSSDGQDLWTGGENVLIQLGDILDRGEDEIAILSLLRSLDKQAKSKGGAVFQVNGNHETMNVEGDFRYVDSGGFDECSDFVEYINNSEDDWEETFTGWVDVSETWKEDRTKSRSHWGPWNLVKRQKGVIARSILFRPGGPLACELARHGVALKVNDWVFCHGGLLPHHVAYGLERMNKEVSEWMRDPSENDSTIQIPFIATRGYDSVVWNRLYSRDSPDLMDYEAKQVCSVLEETLQAVDAKAMVVGHTPQTIGVNCKYNCSIWRVDVGMSSGVLNSRPEVLEIIDDKARVIRSKTDRYSELQAAAYT >RHN47869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43158977:43164334:-1 gene:gene42517 transcript:rna42517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MHPTDEIFLTMWLRHSLDYRQNLHPFIEFWGIHKMAGGSLTTGVVDQERAELYKGKVTWYVIIACIVAATGGSLFGYDVGISGGVTSMDDFLEEFFPAVYRQKQHAHENNYCKYDNQGLAAFTSSLYIAGLVASLIASPITRKYGRRASIIIGGSTFLIGSALNASAVNLTMLIFGRVMLGIGIGFGNQAIPLYLSEMAPTHMRGGLNMMFQVATTFGIFIANMVNFGTQKIKPWGWRLSLGLAAVPALLMTIGGIFLPETPNSLIERGLEERGRKLLEKIRGTGDVDAEFEDMVDASKLANSIKHPFRNILQRRYRPELVMAIVMPTSQILTGINSILFYAPVLFQSMGFGGDASLYSSALTGGVLACSTFISIATVDKLGRRVLLISGGIQMIICQVIVAIILGVKFGDNQELSKGYSILVVVVVCLFVVAFGWSWGPLGWTVPSEIFPLEIRSAGQSITVSVNLFFTFVIAQAFLALLCSFKFGIFLFFAGWITLMTIFVVLFLPETKGIPIEEMSFMWRKHWFWKSILPVNTSQ >RHN48829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50533251:50534054:1 gene:gene43587 transcript:rna43587 gene_biotype:protein_coding transcript_biotype:protein_coding MELLIKEQLCKVAFPKITTLTPPIRNEQTKGANSQPLSNGL >RHN63099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48407422:48411491:-1 gene:gene25758 transcript:rna25758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEQINNTPMNISADSSLLSDLEKVGEYIFDEECYLNLLDADVVEEFLSRDMASVIAFEEQRETLQQCLTTECISTTLSETFNGETSFESFDFDFENPTKKLKFFDRSDNITKNFSQQLSASPSTFQSSQIPSLPNLGNTHFSALQTSKESSKNQNVETKTSQSKRSSAHVKDHIMVERKRREKLGQAFIALATLIPDLKKKDKASVLADTIKHIKELKERLAILEEVGKNTKEDQSMMVCNKPDHCCETESVGDGTAIKVAAKVSGKKMLIRIHCQKHDGLLVKVITEIQSFQLLVVNNRILAFGDSFHDITVIAEIGEGYNLTIKELVRNLRMAALKFMSS >RHN80864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41414331:41415185:1 gene:gene4839 transcript:rna4839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation HMG family MYLLAAMWAFVFYSNSSDLLNEFKGVSCDFRGAYAAIFGFLFKAGAGCQEPRVLLQAPSNDKEKKKQDKEKKKRRGPPTGQSGYQIFLKHECARLKAHDPDIDGKKVLRMAVDAWQKMSATDKEPYVEESRKIKEKQKEAMITDNKQKSTEDLKKDEQKSTEVLKKDEKKSTQGLNLKKDDKMPGVDGDDYGVTSQPLPNYSFVNNAAVELAFKMTEKSLKDPFFPVDLDTYCSLNMLNGKSK >RHN48572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48518846:48521037:-1 gene:gene43303 transcript:rna43303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MKFNRTLFWLSGFAILLFSIIIVHYTTPPSNTSNTATSSSLDSLLSKIIKLRGAQDFVSLLITSIASTRHHHWMRHKEKCDEAKRASQLILDYNATITFTVDLNGRANFSSVQKAIDAVPESSFNTTLIIINSVVQANKTNIILQGQGYLDTIIEWNDTANSTGGTSYSYSFAVFASKFTAYNISFKNTSPPPSPGEVGAQAVALRVTGDQAAFYGCGFYGAQDTLNDDSGRHYFKECFIQGSIDFIFGNARSFYEDCTINCIAKQDLDGIGGSITAHGRQSLKEETGFSFVNCNIVGSGKVWLGRAWGAFATVVFSTTNMSDVVAAEGWNDWRDPSRDRVFFGEYHCIGLGANYTSRVSYARQLRDFEATSYINVSYIDGNDWLLNYLT >RHN62503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43906671:43907063:1 gene:gene25087 transcript:rna25087 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSQNLSSRQVSKAYIEFLNQAMSAEDLENNAFHGWETTYFRTSHMYVMLRHAGDPPKTPKNEASSEITAEEAVNLIADVGPQLGRIRTLSIRLLVSGNVEQGSPIRWVLETIIAKCHGGMMFCWRRGY >RHN41016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25838597:25840348:-1 gene:gene47285 transcript:rna47285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MAFQILSFFTIFMFMIIALKIRNHYKKYDFSKNIPPGPWKLPILGNILHLVATNPPRRLRDLAKKYGPLMHLQLGEIFFIVISSPEVAKEVLKTHDIIFASRPHLLATDIASYNSMDIAFSPYGDYWRQLRKICAIELLSTRRVKSLWSVRQKEINSLLKKIASNEGSEFNITEELLSMMYTFTSKAAFGKKYLEQEEFISVVKQLIKLAGGFYIGDLFPSAQWIQNISGLKPKLEKLSQQVDRILGRIITDHKETISRRENEGLPEAEEDLIDCLLKFVESGSDMDFELTTDNVKAIILDVFSAGSETAATTVNWAMAEMMKNPRVLKKAQAEVRKGFDRRGMVDEATIGEFKYLKSIIKESLRLHPSVPLLLPRESREACEINGYHIPVKSRVLVNAWAIGRDPKYWNDPDKFYPERFIDSSIDFSGTNFEFIPFGAGRRICPGMNYGLANVEQVLALLLYHFDWKLPNGMKSEELELGEEFGVTMARKGDLYLIPITSHQLLVI >RHN77517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7359085:7362931:1 gene:gene981 transcript:rna981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-N(4)-(N-acetyl-beta-glucosaminyl)asparagine amidase MDNGFLTLFLFLCFLHHPISASNLHKLKQLKANHLSQPSSSLSSPTKYFEVTKPIKLSKLCSYHILHHDFGYTYGNPPVLANYTPPSHCLYSKFSKIVLEWKATCEGRQFDRIFGVWLDGVELLRSCTAEPRQNGIVWSVEKDITRYQSLLLNPQKNQTLAVLLRNIVDKTYTGVYHVDIAIHFYGYHWASFRQNTHKTKKLDCFSFRSDFKSHADLILPISRNLPFNDGFWFEIQNSTDVGLKEFVVPQNAYRAVLEVYVSFHENDEFWYTNPPNEYLVANNITDSTPGNGPFREVVVTLDDKVVGSVWPFTVIYTGGVNPLLWRPITGIGSFDLPSYDIEITPFLGTILDGKKHLFGFSVTNALNVWYIDANLHLWLDTKSSRTEGKLVNHVDKPLVESLVADFNGLNGTFLTSAKKSILATGWVRSSFGNITTSFVQDFDYNSSMVIGKNGGKQAVNQVISFNNTVRAKFPSSYPDLVDDTHRNFSLYLDSDELEQDNDTYLAVSNFTLGFYENKSKSEDSGISNSFLKNVQDGQGTMVVKKNLVVSGVGETQQDYRYTSNELCYSRKIGSSNYTILYDKVKDTCNKRSHSRFASIMEIISAVAVSSSFHFTLHMETHNSFELPSLYCCCFVWFLCMCIA >RHN76392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49909761:49910753:1 gene:gene12711 transcript:rna12711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDKDHKHGYEKPYEDSEKRMKRSSSSLSSDEEDDTKDEVSIQESTHQCNVCGKTFSNGKALGGHRRSHFLKKKLNHRSQKVKTPLSIQGSYNRASFDKDSKHGFENTCEESEKRIKRSFSSLSSDEDDAKDEVSIPEHECNICGKTFSNGKALGGHRRSHFLKKKLNHHPQKVKSPFSIQGNNNRASFDDYDDEEEIGGIKKPIKKPTCSICEKKFPTKNALYGHMRSHPNRDFKGLNPPTEYHKEDQDDGDLSLPKWQKRDRRGRKCIGSVEAAANLLHLRYDKNFCVGESSSNGNGKNELGGDRNSSDKQLKKGKGLFDLNESYVIED >RHN42076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35234385:35238674:-1 gene:gene48467 transcript:rna48467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP-binding protein Era MENLLLRAPSTLTSTLPPTFQIQNSFFAVGFTTPTTFLRNRTESTSHLCCRTKYSPSKAFPTKQELFLLQQKVQEDDEEIDDDSSFLSLSMKPDRNLGLLDDYETEELGYDCDPNHKSGYVALLGKPNVGKSTLANQMVGQKLSIVTDKPQTTRHRVLCICSGSDYQMVLYDTPGVLQEQRHKLDSMMMQNVRSATVNADCVLVLVDACKAPEKIDEVLEEAIGGHKEKPPTLLIMNKKDLIKPGEVAKKLEWYTKFTDVDEVIPVSAKYGHGVEDVKNWILSKLPNGPAYFPKDIVSEHPERFFVAEIVREKIFLQYRNEIPYVCQVNVISYKARPNAKDFIQVEILVERNSQKIIIIGKEGKTLKLLATAARLDVEDFLQKKVFLEIKVKIKENWRQDEGFLKHSGYGGQIGIV >RHN57826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41981613:41982524:1 gene:gene33415 transcript:rna33415 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTPHSIPCFFFLLLFTTTNSYIPLHLFLSFKVSFTTFFHSLIMTMNKVDKSQVIVLSFLAFLLVITPFLPSFLRPSYLYLIFNLLIIALGAEAGLLSVFSEPSEDRKQHISASVTQKHVSLEPQEKEARGIVNNACSVSQEQKEKKPKVVEKSTSEKKIVFVGVTKVEKMKKCPSMASIFFIEDGEDDLEVKNEEVEVEDEIYGVNGQELFAKAEAFIGNFYKQLKMQREKSCVLLRKAI >RHN67980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31904916:31905484:-1 gene:gene16250 transcript:rna16250 gene_biotype:protein_coding transcript_biotype:protein_coding MRHNSMFSSSVRSATRFTSRFGQIRTYTRHSRTQVDKGKRKISCMKH >RHN71425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37959:40227:-1 gene:gene7026 transcript:rna7026 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKAIFNHNPFILFASQTRWMGIRTVSLNSTPPEFFVVSHKQSEVLIKGKWRSKLEILNKRKEPYF >RHN55354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17589978:17590957:-1 gene:gene30524 transcript:rna30524 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVLSHTTSVLWLDLYPILLQIRILFAPIVELNQIEDNKAARLQLKCQNIQGLLFMEYMLFPITYRKPIKDNIKKNSISSTVRRKL >RHN57274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37749067:37753284:1 gene:gene32796 transcript:rna32796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-tyrosine-phosphatase MRKRERENPCGVCGHYHKVEEGEVCGICGHSVPIGSEKTSLQVSAFPSVILPEFLYLGSYDNASRSELLKTQGISRILNTVPSCQNLYKNSFTYHCLPDDKSFQFEEANQFLEQCERDKERVLVHCMSGKSRSPAVVIGYLMKSRGWRLTQSYQWVKERRPAVELSEGAHQQLQEYEKKLFGSVGSSLQLPVVFSPAAGPHLSFGFPKTNDIAPLPAFGCTTTPSIFSRAPSDIAPTNFTFGAGQNVTGNSPFNTNPSNPNVTDIQMDGS >RHN59629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12558866:12560041:1 gene:gene21695 transcript:rna21695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MKFGMGTLDDMNHLKNKRIRSVADLLQDQFGLALVRLENIIRGTISGAIRYKLIPTPQNLVTSTPLTTTYESFFGLHPLSQVLDRTNPLTQIFRIRDIHPSHYGRICPIDTSEGINVGLIGSLAIHARIGPLNRGIQEEQIVPARYRQEFLTIAWEQVHLRSIFPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSRALDSGVPAIAEHAGKIVYNDTDKIILFGNGNSLSIPLIIYQRSNKNTCMHQKSRVHKGKCVKKGQILADGAATVGGELALGKNVLVAYMPWKVTILKMLYSLVNVWSMKIFILLSHT >RHN46079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28313145:28314685:-1 gene:gene40519 transcript:rna40519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAT/LH2 domain-containing protein MFISLYLKDTKMKLLKNNGGHFLFCCVLFQILVAGCESLEAVAPQQKKNCTYVITIETTCTWGAETSNRVSLRFGGTNSSEILVRHLNIKHLRQVDPLEPEVLDDIPRKPFQACMVDQFVVTAPCVESPICYLYLKLFGNDDWRPGYAQVQVLEGSHLSSNNFYFRRYLPRHVWHGSDVCDSEVTPFGLKKRKVHVENP >RHN81899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49639970:49645812:1 gene:gene5996 transcript:rna5996 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVEEVEILDDASNATDEDKVDQVTASFAAEGSTMSRLKEWNDLYGEGGSKEERGEAQLFFL >RHN57611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40501918:40507124:-1 gene:gene33187 transcript:rna33187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific polyamine oxidase MESRIKTNPNSRKGLCYVNVDQQPRRSPSVIVIGGGMAGIAAARALHDASFQVVLLESRDRIGGRIHTDYSFGFPVDLGASWLHGVCNENPLAPLIGRLGLPLYRTCEDNSVLYDHDLESYALFDMEGNQVPQELVTEVGKTFEMILQETDNVRQEFSEDMSILRALSIVFERKPELRLEGLSHKVLQWYLCRMEGWFAADSDSISLKCWDQEELLPGGHGLMVRGYLPVIHTLAKGLDIRLGHRATKIVRGYNGVKVTTENGKTFVADAAIIAVPLGVLKANVIKFEPKLPDWKEAAIADIGVGVENKIILHFKNVFWPNVEFLGVVAETSYGCSYFLNLHKAAGHPVLVYMPAGRLAKDIEKMSDEAAADFAFTQLKKILPDASSPIQYLVSRWGTDINSLGSYSFDAVGKPHGLYERLRVPVDNLFFAGEATSVLYTGSVHGAYSTGTMAAEDCRMRVLERYGELDIFQPELEEGSVIPLLISRI >RHN43470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46135984:46137762:1 gene:gene50052 transcript:rna50052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSTLPYLISLFILVSLLPHRTTKGEEFFTCERTASCETYGLPIRFPFSLNQSNQTNLCSYPGFDLTCTNTTTTTFSEPLLTLPNSESFVVKRISFVDQVVWVNDPNICFPKRFMFDQNNFMLNLKDSPFRLSDYYTFVNFSFLNCPSNSTVINLVPPISCLNLSSISSINNDKNMNYSIVAMMSDPPFATPWTSLCEFISSTLIPVEETNWLFWTDYYSNIPLQWDDPDCGNCEARGGRCGLVGDDALRLACYDLPTQGLSRKVKYGLSLGLGIPGLLGLIMLTLMLCKNKYTRNQVQRQTSTEFSTFIMTHPPIFVMGLDGATIERYPKTQLGESGRLPRPSDNTCSICLCEYQPNEVLRTIPECNHYFHVNCIDGWLKTNATCPLCRNFPERSTSFSSVLPVSPTS >RHN65301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1038726:1039877:1 gene:gene13126 transcript:rna13126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MALSCKGGDERTKAVYSPIGTLTSSPSLYALPLPTLPFELIEEILSRLPVKLLLQLRCSCKSWNSLISDPKFAKKHLSLSTTHNVHCITYSNKYIIIESYPLDTITATNIAQSYLPFSHSAYFLGSCDGILCLAAADDSNSIIVRLWNPSIRKDKELPLLQEPKKQKHVMRMYGFGYDPVGDNYKVVVFLRLIDSNISNFVDKYKVKVHTLGTSSWENISNFPLVFPLKKLGQFVSGTINWLASKDQSRSQCFVAALDLGSGSYQEVLLPDDGEVHAYPLVLTVLRDCLCIFSGDDVWVMKEYGNKESWTKLFTISYMQDPYRPSYDVFKAVYIFEDYLVRQVSQSFGQQKFIFYNRRNDTSRLFVSVDTPEVCIESLISPCF >RHN42338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37472666:37473527:1 gene:gene48769 transcript:rna48769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MFYYFGIHFFTSGGGSKAWRGDIKSWNPEVKFYHDRQGFMSVQITEMNVDVVFYDVLGKVLHRWSISKELKAAT >RHN73699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19027513:19027998:-1 gene:gene9547 transcript:rna9547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MPLMNSPPAKSPHRNSGVVPASLLILLDELIAEILSRLPVKTLMQMKCVCKSLKTLISHPSFVKMHLHRSPRNTHVLLLPDCANPDEMDLSAVPIPVSHLLESPLAIGYKYPYYLLSNMDCDHVIGSCNGLICLRGDTRDTKPKALGFESGTQPRMHYLIN >RHN47387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39363579:39367242:-1 gene:gene41980 transcript:rna41980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MGCESPLQINKSIQFHPTTPEKSTPYPPNPSLVQRMLNDTCFKCKQQGHWSMYCPNNNNNHSPVLSSNKQIHCRCGHGFCDVKISNSERNKGRLYFACPIKRGAPCGTFVKWCDDERDLEPSLVKYPKCKCGAGVCKREMEGAKYYFTCPVKQNFGSCGYRALEVELLNNASTDELVNSTSIGDNLTNGFAEGSDLLLTTKMTRITDNYENPSTVAVSEIPEGRSGGSPIYEANSQPVGFPEIEFKDDQQLINLASWEAIEDKVSPSSRFSTPSRISCRQSLFPRDIFSADATFDAPKQTSTLNSQSECNDLTIKTPNQRTQLSTDVVSPNRSPGSEKLKEQKQREAVIFTQQGLLNDLEGLDFHQHESMRDTTEAAFSVLNCLKFVYKQFSDYVWEFINLAKSTAEIDKSMENSPTLEEHIKFLEEEKVRLANIRDDCVKIETSLAVFDEKRNLLSEEVANLQAALLEKRKELKFCELEKMKAEARLDDMKRRISEVILKR >RHN74383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32430131:32449312:-1 gene:gene10439 transcript:rna10439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MNRQPQPPPPSTARARCAGCRAYFSAAQGVAELPCPNCQMPHVFFVDSSAVKIRCSSCKAVVNAPSNLSKFPCPQCHVRIDVHADVEEVNELVNEVEQEEGDGGIAGETFTDYRPSKLSIGSPHPDPIVETSSLSAVQPPEPTYDPKIKNDLERSKALSCLQIETLVYACQRHLQHVPSGPRAGFFIGDGAGVGKGRTVAGLIWENWHHGRRKTLWISVGSDLKFDARRDLDDMGASCIPVHALNKLPYTKLDTKSVGVREGVIFSTYSSLIASSDRGRTRMQQLVQWCGPKFDGLIIFDECHKAKNLVPEKDKKPTKTGQAVLDIQAQLPEARVVYCSATGASEPRNMAYMVRLGLWGAGTFFPDFGEFLGALNNGGVGALELVAMDLKARGMYLSRTLSYKGAEFEIIDAPLDDRMMHAYKKATEVWMDLRKKLQSVIELISDKINTRQLWRSYWASHQRFFRHMCMSSKVPTAVKLVNQALMEDKCVVIGLQSTGEARTEEAVSKYGSEFDDFISGPRELLLKFVEDNFPLPQKPELLPDDGVKELQRKRHLASPDVSGKSRVRKVAKRQPPSDSESDEESETASVPESSDSDYGPEGNESAASGLESSDSDYEEFQKCEICSTEEERDNLLQCSHCGKYAHHSCLVPPISDFVLEEWACDLCKDTTVNYLPPGTDIIELQKRYDTAMECKKNILEMICALDLPNNPLDDIIDQLGGHDKVAEITGRKGMLVRAPSGTGVFYQTRNTKDVTAEMVNMNEKKLFMEGKKLVAIISEAGSAGVSLQADRRVINQKRRVHLTLELPWSADRAIQQFGRTHRSNQVSAPEYRLLFSNLGGERRFASAVAKRLESLGALTQGDRRAGPSLSAYNYDSAYGKRALMLLYNGILERDPLPVVPPGCLSDRPDTIKDFIMQAKAALLSVGIFKDDGLGDDDMNCYIDDKNDIHNIGRFLNRLLGIAPETQNRLFELFVNILDLLVNKARIEGNLDTGIVDLKANVIELQGTPKTVYVDQMSRASTVLFTFTLDRGVSWELANTMLNEKQKVGFCSTGDGFYKSKREWLGKRHVILAFESSAPSMYKIVRPPTGESTRDMHLLELTRKYSKVSSLKEAQIGWETEYEASFKQCMHGPNCKIGKFCSVGSRIQQVNVLGGVILPVWGNIDKALSKQARHMHKRLRIVRVETTSDNRHIVGLLVPNAAVETVLQGLSYVIELDD >RHN66024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7729012:7732811:1 gene:gene13934 transcript:rna13934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MRLYLALFFFLTSLQVTLSKDIEHGLLLVNGAQAKAETGDNFICATIDWWPHDKCNDGYCSWGHSSIVNLDLSHPFLAKAIQALKPLRIRLGGSLQDQIVYDIGNLKSPCHPLQKMNGQGWLFGFSKGCLHMKRWDELNQFFNQTGAIVMFGLNALSGRHKISNTSNVWEGAWDPTNAYDFIKYTVSKGYKIDAWEFGNELSGEGIGASVGVARYGKDVIKLKRILDVLYKNLRFKPSLVAPGGFYVKEWYTKLLHISGSGVVNVVTHHIYNLGPGNNTHLVEKILDPNRMIKVETTFKNLSETIQKHGPWASAWVGEAGGAANSGGIGISNTFVNSFWYLDQLGMASNYNTKVYCRQTLIGGNYGLLNSSTLSPNPDYYSALLWHRLMGKKVLGVSSDISSPFLRTYAHCSKDRAGVTLLVINISNQTQFRLNVKNHGTVKANEVAKFREEYHLTPKDNNLRSHTMVLNGIPLKLTNKGDIPTMNPVHNNVKSPIYIAPLSIAFILYPNFDAPACARHSENYKVYDK >RHN60352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25730440:25731664:1 gene:gene22657 transcript:rna22657 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQGKWLSVSVLVLFIVLDLSMFALGDHKLDQERGGGGVGGGAGGGLGGGGGGGSGGGGGLGGGSGHGGGFGAGGGVGGGAGGGIGGGGGGGSGGGGGLGGGSGHGGGFGAGGGVGSGAGGGIGGGGGGGGGGGGGVGGGSGHGGGFGAGGGVGGGAGGGIGGGGGGGGGGGGGSNGGSGHGGGFGAGVGGGSGGVGGGGGGGGGGGGTGGGSGHGGGFGAGGGVGSGSGAGIGAGSGHGHGGGGGIGIGIGIGIGVGSGSGQGSGTGTGSGGGGGGGKH >RHN81352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45136410:45141227:1 gene:gene5374 transcript:rna5374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative succinate dehydrogenase (quinone) MASTMLKRAIHRISSSPTSRLTLLRAHASEAQAQQASPKARDTTVLKKFQIYRWNPDTPSKPELKEYEINLKECGPMVLDALIKIKNDIDPSLTFRRSCREGICGSCAMNIDGCNGLACLTKIPDAGMDSTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKSPAEEDGKEIKQSKKDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWISDSRDEYTKERLEAINDEFKLYRCHTILNCARACPKGLNPGKQIAHIKSLQPKA >RHN64974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63387170:63390795:1 gene:gene27858 transcript:rna27858 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNNDKSPPVSNSSNPNPRNSETINPMRRSFSGNPFSKPSLIAANPRSSFSHSHTPANSPSDFSRKSFVDDKENAKDQFMKPAKVRSPAATSKSTKNFMSPTISASFKMAESPRKKILAERNEPTPTSDNKVHVRKVTFSDPLEQKRLDAASLDGNNRILFPSFESEDLSGETLLESNVVPMYDLSFDAMRMNVPMIPNNDSDFSFETVHTEPSFQNVPLIRNNDSDFVNLDPTFKLSPATATPPVSYTANAVDPLIDTDPSVPPYDPKTNYLSPRPQFLHYKPKPRMELEDSFDLSGNFSDTDVTEYTQSDESQKESSEDVSSDETVKQEDDQISEQSPVRTLLPEKTVEAKELRKPRFSLRSKVAVLILLLSTAFVSISIPNSPGYHAVLEDFYEAYESSEFPELARANFDQFSQFAKANFEGFSRNLHTWYTKSLSSISELISDVRGVRNMSQLQYFNLTVLHDYSAVNQSPIFGRGENKIGYTCPPVQETHVASEISMDEYFGDISAEHYEVYEEQLQHDMGMNTGFETALDAQESEEVHKGQPATYIESEQQAEHEHLDAKLEEEVDANFEVGDALESEEVLTGQSTALVEPKQDLQLVESVISEGNQTPKDDDNENMPSSNSEIQNEVCGESNVDTKLEQENDGKLIGKESDADLKHSPVSDSEVAEIHTDGQAVGISAGTDAAIRGNEQLLEATHSPHNMVLYLLLCAGTVFIAGATFNWSRKVKSRSKKITSCVEKPKIESEDKKISPDKPSMRNVPVEMDVVGESCPSEMSSFEKSSSSRVNHSNEAISVEKKRRNYHRRESLASSDYSMGSPSYGSLTVYEKIPKGRGEEEIVTPVRRSSRIRSIATSPV >RHN47087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37043339:37046168:1 gene:gene41642 transcript:rna41642 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PIN9 MIGWEDVYKVIVAVVPLYFALILGYGSVRWWKIFTREQCDAINKLVCYFTLPLFAFEFTAHIDPFKMNFLFIGADTLSKLIIVAVIALWAKCSSKVSYSWSITSFSLCTLTNSLVVGIPMVKPMYGPMGVDLVVQASVVQAIIWLTLLLFVLEFRRTGIEGTITTLKPKASSISNVTCEGEESKDVEANNIVEYTSSRLPFLQLMKRVWLKLIANPNSYGCVIGISWAFISNRWNLELPSMVEGSILIMSKAGTGTAMFSMGIFMALQEKVISCGPSLTVFGLVLKFIAGPAAMAISAFIVGLRGDVLRIAIIQAAVPQSITSFIFAKEYELHAEVLSTAVIFGMIVSLPILVAYYAILEFVH >RHN51542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19555841:19556245:-1 gene:gene35999 transcript:rna35999 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEDSVYLFAFFHVLRDTARMKAAYNDHRMVIHPQTLVTKTYDFMVNGNGLSLLPSHILARPSLGVGYLIDVIGLLTAISYHSPEDSTGTMTTVLKFELTDFMCVSL >RHN69992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47818190:47822039:-1 gene:gene18528 transcript:rna18528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MKSGKLGFFVVLLLLSSSTCLAWFGSSSSKPKISSSSSNGRNSILSYEQPSSSSSSSSPSFLNRFRSGSSVVFPVHGNVYPVGFYNVTINIGYPPRPYFLDIDTGSDLTWLQCDAPCSRCSQTPHPLYRPSNDLVPCRHPLCASVHQTDNYECEVEHQCDYEVEYADHYSSLGVLVNDVYVLNFTNGVQLKVRMALGCGYDQIFPDSSYHPVDGMLGLGRGKSSLISQLNGQGLVRNVVGHCLSAQGGGYIFFGDVYDSSRLAWTPMSSRDYKHYSAGAAELVLGGKRTGFGNLLAVFDAGSSYTYFNSNAYQALISWLTKELAGKPIKEAPEDQTLPLCWYGKRPFRSVYEVKKYFKPIALSFPGSRRSKAQFEIPPEAYLIISNMGNVCLGILDGSEVGVEDLNLIGDISMLDKVMVFDNEKQLIGWTAADCNRVPKSKDVSI >RHN58303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:595718:597079:-1 gene:gene20202 transcript:rna20202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MEWGLTEISSFPLNITSLNLANSALIPLNGLRAFSQNITTLTSLNCSYKDSISTTDLLLISDCFPLLEELHLSKPAIFRGDFLSGMETLSLALPKLRKVNLSRHTYLNDQLLFQLFNNWKLLEEVIIFESTGITDAGIASALRVRPTLRSLSFHNFFKSDNISTLFALIKSCTSLSDIKMESPSDVTMKHTCMKEKNAENSNSLVDLAVCPQLKSLSLAHNTWLSDENIIIMFASIFPNLQLLDLSYCNQVSNSLTREKIVENTNSSMEFVVNHQLKSLFLTRNTWLSDENILMFASIFPNLQILDLSYCNQISDSICQVLRCCKLRHLNLAHCSKVKLRGLNFEVLKLEVLNLSYTGVDNEELYLISKSCRGLLQLLLEHCYYVTKRGVNHVVENCTQLREINLKNCYKVHKNIVDSMILSRPSLRKITVPPRYRFNNNKRELFLGHVCLLG >RHN67428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27121158:27122009:1 gene:gene15617 transcript:rna15617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MLCFHSKVSSLHDSEKLISNEKKKFSDYDDDLYKFYHLEESQIQGNSNGFKVDSQIRKNVVDWLIQTHYEQKLMPETFYLCVNILDRVLSKINFEVKTMEKLKLIGLSSLLLASKYEQRKAVGVYHVEYMADYIYMPEEICQMEKLILQELGWILTVPTPYVFLVRNVKACVSSDEDKIMENMVFFFSELSLTNHSIVCDYKPSLIAACSVYCARFVVERYPFWSNDLKMCTGYSEENLLSCAHVMMKSCIQICGEGIMEVFKKFSSLYQCRVSCVAQEFLKV >RHN74306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31703655:31704767:-1 gene:gene10344 transcript:rna10344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, SWIM-type, FHY3/FAR1 family MPYLKKCMLADIEVNKFEELWSEMVQKFGLQDNTWINEMYEKKKMWATAHIRGSFFAGIRTTCCCEALHSHIKQYVHSRINMTDFVQQFHKCLTYFRFREIKSDFLSKYGQPMMQTSLRSLEKSAEIQFTKEIFVMFRSIMKRSMLLKMTECHEMSTWYIFTVSKYCGDGSVWRVACCQEPIDLKCSCFRMESIGLPCHHILSVLLYLDFDDLPKCLVMPRWSKFACISHINVGQTQSPISTEDEIQEHEDSYNSENDYDMSSQE >RHN53496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2080819:2082045:-1 gene:gene28407 transcript:rna28407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MMISSSKMVTTEKTLTLTSQSPSLNVQLLPTLPFDLIPEILCWLPVIFLLRFRSVCKSWNSLISSDLKFAKKQHFCMSTTRRLHFVSHASYSNKYTFTSYPIDFLNIRIRKRKRKRKRKERIKATNLNLTRFEYFSTGGNYAMASSDHFVGSCNGIICIANHYTGLVILCNPSIRTIKELPLFEKPSKVYSNNMTFGFGYDSFRDTYKVVVGLRYQIQDSNGNYIHKIEVKVHTLDTNIWKSIQDFPYGVGPIDLQPGKFVSSAINWLCSDEIQLRNPSFIVSYDLGKESYQKILPPNYGGVDVCKLWTLDVLRDCLCATSGDNVWAMKDVWIMKEYGNVGSWIKLYTIDSSKYHIEAVHIFENDQVLVKICPHSKIFVYNSRNCTFKCGNFERIPEICVESLISSCF >RHN47527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40306518:40312359:1 gene:gene42140 transcript:rna42140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VI-1 family MVSVFFVLVTILLSINHSEQLQSSHTQTLLRIQQQLNFPSALSNWNNSTDFCNTDSNSSLTVVCYEDTITQLHIIGEGKTPPLPKNFSIDSFVTTLVKLPSLKVLTLVSLGIWGPLPGKIARLSSLEIVNMSSNHLYGSIPVELSSLLNLQTLILDDNMFSGQVPTVSALTVLSLKNNLFNGSLPNSVSNLENLRIISLSHNKLYGVVPDLSHLRNLQVLELDDNAFGPQFPKLGNKLVTIVLRNNMFRSGIPADVSSYYQLERFDISSNTFVGPFQPALLSLPSIAYLNISRNKLTGMLFGNLSCNSELEVVDLSSNLLTGSLPKCLVSNSIDRTVLYARNCLETTKQNQQPPPSCHTEALAVGILPDRKKKKQVSKVVLALGIVGGTLGGVALVLLILFIVRRGNARSKMKNPPTRLISENAASGYTSKLLSDARYISQTKKFGALGLPTYRSFSLEEIEAATNNFDTASLMGEDSYGEMYRGQLKNGSIVVIRCIKMKKRYSTQNFMHHMELISKLRHRHLVSALGHCFKCSLEDSSVSKIFLVFEYVPNGTLRSWTSDGHTGRSLNWTQRIGAAIGVAKGIQFLHTGIVPGVYSNNIKIEDILLDHNLVAKISSYNLPLLSNIGKVRRGNSSDGSKHSSINKRGKHEDKCDIYDFGVILLEIILGRTIKTTNDAEAFKDLLQTSLGADEDARRSIVDPAIRKACLEQSLKTMTEICVRCMIKEPAERPSIEDVLWNLQFAAQVQDAWRGDSQSSEGSPGSPLGPQRTAFH >RHN56345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30162153:30163826:-1 gene:gene31730 transcript:rna31730 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PT8 MATSHGVLRSLDNAKTQSYHYLAIVIAGMGFFTDAYDLFCITAVTKLIGRLYYSDPTNHSPGILPTNVNNAITGVALCGTLAGQLFFGWLGDKLGRKKVYGITLTTMVGFALLSGLSFGSTPKTVVTSLCFFRFWLGFGIGGDYPLSAVIMSEYANQKTRGSFIAAVFAMQGVGILVAGGVAMFVSKLFLLYFPAPDFETDAVLSTQPEGDFVWRIVLMFGAVPAALTYYWRMKMPETARYTALVEGDHKKAVEDMAKVLDRNILSEESNTRIAIRPLESHSYGLFSSEFLNRHGLHLLGTTSTWFLLDIAFYSLQLTQKDIYPTSGLVYKASKMNAIEEVFQLSRAMFAVALIATVPGYWCTVFLIEKIGRFRIQLIGFLVMSVCMWFLGHNYRSFRGEESACKNGSKYSFCNGNPVMFAILFGLTLFFANFGPNSTTFIVPAELFPARLRSTCHGISAAAGKSGAIVGAFGVQSYIGNSHDKSKGTKQAIMALAVVNLLGFFFTFLVPETQGRSLEEISGEEKDFQGNNADEEISGERNGTRNASVDKSPETSMV >RHN49100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52424108:52425196:-1 gene:gene43891 transcript:rna43891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MGKTNSSNSSSSISSNSNHNLYISTASSLTHQLPTDLSLGLTISPTQHFHVGSSISRGQWQQNYDYNSLYVKVYMEGIPIGRKLNILAHQSYHELVKTLEHMFDTTILWGNEMDGVQPERCHVLTYEDEEGDLVMVGDVPWEMFLSTVKRLKITRVDTFGC >RHN53050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41505432:41516729:1 gene:gene37799 transcript:rna37799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arginine--tRNA ligase MLGLGCLNLNRLSHFHSPPSLQPSRSDLLKVATRRFTALSVAKTQQSSSSPSLSSKTQSSPPTLSIDIDNPASVKRQLAQLFDVSLKTIVPDEEDVVPLVDVCTAKTGSVKFGDYQCNNAMGIWSKLKGKETGFKGPPAIGQAIIKNLPPSEMIDSCSLAGPGFVNVVLSKHWIAQSVQRMLNDGIDTWAPRLPIRRAMVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSRVELVTRRNHVGDWGTQFGMLIEYLFEKFPNQEDVSETAIGDLQAFYKASKVRFDDDPEFKLRAQQAVVLLQSGDTRYRKAWQQICDISRAEFDKVYQRLGVQLEEMGESFYNPYIPGVIEKLDKLGLVEDSDGARVIYVEGVNIPIIAVKRDGGYNYFSTDLASLWYRLNKEKLEWIVYVTDIGQQQHFDMLYKAFRRAGWLPRNENAYPKCTHIGFGLVLGNDGKRFRSRSSEVVRLVDLLDEAKRRCKTALLERDTTNDWSEEEIERTSEAIGYGAVKYADLKINRLTNYKFDFDQMLSDKGNTAVYLLYAHARICSIIRKSGKDIEEVKKNGVIVLDHESERLLGLHILQFPEVFEEACSNLLPSVLCDYLYTLAEIFTKKFYSNCQVVGTPEETSRLLLCEATAVVMRKCFYLLGIEPVYKL >RHN41233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28456267:28459081:-1 gene:gene47533 transcript:rna47533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MVMNLSDCYQLAAIPDLSWCLGLEKINLVNCINLTRIHESIGSLTTLLNLNLTRCENLIELPSDVSGLKHLESLILSECSKLKALPENIGMLKSLKTLAADKTAIVKLPESIFRLTKLERLVLDSCLYLRRLPNCIGKLCSLLELSLNHSGLQELHNTVGFLKSLEKLSLIGCKSLTLMPDSIGNLESLTELLASNSGIKELPSTIGSLSYLRILSVGDCKLLNKLPDSFKNLASIIELKLDGTSIRYLPDQIGELKQLRKLEIGNCCNLESLPESIGQLASLTTLNIVNGNIRELPASIGLLENLVTLTLNQCKMLKQLPASVGNLKSLCHLMMMGTAMSDLPESFGMLSRLRTLRMAKNPDLVSKYAENTDSFVIPSSFCNLTLLSELDACAWRLSGKIPDEFEKLSLLKTLNLGQNNFHSLPSSLKGLSILKELSLPNCTELISLPSLPSSLIMLNADNCYALETIHDMSNLESLEELKLTNCKKLIDIPGLECLKSLRRLYLSGCNACSSKVCKRLSKVVLRNFQNLSMPGTKLPEWLSRETVSFSKRKNLELTSVVIGVIFSIKQNNMKNQMSGVVDVQAKVLKLGEEIFSTSLYIGGVPRTDDQHIYLRRCNNYHPLVSALKDSDTVCVAKRNPPFDERLELKKCGVHLIFEGDDDYEGDEESLDKGLQSVSERLARFFKTCDEGADAAESKDDKGQHELEEEKEEVETRLLGAKGNSILVFLLNLFFVLLGWFWLRCMSSAERKD >RHN47315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38769706:38770428:-1 gene:gene41897 transcript:rna41897 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFVRAAAVFIFLFCDEEAPPELDIDEIENLLYRGDNAIPIVGDSLRNNQGDDQFDTMEFQVDEDSGVDGDDGVEDIVIPSVIIDYGATSLDDYND >RHN57009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35708232:35717786:1 gene:gene32492 transcript:rna32492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Sec7 domain, guanine nucleotide exchange factor MAHAKLQMQTDINAMEEEAGQCGVGYLSRTSVACMINAEIGAVLAVMRRNVRWGVHYMSDDDQLEHSLVQSLKALRREIFSWQSQWHVINPVLYLQPFLDVIQSDETGAPITGVALSSVYKILTLDVIDQNTVNVGDSMHLVVEAVTSCRFEVTDPGSEEVVLMKILQVLLACVKSKASVMLSNQHICTIVNTCFRIVHQAGTKSELLQRIARYTMHELVRTIFSHLQDIDVTEHALVNGSTALKEEIDGQNIEHNSMHNQLENGSLISASDSQSVSTDIASNTVSDVAAVIVDANTATSSGKETDLNKQLMNEPHGIPCMLEIFRFLCSLLNVGEHMGMSPRSNTIAFDEDVPLFALTLINSAIELGGSSFHLHPRLLSLIQDELFCNLMQFGLSMSPLVLSMVSSIVLNLYHHLRTEIKFQLEAFFSCVILRLAQSKYGASYQQQEVAMEALVDFCRQKAFVVEMYANFDCDITCSNIFEDIANLLSKSAFPVNSPLSSMNILALDGLIAVIQGMAERIGNGSLSSEHSVVNLEEYTPFWLEKCENFNDPNDWVPFVGRRKHFKKRLMIGADHFNRDTKKGLQFLQGTHLLPDKLDPQSVAFFFKYTTGLDKNLIGDYLGNHDEFCVQVLQEFARTFDFNDMALDTALRIFLETFRLPGESQKIQRVLEAFSERYYEQSPHILANKDAALLLSYSIIMLNTDQHNSQVKKKMTEEDFVRNNRRINGGNDLPREVLSELYHSICKNEIRTTPEQGSAFPEMTPSRWIYLIHKSKNTAPFIVSDCRAHLDYDMFSIMSGPTVAAISVVFDNAETEEVYQTCMDGFLAVAKVSAYYHLESILDDLVVSLCKFVTILDPLSPEESILAFGEDTKARMATETVFTIANRYGDYIRTGWRNILDCILKFHKLGLLPAQMANDAAEESEPSTETGNGKRYANSLSSSQLLSVNTPKRSSGFISRFSQLLYLGAEETRSEPSEEQLAAQQCSLQTIQKCHIESIFTESKFLQAESLLHLVKALKSAGVRPKKGNGTSEDEDTSVFCLELLVAITLNNRDRIELLWQDVYEHISNIVQSTVMPCTQVEKAVFGLLRICHRLLPYKENMTDELLRSLQLVLKLDARVADTYYEQITQEVSNLVKANASHIRSQLGWRTITSLLSITARHLESSEAGFDALFFIMSDGAHILPSNFALCVDAAKQFAESRVGQVERSVVALDLMAGSINCFEKWANDAKQATTEEMAKMLQNIEDMWLRLVQGLKKLCMDQREEVRNHALLSLQNCLTASVGIHLPHDLWLQCFDQVIFTVLDDLLESSQTHSPKDYRNMEGTLILALKLLSKVFLLLLQDLSQSTDFSKLWLNVLNRFEIFMKVKIRGRRSEKFQELVPELMKNTLLVMKASHVLEQSSSSGDEKSLWELTWVHINNIAPSLQSEVFPEQEAKQLEQEKAEQVGDRGPAENVSAPSRAGNELS >RHN71589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1191301:1192933:1 gene:gene7203 transcript:rna7203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vinorine synthase MVKQNSNNSNNCEIIKLETLNTIVIKPSKPTPPHLKTFKLSLLDQLSPNIHGNTTFFYPNHSSDSSFSRKSKLLHNSLSQTLSLFYPLAGRVQDATTINCTDDGVFFIESQTTSNLSDILTNPNFNTLECFLPTTQEKQNMLLVIRFTLFSCGSTAITVSLTHKIVDFNTLITFLTTWTVVCGGTQPVSLPDLTTAATLFPAREIPGMSASIKTSTKIFTSRRFIFEASKIEELKRKIKSKIECQNELQFHPSRVEVVLALIWKCALSASSRSKTTSFKQRSILFQAVNLRPRMDPTIPETAVGNLVWPFAVTVEEESHVALHEMVKRMRKGIMEFIEKKAEKFKEEGGFKVVMESLKERVEILKGNNKNENEEGSLVIYKCSSWCKFPLLEFDFEWGKPVWSCSVNNLVSNTIALMDTKDGGGVEAFVTLDEDEMGFFEQDQELLQYALLNPTIII >RHN82368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53237873:53238304:-1 gene:gene6531 transcript:rna6531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MKITLNNDESSRVCGDVSGYFEEVKAAFQYNKKYFQFIKLIKDFRARRIDSIAVKARVKRLFKGNSNLMLGFNSFLPKEHRIQTFISLRFKDASPLLKAIKVAFQDKRESYYEFSKVLQGFKDKRIDSKDTAARVKKLLKGQI >RHN81721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48453780:48461306:1 gene:gene5804 transcript:rna5804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MTGFWSVFCDESGCSKPCSYNDVKFLVDPSTCINHLLISCFDVLLLILLLFVMIQKSFSKPYQDLVNRQRYSTLQLVSSITNGVLGLVHLFFGIWIFEEKLRKNRTALPLDLWLLELFQGLTWLLVGLTLSLKFKQLPRAWLRLFSILIFLVSGINCVLSLFYAMSSTQLPLKVALDVLSFPAAILLLLCTYKESKYRDGDREIDESLYAPLNGELNKNDSVCRVTLFAEAGFFSRMSFWWLNSLMKRGKENTLQDEDVPKVRDEDRAESCYLLFLDQLNKQKQKDPLSQPSVLKTIVLCHSREILISGFFALLKVLALSSGPLLLNSFILVVEGFESFKYEGFVLAIALFFIKIIESLSQRQWYFHSRLVGLKVRSLLTAVIYKKQLRLSNSARLTHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSFQLCISLVILFRAIGIATIASLVVIVITVLCNAPIAKLQHKFQSKLMVAQDERLKATSEALVNMKVLKLYAWETSFKNSIEGLRNEELKWVSAVQLRRAYNTFLFWSSPVLVSAASFGACYFLNVPLHANNVFTFVATLRLVQDPIRSIPDVIGVVIQAKVAFARILKFLEAPELQSEKRCSDGNMRGSISIKSAEFSWEDNNVSKSTLRNINLEVKSGQKVAICGEVGSGKSSLLSAILGEVPNTRGKIDVYGKFAYVSQTAWIQTGTIRDNVLFGSPMDAQKYQETLHRSSLVKDLELLPHGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYILDDPFSAVDAQTATNLFNEYIMEGLSAKTVLLVTHQVDFLPAFDFVLLMSDGEILQAAPYHHLLTSSKDFQDLVNAHKETAGSNRLMDVTSSGRHSNSAKEIRKTYVEKEKQFEALKGDQLIKQEEREIGDRGFRPYLQYLIALGLQSSKSLFLQLLNSLFRAPMSFYDSTPLGRILSRVSSDLSIVDLDVPFGLLFAVGATTNCYANLTVLAVVTWQVLFVSIPMIYFALRLQGYYFATAKELMRMNGTTKSFVANHLAESVAGAVTIRAFEQEGRFFVKNLGLIDINATPFFHSFAANEWLIQRLETVSAVVLASAALCMVILPPGTFSSGFIGMALSYGLSLNASLAPERIEGNRPPVNWPVVGRVEIKELQIRYRPDAPLVLRGITCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIVDGIDIGSIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLSQHSDQEIWEVLGKCQLQEAVQEKEGGLDSSVVEDGANWSMGQRQLFCLGRALLRRSRVLVLDEATASIDNATDLILQKTIRTEFADCTVITVAHRIPTVMDCTKVLSISDGKLVEYDEPMNLMKKEGSLFGKLVKEYWSHFQSAESH >RHN72137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5301633:5308029:1 gene:gene7815 transcript:rna7815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MTRAIRNRVLKDANGDISDHIRNHIHLTNCIHLKNHMHKNSPIIADRSIMRDLVVLQRSRSLRDPSASPPSWHSPSVVDLLFKRADNDGASQGGRRSVGNDSRKEGGKLSKIGNSPPLVSKGTSRVAPGEGSRGNDAVPAATSERSSRSGIGNGRRVGREESGRKSNRPDYLEVTSQEQLLHEAGKSLAEDVVSRHSQSIERKSRQRGKNVQDVQAKTLSEQLHDVPLDSDDLASSNIHFRARFRRQEKIIEQAQQASVRSHANGMNRIKRRKFRSTRKARVATTSRDIGAENELSVASNSLPEGSAHQKYHSEEVDNYADDNVTRAPKNGCGMPWNWSRIHHRGKTFLDIAGRSLSCGLSDSRLKKGRSLTSNGRNISVMPVAADDSCSCTNSEAEALPLLVDASGSHGSTENACWGHGYSGELGIYGDNLFKQDIDSDLASEARSGSQHNKLRRNHHSRHQSLTQKYIPRTFRDMVGQNLVAQALSNAVSRRKVGLLYVFYGPHGTGKTSCARIFARALNCSSSEHPKPCGFCNYCIAHDMGKSRNIREVGPVSNFDFENIMDLLDNMIVSQLPSQYRVFIFDDCDSLSADCWNAISKVIDRAPRRVVFILVSTSLDVLPHIIISRCQKFFFPKLKDSDIVYTLHGIATKEGLDIDKDALKLIASRSDGSLRDAEMTLEQLSLLGQRISVPLVQELVGLISDEKLVDLLDLALSADTVNTVKNLRVIMEAGVEPLALMSQLATVITDILAGTYDFTKERCRRKFFRRQPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYGLPTSSDNSFNHSPFALNNGNVKEATRNTGNPVEILNRTRRMSMDARMESSNAGSSADRRHSLSGYAPQHTYSHSTDKTRINERQTSDRNRKEIDEIWLEVLERIHYPGLKEFLYKAGKLIFISFGAAPTVQLMFNSQLSKSTAEKFTGHILQAFESVLGSSVTIEIRCEANKDAGSPVQLPLVLPSINDGSSQVRDLNDVGTEKRRSEIVEEEASHMEHKNNEQQVDGHATYKNQDGTSMGQVLASQKVPIVKSHLVRRKLSEQSQSRSLVKSKVSLAHVIQRAEGQRSGWSKRKAVSIAEKLEQENLRLEPRSRSLLCWKASRATRRKLSRLKIRTQKTHALLNLVSCGKCLATKSPR >RHN72565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8646881:8650979:1 gene:gene8291 transcript:rna8291 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLSGSTSMPFWLTMKPNNFPDLTPNVHLLGFNRSLYFLNLSNIFVKYSTCCSSSVDFTIMSSTYTSTSL >RHN49208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53287773:53292840:-1 gene:gene44015 transcript:rna44015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGHLSSMFNGLARSFSLKKGRNSVRCERREAVEAMAKEAKKNDLILCSSGTVNVDGSNNFASIFSKRGQKGTNQDCCIVWEEFGCQEDMIFCGIFDGHGPWGHFVAKRVRESMPRSLLCNWQETLAAQSSSLDDHVKDTDHVKTAADNKQQRFNIWKHSYLKTCASIDQELEHCRKFDSFYSGTTALSVVRQGETVFIANVGDSRAVLATTSDDDGSLVAVQLTVDFKPNLPQEEERIIQCQGRVFCLHDEPGTHRVWLPDVESPGLAMSRAFGDYCIKDYGLISVPEVTQRNISSKDQFIVLATDGVWDVISNQEAVDIVSSTPDKAKSAKRLVECAVHAWKRKRRGIAIDDISAICLFLHSPISSEQDSPIDTVK >RHN72471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7950274:7952522:1 gene:gene8186 transcript:rna8186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triose phosphate/phosphoenolpyruvate translocator, sugar phosphate transporter MISSIKCTSTSSFTSSNFTSTKLPLPRSQFSILPTIQNVEQNMSLPLLSSKKPLYLSSTQNFALLTKPKRKNVTECQAYEADRSRPLEINIELPSEEAAQKLKIGLYFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLAAGSLMMLISWATRVAEAPKVNLDFWKALFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGEAFPMPVYLSLLPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMNGMSVSGMNYYACLSMLSLLILTPFAIAVEGPKVWVAGWQTAVSQIGPNFVWWVVAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFHTPLQPINALGAAIAILGTFIYSQAKQN >RHN46297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30445767:30446326:-1 gene:gene40774 transcript:rna40774 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVVLLLVFVVVLVVDSGGFFFFFCGFSLMSSLLMGFLQIHGC >RHN63881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54715861:54716925:-1 gene:gene26636 transcript:rna26636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ion transport domain-containing protein MLQYFLGVLSIYTCFISLYLKTFIRALPLYVAIIETSVNGFFLVDIILSLFFVAYVDKITLVVVDNRKKIFRNAIVLALFGICLIIPFEFIERRFHPSSPAYQILTAVCFIRLSRASRIHSLISELEEIEYLNFTYVRMTKMIWVCSFVCHCGGCLFYFIARLHHNSQNTWFQLAGSDFLKLSSIKQYMNSVLVLTER >RHN56156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28237155:28238440:-1 gene:gene31513 transcript:rna31513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MLFDSSYLTNGYLETTIDWVPGIKEIRLRDIPTFIRTTDPNDIVLNFALGECQRALKASAIILNTFDALEHNVLEAFSSIDNLPPVYSIGPINFLLKNVTDEELNSIGSNLWKDETDCLEWLASKEPNSVVYVNFGSITVMTNDQLVEFAWGLANSKKTFLWVIRPDLVSGKNAVLPQEFLEETKNRGLLSSWCPQEEVLGHSSIGGFLTHSGWNSTLESVCGGVPMICWPFFAEQQTNCRFCCNEWGIGLEIEDAKRDKIEILVKELMEGEKGKEMKEKALRLKELAHDNAYGAFGSSLVNLDNMIHHVLLLS >RHN60839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30805436:30815352:1 gene:gene23225 transcript:rna23225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSDEGERTCPLCAEEMDLTDQQLKPCRCGYEICVWCWHHIMDMAEKDDTDGRCPACRSPYDKEKIVGTAAKCERLLNEMNLEKKVKNQKAKSKSSDGRKQLSSVRVIQRNLVYIVGLPLDLADEDLLQKREYFGQYGKVLKVSMSRTAAGVIQQFPNETCSVYITYSSEEESIRCIQNVHGFILEGRPLRACFGTTKYCHAWLRNAPCINPDCLYLHEVGSQEDSFTKDEIISAYTRSRVQQITGVTNSMQRRSGNVLPPPLDDWTNNSTEKPIVKSAPTNSVCAVRSSPPNGINGRHVSLPTSAAWGTQTTSCHPPVGGLSHPSVLSKPKPDTVNSMHPSVLSKPKPDTVNSALAFSTAVTGTIQASAAQCDGSRRPLLNDESRNTIPRVKSEMPKSVKQYISMDSLASASEKTSACDVSPVPVNLKNELSSRPLSRDSDRGNCTIANTLNATNITGHSFSTGPEEAVSATNEVIRNLSSEFSSINIDRSTSNELCRITKPSSLPTENALTKSPQIQEGSHYDVDRFKDPITTNTAGKTSTSVNGVFSPKEQCGGILDSQSQVVSDAADIEDDVTSFDNQRLKDPEVCLSYLPKATNFLNISKLSSPCLMQYGEPCTAGNDGSLSSNDRVRDESILHSSSMLCNGYPEKLISGSSNGLLRDERNRQSIGRLVGDAVDAGCDAAIDKGESSIISNILSLDFDPWDDSLTSPHNIVKLLGDNTDSQPCPLKTSSSRNVQSNNQSRFSFARQEESKIQSFDVHPSYTVSQQQPKSHILNQNLAERDFYMEKLGIANGFPTSNFEEAGVHSIASSNKLSANSRSQVSAPPGFSIPSRLPPPGFSLHERSDQIFDSLSGNSLLDHSSYLRNSPQTLSAGNIGGTGEIEFMDPAILAVGKGRLQGAQNSQSLDVRSNFMPQLNYFDNEARLQLLMQRSLAQQQNLRFSEIGNTFSQLGDSYGVSSRLDQSQVSNLAPYPQLSMQQSTNAILSNGQWNGWNEVQSGNGLGVAELLRNERLGFNKFYPGYDDSKYRMPNSGDIYNRTFGM >RHN46974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36159617:36160045:1 gene:gene41518 transcript:rna41518 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDPGKPFVSVQDLEHRFDPFRHQPSAAAVAVVKTDAAIVEDSVDVVVAFLAAAEEVVDVAVAVVKAVVAIEVVDAAIEVVKAAAAFLQDSAGVDVAFLAAAEEVVEAAVAVAKAVTTFVMVVA >RHN78293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13768294:13768832:-1 gene:gene1830 transcript:rna1830 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIFKNKFGKLKANKKYISTLIKKQNVFQKFQSRMNKTLHNKRQ >RHN76687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:435512:440555:-1 gene:gene52 transcript:rna52 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MIPMGNISNEEQELREIENLEREDVEKVGIDVEDGSGIAPWTKQFTIRGLITSILIGIVYSVIVLKLNLTTGLVPNLNVSVALLGFVFIKLWTKILEKANIVSTPFTRQENTIIQTCAVACYSPSFGGGFGSYLLGLNRATYERVGGASIPGNTPDTKETGIGWMTGFLFVTYFVGLTALIPFRKMMIIDSKLPYPSGTATGVLINGFHTPKGNVMAKKQVKGFTRFFSFSLLWSFFQWFYAGDGQCGFAQFPTFGLKAWKNTFYFDFSMTYVGAGMICSHLVNLSLLFGAVVSWGIMWPLIRVLKGNWFPESLPESSMKSLNGYKVFISIALILGDGLYNFIKILYFSTLNFQAYMKKKDHKADSFTNNSQNRTLDDLTRDEFFVKESIPIWLACVGYVGLTVISITVIPLIFPQVKWYFVVVAYLLAPILGFCNAYGAGLTDMNMSYNYGKVALFILAALGGKSNGVVAGLVACGLIKSLASTSADLMQDFKTSYLTLTSPRSMLVSQTIGTAIGCVVAPLTASIFYHTYDVGNPDGEYKSPYAIIYRNMAILGVEGFSALPSHCLQFCYGFFAFALLANLLRDWNPKNIGKWVPLPMAMAVPFVVGAYFAIDMCVGSLVVFVWSWMKKEEAGLMIPAVASGLICGDGIWVLPSSLLAWFKVHPPICMNFLANK >RHN70189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49499313:49501064:-1 gene:gene18745 transcript:rna18745 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAFSYLPWWLSSRKHQKPKTSNGCSTNSSPDSVTRESSNVLRFPFVNEEIVPSTSRKVKDERHSREEAKIDKECDFVIVPFDGGFVDSDAESVASDWSIGWLEPHGTGFSNDNDESHETDNSFAVLVPCYGYNYGAMLEEGPKSNVLNNVGNFSYESKKCVESWISSLNNT >RHN51306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16018049:16022961:-1 gene:gene35722 transcript:rna35722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MCWAQPKSRHLLSINNYPPSNLKSFPQRNQTKMAKNSASITSFYSFLLISSILFASYFHASKAQTNAPPAVKGLSYGFFAQTCPNLENIVRKHLTKVFKSDNGQAPGLLRIFFHDCFVQGCDGSVLLDGKPGERDQPQNGGMRTEALKTIDDIRALVHKECGRIVSCADITVLAGREAVFLSGGPNFPVPLGRKDGTSFSIKGTSNLPQPFNKTDVTLKVFAAQNFDVTDVVALSGAHTFGRAHCGTFFNRLSPADPTLDKTLAQNLKNTCPNANSGNTANLDIRTPATFDNKYYLDLMNKQGLFTSDQDLNIDSRTKGLVNDFAVNQGLFFEKFVNAFIKVSQLNVLVGNQGEIRGKCNVVNGGKKSVLSTLVEEGMDLIEQF >RHN78485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15549149:15551171:1 gene:gene2057 transcript:rna2057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein Pet100 MSSLGTSKGILEIAKFGVYVTVPIVLMYVYASNTDNSLHKFIGKKSYIEYPKDTNTPPPPEELREMARELARKRNNH >RHN76317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49339081:49339680:-1 gene:gene12619 transcript:rna12619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M20 MDFFKCVKLFIIMFISFLSATPIFSDSSSSSNAIPNFLELAKEPQVFDWMVDIRRKIHENPELGYEEFETSKLIRTELDELGVQYKHPVAVTGVIGYIGTGLPPFVALRADMDALLMQELVEWEHKSKVPGKMHACGHDAHVAMLLGAAKILKEHEKQLYGF >RHN45849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26268932:26272896:1 gene:gene40270 transcript:rna40270 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFQSFAGKLSNLEAWRRSKRSTRLQYMVQMKRRFLPLPFWDGGMHRIMESIDNVLQLSQEYSHNVCEPGVALVEFVFSILRQLLEATLDDEGLLDHLARWLSISHDMDIDEHVRINEMTTEQKEVMRRKNTTLAIEIIVNFLQNKMTSRLLSLVHRNMPSYWRSFKHQMQLIASKSSILKNLTHINADTLLSAMENIHGVVSCNAKSVIPAGSQVAFDGALPIDLILEDALDGGHVATFSAIEMITVGNLRHLIVEACIARNLLDTSAYYWPGYVNACSNQIPASISNQVDGWSSLMKGSKLTPTLADFLAATPASSLAEIEKIYEIAIYGSDEEKISAATIMCGASLARGWNAQVITWTDPKILNSGGMTKNV >RHN71437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:157189:157404:1 gene:gene7041 transcript:rna7041 gene_biotype:protein_coding transcript_biotype:protein_coding MENLEPPHPEVDYSWIHDDVLSAITYYQTNEKLDEITWWERCLTSGNLKGQDERGGRADNTRGIVSPCMTF >RHN63648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52926288:52930449:1 gene:gene26373 transcript:rna26373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-associated protein RP/EB MATNIGIMDSAYFVGRNEILNWINNLLQLNLSRIEDAASGAVQCQMMDVTHQGVVPMHKVNFDAKTEYDKIQNYKVLQDVFNKLKIDKHIEVSRLVKGRPLDNLEFLQWLKRYCDSINGGIMNENYNPVERRVKVGKDRSSLKKSKSLQTNIMNNSGSGNTLGPNRTSVAKPFRSSGGAGGDNSSAEIQTLSKEITDLKFTVDRLEKERDFYFAKLRDVEIICQTPEVEDIPVTVAIKKILYATDAKESALDEAQDYLNQAMNVEEAQDYPSQTMNAVEAEAEIEVDSQN >RHN48987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51591433:51592283:1 gene:gene43765 transcript:rna43765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase Pif1, P-loop containing nucleoside triphosphate hydrolase MGLLDEDKEFIDVIMETSHWSTGSSLRKLFAILLLSNQISRPEFVWNKTWEYLTNDILDMQKVLLQFQDLVLSPTELKSFALSDIETLLQSSSKSISDSPTMPQPDMSLITERQNRLIYDELNYDRQSLAKEYTQLMSTMTSEQRKIYDKIMTRVIENKPGLFFLHGYGGTGKTYIWRAMSAALRSKGDIVLTVASSGIAALLIPGGRTAHSRFSIPIHVDENSTCNIT >RHN47546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40508550:40509519:-1 gene:gene42160 transcript:rna42160 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNFLSLLVLLLFFLSFSYVLSSSASLATRTKNLKGEDTSSQPSLAMVDGNYGEKVMVVDKEEALVERRMDLETQDYGGTGANTDHEPKPPRRI >RHN65103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64217709:64220338:-1 gene:gene28001 transcript:rna28001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative association with the SNF1 complex (ASC) domain, immunoglobulin E-set MGNVNVNGNSSSPQVPAAPLQRHDEMHVIVPTHSSSQTTPGYPDIYNENKVPTMITWSYGGGQQIFVQGSWDNWNSRTALQKSGKDFTILKVLASGVYHYRFIVDGIGCYDPELPWSKDEAGNACNILDLQDYVPEDIGSISAFEPPQSPTSSYDNLPFSSEDCAKEPPLVPPQLATTPLNVCTENVEIQPTKPRPQHSVLNHFYIPKGESSPSVVALGSTNRFLSKYVTVVLYKSVQR >RHN76360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49671036:49672344:-1 gene:gene12671 transcript:rna12671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain, tetraketide alpha-pyrone reductase 1 MEHKVCVTGASGFLASWLIKRLLLSGYHVIGTVRDLGKKQKVEHLWKLEGATERLKLVQADLMEENSFDNAIMGCKGVFHIASPVLNHISNDPKAEILEPAVQGTLNVLRSCRKNPALVRVVLASSSSAVRVRADFDPNIPIDESSWSSLELCEKLQAWYPMSKTLAEKAAWDYCKENGIDLVTILPSFIIGPNLPTDLCSTASDVLGLFKGETEKFQWHGRMGYVHIDDVALCHILLYENKASDGRYLCSSKIMDNDDLVGMLANRYPGFPIPKRFKKLDRPHYELNTGKLESLGFKFKSVEEMFDDCFASFVEQGHLTTLPHQPRVIL >RHN44497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5432244:5432951:-1 gene:gene38624 transcript:rna38624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKPYSNFYLQGRKKMTKIVVFIYVVILLLTIFHVSAKKKRYIECETHEDCSQVFMPPFVMRCVIHECKIFNGEHLRY >RHN73879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20996154:20998815:1 gene:gene9764 transcript:rna9764 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEKRYISTVVGETVDESVEYGGQIVEHLSGEAEIENAAAVKLDRVVSEREARYQSIVGTDSCAMDAAAEAAGHPSTSLFADILETIDSILNMPFVNDGKVDRNSSIVDIEETSNFGNDKFDANSNIVVDIMETIDSILNMPIGNDKVDGKTNIVHEVVCGTEVEKCNATEQVIADKDVSGVSALNAEQSDVYEGMEIYVEDQQETERSRTMNQTVEVNGLLVSIEGEENFDANAIAEEGTQITDQGSYMLLRDGKEKLSEESNMRQKVEEQECVDKDNSFFVDEEQDLCEVIEYATPVVNTDDQAGPRNLNNQSSTHQKRKSNFKDTMHSVKKEKRMLDPLNGSLDSPDGDCWTPDHLVSPEHSKKRSAFDHFDDDFKMQTGNKTISVAEVSNTTNSSYKIGDCIHRADSQLTPKTDVDVRIFPENGLDVSPTTVEYDENTTEYSSQVDMLSPLQCVAQKPLGEYTFLNGIVSFFSDFKNSVIVSADWKEISRTDELDTMTKKKLPIAGTGFMSDLHWTDWVIENGNEEKPVQQPLLKNQKKDEQLVAAKSPKPAQVNRGPSSEKNSKSNHAETPNKPHGYIDEKAPAELVMNFTEFKSVPSETNLNKMFRRFGRLNESETEVDRVSSRARVVFKKRVDAEVALGSAKKFKIFGSVLVNYQLNYTPSAFKVFRKR >RHN74419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33023202:33028301:1 gene:gene10481 transcript:rna10481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CDC50/LEM3 family protein MASSSAAAAEAPGSADSTAPRRNTKRPKYSKFTQQELPACKPILTPRAVISAFLLVSVVFIPIGVASLMASRKVVEIVYGYESDCLPDGTKDEIAYIQSPETDKTCNITLNVTKHMKSPIYVYYQLDNFYQNHRRYVKSRSDEQLRSRKGENSVSACKPEDTANNRSIVPCGLIAWSLFNDTYSFSVNNTNLTVNKKDISWKSDREHKFGKDVFPKNFQNGSIIGGAQLNESIPLNEQEDLIVWMRTAALPTFRKLYGKIEVDLNQGDLIDVTLKNNYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLTVGGLCFFLSLAFTIVYFVKPRQLGDPSYLSWNRNQGGH >RHN75495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42714360:42717559:-1 gene:gene11713 transcript:rna11713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MILTTCIATPTHHPDPPKQPPQIHPPSTFSPPNNPNKNQTLSLKHKPNSNQTVSWTSSISHHCKNNNFLKAASEFIQMLEAEVEPNHITLITLLSLAGEAWDVIKKMPMMPNEVVLGSLLAACRTQGDVELAEKVMKYQVELYPGGDSNYVLFSNIYAAVGKWDGASKVRREMKERGLQKNLAFSSIEIDSGIHKFVSGDKYHEENDYIYSALELLSFELHLYGYVPDFSGKESDVDD >RHN39868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11217120:11217755:1 gene:gene45946 transcript:rna45946 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLSLKLVATCGKLDCSLNSQILNSPFIYILKNENEYVLSMKMEMKRDAVESNTNDFELQRVGNEDSLGFERAAEEEDEDYECERVEDSLIEEANEDNEREIVEDSMDFERVVVEEDEDYECERVEDSLIEEEDEDGDNEREIVEDSMDFERVVEEEDEDYEDSLTEEEDENDFGLDFELVAVEEYEGLQEEEKREVVEAMLKIYLPILY >RHN69454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43553682:43556424:-1 gene:gene17930 transcript:rna17930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-(apurinic or apyrimidinic site) lyase MNSLILFSIQIRAMSKRKRSPIKSLPPPLPPSTPPTPHTQTRHSTNLSKSRAWIPLNITRQELSLPLTFPTGQTFRWKNTAPSQYTGVVGSHLISLKHLHNGDVCYTLHSQSPSNDDCKTALLDFLNADVSLADTWKVFSDSDERFAELAQHLSGARVLRQDPFECLIQFMCSSNNHISRITKMVDYVSSLGTYLGCVEGFDFHAFPTLNQLSLVSEQQLRDAGFGYRAKYITGTVNVLQSKPEGGEEWLYSLRKLELEDVISELIKLPGVGPKVAACIALYSLDQHHAIPVDVHIWRIAQKYILPELAGSKLTQKLHSRVAEAFVTKFGKYAGWAQAVLFIAELPSQKAILPSHLRATKQQSPANIENSEEEIE >RHN66208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9729938:9731016:-1 gene:gene14149 transcript:rna14149 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFLLTMAFSAVPLILYIPPIRSLNSFVETMEEIKRESRPFTNGLNPRLQAAWSRIFNRILFNTR >RHN42002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34691487:34695049:-1 gene:gene48386 transcript:rna48386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MMESCVPPGFRFHPTDGELVGYYLRKKVASHKIDLDVIKEIDLYRIEPWDLQERCRIGNEEQHEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKSVYERTKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETVENAPPQEEGWVVCKAFKKKTSVQAKTNERWDPSHLHDEQTSSIISRVDPIDLILRQPQRISAQNFMYKQEIEAEADTLLRFMHSEQLVPLPQLQSPSLTKRQNSMPIISEKVTDWRDLDKFVASQLSQEDHRHETSSDMSLFLLQSSKDDEENKLSSFLTTRSDCDIGICVFEN >RHN74890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37580274:37584320:-1 gene:gene11023 transcript:rna11023 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLKNLLTRHRFNSKTLNSPIFNFTLRPISSSPQQTKKPLSTLFSELMSGKTTTIDEEEEESGVGDVELKKKLNQLTEQVKNSKQKKSKGVIPKKVEIEKRSLYSAFTNQPLPDGVISEKKVVEKKQKMRERFVVEELSVETVMFLYHLYQNGYFEDAKFGYVYGRFNIGWFETPYALGYVKFAAKKFANDNLEIAKWLSGSALKQMLVFGCPSISRNDVFPAKRLRKFFEVPENTVCSKCMLRESCKFVNQNVWKCDTNKLDLEIAVKVVISYALHLVHPQLVVSDEVKKSVDHLLNEFVKLSQIT >RHN48131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45270254:45270493:-1 gene:gene42816 transcript:rna42816 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVQYPCKIDGSISCQSLYMKVLLWTIFLDICSFDGPDAPIGEKTYWKLLHHPFIQPRIQGTQSREGV >RHN63550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52149260:52150271:1 gene:gene26263 transcript:rna26263 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGNVYNSYGTSWADQWDNGPDPIMADTNNNKSTTKYKEKFGEGLGKTKGVASTGVKKLKDGTSVGLNWIKTKYSKATHKH >RHN54761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11955982:11962815:-1 gene:gene29843 transcript:rna29843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-associated protein, MAP65/Ase1/PRC1 MNFKMKRFLKIYEYNFTFLEVQKLAKFLVELWDLMEIQIDEKKAFNHVIRLISASVDEVSIEGGLCSNVSEQVEVQRLNVLKASKMKELVFKR >RHN55763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22966544:22969206:1 gene:gene31011 transcript:rna31011 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKRGGKEGAISSGEPPPKKQVAAKNHGITFKNNKQWDSVAKYQYTKRTIQRVQTVALKCNIDEYHFIGKLGV >RHN74035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:24004783:24005358:1 gene:gene9966 transcript:rna9966 gene_biotype:protein_coding transcript_biotype:protein_coding MRLISKENYKKLSTYMKESDAVSAIMKDFPPICKQDPLDVQMHYIKNHFATTGIKISLRDVLETMFGGALPVAKSRKTKRKVISMDAYLEEAYEQTSKKAKKDKKKKSYSEQIAGSELPTIQEEAQDLNVEEILENRTRSSKEAATSQAALEQPAIPKKKRKHAIRKLRMAADASEEEAATELVSRELRKK >RHN73287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15132685:15133117:1 gene:gene9085 transcript:rna9085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MTRKKVKLTFIVNDAAQKATYKKRKNNLLKKVDELSTLCGIEACAIVQGPHEPQPHIWPSSWGVHRVLSKFRTMPELEKNKKMMNQETFMRQRVLKAKEKVEKLRKGNREQEMTMIMFQCLN >RHN65375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1583645:1588013:-1 gene:gene13205 transcript:rna13205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MAFLLKPSHRNFNSSITRFFSSISSQTQNDAELISKILLTHHNPYHFTESSLQLNGITLTPILLTQTLTLLKHHSKIALSLHNFSKSLPNPPLSLQSYNLLIDIVSKVHQFDLASQLIVEMDQINLPPTPTTFFILIKRLISANLTRQAVRAFNEIESYTDTKLTSDHFTYLLDTLCKYGYVKHACELFNRNSNRFVADVKMYTVLIYGWCKIGRFKTALSFLNEMKVKGVEPNVVTYNVILNGICRKASLHPEERFERTIRDAEKVFDEMRESGIEPDVTSFSIVLHVYSRAHKPQLVLDKLGLMKEKGICPNVVTYTSVIKCLCSCGRIEEAEDLIDEMVRNGVSPCAATYNCFFKEYRGRKDADKALKFFKKMKEDGLCEPTTHTYGVLIAMFLKADMIGVVKEIWNDMMESGVGPDLDSYTVLIHGLCESKKWREACQYFVEMIEKGFLPQKVTFETLYRGLIQSDMLRTWRRLKKRLDQESITFGSEFQNYHLKPYKR >RHN56997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35584246:35585421:-1 gene:gene32476 transcript:rna32476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSSHWCYRCNKFVRVWRLGMPICPDCDSGFLEDVEQSTHSANTVGGRRMRFPMAAAMYMIGHRNNNYNQNTFRRHRRNNVNGGDISPFNPIIMIRGGGGSSEGTSREREENNEFELFYEDGAGSGLRALPPRMSELILGSGFERVMEQLSHVEANRSGNEGHNQQHLPALKSAVELLPTIEINESHMNVESHCAVCKEPFELGISAREMPCKHIYHNECILPWLAIQNSCPVCRHELPCESPQINNEISNSNEDENVGLTIWRLPGGGFAVGRFSGDGGGGENRMEHPIVYTEVDGAFNNVGEPRRISWSLTSSRGGIGRSRGGAFRRMLSNLFGCLRGGGVRNQHSPFTTREFPQPMTMRNNSASHTNENPSLRSRRTWSMDANGGNRPW >RHN71069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56247118:56254910:-1 gene:gene19712 transcript:rna19712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MEVTSSILSPAQRYAAAALFSLALHQSQFHERPTPSSPRTADADAPTTADSSSTSDNPELWIHDNSGLLLPVFRFLEVDEQAWHGLKETAGSSSQFRHHLGDFFKVLSEEGDASSKDRLDKEAALTNAVDATEASMKSIADSSCRGHEQKTRSEDNLCDTELKLYAVGAEPDGETKESSALLPLETQSSTALENEKPLEEASIISYQRKVTVLYTLLSACVADTAEVDKKFSKSRQGYDARHRVSLRLLAVWLGVEWNEMEAMESMVAYSIMESLSKAGEKEESIVSETSWDKWKRGGIVGAAAVTGGTVMAITGGLAAPAIAQGLGALAPTLGSIIPVIGAGGFAAAATATGSVAGSVAVAASFGAAGAGLTGSKMATRIGSLEEFELIEVGGTHRGHLAVRISISGLAFEEEDFIKPWEGHNDNMERYVLKYESKILIALSTAIQDWLTSKIMSELMKGGAMMTVLSTLVAALAWPATLVTAFDLIDSKWAVAVDRSEKAGKVLAEVLLKGLQGNRPATLVGFSLGARVIFKCLQCLADSKGDNAGLVERVVLLGAPISIKDENWEVARKMVAGRFVNAYSTNDWTLGITFRASLLSQGLAGIQPVDIPGIENVDVTRVIEGHSSYLWMTPKILEQLELDNYFAVYKGEHEKPQEEKSTMD >RHN43916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49451956:49452682:1 gene:gene50552 transcript:rna50552 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDTCFFCQEIMDVFIQDQVHEKCQHGRNWTCLPLQHLAGNGLEYITEACALQGSHMLHKTRRMFPSQMLIILMSQLETGCVVVKLWEDSYHTYQKIPDLKHDRCQSISSTS >RHN68112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32941420:32941653:-1 gene:gene16412 transcript:rna16412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MVASFSLRGRSIVTPDILKFNIMSGISMACPHVSGATRYIKSFQPKWSHTAIRYAFMSSVIFPSIYSCINRIKFEHS >RHN52244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32992005:32996795:1 gene:gene36895 transcript:rna36895 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFWESRQLLLRSHHIMLSILSPCLVLFNEKSSINLTEQRSTCLDLELFY >RHN52278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33405417:33408849:1 gene:gene36933 transcript:rna36933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MAWLLLLLHLFLFHFPSFSSFNFSCHRDESSALLQFKSSFTINSESSYPCDESLLKTATWKYGTDCCSWHGVTCDTTFGRVIGLNLGCEGLQGNNLGGFLPKAYLRNYEAMKNVTQVDGDISLQYLHKSYEKFDAGYSDSVTVATKGIQMKLVKIPIKFVSIDFSRNKFEGEIPNAIGELHALKGLNLSHNRLTGHIPKSIGNLTYLESLDLSLNMLTGVIPAELTNLNFLEVMNLSNNHLVGEIPRGKQFNTFTNDSYEGNLGLCGFPLSKRCGLEQHSPPSPNKNFWSEEKFGFGWIPVVIGYGCGFLIGIGIGYCMFLVGKPRWLVMIFGGQPKRKVKKRTRMRRNHGTAMNQNQNQMMQMS >RHN55986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26481387:26482072:1 gene:gene31291 transcript:rna31291 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTELLSLVNRVDKVQVYNHYLHYSVFCLRYKTKQQPCLQNCTLKMGMNLKFILQRTTPKSGKGSLVDNQRISNDSLDVLIISTSLSRLYIKE >RHN76158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48093611:48095622:1 gene:gene12443 transcript:rna12443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-RSK-2 family MDSPTSPSTQDLNLNNLKPLKILGKGAMGTVFLIQQNNNVNTTMALKVVDKSSTHQAERRARWEIDVLSTLSHPFLPSFLGNFETAQLIGWAVPYCPGGDLNALRYQQTDRVFSITAIHFYIAEILCALQHLHTMGIAYRDLKPENVLIQQSGHVTLTDFDLSRKLSHKTVRTLTVEDNRIHESQRKTRRWRIPLNRRISPVSRRGLSFSDGEKSNSFVGTAEYVAPEVIRGEGHEFAVDFWALGILSYEMLYGKTPFKGKNKKETFSNVLFKKLEFFGRKTALTDLIERLLEKDPLKRLGYVGGAEEIKEHEFFRGVKWEMLTEVERPPFIPSRGDDGYLKAGEFGDGLDISDYFEKLKLPSSPLRSPSSEFENSVSFDEF >RHN53631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2934390:2941718:-1 gene:gene28558 transcript:rna28558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSTPNRSSSDIIDSTPFLTNPGNGSSSDDLNNSGRRRRQRLLQAARFLRQASGRRTMREPSVVVREAAAEQLEERQSDWAYSKPVVILDIVWNFAFVIVAGTALFLSRNEAPEMPLRLWIAGYVLQCVLHMVCVCFEYRRRRRFQRSSSSNAVAGSDRIGSGNFSSREGSRSAVSGSSYVSLAQFDEESTSVAKHLESANTMFSFIWWIIGFYWVSAGGQALAQDSPQLYWLCIVFLGFDVFFVVFCVALACVIGIAVCCCLPCIIALLYAVADQEGASKEDIEQLSKFKFRKVESNEKQTDNNQGPVGGIMTECRADSPIEHVLAEEDAECCICLSSYDDGVELRELPCGHHFHCACVDKWLYINATCPLCKYNILKSSNLGQEEV >RHN81325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44880222:44881239:1 gene:gene5343 transcript:rna5343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain, legume lectin MAFYRTNLPTQELVLGMIYTTFFLLLATNINSVQALSFNFTKFPPHAVSAGITIQGDAEVLSNGVIALTKRLELPPGTLIPSTGRILTSPLSLDNLASFATSFSFVIEHTNALEPTDGVIFFIAPQDTVIPPHSTSARFGVVDIENAYNQFVGVEFDLYTNILDPNMKHIGIDINSLISSKIVEWEWVSGSLTQVSVAYDFPSKTLSVLVTYDNRKIATLAQIIDLKTVLPNTIRLGLAGASITATAHDIYSWSISISELKTTTSSASDK >RHN80980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42376333:42379306:-1 gene:gene4967 transcript:rna4967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MNGKILVSGVSIILVVGVAIGVVVVVNKKDDPALAAHEKNVQTMCQTTEDQKLCHDTLGSVKPANSSDPTAYLAAAVQASAQSVILALNMSDKLTVEHGKDKPGVKMALDDCKDLMQFALDSLESSANLVRDNNIQAIHDQTPDFRNWLSAVISYQQSCMDGFDNGTDGEDQVKKQLQTESLDQMEKLTGITLDIVTSMSNILQTFDLKLDLNPASRRLMEANEIDDEGLPKWFSAADRKLLANAGGGPPPNAVVAKDGSGKFKTVKEAIDSYPKGFKGRYIIYVKAGVYDEYITIPKTSINILMYGDGPTKSIITGHKNFVDGVKTMQTATFANVANGFIAKSIAFENTAGPAKHQAVAFRNQGDMSAFFDCAMHGFQDTLYVQANRQFYRNCEISGTIDFIFGSSPTLIQNSRIIVRKPGPSQFNTVTADGTKQRNMATGIVIQNCEIVPDRDLFPVRNQVKSYLGRPWKDFAKTVFMESNIGDVIAPEGWTPWAGTQFLDTLYYAEFANTGPGANLNARVKWKGYHPQISKNEATQFTAANFLKAGPGGKADDWLKATGIPYAIGFEKA >RHN47358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39133380:39139857:-1 gene:gene41950 transcript:rna41950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSILPSQTQSSSSSSQNSTIPNPNLQHGISDSLSPLLHHSLGSLQIHDLPGPSNPAAENSGVPSEKVTELESSSGMKTPQRNSRTQSRSHSGRRTVGSSQYEGKTTGTVSSHRNQQTTGSVYSQGSTPLAGRKSQMANANHLLNFQYDPISRSQQRGPPPPPPARRQRKTRPYNKDLFLQANFKFMVLDSGNYSPDSMDPDKMLQWEDIICVTYSTPFPVQCPICLEHPLCPQITSCGHIFCFPCILQYLMLGEEDHKGDRWKRCPLCFVTISVKDLYTLHITNVKQYQVGDNIEFTFLTRKKDSFTLSHKNKQEGDNSSCGRGDVCDPFSKFTLTSDVDLSVRHAISDLDGWLARADSGLVDDMEKLPYVSAAMQQLKQRKKYWNEHKACYSEKSSNLIDYAPQTPSISTNAWDSDDESCSNGSRTSSTDFPDQSKVVILDKSTAGTCQDDTLDVDKVLAEKEITLSSSYEEKNCIQGHSNGIGDAKENDSYNFYQAVDGQHLILHPLNTKCLLHHYGSYDKLPHRISGRILQLETVTQSEAMRRRYRFLSHFPLTTIFQLCEVDLSEMLPPEALAPFMDEIKKRANQRKQIAKKEQKEKLKAEATDNYALSMSTHYQFISRDDPPTFSMDDFEALGNSALSSSPPVVGERKLFSSVTRLGFAAGHDSPSFPTQETSDLHSNNSIAGSSGTAGLRNGETLSYSNVISRAESNGTSNAPKTNDLGKKGKKPNRVLLSTSGGRRY >RHN77107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4148183:4148881:1 gene:gene528 transcript:rna528 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLPYDNANNLLIGPFLNFSFSLIGLATSIAIITTLCSVRFRRRKLTPPPTTPISNTKEATTTDKNTTNATIFMSQEQPHTSETTKLENTPNEGNNNETSTKELPLPPSMQQPNNTNKASEFVKRTTSERRLSFKLSIKMPRSLSLARNWDKKEENDIGNREKLKPDESIWMKTIILGEKCVPDEEEDAIIYEGKGKRISAYHPRNSISSSSMSLSRQWSSLALDVPQSH >RHN72943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12027341:12032492:1 gene:gene8710 transcript:rna8710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MSIPYKFHYIAFPIDSLNIHPLFFVKTYNIMKFLSLILSPILGLLFLSCLASATNSHQNTFIHCLVNHSQPSHPITSSIFTPNNSSFSSVLNDYVRNLRFNTSTTRKPYLIITALHVSHIQASIICAKQHNLQMKIRSGGHDYEGVSYVAEVPFFILDMFNLRSIQVDVENETAWVQTGAQLGELYYRINEKSKVHGFPAGVCPTVGVGGHLSGGGYGNMMRKYGLSVDNIIDAQIIDVNGRLLDRKSMGEDLFWAIKGGGGASFGVVLSYKIKLVNVPKIVTVFQIRKTLDQNASDIVYNWQHVAPTIDNDLFIRLIIDVVNVTQNGTKNGAKTIRATFISLFLGDSKTLVSLMNEKFPQLGLKESDCIETSWLQSVLFWTNIDITTPVEILLNRKPQSLVNYLKRKSDYVKKPISRKGLEGIWKKMIKLEDAILYFNPYGGKMAEISSTDTPFPHRAGNLWKVQYQANWNKAGKDVADHYIGLTRKLHRYMTPFVSKNPREAFFNYKDLDLGINHNGKNSYAEGRVYGVEYFKDNFDRLVEIKTKVDPDNFFRNEQSIPTLPHRKN >RHN59806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13452732:13453836:-1 gene:gene21962 transcript:rna21962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MALQLHNLFIIIFTLLFLSTLIQSTTCASNSTTKSTSTTYKKFLKTQCNSTTYPNDCYKSLSPYTSKIKTNQMTLTKVSIYLALKSARSASTTLKKLSSKKLTHDETLVIADCRENIDDTVDLLEQSSDGLVHLNGTTTSDDRFKWDTIKTWMSAAITDEGTCTDELDEMEVRSSIKKMVNTSVGKVTSLTSNALAFVNRLAY >RHN77089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3951997:3955107:1 gene:gene507 transcript:rna507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L33 MGNAKKKAQMFVKLVSAAGTGFFYVKRKPRQFTEKLEFRKYDPRVNRHVLFTEAKMK >RHN73406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16061024:16068091:-1 gene:gene9212 transcript:rna9212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate 1-epimerase MNNEKLSSSSSFTLTKGINGLDKVLLRESRGASAEIYLYGGHVTSWKNDHAEELLFLSSKAIFKPPKAIRGGIPICFPQFANHGNLESHGFARNRVWAIEDDPPPFPTNNLSKAFVDLILKPSEEDMKIWNHSFEYRLRVALGPGGDLMLTSRIRNTNSDGKPFSFTFAYHTYLSVSDISEVRVEGLETLDYLDNLQNKERFTEQGDALTFESEVDKIYLSTPTKIAIIDHEKKRTFVLRKDGLPDAVVWNPWDKKAKAMADFGDDEYKHMLCVEAANIEKAITLKPGEEWKGRLELSAVPSSYCSGQLDPQRVLQGS >RHN64432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59062793:59066182:-1 gene:gene27248 transcript:rna27248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MEMDNNKCGCWSVAVKSLKPDGLQGHREWVAEFDFLGQLHHPNLVKLIGYCIEDDQRLLVYEFMTRGSLENHLFRNDWFWTIMEESQSFSSPKCNLHPVGPWKRYSSFKN >RHN47979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44000084:44002043:1 gene:gene42642 transcript:rna42642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MELALSLGDTPKAFSLFENPTKLPNKSFCIPLEEHGLAEKSLDQKIDSSDPRPPIQLNLLPSTPVLRSQPSPLLRIPWLNSAIGVEPARVSDVNQFRLASVEDTTDEGAAVSSPNSAASYYQMDFSIMNGNGDAEARNSSREEGADRNTSDDEENGSTRKKLRLSKEQSAFLEDSFKEHTTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKSKQTEVDCEYLKRCCETLTEENKRLQKELQELRALKTSQPFYMQLPATTLTMCPSCERVATNTTGTTTTKQTTSITTGGHRQQ >RHN39667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9435180:9436168:1 gene:gene45713 transcript:rna45713 gene_biotype:protein_coding transcript_biotype:protein_coding MPELFFNMNQIKFRYVVENMEAMQIELTVEMYLSAFVRFVSKTTSIYPLILE >RHN58952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6192176:6192451:-1 gene:gene20917 transcript:rna20917 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYFLYKPILLLGRFFILQFWTNMDHYLHMLVPFGLPFFCN >RHN42019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34809807:34810928:-1 gene:gene48406 transcript:rna48406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MEMHTLYTKSFLLPLFFLLLTSLISHSKACNVIDKEALLQFKNKITSDPSQLLNSWTLSTDCCKGWNGVTCDSTTGRVVSLTLSGTVDDGIDLPFDTYLSGTLSPYLGNLTNLKILSLIGLMQLNGPIPVEFNKLAKLEKLFLNDNKLSGDLPLEIGSLVSLLELGLSGNNFSGIIPSSIGSLKLLTSLDLKKNNLSGGVPESIGNLKNLGFLDLSGNKIGGKIPESIGGLKKLNTLDMMQNKIEGNVPVSIGELSSLTFLRLSDNLLSGVLPSEIGNLKNLKNLNLQNNMLNGNLPASIGNLNGLRELSLGNNKFSGKIPATFGNLKDLQNVDFSGNRLRGRIPKSMAKMSKSSFLGNRRLCGLPLPPCKPF >RHN47288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38514888:38516818:-1 gene:gene41866 transcript:rna41866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MAGALETLCGQTYGAEEFSKIGNYICSAMITLILVCFPISLMWIFIDKLLLLFGQDIEIAQAAREYCICLIPALFGHAVLQSLIRYFQIQSMIFPMVFSSIVILCLHVPICWCLVFKFGLGHVGAAFAIGIAYWLNVIWLGIYMKYSPACEKTKIVFSYNSLLYIAEFCQFAIPSGLMFCLEWWSFEILTIVAGLLPNSQLETSVLSVCTRVSNELGAGNPRAAKGAVRVAVIIGIAEAVIVSTLFLCFRNIIGNAYSNDKEVVDYVTDMVPFLCVSVSADSIICALSGIARGGGFQTIGAYVNLGAYYLVGAPIAYFLGFGLKLNAKGLWMGTLTGSILNVIILAVVTMLTDWQKEATKARERIAEKPIEAHDGSI >RHN46191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29555516:29557918:1 gene:gene40637 transcript:rna40637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tropinone reductase I MAETKLSSFKDQRWSLQGMTALVTGGTRGLGYAIVEELAEFGASVHICARNQDDINKCLEEWKGKGFCVTGSTCDLLFREQREKLMETVASTFQGKLNILVNNAGIFTPKPIMDYTDEDIASTIGTNFVSSYHLCQLAHPLLKQSGYGSIVYISSISGLKALPFVSVYAASKGAMNQCTKNLALEWAKDNIRANVVAPGPVMTLLLENAMKLVAGVDNAIKDIVSQTPGGRIGEPKDISGLVAFLCLPAASHITGQIIAADGGFTI >RHN68185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33547077:33549563:-1 gene:gene16500 transcript:rna16500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MAYVKLASFAVFLLAAFVMFPMKKVEGAGCQQSCGTFLPGCRNDCECVPVDCTYGVCVGFCENDNVVSGNFHRKVEEHPKLCMSHDECTKKGSGNYCAHFPNSNLKYGYCFASVSEAQDAYKIASSLKFKKDFLKMSLPA >RHN74231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30591442:30592773:-1 gene:gene10257 transcript:rna10257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MTYHRKIHLLAILLLVFIFPSIEAHNGRFTVKLIPRNSSQVLFNRITAQTPVSVHHYDYLMELSIGTPPVKTYAQVDTGSDLIWLQCIPCTNCYKQLNPMFDPQSSSTYSNIAYGSESCSKLYSTSCSPDQNNCNYTYSYEDDSITEGVLAQETLTLTSTTGKPVALKGVIFGCGHNNNGVFNDKEMGIIGLGRGPLSLVSQIGSSFGGKMFSQCLVPFHTNPSITSPMSFGKGSEVLGNGVVSTPLVSKNTHQAFYFVTLLGISVEDINLPFNDGSSLEPITKGNMVIDSGTPTTLLPEDFYHRLVEEVRNKVALDPIPIDPTLGYQLCYRTPTNLKGTTLTAHFEGADVLLTPTQIFIPVQDGIFCFAFTSTFSNEYGIYGNHAQSNYLIGFDLEKQLVSFKATDCTNLQDAPSINGVLPNVLSAPMYLLLLPLLLIILQN >RHN59426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10629934:10632305:-1 gene:gene21455 transcript:rna21455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MSSHRYFSMFFHAIVLAALATKTFSALTTNYYDYTCPNALSTIRSVVEAAVEKENRMGGSLLRLHFHDCFVNGCDGSILLDSTPSMDSEKNANPNINSARGFEVVDEIKDAVDKACGKPVVSCADILAVAARDSVVALGGPSWKVKLGRRDSKTASRADADSGNIPGPAFSLSQLIKNFDNKGLNEKDLVALSGAHTIGFSRCLLFRDRIYNDKNIDANFAKQLQDICPREGGDSNLAALDCVTPAKFDEAYYMELIKKKGLLHSDQELLNGDYTGSLVRKYRRDTSAFYNDFAKSMIKMGNIKPLTGSQGEIRSNCRRAN >RHN67564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28294390:28295124:1 gene:gene15762 transcript:rna15762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >RHN76944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2568815:2572043:-1 gene:gene338 transcript:rna338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MRNHWPLFHIVNTLWLCLCIILLSEVVLVSASIQRIGKISPGFEGSQMNWIDRNGKFLVSNKQEFGFGFITTSNDNTLFLLAIVHMDSTKVVWTANRESPVSNSDKFVFDEEGNAFLQKGKNSVWSTNTSGMKVSSMELQDNGNLVLLGNDSNVIWQSFDHPTDTLLPMQKFTKGMKLISEPDSNNFTYVLEIESHSGNVLLSTGLQSPQPYWSMQNDIRKIPNENGDEVNFATLDANSWKFYDKRKSLLWQFIFSDAANATWIAVLGSDGFITFTNLKNKGSSGSSTTRIPQDSCSTPQPCGPYNICIGDKKCSCPSVLSSSPSCEPGFVSPCNSKSSVELVKGDDGLNYFALGFLPPSLKTDLIGCKNSCSENCSCLAMFFQSSSGNCYLLDRIGSFVKTDNDSGFASYIKVSRDGSSDTETDTAESRNRNVQTIVVVIIVIVTLFVISGMIYVGLKCSKKKENLPESLVENSDGDDDFLKSLTSMPIRFSYNNLETATNNFSVKLGQGGFGSVYKGILKDETQIAVKKLEGIGQGKKEFKVEVSTIGSIHHNHLVRLKGFCAEGSHKLLVYEYMENGSLDKWIFKKNKELSLDWNTRYKIAVGTAKGLAYLHEDCDSKIVHCDIKPENVLLDDNFEAKVSDFGLAKLMNREQSHVFTTMRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIIGGRKNYDPKENSEKSHFPSFAYKMMEQGKMEDLIDSEVKICENDVRVEIALNVAFLCIQEDMCLRPSMNKVVQMLEGLCDVPKVPNGSPLGSKFYLNLLRPTSESGTSSEPTEVNSDAYLSAVRLSGPR >RHN80919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41913186:41913881:1 gene:gene4903 transcript:rna4903 gene_biotype:protein_coding transcript_biotype:protein_coding MRRACRKILRSVPCTSRRNESRKDMEEILRAKLSTIIEEPELCEENLTSPPRHMMRISKKQGKKNKAGRCLVPHVNLKQSYILFINGFASKSNFAGFLQR >RHN72803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10828385:10830076:1 gene:gene8561 transcript:rna8561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Glycosyltransferase family 92 MKDHRKHSRAISWSTFFWFTIVVVLSSIIFTSLIISSIHPFYLPRFHIPIAALKWPTPVPPQITIRETVLLPDHVLIFLNYPLSFRYHTKRDLQCVYSSDHDSKPRLTQEPVQLYSIRLHEQIVRCPIPPRGENISLMIKSNGPIQIKKSSIHNWEPLVYEALFDRDNTTIVFVKGLNLRPEKLGEPSRFQCVYGWDFTKPNFLFKSDVLSVAQEIIRCKTPISILTQVQSQSQAYVKVSIQVEGKKIFPSIARPELISSQKPARRRKPHELCICTMLRNQARFIKEWVMYHAKIGVERWFIYDNNSDDDIENVIGFLQTAGYNVTWHLWAWVKTQEAGFAHCALRAQSSCEWVGFIDVDEFFNVKIQGGLKHVIWHYSKSRDNNVAEIRTSCYSFGPSGLKEVPREGVMMGYTCRLAERERHKSIVRPDALNQTLINVVHHFHLRRPFMFTDVEKDVMVINHYKYQVWKVFKQKFYRRVATYVADWKKDQNVESKDRVPGLGTKPVEPADWSNRFCEVRDMGLRNWVFNNFMDRRTHLFPWQPEFQRHIIKRRRRRKENVIL >RHN79713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31442504:31443839:-1 gene:gene3538 transcript:rna3538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MQVLEDQVLMVSKDHTQQIIKGKRTKRQRLPSPLRLTMPVSNSCNEGTSYDNNNNNSGGIEFVKIDSRDRSEEEKEEEEDLANCLILLARGHNHRHDYQNTHVSNHRDHNNNKSSNFYLYECKTCNRCFPSFQALGGHRASHTKPNKANCNVAQQKQAVTTSSFVDDHYDPTMNTILSLQAFAATPITTIPTTKKSKVHECSICGAEFSSGQALGGHMRRHRNLVNTSTTTTTTTTSMSLSIGSPKSHEAKKPRNGFKLDLNLPAPEVDQKDQSKFSFQPRENVIAFSNSSLVDCHY >RHN82122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51401455:51405263:-1 gene:gene6248 transcript:rna6248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MTTVSSKLLFSFYSVLFIIIIVSQAQGQPNFLRNYCINNNGNYTANSTYQNNLSTLLSNLTSNTEINYGFYNFSYGQNTDKVNAVGLCRGDVKPDVCRSCLNDSRVLLTKLCPNQKEAIGWYVDCMLRYSNRSIFGVMEASPSYLKWTLKNATEVDQFNQVLGNLMRKLKEIAASSDSRRKFATANATLNFETTYGLVQCTPDLSQQDCNDCLERAISEIPIYFNNKVGGIVLKPSCNIRHEIYSFYDPTPVIDPGETSPSEEEKSKSSHTTIAIVVPTVVVVVASLLIFICICVRKRKAKINLEDIEDDNNDIEIAESLLFNFETLRVATSNFSEANKLGHGGFGIVYQGILAGGQVIAIKRLSTNSGQGDIEFKNEVLLVAKLQHRNLVRLLGFCLEGRERLLVYEYVPNKSLDYFIFDPIKKAQLDWERRYKIIGGIARGLLYLHQDSQLRIIHRDLKAGNILLDEKLNPKISDFGIARLLLVDQTQVNTNKIVGTYGYMAPEYVMFGEFSIKSDVFSFGVLVLEIISGQKACHVFHAQRSEDLLSFAWRNWREGTITNIIDPSLSNGSRNEIMRCIHIALLCVQENLVERPIMATVVLMLSSYYITLAVPLEPASIVGGRSRSLPVRDMQFEGESRNHASITDPYPR >RHN55889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25175286:25177790:-1 gene:gene31168 transcript:rna31168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclease S(1) MGCYRIALVAIVSFLLLIQNTQGWGDDGHAIVCKIAQARLSNTAAKAVKKLLPESANNDLSSKCSWADHVRFIFQWSSPLHFADTPDNVCTYKDKRDCIDHKTGTKGRCVVAAISNYTTQLLDYGSDIESKYNLTQALLFLSHFMGDIHQPLHCGFVSDKGGNEITVRWYKRKQNLHHVWDVSIIETEVERFYDSELSEFVDAIQQNITREWADQVEDWESCGSKDTPCPITYATESSKDACKWAYEDASEGSVLDDDYFLSRYPIVNLRLAQGGVRLAATLNRIFDPQLAMSM >RHN65970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7158143:7164940:-1 gene:gene13878 transcript:rna13878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MESMSSSSSSFNLISQQWIYDVFINFRGEDTRKSLVSHLYAALSNAGINTFLDDEKLKKGWEVEPELLRAIQGSQICLVIFSEHYTESSWCLVELVKIMEHRKTNNNSPVVIPIFYHVDPSVVRRQVGDFGKALEAITKRIHPPKERQELLRTWKRALTQAANISGWDSSIFRSESELVNKIVDEVLRKLENTFLPTTEFPVGLESRVDQVMLSIENQSSKVSAVGIWGMGGLGKTTTAKGIYNKIHRKFVHRSFIENIRQTCESDKGYIRLQQQLLSDLFKTKEKIHNIASGTITINKRLSAKKVLIVLDDVTKVQQVKALCGNYKCLGLGSVLIVTTRDAHVLRSLEVDCVCTAKEMDENESLELFSWHAFRNATPRANFSDLSKNVVNYCGGLPLAVEVLGSYLFERTKEEWKSVLSKLEKIPHEEVQEKLKISYDGLTDDTKKAIFLDVCCFFIGKDRDYVTEILNGCGLFAGIGIAVLIERSLLKVEKNNKLGMHDLIRDMGREIVRGSSTNDPGERSRLWLHEDAHSVLTKNTGTQKVEGLILNLQSKGRDSFSTNVFQQMQNMRLLQLDCVDLTGEFAHLSKQLRWVNWQRSTFNCIPKDFYQGNLVVLELKFSNVKQVWKETKLLDKLKILNLSHSKYLKSTPDFSKLPNLEKLIMKDCPSLSEIHPSIGVLKKLLLINLKDCTSLGNLPREIYQLISVKTLILFGCSKIDKLEEDIVQMKSLTTLVAANTGVKQAPFSIVRSKSIVYISLCGYEGLSRDIFPSLIWSWMSPTMNPLPYIPLTSLDVESNNLVLGYQSSMRSSCSEHRSVRVECQSVIQLIQKLTSFLDGLYGANLTESETSHASKISDISLKSLLITMGSCHIVVDTLGKSLSQGLTTNDSSDSFLPGDNYPSWLAYTNEGPSVRFEVPEDCDGCLKGITLYVVYSSTPENMETECLTGVLIINYTKCTFHIYKRDTVMSFNDEDWQSVISNLAVGDSVGIFVAFGHGLTVKKTVVYLTYAESSAMQIEPSITVEVKPSSEVQMEPLPEPEMQPSSNVKTEPSLEEVQPSADMKMEPLPELEVKPSSNVKREASPDVKMEPLPELEVQPSSNVKRESLLEDEVQPSLDVKMEPSPIINNGPLPKRNTKIFVRFSKKLGECLCLNRNRDLNNF >RHN66925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21692503:21692775:1 gene:gene15047 transcript:rna15047 gene_biotype:protein_coding transcript_biotype:protein_coding MCNKLISSSQLSGVSKGFQGNSDNLCALERAILIVSQNPIRLAQGLQKIFFALSSIILNLVRHGGGLVFFYIGVLSLCCRSFDRGLYRRH >RHN46873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35467184:35468206:1 gene:gene41408 transcript:rna41408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Digalactosyldiacylglycerol synthase 2 MDWKRHIAIFTTASLPWLTGTAVNPLFRAAYLYKSGIKNVTLVIPWLSLKDQKVVYPNNITFDSPAEQEKYIRQWLEDRVGFASGFSIKFYPGKFSRDKRSILAVGDISEIIPDNDADIAVLEEPEHLTWFHHGKRWKTKFKLVIGIIHTNYLAYVKREKNGNLQAFLLKYLNNWVVGIYCHKVSYFFFTINIYVSSVYLGILYCLLLLQ >RHN50409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6882012:6890623:-1 gene:gene34691 transcript:rna34691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MEAASIASTGIVLEVLTRENYLDWSALVKNYLIGKDLWDDIVEGNLDAQHSDWKSKNGQALHAIQLSCGHYTLGQIRNCVTAQEAWNRLKAAFSENLNADQDIEQGGLQTDIDKFHVALKKGMWNDAILFISQDGDIISQKSSSKGWTSLHVAVDAGQDKIMKELVEMGALLTEEDWEGYTPFALAVKSTDDIKIVEWMLNKGGNDLLTMKIKADDDKGDIPVLLAATKGHKKVTRFLFSKTRWFTLLDNNCYYGAKLLSHCIHAQIFDVALALIQHDGTQIPLSYESRECKRPIYGLAHTPTAFPSGTKLSWFGKIFYNVLWIPPYIDHEDKRIKIESQDGGSSTYTFFPGSIKRLHEIKRNHYLVRDILRGFCEKIEKISSESAELHQWSVDDAMLQAAKHGTLEFINSMREANPDLLYAMDKNKRGIFAHAILNRKASVFRLVYKIEGHEGLKTSIDIFGNNLLHLAAELGPSSYRDRRSNAALQMQRELQWFQMVESIVPPMCQEAKNADGLKPRELFKKNHEQLVNEGRQWAKDIASSFTIMGTLIITIMFAAAFTVPGGNNQDKGTPIFLGRNAFSFFIISDALSLTASSSSVLMFIGVLISRYTEEDFVTSLPIKLLFGLFTIFLSVVFMMCAFCAALALMLKGYRWIIKAAIVSSIIPILVFMFTLLRLFLEVCTSFVKSCFLGKKQKFIRRLCYL >RHN70762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53831822:53833622:-1 gene:gene19378 transcript:rna19378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MTLRLMDFPNMDEEKEKAILETATQSINSLTSFANNVSPQPSLQNTQLTNITVSELKKLSNLLNRKGHARFRRNPNATPDQPLPKSSTPSTSTQPPPPPLQIQIPPKSHNQPLTLDFTKPYTFISNPKSLDLEIPKETFSFPSLLSSAITGDGSVSDGRLGPCPSIIPTPAVSRGKQPLSSITPFMSSPITGHYTGEGSGSNGRLGLSPFLTPSVSRGKQPLTSYSSFMSSAITGDRSVSSGRIGPSYTLTPAVSGGKPPLSSPTLKKSCHSHSGDVSGKTSASKKCHCQKRKNRNRREVRVPCISSRIADIPADEYSWRKYGSKPIKGTPHPRGYYRCTVSKNCPARKRVEKAKDDPNILVVTYEFEHRHNQAPMQENNSGSGCGSGSMDLGVFELTLGGGN >RHN40367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15651824:15655520:1 gene:gene46514 transcript:rna46514 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRINRFECDTEEELSFFRELKKHQNEHIPNLLLCASEDYECDTKYVGKFSLYRIPSGRKENGLELLETKTKSDFDWLKTPPATPLFPSLEMEPSPNLVTQRELPITHSISRALLAKSDVEALKPKSNQTNSTKSSKLAMRSITPSHNRLRPNLIKNTNEQKLGTHPINNAKIEHVATNNPKYPNPQKQTNNVDFLALNQKKNIEANETHTKPRARGVSPSLKSTGSNIAIELSNETPKNLRTDDKRSISSTRGRSIIRGSMVGGFQNQDPTPKACRPSRSPSPSMSKNGLNQFDRTQKNVKTQKEAFTLAAGNNESRSHFKGSKMVEKVVNARKSGMNHAERESKLKPVKYREK >RHN60728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29956404:29962147:1 gene:gene23084 transcript:rna23084 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSHASIHPVEEVPTTDGGVAVAEQNVNVPMVRMKDIQGMPGTIGGLALRVSQFVFAAAALSVMASTSDFPSVTAFCFLVAAAGLQTLWSIALAITDVYAILVRRSLQNYRLVSSFTIGDGVTSTLIFAAACASAGITVLIDNDLGNCNENHCVQFETATGMAFICWFTTVPSFLLNFWSLASR >RHN39108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4163084:4164151:1 gene:gene45103 transcript:rna45103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MTQSTTTSSTSSQESPPTHSIQKQSPTRPKRPRDDDNNDNNNKHPTYHGVRKRNWGKWVSEIREPRKKSRIWLGTFSTPEMAARAHDVAALTIKGKTAILNFPHLSNMLPRPATSAPRDIQAAATAAAAMVDFDDPVMHVTSCSESESVEELSQIVELPKINEEDEDDSVDSTWVYHHPSPLIGFEGIEFYATFSDDFLLPLWD >RHN41287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28890363:28897863:1 gene:gene47592 transcript:rna47592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphodiesterase I MLLLFTSLFVLAIFFHQEAVVSEDLHQPLSKVAIHNTLFALHPDASIKATPNLLGFKGQNTEWVTLKYNNPNPSIHDWIGVFSPANFSSSICPAQNRLVNPPLLCSAPIKFQYANFSSQSYKNTGKGSLKLQLINQRSDFSFALFTGGLTNPKLVAVSNKVSFINPNAPVYPRLAQGKSWDEITVTWTSGYGISDAEPFVEWGRKEGKLVQSPAGTLTFDRNTMCGAPARTVGWRDPGYIHTSFLKELWPNKEYTYKLGHRLVNGTTIWSQEYQFKSSPYPGQNSVQHVVIFGDMGKAEADGSNEYNNFQPGSLNTTNQIIQDLKDIDIVFHIGDLCYANGYLSQWDQFTAQIEPIASKVPYMTASGNHERDWPGSGSFYGTLDSGGECGVLAQTMFYVPAENREKFWYSVDYGMFRFCIAHTELDWRKGTEQYEFIEKCLASVDRQKQPWLIFLAHRVLGYSSADFYVAEGSFEEPMGREDLQSLWQKYKVDIAMYGHVHNYERSCPIYQNICTDKEKHNYKGSLNGTIHVVVGGGGAALADFAPINTTWSLFKDHDFGFVKLTAFDHSNLLLEYKKSSDGQVYDSFKISRDYRDILACTVDSCQSTTLAS >RHN81408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45649235:45650329:1 gene:gene5446 transcript:rna5446 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGAIGGSKRRLSSSSSRGLGGVLKEQRARLYIIRRCVVMLLCWHE >RHN60658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29201530:29206967:1 gene:gene23008 transcript:rna23008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Snf7 family protein MEKVMNILKPKPNPQQLLRDWQRKLRQECRNIERQIRDIQREEKNVQKAIKEAAKRNDIGSAKALATELVRSRKTVNRLYENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPEMAVAMQEFSKEMTKAGVIEEIVNDAVDSALDSEDIEDEIDEEVDKVLTELAGETAAQLPEAVRKQKVKQPAQSAAEEEDAIAEGVDDEEEMEEIRARLAKVRS >RHN39926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11736165:11738111:1 gene:gene46010 transcript:rna46010 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFSETKLILFFPMRFTTKPLNLFHNQCNFTSDHRIGVLAAVPENFFAAISSFFFFWVSLKMM >RHN53977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5913482:5915020:-1 gene:gene28952 transcript:rna28952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative abscisate beta-glucosyltransferase MATKEEVSVMIVSNAAQGHINPTLRFANRLISKNVHVTIVTTELVQNRILNAHNVPSTTLNQQPSQNKQIQFEFFSDGLSLDFDREKNSETFINSMKTIGAKNMSTLITNLAKVRDYYCIIVDPVLLTNIENVSNELNIPVAFLWMQPCATFSISYRYFRNVNSFPDLNNPNEIVQLPGLPLLKVRDFPTYMLPSFPPHCRQIMVDMCQACDTNVKWVIANTVYEWEVEGVKSMSSLSPVYTVGPLVSDFMIGKNDVTNNNMINMWNVEDSCIDWLDNKPNSSVIYIAFGSIVVLTQKEVDNIANALKNSKKSFLWVIKPTLKGSENDATEFPKGFLEETKGRGLVVTWCNQEKVLSHPAVACFLSHCGWSSMIESVTAGVPVIGYPYWLDQPTIAKIIVKQFDNGVILNYEVNEVPSVEEIERCIKEVMEGQEAKEIKKRALDLKGSVKKALEEGGSSDKSIDQFINDVVDAHNLAKA >RHN80853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41290233:41291603:-1 gene:gene4828 transcript:rna4828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isomerase MLKHHHHHHHFRNQCKPPSPPPSPAIPTVVAMSSPPHLSENTPTVLSNYPIPLSPQLPAISKQIELNRATIATSNSELFSLAQTHIIYEDEYLLAVNKPQGIYCDNVLTSLQSQSTVGSELHLANRLDRDTSGVMLITKSHKIASKLVKAFTDREVKKTYIAFCTGRVPDWKTITVKSGHGRSKFGAWRVYGFSDSGRGLPGGSVVREMETSFEFLSVNGKGGFREVTELGFEEGNVVVVEEKAVKMEGDDENEIVVRAYPRSGRTHQIRLHCQYLGISIIGDVKYEGVYEWKGRVYDGHHLHAETLSFDHPVTGVRVMFRAPLPQWANQALQL >RHN47713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41876431:41878861:1 gene:gene42343 transcript:rna42343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MLQQQINNIGMENNSELFQFLVANNPSFFEYSTPSSTMMQQSFCSSSDNNNNYYHPFEVSEITDTPSQQDRALAALKNHKEAEKRRRERINSHLDHLRTLLPCNSKTDKASLLAKVVERVKELKQQTSQITQLETVPSETDEITVISAGSDISGEGRLIFKASLCCEDRSDLIPDLIEILKSLHLKTLKAEMATLGGRTRNVLVVAAEKEHNSIESIHFLQNSLRSLLDRSSGCNDRSKRRRGLDRRMMP >RHN39385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6674888:6675391:1 gene:gene45403 transcript:rna45403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MRGNMSVVAVFIATLTFQMAISPPGGVRSVEENNKAQKGNILCADNALSELCPGEAALAIVYPADYYDFLLWNTICFISSLSVLLLLMISGIRWSHRFTMWLFSISMCFTLTSLLVTYRIAILMVTPVPVTGGINMDLLSTLLYIWIVFFGFLGLLPTVRIIIWNTK >RHN60558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28146537:28149699:-1 gene:gene22881 transcript:rna22881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L24e MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRVHGKDMTQDSTFEFERKRNRPERYDRNLTEEVLKAIPKIAKIQVSRAESHHAIRMKGKKKKVQKEAAKEYEQSIHLLKAPSALLAPEKIKVAVSQQAEENRVMEE >RHN43257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44382651:44390454:-1 gene:gene49802 transcript:rna49802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MNYGSQFTFSISLKKALHFASYSVHFSHTNTKYFYTIIYQYHMAKDQNQGLEFESDEAESEIEVPLPLTETSRALYMLGDITAGPSFRFTQWLQLVRKRTSKYRSSGFPRSPSTNMPFNYIESIEDPKCDKHPDQTEISLWERLGKAEMLDVESSSISWDGLSSLHHTEHGSSNEYSEDEMNKALEVTVNSGGVVFFAFFNCQGSVDASPKEAAAVIKIASSRMATQSERLGYELAKWLGIQTPQARVIHNTSSEWQQIKEATEKAREAAANSEDDEAGEVTCFELLEALELSRCLFLMSYVHGSPLLENTSAFESRESAEKTSEALGKVLMLDLVIRNEDRLPCRELRWRGNSANLLLAEKMISANTNTLQAALDSAINRYRPKVIKALQKERRSSSVDCRLNSHNPGLISQASDLSEAMESPRSTDMSLKSQTSGESMSSDLNLVVIDSGVPRRPPAGKRANDQVNYPKLVELLINSSEYASNLLHDITGGKLGSHLPEDMDTSEIYASEVTSAVHAFRTGFRDALRDLQGFHIFLLTLHQRLDTLLRLFMNIISKISSAEPDKENSLVPDSPSLAGGGIFSSPTSKERHANDNNQDFTDSESQRTTSRTLSSCNRDCGDSTPASRENWHGKLYKGNGEPHRNLRLTAKLRDFNKFAKVDAESNKELEQWNEMLKNDAIKLCQENNFNTGFFEGSDNNSVVDAYELKVRLEHILERIALISDAASTEKPSAVTSCLFIGGALAARSVYTLQYLGITHILCLCTNEIGQSETQFPDQFEYKNLSVFDSEDFNITTIFEEACDFIDCVEQKGQKILVHCFEGKSRSVTVVLAYLMLRKKYTLSKAWQTLKRVHRRAQPNDGFAKILQVLDQKLHGKVSMEWQQRKPTMKVCPICGKNAGLSSSSLKLHLQKSHRKLSSGSVDSAMTMEIQKALTALKISRGGSVSPTQRSSHSIIDP >RHN49013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51764453:51767220:1 gene:gene43792 transcript:rna43792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MEEVVLLIDDLRLLSGISRCRICHEEEFESSKALEAPCSCSGTVKFAHRDCIQRWCNEKGNTTCEICLQQYEPGYTAPPPKKSEINDEAMSIRGEQEASNAIRESEVEGIVIESDYSECSSTTNRTAFHCRSLAIAFTLVLLVRHCLVVPTSGTEDYPFTLLTVIVLKACGIIIPMYIVTKTIGAILNSIRRYQGSDYDTSLSEDGRNEEQDEENLIHS >RHN49152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52827846:52830781:-1 gene:gene43950 transcript:rna43950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MANEASTCLLFLSFLIFFCSGTNAFSTFSGARFLQKSKISKSQIQFLVTNHKIGRKLQDTNPAPTIITVPSTNPVTTVSPTNPGATPVTVPSTTPPSVPLSPTNPANSPVPVTPITVPGGTTPVNSYPPPSPLSGGTGTVPVTNPPPSSTSPPSSSGGSWCVAKPGTPQSTLQTALDYACGTKGTDCSQINQGGICYNPNSLQNHASFAFNSYYVKNPAATSCDFGGVATITNTNPSSGTCIFPSSSGGGAGAGASGGSSTTSSPVGFGPQSSPLDSSHSTGLRPLLSCMVVVTLLVGGRLGMSP >RHN72857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11274490:11276789:-1 gene:gene8619 transcript:rna8619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MNSSFHLTLAALCCVVVVLGGFPFSSNAQLDPNFYKDTCPNVTSIVQSILANVSQTDPRILASFIRLHFHDCFVQGCDASLLLNDSDTIVSEQGALPNRNSIRGLDIVNQIKAAIELACPSVVSCADILALGANVSSVLALGPDWEVPLGRRDSFNANQSLANSSLPGPRFLLDELKTSFLNQGLDTTDLVALSGAHTIGRGVCLLFNDRMYNFNNTGVPDPTLNTTLLQSLQAICPDIGVLGTNLTNLDVSTPDTFDSNYYSNLQAGNGLFQSDQELFSTPGADTIAIVNSFSSNQTLFFEAFKASMIKMGNIGVLTGTQGEVRTHCNFVNTVSLATKVTKDSSEDGIVSSF >RHN53998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6115827:6119365:1 gene:gene28973 transcript:rna28973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLC-like phosphodiesterase, TIM beta/alpha-barrel domain-containing protein MKISKFTSFSFFFPMLFIYLLLPQNSKVVEACSAATDCGTGYYCGHCPGLGRKTRSVCTRGQATLVTSIVNGLPFNKYSWIMTHNSFSIMDAPSLNGVQRLTFYNQEDTVTNQLRNGVRGLMLDMYDFQNDIWLCHSFQGQCYNFTAFQPAINTLKEVEAFLTENPMEIVTIVIEDYVRTPKALINLFINAGLDKYLFPVSDMPKNGEDWPTITQMAQANRRLLVFTSDASKEAEEGIAYQWKYMIENESGDPGVQRGSCPHRKESKPLNSKTASLFLQNYFPTTPVEAESCKENSAPLTDMVNTCYKTAGNVLPNFIAVNFYMRSDGGGVFDIVDRINGHALCGCSTVTACQEGAPFGSCKNISVPSTSPMTNTAGSYNGYVQFSVRSASPVHSPNSLLFLLFYFQLTAVMLQFR >RHN74251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31099623:31100082:-1 gene:gene10283 transcript:rna10283 gene_biotype:protein_coding transcript_biotype:protein_coding MHWGNALCAPCMQKVGPQLSFPASVLGKARIFSTNPGRNSHYKYRPSSFRISRSELEQIKRKETLELRRVSIFSLIFLALLDCSLYFCERCNRIFGT >RHN82804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56511537:56512433:-1 gene:gene6992 transcript:rna6992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor RAV family MLSNWRINGSYESSESTKINYGVKRRRYEVEDVAGCEKLKGVVPQQNGHWGAQIYANHQRIWLGTFKSERDAAMAYDSATIKLRSRESHRNFAWNNQTVQEPMFQSQYSMETIMIMIRNGTYPSNFATTFLRNNERRQGAGKEGVVIGVKDEEQFSCTQLLFQKELTPSDVGKLNRLVVPKKHAVTYFPLVCGNDVEVVFYDKLMRLWKFRYCYWKSSQSYVFTRGWNRFVKDKKLKAKDTIVFYRCEPINLTNNNGERLPLSLIDVIYSDIDGNNKKIHSFEDAKHGGLRLFGVSIS >RHN53462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1790662:1791934:-1 gene:gene28371 transcript:rna28371 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDKGSSEKIMAVLECERGELETENIKNNEEIEKMKTEIEKLRKNSDSTAELEKEAARLRREVVESKVEIEKLRKIIDEKENKIEIVEKEGKELKQENVEMEMKVRELERRIGVIEMKEVEENSKRVRIEEEMKEKIYEKEMEVEELKSMLMGKKVEEEKWLKDKRVLEKKFGVFEFSLMNMKDIIGFELLNWPVLAAGSAGSIAMVVVLMRFFFGKRR >RHN65288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:899049:902362:-1 gene:gene13112 transcript:rna13112 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLDFGKKVSINDDTMEVVDSTKIVVLLRSFLDIQQRRAQAYSKLKSGFSDYMTSGGELAYQQLCGGITSEFNDCSKKVREMESLFQSPDYSRIDLAQILRAVQEQEKQKLHLTATLQLLKKAGRPSERLVSHENCKFTKPTEHECVHVKEITEASGTEEAEADAEYDNALNEAIRGVQDAVNVINEHLEEVRYEIAALEVE >RHN49884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1954455:1956327:1 gene:gene34110 transcript:rna34110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L9/RNase H1 MADEKDAFYVVKKGNVVGIYKSFTDVQPLLSSSAVSGEAASVFKGFSLPQETEEYLVSHGLKGATYSISAAHVNAGSFGRLAVCPHQAYEALHVVTDNNLMK >RHN50467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7344661:7351631:-1 gene:gene34750 transcript:rna34750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoglycerate kinase MTCPLFLLSTSLYPTSLETNTNIHDTRGFIIIFLLHFVLCCSEQDTNVFQILRFNLVNLMAHLMKTLHEQVFLNISCFYDSPKLLKHKSCSYVPKVAKFQKYNGALLAMQGNGELASHVVNSFNHKIYIDNGGELNGIPHIQTLREFPREELFGKVVMVRFDSNILLKQECNQKNQSDFNALFTIKYLHEAGAKVILVSDWKTNTSELRTKSVADLLSEILQIQVVPLQGVSCKKLSEITGLKKENIFLLENLYNIKDEVANCLKYARELSSGVDIFVNDSFSNSHKVLASTVGVTRICYACVAGFHFEEKLRLMKNLAEANKKPYVAIIGGGNLAKKAASFRFLASRCQGFVFVGMMSFQIMNALGVPVPIDLVVRKAFSEAQDIVRLARDNNVTILYPKDFLCRNKTDPKQLHVFPSRGLLDGWMPVDLGPATLDEIGSMLTICKKIIWIGPVKFADRSEHTNGASKLAKVLVKLSQGNCETTVVGTTACQLVRQETSSLSTINMVENASVVWEFLKGRKLPGVLALDRAYPFKINWNNVYSDATQSLVVDIGSGNGLFLLEMAKRRKDLNFLGLEMNEKLVLRCLDSIQQFDIKNGHFIATNATSTFRSIVSSYPGELVLVSIQCPNPDFNKPEHRWRMLQRSLIEAVVDLLASGGKVFLQSDVEEVAIRMKEQFLRYCKGKLVLENGQTDWLDENPFGIRSDWEKHVLERGAPMYRMMFSKLSEISEVSCSNDVVQKVV >RHN62335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42709535:42711032:-1 gene:gene24897 transcript:rna24897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MDYLAIYLVCLLSLSSAKANSNIGVNYGVLGNNLPSLYRSIELLTTMKAGRVKLYDANPDILRLLSTTKLKVSIMIPNHEISGIAANQSIADEWVRNNILPYYPNTLFRYLLVGNEVLSYNSEQGHKMWHDLVPAMRSIKRALNSQNIRGIKIGTPLAMDVLESTFPPSSGTFRSDIRDSVMAPMLKFLNRTNSFFFIDAYPYFPWSQDPNNIPLDYALFRGDFTTRDPDSGLIYRNLLDQMLDSLLFAMSKLGYSNIQLVISETGWPNSGDIEETGANIYNAATYNRNLIQRMTAKPPIGTPARPGVPITTFLFSLFDENQKPGPGTERHWGLLHTDGTPIYDLDLTGKKTNTDYAPLQAPKNNVPYKGKVWCVAAEGANEIELAAALTYACNVANMTCDALSPGNECYEPVSIPLHASYVFSTYWAQFKSSGATCYFNGLATETTKDPSKFQLDSLYNIITHFVSVNNLI >RHN72110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5068350:5073502:1 gene:gene7783 transcript:rna7783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-GSK family MSEDKEMSTSVINGNDSLTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGEAVAIKKVLQDRRYKNRELQLMRVMDHPNVVTLKHCFFSTTSTDELFLNLVMEYVPESMYRVLKHYNNANQRIPIIYVKLYMYQIFRGLAYIHTVPGVCHRDLKPQNILVDPLSHQVKLCDFGSAKMLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVHIIKVLGTPTREEVRCMNPNYNDFRFPQIKAHPWHKIFHKKMPPEAIDLASRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPFPPLFNFKQELSGASPELVNKLIPDHIKRQIGLQFVQSVGS >RHN62819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46027762:46033434:1 gene:gene25433 transcript:rna25433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MGKSSTLVHSSRFFLLLLLLLLNVTVSEASPTEESVYTSFLECLTNHTNPSDQVSNILFSQTNVSFSSILQAYIRNARLNKTSTPKPLLIITPLQQSHVQATILCAKAIKIQLKVRSGGHDFEGISYVSNQPFIILDMFQFRNITVDIQNEVAVVQSGAILGEVYYRIWEKSKVHGFPAGVCITVGVGGHLSGGGYGNMMRKFGLSIDNVVDAEIVDVNGRILDRKSMGEELFWAIRGGGGASFGVILSYSIKLVQVPEVVTVFRVEESLDSLDQNITEFVLQWQNVAPRTDDRLFMRLHIQPASSKVVKGEITVRVSVMALFLGGADELVTLLGKEFPSLGLKKENCSEMSWIGSALWWGISSNSKPDELLDRNIDSAKFLKRKSDYVENPISKDGLEGIYKKLIELGKVGLTFNPYGGKMNSIASNATAFPHRAGNLFKIQYSVNWDKPGIDIENNFTNQARMLYDYMTPFVSNNPRRAFLNYRDLDIGTNDFGNNSYEQGVVYGMKYFSSNFERLVKIKTAVDPENFFRNEQSIPTHPGSDSGASTSSADKVLLSSMRGKLMVKVVIIDLNELDTLKLIHTKDTNFCGPICLLYKVLTSTTLILCLFTTISRIAMDKSNSVPFLSVFIILLLVHVSNSHAAPSSESIYVTFLQCLKNHTTQPNQVSNIVYSQTNASYTSIFQAFIRNARFNTPSTTKPLLIITPLHEDQVQATVLCSKTIGLHLKIRSGGHDFEGISYISDTPFIILDMFNFQNITVDIQNEIAIIQTGASLGQVYYRIWEKSKVHGFPAGVCPTVGVGGHLSGGGYGNMMRKFGLSIDNIVDAKIIDVNGRILDKKTMGEDLFWAIRGGGGASFGVILSYTVKLVSVPEKVTVFQVDKSLEQNATDIVFQWQQVAPHTDDRLFMRLVLQPVNSKIVKGKKTVSASIQAMFLGGADELVTLFGKEFPLLGLKKEICKEMSWIESVFYWANYNDGSSLITLLDRSHYKVHFSKRKSDYVKSPIPKDGLKWIMNKLIELGKVELVFNPYGGKMSEISSAATAFAHRAGNLYKIQYTASWENSAAGMEKSFISQIRMMYSYMTPFVSKNPRSAYLNYRDLDIGINGHDKNAYNDGVVYGMKYFGENFERLVKVKTAVDPENFFWNEQSIPTLPSKV >RHN45877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26479264:26479762:-1 gene:gene40299 transcript:rna40299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSRSNPTKDRISSLPDPIICHILSFLPTKNSAATSILSKRWKPIWLSVSTLDFDDETFPNYDSFRLFVLTVFLTRDISLPLHSFHLKCTKASYLHSEDINRFVQAATKRIIENLNLRMIFHVSKFLICLV >RHN53143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42298602:42305995:1 gene:gene37913 transcript:rna37913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative von Willebrand factor, type A, copine MKYALIQDNFTTLEQVTAALRKEGLESSNLILGIDFTKSNEWTGQVSFNKKSLHAIGDTPNPYEKAISIVGKTLAPFDEDNLIPCFGFGDATTHDKEVFSFHSDHSPCHGFEEVLACYKNVVPNLKLSGPTSYAPVIEAAIDIVEKSHGQFHVLVIIADGQVTRSVDYDDNELSPQEEKTIKAIADASKYPLAIVLVGVGDGPWADMEKFDDTTRDFDNFQFVNFTKIMSKNTSETEKEAAFALAALMEIPFQYKACVEFKKLGRVTGRAKRIVPKPSPVPYSRPVPSKSPASTTDDQNQSTHRIGSDLNLHGKRT >RHN64802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61993300:61997057:-1 gene:gene27661 transcript:rna27661 gene_biotype:protein_coding transcript_biotype:protein_coding MARLMFPRRRVLASSMSSSMMTECGFLRMVSTMRSRTVFPRNWWALESPSPRRCAREITIGLDPDTSSERAAAILFLPILSGPVNINHVSPFDRLLPLPNLH >RHN55410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18037560:18038460:-1 gene:gene30585 transcript:rna30585 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVSSLLYSHKQSVSSSLFTISFLLTTNKQRNKPLLLPLSAVSNIIFSLHSFFKSSVSLSSHSKSKSRASKLTTPQEYGSNNQYTTEKWSRIPVLCQVG >RHN61259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34252018:34254039:-1 gene:gene23700 transcript:rna23700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MYACSSIKPYITRTKQNYHLKFSISVFEVVVDTMIGLREREGRLMKNNSHSLLISIIVTTVIIGVLIGCILLFYFPNDFFVSQSITSIRLLPFAGPKTQENSTECESKTVALLSDKNAELKKQLRELTERLRIAEQGKDQTEKEFLALVKQEKAGPFGTVKALRTNPIVAPDESVNPRLANLLEKIAVKREIIVTLANSNVKEILEIWFTNIKRVGIPNYLVVALDDEIAKFCESNQVPFYKRDPDNGIDTVGKIPNGEAVSSLKFRILREFLQLGYSVLLSDIDIVYLQNPFDHLYRDSDVESMSDGHNNMTAYGYNDVFNDPGMGWSSGVFTRRIFVYNAGFFYIRPTIPSIELLDRVAARLLKEKAWDQVVFNEELFHPSYPGYDGLHAAKRTMDIYLFMNSRVLFKTVRNNANLSKLKPVIIHLNYHRDKLTRMKAVVEYYVNGNQDALKPFPDGTPR >RHN62561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44353300:44357446:1 gene:gene25153 transcript:rna25153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MDSAKYLFYLVKDHNHKHKLLVLLSSLILLLLTSFCSCSSDTISTVKPLRDGELLISKSKMFSLGFFAKGKSTSRYVGIWYYNLSIQTVVWVANRDTPINDTSGILSINPNGNLVLNNNLSTIPIWSTDVSLQQSQINSTDVIAQLSDIGNFVLMLNRTKTVIWDSFDYPTDTWLPYQRLGFDRKTNQSWFLQSWKTEDDPGKGAFTLKFSTVGKTQLFMYKHNLPWWRGEPWNGALLSGVPNAKRNRDTFNISFVQDDNNVALTYNMVDKSVVTRMVVQQSGFFQILMWDNKKSQWNQFYSQPTNQCDNYGTCGSNSNCDPMNFADFKCACLPGFEPKSPGDWYESGDGSGGCVRKNGSSVCGNGEGFIKIVSLKVPDTSMANAKGGLSLEECEKECFRNCSCTAYAVDNVSNGGSGCLAWHGDLMDIQKVSDQGQDLFLRVDKVELANYYRKSNGVPHKKRLAAILVASIVAVIVLVLSCVYCKWKKKTKDKMVRQLNQDSFGEKNGVQSNTHPNLPFFSFKTIMTATRNCGHENKLGQGGFGSVYKGCLANGQEIAVKRLSKNSGQGKEEFKTEVTLLVKLQHRNLVRLLGCCFEKEERMLVYEYLPNKSLDFFIFDQNQRSLLDWGKRFEIICGIARGVLYLHQDSRLKIIHRDLKASNVLLDAAMNPKISDFGMARIFGEDEIQARTKRVVGTYGYMSPEYAMEGRYSTKSDVFSFGVLLLEIIAGQRNTHCETGRDSPNLIGHVWTLWTEGRALDTVDPALNQSYPSAIVLRCIQIGLLCVQENAINRPSMLDVVFMLCNETPLLPPLKPAFLFNGNHELQEPSTSGSSINELTETTISAR >RHN73570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17743501:17746026:1 gene:gene9395 transcript:rna9395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MQPAKVLVPYINLASLETLDMRGCSCLKSFPEVLGVMENTRYVYLDQTSIDKLPFSIGDLVSLQDCS >RHN53772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4018116:4020926:-1 gene:gene28717 transcript:rna28717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L1 MSKLQSDAVREAITGIMGDSKEKNRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLESMDVEALKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRLLGPGLNKAGKFPTLVSHQESLEAKVNETKAMVKFQLKKVLCMGVAVGNVSMDEKQIFQNVQLSVNFLVSLLKKNWQNVRCLYLKSSMGKSYRVF >RHN57899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42493650:42494069:1 gene:gene33490 transcript:rna33490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (S)-limonene 6-monooxygenase MKNLLFDMLLPRESRESCQINEYDIPAKTRVIVNAWAIGRDPKYWVEAESFKPERFVNSSIDFKGTDFEYIPFGAGRRMCPGIAFALSNVELPLAELLYNFDWKLPNGMSHQELDMTESFGLSVGRKHDLCLIPINHHP >RHN52485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35988962:35993473:1 gene:gene37169 transcript:rna37169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MENKDQVQGTKSTGTASKCHSKGGSQSENDAKSQDIPSVGNNLAPKVRKPYTITKQREKWTDEEHQKFLEALKLYGRGWRQIEEHIGSKTAIQIRSHAQKFFSKVVREPDGSAESPIQPIDIPPPRPKRKPLHPYPRKSVDSFKGQLVPNESETSPSINLSVAENDTQSPTSVLGSEAFGSAAFSEQTNRCLSPNSCTTEIHPVSLSPVEKENDCQTSKPSEEEEKGSLASIPSTDSKPLMCMKSENISSEETQSSKEDAANMPQITSIKLFGRTLSMGSQKSMKDDDENTKLITIKSNEMEDVEKVGQKEVSEPLDIQLSLGICTPDGAQVSSMERPKESPYVSECATLPLWSLYQGLPAFHLMPCNHQILNPVPLRPCLKVITREEESSCTGSNTESVCDMENQSKNNSSDTDDSQTQKHRQEGVVLKKSGRGFVPYKRCLAERDENSLIAGLEEREGQRARVCS >RHN67006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22768523:22769177:1 gene:gene15135 transcript:rna15135 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHISQYCLLGILCIALVLTSGPTPGASCDDPVICESYAGCDLWCRATFGYNRGVCTDIPGTLSCCCM >RHN47760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42306324:42308083:-1 gene:gene42397 transcript:rna42397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MGCSASKTTTIVANRNTEDPTTPSVSFQSSSSSTSSSSYASNYFNSSPPVRKALSLTMPLIHHPPTKKGDTHHLVSLTSTTYGSLLLIDQKVPSFTPHYQPHLTKTCQNEEQSLSPDSVINTWELMDGLDEHEDSHHHDSATNVHKPSIFDNPMSFSDKHSSCRYTTFDGSAKKKLLDSFESLKASETVMDEKKSSSSKKNLKKPLWQHLSEEALLAKLDPSVAWSYRRALSSRQLGGSSNNNNKNLFRCVRSMESSPMNPCSSLFDKSLCLLPGTENRIVVYCTSLRGIRKTYEDCCAVRMILRGYRVAVDERDISMDSSYRKELQNALGGKSVVTLPQVFIRGKHVGNADDLKQLNESGELARMLKGFPTQDPWFVCDKCGDARFVPCNNCNGSRKVFEEEQGKLKRCVHCNENGLIRCSSCCS >RHN47861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43086430:43088557:1 gene:gene42508 transcript:rna42508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MMLKMDLSCKTRKNKIVKASGSTRMKVCHLFSSSNPLAFRFRMSHKIKEIRDRLNKVAADGTRFGLVRIDVAPDPVVQRREFTHSYVDASSVIGRENDREEIIKLLMQPHPHGDGDGDKNLCVIPIVGIGGLGKTTLARLVFNDKRIDDIFQLKIWVCVSNDFDIRRIIIKIINSAFASASTPPSVAMANQEDINHFDIEQLQIRLRHKLSGQKFILILDDIWNDDRAKWIELLNLIKVGGEESKVIVTTCSNSIASMMSTVPSYILEGLSQESCLYLFVKWAFKDGEEEKYSNLVEIGKEIVKKCAGVPLAVKTLGSSLLSKFDLNKWIFVRDHEIWNLEQKKGDILPALKLSYDEMPSYLRHCFAYFSLYPKDYSFSIVEITCLWIALGLVQSLNGSEKLENIAIEYIRVMDIWQMNQIQLK >RHN68643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37369241:37373261:1 gene:gene17021 transcript:rna17021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative COMM domain-containing protein MGSIYLRENCNANRVEFEFLQFNARPFECFFFFVWRRQKIKNQLLINIYFWREKIKGKNPRRYSCIFSSTAGGFCCHRVSFFEQFIQLSMEQTLWGHLPVLLNVSSKESIEFILQALWRTRKTGLQSDDRCIIQDMLQLQNDYDLDPLLVCLRMLIRRCVYENTCKDDYPKLFPSEVLPELQKLLTLLLQKFQQQWQEDVLKDQNIVPRLKAMTWNMADQDTELSDPTAVINLKLQNDARFHSGELDLKFQLATDSVEMMLKEMHNMRDQFSAMEDEAPKGN >RHN43603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47167158:47168754:-1 gene:gene50209 transcript:rna50209 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIHDLSGSPVAAASMITPFVPSPSSDRVSRSNAGAWVILRSIGGSTVSSCSWKSWGHLEAWRERGPIDGLGYKVELFSDNGPVNAIPIAEGTVSVKKGGKFCIDSAILGSKWLPGEGFVMGSTVSGEGKVSKPVVQVGVRHVTCMPDAALFIALSAAIDLSMDACKFFSHKLRKELCPDQQYYSFS >RHN44481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5214291:5215240:1 gene:gene38604 transcript:rna38604 gene_biotype:protein_coding transcript_biotype:protein_coding MCNIAHVKTTNSSSFFLENYNYLFKSFIFNIKCTSSNTKFLF >RHN52659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37456218:37459593:-1 gene:gene37353 transcript:rna37353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MDGISNEASVDLFPIGPSGIFGRTIAFRVLFCKSISHLRYQLYVELLDVFYRLRKFWGPIISSLHPRNPRGILAIMAIVAFMLRRYSNVKVRAELAYRRKFWRNMMRGALTYEEWAHASRMLDKETPKMNESDFYDVELVRNKLEELRHRRQEGCLRDIIFCMRADLIRNLGNMCNPELHKGRLHVPRQIKEYIDEVSTQLRMVCHSDTEELALEEKLAFMHETRHAFGRTALLLSGGASLGAFHVGVVKTLVEHKLMPRIISGSSVGSIMCSIVATRSWPELQSFFEDSLHSLQFFDQMGGIFTIVKRVTTFGAVHEIRQLQIMLRHLTSNLTFQEAYDMTGRVLGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGNIVPYHPPFNLGPEEGSSQVRRWRDGSLEIDLPMMQLKELFNVNHFIVSQANPHIAPLLRLKEFVRAYGGNFAAKLAHLVEMEVKHRCNQILELGFPLGGLAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSHAELQKAANQGRRCTWEKLSAIKANCGIELALDECVAILNHMRRLKRSAERAASASHGFPSSTKFSAIKRIPSWNVIARENSTGSLEDFLADTAASFNHGVSGSGGSTGKNSKYHRNMHDVSDSESESADLNTWTRSGGPLMRTTSADRFIDFVQNLDVDTELNRGMGASFSPRDFQYHSPRLTTPDRCSENSESDPRENGNRVVMNGSSIILTEGDFLQHERMLNGIVFSVVKKEDFTASSRSHDYDSYNNEVAECLHIECPEKEMDDAASSASENGDNESPAARTLTETPDSNPIDKSRTDFG >RHN63786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54016155:54016674:-1 gene:gene26532 transcript:rna26532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome ATPase MVFLSGSPTSDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLVYGPPGTGKTMLVKAVANHTNAAFIRVVGSSEFVQKYLGEVYVYSIGPNVS >RHN79921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33522628:33523332:1 gene:gene3779 transcript:rna3779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Nin-like family MGIAPFDDFFEFDWATQFSYRSNHIYKNDFDDLENFNFNFNLPHLDQNNFEVDQKPLNVVLPELGHYNHKGETVAESGYVEDSVKTGSGFVSFVKKENEWENQELSLVPLALPSSSTGIIRKRSSSLQYDDIKKHFDVPITMAAEKMNVGVTLLKKRCRELNITRWSHRKLRSLMLLIENLKEKGLTEEIAMLEKPKKMLEKLPGMDLNDEIKKLRQACFKANYKNRKLIALCP >RHN53580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2662602:2664841:-1 gene:gene28503 transcript:rna28503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MEREEDVKVGANKFSEKNALGTGAQSDSKDYKEAPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITVLTVMGVNRSPSKCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIIMQCLGAICGAGVVKGFEGNARYELYKGGANVVNPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRDHAWNDHWIFWVGPFIGAALAALYHQIVIRAIPFKARD >RHN41531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30808703:30809700:1 gene:gene47864 transcript:rna47864 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LEC7 MAINTSRTQILFITIISFLILAQNVNSAAFTVSNFDPYKTNIELEGNAFISDGSIHLTNVIPNSAGRASWGGPVRLWDADTGNLAGFTSVFSFEVAPAGPGLIGDGITFFIAPFNSHIPKNSSGGFLGLFNAETALNTYQNRIVAVEFDSFGGNSGGNPWDPAYPHVGIDVNSIASVTTAPWKTGSILTGFNAIAFVNYEPVEKNLSVVVRYPGGNFVNGTSNSVSFIIDLRTVLPEWVRIGFSGATGQLVELHKILSWTFKSSFQ >RHN44183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2288256:2289326:-1 gene:gene38273 transcript:rna38273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLLSLDLGGFRAVVHPKGSTSNLLQLKLSSLKSIIQNSTKHETLLLSFVTISSTLPDTLTNLTSLKKLSLYNSELYGEFPVGVFRLPNLELLDLGYNQNLNGSFPNFQSSSLTQLLLDDTGFYGALPVSIGKLSSLIVLKIRDCHFFGYIPSSLGNLTQLKAIFLRNNKFKGYPSASLANLTKLRTLEVALNEFTIETFSWVGRLSSLTGLDISSVNIGSGIPLSFANLTLEVFIARNSSIMGEIPSWIMNQTNLGILNLAYNFLHGKLELDTFLKFKNLIILNLSFNKLSLHSGNSSSRMIDYAIQSLVLASCNLVEIPTFIRDMADLDFLRLSLNNITSLPNWLWKKQSLHGLV >RHN67490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27664636:27669803:1 gene:gene15683 transcript:rna15683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MSGRECDADGSTPLLQTQYADNSYHVKRTGTVWTAVAHIVTGVIGSGVLSLAWSIAQIGWIAGPLAIILFASVTLLSAFLLSDTYRSPDPELGPRRSSSYLDAVNIHKGEKNGRLCGVFVNISLYGFGIAYIITASISIRAIQDSICFHNKGSEKTCGYDDTYNMLVFGAIQVVLSQIPNFHNIEWLSIVAAIMSFAYAFIGMALAIVKVNENGHFEGSIQGMPTSTGTEKLWLVAQSLGDIAFSYPFSVILIEIQDTLKSPPPENVTMRRASTISVIVTTFFYLCCGCAGYAAFGNDTPGNLLTGFATYKLYWLVDFANACIVIHLVGAYQVYSQPLYANVETWCRFNFPDSGFVNNSYNLKLPLLPNFQLSPLRLCFRTLYVASTTVIAMLFPYFNQILGVLAGIIFYPLTIYFPVEMYISKCNIDAWTTKWVLLRTFSIVGFVVGLFTLVGSIQGIISAKQS >RHN64038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56099473:56102767:-1 gene:gene26814 transcript:rna26814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MLLLGRSLKPGSVVAACNFANAKSFIRYTVSKNYTIHGWELGNELCGKGIGISISPYQYANDATILRNIVQEVYREVVQKPLIIAPGGFFDANWFKKFLNRSEKLADVVTHHIYNLGPGVDDHITEKILDPTYLDGVAGTFSSLKNVLQRSSTTAKAWVGEAGGAWNSGHHLVSDAFVNSFWYFDQLGMSATYSTKTYCRQTLIGGTTVFSALLWH >RHN82283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52563927:52566444:-1 gene:gene6433 transcript:rna6433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative actinidain MLLTNFYFNAISVSFLSYIRTTSFTFFFINSLPNSIIIVIMALFQKPHLSLVLVLSLSFLSLALAVDMSIIDYDAKLDAAKNENHLMKLYESWLMKHGKVYNALGEKVRRFEIFKDNLRYIENHNNFENHSFKLGLTKFADLTNEEYRSMFLGTRPRKSKGLLSASKSDRYAIRDNDELPEHVDWREKGAVNPVKDQGQCGSCWAFSTVAAVEGINQIVTGDLISLSEQELVDCDRGYNMGCNGGLMDYAFEFIMKNGGIDTEEDYPYHARDNTCDAFRKNARVVSIDGYEDVPQNDEKSLKKAVAHQPVSVAIEAGGRAFQLYQSGVFTGICGTELDHGVAVVGYGTENGIDYWLVRNSWGSSWGENGYIKMERNLLTKETGKCGIAMEASYPIKKGQNPPNPGPSPPSPVQPSTVCDEYYSCSAGTTCCCLFEYGNFCFAWGCCPIESATCCDDGSSCCPHDYPVCDVEAGTCRLSKDNPFGVKALKRTPAISTGNWTARKAAIKRAIKGN >RHN77057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3619159:3619854:1 gene:gene467 transcript:rna467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSCSNPTSSINVTTTDQNPNINVTPTDQNPNSSDNKIYRGVRKRNWGKWVSEIRLPNSRERIWLGSYDTQEKAARAFDAALYCLRGPHASFNFPNTPLTINVAVNHGVGHQSLSPEEIQEIAAKFANESSPPIELTQEEDHQVPSDPLESQNDTNSNAYSYPLDNDIGDLRRMDWTFDDMFEDMNGVANGSDFYGLQNMQYSTPPFEEDNVDQIECEDTFTNHSTLWNWNF >RHN70961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55426644:55430760:-1 gene:gene19597 transcript:rna19597 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGVVGEETRFQSVEDRLTKSSSPAEVGLLIGKFSSALDRVFLFDLIPTPPNDSAEPASSIIQPDKKLASKSKSQTQPDSSSLFIDKDWVSEHARQVSRMLVGGIKVVGVYVWVNDNAFKNSTLMLCQTVKGVAEAAPVSDVNWDERLLLQICYGPRRWNCRNCSLSSNITSSSLRPCDFKMGKVLSSFQTFRCMHNFNLRLPILRDGSSKFQTLSDVLHHAISLHAKELADAKALIDGKLVLDNEPCSLDGVHEVELLIPFLNNGSIEAYSQRDVSGILSFGGAICSFAYLNSKEPISQAVTDIKGDIITSLQSRLDIICDETDVDSGNHHDVGKQVNEDDVSVEKPVSQLVLHLLRKECSLPFPRRVFAPWLAGTYACDYLQPSETVEVLKDHCMELLSMKAPTDISTILEPEKEVISFRTKSFWDVAVPSYSEIHLREDKMKLDGRGESSSDKLMKPGYISVVAAGLILLLSVLVGFVLFARKG >RHN55204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16088881:16093225:-1 gene:gene30348 transcript:rna30348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, SWIM-type MNILSTKSEYQCSFGPENYGEGGEILPSRRCRTNTRNRAARPQSMRGCTCHFVVKRLYARPSLALIVYNERRHVNKSGFICHGPLDRDAIGPRATNIPYISNEIQQQTISMIHLGIPEENILEKHIEGIERYCGSNVKFNSLASQHVHKLSMIIKRSTHELDLDDEVSIRMWVERNRKSVFFHQDTSESDPFVLGIQTEWQLQQMVRFGHHSVVAADSSFGVKRLKYPLFTLLVFDSRQHALPVAWIITRSFAKPDVSKWLKALIDRARSVEPGWKVGGFFIDDAAADIDLLRDIFGCPVLFSLWRMRRSWLRNIVRKCNNIEIEREMFKRLGTIVYNIWGGTSTSVALEQFMLDFVDQTDFMEYFRVSWVPKIEMWLSTRRNFPLASQEASGALEAYHVKLKAKLFDDSHLGALQRVDWLNVKEGYIASTSWHRAFQIPDSAVTMDGKDRLFAKVASQKDSSVTRIVWNPGSEFSFCDCSWSLQGNLCKHVIKVNTICENLQGYQPSMSFRSFEEVLMDLWRKPVDDSFELDVSLAWTHQVIKSKNLLN >RHN52573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36731677:36732276:1 gene:gene37266 transcript:rna37266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKCTIMLALLLLLALSSQPLFVSSKTSLNQVLDISGKKLRTDSDYYIIPANGGDISLESSIGESCPLHVVVVKHRQGLGFPLRLAPVKGDIRVSTDLNIMLGNYDDRCPNYSVVWKIDPYSKEATFVTTNGILGHPGSNSIHSWFKIEKYEDAYKLVYCPNVCPSCNHVCKDIGIYKYKNREMRLALTNVPLKIKFQQA >RHN59627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12550751:12551714:-1 gene:gene21689 transcript:rna21689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II MTIALGKFTKDENDLFDIMDDWLRRDRFVLWVGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKIFS >RHN80102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35126069:35126332:-1 gene:gene3985 transcript:rna3985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine hydroxymethyltransferase MTTRGFNEKEIELFADLIHEGVLLSFEAKSLVLGSTDQDFMNVLISPEFSLGDKVSDLCRKVQALAPSSRSKMFGYGLRFYEFCDIA >RHN66543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13596191:13596933:1 gene:gene14549 transcript:rna14549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKSFDGNGLRHLSSLQYLYFWNCQQLESLPENCLPSSLKSLSFQMCEELESLPEDSLPDSLKQLTIWGCPLLEERYKRKEHWSKIAHIPVIDINEKVTI >RHN44663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7357631:7358158:1 gene:gene38807 transcript:rna38807 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKIENWNIKRILKSILFRFKIIDETIDELSESKKTSTISKNNSKIEVIEVIEESPVKMESINWTNSSFTEKRIKDLNVKTKTIIKQIETMTEEKKEGILTSEINLNSNKTTYDAKRLELQKNNLQILQRRFVRLIRKSYSFFKIFIEGVYIDILLCISSIARIHRQRFLDFLE >RHN52768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38616495:38620995:1 gene:gene37473 transcript:rna37473 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MFQNITFISFFFFFLLLIITFFPTQSYSQPQQDESVNNYSNCQNTNYTYNCGNLSNIYYPFWGQNRPSYCGAGKHFYLNCHKNITTILISSQNFTILDIYSQSYTMILKRTDLSQNLCAPQFDETYLSPTLFQYPQNVNNITIHYNCSSTVSQYILENSLCGSHDPSFCYIGNEDELLRNCMRHIQVPVGDDFPMNDDYYGYFQRGVLESGLNKGFKVKYSMNKECLRCLGFEDKDCGLKNNSDIEKYAVSSCYYDKCPHGSSEFSTNCYPIHKSKELSWQGKMKIIAGSAGATFVGLSICIAIFYFTYKSIKNNQDKKINQDIEAFLKTNEDFTIRRYKFSHIKKMTNNFEVKLGQGGYGTVYKGKLLNDRLVAVKILNASKGKGEEFMNEVSSITKTSHVNVVALLGFCFDGRKKALIYEFMSNGSLDKFIYNAQHETYQSLSWEILYEIAKGIARGLEYLHRGCSTRILHFDIKPHNILLDENFCPKISDFGLARLCLKKESIISMSGARGTMGYVAPELWNRNFGGVSYKSDVYSYGMMLLEIIGGRKNISANASHTSEKYFPDWVYKRFDLDTDLRHDEVIATDDDIAKRMTIVGLWCIQTLPNDRPAMSRVIEMLEGNVSSLEIPPKPILSSPTRPVPKSSAS >RHN49583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55788362:55789360:-1 gene:gene44429 transcript:rna44429 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRIAVILSLPMEFTIAVILILPMQFTFIIVPRLRHVNSFLN >RHN55976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26282802:26284347:1 gene:gene31278 transcript:rna31278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQIQKFVYTLIMFLSLFVMVTNGMVSTNAYIHRCIHQDDCPKYMCEISVLPECINGFCTCV >RHN51280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15700857:15702636:1 gene:gene35694 transcript:rna35694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasaponin III rhamnosyltransferase MASIVNHENENKNVKSLHVVMVPWLAMGHILPFFELAKILAQNGHTVTFINSPKNIDQMPKTPKTLQPFIKLVKSPLPYIEELQGAESTQNVPLNLTGYLKLAYDGFQDRVTEILKTSKPDWVFCDVVSDWLPSITKSFNIPCAYYSVAAAWNLGFFNPPGERTDIDLYSPPKWVPFQTTIHLKRYEVMRIQSTVKNDYGRKFSRSDADKLYASVDLFLFRTSRELEGEWLDYISDQYKVPVVPVGLLPPPMQIRDDEEDEKNPDWVKIKAWLDSKESSSIVYIGFGSESKLSQQDITELAHGIELSRLPFFWALKDLKEGVLELPKGFEERTKERGIVWKTWAPQFKILTHESISGCMTHCGPSSVIEMLHLGHVLVTLPYLLDQCLFARVLEEKKVAVEVPRSEPDGAINRDCVAKTLRLVIVDEEGSIYRNNAKDMGKVVSSKDLHNEYIKNFIATLQKFRVHSDN >RHN43076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43008132:43008967:-1 gene:gene49599 transcript:rna49599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MYASTSFTSQLLHELLVESHTRRLLFQNPVDHQFTHNNNSTNSYFGAREFESNVVMIVSVLLCAIICSIALNSIVRCALNVAIINDSSLSSSINSSPQFANKGIKKKALKTFPTVSYSTELKLPTLDTECVICLSEFTKGEKVRILPKCNHGFHVRCIDKWLKSHSSCPKCRQCLLETCRKIVGSEAPPPMLPVPETIIRIQPLDHEAFECNYREENR >RHN66571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14126578:14133019:1 gene:gene14584 transcript:rna14584 gene_biotype:protein_coding transcript_biotype:protein_coding MYHFSMFHASHHIVPVSATKVEVEAEASSVETVASKLCPLKIVLDRVVLTSTGVLLGCWQVISGSDPITIRSRLKNALPRAPEKQLYDAAILHTTFARLLGPPRTSFTELLKTSNELQFFHELVNQLNSQFQGFEAVVSELWYVEEYNVLALALNGRMTIRKFKLGCSRD >RHN64471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59367417:59377136:-1 gene:gene27290 transcript:rna27290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium/calmodulin-dependent protein kinase chromatin remodeling SNF2 family MEPEDWDLSGEDLDSLERDAFQKIAQLRSHPSNPPPPPPPSRPQRVDALPQGPARPLPNNTNNAVEHSKELTKASVKFFLHSTGNIAAKFQYDQVIVAAFRRIPKSFWNAKERLWLFPLSSLSEAEKVLKDVSGYNVQVENLDPLVQRAITAATSVPDLRDRYDKIPSDVESKLLPFQRDGIRFILQHGGRAFLADEMGLGKTLQAIGVAACVQDSWPVLILAPSALRLQWASMIQQWLNIPSSDILVVLSQIGGSNRGGFNIVSSSVKSKIHLDGLFNIISYDLVPKMQSTLMESDFKVVIADESHFLKNAQAKRTTASLPVIKKAQYAILLSGTPALSRPIELFKQLEALYPDVYKNVHEYGNRYCKGGMFGVYQGASNHEELHNLMKATTMIRRLKKDVLSELPVKRRQQVFLDLADKDMKQINALFRELERVKAKIKAAKSQEEAESLKFSKQNMINKLYTDSAEAKIPAVLDYLGTVIEAGCKFLIFAHHLPMIDAIHEFLLKKKVACIRIDGGTPSGSRQQLVTEFQEKDTIKAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRVHRIGQESSVNIYYLLANDTVDDIIWDTVQSKLENLGQMLDGHENTLKVSDDQPPLSSPAKQKTLDHFVRRCDNSTGGLEHQSTPKRPRY >RHN74639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35385708:35386260:-1 gene:gene10743 transcript:rna10743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAVKKVRNHISQDLALLVLSKLPLKSLKRFGCVNKTWSLLFENPNFITMFGDNFISIYHSYYDDTSFILHQFVYKAHIRSYLHLLSSRSFENRLKLDLPTPLQQEDPVLYIWGSSTNNGTLCLSNGNHSKLVL >RHN78912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19940190:19941903:-1 gene:gene2580 transcript:rna2580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MDVLIQFTAELAKCTIVPIVHEAGYSLLYKRNFKKLENRVKELDAARVRWDHSVQDEIGNGKEIETDVVNWLKDANELIEKANKLREDPRRANVRCSINSFPNLIWRHQLSRKAFKISENIFEIQGKGKFDRVGYLPTLDQITFSYSSTTRRLKLETRELFIDKILKALLDLNAHNIGVYGLDGAGKTTVVEEIAETTKHKKMFDAVVMANVSRNPDIERIQMEIAEQLNLTFIEKTIVGRANRLRQRIKAEKTILVILDDIWPTFELEKVGIPLGDDRTSRIQNKQNIEQNVKQNVCKLLMTSNNKDVLLEKEVEEEFTFRLELLGELETWHLFQFMVGDKVKDTSLQSLAPQVAQKCAGLPLLIVTVGRGLKNKDIRVWEDALRQLESNSHAGMDMKTYSTLELSYNLLVSQAMKDIFLLSSTLQDIDTEYLLKVAMGLNIFKDINTVYHARNTLHSIILSLQASCLLDKCNTSGKIQMNNYVRDVAVSIALRDQHVFVKEKLSDLKEWPTKDFRESSQIILYGCNVRKLPERCWI >RHN48891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50954053:50957325:-1 gene:gene43657 transcript:rna43657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-pyruvate monooxygenase MHEAPVIIIGAGTSGLATAACLTKQSIPFIILERENCFASLWQNYTYDRVHLHLRKQLCELPHFPFPPSYPHYVPKKQFIEYLGNYVNNFNINPIYNRAVELAEYVDDDEKKWRVKAENKSSGEVEEYSARFLVVASGETAEPRVPVVEGLENFKGKVIHSTRYKNGKEFKDEHVLVVGSGNSGMEIALDLANFGAKPSIIVRSPVHILSRDMMYYGGVLLNYLSPSTVEKLVVIASRIVYGDLSKYGIPFPSEGPFTMKMKYGKFPVIDVGTVKKIKSGEIQVLPAEIESISGNQVLFRDGKSYPFDSIIFCTGFKRSTQKWLKGGDLLNEDGFPKPGLPYHWKGKNGFYCVGLTRRGFYGAKMDAQNVANDIAMLVPQHERN >RHN49156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52873677:52878677:1 gene:gene43954 transcript:rna43954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-V family MGSNLNAELSKKTSFFGIKVWEIIAIVVGLSIIVILTVLSICLTSRKKSRKARNEIPVTEIPNVSKEIKEVRVEQVSTNGFTPRDGILLTIHDKSSDKESDKVMVHLGLGKKVKNGDSSSHSDSFHQYMERDGGGGSHSQSGEEGSSGTVTVYKHSSASYPLTAPSPLSGLPEFSHLGWGHWFTLRDLELATNRFAKENVLGEGGYGVVYKGQLINGSPVAVKKILNNIGQAEKEFRVEVEAIGHVRHKNLVRLLGFCVEGTHRILVYEYVNNGNLEQWLHGAMRHHGYLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEGITGRDPVDYGRPTNEVNLVDWLKMMVGNRRSEEVVDPNIEVKPSTRALKRALLTALRCVDPDSEKRPKMSQVVRMLESEEYPLAREDRRHRRRNQGGSAEIDSQREFSDTDRSEIQSSREESRG >RHN69920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47354667:47358955:-1 gene:gene18453 transcript:rna18453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amine N-methyltransferase MENGKVAVVKPQSEARLAILELAHIMSVPTSLNAVLKMKVPEAIWQGGKNTPLSASQILSIVRPNGGGDAENLQRILRLLTTYTIFVEDLSSNGERKYSLTDVGKALVPDDHGLTCASFFVQHHQDPYLRAWPLVGDAVEDPTVEPFEKLHGEAAYAYCTKGPEEMSLFYASMSGMSLPYMREMLENYDGFKGVETLVDVGGNSGVSLNMIMNKYPNILKGINFDLPDMISSAPQYPGITHVGGDALESVPAGDAIFIKWTVLTWTDEEFKKALQSCYKALPVAGKLILCEPVLPEQTDESKRTRALLAADIWIMTMYRTKGKHRTEEQFKQLGISAGFKSFRAFHIDPYLPVLEFHK >RHN44045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1223753:1224013:1 gene:gene38093 transcript:rna38093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MFSFFCGCLRILKFTDGVQFTCSVSSDVLLSSVDGVQDLSINVDKQMVTYQLPRIVFINSLDYKEADPWEVVDQVNLIRLMDLRSL >RHN78127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12120640:12122718:1 gene:gene1648 transcript:rna1648 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMEVGVMVVGVVMVVGAVVMVVGVDMVDGVVVMVVDVVVVVGVGDMVVAAAVGVVVGLPKTMMLILMLNLRTKQELELESKNP >RHN62977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47231995:47234932:1 gene:gene25620 transcript:rna25620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative actinidain MASITITSLLFFSLITLSLAMDTSMRSNEEVMTMYEEWLVKHHKVYNGLGEKDQRFEIFKDNLGFIDEHNAQNYTYKVGLNKFADMTNEEYRNMYLGTKNDAKRNVMKIKITTGHRYAFNSGDRLPVHVDWRSKGAVAHIKDQGSCGSCWAFSTIATVEAINKIVTGKLVSLSEQELVDCDRAFNEGCNGGLMDYAFEFIVENGGIDTEQDYPYKGFEGRCDPTRKNAKVVSIDGYEDVPAYNENALKKAVSHQPVSVAIEAGGRALQLYQSGVFTGRCGTNLDHGVVVVGYGSENGVDYWLVRNSWGTNWGEDGYFKLERNVKKINTGKCGIAMQASYPVKYGQNSAYENNEELVSSA >RHN52376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34430423:34430922:-1 gene:gene37041 transcript:rna37041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative D-galacturonate reductase MNPSWQQGKLRELSKEKGIHVSAWSALGAYKVTWGSGAVVENQILQDIAAGKGKTTAQVALRWVYQIGSSAMAKSFNKERMTQNLEIFDFELSEDDLDTIKQIPQRRQYLGDM >RHN59440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10757709:10761254:1 gene:gene21470 transcript:rna21470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NTF2-like domain-containing protein MTKKGYDILKEELSSNSPKRQRIRFTGEISTKTDLVVMPSNQSWWSKKVDEFRDKVRRHPVSKNFVKYIDPVKTTSRDIVDDVRDIIDRIDNPIIDKIQRTFQETDAALTYREIRKRDPKFSLPDFVGEVQEAIKPVLNAYIKGDFETLKKYCAPQLIERCKAEHGAYKDRGIFYDNKILHISDADVREVKILESSPFIIVVFQTQQIHCVRDRNGEITEGGKDTIHSVYYLWALQMDSEDHAEDGIYLNWRLREMQQQGIQALI >RHN56321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29827569:29831585:1 gene:gene31705 transcript:rna31705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase I transcription initiation factor TAF1B/Rrn7 MRHLMGLQMMIELQCKALVKEFKVTPLICGLVGPIWLRFISKTGVFDDDRAYTVMHNSEMQKEGEPEDYTIRGKYREEPHNMFGQRFVMIWLRYLKNRIPLACTIAVSYLACHIARKPSYHQT >RHN45353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17632119:17632391:1 gene:gene39636 transcript:rna39636 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQILESNLGIGKSKLGFLGEKLVFPESCTLTASSVPCSCVFCTRFRFELSFGVKMKVLDNFVSFPMALVWRKNDFWFRSYDENTPRRS >RHN76308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49288651:49289925:1 gene:gene12610 transcript:rna12610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MDQRAPPFNFLMAPPSTVMRTTAIPANASDNMEYSFLPKYNASTYYVYMYFAEIQKIQANQIREFNIFVNGELLNSDPINTVYLQNLYYLSVISETKLEHWFNKTSRSTLPPLFNAVEIYTAKDFLQSETYQTDVNAILNVKSTYGIKRNWQGDPCTPVSYLWNGLNCSYVGTDSPRIIYLNLTSSGLIGTIASGISNLKSIESS >RHN57957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42734755:42736983:-1 gene:gene33550 transcript:rna33550 gene_biotype:protein_coding transcript_biotype:protein_coding MNREPKLEPGSPSSNHVILDRDEDDEVGAFPMTTLDLEGMTIPDLVQVLLGSLQMETYERVEGVLVRRDLALKDQIQHLHKNVEMERQQLQMEKLSRLKAEEELKKREEICQKMKKVQESYNALLKEAKTAEKTHNKLKQESKETIEFLRKRNVGLKCEVDRLNEKKMEEDHELEVLRQKNGELECEVNKLEEERVEDGNKFDVLRKKNDELECEVNRLKEDMVEYGNKFEVLRKKSDELECEVNNLKEDRVEDGNKFEVLRKKNDDLKTEVNRLTEKTAEDGCELGVQRKVTGEMENKVLELTKLKEKWEEDCIVWAGIEIKNGELNETVNKNLATIRELRNENSKLANDKHNVDILLASWITKFRVLHEKVSRLEDDSKLLMSLNVSGGGNNERDPPVDFMAADSEEKDKEKESEVKDASSDDVLEMLSQKLHCSAPVGHVEVPAPIVAPSASQVDPVANAPVAIPYVPDPTNGNKRKSKSLHVKDVKKAKVRSSHVKDVKKAKVRSSHVKDVAKTKVRSSDRLRKLKTKMIAGLGKDASSPFVIEDSDEGDGIDKGDGIDD >RHN59622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12544915:12545868:1 gene:gene21680 transcript:rna21680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A2 MALRFPRFSQGLAQDPTTRRIWFEYFASHFGQLAIIFLWTSGNLFHVAWQGNFEAWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGWWYTIGLRTNEDLYTGALFLLFLSVISLLAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWAGHLVHVAIPGSRGEYVRWNNFLSVLPHPQGLGPLFTGQWNLYAQNPDSSSHLFSTSQGAGTAILTLLGGFHPQTQSLWLTDMAHHHLAIAILFLIAGHMYRTNFGIGHSIKDILEAHIPPGVDWAWT >RHN80202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36077347:36080184:-1 gene:gene4100 transcript:rna4100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trans-2,3-dihydro-3-hydroxyanthranilate isomerase MAKKPVKYYVVDAFTDSAFKGNPAAVCLLEEDKDDEWLQLVAAEFNISETCYLTPIHGTSIPRFGLRWFTPVAEVNLCGHATLAAAHTLLSSGLVDKNAIEFVTRSGILTAKRTDVTSALNLQNGEAPVGFYIELDFPADPIAEFNSNDTSLISGALNGASIIDIKRTTNGDNLLVLVTSGENVTEVQPQFDAIVKCPGMGIIVTGIAPPESGFDFYSRYFCPKHGINEDPVCGSAHCGLAPYWSKKLGKCDLKAYQASARGGILNIHIDEQKQRVFLRGKAVTVMEGSVLV >RHN44149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2004498:2005719:-1 gene:gene38227 transcript:rna38227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRVKNMTETLKFVYILILFIFIFLVLMVCDSAFIQLSKPCISDKECSIVKNYRARCRKGYCVRRRIR >RHN43453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46000458:46001818:1 gene:gene50033 transcript:rna50033 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQSMKPSAATEVCQPKTQHSSISQKISEITNKAFKGHHARHGSTQNQVQNYTSQSQVESNGHNGSKTETHHYGQTQTQHDKKHGVTKTHITVCVVQAEITETKEGPYPYGATTTCFGAPKKNRELNNKKDMNLFQRIKNGMSRNNSQGNSSSSSDSESDNEKKCPKTKPKN >RHN70794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54066013:54067898:1 gene:gene19411 transcript:rna19411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (guanine(46)-N(7))-methyltransferase MPETGGNSTFSKSTGLPRKRFYRARAHSNPLSDSHFPVPLSPSHVDYSLHYPQFFPSSDKADSCKKIKFADIGCGFGGLLISLSTLFPETLMIGMELRDKVSEYVKERILSLRVANPGQYQNVSVVRTNSMKYIPNHFEKAQLSKMFFLFPDPHFKEKNHRRRVISPFLLDEYAFVLEVGGIIYTITDVEELGDWMKSCLENHPLFEPLTEKELEADPAVKLLSSATEEGQKVARNEGQTFQAVYRRIAASDQIS >RHN47574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40723549:40727482:-1 gene:gene42192 transcript:rna42192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative presenilin/signal peptide peptidase MESSVLESIGVEIIGVMSPVSICMFLVVLLVYTLSTTSSPETTFTTAANLVYAENPSDTTAQKLEGALLNAVVFIILIAIVTFLLVLLYYYNCTAFLRNYVRFSAFFVLATMGGSIFINLIERFSIPLDSITFFIFLFNFTIVGVLSVFAEAIPIIVRQCYMVCLGIFVAAWFTKLPEWTTWTLLVALALYDLVAVLAPGGPLKLLVELASSRNEELPALIYEARPTVAPNSRAAAFGLFVAGVSEAQPTQSSSIELQELQVVPIVNMNERDNDIHIEGGGGDDSVAGVEDFRNDEGERSPLVGNNGNREEERSPLVEVMGNERREPREREEFAERGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLSVCRHALPALPISIALGVLFYFLTRLLMEPFIVGTATNLMMF >RHN47207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37919121:37919786:1 gene:gene41773 transcript:rna41773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MLNLSHNMLSGTIPSFSRMSLDFVNISDNQLEGRLPDNPAFLHAPFESFKNNKDLCGNFKGLDPCGSRKSKNVLRSVLIAFGALILVLFGVGISMYTLCRRKKSNEKTKLKQTQRGVLFSIWSHDGKMMFENIIEATENFDDKYLIGVGSQGNVYKAELSSGMVVAVKKLHIITDEEISHFSSKSFMSEIETLSGIRHRNIIKLHGFCSHFCCEFDEKSHQ >RHN64784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61783649:61786108:-1 gene:gene27641 transcript:rna27641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-AMPK family MVSPKNIGKYRLGRTIGEGTFSKVKIALNSNNGEKVAIKVIDKQMVLKNNLKHQVIGTKTKIYIVMEYVSGGQLLDKISYCNKLNECEARKLFQQLIDAVDYCHNKGVYHRDLKPENLLLDSKGNLKVSDFGLSALNKLLMSKGYDGASADVWSCGVILFELLAGFLPFDDQNLINLYHKICRAEYVCAPWFTQSQKKLIAKILEPHPGKRITISGIIDDEWFQTDYKPICAYEFDPIINLDDDSCAFNSIEDHMKQTTRLGSQHTITETVEKIEAAAADVRLSVIEVAPAHCVVQVSKSVGDLRMYNEVRKHILLIEYS >RHN44184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2295654:2295953:1 gene:gene38274 transcript:rna38274 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKNHRLKTKTTIACLSNKSTSPTALSLLLRSSLFRELLEKNSNVSEDDVTKEQQQIASDDELEGIFYDGIDNISFDFDPNRCNIELQERDLHSIFCT >RHN47294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38571419:38577106:1 gene:gene41872 transcript:rna41872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-lysine N-methyltransferase Efm4 MAGIRLQPEDSDVSQQARAVALVDLVSDDDRSIAADSWSIKSEYGSTLDDDQRHADAAEALSNVNLRAASDYSSDKDEPDAEAVSSMLGFQSYWDAAYTDELTNFHEHGHAGEVWFGDNVMEVVASWTKTLCIDISQGRLPNHVDDVKADAGELDDKLLSSWNVLDIGTGNGLLLQELAKQGFSDLTGTDYSERAINLAQSLANRDGFPNIKFLVDDVLETKLEQVFQLVMDKGTLDAIGLHPDGPVKRMMYWDSVSRLVAPGGILVVTSCNSTKDELVQEVESFNQRKSATAPEPEVAKDDESCRDPLFQYVSHVRTYPTFMFGGSVGSRVATVAFLRK >RHN48646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49190974:49194349:-1 gene:gene43385 transcript:rna43385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MDLAVRNSSEITSSSNHELRRGMVLPFQPLSIAFNHISYYIDMPAEMKSHGMNKEKLQLLQDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYQKNQETFARISGYCEQNDIHSPHVTVYESLLFSVWLRLPSDVKKQTRKMFVEEVMELVELKALRDALVGHPGVDGLSTEQRKRLSIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSTDIFEAFDEASIDFLDFRVCLLLMKRGGQVIYAGPLDRHSHKLVEYFEAIAGVQKIKDGYNPATWMLEVSSASVEAQLDIDFAEIYANSNLYQRNQELIKELSTPAPNSKELYFPTKYSQSFFVQYKANFWKQNLSYWRHSQYNAVRFLMTLVIGVSFGLIFWQQGKNTKKQQDLLNLLGAMYCAVLYLGFMNSSTVQPVVSIARTVFYRERAAGMYSALSYAFGQMAVETIYNAVQTTIYTLILYSMIGFEWKAANFLWFYYYIFMSFMYFKLFGMMFAALTPSLEVAAISTTFFMTLWNLFSGFLIPKTQIPIWWRWYYWASPIAWTLYGIITSQLGDKNTEIVIPGAGSMELKEFLKQNLGYNHNFLPQVAVAHLGWVLLFAFVFAFSIKFLNFQKR >RHN47150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37512243:37516873:1 gene:gene41710 transcript:rna41710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acyl carrier protein (ACP) MALRAAILRHVRIPIQSTPKLQPWNGSIRSMSSHDDHITKEEVVERVLSVIKDFPKVDPSKVTPEVHFQKDLGLDSLDNVEIVMALEEEFKLEIPDKEADKIDSCHLAIEYISNHPMSS >RHN64565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60088223:60092908:1 gene:gene27393 transcript:rna27393 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLETMERSSSFNQYDSICDQDFPEDDSDTDSCVSSSSSLGRNSDSSEDDSSDREEVEKNSFKGPLDTMKDLEKDLPVKKSISNFYIGKSKSFTDLADAAGATCLQEIVKAEDPYAKKRKDSLARNLLIGRSRSYANVGGITNSKRTSSLGRRTSCLNLNSNADSGDEGKSSASRSISPPCPLPPLHSRPNRLSATTSLPRPPAQNHPLRSYSWSDLNSVAEGHDITGLAICSGNKDNKVH >RHN78729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17912073:17912528:-1 gene:gene2376 transcript:rna2376 gene_biotype:protein_coding transcript_biotype:protein_coding MELMQRDQVLQGDGFKTEQFLGQRNCIKKRKYVSISGVEKKMIESEKEKVNDHETRLEHTPYEKPVDPFIDDPKVKKTLSNWRQVKSQVRPDEREVARFKLEGIQNTARFSDNMDAMHDFHKLIGCSKCEAQGNKCSLYFQMYQHGRNKKN >RHN64564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60078369:60081456:1 gene:gene27392 transcript:rna27392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cathepsin H MAQWTLLIVFFCVATAAAGLSFHDSNPIRMVSDMEEQLLQVIGESRHAVSFARFANRYGKRYDTVDEMKRRFKIFSENLQLIKSTNKKRLGYTLGVNHFADWTWEEFRSHRLGAAQNCSATLKGNHRITDVVLPAEKDWRKEGIVSEVKDQGHCGSCWTFSTTGALESAYAQAFGKNISLSEQQLVDCAGAYNNFGCNGGLPSQAFEYIKYNGGLETEEAYPYTGQNGLCKFTSENVAVQVLGSVNITLGAEDELKHAVAFARPVSVAFQVVDDFRLYKKGVYTSTTCGSTPMDVNHAVLAVGYGIEDGVPYWLIKNSWGGEWGDHGYFKMEMGKNMCGKDPQILTLKELLK >RHN67128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24149902:24150334:1 gene:gene15277 transcript:rna15277 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSLYIDNLFFFIFICLFPIPSSINKFIKESIFCVLKAWKFFVKKTWKFNHLVVDYGDPIEEDVSIFFDFKTFKISNIVFSRGNVLYFI >RHN43612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47221618:47224334:-1 gene:gene50218 transcript:rna50218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding protein MGRTIRWFKSLFGIKKDRDNSNSNSSSTKWNPSLPHPPSQDFSKRDSRGLCHNPATIPPNISPAEAAWVQSFYSETEKEQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSHGRDTMFGGGHQKFAAVKIQTTFRGYLARKALRALKGLVKLQALVRGYLVRKQATATLHSMQALIRAQATVRSHKSRGLIISTKNETNNRFQTQARRSTERYNHNESNRNEYTASIPIHSRRLSSSFDATMNSYDIGSPKIVEVDTGRPKSRSRRSNTSISDFGDDPSFQTLSSPLQVTPSQLYIPNQRNYNESDWGITGEECRFSTAQSTPRFTSSCSCGFVAPSTPKTICGDSFYIGDYGNYPNYMANTQSFKAKLRSHSAPKQRPEPGPKKRLSLNELMESRNSLSGVRMQRSCSQIQDAINFKNAVMSKLDKSTDFDRNFSKQRRL >RHN82547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54673851:54675039:1 gene:gene6718 transcript:rna6718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MKVKCCDGIVLELEDALVYASLTVKQLIDEKIPSRRCFGVWIFDGKDENYEISFEKEISSKTLLKINEYVKKHADAASTSSNRISRSLRSWDLEFIKVDRHTLFALVLAAKYLQITDLINLSSETVRAKTRGKTPEKIHRYYNLKNDMTPQEEEEY >RHN40544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17446178:17448712:-1 gene:gene46705 transcript:rna46705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MEFKQLSCLVLLICLTLVEIDASQSEEEYWKSVWPNTSMPKTLLDLFISDIETNVPISDQFDKQDWTAFFQHDLYPGKKMSLGFHKHSDKQPLRPNAWIPDGRIRQPLGTWIWSEKHSLNSYCVNPAAIGEHKYCASTLESMIDFAISKLGKNIKLISSSFAQNQDQYIVQEVKKIGDKAVMCHKLNFDQVVFYCHQVNATSTYIVPLVASDGTKAKALTICHHDTRGMDPDVLYEVLKVKPGTIPICHFVSNKAIAWVPNHAVRESDENPCVI >RHN47253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38314457:38314857:1 gene:gene41828 transcript:rna41828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFALFSLETIYLGHNNFTSIPGHCFQLLLGMQTLNLSNNLNLKPWLFPEAEDLGYSELMHTLDLEATNILGPLPSDVFDWFPRLHTVSLSHNNIRGTLPLSLGKSVVRYLRLNKPRQIEWVYGYN >RHN38981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3257600:3259738:-1 gene:gene44963 transcript:rna44963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, MuDR, plant, MULE transposase domain-containing protein MKWVDKGLVKAGESSGPRQEKKIPEKNALKATEDHPLKSLKIRFRYNGYFSGDPELEYKRGDTYEYGGTWALGEVNLINLDKLVRELGVKGNYTVWYVVVGGQLKDGLKAIKTLRDVVRFISEYKDEKSVTFYLEHLDVTELDARYEDEEHSYSPFESDSGTDPEFVAAEGDEPESDSVDGVSLNDSDYDEGFDWTDVLPDQLINPAPVVTSYVPSLGASERSKNSDATRLEDFYDENGDSSDLDSICSSDEDTGSGKPKLHKFKLSDEIKFLKGQVFSSSELIRTSVREFALQQRKNIYVQKSERNRVVVKCDEGCPFYMRFSKAPPKTYFILITYNPDHKCHYGGRTRLIGTTLLAKKLIPLLKLTPHMRIKKLKDACKERWGVMLSSYQLYRAKKKALELIHGAIDEQYSHLRNYAEELLRSNPGSSVLIKCKDSDAGPVFERIYVCFYACKRAFATTCRPLIGLDGCFLKGRDGGHLLAAIGKDGNNQMLPIAFAVVEAETKDSWD >RHN64918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62960516:62963216:-1 gene:gene27795 transcript:rna27795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heme-transporting ATPase MSIRKPPPPRLLLNNVSCMRNASQVLSNVNVSLHDGGALVLTGANGSGKSTFMRMLAGFSRPSAGEILWNGHDIQKSTIFHQYKLQLNWVCLKHGINEKSTVLDNVELFELLDYKRGKAKLALQLMGLGRLAKEKPKMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDEGVKLLEYIIAEHRKQGGIVIVATHLPIEIEDAMLLRLPPRFPRRMTLIDMLDRADIS >RHN80035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34530412:34531291:1 gene:gene3911 transcript:rna3911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-S seed storage protein, plant MNIEEEEEQSRESGGWSQNYQPGLASHREEEEDEQRPGCSPSHRREEDEGKEERKQRPGRHSEDQGPRRPRPSKEKEESYEGRGKGRKNGLEETICSAKIRENIAHPARADLYNPRAGRISTVNSLTLPILRNLRLGAEYVVLYRNGIYAPHWTVNANSLMYALTGEGRVRIVNCEGNAVFDDWVRKGQMVVVPQNFVVVQQAGEEEAFEYIVFKTNDGASVNNVK >RHN46998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36357511:36358487:-1 gene:gene41543 transcript:rna41543 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSYSLTKLWTGIVWIINSHCLNTLLIVNCPKVLSLPDDLHCLPNLEILGMEGCPELCRRYQPEVGHDWPKISHIKHVYIKSSEN >RHN51190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14939185:14941555:-1 gene:gene35578 transcript:rna35578 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyadenylate binding protein MENLNYYTLNGKPIRIMFSHRDPLIRKTGFANLFIKNLETSIDNKALHETFSVFGNVLSCKVAMDSNGHSKGHGFVQFDNDQSAKNAIEKLDGMLMNDKKVYVGYFVRRQERSSPKFTNVYVKNLSESYTDEDLKQLFNPFGVITSAVIMKHENGNSKCFGFVNFQSSDSAATAVEKLNGSTTNDGKVLFVGRAQKKSEREAELKAFFEQEKLKRYEKFQGANLYLKNIDKSLNEEKLKEQFSEFGTITSCKVMSDARGRSKGVGFVAFTTPEEASKAINEMNGKMIGQKPLYVSVAQRKEDRKAQLQAYFSAIQVSGGNAGYHSSVQRLAPQQIYYEQGTFGLMAPQPNGYGFQPQFMSGVGTGFVTPNYLLPYHLQRQGHPGNRMGGRAAGNFQQVRQKKNQMRPHNPNQGLQNGVGMSVDPGNQMMDPSGSAATSTGNHHHGPLSNNSLASALASASQENQHRMLEEHLHPLVGRLAPTNQTAKVTRMLLEMDQSEVIHLIESPEELKMKVAEAMRFLREASQGPAVGDKIDS >RHN67444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27304817:27305998:1 gene:gene15633 transcript:rna15633 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHTPRQGLIKRIFERTRMEPNMEQKPPKMNVNIPELDMMNAFKKNSHMLVSPTSLASPTSLKSNPRKSSSGRWNCLCSPTTHAGSFRCRHHRSSGMIRGGSVGSNLAQLGNKAGAISDSLHAQ >RHN68242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33959670:33961819:1 gene:gene16567 transcript:rna16567 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQDVDGFHNQTTVKLEENSDEMQSSDSEELHHHPLGWIAKAVKCFKEKLNAKTIELEKQENMKQNTKPEIQQLRVSLCMWKDLTEKDEGFSKKINAFLNLTEKKELLQDLEELNEKLELEIQQLKVSLSLLKDFRDEEDEDLLKEVDALRMDLSDKEELLEDLNDLNLTLIIKERRSNDELLDARKELICVIKEMSTSDKHIGVKRMGEINIEPFLAAMKKRFNARTAKNRAAKLCSSWEESIKDPNWHPFKVVLVDSDAHVVINEEDEKLNELKESIGEAVYDAVVVALKEMNEYNPSGGYPISELWNYSEKRRATLQEGIQFLANNQSNKRKRGRTQKGRPDAERGLLKCDEGEKHDA >RHN60050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17741854:17748382:1 gene:gene22249 transcript:rna22249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligomeric Golgi complex subunit 7 protein MMVDLSPFSNENFDPKKWINSACQSRHPEESLDKHLVDLEMKLQMVSEEIAASLEEQSAAALLRVPRATRDVIRLRDDAVSLRSAVSAILQKLKKAEGSSSESISALAKVDVVKQRMEAAYETLQDAAGLTQLSSTVEDVFASGDLPRAAETLANMRHCLSAVGEVAEFANIRKQLQVLEDRLDTMVQPRLTDALSNRKVDAAQDLRGILIRIGRFKSLESQYSKVHLKPIKQLWEDFESRERANKSANEKNEMERTSSGGGFQSIPPALSFSNWLPSFYDELLLYLEQEWKWCMVAFPEDYKTLVPRLLSETMMAIGASFISHINLAIGDAVPETKALAKGLSDILSGDMQKGIKLQTKHLEALIDLHSITGTFARNIQHLFSGSDVQILMDVLKAVYLPYESFKQRYGQMERAILSSEIAGIDLRGAVIRGVGAQGVELSETVRRMEESIAQVIILLEASSERCISFTGGSEADELILALDDIMLKYISTLQETLKSLRTVCGVDYGGDGTGKKEAEKKDGSQNARRVDLISNEEEWSMVQGALQILTVADSLTSRSSVFEASLRATLARLSTTLSFSAFGSRLDENQTINGNDNAEPSLGGRAALDMATLRLVDVPEKARKLFSLLNQSKDPRFHALPLASQRVAAFADTVNELVYDVLISKVRQRLSDVSRLPIWSSVEEQGAFHLPTFSAYPQSYVTSVGEYLLTLPQQLEPLAEGISSSEANDEAQFFATEWMFKVAEGATALYIEQLRGIQYITDRGAQQLSVDIDYLSNVLSALSMPIPAVLATFHSCLSTSRDQLKDLLKTDSANQLDLPTANLVCKMRQLNLDS >RHN72919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11859197:11864064:1 gene:gene8685 transcript:rna8685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fatty acid hydroxylase MVAQNFVVDLDKPLVFQVGHLGEAYEEWVHQPIVSKEGPRFFQNEVLESLTLTVWWAIPVIWLPVVCWFVYNSIQMGLSCPHIALMVVLGIFVWTLLEYTLHRFLFHIKTKTYWGNTIHYLLHGCHHKHPMDGLRLVFPPTATAILLFPFWNAVKLFSTPSTTPALFGGGLLGYVIYDCTHYYLHHGQPKTEHPRNLKKYHLNHHFRIQDKGFGITSSLWDKVFGTLPSSKADAKSM >RHN67165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24518089:24519120:-1 gene:gene15316 transcript:rna15316 gene_biotype:protein_coding transcript_biotype:protein_coding MECAGLLSPGSEILTLLNDFQTQYSLKDVYVPGPLVQCFKNISCFLPGNVSPSIPARPGWSHDRHYRLQNHLTTHLPHIYLFASRLRSSCTAATRANVTGSSFTADVDGPKYLATLFSQPCAHNANEFANLTGPGASHVYAGNLKLWQKAAAQIDHLGCPVLLDVNEHEVVNNWASFLGFDESIEWFGTLNYMMSNYCQFWRASQPLSECSPNGSAAGVVVCRQLSGSLFNEPGWIAQSGTRNATTHRSDNQVGHYSMNQTPTLNFSASTTIEDIPESHLCAASTYNVNLLPANTDAAHYHHGRFWDIVPNTRGLIRAQIIPGALATITREFYCDSRIHPDHA >RHN47501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40184556:40185590:1 gene:gene42112 transcript:rna42112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MHAFILLQEEISPSPSKENIVDEIYHFMSLLLNLASTFLYMVATYIIVPMTGNYSLNLGAAASVCGVVVGSMAIAQVFASVYFSMWSNRSCLRLIIFSVIVHLIVNIKYAMAYHLNSVVVLLMGRLFCELQLA >RHN58716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4247318:4254772:-1 gene:gene20650 transcript:rna20650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAHLSSGASSIIYATQYRDSGSKAFPAGIVARKSKFEMRPLWDSGINHRISKHQLSTWTIAGVKKKESVNNIVTKGVGEKSMSTNAPQSKYDVFVSFRGKDIRDGFLGHLVKAFRQKKINVFVDNIIKRGDEIKHSLVEAIEGSLISLVIFSKNYSSSHWCLDELVKIIECKKDRGQIIIPVFYGVRSKIVLDELEKKDNFSKVEDWKLALKKSTDVAGIRLSEFRNDAELLEEITNVVLMRLKMLSKHPVNSKGLIGIDKSIAHLNSLLKKESQKVRVIGIWGMPGIGKTTIAEEIFNQNRSEYDGCCFLAKVSEKLKLHGIESLKETLFTKILAEDVKIDTPNRLSSDIERRIGRMKVLIILDDVKDEDQLEMLFETLDWFQSDSRIILTARDKQVLFDNEVDDDDRYEVGVLDSSDALALFNLNAFKQSHLETEFDEISKRVVNYAKGNPLVLKVLAHMLRGKNKEVWESQLDKLKRLPVKKVHDVVKLSYDDLDRLEKKYFLDIACFFNGLSLKVDYMKLLLKDCEGDNSVAVGIERLKDKALITISEDNVISMHDILQEMGREVVRQESSEYPNKRSRLWDHDEICDVLKNDKGTDAIRSICLNLSAIRKLKLSPDVFAKMTNLKFLDFYGGYNHDCLDLLPQGLQPFPTDLRYLHWVHYPLESLPKKFSAEKLVILDLSYSLVEKLWCGVQDLINLKEVTLSFSEDLKELPDFSKAINLKVLNIQRCYMLTSVHPSIFSLDKLENIVELDLSRCPINALPSSFGCQSKLETLVLRGTQIESIPSSIKDLTRLRKLDISDCSELLALPELPSSLETLLVDCVSLKSVFFPSTVAEQLKENKKRIEFWNCFKLDERSLINIGLNLQINLMEFAYQHLSTLEHDKVESYVDYKDILDSYQAVYVYPGSSVPEWLEYKTTKNDMIVDLSPPHLSPLLGFVFCFILAEDSKYCDIMEFNISTFDGEGDGEKDGVDIYMYRTCCYTELDHVCMIYDQPCSHYLTSIAKSQTQVKIKVTARTIGNKFRERTEVKLKGFGISPISHTIYDNFVEQMELFDRINKWKRTVLLSIILCISLLQLKMKTKMKMKKLI >RHN65593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3528822:3529901:1 gene:gene13447 transcript:rna13447 gene_biotype:protein_coding transcript_biotype:protein_coding MILFILQLLFSLIRLLFSLIRLLFSLIQIYHPVSSNPASPCTTTSAPLNFLFFIIFILLALSDRTFAASALFLNFLLFTIFSLLTLSNRTFAIRVPILNFLSFVIFSILTLLFRQFINVSNYVFFLCFPSNLLRAFIHSLQLASLGFLTDFRLRLQLFWSFLVTRLWFNRPFGSICNLVAIIFP >RHN64513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59678120:59681315:1 gene:gene27335 transcript:rna27335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP diphosphatase MEQQRLEKTAQQHDQETEEIQHGPLPVEQLQASGIAALDIKKLKDAGICTVESVAYTPRKDLLQIKGISDAKVDKIIEAAGKLVPMGFTSASELHAQRESIIQITTGSRELDKILEGGIETGSITELYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKAMYIDAEGTFRPQRLLQIADRFGLNGPDVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIIDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVLTNQVVSQVDGSAMFAGPQIKPIGGNIMAHATTTRLALRKGRGEERICKVISSPCLAEAEARFQILGEGVSDVKD >RHN65042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63813234:63817572:1 gene:gene27935 transcript:rna27935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ceramidase MVETMSSFWGPVTSTKECCEQNYAHSTYIAELYNTISNIPAIVLALIGLINALRQRFEKRFSVLHLSNMALAIGSMLYHATLQRVQQQGDETPMVWEVLLYMYILYSPDWHYRSTMPIFLFLYGAGFAVAHSAFRFELGFKVHYVILCLLCTPRMYKYYIHTQDVLAKRLAKLFLGTLILGSLFGFCDRVFCKEISRSPINPQGHALWHVFMGFNSYFANTFLMFCRAQQRGWSPKVVYLMGVLPYVKIEKPKSQ >RHN50928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11929500:11934941:1 gene:gene35283 transcript:rna35283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative voltage-dependent anion channel MENHITLEITEQASPEIPSLIKYISTNELDGFDAFESQFPSPTSKETEEASPTSQQDEPLVINHQRKPSISISMPLCYEETQLQPQNNNNNDKKVFFSGETVIIKDDFPSGIAMSEPPRQSKFKSQPMPKGVPYQDGSQTQKANHNHNQPGIKMFRDKTFDSFKTWSGGLERQLSILRGKEPIGNTQDGNNTPRSFDRPLPVDRYFDALEGPELETLKSSEEIMLPQDKQWPFLLRFPVSSFGICLGVSSQAILWKTLATSPSTEFLHISPKINLILWYISTILIATVFAVYILKLLLYFEAVRREYYHPIRVNFFFAPWIALLFLALGVPPSVTKNLHQSLWYILMVPIFFLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGASMGLVEGPIFFFAVGLAHYIVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWAKMQGSFDYGSRIAYFIALFLYFSLAVRINFFRGFKFSLAWWAYTFPMTGAAIATIRYSNQVPNIVTKSLCVALALISTFTVMALLVSTILHAFVFRDLFPNDIAIAISDRKRKTHKKWLGFRYGSQDSKEIENYLKFVNTDDICLEDSTTQPSSSGTDQNSPN >RHN45101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11819404:11821272:1 gene:gene39306 transcript:rna39306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRGESMGKIVKFVYFMIIFISPFVVANHATDCSQNFLLVVVLLILIVQGICAHILNNLGVSSMIIMNIPKPGVIVRVDGGVWAPGVYDSDERKGKRNDQTIHQSEVSLFERILMLTLTLQRGAMQYLIELQQVHHHIVDGFSETMRQLSFIAFLQA >RHN74621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35237644:35238078:-1 gene:gene10722 transcript:rna10722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MTRTILHMKNCIRLVGFSATFPYYVDVARFLTVDVNNGIFTFDDSYRHIQIEMNRVCMEKVMSIAGKNPVILNESQFISKLADQLNAEIVLGTVQNVKEARLWIRHTYTYVCMLTNPSSYGLAADVIAKDIENNIDDLVNISLL >RHN68095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32807133:32812008:-1 gene:gene16392 transcript:rna16392 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTESSSPPQEASLRNPFDPDINTPPRNRLHERINKRRSIEENQDRSLIHGFELRQHAERFLLSNDLSSLQEAIDEYSQTRNQSLFTIFKSFTLHYPNAFSFKLIKILKLQPNLQRRSQTVDLLKVEFKNPTSRMCSIILTALKNPLLYSLKVESEEILFRSLCEMIALFTERLYIFSLGGWEELLEYVCDCITGDEKLENQKGLMMLMEFPVNVFQDREFWLNQGNLYRVVLSISKYVSSMDQELKALAYDSSISLILLSNDFLKNEVSNSLLRNLLNIIDQHGEEEVLVNRINRLWELVKLDDGSIFMGKHGEVFWCMIRVAEVEDVSEELKIVSFNVIKDLYDGNVITNLSREELKRVLVVAINMLSCIVDDPLWYDVDHENRLTAGMADAYYRGVFLCNALCFDGDQGVFVPMAIETITMNYASNLDWKLRHAAIFTIGWIAEINFKGDMIQYFDQVASLVLKLLDDLNPRVLWATMQAINCLSEYKDQLMLAEYHLKFLAKLVPISISNSCTCLQLYAVTAIHSLVKQCGLDKISTFGEPIIESLLVLLKHEKQKIQVEAIDTLKSFAVLTPETFRQNYYETTVEALKVIVFDEHSLPGPLISAKCLECMVYLVRKVGPDNFKEQEADLALDQICRCPSVSIDKFIHKLVLMLLGSTQPHLDLAVDKFKDDNDKHLVETMIVQACNTFSYCAVRSSIKFSLHTGKVTAMFVRLLGCSSFQIRKASILGLPNLLLSLKVADKKIDAKRGLTFFVVQALLEALKKTSSSFVNDQLIKVIADGINDTARKIIKIEIEKAQEVVASEDRCESLPTEDTLQVVSLNSLAID >RHN53620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2900674:2901807:1 gene:gene28547 transcript:rna28547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling SET family MQISPFDGQEVDASSLGWAMSAVSSRAFKLHGNKQSNGVNFDIPMMLPLIDMCNNSFNPNARIVQEQESSTKMWVKVVAEKAIKEDDPLLLWYGCLSNDLFLLDYG >RHN73075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13217262:13220071:-1 gene:gene8853 transcript:rna8853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MTHDHEVLVTAYESLYKYVTCKNKFRTQLNTQALLHFLCVKAFFPSMITSNSTVMASLLLLFALFTVTLRLTSAQIGVCYGMEGTNLPSQREAIDLCKSNNIKRMRLYDPNPDALEALRNSGIELMLGVPNSDLQNIANNKDIANQWVQKNVLNFYPSVKIKYIAVGNEVNPVGGSSQFAKFVLPAIQNIYQAIRAKNFQDQIKVVVSESGWPSDGGFAATYDNARVYLDNLIRHVNGGTPMRSGPIETYIFGLFDENKKNPELEKHFGVFNPNNKQKKYPFGF >RHN71948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3858048:3859151:1 gene:gene7609 transcript:rna7609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MDSTSSMRYQEEQKSSLTSLPSPKTQSNGHNHSHNQIPSPRPISLPSPKTQTQSNGHNHNHNHNQIPSPRPITRSEPGNPYPTTFVQADTTSFKQVVQMLTGSSETAKQASTSTKANHNHNIPPKKQQGFKLYERRNSFHKNLNINPLLPPIFSNSTFSPRNKQEILSPSILDFPSLVLSPVTPLIPDPFNRSGSSSSSSAARNGSSLDSLAEDKAIREKGFFLHPSPRAASTSRDSEPRLLPLFPTSSPRASGPSSSSKYSAS >RHN75575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43449541:43451075:1 gene:gene11804 transcript:rna11804 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTVSARTNGNTNYQSEESGWTSYFEDFSKDIEPSYCSSSLGGSSLVSDAASCAAWKFSHKNHVRNSPNLSKKLSLKKSRTKQISEDDPLEDTASSPVNSPKVSDMNPTTMISRKMDDQLDGSRDRGFKSEHYQKLETNDCDMNFNGKNIECADLKKRGLCLVPMSMLVNYYG >RHN51893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27907476:27908557:-1 gene:gene36454 transcript:rna36454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKEILKFFYTMILFVSLFIVVINVGGKCISHMHCIYLSCGFPQLTYCLQNQCYCLNI >RHN73739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19502139:19502969:1 gene:gene9599 transcript:rna9599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MGRETPRKRDGRSGDSHSHSTPEVIDLETFRFSGKRPISSVINLSDEEDEDVKIISFIPKTIPSTKRKRNNIEKGESSNSNNTPFVCEICTDTKTMKDAFYISGCSHVYCSDCVAMYIGSKLEENIVKIQCPFPGCKGSLEADFCRSILPAKVFERWGKALCEALFDVSNKFYCPFPDCSALLIDDGTEAVRNSECPNCNRMFCAQCKVPWHEGFKCNKVEKLNADETKKEDVMLMRLAKKKKWMRCPKCRIYVARSMGCDHMVCRLHFYIISLSL >RHN38963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3115961:3116368:1 gene:gene44943 transcript:rna44943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MATFHTLSILYVAIICFSMLVKSEISSPISPSSNESLSPYQKYLTTCIEKLTQPCDDEIFYTVYIGNQTVSYECCSQLVNDMGQRCHDEITRFIVESPKFKANKISILQRSKKVWNECSNAFDVDSPLGSIVPDI >RHN40265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14691455:14693373:-1 gene:gene46390 transcript:rna46390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MGMAAENQFHVLAVDDSIIDRMLIERLLKTSSFNVTTVDSASKALKFLGLVEDEMRTYDTHVASEINQDVDVNLIITDYCMPGMTGYDLLRKIKESKSFKDIPVVIMSSENVPSRINRCLEEGAEEFFLKPVQLSDVSKLKPHLLKSKVKEENEQPIKRKGMEETQSPDKTRPKVSI >RHN76457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50434208:50441926:1 gene:gene12784 transcript:rna12784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MSKSNQVNKSNDGGPIPNFSIGLTQLEQEQASDKGKKKGKKMEKRVNKSNDGPIPNFSVGLTQLEQEQASDEDKGKKKGKKMEKKMEKRVKKSKELEPLPSFSIGLTQMEEEGRNEEAKSSDVEDEENAKQRLRHKMSIPKVYDLMNSVNGKQRKDEIINVLNESGFGGMVHIWNWSRVHTFFVDWIVKNFDKENMWITLSKTEVLPLKEEDVHRVYELPMVGKQINLDLCSVEAIKRLRIELGLNGNYSASVRVTDLERILKTQENPKAWVKGAICFIIHKILCPTNSSFVSLQYAHILEDPAGVSSYNWCSHVLEYMKEGLQTPEVANPLADFHFLMINYLEKMGKRSPFLTGKYKRPSLRDWDVKAANQDLQKVHDLMGLEHGLTAGVTRLYNTNEGPHVMCFDADTCPLSKAKMHLDHCRSCIRIYTSAAETLERRIAEGNVGTSVENDAVNGKDPMEVEPEREKGQDQNESFIPQTSTRGGERLNNDKDVADEIDAKTLDESVRKACNIDHSFTQETILKYPEFFDDGGEASNAKESVKPQFEKSNVREVEKPEKFQEFFDGGEASNAGESIKTECEASNVKQANLMSEENPKEHKPLEPNKHCVIEAIPLRSVFPDAIIDLDNVQTVQTKKRKKHDMLYSGGTYPERRRAVKKSKYLASPYDEAIYESNASKMQKDISTFAWSISHDEEELLYCSDNKAHAFSLQRRDLWSLQKDEWVSCFVINAWVDCLNWSQPNAKMTRLVTPFLNYVDLQRPDENHCKRFIERLRNFKYMDWKAIDPTCLEYIMTPALIGDPGFHYACFVVNLKSQKFQFLNSLKGETLNMKNGEATVYKKMFDVWLKEVEAFVIELYRKRKITMPFQFSTFKWETPKMPIQCDKDSCGVFCMKFLAEWDGGNTEMESFKDWSKMRKLGKNGRVAKTMDLRIEICSTILSDSSNSKRTYVEKAATSYYKELLQKLTPD >RHN38541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36301:42928:1 gene:gene44493 transcript:rna44493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MEASSSSSSAIAQQTWELENNIIPMETPSDDSIFHYDEAGQAEFQRDKPWANDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQGKTDADSIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPADDPISEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAENQLAHSRFGPLVAPTPRKKEEESPLAKITRDSAKITVEQVHGLMSQVIKDILFNSVHQANKSRTETSDPEPMIES >RHN64240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57551273:57554717:1 gene:gene27033 transcript:rna27033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MEESTSDNNDRKKIRNICILAHVDHGKTTLADQLIAAASGGMVHPKVAGKVRFMDYLDEEQRRAITMKSSSISLHYNHHTVNLIDSPGHIDFCGEVSTAARLSDGALLLVDAVEGVHIQTHAVLRQCWTEMLEPCLVLNKMDRLITELNLTPLEAYTRLLRIVHEVNGIWSAYNSEKYLSDVDALLAGGTAAGGEVMEDYDDVEDKFQPQKGNVVFACALDGWGFGIHEFAEIYASKLGGSASVGALLRALWGPWYYNPKTKMIVGKKGISGSKARPMFVQFVLEPLWQVYQGALGGGKGMVEKVIKSFNLQIQARELQNKDSKVVLQAVMSRWLPLSDAILSMVLKCLPDPVEGQKSRISRLIPERKVGSENGVDRRVVEESELVRKSVVECDCRDEAPCVAFVAKMFALPVKMLPPLQPGEGSFGEEGEGEFDECFLAFARIFSGVLSVGQRVFVISALYDPLKGESMQKHIQEAELKSMYLMMGQGLKVVKSAKAGDVVAIRGLGQYILKSATLSSTRNCWPFSSMAFQVAPILRVAIEPSDPADMGALLKGLRLLNRADPFVEVTVSARGEHVLAAAGEVHLERCIKDLKDRFAKVSLEVSPPLVSYKETIEGEVSNMLQNLKVLSKNLDYVEKTTPNGRCVVRVQVMKLLPSLTKVLDESANLLGDIIGIKSEHTVKSMEMQRTNILEKENPAEVIKKRIMDAIESDILCRIENDEDHAEKCRLKWLKLLRRIWALGPSYIGPNVLFTPDIKAESTDSSVLIRGSSQLSEKLGFVADSGNSNSVSEASSNESQVLYMDAERLESNVITGFQLATSAGPLCDEPMWGLAFVIEARISPSTGHHDESETHQQSDQYGIFAGQVIATVKDACREAVLKNKPRLVEAMYFCELNTTTEYLGPMYGVLSRRRARILKEEMQEGSPLFTVHAYVPVSESFGFTDELRSRTSGAASALLALSHWEALHEDPFFVPKTEEEIEEFGDGSSVLPNTARKLIDAVRRRKGLPVEEKVVQHGTKQRTLARKV >RHN44332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3600184:3600976:-1 gene:gene38437 transcript:rna38437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 13S-lipoxygenase MDGKTLVPLIMIYAGYHELISHWLRTHCVVEPFVIATNRQLSTMHPIYKLLHPHLRYTLQINALGREILISSYGVIESTFFTKKYSMELSSVAYDKLWQFDLQGLPNDLLHRGMAVEDPSAQHGLKLAIEVYLPNILLV >RHN80969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42327266:42329080:1 gene:gene4956 transcript:rna4956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isopenicillin-N epimerase MDNHDDPRNGTVQPDSKKPKLTHPISSHELLHEFSHHQTAVARINNGSFGSCPRSILTAQTTWQLRFLQQPDDFFFNTLRNGILDSRKIIKNLINADDVEEISLIDNATTAAAIVLQQIGHHFSSGKFCRNDTVIIFHCAYQAVKKSIEAYVIPVGGSVIEVELPFPVNSNEEIIAEFKKGIERGKINGGRVRLAIIDHITSMPSVVIPVRELIRVCRENEVDQVFVDGAHALGSMEVDVKEIGADFYVSNLYKWFFSPPSVAFMYCNKNKKLNDVHHPVVAHEYGNGLPAESAWVGMRDYSPQLVVPSIMEFVNRFEGGIEGIMKRNHNMVVKMGVMLKEAWGTNLGSPPEMCASMIMIGLPSKIRVMSDDDALRLRFYLRVYHAIEVPVYYQALGNGERDARDKDGFITGYVRISHQVYNIVDDYNRLKTAIIQLLQDGKICSELPKE >RHN48460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47646194:47646685:-1 gene:gene43179 transcript:rna43179 gene_biotype:protein_coding transcript_biotype:protein_coding MKYDFHPRGQPLIFGSLTRLLLELFSCLKFHASFWYPFWMMG >RHN64322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58140873:58144301:1 gene:gene27126 transcript:rna27126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 60S ribosomal protein L14 MPFKRFVEIGRVALINYGPEYGRIVVIVDVIDQTRALVDAPDMERSPINFKRLSLTDIKIDIKRVPKKKDLIKAMEAADVKNKWEKSSWGRKLIVKKRRAALNDFDRFKIMLAKIKRAGVVRQELAKLRKTAA >RHN63189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49221315:49222061:-1 gene:gene25865 transcript:rna25865 gene_biotype:protein_coding transcript_biotype:protein_coding MITKNQNYLQWTHLHHSFDSQSSSSRNRWNYDSLKNFRQISPPRSESHQTGLFDAVLCYCCFSCWSISSSIMSAFKCLTTFVATSLAFACFSAAALVARRREYLYLGGLLSSGLSILMWLHFASSVFGGSTALFKFELYFGLLVFVGYVVVDTQEIIERSQNAPILH >RHN76406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49994098:50001483:-1 gene:gene12727 transcript:rna12727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MNGGGRQGLRSGGGATGVHHQRQYSENFLDGATTGNRWLQSAGLQHLQSSAANPLQDYNLYGGGAQGGRMYRNVQRSFNGGGSDYYMEPSSPPDAYRASTLKMNGDDSAGDFSPGLLDLHSFDTELLPEIPVSKAYESNSLLYRGRSFDDTEPYMMSKQTAKARAPDNALKTLPADKDKSSSVAKIKVVVRKRPLNKKELAKNEEDIIDTHSNSLTVHETKLKVDLTQYLEKHEFVFDAVLNEEVTNDEVYRETVEPIVPIIFERTKATCFAYGQTGSGKTFTMKPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLYDLLNERKKLCMREDGKQQVCIVGLQEYQVADVENIKDLIERGSATRSTGTTGANEESSRSHAILQLAIKRSVDGNASKPPRLVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNSKKDVLSSNFNLKESITVPLSSVTAPVYEDHTADAWHDENEGDDFSPPEEYYEQVKPPLKKNVRMESYAMTDDKSKKPSGLVKWKDLPKAEPKPANSEDDLSALLQEEEDLVNAHRTQVEETMNIVREEMNLLVEADQPGNQLDDYVTRLNTILSQKAAGIMQLQNRLAHFQKRLKEHNVLVSSSGY >RHN39409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6882033:6883037:1 gene:gene45430 transcript:rna45430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gag-polypeptide of LTR copia-type MEEGNAHKLPVDPFEHTLDNETKIFRTYMQNCYEDQCRRYGPEKTYNEDTKKDDNNHFGNLTTVDQGVPTIDPLSPYYVHPSDGPTSVSITPVLTGSNYHSWARSMRRALGGKMKYDFVDGSIPVPTDLDPLFRAWSRCNMLVHSWIMNSVTESIGQSIVFIENAVDVWNDLK >RHN44430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4537788:4538854:-1 gene:gene38549 transcript:rna38549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanin 5-O-glucoside 6'''-O-malonyltransferase MNLEVGTIGSNKPNYFYPLLGHLTWPNDSHKPIIKFFKRNTLSLTIAESDSDFNYLSGKNPSEATQIHDLLPNLNISHDQAYVLALQVTVFPNYGFSIGITSHHAVLDGKTSTSFIKSWAYLCRNIGKEISESESSICLPHEFCPFYDRKVIKDPNELEEKYLSDWLKQGGTNNKSLMVWDLQVPEDSFRGLFQLSRSDIKKLKEFVVLKQEGTRNENKSLHLSTFVLSIGM >RHN54548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10392629:10396825:1 gene:gene29612 transcript:rna29612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, herpesvirus UL92 MTFSDDEDENLAQFLESEVLSEVSDKEEVNVEEPKAKRKRTDEVDSSKQSTIQCSGSSSKPKNYGANNAMVPRRIETGFFSKVPPELFHHILKFLSSEDLISCSLVCSFLSCAASDEALWRRLYCMRWGLLPPTRKIRDCPWKKLYIQRDENDMVELVTSCQNEFKEYYIQMQAAKRSQAPHPSQLKDDSIILDKTLADQVSSWKSSRGLSDTVVNDHACSGKTCSYYQIGDVFICEKTGQVHVCDETCKEVVMDPEDELLVCTISGHCFDRLLSPSEMEPDSQQGVAADEEEPFMGSGRFARAYLLGYNCADEKELEDTLRYC >RHN64307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58053578:58056329:1 gene:gene27111 transcript:rna27111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MVHFPSFVNGLARTMSIKKEKNFRKDDARKAVEELAKEARKNELLLSSSGVVKANKDNSFVSVFTHRGQKGVNQDRLIVWEEFGCQQDMMFCGVFDGHGPWGHFVAKRVRKLIPAILLCNWQKNLAAASIDLDLKMEGDKNIHGLDLWKQSYIKTFAAVDQDLKLHTGIDSFQSGTTALTIIKQGENLIIANVGDSRLVLATTSEDGTLFPLQLTTDFKPNLPNEAERIKESKGRVFCMKDEPGVYRVWMPNGKTPGLAISRAFGDYCMKDYGLISVPDVTHRKLTTGDQFIILATDGVWDVVSNEEAVKIVCAAAHKEKAGERLVKYAIREWKRKRSGIAMDDMSAICLFFHQLPHTKAFD >RHN60059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17883610:17886054:-1 gene:gene22258 transcript:rna22258 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKAQSSSNGSRVHKNGEEGPTWIIIAAGALLSTLSIRLGYKLKQAIDSKPPPPKHENLKGNGKSSNIRKPSPSDCFNGYSQMQDNSNNNNHAFFTCNSGTGGSMELKRAQSEQMLSEFNGALPLVTVPPAEFSKENGVVWACSPDRLELPSKPFHLSNCSDSPCVSESGSDIFSKREVIQKLRQQLKRRDDMILEMQDQMAELQNSLNAQLGLSSHLQLQLEAANRDLFDSEREIQRLRKAIADHCVGYVPHDKSSTGTAWSVDTRNGNPNGHLDGDNNLDTHEKTKDEEERIEMLKRQVGELKEAIEGKEYLLQSYKEQKSELSLKVKELQQRLDSQLPNIL >RHN77749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9170275:9172258:-1 gene:gene1236 transcript:rna1236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MAGAHTTLIMLLILLNLQLINSSSYHDEWTELSCGPNEPIIRFPFQLVKESSQDQCVYPEFCLYCTKNKRTMIVLSSNSGPIKFFVTQIMYEYVKIYISDPDNCFPKMFLDRKLNNSSFLPYYRFPEESESTVAFFNCSSVKKRHLRNQYQINKDSQDMITCPIYASYSDESVVKLDLASCTKMIEVSATIDANHLSTNSFSLSWHKTNCDVCEAKGMKCRWNTNSSKRDIECFNRKRIRKTIYIPNSLIFASTGSIILGLVIIAITKIYLHFRGKKEDQVRIDKFLDDFRAQKPARFSYADIKTITGGFKEKLGEGAHGTVFKGKLSSDILVAVKILNNTQGEGKEFITEVEIMGKIHHINVVRLLGFCAELGNPSCSSAQISLGIAKGIEYLHEGCSHPILHFDINPHNVLLDETFTPKISDFGLAKLCSKNISVVSMTAARGTLGYMAPEVLSRNFGNVSLKSDIYSYGMLLLEMVGGRKNVDSSSAETFHSLYREWIYNLLEGDIYMNIEDEGDAKFAKKLAIVGLWCIQWQPMNRPSIKTVIQMLETEDDSQLTFPPNPFHSTNSITTSEGSLARRPFQFQMEVIQE >RHN63788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54028024:54029140:1 gene:gene26534 transcript:rna26534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetraspanin/Peripherin MFRFRISNTVVGALNILSLLLGLAAIASSAYIHIKGGSDCQKVLQYPLLIGGVFVVIVSGLGIAGSLFGINTALYGYLLVTFLLVLGLAFFTVFALFVTNRGVGKQISGKGYGEYRVADFSHWLQRYVVNEENWDEFKSCLKDAHVCQNLAVNGGRNNDSLIFKHLSTTQAGCCKPPVYCGFTMKNATFWEVPKSGLAANNSDCATWNNRQEKLCYDCNACKGGVLANIRNQWRHLTVFNGFVLVLVTAIYAMGCYAIRNNRLDSRSNLP >RHN62521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44047210:44049000:1 gene:gene25109 transcript:rna25109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MDTMGKLFFLTATLTIVLFNSTTAATSPIQHFLNCLPHSLVSEVTYTPNNASFSTILNMKIQNKRFKTATTPKPLAIITAKDDSHIQETIKCAKSNNIQIRIRSGGHDYEGFSYVSDVPFIILDMFHLNSVDINLQESTAWVESGATLGKIYYNIANKSNKLAFPSGVCFTLGAGGHFSGGGYGNLMRKFGLSVDNIIDAKMVDVKGNILDRKSMGEDLFWAIRGGGGASFGVILSWKLQLVPVTPQVIVFDVKRNVSEGATDIVYKWQLIAPKLHKDLFIRAQPNVVQIGQEGKKVVQISFIGQFLGKIERLLTLMNKEFPELGLNKSDCFSMPWINSTLFWYGEPIGTPLEVLLDEPKDPQPLYQKNKSDYVKKPIPREALESIWKLMIEGENFLMQWNPYGGRMEEILPSETPFSHRAGNLFLIQYLNIWSNESSEVSERHVNFSRSFFEFMTPYVSTSPREAFLNYRDADIGANHPSNVTRFDIAKTYGSKFFKGNFERLVSVKTKVDPQNFFRYEQSIPTRSL >RHN38804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2157526:2168113:1 gene:gene44772 transcript:rna44772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MALENFYSILFGETKLRNFSWTTWQPLVSPCLLEHVTLPLEFGFLVILLVQFIRNCMNNITKQNKVSEVHPNCIKFGFPYKISIACTTSLLAIHALMLILMLNHEPQCTSKLQTYTSEIIQVLSSATILIAICKMSKTNANFHWIVRLWWFFSFLLSIISTALHVHFSIKNKGVIGIKEYADFLGLVVSTCLLVVSTRGKTGIVIIATNGSISEPLLEEKNEKHSSECLKESPYGKATIFQLINFSWLNPLFAVGYKKPLQLDDIPNLDIKDSAEYLNCAFDESLRKVKEKDGTTNPSIYKAIYLFARKKAAINALFAIISASASYVGPYLITDFVNFLTEKDSRGLKSGYLLSLGFLCAKMVEVIAQRQWIFGARQLGLRLRAALISHVYKKGLHLSSRSRQSHSGGEIMNYMSVDVQRITDFVWYVNVIWMLPIQISLAVIILQTNLGLGSLAALAATLAVMTLNIPLTKIQKRYQTKIMDAKDNRMKTTSEILKNMRTLKLQAWDGEFFQRIESLRSVEYGWLSKSLRQQAFSAFIFWGSPTFISVITFWTCMFLGIELTAGRVLSAFATFRMLQDPIFSLPDLLNVIAQGKVSVDRIASFLKKEEIQHDVIEYVSKDKTEFDVVIEKGRFSWDPETAIPTLDEIELRVKRGMKVAICGSVGSGKSSMLSGVLGEIFKQSGNVKISGTKAYVPQSAWILTGNIRDNITFGKEFDEDKYEKTVEACALKKDFELFSCGDLTEIGERGINMSGGQKQRIQIARAVYQDADIYLFDDPFSAVDAHTGTHLFKECLLGILKEKTILFVTHQVEFLPAADLILVMQNGRIAQAGTFEELLKQNIGFELLVGAHSKALESVLTVGNSSQANLNPIPEGECITYSNSSSELLHTQLDTVQDNPPTESKGNNDGKLVQDEERETGSISKEVYWSYLTTVKGGILIPIILLAQSSFQILQIASNYWMAWVCPTKSDAKPIFDMNFILLIYMVLSVAGSLCVLLRATLVLNVGLWTAQTFFTRMLHNVQRAPMSFFDSTPTGRILNRASTDQGVLDLEMANKIGWCAFSIIQILGTIAVMCQAAWQVFIIFIPVTAICIWYQRYYNPTARELARLAQIQITPILHHFSESLAGAASIRAFDQEGRFMSTNLVLLDGFSRPWFHNVSAMEWLSYRLNLLSNFVFAFSLVLLVSLPEGFINPSIAGLAVTYGINLNVLQASVIWNICNAENKMISVERILQYTNIASESPLVIEDSRPPRNWPETGTICFQNLQIRYAEHLPSVLKNITCTFPGRKKIGVVGRTGSGKSTLIQAIFRIVEPREGCIMIDNVDICEIGLHDLRSRLSIIPQDPALFEGTVRGNLDPLEQYSDSEVWEALDKCQLGHLVRAKEEKLDSPVVENGDNWSAGQRQLFCLGRALLKKSSILVLDEATASVDSATDGVIQDIICQEFNNRTVVTIAHRIHTVIDSDLVLVLSDGRIAEYDEPSKLLEREDSFFYKLIKEYSSRSHSFNNLATQHVQDRE >RHN45305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16004692:16005141:-1 gene:gene39568 transcript:rna39568 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSLSLSLSLSLSLSLSMFYPLHLDFQPPSFVFLTFTLYLYLWLIVRFFPSNTNKHKHNKKSAQSPSQTQTKNTGINRSTKTSSHELNKKGIILNCRDREEHERIRRGRENRKEKMKEIKKWRVFITLPNLVDEGACFSMDPRLPGL >RHN75148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39764092:39766573:-1 gene:gene11310 transcript:rna11310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSLPVLLPDLSVEIISWLPLKPVVRFKCVSKHYQSIISDPKFAKLHLQRSPKNPHTLLTLRDVDDDDEEIWVVAPYIVRHLIEHPSSVVEEDECRRFNDNNDYYTIGSINGLVCLISKKEKKTWKHKNLYSVLEPYFTFKIQRFTKFEYHAS >RHN41229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28441675:28445860:1 gene:gene47528 transcript:rna47528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MEINECQPIETDEPRDIYLGQVVNSKDEAYDLYQEHAFKTGFSVRKGKELYYDNEKKKTRLKDFYCSKQGFKNNEPDGEVAYKRADSRTNCLAMVRFNVTKEGVWKVTKLILDHNHEFVPLQQRYLLRSMRNMSNLKEDRIKSLVNDAIRVTNVGGYLGKEVGGVDKRGVMLKDMHNYVSTGKLKFIEAGDAQSLLNHLQSKQAQDSMFYYSVQLDHESRLTNVFWRDGKSVVDYNCYGDVVIFDTTYRSNKYNLICAPFVGVNHHWQNVMFGCALLFDESEVSFAWLFKAFLESMGSQQPKTIFTAHHPVMAKVIGEVMPNACHRLCLWHIAKNAPSHLGSLISDQKFQNLFSKCMEGCDSEEEFQRTWDEMMNVYTLQDHQWLISMYEIRHKWSTAYSKNVFSAGIKSCQRIESTNNVLDEIREKTTTLTQFLVAFEKMLKKWRRIEVEEEFKNRQSTPPLVINISETLRHASTVYTHKVFNIFLNEYLEGTGGSTSIEISQSNNLSNHEVMLNHKPNKKYAVTFDSSNMKINCSCRKFDSMGILCSHALRIYNIKGILRIPDQYFLKRWSKNARSVIYEHMPRGMGEDSTSNSVINDDDAGILYRNAIMTSFYYLVLESQDSKEAQNIMWKLLDIGVERVRKGVGKANLNSDVETMETNVNENEETCFRPMV >RHN73898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21355175:21355783:1 gene:gene9790 transcript:rna9790 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTLTTVQGIPGTSNPSQPQDMTANHTHVPPRRRSAPRSGPIPIPFIWATNHRAKIHNLNHLLQNKIFSITGDVQCKSCQTKFQMPFDLRTKFDVISQYLVTNMNTMHDRAPKILMNPRLPRCAHCNQENSVKPVIAEKKKNINWLFLLLGQMLGCCKLEQLKYFCKYNNHHRTGAKNRVLYLTYFELCQQLDPSGPFNVR >RHN51672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22284723:22292320:1 gene:gene36162 transcript:rna36162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamine synthetase MDLIELSKAVENVELVDTLDTDVSFVRILWVDNSGQHRCRAIPRKRFYDVVTKNGVGLAFVSMVMTSFLDGSPAGSGLGSVGEARLTPDLSTKRTIPWSKQDEIVLGDLNLQPGQAWEYCPREALRRVSKILKDEFDLAMNAGFENEFFLLKSAIREGKEEWIQFDSTPYCSSSAFDVASPILREVTSALHSMGIPVEQLHAEAGKGQFELVLGHMVCTKSADNLVYTRETVRAIARKHGLLATFVPKYTLDDLGSGCHVHLSLWQNGRNVFMASDESSKYGISTLGKEFMAGVLHHLPSILSFVAPLPISYDRLQPNTWSGAYMFWGNENREAPLRASTPPGTPNGFASNFEFKSFDGCANPYLGLSAIIAAGIDGLRRHLSLPEPVETDTNPDPEKLQRLPKSLSESLEALHKADFLEEFIGDKLLTAIKAIRKAEIDHYSKNKDAYKQLIHRY >RHN51705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23175601:23177912:1 gene:gene36206 transcript:rna36206 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAPGSSSNKQQQETPAYEIKGRTMSLEEWDLKIQTECPVDFVSLAAHNCDIGKFYDVRASIYDREAAKIEEDEKVLLNPGLKGKSREEMGLEPFLKTEIRSSIMGIPVNISEDVIAFVLRRPATGEYKVGITKVKNSPWNEVVNQTLYNKEAKGTYADMTSQTKLMLKIQNENLLPKGGGSDQPSLEHKILLHFFITGVYANVPRYIFRHMVQQLIESQMRNRCWVPYGRLLSEIFHQGGLLNLLKDVDFFTDEQLGTVTGKIINGETLKSMHLIKKEDYKQLSTDMSVSDSKSALMTDFPPICKQDPLDVQMHYIREHFERTKRSSSCAKSRKTKSKALSKEDYLVEEEAPRKPSKRSKTTNKDGVAAPKPKRTKTVKTESSTVSASEEVIQKKRNKEPEVQDAAREAALQAIRSRRAKEERSVQERIKEAAEQLRREEEKPSLKKANELAKRKHMAELYKKKRDEQLKAAGYNLDAEKAAVIASLAAELEEQTVKEGTALLKEALKAKNVSEAKPSEPASKATRSEAYPSGISSDPKAQFNVQTFNLPSSPSSSSSSTDSDEISLSQHIKQCLPNFKPSKTIPSDIPDYDQMQINFSQQRIKICEKLPADHFFQPPIIEPLNIQHPETNLAPQKASEVASEAATSEDPQQHQTSTLHNLEKHLGGEMPPIPTKASKTVPEKTVLENQQSNPQPETSTSQEQNVPKQTVPKQIASDLPQTNPEQQT >RHN49711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:514281:520413:1 gene:gene33918 transcript:rna33918 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTSFMDKQIMDLSHGGSSSTNNNNNNDFIDLIKNNREHHEEEEDEEDNDSLIHHKNGGGIKTDDIVPSYDFQPIRSLPDNSSSSFSRPWNSDSNSNSKNYGSLDSFEPAKVTVEKNQSAVDASILLEIDRTMKKHMDNLHHVLEGVSARLTQVETRTHHLESSMDDLKVSVGNNHGITDGKLRLLENILSEVQTGVHDIKDKQDIMQAQLQLAKLQVSKTTEQQSETQTRATSDAVQQTSSVSASAPLQTQHYLPSSYNLPQSIPVVSPPNAPPQQSLPPPAQLPNQYSQIPTPTPTVPQRDPYLPPPVQSQEIPNQQYQLPLTQQPHPQPGAPPHQQYQQTPHHQYSQPPPHLPPQQPPHSSGNPPQLQSSMGHHLEEPPYVPSQTYPPNLRQPPSQPPSGPPQPSQQFYGTPPQGYEPPSSRPGASYSSGYGTLSGPTEPYRYGGPPQYGSKQSQLPNASAASSGGNSYPQLPTARVLPQAIPTASAVSGGSGSPGAGNRVPVDDIVEKVATMGFPRDHVRATVRKLTENGQAVDLNTVLDKLMNEGGGGDMQQQRGWFGR >RHN41487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30417358:30424145:1 gene:gene47819 transcript:rna47819 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKVLSILFFVLLGFGICSAARTLLTFGVDHRIGAGYHGDIGVSGGGGHGGGGGGGSAGGVDGHGGGAGGGEGVGGGGGYGGDGGGGGGGGGTGGGGGGGGSEGGGYGAGAGKGGGEGYGGDVAHGGGYAGGGGGGTGGGGGGGGGSDGGGYGGGAGKGGGEGYGGGAAHGGGYAGGGGGGSGGGGGGGGGAGGAGGGYGGGEGGGAGGGYGRDHGGGYGGGGGSGGGGGGGAGGAHGGGYGGGEGAGGGYGGGAAGGGGAGGGSGGGGGGGGAHGGGYGGGAGGGEGGGHGGYYP >RHN52871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39772243:39776991:-1 gene:gene37602 transcript:rna37602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Sec7 domain, guanine nucleotide exchange factor MHAMDSIEDFTHEELEDDRNQKKKDTTKSKRRQLGLSCMLSTEVGAVLAVIRRYPEFTPVYNISSPEESYDSSIINSLRSLRSLIFNPQQEWRTIDPSIYLTPFLDVIQSDDVPASATGVALSSILKILKFQVFDDRTPGAKEAMESVVVGITSCRLEKTDPVSEDVVMMKILQVLAGIMHHKASVLLSDQAVCTLVNACFQVVQQSVGRGDLLQRSARYTMHELIQAVYARLPEIEGNDREGDSESDVEDVDDNAGLKSGYGVRAAIDIFHFLCSLLNVVSVVEADGSTTHTADEDVQIFALVLINSAIELSGDKIGNHPKLLRMVQDDLFHHLIYYGTWSSSFVLSMICSTVLNAYHFLRKFLRFQLEAFFGHVLIRVATLGSTMQLQEVAVEGIINFCRQPTFIAEVYANYDCNPLCRNIFEEVGRLLCKHSFALTGHLTSLHIQAFEGLLIMIHNIADNIDKIDNRTPLGPYTTQLIEYKPFWEEKEKDDDLEAWVEHVRITKVQKKKLLIAANHFNRDNKKGLEYLKHAKLISDPPDPKSYAYFFRFTPGLDKKALGEYLGDPDSFYLEVLREFTETFHFNGMVLDTGLRFFLESFWLPGESQKIERVLDAFSNRFYDQQSSDLFASKDTVLILCYSLIMLNTDHHNAQVKKKMTEEEFIKNNRTINSGQDLPREYLSELFQSITNNAIVMKQTELSLDMTQSKWTQLINQSKVMQSFVQCEFDRRMCRDMFACIAGPSVAALSSFFEHADEEELMHECIEGLFSVARIAQYGLEDTLDELITSFCKFTTLLNPYASTEETMFTFSHDMKPRLATVAVFTLANDFRDSIRGGWKNIVDCLLKLKRLRLLPQSTIDFDAPANAPTMPESSGVVSPTANHKSGTHHRFPSVMTRLSLLSQENSEDGLTLGSEFEQNLKMIKLCRIGSIFGSSSNIPKECLQNLGRSLIFAAAGKGQKFSTPVEEEETVGFCWDLITALSLANVHRFHIFWPNFHEYLLTVAQFPMFSPIPFAEKALLALLKVCLKLFSTPREDKLAEELIFKSITLMWKLDKEILDMCYEIIAQLMSKIVIQYPANLQTQVGWKSVLNLLSVAWRHPETYDLAIEALISLFSDATNVTRTNYAYLIDCAFGCFLAKNSPIEKKKKILDLLADSTNLLVQWQRTQYTDPGSNVSVVSNTSSSSAEDNFRGPSSANYNMNLFVKLGEIFRRTSLSRQEEIRNHAVYSLHKSFNLAEEMLYTSSNCISYFNLVIFAMVDELHEKMLEYSRRDNAEREMRSMEGTLKLAMELLSAMYLQSLRQITESPGFRAFWLGVLRRMDTCMKAELGHYGPSSLSEIIPDLLKKIITQMKDEGILEPKEDDDMWEITYIQIQWICPRLKDELFPL >RHN63962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55418585:55418809:1 gene:gene26727 transcript:rna26727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ClpP/crotonase-like domain-containing protein MNFRKSGKLIVAYVPAIGGKEYYIACACEEVYTSPEADPVSLFKGGLNSVIPEVVALGEYKRVVEVNKSKIIVK >RHN60876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31241488:31242909:-1 gene:gene23267 transcript:rna23267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA synthase MEFLSLLYGVLALYICFLIWKLLDQKRDQECYILDYQCYKPTQDRMLGTEFCGKLIRRTENLGLNEYKFLLKSIVSCGIGEQTYAPRNVIEGREASPTLNDGISEMEEFFDDSIAKLLARSATSPSEIDVLVVNISMFTSVPSLSSLIINRYKLRHDVKVYNITGMGCSASLISVDIVKNIFKSQRNKLALLVTSESLSPNWYPGSNRSMILANCLFRTGGCAILLTNKRSLKNKSILKLKCLVRTHHGARDDSYNCCLKKEDERGAVGFYLSKTLPLVATRAFVENLRVISPKILPIRELVRFLVVSHFKKLKIASFCSSKSSSGGGTKSTKSPLNFKTGVDHFCLHTGGKAVIDGVGKSLDLSEYDLEPARMTLHRFGNTSAGSLWYVLGYMEAKKRLKKGDRVFMISFGAGFKCNSCLWEVMKDVGDANVWEDCIDDYPPESLINPFMEKYGWLNEVEDETNFELPEFLK >RHN63488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51727942:51730429:1 gene:gene26190 transcript:rna26190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, CRAL/TRIO domain-containing protein MALFRVKPTTTLPRLSSTISLRTKFVVRSCNLQFQSQPNDSQKLVLEVKERLEKDHYSLPVGRNGRDDEDMIQWFLKDRKFDVEEAVSKLTKAIRWRQDFEVSELTEEAIKDISQTEKAYVHDFLDINNRPVLVVEASKHFPKEQDPADDQRLCVFLIEKALSKLPTGEEQILAIFDLRGFGPENADFKFLAFLFNVFYNYYPNRLSQVLFVDAPAVFKPFWRLMKKLLKSHASQLLVPIMASQRYLEGTNNS >RHN63143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48824960:48827114:-1 gene:gene25810 transcript:rna25810 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKIKEIIRDEESEKISKSKKRKCDVMSSDKLAEEPKKADYGFDYEIKGEYYVFKDKSNSIKCMTPDDFHYFYRKKTKEEQFEDSYDERDEEFENEEDFDDYDISYWKIKDEDQPKAIKTKFSDGDFILQAFEETMLKRRVPGGGKGKESEDISTLEELSSSDVQKWKSADANDDSVFGADYALV >RHN67930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31574695:31585670:1 gene:gene16189 transcript:rna16189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MMASFLTDLAKTYVEKLINGVIAESSYICCFTCIANDFEEERSRLEIESTTVKQRVHVATSRGEVIQANALFWEKEADELIQEDTKTKQKCLFGFCPHIIWRYKKGKELTNKKEQIKRLIENGKDLVIGLPAPLPDVERYSSRDYISFESRKSKYKELFDALKDDNSYITGLQGMGGTGKTTMAKEVGKELKQFKQFTYVIDTTVSLSPDIRKIQDDIAGPLGLKFDDCGESDRPKKLWSRLTNRGKIDQNEEKKILLILDDVWDVIDFDKIGIPDNHKDCRILVTTRNLYVCNRLGCNKTIQLEVLSDEEAWTMFQRHAGLKEMSPASLLDKGRKIANECKGLPVAIVVIASSLKGIQNPKVWDGALKSLQKPMHGVDEEVVKIYKCLHVSYDNMKNENAMRLFLLCSVFREDEKIYTKRLTRLGIGGGLFGDDFDSYDDARNQVVISTNKLLEFCLLLEAGRDQSILRMHDLVRDAAQWTSREFQRVKLYDKYQKARVEREMNIKYLLCEGKPKDVFSFKLDGSKLEILIVIMHKDEDCQNVKIEVPNSFFENITGLRVFHLIYDHYPNISLSLPHSVQSMKNIRSLLFERVNLGCSSLEELYFTGSFNDFCKEITFPKLRRFNIDEYSSSVDESSSKCVSVLFKDKFFLTERTLKYCMQEAEVLALRRIEGEWKNIIPEIVPMDQGMNDIVELRLGSISQLQCLIDTKHTESQVSKVFSKLVVLELWNQDNLEELFNGPLSFDSLKSLKELSISDCKHLKSLFKCNLNLFNLKSVLLKGCPMLISLLQLSTAVSLVLLETLEIIDCELLENIIIDERKGQESRGEIVDDNDNTSHGSMFQKLKVLSIKKCPRIELILPFHSPHDLPTLESITIKSCDKLQYIFGKDVKLGSLKKMMLDGIPNLIHIFPECNRTMASPIKKTSSKPEDQSKSIKCNMFSWTDIYCCGKKYGNTSTKIPLVSESKDQQQDNLMELSGNVDHFLSLERLIVKNNSKVESIICINEINEQQMNLALKDIDLDVLPAMTCLFVGPKNLFFLQNLTHLKIMRCEKLKIVFSTSIIRYLPQLLILRIEECKELKHIIEDDLENKKSSNFMSTTKTCFPKLKMVVVVKCNKLKYVFPISVCKELPELYYLIIREADELEEIFVSEGDDHKVEIPNLKVVIFENLPSLNHAQGIQFQDVKHRFIQNCQKLSLTSAITADFKKDLSGLYINIYGNIYASVDCRGANGGGDGDEKKKKKVVGYWRVLLHQKKNVAKTCSTNSPTKNEPPIQVSEISVEEGTTSANAKRTTASSQECGDCQIVIPSQDVDININDDQVSVNDDAVIKVKEQLSKDVEFKVPESIIPLPQEFQTPSMLSGGDPSQNVEDLSSSLFVKSELEQLVSKNHLDYENLSLLTGFLVKNPSVRLKDNSLRNRFKGCAYNLLAELLKFLETHSVLDVLGSCHSEFVDLLLDARNFGFDKDWLDSVERRAMFPDIQISQDAMQKLLDSKQQITKEVEVLHLKIEILSQHVEDLKHQLTSSKAVLKNIIQQEAVLSAPIGY >RHN42341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37488640:37490711:-1 gene:gene48772 transcript:rna48772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S17e MGRVRTKTVKKSSRQVIERNYPRMTLDFHTNKKILEEVALIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDVSAIRTDHIEVDKETLDMLAALGMSEIPGVVQVDPVPVQQIPFGRGAGAAGGAGRRF >RHN65490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2640962:2645010:-1 gene:gene13333 transcript:rna13333 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKGDIVWVREIQFPHNNNNYNWSPALVTSSNHLGISLSFFNNPNTTQRTFFLQSEVIPFDELPFSNDTFQCEAFRSALRLFGLRIVSSLRCRCITGHNQEERVLHGSGYQFDPVGVLAFVLDAAVLPWVEASCAVDAVKVVAQVHAFRHYSSIKQKKVYREAPKLGDNVKVHQCPSLSQKKITTDAIRRLKPTVPDLEGHSVQLFQNKILIIPDAAATSGKAELFMHSPALSPFHIWGKNLKLERKNHPRLKNILEHGMVDMCFENCSRMSEAHFSIASNFKTHFSSLPDIETTICLNRKRKRPDKHALCPQIGRAQENEGFAYISKNTRPRISNISTLEPEESIRKDILSCTHFHETSIIFTDEVHKVDMERFQNLMFQQSFTCESSPSLASGFHSDNLEANASATKVKSVLRSSSSVYQERLQRSCDGDISITPLKPKESARLKSFSGDRSPIIFSSKVREQSETHVPICSKFLNMKFPKNFNLPSKEHLIKKFSVFGTVDSSNTRVFCYTGSARVAFFKESDAVAAYQFAKRKVQFGEANIRFWLDPFEHKRRKLKNLYHVHPSAKLTGSPLKSCLKKSNSLKQENIKKRRVRFTIET >RHN61722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37817930:37820582:-1 gene:gene24212 transcript:rna24212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEINLGKLAFDIDFHPSDNLVATGLIDGDLHLYRYSSDNTNSDPVRLLEIHAHTESCRAARFINGGRALLTGSPDFSILATDVETGSTIARLDNAHE >RHN77297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5847488:5856581:-1 gene:gene739 transcript:rna739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative noranthrone synthase MVITDSDYEGAAIQSLGSLFNVTQVFLWDDGFQVQPSFEADQNNNHDSNPIPDWSQSEIIILPEDLELSKQMNELGLPLSFQAKNEKCGPVKGKKKGARSKQPRTCSNPVDETLKEVSAEEVISLAKFHDKTNSSVSCISMLGQSESSYCDGAAEVDMAQSVSGEGDNSTCSTGFTNGVSEEKINSINNAETNDAQNSDFDLKIAYASNTGISAGSHLTGAGVSFCGIELGEVDNECLELSPIVCKNTDCETICNDDGAATWQPPANESESLPMSLEGVACDRVDGSNDCGVFGDWMVLWDTFYGRRYFYNVKTDTSTWDPPPGMEHLAFGGCTELDDSETLKSSEECETQSSIKQPEETLVDENLSGNQHEEYSAEIGVAAGNLVSDIATNSEDQFLHHPSDENLERTSCNGGVSRCSVSNTLDHVVSSNNKCSQATSEVDHTPTEYMVIDTLELDSKSDPFMSKQEKKVKRKHRRKKLYYETEDLEFQKMPEAYSATIEKYWCQRYILFSRFDDGVKMDEEGWFSVTPEIIAHHQASRCAGGTLIDCFTGAGGNAIQFAQRCRHVVAIDIDPLKIDYARHNAAIYRVDDQIDFIAGDFFVLAPKLKADTVFLSPPWGGPDYSKVVTYDMKTMLRPHDGHTLFNVAKEIASRVAMFLPRNVNFNQLAELSLSASPPWSLEVEKVHLNGKLKAVTAYFSRT >RHN39376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6562043:6562479:1 gene:gene45394 transcript:rna45394 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDGSFTLHLALRRLLDRCPKLQSFPQIEALAQKAWLQSLIPLFTFVSCLI >RHN40795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21264280:21264702:1 gene:gene47006 transcript:rna47006 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMCYVTILLSLISSLCNASNDVMLQENQTMNTIPVSNITKVFIALPLNSESLHFDCEPEEVGSFDTQPGKTLEWHVSGNEIVSCSVYWGHFWSFFQANYDQSGPIKPDFWIIKSDGFFHTIDHIHWERRAIWREKPIT >RHN77451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6936914:6946028:-1 gene:gene911 transcript:rna911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GYF domain-containing protein MAAPQNSSSDSRPVHTASPLQISKDVQGSDNPIPLSPQWLLSKPGDSKSGTGPMENHGISNPSHGSRSETVKTPGDGEDAQKRKDVFRPSMFDSESGRRDRWRDEERDTERDTKSSVRKDRWRDGDKDLGDSRKGDRWGENPAPKNFGEARRVTSDGQRWNDSGNREANTDQRRESKWNTRWGPNDKEPEGLREKWSDSGKDGDLHLDKGLSHGKDEKEDHVRPWRPSYARGRVEPGHSQSTTPNKQASTFSYGRGRGENTPPPVFSPGHGRGGSSLNSTYTGTALDKVESGHEEPYPFRYNRTKLLDVYRVTNMSRHRKLDDFVQVPNLTQDEPLEPLALMTPSSEELSVLNGIDKGEIISSGAPQVTKEGRGSTDFAHTRRMKPGITPLQDRGEDGGSYKVADELTSNRDSSFEGNSSVHPGAARRANPAGDHTSSLLHNSRDVPSDVRPKKSDASSQQPKDPHSEWESKFGFVSDSREVGKWHTSEDPFVKRQLSGVLESELGTRRVPPTAPEDLSLLYKDPKGLIQGPFKGIDIIGWFEAGYFGIDLPVRLENSAVDSPWFSLGDVMPHLRAKARPPPGFSAPQPNELADLTGRQNPTTFGNTITSLNEVEMLKSDSRHRQGSDAGAENRYLESLMSGNRNSPTLNNLALSEGFQGFAGNTSSNLGPSGVDSGNNIYLLAKRMELERQMSLPNSYPYWPGQDVASLAPKSDVSDVSLHSKLLSSVSDNSRQPQSQNSELLSIIQGLSDRTSAGINNGTAGWPNNPLQGGLDLLQNKIDPLHDQNFPQMPFGIQQQRLTPQNQLSLSNLLAPAADNPSSSLTAEKLLSSGLSQDPQIMNLLQQQYLLQLHSQAAASAPQLPLLDKLLLMKQQQKQEEQQLLLRQQQQQLLSKMLQDQQSNQLFGNSSYGQLQSSLPMGNLRVDPSQLQPPQEIFPMSSQTPIPSVHNELSTDSMNLHLNVSQDSSYTVNSKASPMRLPHQLFGDTTPQNNWAPTTLAEQINGSHRKETQAPHVDSSVLHDLNRSKEEPHIVHDSVFDYTAKSLEHVLKHDGVAIPTISMPSVHLQCDAPAVDKSSAEYSEIELPPASHRGSDVKIRSDISHQEQLAGIDSSSAVHVETHEPKKATEKKSKKQKSNKQSSDQTKALPKNTTLQPSKQSEVEVPPNFNELGETNNNEPHETYLQKTRSKVSQIEENAVLETADHHDVSGQAAGVSRNITEKFLADDSKAIGSVSTHNVEVPAGRAWKPAPSVKAKSLLEIQQEEQRSAQTETLVSDVAASVNSVSLATPWAGVASYPDSVKVSTESTFNSQTSQNLKNKKSPLHDLLAEEVLKKSIETYVDLPDSMLPLHDIAVHSESIDDNNFIEAKETKRSRKKSAKSKGSGVKAPVPVASAEVPISSSPIEKGKSSRSAQQEKEVLPSIPAGPSLGDFVVWKGEREQPITSPSPAWSTDSGRVPKPASLRDILKEQGKKTSSAVPAIPVPTPQKSQPSQANWSSASSQSISASSPSKAASPLPINSHASKQSKYKGDDDLFWGPIEQSKQETKQSDFPQLASQGSWGSKNVPLKGNSLGLLTRQKSASGKPTERPISSPASSQSALKLKKDAMTKQSEATGFRDWCENECVRLIGTKDTSFLEFCLKQSRSEAEMLLIENLGSFDPNHQFIDKFLNYKDMLPSDVLDIAFQSRHGKKVNGLGAAVKASVNADIQDVDNTEGSSKASGGKKKGKKGKKVSPLVLGFNVVSNRIMMGEIQTVED >RHN64331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58228579:58233199:1 gene:gene27139 transcript:rna27139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-B-type family MMNLSNNGKGSISTVTSTATTMKSGEGSDQFPAGLRVLVVDDDPTCLMILEKMLRTCLYEVTKCNRAETALSLLRENKNGFDIVISDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKSVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKKKNEWKDTEQSGSADEGDRHPKASDDADYSSSANEGNWRNSRKRRDDEEEGDDRDDSSTLKKPRVVWSVELHQQFVAAVDQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQNNSFLSPQEASFGTISSMNGLDLQTLAAAGQLPAQSLATLQAAGLGRSTVKSGLPMPLMDQRNLFSFENPRLRFGEGQQQLLNGSNNKPTNLLHGVPTNMEPKQLANLHQSAAQSLGNMNMRVPASATQGNPLLMQMAQSQPRGPMLSENTGSHVPRLPNLLGQSTVSNGISDGLMGRNNMASSSRAPSFNPVPQSSSFLNFPMNQSTEMSVSNFPLGSTPGISSITTKGSFQAEVNSGIKGSAGFPSYDIFNELNHQKSRDWGMTNQGLMYDSSSHANPLHGNIDVSPSVLVHQGFSSAQQTGHSRDASLLGKSPFSLGEGLEQGNLQNGGGQHFNPLYVENLTRVKAERVPDASSHTDLFPDHFGQDDLMSALLKQEGIGQGENEFDFDGYSLDNIPV >RHN82208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51969152:51976572:-1 gene:gene6349 transcript:rna6349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative QWRF family protein MVAAISENPLTSSRSDINNNNNNNNNGVLPRRKGRQISSRYMSPSPSSSSSSSTTTTTTTTTSTTTTVSSSSSSSSSSRRFASPLLSRSTNSSSSTPVAVPKRSQSVDRRRPTRPTTPVPEATKLLVTSTRSLSVSFQGEAFSLPISKSKAKAVTPERRRVTPSVSGKGDQGENSRPSDQHRWPARSRQTNTNTNNHLSRSVDYGGVGVGEKKVVRALQQSMVIESGRRRDSFDGLGGLSLDLGKTTQLNEHSLNYDVNASDTDSVSSGSNSGGGHDSSLGALKVPRENRGIVVSSKFWQETNSRLRRLQDPGSPLSTSPASRISVPSKNSQLKRYNSDGPMMSPRTMASPIRGNARPASPSKLWASAASSPSRGFSSPSKVRSAVASTINSNSGSSPSILSFSADVRRGKIGEDRIFDAHTLRLLYNRYVQWRFVNARADAAFMVQKLNAETHLWNAWVTISELRHSVILKRIKLVLLRQKLKLTSILKGQISYLEEWALLDRDHSSSVLGATEALRASTLRLPLVEKATADVPNLKDALGSAVDVMQAMASSIYSLSSKVEETNCLVAEILKVTSKERFLLQQCKDFLSSLAAMQVKDCSLRTHMLQLSRAPAS >RHN51514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19137088:19139070:1 gene:gene35963 transcript:rna35963 gene_biotype:protein_coding transcript_biotype:protein_coding MILDNLISIVMTFILFDFIFNAVLPDFSQVYNFIGSVFDPEASEHLQKLKQMDRIDVETVLLLMRNLSINLTSPDFEDHGLNRPEGEVNVI >RHN71005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55777983:55779821:-1 gene:gene19645 transcript:rna19645 gene_biotype:protein_coding transcript_biotype:protein_coding MYISCEFRVTSRACLYPNFSGIWVKEFNRRRPLYIKCGMSSWNLNDKFLLRPHPLQVELRWTLKNGSPNPTIENIHIYMVKHVSSSMQLTKETKEYFLYMQILVNKTVILKVCLKIGLCFKVHNMIFRRYVTAVEI >RHN66324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10893423:10893653:-1 gene:gene14284 transcript:rna14284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative defensin, plant MRSLTSYIQFVDFMIWAEMQPTHVEEPEARTCDSQSHSFKGVCWIKHNCANVCKTEGFTGGHCHGFRRRCFCSKPC >RHN51443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17865484:17865711:-1 gene:gene35877 transcript:rna35877 gene_biotype:protein_coding transcript_biotype:protein_coding MANHWIPVNFIKMDLVGVFNLHLIYLVEFISAWEKIREKRDLQSSDWQRQKEGGKREGKGIVYGNCRPLDIFFGS >RHN51168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14723334:14723568:-1 gene:gene35554 transcript:rna35554 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRGFRYFCCCVGFNIDFWSWLCYWWLSFNGFGGSYLLGGVFTDVLMYAM >RHN74356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32154782:32160990:1 gene:gene10402 transcript:rna10402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isocitrate dehydrogenase (NADP(+)) MGFQKIRVDNPIVEMDGDEMTRVIWRMIKDKLIFPFLELDIKYFDLGLPHRDATNDRVTVESGEATLKYNVAIKCATITPDEGRVKEFNLKQMWKSPNGTIRNILNGTVFREPIICKNIPRLVSGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVFAPSGHEEPKELEVYNFTGAGGVGLAMYNTDESIRAFAEASMNIALEKKWPLYLSTKNTILKKYDGRFKDIFQEVYDSKWSHKFKAAGIWYEHRLIDDMVAYALKSDGGYVWACKNYDGDVQSDFIAQGFGSLGLMTSTLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWSQGLAHRAKLDGNARLLDFTEKLEAACIGTVELGKMTKDLALLIHGPKVSRSHYLNTEEFIDAVAEELRTRLTTQAKL >RHN40462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16511690:16514836:1 gene:gene46614 transcript:rna46614 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGVITDKWSMRVLWACAIGSAVSLYMVAVERQKQNRQRMLAEGLKGMDLEESNGENV >RHN59745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12739398:12739646:-1 gene:gene21855 transcript:rna21855 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L16 MSRNVRRGGKIWVRIFPDKPVTVRPTETRMGSGKGSPEYWVAVVKPGKILYEMGGVPENIARKAISIAASKMPIRTQFILSE >RHN40908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24181429:24188542:1 gene:gene47153 transcript:rna47153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSGSIEQPPPLPPPQSPSLSDHSFQNSNSRSVYSGSNSHRFMDPDVIEIPPPPPPSTHNSSNLLKQKQPIFPDVIDIDNDDDDSTDLVVVGEKVVNCNKGKTIDSVHNDYGDHQTMEDFDNIYYPPVIDKSGPSSWVESSNGYASASKNLISIDEQGSDHSYDDDDDDDDLSDLFMDDYMDVDNYTLLQEHFDNANIPPGIEAPVPWLKDYDLGSKKPESSLFHPSFHIPQSDSKNCQGSGLFQPTWSLEPIKPETQEPSAGSTSVQSKVESIDHPSKIQLPPPLFSQSVPSMKKFDAGQSRRRKLKLPVGVESSTSNLFSGPSGFKKPYVFGSSTNFSSLGNSEAMKLPHVGESPHWKLLEMAKKAGGTGSISSHQSNFIGPVNGSFPFSGTEFVNPWLNSSHFNPYPSYTANSGFFNPFVPLHVTPEQMFNNPWVHNPARDGNNGPTADSTVVAISDEARDEILKKFQNFKQFDTIDDTSDHYYLHKTSSTEQHSKNWVKRIQEEWKSLEKDLPDSIFVRVYESRIDLLRAVIIGAEGTPYHDGLFFFDFFFPSGFPNVPPLVYYHSGGLRLNPNLYNCGKVCLSLLNTWSGNKNEKWLPGVSTILQVLVSIQGLILNTMPYFNEPGYARLSGSAEGEMRSLRYNEDTFLLSLRTMVYLIRRPPKSFEDFVKGHFCSRGQDILVACKAYMDGAQVGCLVKGGVQDVDQGDKSCSKEFKQSLPAYVDMLVKEFTQVGARDCEKFLSSSTISNKPLE >RHN46309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30585699:30586733:-1 gene:gene40789 transcript:rna40789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MSLFPNSIFGRRRSEPKDHHQTWHHPSYQNHGYGISQTNTPHHITPPPFHNEPSPIINTQIEWKETHEAHIYKAHLPGLKRSDVRVEVDEDRVLCIICEKSVEKEEQRGGWHRVEVASGHFVQRLTLPENSKVDHVKAYMDNGVLTIHVPKHRVGNTRVRNVQISHV >RHN82726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55911082:55912430:1 gene:gene6908 transcript:rna6908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MDDTESTTFVLFDRIVSNFIRRSVEELLETHSQGDYPAEFDMVLDKQMLFKVEFSRGNVNFKWRYYTVKKATAEDDIIEQFIRKHNLKVSSESDVLGDINDLTDKVVENQSHFSGL >RHN43896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49306039:49311257:-1 gene:gene50531 transcript:rna50531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MGGGGTISEGIRRLFQRRSSTTSTSKQQQQQHQHSNDNNNNNNINIDLVVRDLRSQLAVIPTTNDLDHDHDHQQSLFNLNHIKVPTNTVFFPSSMDPNKKGPPETEFFTEYGEASQYQVQEIIGKGSYGVVCSAIDTHTGQKVAIKKINHVFEHVSDATRILREIKLLRLLRHPDIVEIRHIMLPPSRREFRDIYVVFELMESDLHQVIKANHDLTPQHHQFFLYQLLRGLKFIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSTIFWTDYVATRWYRAPELCGSFFSKYTPGIDIWSIGCIFAEMLTGRPLFPGKNVVHQLDIMTDLLGTPPPESIARIRNEKARRYLNSMRKKQPVPFSQKFPNIDPLALRILERLLAFDPKNRPSAEEALSDPYFHGLSNIDREPSTHPISKLEFEFERRKVTKDDVRELIYREILEYHPQMLEEYIRGGDQTSFMYPSGVDRFKRQFAHLEEHYGKKGERISPLQRQHASLPRERVHTSKNENNENNDIEMPTGSDLQSPPGSNVTDAENSGAQNGLAKPNYSPRSLLKSASISASKCIDVKGSKDPEEPVIEVNDDAADELTDNIAAMHA >RHN62131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40976192:40978291:1 gene:gene24666 transcript:rna24666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase C MKMEKVSTTLFINTLVFFCFSSLQFHCSLSVSDSSTSFPKEALPTRSGYLPVSHTSNSSIFYTFYEAQNSTSPLSQTPLLIWLQGGPGCSSMIANFYELGPYRVTKSLALEPNRGSWNRIFGLLFLDSPIGTGFSVAATPQEIPTDQNGVAKHLFAAITRFVQLDPVFKHRPIYITGESYAGKYVPAIGYYILEKNAELKDTKKVNLAGLAIGDGLTDPVTQVVTHAVNAYYVGLINERQKNELEKAQLEAVDLVHKGNWSEATDARNHALRLLRNMTGLATLYDYSRKVLYEDDLVEKFLNIAEVKKALGVNVNESFVYEVCSDIVGDVLHADVMKSVKFMVEKLLSESTRVLLYQAQRDLQDGVVQTDVWVKTMKWEGIVEFLNAEREIWKVNGELAGYVQKWKSLTNVVVLGGGHLLPTDQPLNSQAMIEDWVLEKGLFGSVSYPNESTNSLNDI >RHN48275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46238915:46242901:1 gene:gene42976 transcript:rna42976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MMCSFLILLFVDQIVKLYERCVIACANYPEYWIRYVLCMEASESMDLANNVLARASQVFVKRQPEIHLFCARFKEQAGDIVGARAAYQLVHTEISPGLLEAIIRHANMEHRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMLFAQYSRFVYLASGNSEKAREILVGGLENASLSKPLLEALLHFEAIQPQPKRVDIDFLESLVVKFITPNPENPGVASATEREELSNIFLEFLNLFGDVQSIKRAEDRHAKLFLPNRGLSELKKRHAEDFLASDKTKVSRAYSAQSPAQSVAGAYPNGPNQWPNYGVQPQTWPATTQAQGQQWPAGYTQQQAAASYGAYAGYGGNYANPQLPASVPQSTAYGAYPPAYPAQPALPQQNYAQPVAAPTQQPAAVPQAYYGNYY >RHN46674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33970130:33970560:-1 gene:gene41193 transcript:rna41193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MDSLPGGHPNIDVVRKKFMNAMCICRCLHPKLKSNSSIIPWIEIKCPMQTNGIDCGYFVMRFMKEIILANQDMIPENVCM >RHN56335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29956171:29961034:-1 gene:gene31719 transcript:rna31719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MKEAPCDWRLELRQLINPPIINKEVFIISLVSHLTFICIHLTSFFLLSSSLKRHPPTTFFSWKIKSDQLFFLRHLHKMDNNIPESVRKKVIIKELVKGQEAATKLKFLLQNENPYGADHLAAYVLRSFTEALSIISQPSCDDFLNLIKSADSINESRKKGRRGCYKRRKSAAEIWTIVSQTIVDNHSWRKYGQKKIMDSEFPRSYFRCSHKDDQGCSATKQVQMTHDNPDMYQTTYIGIHTCNNTPKASTSNEAIFVNSDAEVTPTPSLTIKQEYLKEETPSNVMECDDADDMLVFQNLALEFGDIEFNFDEN >RHN81279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44526570:44527290:-1 gene:gene5295 transcript:rna5295 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIKTNLFVDHHHVESKTKTLLLVSVMTIHQSWCREDKICLLLLLLLLLLLLLFLAILLLLLLMLLGSKGKLRFSMIIFRCRKVEIQIQRQNIPFLSRMIFIRFQSHCCQRECGVGCRSSHRCTVAPHMAT >RHN50479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7477341:7477652:1 gene:gene34762 transcript:rna34762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative delta(7)-sterol 5(6)-desaturase MQIMEDPTTDYHRFFVEDTNMYNHVVLGTLLPQYLWVLLPRFFQTWLRNYVGAVLLYFISGFLWSFYIYHWKQNVYVPKGIFFIYLFFINFGYHLCFFLLLFC >RHN72153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5439235:5441553:1 gene:gene7834 transcript:rna7834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MGDISNGNLDVVMNINDDATKKCDDTTIDDHVPLLQKLVAEVVGTFFLIFAGCAAVVVNLNNDKVVTLPGISIVWGLAVMVLVYSIGHISGAHFNPAVTIAHTTTGRFPLKQLPAYIIAQVVGSTLASGVLKLIFSGKENQFAGTLPAGSDLQAFVVEFIITFFLMFIISGVATDNRAIGELAGLAVGSTVILNVLFAGPITGASMNPARSLGPAIVHHEYRGIWIYMVSPILGALAGTWTYTFLRITNKPVRELTKSSSFLKAVSKGAE >RHN64271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57806349:57807854:1 gene:gene27073 transcript:rna27073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rapid ALkalinization Factor MRTRNNLCVFFLLFSILALHVALSSSSTLDFTDNQLSSFFLPSDSGCRGSIAECSLLAGDEDDSEFMMDSESNRRILAARRYISYGALRRNTVPCSRRGASYYNCRPGAQANPYRRGCSAITRCRR >RHN75051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38990468:38991280:1 gene:gene11200 transcript:rna11200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MSQRKEFINEETFSILIRRFVAAHKVEEAINIFYRREEFGLDNELDSEAFRTLLMWMCRYKHIEEAETLFHRNLNKFGFCRDIKTWNVILNGWCVLGNTHEAKRLWKDIIAYKCKPDIFTYATFIKAMTKKGKLGTALKLFNRMWKEESCKPDVVICNCVIDGLGFKKRIPEALQVFHDMKEWGCLPNVATYNSLIKHLCKIRRMEKVYELIEDMERRRGDCLPNGVTYSYLLQSLKASEEVPTVLERMERIGCAMSDDICNLVLRLYMK >RHN49138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52733138:52735365:1 gene:gene43935 transcript:rna43935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteolipid membrane potential modulator MGTATCIDIILAIILPPLGVFLKFGCNVEFWICLILTILGYLPGIIYAIYAITK >RHN52317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33859297:33861151:-1 gene:gene36979 transcript:rna36979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase STE-STE7 family MALVHRRNSPKLRLPEISDHRPRFPVPLPANIYKQPSTSATTASVAGGDNISAGDFEKLSVLGHGNGGTVYKVRHKLTSIIYALKINHYDSDPTTRRRALTEVNILRRATDCTNVVKYHGSFEKPTGDVCILMEYMDSGSLETALKTTGTFSESKLSTVARDILNGLTYLHARNIAHRDIKPSNILVNIKNEVKIADFGVSKFMGRTLEACNSYVGTCAYMSPERFDPEVYGGNYNGFSADIWSLGLTLFELYVGYFPFLQSGQRPDWASLMCAICFSDPPSLPETASSEFRNFVECCLKKESGERWSAAQLLTHPFLCKDMES >RHN66281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10331922:10334289:-1 gene:gene14231 transcript:rna14231 gene_biotype:protein_coding transcript_biotype:protein_coding MASITMTSSMVGFPAVTNRSSVATQRRFVVSAVRAVEGEKTVKYDDDKEGSNGRRDLMFAAAAAAVCSVAGVAMADDEPRRGTPEAKKKYGPVCVTNPTARICPSQRRLVVVNAVRAVEGEKMIRYDNSKEGSNGRRDLMFAAAAAAVSTFAGVAMADEEPKRGTPEAKKKYAPVCVTMPTARICRN >RHN72286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6452263:6458277:1 gene:gene7980 transcript:rna7980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannosyl-oligosaccharide 1,2-alpha-mannosidase MSKSLPYSRKDVDYDNAKFRPRSFFKVITQSFLTSNRKRDCISCSTGKFLFLILIFGVTYLVLTHTPSPGRVVSRDQVFGIQNNETDNSSNSGAGRLKKFWRRAPRLPPQLPPDTKVSNNNHVPATLDDKSLWITRQQKVKEAFTHAWSGYKKYAMGYDELMPVSQHGTDGLGGLGATVVDALDTAMIMGIDEVVAEAGLWVEEHLSERISKKGQVNLFETTIRVLGGLLSAYHLSGGEQGTNVTHAGPKPSVYLETAKNLGDRLLSAFTSSPTPIPFSDVVLHDSSAHNAPGGLSSTSEVSTLQLEFNYLSAVSGDQKYGLEAMKVMEHIKTLPKVEGLVPIYISPDSGQFSGENIRLGSRGDSYYEYLLKVWLQSGTSRDSNSSYLYEMYKEAMTGVRHLLVKKTVPNGLVFVGELPSGSNGGFSPKMDHLVCFLSGTLALGATKGLTKKQAMENNMLNFEDLENLKLAEDLAKTCFEMYSVTSTGLAPEIAYFHTEEFSEQGHDGGNKSSEYINDIIIRPADRHNLLRPETVESFFVLYRITEDLKYREWGWQIFEAFEKYTKIDTGGYSSLDDVTIIPPPRRDKMETFFLGETLKYLYLLFGDSSHIPLDKFVFNTEAHPIPINLKK >RHN71764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2497018:2499064:-1 gene:gene7398 transcript:rna7398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MKSFYFVMLCVISFFVLVFPNGFFGLADDTNREIGPICNKADNTVNEDIFHTLLDSLASNVVDHQGFYQTTVGENSSRVYGSILCRGDISANNCSICVLNSTRVASNDCPKNRDVTVWFSWCFLRYSDENFFGDMHGITNAFTNVTDVDDPSLVSQWLPFMSGVAAAASENSFMFHTEELNFNQSEKRYGMAQCTRDINRQDCRRCLDDQLVSFGATIGNKRRWEIYGPNCFMWYNDYQFYANVSTLQSVAWKPSCRSLVAVAVSVALLIIM >RHN63756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53818724:53824627:1 gene:gene26499 transcript:rna26499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BSD domain-containing protein MELWKKGRSFAEETAKRSQDLSFGAHKFTKIIAENAKGIVAQASIHLVEPSFNNDVDINPNFESFGITDELRDFVKGITVTTFRDFPLQDDTELFDVPAVSNIRQDLTEWQEKHANLVLSTVKEISKLRYELCPHVMKERKFWRIYFILVNNHIAPYENWYMQDAKLKSSEQVKDQIVMKPLEVELTSNQEVKEVNKETKTSNSSRQQDLDAFLLGDTENSDDDPDDD >RHN80095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35090257:35094390:1 gene:gene3978 transcript:rna3978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zeta toxin domain, P-loop containing nucleoside triphosphate hydrolase MQKDYNGKPSVTQIVVASSIGLIIAAAMHYRLKKLRGRKIIPLVRLSKTGQSPKLERFSHYVARQMGFKDRRNCPNLCKLASEYIRKSEGCEDDIYAFFENEPNVDSLFVKLVEEFERCILSYFAFHWSLGDLLISQVLSSDTEPKKKFKHMVMAATRDQRVERVTKNLKVARVFNTLVEEMKAMGLVSNDENRCTEVMAPVAHSDRSPVLLFMGGGMGAGKSTVLKDILKEPFWVGAASNAVVIEADAFKESDVIYRALSSRGHHDMIRMAELVHQSSTDAASSLLVTALNEGRDVIMDGTFSWVPFVVQTITMARNVHRRRYRMGAGYKVHNDGTTTESYWERIENEEPEQVGGKKRKPYRIELVGVVCDAYLAVIRGIRRAIMCRRAVRVKSQLKSHRRFADAFMTYCQLVDNARLYSTNALEGPPKITVVKLIGWKERDKTLLVDPDEIDCLKRVARLNEDADSIYELYKHPNPTCEAGSIWKDIVLSPSRLDIQQELKYSIQKVERYAMQNASLLMEQ >RHN38874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2539947:2540238:1 gene:gene44848 transcript:rna44848 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVKYLPWRIAVVDVLTTTLANLLRMGRLGVSWWIFSFLPLMHRLLLLKHLKLSLVSFPKLTIHT >RHN74535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34486871:34488097:-1 gene:gene10623 transcript:rna10623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ananain protein MKNIILIAIFFTFWTCAYQTMSITVLESSLATEMRHEQWMKEFGRTYADDAEKNKRFKIFAEKLEYIENFNRDGNATYELGLNQYSDLTDEEYVAKYSCIKFQSKVNYSSILNVSEISTTNPSIKKRKRIPDFVDWRDSGAVTDVKDQGDCASCWAFAAAAAVEGIIQIKTQNLTSLSAQELVDCDKNNFGCEGGHLDTAFDYIAKKEIATEADYPYKDKQGTCLNDKIKRSAKIKGYKIVPSGEANLQEAVANQPVAVGIQTNINFANYKGGIFGTGPCKPLPKLKLNHAVLIVGYGGENRNAYWLIKNWRGEGWGEKGYMRVKRQGNSRYSVCGINMVESYYPVLE >RHN69135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41271666:41277381:-1 gene:gene17565 transcript:rna17565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MLVQKIMLTSSLHNYIYHMLTALFSNNINSLTFHIPSSFLSIHSNILASYISHLKMGSVLVHTHVVTLCMLLLSLSSILVHGGVPTTLDGPFKPVTVPLDKSFRGNAVDIPDTDPLVQRNVEAFQPEQISLSLSTSHDSVWISWITGEFQIGENIEPLDPETVDSIVQYGRFGRSMNVQAVGYSLVYSQLYPFEGLQNYTSGIIHHVRLTGLKPNTLYQYQCGDPSLPAMSDVHYFRTMPVSGPKSYPSRIAVVGDLGLTYNTTSTVNHMTGNHPDLILLVGDVSYANLYLTNGTGSDCYSCSFSNSPIQETYQPRWDYWGRYMEPLIASVPIMVVEGNHEIEEQAENKTFVAYSSRFAFPSEESGSSSTFYYSFNAGGIHFIMLGAYISYDKSGDQYKWLEKDLASLDREVTPWLVATWHAPWYSTYIAHYREVECMRVEMEDLLYKYGVDIVFNGHVHAYERSNRVYNYTLDPCGPVYITVGDGGNREKMAIAHADEPGNCPEPSTTPDKFMGGFCAFNFTSGPAAGKFCWDQQPDYSAFRESSFGHGILEVKNETHALWIWHRNQDFYGNAGDEIYIVRQPDKCPPVKPEENY >RHN80425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38023810:38024256:1 gene:gene4353 transcript:rna4353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB family MVASGDWCDTDDFRLINALYALDACCMEEVDWDNLLEHRSGDVCWKRWEQMIHHIGEHAAKSFIEQVEVLAKRFCPNLLEDREAFDNKPVIC >RHN82506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54337773:54340157:-1 gene:gene6677 transcript:rna6677 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRMIAAFSLLVFVHLINLASSNTTKNILPAEFVQKSKLEDKAIYVSKMEGGGIHGGGGHGGQSHGGGAVIPVYAAGAANKNHQPPSHHSAADCNLNKIKFSSTLMLVLVYPLMLIVWLT >RHN56137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28087259:28088377:1 gene:gene31488 transcript:rna31488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MNTVLLSRHIQHIPLKTLYLFCHYKVRRPCFRLNQCVEAAKRRGIEDLSINWPRGVSSPSIFYCKTLVVLRLQSITILSMVGFSIDLPLLKTLVLCNIMFSTLGDFMKLVYGCPKLEDLSTIYVMGKSGGSIKSTTYFEPSSNLIKATTSIVEFPRYYWHFPTLSELGRSLPNKQIKGFRLFENLIELRMYWYYRDTCDWSEIVKMLFICPKLKVLYIVKVMIKHNLTKTTKHDWKYPDHVPESVRSHLTTCSIINYEAAEADFRFAIYILKNARLVQDMTIHVHSSSNTMQRAQFVENLSSFPRTSPACKLLLK >RHN53397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1457821:1464238:1 gene:gene28299 transcript:rna28299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acid--thiol ligase MLSVAPSVDAKQTTEVSTSVSVPKLEQINNQKQKPCDSFEDDSISISLSNQTTHIFKSKLPDIPISNHLPLHTYCFENLSEFADRPCLIVAATGKTYTYAETYLQCQKIAAGLSKLGIQKGDVIMILLQNSAEFVLSFIAASMIGAVATTANPFYTSAEIFKQIKASETKLIITQAMYVDKLRQKDQVGAEFDFKVITTDEPPLNCLHFSVISESNEEDIPVVEIDPEDAVALPFSSGTTGLPKGVILTHKSLTTSVAQQVDGENPNLHLTTEDVLLCVLPLFHIFSLNSVLLCALRAGSGVLLMHKFEIGTLLGLIQKHKVTVAMVVPPLVLALAKSPSVAEFDLSSIRLVLSGAAPLGKELEETLHNRIPQAVLGQGYGMTEAGPVLSMSLGFAKNPFPTSSGSCGTVVRNAELKVLDPETGRSLGYNQPGEICIRGQQIMKGYLNDENATKTTIDEEGWLHTGDVGYIDDNDEIFIVDRVKELIKFKGFQVPPAELEGLLVSHPSIADAAVVPQKDVAAGEVPVAFVVRSNGNDLTEEIVKEFIAKQVVFYKRLHKVYFVHAIPKSPAGKILRKDLKAKLESST >RHN69803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46376996:46381860:1 gene:gene18319 transcript:rna18319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VII-1 family MLFKIIPSSKMSVMRVYLLCLFFLFLVTVTAVKAVNPSLNDDVLGLIVFKADIKDPKGKLTSWNEDDESACGGSWVGVKCNPRSNRVVEVNLNGFSLSGRIGRGLQRLQFLRRLYLGNNNLTGSINANIATIDNLRVLDLSNNNLSGVVPDDFFRQCGSMRVVSLARNRFSGNVPSSLGSCAAIATIDLSFNQFSGNVPKGIWSLSGLRSLDMSDNLLEGEVPEGVEAMKNLRSISLARNSFSGKIPDGFGSCLLLRSIDFGDNSFSGSVPSDLKELVLCGYFSLHGNAFSGDVPDWIGEMKGLQTLDLSQNRFSGLVPNSLGNIWSLKTLNLSGNGFTGNLPESMVNCTNLLALDVSQNSLSGDLPSWIFRWDLEKVMVVKNRISGRAKTPLYSLTEASVQSLQVLDLSHNAFSGEITSAVSGLSSLQVLNLSYNSLGGHIPAAIGDLKTCSSLDLSYNKLNGSIPSEVGGAVSLKELSLENNFLIGKIPISIENCSSLKTLILSKNRLSGSIPSAVASLTNLKTVDLSFNNLTGNLPKQLSNLPNLITFNLSHNNLKGELPAGGFFNTISPSSVSGNPFICGSVVNKKCPVKLPKPIVLNPTNFSPDSGPGSPTPTLAHKRNILSISALIAIGAAAFIVIGVIGITVLNLRVRSTTSRSPAALAFSAGDEYSRSPTTDANSGKLVMFSGEPDFSSGAHALLNKDCELGRGGFGAVYQTVLGDGRSVAIKKLTVSSLVKSQEDFEREVKKLGKVRHQNLVELEGYYWTSSLQLLIYEFVSRGSLYKHLHEGSGESFLSWNERFNVILGTAKALSHLHHSNIIHYNIKSTNILIDSYGEPKVGDYGLARLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLETVTGKRPVEYMEDDVVVLCDMVRGALDEGRVEECIDERLQGKFPVEEVIPVIKLGLVCTSQVPSNRPEMGEVVTILELIRCPSGSEGQEELSG >RHN46795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34951763:34953588:-1 gene:gene41323 transcript:rna41323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fasciclin-like arabinogalactan protein MGNHSLTLLLLILSIATTISAHNITEILSSNPDYSQYNNFLSQTKLADEINSRQTITVLVLNNAAFSSITSSHPLSVVKKILSLLILLDYFDNTKLHQLTNGTTLSTTLFQTTGNAVNNIGSVNITDLKGGKVGFGSAAPGSKLDSSYSKSVKQIPYNISVLEITAPIIAPGILTAPPPSSSVNLTALIEKAGCKTFASLISSNGLIKTFQSTADKGLTIFAPNDEAFKAKGVPDLTKLSNAELVSLLQYHAAAKYLPIGSLKTTKDPISSLATNGAGKFDYTVTTAGDSVTLHTGVDSSRIADSILDSTPLAIYSVDSVLLPSELFATSPSPAPEPAGAPSPTPASAPSPADAPTPLPASPPAPAGESPDGAPADAPSTAAEKSTGKSAGVNVKATGVFTVAVTALSAFVVSFVFMS >RHN80857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41331511:41334089:1 gene:gene4832 transcript:rna4832 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDFQNRKARLFVIVAAIVALSITAEKCRELIGEEGSSQSGKFTLLNCFDMGSGTLACAVKEGVKLYFYSIRSTHVEKARQRAIESALVDAVSQGMPPTDAAKHAQKESKKAAKLASRQAKRIIGPIISSGWDFFEAIYYGGTITEGFLRGEIEVRKTLVHENSDAPYTSFDGEVPTFDRSERSSSYESSPTEESNADESMEYQSYETNSEL >RHN43929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49521616:49528406:-1 gene:gene50567 transcript:rna50567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MPPKLFLSLLTFSLLTLSVAARPYVLVLSQEDFKDEVPADSDSSSAEWDEFGDSDSHKSEEDLDPGSWRQIFEPSTTDPQSQSQSQSQSDTDALYYSAVTKLMTGDARIIEEGSGEIETAAESGHPAAQSVLGFLWGIGLFRERNKQKAFVYHHFASEGGNMQSKMALAYLYTRQDMFDKSVKLYAELAEVAVNSFLISKDSPVIEPVRLHNGAEENKEALRKSKGEEDEDFQILEYQAQKGNAAAMYKVGLFYYFGLRGLRRDHSKALSWFLKAVEKGEPRSMELLGEIYARGAGVERNYTKAFEWLTLASKHHLYSAYNGIGYLYVKGYGVDSKNYTKAKEYFEKAADNEEVGGHYNLGVLYLKGIGVKRDVKLACKFFIVAANHGQPKAFYQLAKIFHLGVGFKKNVPLATALYKLVAERGPWSSLSRWALEAYLKGDVGKAYMLYSRMAEMGYEVAQSNAAWILDKYGERSMCMGESGFCTDAERHQRAHSLWWQASEQGNEHAALLIGDAYYYGRGTARDYDRAAEAYMHAKSQSNAQAMFNLGYMHEHGQGLPLDLHLAKRYYDEALEHDPAAKLPVTLALTSLWVRKNHADSLLVHIIDSLPGVYPKLEAWVENVLLEEGNATILTLFACLLTVLYLRERQRRQAVVVAGEVAQPNRPNELGVPAPI >RHN39316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5927754:5931553:1 gene:gene45326 transcript:rna45326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative D-amino-acid oxidase MASKSLLRNGAALLNRLSLSNSKSLINYNNPQPYLFPSISKLQNFPQDDAESVKKLLCSDGFLYPCGLPSLRFFLPDGDSSSDEPMILFPKRTFQPSTIRRKRNHGFFARKATRGGRRVIARRVAKGRFRITA >RHN41172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27754710:27757147:-1 gene:gene47463 transcript:rna47463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MTNLQYLHLDHNNFVGNIPSSIFNSSNLIQFQLSVNAFSGTLPNIDFGDLVLLELFHIYNNNLTIEDSHQFFTSLTNCRHLKYLDLSGNHVLPNLPKSIGNITSEFFRAQSCGIEGNIPVEVGNMSNLLLLSLYDNNINEPIPHSLKGLEKLQVLSLAYNALKGSFIDELCLIKSLGELYLENNKLSGVLPTCSGNMTSLRKLNVGSNNLNSKIPTSLWGLTDILMLDLSSNAFIGDFPPDIGNLRELVILDLSRNQISSNIPTTISSLQNLQNLSLAHNKLNGSIPTSLGEMVSLISLDLSQNMLAGVIPKSLESLLYLQNINFSYNRLQGEIPDGGPFKNCTTQSFMHNGPLCGNIRLQVPPCGKQDNKMSMAEKILLKCILPIVVSTFLVVACIICFRLKRKRIKSTLERGLSALGALRRISYYELLGSFGSVYQGELPDGEIIAVKVFDLQSEAKSKSFDAECNAMRNLRHRNLVKIISSCSNLDFKSLVMEFMSNGSVDSWLYSNNYCLSFLQRLNIMIDVASALKYLHHGSSMPVVHCDLKPSNVMLDENMVARVSDFGIAKLMDEGQSKTHTQTLATIGYLAPKCGTKGIVSVKGDIYSYGIMLMEIFTRRKPTDDIFVAELSLKTWISESLPNSIMEVLDSNLVQQIGEQIDDILIYMSSIFGLALNCCEDSPEARINIADVIASLIKIKTLILSASRV >RHN58545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2698529:2704731:-1 gene:gene20467 transcript:rna20467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MVVFSVKPLFTIFVVSLTLLVVLTLLSPRNPFSQNPISQQPSLGEVDIWSVRRLMEWRPCKWWLQGHLTALPLETNGYIRVDCYGGLNQMRRDFCDGVGIARLLNASLVLPKFEVASYWNETSGFADVYDVDYFMQHMNGFVKVVKTLPPEIATKEPVQVDCSKRKGQFDYVESVLPSLLKHKYISITPAMSQRRDRYPLHAKAALCQACYKALRLAKPLETKASKLLDAIPKPFLSLHLRFEPDMVAYSQCQYTGLSPASIKAVEAAVALVERKPWTGELARIWRQRGKCPLTPNETALILQSLSIPPTTNIYLAAGDGLMEIEGLTDIYTNIFTKSSLLNEEDFTSMHGNTKAALDYYISINSDSYMATYFGNMDKMVAAMRAFKGLYKTLFLSRRGFAELTSQGLRGKELMQALWKVHRDEFVAGRGSALPECFCEFKL >RHN75435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42316414:42319380:1 gene:gene11645 transcript:rna11645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MKLNSSLTTLTNTSKNPFFRFIHYSISLKFISNTVLCVPHIAHNLFDKIPHRPTTLKEHNQLLFSYSRDKQTKEALNLFVSLLHSSLQPDESTLSCVFNICAGSLDGKLGRQVHCQCVKFGLVDHVSVGTSLVDMYMKTENVNDGRRVFDEMGERNVVSWTSLLAGYSWNGLYGYVWELFCQMQYEGVLPNRYTVSTVIAALVNEGVVGIGLQVHAMVVKHGFEEAIPVFNSLISLYSRLGMLRDARDVFDKMEIRDWVTWNSMIAGYVRNGQDLEVFEIFNKMQLAGVKPTHMTFASVIKSCASLRELALVKLMQCKALKSGFTTDQIVITALMVALSKCKEMDDALSLFSLMEEGKNVVSWTAMISGCLQNGGNDQAVNLFSQMRREGVKPNHFTYSAILTVHYPVFVSEMHAEVIKTNYERSSSVGTALLDAYVKLGNTIDAVKVFEIIEAKDLMAWSAMLAGYAQTGETEEAAKLFHQLIKEGIKPNEFTFSSVINACASPTAAAEQGKQFHAYAIKMRLNNALCVSSALVTMYAKRGNIDSAHEVFKRQKERDLVSWNSMISGYSQHGQAKKALEVFDEMQKRNMDVDAVTFIGVITACTHAGLVEKGQKYFNSMINDHHINPTMKHYSCMIDLYSRAGMLEKAMGIINEMPFPPGATVWRTLLGAARVHRNVELGELAAEKLISLQPEDSAAYVLLSNMYAAAGNWQERTNVRKLMDKRKVKKEPGYSWIEVKNKTYSFLAGDLTHPLSNQIYSKLSELSIRLKDAGYQPDTKNVFHDIEDEQKETILSHHSERLAIAFGLIATPPEIPIQIVKNLRVCGDCHNFTKLVSLVEQRYIVVRDSNRFHHFKDGLCSCGDYW >RHN51173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14803864:14805614:-1 gene:gene35559 transcript:rna35559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MAFQILSFFTIFMFMIIALKIRNHYKKYDFGKNIPPGPWKLPILGNILHLVARNPPRRLRDLAKKYGPLMHLQLGEIFFIVISSPEVAKEVLKTHDIIFASRPHLLATDIASYNSMDIAFSPYGDYWRQLRKICAIELLSTRRVKSLWPVRQKEINYLLKKIASNEGSEFNLTEEVMSMMYTFTSKAAFGKKYLEQEEFISVVKQLIKLAGGFYIGDLFPSAQWIQNISGLKPKLEKLSQQVDRILGHIITDHKEKISRRENEGLPEAEEDLIDCLLKFVESGSDMDFELTIDNVKAIILDVFSAGSETAATTVNWAMAEMIKDPRILKKAQAEVRNGFDRRGMVDEATIAEFKYLKSIIKESLRLHPSVPLLLPRESREACEINGYRIPVKSRVLINAWAMGRDPKYWNDPDKFYPERFIDSSIDFSGTNFEFIPFGAGRRICPGMNYGLANVEQVLALLLYHFDWKLPNGMKNEELELGEEFGVTMARKGDLYLIPITSHQSLVI >RHN63175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49057721:49062635:-1 gene:gene25849 transcript:rna25849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MLGGEADRVSIEDETETTKEITEKKLKDRKVSWAKLRRVDSLNLEAGRVSMNANHNSKVGWSVTLTLAFQSIGIVYGDIGTSPLYVYASTFAKGIKNNDDILGVLSLIIYTIVLIPMLKYVFIVLWANDNGNGGAFALYSLICRHIKMSLAPNQQPEDMELSNYKLEIPSSQQKRAHKLKQMLENSHFARIILLLLAIMGTAMVIGDGILTPSISVLSAVSGISTSLGQNAVVGITVAILVVLFSLQRFGTDKVGVLFAPVILIWFIFIGGIGLYNLFKYDIGVLKAFNPKYIVDYFKRNGKEGWLSLGGVFLCITGSEAMFADLGHFSVRAIQISFSFVTFPAILTAYIGQAAYLRQFPDKVGNTFYESIPDPLYWPTFVVAIGAAIIASQAMISGAFSIISQALSLGCFPRVKVVHTSANHEGQVYIPEINYMFLIGCIVVCVAFKTTENISHAYGIAVIGDMLITTTLVSLIMLVIWKKSLWMVSLFFFVFGFIEILYFSSQITKFSKGGYFPIVLAMFLTMVMGIWHYVHKERYMFELKNKVSTEYLRELANRTDVHRVPGIGLLYSELVQGIPPIFPHFIASVPSIHSVVVFVSIKTIPVNRVALEERFLFRQVEPREYRIFRCVARRGYNDVLGNPAEFESQLIQNLKEFIQQQDFMLEVNEGAATTTTSEQLVVSTAINENDQMQDISGKEVKPRSNNSSGRIIPSHGVSSDSIRSLPASVRKSSNFYEPIIIQGPEEEIKFIDKATENGVVYMIGEAEVVAHPNSSILNKIVVNYAYSFLRKNFRQGEDSIAIPRKRLLKVGMTYEI >RHN72103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4996845:4997243:1 gene:gene7776 transcript:rna7776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSVKDEECSTKLYRSINAFEFISLSPGIDLSRLFEKGMSKRHHARFSTKRPPSNVVSKLEEIAQIDGRFKVMKQNGIVRLEGIETRIVEQLSIDIEIFEVTSSFYIVEVRKIAGDILEYGKFLNQYLKPSLN >RHN39480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7423225:7424716:-1 gene:gene45505 transcript:rna45505 gene_biotype:protein_coding transcript_biotype:protein_coding MALDHKQPTTTTTTMTTWQPRRKVSLFRRRKVQTVRLGSKKPRRRIIGGIVRMFKRMRVKWLKLQYLRLLKRLKEHYRNVVKDLIEAGATIETFQQRLFMESTFAVPLGVNLSTYPSRFGSDYPRTLFM >RHN58920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5927741:5928451:-1 gene:gene20876 transcript:rna20876 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLFNYFYKTMKLIIQYKEIKSFTIIWTQIEKNMVETPNKTFDRACKTDKDCPKLRGVNVRCRKDQCVTV >RHN65326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1236759:1237763:1 gene:gene13152 transcript:rna13152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MVETQTRRQSKRQQQRREDIEIEEDRMSELSDNLLHHILSFLNAKEAVQTCILSKRWINLWKTLSTLTLSVDHFSTEESFEQFISMLLSLRDHSTDIHSLVFHFQWTHVLSRDLYLKTIEYAFSHNVQHFQILYTAVKHLPSCFFSSHTLTSLNLTGKDLMVPSGYYQIFPSSHSFNLPALTTLYLKHLSFSCNDDDDGSVVDPFSTFNMLNTLIIDRCVLRGNAQNLRISCTKLLNLTIRMYGCYSTITKPDFKIFFGLELYAPTLHSFVFNGADYIPKFVGSKTKTKTVLSSIKHLTIHLKYCSCFEENPVNLFNLLVELANIAFHVPSFSI >RHN58469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1957643:1962705:-1 gene:gene20386 transcript:rna20386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEESWENFHLDMELDCGDDYFIDDCNNLNIDGDDFIREILLQTPEGLISSESDHSFFHVQTDTVTVNVNGGVEVVGNTVKSKSSNSIVSQQHQPQEQEQQHGLKSKKVPRKSSSPKTYILSFDNSTMIPATPEPCVNLSSRNKRSRESTQKAEVKTNQQINGVKKGRSSSQCIDHIMAERKRRQELSEKFIALSATIPGLSKMDKASLLREAIDYVKQLKEHVEELEKQDKNVGVTPVMVLRQPYSCGINEYTNSGETSCGDDCNHHILPDIEARVIGKEVLIEIHCEKQNGIELKLLNHIENLQLFVTGSSVLPFGKSAISITIIARMGDECIVTMNDLVKSIRQVLLKP >RHN55188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15874871:15877129:-1 gene:gene30328 transcript:rna30328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MKSCFSKNKKKPFSMLPLRLTVVVSSLILLCSIFQPFCLGIRSFPTTNTAEHSPTPFRFSGAFSEAPEYRNGAGCPKQKSKTLFPSSCDPSLVHIAMTLDSGYLRGSIAAVHSVLRHSSCPENIFFHFISAEFDPTTPRTLTRLVASVFPSLNFKVYIFREDTVINLISSSIRLALENPLNYARNYLGDMLDTCVERVIYLDSDIVVVDDISKLWSVKMDAKKVIGAPEYCHANFTKYFTDEFWNDPLLSRVFKARKACYFNTGVMVMDLMKWREGNYRRKIENWMELQKKRRIYELGSLPPFLLVFAGNVEAIDHRWNQHGLGGDNLNGVCRSLHPGPVSLLHWSGKGKPWVRLDEKKACPLDSLWEPYDLYKPRNHLMVHGGGDKDQEIQNWSFSSSILEFMIHFLFFLLRLCTDCFFNLLFYHSLCRMVFFWLILVIYM >RHN54799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12471965:12476483:1 gene:gene29886 transcript:rna29886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastoglobulin-1 MSLLSSTLRVPLIFSQNPKPSSLSSLPSRISPSPRSHRFPSLRFISATGDIGDADIPSTDISDEWGDKSEPVPEAKPSLSSKVSSSVPSKNEDEWGEGAKNDAGSYSDAGNGTPAFASEAPVEEGGNDELEGLKRALVDTVYGTELGFRAGSEVRAEVSEFVAQLEAANPTPAPVEEPELLNGNWVLLYTASSELLPLLAAGALPLVKVDKILQTIDTYSSTVVNSVTLSSPFASSSFSASASFEVRSPTRIQVTFKEGSLQPPEIKSKIDLPENINIFGQNLSLGPLQQSLGPLENVVANISRVISGQSPLKIPIPGERTSSWLLTTYLDKDLRISRGDGGLFVLAREGSPILD >RHN79474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29323761:29324525:1 gene:gene3268 transcript:rna3268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAT dimerization domain-containing protein MPVSIVASESIFSTGGRVLNCYKSSLTLKTVEALICAQNWCRSAPIASDVEDLLEDLEQLELDLAPIPQLTEKMSDIDSD >RHN75627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43863257:43864285:1 gene:gene11860 transcript:rna11860 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVSKNKFLTCFRPVIDLDDMLESETVPAPSTSRRKHATQNSATNSMSLDQNSPKSIQNQVAGHPPKQTLSKVIKAVMFQIILNTRANKKNLYSQTCFGSKRNYSLHTRSSSSFKTNIEEIKAIESPLSSPSSSYSPVFNSKSLSKSNESQFENQKKFHCLGIYMVFLISLVVTVCWGKVNVIVLTSMFFCCFCLCNTCSHQLKRVGKSRKDVSSTLAAGVRSHPRSFEP >RHN72784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10678360:10680311:-1 gene:gene8540 transcript:rna8540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MGNETRDQQILEKLSSYNNQKTHTQSHMTHNQKHKLKSSMLIIFTNLVTIYMFTGPFSFMYKYSSMSPSDSNSILQELNSTKAQLAASHTILSELHQRLNSTNLLVQALLIDLTREQEKQSNHADKNTLTANLVNGDSNTAAATISDELGIALGPHKLPFEYSPKIGSGEIYMPVGEACLRLHEELKQYMTYDIGGECPVDDVLSQGLILKGCEPLPRRRCHSKSLTNYVEPTPLPDSLWMTPPDTSVIWEPYSCKSYQCLVDRKNEPGNSYDCKSCFDLEKEEKIKWIFDDGGLDFGIDQVLATKAAGTIRIGLDIGGGTGTFAARMRERNVTIITSTLNLDGPFNNMIASRGLISMYISISQRFPFFDNTLDIVHSRDVIGNWMPDTMVEFVLYDIYRVLRPGGLFWLDHFFCFASQIKKTYVPMLDRVGFHKLRWHVGMKVDSVVQKNVWYISALLEKPMI >RHN79130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23702810:23706039:1 gene:gene2847 transcript:rna2847 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative helitron helicase-like domain-containing protein MIKIHRTKARDQENHLGRISYSTNQMTGLLYLRSLMVCHGFLYLFSIRFQLRFYCCYRFISVIHFWIFFAVGSLSFNTNLNKRRKVSPQGRLTPGKYTLSHSVLTSHSTKQHSRFNVENVSPIDLDAVSFQGLDNDNDVVAIECGNNGEESDIPSNLDVHFDSPVELMDTGTSDADRADDIVDFNCMDNREENDIPSNSDFDIKQADGDTFSDITSSVHGEVEYLNIGHPDRICDDCGAMMWYHERTKKDYNPVNPKFSMCCRKGRVEIATYPRLPQPLHDLYHKLDRKSKFFLKNIRSFNSMFSFTSMGGKVNRDTHDGNGPPMFVMEGQNYHQIGSLLPMPGNKPKFAQLYIYDTDNEINNRLACVSMDEGDLEMKTAIVTEIRDVLDSCNSYVETYRTIRERINENDSPPMRLRILRKRDCDGRRYNLPTASEVVALIVGDFDSADFDRDVIVETQSGLLQRISVFEPAYLPLQYPLLFPKGEDGFRKDIPYNEDADATDLQRLYVTQKEWIAYKIMQRETDQSTILFARRLLQQFLTDSFSCVESSRLRWLRDHQKEVRAEMYKGLTEAILRGDTDPSTTGKRIVLPSTFVGGTRYMLQNYQDAMAICGWAGYPDLFITFTCNQKWPELVEFLKIYHLKPEDRPDLVSRIFKIKLDDLIKEIKIGELFGEVKSVIYTIEFQKRGLPHAHILVFLHSRYRCVGPKDIDKIICAEIPDKEKEPELFKIVSTLMIHGPCGSQNPSSPCMNKNKCTKFFS >RHN81159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43725678:43729469:-1 gene:gene5163 transcript:rna5163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MRVEFCYKFKLHSHGFAIYTVHPICDITDLPILTILANINSTTMLRIFWSIICHILFASNFLNNSASALGNITDHSALLKFKESMSSDPFGVLNSWNSSTHFCMWHGVTCGHRHQRVTEIKLVGYKLQGSISPHVGNLSFLRVLYLDDNSFHANVPRELGRLFRLQAISFANNTLGGRFPTSLTNCTQLREIGLYGNNFTGQIPMEIHSLAKLEYFNVARNNLIGRIPPSIWNLSSLTVLDFWYNHLEGNIPEEIGFLKKLTKMSVSENKLSGMLPFSLYNLSSLTHLHTAGNQFHGSLPTNVFTTLPNIRQFWFASNRFSGPIPSSISNASRIQMFDIGFNNFVGQIPNLGKLQDLSVLAVGENNLGSNSSYSGDDWEFIKSLVNCSQLYIVIVESNNLGGPLPKIIGNLSTHLAQFAMADNQISGEIPTELGNLVNLIFLSIENNLLTDVIPESFSKFQKMQEMYLKINKLSGEIPATILGNLSQLSQLDLSDNLLIGKIPSTIGNCKKLQAVDFSLNNLSGAIPTQLLSLSSLSILLNLSHNSFSGNLPPEVVMLQNIERFDISENHLSGGIPENIGDCSSLEYLFLEGNSLDGVIPSSLASLKGLLQLDLSRNNLSGSIPQELQNNSVLEWFNASFNKLEGEVPMLGVFQNASRVSLTGNDRLCGGVAELNLKICLPKNVKKRKHHIRRKLIIIIIFSIAFLLLLSFVLTIIIYQIMRKRQRKTSADSTIVQFPKVSYQELHHATDGFSDQNLIGTGGIGFVYKGRLNSEERVVAVKVLNLQKKGAHKSFLAECNAFRNIRHRNLVKIITCCSSVDHKGDDFKAIVYEYMTNGSLEEWLHQNAEHQRTLKFEKRLEIVNGIASALHYLHNECEKPIVHCDLKPSNVLLDDDMVAHVSDFGLARLVSTIDGKSNNQTSSMGIKGTIGYTPPEYGMDTQLSTEGDMYSFGILLLEMMTGRRPTDEMFKDGYNLHNYVKIAFPNNILEIVDATLLSTENSHLLVTTEVARDLHPNVERSLSSLFKIGLSCSVESARERINIEEVKTELNIISKALADEGK >RHN81591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47366418:47372674:-1 gene:gene5661 transcript:rna5661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-tyrosine-phosphatase MAASSATSSTVSHQNPTFSPDFPSRIPLTSDQVNHCTKALALLKNKLRNPDTILQEFLHLQANRITLSESTRRCNVALNSVNINKNRYKDVIPFDKNRVVLNSSSDYRSESLGYINASFILTSSTGTVSEFIATQGPLPHTYEDFWEMIMQYNCPAIVMLTKLVDNYKMVKCGDYFQSEDRPREFGNISLACKWTKTTETSLVLRHLEVNHKEVEDTPLSVFHIQYPEWPDHGVPNDTLAVREILKRLYHLPPNLGPIVVHCSAGIGRTGTYCTIHSTIQRILAGDISAIDIANTVSVFRSQRIGMVQTQDQYIFCYEAIIDELEDLVSQQ >RHN57090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36371557:36372518:1 gene:gene32586 transcript:rna32586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MKKHHFLKHSIALITILLTVCCIFIIAITFFNLPEPQNKTNRKMGFDSITRSRKISIQDFNLGKFGEMMVEMLPQDLAFTVFVPSEEAFKRDLRLSVNDSLKQDKFNDTYAIVSRVLGFSVVPRTLCSVDLRFGEVVSYDSLSGFPLFVSKDVDGMIVVNRIKSKIVDVRKNEIVVHVLDGVIMDADFEQSVLSEETQED >RHN74475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33838954:33839259:-1 gene:gene10560 transcript:rna10560 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWWSKSQYYYCIAGYTLTLDLGARGEDSNIFSTSSFSGEERSHAKEPLVQKYLLVVRKNLTLSILMKSSMSPRKQNTRADVLSNLGSTRSIGINDSFIR >RHN42442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38392561:38396765:1 gene:gene48884 transcript:rna48884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MALNFSHRPIFSAGLSEDNLRMGNGYRVEGISETGGGGGGSGSSGDGFGNGWHNVDNCFDYGGSDRCDRGGGVSGATQDSVSKDILDLLPSDPFGMDISTTFTAITGWLEDLDFDYGGYHVNDEIGTSGENYPLFAGLNFIWNNAVRFHTFPQGGNVCIEEKDFRGVSGFSEFSRREAGEVSCSEKIFHGVRGFGEFSRREVGEASCIKKNFQGMSGFGEFSRREVGEASCSFGAGSSCDMDAVLDFRCDYKDVAIANVSGDTDMDDVNFLEGDERILHSVINSSLRYLGLSDLLVLERVCKSLHSTVRDPQMWTSIHIDQPLNERITDDILLELTNRAQGLLQCLSLVECTRITDDGLRRVLEVNPKLSKLSVPGCTRLSIEGIVGMLKAYNSMGTQKVKHLHIGGLYGVTQKHFEQIKLLLGTDKKRLENALDSQPHENARDSQPQENAPDSQLLEHSHKPHFYCRWNLYLPCDDDRDLDIEVCPRCQNLRLVYDCPAEGCQGVGGHATQLCRACTLCIPRCSQCGRCINDSEYEETFCLELLCSHCSQHLVKPLEGTVGKIALVSSVLPEQS >RHN42004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34716807:34718031:-1 gene:gene48388 transcript:rna48388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glyoxalase/Bleomycin resistance protein/Dihydroxybiphenyl dioxygenase MGIQEIGSYEAPLPLLSLNHVSILCRSVLDSMRFYEEILGFGLIKRPSSFKFNGAWLYNYGFGIHLLENPNYDEFDTPMSESRPINPKDNHISFQCTDVGLVKMRLEDMGMKYVTALVEDEGIKVEQVFFHDPDGYMIELCNCENIPIVPISSASGSFKARGQSFKKTVSNKCGFMENVMMRSLSKDMMNFAF >RHN70985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55626101:55629922:-1 gene:gene19625 transcript:rna19625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase complex subunit SAP30/SAP30 MIEAMESSVNGGGGFATHLQSFGGGGGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFDNVQWNGSDMASDDTHKSHKSRHRMHRSLGSCHKTMSRSFSGDSQSKGSVTMPHGSTKVDLSKLEMAALWRYWRHFNLVDVVPNPSKEQLVDVVQRHFMSQLQMDELQVIKGFVNAAKRIKTVCK >RHN40336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15423222:15427433:1 gene:gene46482 transcript:rna46482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MASTDLKHMKEDQSRDGQEKISEENKFEASLYGGKDPVLTQVDVPNMTEPRLFGTHMPFPSLPKSVKESNCKIIYICRNPFDIFVSYWTFFNKIRLKKSLTELTLEEAFERYCKGICIFGPFWENMLGYFKESIERPYRVLFLKYEDLKEDVNFNIKRIAEFVGIPFTQEEENNGVIENIIKLCSFESMKESNGNNSGTVTVNVDREFFFRKGEIGDWVNYFSPSMIERLSKIMQEKFSGSSLSFKGCP >RHN76321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49355699:49358712:1 gene:gene12623 transcript:rna12623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MVSSDEALQASAGEKRKLEMEDSANSVVENVEQMIQIVESVDRISQLPDHVIYHILSFLRNTRDAIRTKCLSKRWRTLWFSYAALIFYEQKFAAGIGPEDGSNKENLFRQHVADSLHTYLANNLQIQKLLLHMMSFDLTDAPLVDSWLTSAVSQDIQEIDLQIGFKDINRYTLPEVVLSSETLTGLRLSGCILRRCGNIMLPRLQKLYLRKVHLGEQIVTNLISCCPSIEDLRFVQCSGLKFLYIHCDSLSRLEIHNCNQLKTAYIFAPNLDTFWFCGKKSTPCKVNLEDCASLKKLTLEHPRVSRDFCENQFNKFPLLEKLDLCIADKMKSITIFNRCLQRIVLKGCKKLTYVQIYAPKLVSFELKGETMPYFDFVTPFLLTDAKISLSTATESRDVGLGNQLWFMMRPFISLFFPVEGFKMIMHSSKHIIIHEDLSSIKCPPLHDLTFEIIKSSACVEDILYGLLRTLHPESVSIISSSGNKFHESVYEMIKIKDDDPVCCIYNISKNKCWRHFLKDVKSESWQEMLDVVEASEGGIGTLYFWLQLSYSSTSLRQMTNLRLSWNAHEVELET >RHN68752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38270303:38281626:1 gene:gene17141 transcript:rna17141 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGERRWASSTRRGGMTVLGKVAVPKPINLPSQRLENHGLDPNVEIVLKCKGSLSWGSKSPSSALNAWGSSVSPSASGGTSSPSQLSARPSSGGSGTRPSTSGSDRASELTSRAWGSNSRPSSSSGVPTSSQTSQAPLRPRSAETRPGSSELSRFAEHVTENSVAQNVARTTEKLGITQRKNDHFSLSSGDFPTLGSEKEESVHNFELQDDVSIRANEKGETENSWRRDYQAFNEDGMRPGIEKWQGNLHHYPNAGILPQHFDVWRGAPVNSHQGDIWFRGPPNGSPFGVPVAPGGFPIEPFPFYRPHIPLTGLANPLQVPSPGSGPTGQHKNGEVYMPHMPDAYIPPGMPLRPGFYPGPMAYEGYYGPPMGYCTSNERGVPFMGMATGPSVQNRNPSHNPPEPGNSHGRSGGHGPAGKPLASEPVESSHTPDAARPYRVLLKKHNKLDEKNEPTNLEDSLTTNPSYANVRDQPIIPVPDNDCRRNMDMDLRMTSACGKESSSQTLGNQGSISVNNAKSLESIGNLNKFDNFSERKMDGVASNTLGIASRPSAHSILIQKIEALNAKARDNSSTKNKEERRNKFHTGGHAGNEARAGVASPETSLVTEVKNPTARGVGAFGGEKNFESSSLSRTATSRQISHGMQARSNHQKRRLDTQDADGGRKRSGVLDSSTLSGTQLETSNFLVGEHQISVDAYERSGYYSHMRREREARQTLSDSADSREQRVKTKVLSKQQSKQLQVDEEARTKNQIAKSLVRSEEGKMLFKQQTKQLQVDEEERIKKQKAKSLVRSEEGRSRAEAVEGSMQKVYAANSPLQNKQEEFQPSESAAALGKSGAANSSEMPDASDALQAQNNVVSKQRRSYKQKHNRSLSKTSNVSTTSAAPEAENDTMAYVNVSSSIVTNDVSSSFVPGLPLNLTSMVESSVNQKRKNNRNRKNKQKVEKISSLAASPTASSVENKPREDRELDQGSLQSSSLSKDSNQYSEQKYSENEEFYSRKNNLLKSQHSRRMPRNMQVNRRAEKFHGSGALVWAPVKPPNKIEILDESSEKSKIEAIVPTKSDQQVLNLKNKRAEMERYVPKPVAKEMAQQGSSQQMVSSKSQVPMDKCVERDDSGSQGPHITRHTILGVGMVGSVMESKNGDSRQSRAWKGKTHGSWWQRNSAESNDVHDMLDGADHGSNSCQNIKTPMEHQKVQISETRGQSKHANDASKLGGLNKPENHASAPVSVPIIKDHKATVRERRVPFSRQKGSEVNHVDQKKNATDTRKSETLTSSSVHNQPDINVVLKENRSIGEHLSSHRQPIFQASNNHRGNRSKKKEVTPHVSLSFPDDLDMESSSPVAQPLSQSVSEKSKGREAPNFGNPEALRESRNAPPKGHRHYPNQVAVGSSEHAPRSMDPRHQHYPSSGLRRNGSQSHFGKGRESQGNWKTRTQDDRYHNQERQGPPNFHYEHHSVWPHGDSKSDNSERPKDGNYHAGGRFRERGQTHSRRGGGNFSRH >RHN64326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58169316:58169994:1 gene:gene27130 transcript:rna27130 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETGARATSAVVETEAVAAHFPNDACRKAILLDLLSYLSCPLV >RHN82561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54754425:54755430:1 gene:gene6734 transcript:rna6734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSRRHSCSLKQKLRKGLWSPEEDDKLFNYITMFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSKQEEDLIINLHEALGNRWAQIASQLPGRTDNEIKNFWNSSLKKKLMKQGIDPATHKPLINNESLLVKEEKEKPSMIMPLSQPQPQRTLMLESSHEYSEALLMNKPTFDLDPLQLQFELNQFGTNSSYFFSSDNISNNSFSNMINENTAGGLISWEGEN >RHN80751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40601185:40602532:1 gene:gene4715 transcript:rna4715 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKGKPRTFPNISHLNLSHVITCKTLKCIHSIILNVCLELFQGYGKMHLHED >RHN58797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4991107:4993356:-1 gene:gene20744 transcript:rna20744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB family MEGHQFHHKNQRQHQQQQQNLHLHNINNTINVVDVSDRFPQWSIQETNEFLLIRAELDQTFMETKRNKQLWEVISNHMKEKGYHRSAEQCKCKWKNLVTRYKGCETMETEVLKQQFPFYNELQTIFTARMQRMLWTEAEDGSKKKATHLSTDDDEDDGNEESEAVSQKGSNRKKKKAKVENESGSSKSFFRNLKEILDEFMRQQLQIEAQWMEAFEARENERRLREMEWRQQMEMLENERILMEQRWREREEQRRIREEARAEKRDALITALLNKLERQ >RHN64967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63352401:63353620:1 gene:gene27851 transcript:rna27851 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKVVTACNGNYFDELDNNQIQEEINDIVETVSSQKGYLADHIDDELDVTCTETFSVHVNKPNPTGNMRQEVQEYQKTSDMLLAPHSKKVEVKTQQGNKSKEAEAYAAALEVPSLGSVRVSENRKSQKAAFCPKEVKRIIESEALKQKNAQSHTIRKIIVFASLGIRHGCEDMYELKFNHFRILKKGEPYVSPKNPGEHVLYMNPGVRRKIFYPNQQNPQLCPVQILEEERVMRPYDANCPSCLFLCIKYGGRTRNLPQNEYVRQVMGRNKLKSFGPLMCQMAMLVHVRSGSFFFKALGITLLFMAGFKEKPNTRI >RHN47144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37479320:37480904:1 gene:gene41704 transcript:rna41704 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKKHISDFSSTVGVCSTCLRERLIILIQAQTQLTRLTSRASDECSRNSETTNHLPPPLIFPRSVSPYVSRRKSDYPTVGDRRERLFYSTPQLGPTFYAGDCNVNSNSRSLKKRLSKFWKFSNLFRTRSEKFQSDPSCEASSSDSSSWFSSILPARKKNRDRNVMAEDFTVGARRRHRHRQSDRGMSPVRTEEFVDECDQCPSGSGYSSESSPWWRRTPSVNVPSARRSRFGHGKSASGSGIFCMSPLVRASPNRRWNHKGLPPEMTVAADVRAAAVKPHLSAAASFCANRSRKLADFGRVNDNR >RHN67628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28789261:28790823:1 gene:gene15835 transcript:rna15835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MPNKVETSDVPDFIKKMEKAIHCENENEDRLSDLPDNVLLHILSFLNTKYAVQTCILSTRWKNLWKHIPTLILHCLEFPTMENFTSSVSKILTLRDSTTSLHALDLDRTPYDGNIPSELLKKILDYVSSHNSHLRELGISVRGDSGLILHCVSSCHALTSLTLSVYPKGGNNERTLFPKSLKLPALTSLFLQNFAFCGDESSCVEPFFAFKMLNSLVIQKCEVRDARVLVISSETLVNLSINNSPHEDTITDKIELSTPSLRNFSYRGNHAQKICDKGHSSISMLALRFLCLLFGFSRHFLIN >RHN71741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2355002:2358396:1 gene:gene7373 transcript:rna7373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative organic anion transporter polypeptide OATP, major facilitator superfamily MFSKFYLIDSYFRSKSVTKMFDQFSRFWIDMKALLLNKVYVINILGYIAYNFVLGAYSYWGPKAGYNIYHMTNADLVFGGITIVCGIVGTLAGGFVLDYMTNTLSNAFKLLSVTTFLGAAFSFGAFLCRNVNGFLALFSIGELLVFATQDSINNWRATALILTTILFPAAGIWFIGIFVHSVDRFEDDSEQQVCTIPLLQEKTGETSISHAQSQEC >RHN69778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46208153:46210671:1 gene:gene18292 transcript:rna18292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator GNAT family MAATGGVAAAIEVFASKSMELKWVRTRTRTRRITKTTHKQKTLSTPLLPLFISTNPHHLNPHHLQNLCSICNHSFHRFPNLNISDTPEQVDINKLRIALSHSDVLVSVFCKPHHVDGLDESLSSVVDFFTPVSPERDLFVGFGRAVSDFGLTASIYDVMVIPSLRRMGIGKMIVRKIVRMLTNRDIYDIAALCSEDERLFFKACGFGGDILDSTTMMYTRTVSSTIQESKQTVTPAGRKLLLVPPLIDRLKNPQGR >RHN80374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37527611:37528051:1 gene:gene4289 transcript:rna4289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MANNNDSNNTIACKLCYKVFTNIRFLITHMESHMVEENLALSRLTNINPQFEAPPLAIPRPRMFSDMHAVVSHPLHVLQHGMPSAEENVAEMVLSPTSPTHQDYMEVSHIDETKPYINKLDKPIDKVIDNPAIVINDDTVNLELRL >RHN65484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2586578:2591946:1 gene:gene13327 transcript:rna13327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MCKFAHDRKTLIYLSQQLRLCAYKFLVLPLFLNTSHKQNNTYSNHLSLNFQKNMSPSLSLLLFILLAPLLLLAQSPPPSPSPPPPSSSSAACKTTLYPKLCRSMLSAIRSSPSDPYNYGKFSIKQNLKVARKLEKVFIDFLNRHQSSSSLNHEEVGALVDCKDLNSLNVDYLESISDELKSASSSSSSSDTELVDKIESYLSAVATNHYTCYDGLVVTKSNIANALAVPLKDATQFYSVSLGLVTEALSKNMKRNKTRKHGLPNKSFKVRQPLEKLIKLLRTKYSCQKTSSNCTSTRTERILKESESHGILLNDFVLVSPYGIANHTSIGDAIAAAPNNTKPEDGYYLIYVREGYYEEYVIVPKHKNNILLVGDGINNTIITGNHSVIDGWTTFNSSTFAVSGERFIAVDITFRNTAGPEKHQAVAVRNNADLSTFYRCSFEGYQDTLYVHSLRQFYRDCKIYGTVDFIFGNAAVVFQNCNIYARKPLPNQKNAVTAQGRTDPNQNTGISIQNCTIDAAQDLANDLNSTMSYLGRPWKIYSRTVYMQSYIGDFVQPSGWLEWNGTVGLDTIFYGEFNNYGPGSVTNNRVQWPGHFLLNDTQAWNFTVLNFTLGNTWLPDTDIPYTEGLLN >RHN80091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35055325:35055552:1 gene:gene3971 transcript:rna3971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDPYTYPIFQAGPRVCLGKEMAFLEMKIRLVVGIIREFRLLPAMDEGVEPVFDSHLTSIMKGGFPVKIKKRILHT >RHN52446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35378145:35378741:1 gene:gene37127 transcript:rna37127 gene_biotype:protein_coding transcript_biotype:protein_coding MFCNFDTFKDYILIFVYWLQNQFDLFLKMKKLGSGIPGSSEIQYKESDIDESMSSSSISDDEEEEIMKELADVTFEELKNTRSNGAHAFFKKRGEDKKLKRLNKNRCFICIIVIVSACLVSRWVCKIMVAHLDFGKTTVIPNMHSIDCLTKWFLFSSNPCVCETM >RHN66234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9942440:9943438:-1 gene:gene14178 transcript:rna14178 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKMKPDWSELLTELLNLISQRITTEIDLIRFRSVCSNWRSSSIRNHFHISPFNVPLLQYIDSIIINNNNNNYGTIPISFYYLSKHSFYLIKPSQEQEQEQENYPWLIRVTQSSCGNTEISQSSFLSTDSFDYPFDILDFNELSIQLVAGDCFLLPDEDMLRYYNICVFKGRIYKVIDKTGRTITVGPEDDPKAQLAAEPLVDCGPEDNKKFLVESEGELLLVDIDPVPVDLKIRLFRLDEKERKWVKFEKNLRDRVLFIGTKYSFSASASDLCVPKGNCIIFIDEIFSEDRLSIVHLDQDRQLWPLCNHPEYYDLFWPPPKWIIKSQLH >RHN44052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1266933:1268426:-1 gene:gene38101 transcript:rna38101 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSAGGSSSNMEIDIPAYEIKGRTMSIEEWELIIQAENPVDFASLTHHGCDLVRFYKKQKLMSYFSLLNGPTYEVLIRQFWVRASIFDIEAARQEEAQLILVDPTLKGKTREEMGLLSFTGTEIRSNVMGIPVTINEQVIAQAMGRDTSGKYFGEEIPNPRTSSWKEIVNETIYGSKVAQPYSTLSIEKKLLLKIQNENIFPKGGGSDQPSLGHKVFLHHTITQETTMNVPKYMFKYMIKELKKSQMENRKFVPYGRLLSVIFQEGGILSALKDVGIYDNQKLRAVTGKIINASTLVKMKLIPANAHKKLDSDMHESDAISDLVTHHIPICKKDPLDVQRAYILDFYKTYKKKISLKDVPEEMYGGDLPVAKGRKSKKKQITKEEYLAEDATEKGAQKHQKAKKEKSTLSTIQEEVENLDEVTIIRKRTRNAQETAEQLASEQPASEQAASEEPQSPKKKREAALKTIKRKRSSRNLKTAEGRRAEMLEELEEN >RHN61790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38389503:38390915:-1 gene:gene24286 transcript:rna24286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MSYSGECLLQLAQRCMKHQKQMKQIHSLVITNGYLNFQKSNNASFSNHIKWMPTLLCNALIRAYNVHNHNKVFVIFTNMLANNVSPNTHTFPPLLKSSSAFVVTPLHCQALKRGILSDPFVLTTLLVVYARTNHIVCAQKVFEEVPMFCIVASNAMINAFTMNGNMEGALLVFEWMPYRDVVSWTTMVNGFALSGNFGAAIRFFGTMMRHKDVLDCLVKPNEATYVSVLSSCTELEGKGALDFGRQVHGYIVVKGVDLGVFVGTSLINLYGKMGCLNYSASVFRVMVVREVCTWNAMISSLASNGREKEALDLFEKMKLQGLKPNSITFVAVLTACARGGFVSEGLELFESMSSKFQVVPVMEHYGCVVDLLGRAGHIQEAAEIIRNMPFPPDKTVLGAFLGACRIHGAIELGEEIAKMLKLQTQHCGQYLLLSSMYAEKERWNHAADLRNEIMEAGIHKIPAFSMVHLT >RHN56644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32527102:32528463:-1 gene:gene32076 transcript:rna32076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MANSAPIDIISSLPDEILSHILSLLPTKLAFSTTILSKRWHPLYRSLTSLDLDDEAVADTNSFLRFHRSVDKDYNLEGWIERANQHPVEHIEICSPFHLIPLGLGIFRFSTLVILELVYLEFPGNISVNLPSLKTLHLNDVHFKNKKNFDKLVYGCPILEDLIADIYYNEGDQGDTVSPGAYKILSKLIKAEINGFLMDVPFRAISNVKTLTIKVSYELFDTDINCYCRNLLLFQNLIQLELYLYEFYHWDNVMEVLQNCHNLQDITIEQWINDRSNQDLCKNWNYLNDVPKCISSHLRTCTLIFQGIVEELRFATYILQNAPHLEVIEICIVDHNFTKYKMHLPIQDALEEELNSCPMISPKCKRSITFR >RHN48654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49261388:49262352:-1 gene:gene43393 transcript:rna43393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LysM domain-containing protein MACAFSSITMAMRISRYCVLFSAVIILLLNCCESRRSELTVEMKQRIANNNKACDEIYVVREGETLHTISEKCGDPFIVEENPHIHDPDDVFPGLVIKINPFTNR >RHN44943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10211301:10212246:1 gene:gene39130 transcript:rna39130 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIKEIFAESIYSFPIIPFTHATFFGCICKKSSFFTFCVLLLQRGIVELRVL >RHN49291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53856910:53861141:1 gene:gene44106 transcript:rna44106 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Yip1 domain-containing protein MMQGGGKYTTIDSQQLQGSVPAVPDPPPVTVKFTDSNLQTFPPSQAQGKITGGSRPPRDADDSFSKPASGSSDEPQQGGWLRNFAIATYKPYFDVDTSDVLERIIDSLFPFRGTFNEKTSTNPDLYGPFWICTTLIFVAASMGTFVTYVAHKLKDQKWDYDINLVTWSAGLFYGYVTIIPLCLYVILKYFSVPAGLVQLFCLYGYSLFVFIPALCLSIVPLDIFRWVIAGVAGFMSATFVALNLRAHIKSAGERWFFIVAGIFLLQLALAVVLKIYLFTVSV >RHN72278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6403232:6404093:-1 gene:gene7972 transcript:rna7972 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLNGDDECKRNSETPGCGGLFCNLDEHWIKYYNKKIGSCDTPQAKM >RHN76741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:896849:901624:1 gene:gene114 transcript:rna114 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLWRWYQNCLAVHPVKTQVISSGFIWGAGDVAAQYVTHYTAKTRGVTNESHSQDDKKEFKINWKRVSTTSLFGLAFVGPVGHYWYEGLDKFIRHRLLLKPNSFRFVAAKVGADGFLFGPLDLLVFFTYMGFSTGKSVPQIKEDVKRDFLPALILEGGIWPVVQVANFRYVPVRYQLLYVNFFCLLDSCFLSWVEQQQDAPWKEWVKSFLPLK >RHN78653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17197061:17200638:1 gene:gene2287 transcript:rna2287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclopropane-fatty-acyl-phospholipid synthase MDAAYDILGRICSLQRNLKYIVPSWTEVGARLFVTRFLSAYITTGCLMLLEDGGTIFTFEGSKKKCSLKSVLRIHNPQFYWKVMTNSDLGLASAYIVVTFHLGWWTPVFFTAGLASAKFFIKHVSRKNTVTQARRNISMHYDLSNDLFACFLDEKMQYSCGVFKDEYEDLKDAQKRKISILIEKAQIDRKHEILDIGCGWGGFAIEVVKKVGCKYTGITLSEEQLKYAENKVKDAGLQEHITFLLCEYRQLSKTKKYDRIVSCEMIEAVGHEYMEEFFGCCDSVLADDGLLVLQFTSIPDERYDAYRRSSEFIKEYIFPGCCIPSLSRVTLAMAAASRLWYMLYFNTANKLFFLSTILQNIVGCSVEHAENIGIHYYPTLRWWRKNFMENHSKILALGFDEKFIRIWEYYFDYCAAGFKSRTLGNYQVIPLFIIKYFHQGRKLRCLCKLFKIDKMTIAIGNSHTLEELGFGL >RHN80141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35472541:35473437:1 gene:gene4028 transcript:rna4028 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLEQEVHELRGEVTTLRAEVENLTSLVSSLMATNDPPLVQQRPQSPYQPMCPQKPRQQAPRQSIPQNQVPQKFIPQNQVQKASQCDPIPVKYADLLPILLKKNLIQTLPLPRVPNSLPPRYRPDLNCVFHQGAPGHDTEQCYPLKEEVQKLIENNVWSFDDQDIKVLLQQQHLAPHSVAAVRPITNVVQDPGYQPQFQQYQQQPRQQAPRIKFDPIPMKYGELFPYLLERNLVQTRPPPPIPKKLPARWRPDLFCVFHQGAQGHDVERCFSLKIAVQKLIEDDLIPFEEFGSECAS >RHN60413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26473108:26480025:1 gene:gene22724 transcript:rna22724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MGFLLKEALKTLCARNQWSYAVFWKIGCNNSKLLIWEDCYYEPLPSTFLPQNVGTSNLPYQDREGCWFSSDSQLRIQEDDRVCSLINKMMVNNSVNVAGQGILGRAAFTGNHQWILLNNFIKDVYPPEVLNEVHCQFSAGMQTVVVIPVLPHGVVQLGSFLPIMENIGFVNDVKNLIFQLGHVPGALLSEDYSTRLSSERHTGSVTDSVPVSSNPHVITSNCVPLVANGSNQPSNSLNSTMPVLRGEINSYHSSVWTPQSQNLNQIFDSICQPKAQRENTTVKAEAEVIPASLDSSCLQRRSVSYNARSAFNELTDSNLNGFGLKQMEQQVLSGIGRQSHGNPNMNPSSSVFNMSQPKTDGGKIYYQNSNNTSLLGGIPICSSGMNSNILRTNMINCSVSNLPELSTTDFSGKQKVGFGIQNNNSTKAANHMHLEGSYQKNLPVDLKHDQDALATSDQRIDDLLQSLKIPSPSLYREEHVSMNDHIPDFLRDCLDKDVTNQHMKTNFEHGEACTQLSSGDDDLFDVLGMDFKMKLLNGNWNTLFADELDGNAETLDKKAMSTNFPIVGHDNIYPVNEAISGNGIFSGTKSDHLLDAVVSTTQSVSNQNSDETSCRTTVTRISTASVPSTSMQIMRDHVSQGGLFDFPKTEVKTSPAETSSIRSGCSKDNVGNCSQTTSIYGSQLSSWVESGSSNVKRENSVSTGYSKRPEEVSKSNRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLERTIKHMLFLQSVTKHADKLKQTGESKIVSKEGGLLLKDNFEGGATWAYEVGSQSMVCPIIVEDLNHPRQMLVEMLCEERGFFLEIADLIRGLGLTILKGVMEARNDKIWARFSVEANRDVTRMEIFMSLVRLLEQTAKGGAASSNAPVDNMMVYHSIPQPT >RHN46764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34684878:34685009:1 gene:gene41288 transcript:rna41288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vestitone reductase MEEGKGRVCVTGGTGFLGSWIIKRLLEDGYTVNATVRDDPGQE >RHN68099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32841278:32844019:-1 gene:gene16396 transcript:rna16396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKPIFDLEVEATEEYESDVSSHVASTISTHQIETSTGSYSNSLSNSDSISLDLTLNFNNNDLVVRDSTGISFSSTSESSNELTIQRVFSCNYCRRKFYSSQALGGHQNAHKRERTLAKRAMRIGLFTERYACLASLPLNGSFRALGIKTHSSLHHGFSPPTIRPSPEIKSNVRFKQGSIGHPIFLEDDETELMWQGSFHQVTKGGSNTHQSSNMCFSEVNVKPLVDIENSAPELTLKL >RHN70962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55438188:55442996:1 gene:gene19598 transcript:rna19598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MAYGVIEDDVSPIEEVRAVVSNEDDQNLPIWTFRMWTMGIISVVLLSYINTFFIYRTQPLTVTMITIQVATLPIGRFMAKVLPTKKFRIIGFGEREFSLNPGPFNVKEHVLISMFANAGAAFGSGTAYALSIVDIIRVFYYRKITFLTSWILVLTTQVLGYGWAGIMRKYVVDPAEMWWPSTLMQVSLFRTLHEKDENRMSKGKFFLIALMCSFAWYVVPGYLFATLSIISWVCWIFPNSVTAHQIGSGKNGLGLGSFSLDWTTIASFLGNPLVTPIFATVNILVGYILLIYILIPTAYWGFNLYNAKNFPIYSNELFSAQGVRYNVTAIVNNKFEIDMDAYNNQGHINMSIFFSISYGIGFAAIVSTLTHVAIFNGKDIYHQLQSSRTGKEDIHTRLMKKYKDIPNWWFHVTLVVSFLLALALCIFKKEEIQMPWWALIFASGLALIFTLPVAIITATTNQTPGLNVITEYIMGVILPGRPIANVCFKTYGYMSMSQAISFLSDFKLGHYMKIPPRSMFIVQILGTLIAGTVDVGVAWWLLGSIKNICHEDQLPKGSPWTCPNDNVFFDASVIWGLVGPRRIFGPLGNYEKLNWAFLIGLLSPIIIWALIKAFPSQKWISYIHIPVLLGATASMPPASTVNFNSWITVAVIFNYFIHKYRKKWWERYNYILAAALDAGLAFMTTLLYFTVSSGGISLNWWGQDEHCPLATCPTAKGIVTDGCPTF >RHN45317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16543295:16543771:-1 gene:gene39586 transcript:rna39586 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhJ MQGHLSAWLVKHGIVHRSLGFDYQGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHLTRIEYSLDQPEEVCIKVFVPRQNPRIPSIFWVWKSADFQERESYDMLGISYDNHPRLKRILMPESWIGWPLRKDYIAPNFYEIQDAH >RHN39428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7051924:7055297:1 gene:gene45450 transcript:rna45450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MGIIEKIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGGGDGFEVTKFGHGRVSLIGFPSVGKSTLLTLLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDIVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQVNIF >RHN46678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33985809:33986609:1 gene:gene41197 transcript:rna41197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MASSMLVIKVTCLAMICLVLCIPLANASLTCDEVKQNLTPCLPYVTNPHTLSPPDQCCNGVKTVNDNAQIKPDRQDVCRCLKSLLTGVPGLNGTVASTLPSDCGINFRCPIGPDMDCDKVN >RHN54020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6257160:6258792:1 gene:gene28995 transcript:rna28995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MHGSVLTAISHIITAVIGSGVLSLAWAIAQLGWVAGPAVMILFSLVTAYTYCYRTGDPDSGKRKYTYMDAVRSILGGAKVTFCGIFQYLNLFGIVIGYTIAASISMTAIKKSNCFHQHGDKSPCHMSSNLYMIMFGVIQIFLSQIPDFDQIWWLSSVAAFMSFTYSLIGLALGIAKVAGIGIGAVSDTQKIWRISQALGNIAFAYSYAVVLLEIQISIAVTKIFYMLCGCMGYAAFGDAAPGNLLTGFGFYNPYWLIDIANAAIKNTKYFLNQSLSL >RHN72691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9789680:9792159:1 gene:gene8438 transcript:rna8438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB family MDSMNLLAADHVAPFPDSGDLIFAAGLLSNHHNPQKLIPIRSIPPPPPPPGFPAHHSPPPPSVKVEDPSRSGSGHSSLPLCQEPGSSSDDVGNSSASTKEFGSRKRRRKSSRKLEDFAANLVKKMTEKQEQMHKEMVEMIERMEKERIKREEAWKREEMERIKQDEEARAAERSRSLALISLIQKLLGYEIQIPPPAEGSGIREEDEVEVNNNNNSPPLTHNMGSAEVNNNTNSLALIQYVGPAEVRNKRKDFNSSDPSNNRWPDVEVQALIAVRTALEHKFGHMGSKGSIWEEIAGALQGMGYNRSAKKCKEKWENINKYYRRTVGSGKKRPVNSKTCPYFDELDNLYRNGSLERNGNALSSTNNVSIREEKEQGEIS >RHN42053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35096803:35099596:-1 gene:gene48444 transcript:rna48444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative salicylate carboxymethyltransferase MEVAKVLHMNGGVGETSYANNSLVQRKVIYLTKPLRDEAITSMYNNTLSKSLTIADLGCSSGSNTLLVILDIIKVVEKLCRKLNHKSPEYMIYLNDLPGNDFNTIFKSLDIFKEKLLDEMGTEMGPCFFSGVPGSFHGRIFPLQSLHFVHSSYSLHWLSKVPEGADNNKGNIYISSTSPLNVVKAYYKQFQIDFSLFLKCRAEEIVEGGCMIITFVGRKSDNPTSKECCYIWELLAMALNDMVLEGIIKEEKLNTFNIPIYYPSPSEVKLEVITEGSFVMNQLEISEVNWNARDDFESESFGDDGYNVAQCMRALAEPLLVSHFGEGVVKEIFNRYKKYLTDRNSKGRTKFINITILLAKRS >RHN70424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51367619:51374848:-1 gene:gene19003 transcript:rna19003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MLNVNKSIEGEKKRSRYQQVGMGKYKVPILLLCVFFNLHHNARTYSPLEREIEAKLKLLNKPAVKSIRSQDGDIIDCVNIYEQPAFDHPALKNHSIQRVPNFLLESQISRTGDGFNVSSDVYQTWQKSGSCPEETVPIRRIRKEDLLRAVSLARFGQKPPEVFVNSINPTNLNFSNLNANDGVVDLKNRSDALLVAYAFQFIGAQANINVWNPKVEKPEDFTTAQIWLKAANGPDNFESIEAGWTVNPKLYGDHNTRFFVYWTKDTYKSTGCFDLTCKGFVQTNKDIALGATLGPVSVPSHQQYEINVGIFRDNSGNWWLKVKNNIPVGYWPKELVSNLQSSASLVQWGGQVFSYEVKKTPHTGTQMGSGEEAYNKFGVACYMGGVRIKDNSQALKYPSFVSTHAIEPYCYSAFNDAPYGKDPVFYFGGAGRRPPHWSISRTLFDIFLLKRAQNMIYRLSFWGLKKLNLFNINGHI >RHN51540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19545628:19548673:-1 gene:gene35997 transcript:rna35997 gene_biotype:protein_coding transcript_biotype:protein_coding MHEYEFPCCGLDFWLFLTICLVIVLLAGITSGLALGILSYSQVDLEVLIKGGRPKEKRNAERIQPFVKNGHFVLCTLLLGKSLAMEALPIFMDLIIPSWYTILMSAPLVTVFAEILPQAVCSRYGLTFGANLAPFTHLLLLIFFPITYPASKLLDWALGKEHSVLLRRSELKTFVDLHADEAGKGGELSHHETSIITGAMDLTQKTAIDAMTHISETFSLDINSKLDMHTMTQIMSKGHSRVPIHTGNPRNIIGLILVKNLIFCRPEDETPIKNLIIRKIPR >RHN40069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12979733:12983224:1 gene:gene46165 transcript:rna46165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-ribulosamine 3-kinase MMSTSTFFSSLPLPSFTKTKSLPMCSMSKDPVREWILSEGKASKITKISPVGGGCINFANRYDTDAGSFFVKTNRSIGPSMFEAEALGLGAMYETGTIRVPKPYKVGSLPSGGSFIIMEFIEFGGSRDQSVLGRKLAEMHKSGKSSKGFGFDVENTIGSTPQINTWSSDWIQFYGEHRLGYQLQLAFDQYSDRTILEKGQRLVENIKPLFDNVEIEPCLLHGDLWSGNISSDKNGEPVILDPACYYGHNEAEFGMSWCAGFGGSFYNSYFEVIPKQPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIDDYLAYLKA >RHN78916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19984429:19985127:-1 gene:gene2584 transcript:rna2584 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNTTSNQEESCFNNKFSKNKETVFVQILRRSYEEMGSMGCCKGSFATPQVATLNKNSSANADASNALVSETCLTTKDYESLFLQTSPSSVLELDTLASNSIEKVDVPSNNAATLVDEASEMLTCQPQELKIPNLSVFNLPELVAAENSIGTDPNLGLGFDLDRFNIDDFGSDFEEFGDLGDFEDIQVHGFDDNEPSELPDFDFGDIGDDDEFVGWIEEPFQDNISYLHVL >RHN73527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17373640:17376965:-1 gene:gene9350 transcript:rna9350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative feruloyl esterase MTADQSHQRVIIPNKHGEKLVGILHECGATNDIVILCHGFRCTKDTNLMLNLVAALEKAQISSFRFDFSGNGESEGSFQYGNYWGEVDELHAVVQHFRESNRAIPAIFGHSKGGDIVLLYASKYHDIKTAVNLSGRYDLKAGIEERLGKDYLERITNEGFIDVKTKSGKLFTQAYNITSTKFEQDIIMNYLVQCVQSYYIRIELLCCLLNAHLRTSTVAGSLDYRVTKESLMDRMGTNMHEACLQIDKECRVLTVHGSSDKIIPVQSAHEFAKVIPNHKLHIIKRANHAYSSHQDVLSSVVMSFIKETIDPNKGTVS >RHN74822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36928213:36931983:1 gene:gene10942 transcript:rna10942 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSIRRQANRAGFTVGIKRSSIQNPMLELMCERSGDHKVSKKRLKHEAT >RHN65724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4746750:4747099:-1 gene:gene13602 transcript:rna13602 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTVEDDGGGGGRWEDGGKMTFATSRREERNKKGVVDLHQCPCFMVGKSKMPMLSILCNKSVFSYHFSFFFFFFLSLFISPFPSLQLLFSR >RHN75610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43725494:43730152:1 gene:gene11842 transcript:rna11842 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TPS6 MAFTELRVSYLTSTLARCNFGTSTYQSRILSLQKSSSRIMHSKLSIKSIALNEKLSPLQTEDKTKSLGQVKRRSREALVNSNDPIVTLKMIDSIQRLGIGHHLEDEINIQLGRICDWDLSQDLFATSLQFRLLRHNGWTTCSDVFRKFLDKSGNFNESLTKDVWGMLSLYEASYLGTEDEEILKKAMEFSRARLSELIPHLSPEVGRNIAKSLTLPKHLRMARLEARNYMEEYSKGSNQIPALLELAKLDSDMVQSLHQRELAEICRWWKELGLVEKLGFARDRPTECFLWTVGIFPEPCHSNCRIELTKTICILLVMDDIFDTYGTLDELVLFTKAIKRWDLDAMDQLPEYMKICYMALYNTTNEIAYKIQKEHGLTVVSYLKRTWIDIFEAFLEEAKWFNNGCVPNFKTYLDNGVISAGSCMALVHATFLIGDGLSKETMSIMMKSYPRLFTCSGEILRLWDDLGTSTEEQERGDNASSIQCMMRENNISDENEGRIHIRMLLGNLWRELNGLATTKTIPLSVVKASLNMARTAQVIYQHGDDQSTFTVDDYVQTLIFTSLPTSH >RHN48914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51100050:51101187:-1 gene:gene43682 transcript:rna43682 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIDFYFLFYFIMFVSTSNHSYPVTLSEKKNSALTNALVFRACF >RHN60040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17497795:17498467:-1 gene:gene22236 transcript:rna22236 gene_biotype:protein_coding transcript_biotype:protein_coding MITNCSRTLPRDFLMLKHNCNRFPALGHYLKTSCSRTTPRDFSLL >RHN78939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20135649:20137338:-1 gene:gene2608 transcript:rna2608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MMDASTFETLTPSRFITFTLPNPNPTSSHSNSLIRVAVLDSPLNSSSPPHVASMLVPEGRESDWIFSTQSGHLQLLFSSPHPISRFILIGLNPHSSKHIYHRPFNSSLLHQQFHIWSKPLLLALSPKSFFSNGALPDIPILSYEDNLISSLVINQCLSSHVGQMLVEDVEIETQNDSREFRRRLRFKRMPNLIQTEVLIVPETDSGLNNVCIGDTKFIPDLQVLVHPYLGPMVASLSLISDYIDGRIRNGFRPKALCLGVGGGALLTFLAIQLGFEVVGVDSDNEVLKVAKNYFGLEDSEFIRIIVADAVKYMKKLADRGKQCSKSSFNDSEPDGFGHMVNGEEVTRHKFDAVMVDLDSSDIRDGISSPPLEFVRKQVLLAAKLVLSEFGILAINVISPSQSFYDNILNLFQKFFHDLYKIDVGNGENFILIATVSPQVFSVGDCSNPFLLRLKSVIPETYINSIRKI >RHN56790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33772260:33778520:1 gene:gene32241 transcript:rna32241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase, NLPC/P60 domain, LRAT-like domain-containing protein MGVFSNKIDHEQLNPGDHIYSWRQAYIYAHHGIYVGDGMVLHFTRGGGQEIGTGTVLDRFLCSSSPSNGTDTPCPKCGDQNKTDGVVSSCLDCFLSGDNLYLFEYGVSAAYFLAKARGGTCTLAVSDPTEDVLRRASFLFENGFGGYNVFNNNCEDFAIYCKTGLLIITSISVGRSGQAASCLAAASAVISTPLRFMTTSFSGLAAVGYGMYCVSRFVSDIGVRRDVSKVPVEKLVALPDIDEPEKTPEVTKED >RHN68085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32707432:32707823:1 gene:gene16382 transcript:rna16382 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNTVSTARGLPPNGIPAKPMAPHAAWGACGLQIASQLLAVLPDCPSPSLIQSAALYPSPRLLQAQFKFYCIVIQ >RHN72413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7426106:7426781:-1 gene:gene8120 transcript:rna8120 gene_biotype:protein_coding transcript_biotype:protein_coding MERSKKNERLLMESLSHNKDGCLEFRPNLSRVIKLNMNVASKDLFSSSDGKVRDFNGGEVKCC >RHN48855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50713191:50713595:-1 gene:gene43616 transcript:rna43616 gene_biotype:protein_coding transcript_biotype:protein_coding MFSACQQKKKNSKFREWAFNPLGRVLYFLKTRKVKYMNDLACKDLQIFWEELGPFGFYLIWLGLHVQSALGMKCYLEKLNEVEKLKDNVVALELEMERLKSKMATVEINLNAARDLLDAEDFEVIDLDAELGFV >RHN68690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37842962:37855215:-1 gene:gene17074 transcript:rna17074 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFEHFKDMYDVALKPRLLNTIIREHLPSADHPFSNPSELSKVVSLIKTHSLLSDSVTESLDPKLIKSLKSSFTSWVDRLLLLISSHEPDKRWAGISLLGVTCEECSSDRFEESYTVWFQKLLTCLQSPAESHLVRVAACASISDLLTRLSGFPKFKKDGSASAVKVVQPVLKMLHDDNSDAVWEAGVHVICTLITSFPFSIQRHYDSVESAIAVKLVSGGCSHDMMKKLAHCLALLPKSKGDEESWSVMMQKILILINDQLNLTFQGLEEETIRKEFNGLLILPGKQPPPPLGCDVSTEEPSTNTKKRSKQSRTSNVSLLMSGCCMLLTNTYPVKVNVPVRLLLVLVERILMVNGALPEMSLPFMTGRQQENVCSELPVLHMCSLELLAAIIKATGSQLLPHAASIVRFITKYFKTCALSELRIKIYSIAKILLISMGVGMALCLSKEVVNNAIADLSTIEKKNGGMSNGSNTDASTVAPLPASSKKRKHSSTTGSLQEYDAGGGLGVEVPKKCTVAPISLRVAALETLEALITVAGALKSEQWRSKVDSLLIVIAMDSLREGSSSEEINVFQKKELTATAADLQLAALRALLASFLSVPRPPYLSQGLELFRRGKQQTGTKLAEFCAHAMLTLEVLIHPRALPLVDYVPPNNNTYDEVHFNFRDECVSRNHTTPFGLHQTEPPDGVNNMFADYLANGDDDMGGLWKENMKDAKKSSEMATPLPSSADIQERSEMVTETTTCADVEMRTVENETVSKSDNPGESVTHFQEPATCTTSNPAVIDIRGDAATITEAERIVSDSAIAHNEAKHVELASQSRSSAQSSDTNMLQQFAFKLDYGNSVDDDDDPFPDIVDGDPDSE >RHN70715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53401891:53404825:-1 gene:gene19327 transcript:rna19327 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGSRRDEGSLVISNTNVFAALDTLKKKKKSDKDKKNKGSSKSTKSESESQAFWAPAPLNATSWADVDDDDDDYYLTTAPPQFSDPQPSEDKPEHFEESESEEDILDEGDEEVEEEQDHESEPEYTVKPEPEVKKNAEVPAAPKEAERQLSKKERKKKELEELEALLADFGVTQNENNDGQGQDESQGAPQDKKGVDGDVDGEKKEITAESKNAKKKKRKDKASKEVKESNGQPNSSDVENAEEDSSVVDVKERLKKVASMRKKKSSKEMDAAARAAAQEAAARNARLAAAKKKEKNHYNQQPVR >RHN60745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30075137:30076516:1 gene:gene23102 transcript:rna23102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucosyltransferase MSGERIINSEIHVAMFPFLAFGHISPFVQLSNKLFSHGIHISFLSPSANIPKIKSTFNLNPSIHIIPLHVSDNLPSNTSNLHSGMFGTLIQAIDSMQDHVKTILFELKPNFIFFDIATSWIPEIASELGIKSVYFSVYSAISASYLCPSSRLDDTKGRDITYEDFKNPPLGYFPNSKLSLQTFQAKNIFIALFQRFNFHKVMQNFSESSLIVFKSCKEMEGPYLDYLQNQFEKPILFSGVLVPEPSMDVLEEKWTKWLDNFPTKSVILCSFGSETFLSDDQINELAIGLELTNLPFILVLNFPSNLNAESELERALPKGFLERVKNRGIVHSAIVNDCQLVLLPFKGDQFLNSKLIADDLKAGVEVNRKDEDGFFEKEGLFEAVKTVMVEVDKEPGKQIRENHMKWREFLLDKKIQNKFITDLIARLKSLA >RHN70741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53675580:53677383:1 gene:gene19355 transcript:rna19355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MIHHEVFAFNERIVKMINTLFFVLCLVTSSTGYTIDSTHSTLKEDSELKRQRKVINTKSGYTIDCVDIYKQPAFDHPLLKNHKLQRKPSFESEDIFHTKPMHMLEKVRCLKEMVPIPRTTRDELIQSSFSFNNHSLAQTSSSSRSAYVFVDASFGPYYGVSGATSMYNPKVDKGQSSEGYLYVKNGEGDGTNMIVIGWNVSPDLYNDDATHIYSRWTSDNFKTTGCYNMLCKGFVQTDKYYFGSRVEKTSTYDGKMVEMPISLFQDPTTKNWWVKVVNITIGYFPATLFSNMANANEVGWGGRTVTPAGASSPSMGSGHLPDDDFKHACYFRYISYQSVARKDLGPEYYMVGKFNDAPKSCYEVEFYGDQRGQVGYSMQFGGPGGNCGT >RHN68809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38771385:38775322:-1 gene:gene17217 transcript:rna17217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative succinate dehydrogenase (quinone) MAFFLNNSTFASHLRSTSQKTQDSFSLARRRFHVEPGTREKALLAEDAALKPFKSYKQSVKKLRKIGDVLTIVVVAGCCYEIYVKAAVREEARKQ >RHN50481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7495335:7496369:1 gene:gene34764 transcript:rna34764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative delta(7)-sterol 5(6)-desaturase MQMMEDTTTDYRRFFIEDTDMYNHIVLGTLLPQFLWVPLPRFFQTWLRNYISGVLLYFISCFLWSFYIYYWKQNVYVPKDAIPSH >RHN68116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33021794:33022129:-1 gene:gene16416 transcript:rna16416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MAASHRLITIIQHSLLRCSKPSIFAATMLSQSSSYNHFILNVYSTKSGSSYRKEIGHVCHVTNQIVDVSIAMGLPPVLTALEVLDRSTKLLELAEHLNKNFVRTVAMQAIK >RHN63993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55760509:55761861:1 gene:gene26765 transcript:rna26765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MQGELEKANILVTQALSILPNSPEATLTAVYVDLLLGKPQEALAKLKSCSRIRFLPSGVSLNKSS >RHN52321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33881430:33885968:1 gene:gene36984 transcript:rna36984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative B-cell receptor-associated protein 29/31 MALQWMILTYVVAIEAAVAILLTLPSPKLLRNRLTSLISLILQPALFIVPFAGFQLLDIYWKAEHRLMCTSDVCTAAERDRYEKTTYKAQRNVILCISACLLYWAIYRICKFQKDIQSMEEVEKRIKSK >RHN43466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46103056:46105933:-1 gene:gene50048 transcript:rna50048 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAHALKRIPRIKFPNRHQKPSGSTSEAQALSSKSDGSLSFFSSSNASTTLGGKASLQPKRTPVTNEEIDAVLLGGCF >RHN79378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28232036:28234965:-1 gene:gene3162 transcript:rna3162 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSVIALQFLFPPQLIFQPPFLFLPQPFGNEKHIYSIISGHRDGTIASYSSSLFFGLFVASLLQRNIKSCLILRDLEKAKALIVIQGIVIKKFFTTFGVQYA >RHN41682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32027470:32029003:1 gene:gene48032 transcript:rna48032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosylmethionine decarboxylase MALAVSSIGFEGFEKRLEISFFEPGVFLDPEGKDLRSLTKSQLDEILAPAECIIVSSLSNDKVDSYVLSESSLFVYPYKIIIKTCGTTKLLLSIPPILKLSEALGLTVRSVRYTRGSFTFPSAQSFPHRSFSEEVAVLDSYFAKLGTGSVAYVLGGSDSTQKWHVYSAAAKSVSLFDSVYTLEMCMTGLDKEKASVFYKEQSSSAFLMTANSGIRNILPDSEISDFDFDPCGYSMNSVEGAAVSTIHVTPEDGFSYASFETCEYNLELMNLNQLVERVLTCFQPNEFMIAVHVDVASNSFEQNCLLNVKGYCREEKCYQELGRGGCVAFQKFIKTSDCGSSFSTLQCWKEKFIQKFVLKFIKTSDSVSFT >RHN67972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31890436:31890910:1 gene:gene16242 transcript:rna16242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative unspecific monooxygenase MLLASNQTWLDRARAEILEICSGRIPDFDMISKMKLVIMFIHESLRLYPPVPLLPRHT >RHN82621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55236696:55239301:-1 gene:gene6796 transcript:rna6796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MKFIPRSRISFMAFKINIFKLRYSSSSHTLADTLVSIFTTKGPTAPELTNFAPELTPHLVESVLTRLRSWRVAQTFFHWASNQRHYHHTSFTFNAIASIFSRSHQTQPLIHLAKHLPNSSCSFTPGAFSFFLRCLGNLRLVHQANQLFDEMSRKGLFVPDRYSHNTLLEVISKCGLVDLMEMRLNEMKGFGWEFDKYTLTPVIVTYCNAQRFDQALSVYKEMEEKGWVDERVCSMMALCFSKLGEVDKAFELVERMGECGMRLSEKTFCVLIHGFVKESRVDKALQLFDKMRREDSFTPDVSLYDVLIGGLCKNKDTDRAISLFSEMKEFGVRPDIGILTKLISCFSDSKSMVSRLLEEIPEGEEDEQTLVLIYNALLTCYVNDGLMDEAYRLIRMMIQSKSSTDSDENRMDVFFKTVKRMVFPNITSFSIVIDGFLKNDQLDLALSLFNDMRRFVDKPTILIYNNLIDSLCKSNRLEKSYELLREMKELGIEPTHFTYNSIYGCLCKRKDVSAACVMLKEMGSCGHGPWIKHTTLLVKELCDHGRVIEACEFLDNMTQQGFLPDIVSYSAAIGGLVNIQEVDHAMKIFKDLWSHGHCPDVVCFNVLIRGLCKVNRFTEAEDLFHELVKRGLSPSVVTYNLFIDCWCKNGNVDKAMAHLFRMTKEDKVPSVVTYTTLVDGFCKEERPDDAILLFKEMEKNGCPPNQITFMALIYGLCKCCRPTEALCYLREMQQKEMKPDSFIYVALLSAYLSDLNLTSAFEIFREMVDLGFFPKPLDKNYPTVVDAILKFCKDDRTSSGIQVLIEEGKLPMHCELLEVKD >RHN64194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57184430:57185503:-1 gene:gene26981 transcript:rna26981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEKNPPYLPHDLIILILLRLPVMSLLRFKCVCKLWFSLISQTHFANSHFEITVTHSPKILFISNPYLETRLIDFEASLSDYYTSTSLNLSFVRPRPRPDPYPHRGYYFYIQTKCSCRGFIFLHRAATTYLWNPSTRVHKQIPLSPYGSYLQVNHSLHLYCFGYDPSTDDYLVVLMSFHNVFHNISLHLEFFSLRDNRWNEIEVTNFPYINFSDYSMVGSLFNGAIHWLAFHLDLSMNVIVAFDLIERKLLDISLPDDLFWRGLPGDFEHEPTDCDLWVFGELLSVWTRQNDIVEIWVMKEYKVHSSWTKTLVFSIDVIPTHYFAPICCTKIGDIIGTDGEVGLVKYDEKGQFLEYTS >RHN68965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39927584:39929375:1 gene:gene17390 transcript:rna17390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MLFYFIFFAIIFLFLLFKRIILTHNPKNHISYFTTSTHTPPSYPIIGCLISFYKNSHRLLDFYTHLLSQSPTQTILINRLGARRTIITANPLNVEYILKTNFTNFPKGKPFTEILSDLLGCGIFNVDGKLWSKQRKIGSHEFTTRSLKDFVAKTLEDEVQQRLIPLLELASDGNHVIDMQDVLRRLTFEIVCKVSLGYDPCCLDLSKPLPPLLSAFDKASEISAIRSHSPIHLIWKIKRVLNLGSEKLLKEAVKLVHESVNEIIRKKKKEISERNNVSGSDLLTKLLEGGHDEIMVRDMVISFIMAGRDTTSAAMTWLLWLLSKNPSQEDLIVKGVKEVLGGKNKDDEFELMRSFDYEGLKEMKYLKACLCESMRLYPPVAWDSKHAANNDVLPDGTRVGKGDRVTYFPYGMGRMEALWGKDWNEFKPERWFEEPIEEGGNNNEGVLKYVSPYKFSVFQAGPRVCLGKDMAFIQMEYVVASILNQFEIRPVSIDQPVFVPFLTAYMDGGFNVRVHKRVQNGTYGRKMGA >RHN79220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26186978:26188210:-1 gene:gene2968 transcript:rna2968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MMAAATTNKQVRSAHIHDDIAVFILSKLPLKSLARFTCVQKSWSLLFENPFFVNMFHNNFLSKDDEDDDNETRLLLKKRTNGYPFHYSLCIFSGERLEDRVILDWPTPFQDDVYPIEILGSTSVNGTLCLYQDINDEITIVLWNPSTGEFKVIPPSVQPYDNIELNFHPHGFGYIRDRDDYKVIRRSKYPVGFEGNWVCVPEKENPLWEMDYLASFWESDVIEMYDPFWEIYSLRSNSWRKLDGVDHMPVPWKDSSRVNLNEWCHWLDKKYMVSFDFSNEMFSVTNLPPYSSNIMEELLNRHLGVLNQCVALVYTVANTASFHVWILNELGVEESWAKLFVVKPSTRIICAIGIGIKSGILYIKRNMELALIDLSTQTSEDIGVEAELACQKIVMYKENLLPFPFGETNN >RHN68852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39108375:39110789:1 gene:gene17263 transcript:rna17263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol dehydrogenase MTKYLFIFLVLFGVVESVGECIDCKSTKSNRCTNFPFKVSPWLPRNGNTRFTDLNGEIIYHFMFISSFSEYTVVDIANVLKIDPQIPPHRACLLGCGVSTGVGAAWRTAGVEPGSSVAIFGLGSVGLAVAEGARLCGATRIIGVDVNHEKFEIGKKFGLTDFVHGEECGNKSVSQIIIEMTDGGADYCFECVGMASLVHEAYASCRKGWGKTIVLGVDKPGARLSLSSSEVLHDGKSLMGSVYGGLKPKSHVPILLKRYMDKELQLDEFVTHELEFKDINKAFDLLSKGDV >RHN42373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37849564:37850064:1 gene:gene48808 transcript:rna48808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(ADP-ribose) polymerase, catalytic domain-containing protein MQETFTSFEEYREIVKANAASLEHPRCLVDGNEMLRFHGTTIACSIGISGSSSLCTLDQCGLCQILRLGFSANRKLHGNAGVSTTSTCRKAIDSIICSKKPFMRKCVIVCRVIAGRINNPLQEIQEISDLGYDSLVKKLSCQLDIEELVVLNPRGVLPCFVVMYKL >RHN38535.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:1001311:1003065:1 gene:gene50657 transcript:rna50657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MNVSTLFLITFTCGFLQNVVSNANPLSYEAFFNFGDSISDTGNAASIFLPMPNPIPYGSSYFKHPSGRMSNGRLIIDFIAEAYGLPFLPAYENKSIDQDIKKGVNFAFAGATVLNVEYYVKNGLPLPDTNNSLSIQLGWFKNIKPLLCKSKEDCNIYFKKSLFIVGEIGGNDIMKHMKHKTVIELREIVPFMVEAITNTTNVLIEEGAVELVVPGNFPMGCSAAMFTLVNSNKKEDYDEFGCLIAYNNLIEYFNGQLKNSIETLRQKHPEVKIIYFDYYNDAKRLYQTPQQYGFDKDAIFKACCGGCGSLIATVCSDPSKRINWDGPHFTEAAYKLIAKGLVEGPFSNPSLKSPLFKIA >RHN40464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16530075:16533320:-1 gene:gene46616 transcript:rna46616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-rRNA-processing protein TSR2 MTNPNNNKLQGQSLQAFTEGIGLVLSRWSALRTAVENEWAGRDTNLKAQTLAANVLAWFTQSKETLYIDDLENLLFQGGVSVNIVIEDGSDEEVAEDLMIMYGECLEGNFSSVERLREASRNPAAYSGVQKIVNGNGDEDDDSDENIEDDNSANMDVDIPKSESNLNSMNKTVNGSQPDNPGEDNSGEGDDGWSVVSRRKNKGRKK >RHN74406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32870404:32870841:1 gene:gene10463 transcript:rna10463 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNSLNKFIKLTTNHYILYTLSILSQIKHTLLIFIFYHFSQVQIHFSPAFLY >RHN57227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37424026:37424238:-1 gene:gene32737 transcript:rna32737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSDVPSDWFTEILSRLLVQSLLRFRSTSKSLKSLIDSHNFTNLHLKIKTPSTSTSSFARKPNSTNLISLT >RHN73848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20614261:20615415:-1 gene:gene9730 transcript:rna9730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGEENDTTKRQQYLSSTGDGLLHRQPFLPDELVFEILSRLQVKSLLQFQSVCKSWKTLISNPQFAQTHLRNLIVDPTINHQRFFYSPESKPCKIASIPVKPLFENLSEPPKAIEFSMEHEYDIFGSCNGLLCLFSVKEGYVTLLNPSIEWKSKISPTLDSYKYHKYWITYHGFGYDHVNDRYKVLAVACSVANGIIKNLTQIHTFGENSWTTIPNFPFPIGSVSCSGRYVSGTLNWVIVKTGVSPNRNVILSFDLAKETCKEVLLPKPDGVNVCNGVLGVLSNCLCVCFVSNKTHWDFWLMKKYGVAESWTRLMMIPLDKILQCLQFRPSFIRPLFMSENSSVLLRAYNKFFIYNLNNGRLDCLPGSFLYDYYIYHESLVSQNF >RHN66351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11264090:11270009:1 gene:gene14317 transcript:rna14317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAAALVGGAFLSASVQTLMDKLTSPEFRDYFTRTELNESLMYEMETSLLTLEVVLDDAEEKQILKPRIKQWLDRLKDAIYDAEDLLNQISYNALRCKLEKKQAINSEMEKITDQFQNLLSTTNSNEEINSEMEKICKRLQTFVQQSTAIGLQHTVSGRVSHRLPSSSVVNESLMVGRKGDKETIMNMLLSQRDTTHNNIGVVAILGMGGLGKTTLAQLVYNDKEVQQHFDLKAWVCVSEDFDIMRVTKSLLESVTSTTWDSKDLDVLRVELKKISREKRFLFVFDDLWNDNYNDWSELASPFIDGKPGSMVIITTREQKVAEVAHTFPIHKLELLSNEDCWSLLSKHALGSDEFHHSSNTTLEETGRKIARKCGGLPIAAKTLGGLLRSKVDITEWTSILNSNIWNLRNDNILPALHLSYQYLPSHLKRCFAYCSIFPKDYPLDRKQLVLLWMAEGFLDCSQGGKTMEELGDDCFAELLSRSLIQQSSDDAHGEKFVMHDLINDLATFVSGKICCRLECGDMPENVRHFSYNQEDYDIFMKFEKLKNFNCLRSFLSTYSTPYIFNCLSLKVLDDLLSSQKRLRVLSLSKYVNITKLPDTIGNLVQLRYLDISFTKIESLPDTTCNLYNLQTLNLSSCGSLTELPVHIGNLVNLRQLDISGTDINELPVEIGGLENLQTLTLFLVGKHNVGLSIKELRKFPNLQGKLTIKNLDNVVDAREAHDANLKSKEKIEKLELIWGKQSEDSQKVKVVLDMLQPPINLKSLNIFLYGGTSFPSWLGNSSFSNMVSLCISNCEYCVILPPLGKLPSLKNLEICDMEMLETIGPEFYYVQIEEGSSSSFQPFPSLECIKFDNIPNWNEWIPFEGIKFAFPRLRAMELRNCPKLKGHLPSHLPCIEEIEIEGRLLETGPTLHWLSSIKKVKINGLRAMLEKCVMLSSMPKLIMRSTCLTHLALYSLSSLTAFPSSGLPTSLQSLNILWCENLSFLPPETWSNYTSLVRLDLCQSCDALTSFPLDGFPALQTLWIQNCRSLVSICILESPSCQSSRLEELVIRSHDSIELFEVKLKMDMLTALEKLILRCAQLSFCEGVCLPPKLQTIVISSQRITPPVTEWGLQYLTALSYLSIEKGDDIFNTLMKESLLPISLVSLTFRALCNLKSFNGNGLLHLSSLKRLEFEYCQQLESLPENYLPSSLKELTIRDCKQLKSLPEDSLPSSLKSLELFECEKLESLPEDSLPDSLKELHIEECPLLEERYKRKEHWSKIAHIPVISINYKVTI >RHN59064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7239379:7248100:1 gene:gene21050 transcript:rna21050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MSSFISTLFRKLKSRRKRYHVYLSFCDEDSHSFATGIYTALTSNRILNLNLHSDHRVYVFWDNNWLGSEYQTLEPSDSVLNAIEECEMAVIVYSKKYTESSWCLQELEKITECRRRTTDGLIVLPVFYDGVYSSYKRLWVRRDMYGEGFHNFMDRISMEKKTSSEDEDKFMAWVAAISNEASIHDGSDYLGDWHKNETDHIENVVECITHVLNKRKDFFKNNYRGSINSRAQDVIQLLKQSKSPLLLGIWGMAGVGKSAIAKAIYDQIGPYFEDTCFLEYVQGTSDENSTLVSLQEKLLFELDTATKIDVSTMQSGEEILKERLQHKKVLFILGVDKLEQLNALCGSREWFGEGSKIIIMTRDRQLLKEYVVDHIYRVKELDESESLVLFNWSAFRQATSYEGFAELSKQIVAYCGGLPLALQSLGEYLHGREVLEWKGVLSSLERFSFPNQNILHALGRSLDDLSDEEKQIFFDIAFFSNGMDKNFVLQSLNSSTQYTTLQISLLEDKSFVTIDDKNKIQMSVVLQAMARDIIKMESHNNSDQPKMYDVFLSFRGDDSRAKFISHLHSSLQNAAIHVFQDDDEIQRGDQISISLFRAIEQSRISIVVLSTSYANSRWCMLELEKIMEICRNKGLVVVPVFYDVDPSEVRHQKGQFGKGFDDLISKISVDESTKSNWRRDLIDIGGTAGFVLIDSRNESSEIKNIVEHVIRLLDRTELFVAEHPVGVESRVEAATKLLNIQKSEDVFMLGIWGMGGTGKTTIAKAIYNEIGSKFEGRSFLMDIREFWETHTNQVSLQQQVLCDVYKTTKFKIRDIESGKNILKQRLAQNRVLLVLDDVNELDQLKALCGSREWFGPGSRIIITTRNMDLLTLCKVDQVYAIKEMNESESLELFSWHAFKQPRPTEIFATHSKDVIAYSGRLPLALEVLGSYLSACEITEWHKVLEKLKCIPHDQVYKKLKVSFDGLKYVTEKQIFLDIACFFIGMDRNDVIQILNGCGFFADSGMKVLLERSLVTVDNGNKLRMHDLLRDMGRQIIYEESPLDPENRSRLWRSDEVIDMLSNDFNLKGAGAVKGLALKFPRENIVHLNSSAFKNMYKLRLLQLAWVKFKGDFKHLSRNLRWLYWHGFPLTYIPAEFQQGSLVAIELKYSNLTLMWKKNKMLSNLKILNLSHSQDLTETPDFSYMPNLEKLVLKDCPSLSTVSHSIGSLHNLLLIDLTDCTSLQKLPRCIYKLKSLETLILSGCSMIDKLEEDLEQMESLITLIADKTAITKVPFSIVRLKSIGYISFRGFEGFSRDVFPSLIRSWISPSNNIISLVQTSVSMSSLGSSKDLQKLRILCVECGSDLQLTQDIARFLDVLKAAKYPNMEASASSRSKNYLKYLLIQIGTKCQVSNVAEECILQTEDETWDSFLLPCDNNSEWSSFSCKGCSIIFDIPPMKGRNLKSMMLFIVYYSFPENITSEGCQGLLIINYTKRTIQAYKRETLTSFGHEDWQTITSNLEPGNNVQVMVILEEGFIVDKTTISLLYDEPVNKKMERCDVVEGQDVTVSGYDDDNVGVSGGDNEAINPHGEETMNHMQITRHGDGLYVDIVGRIQPVPDDLEQPAEAVTEEIHAAEEQRDQEHPVPPPEGEPEQHATVASATVEPTLGAQILDAIRALRADFVRQEQTVTSRLNAVEVRLEELADVIAQIPRDLES >RHN56658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32622198:32623793:1 gene:gene32093 transcript:rna32093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MKHILFQIWRWMMQPKVWRFLGFSAAVVGLLCNALSSSFNYLFGDWNLFKIILYTVFSFIICVLILFAARIWQHSRSRWFQAHTTYVVLAITSLYSYFFDKLMHITPDAYSLISCASFAVTALSLSRNKTQCGFEIDLLYFLLGCLMMQLMKIKLKLFILGAVFSYFLIILRSSFSSIDARENKQYSEFQDGNSVVLDMDSLQLASTNTSSAINSMDSQQLVISSTDIGSMIEKLETCVKELKHQNSKFIQIPLGHAKKYEHSQLVLVNPNFMTNMLNKEPIKDLEEKTNLMVNGGFEKDFSYVYNNCCRESSTASYNIIFLHERRLYNHIFFGFSPASDFPWGSNIQLLNFADYVVTKVRLPEQLFKILEMLEIMCDLILEFESLFYYQFNVSLKKEQPAKWKKLGETIKRIYMELEYVCNTADPTTS >RHN70640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52924917:52929659:1 gene:gene19249 transcript:rna19249 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVNTSLEDGLHQQQLFNSQQDAVDFNVVTQIKQTVLSNGNFNDNVSMEEEEEEGSNGKIEGNNVNVSKEVEIEIVDETEKSRTKKDLVKNNNSKLPSPRGLRMTSVRKNKDGKDEEAAVASSVSNGTSTFDSHPRQPVNNRAVNDKQTHLSKHSGKTDAASTEAPMEKTRPHLIKKEPLDNLPGKAESSFPTSEDAKPRRVGTMPTYGFSFKCNERAERRKEFYSKLEERIHAKEVEESNIQAKTKESQEAEIKRLRKKLAFKATPMPSFYQEPTPSRVELKKIPTTRAKSPKLGRKKSSTMSSELDVNSNSSAQQCRLSLDEKVSQNNPTKGISHVQPKKPQRRSLPPRLTPERISSSNSVTARTSSKAVHDEKTSLSSVTTEVTTLSVATREEKVEAAAAIEENSAFSDETSGTPSLNIEPDVAESQLNGDIVIEDKPQLILVQEPIAG >RHN53493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2061719:2066797:1 gene:gene28403 transcript:rna28403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative THUMP domain-containing protein MAPDAAAAAGGKKRKRYLPHNKPVKKGSYPLHPGVQGFFITCDGGREHQATREALNILDNFYEELIDGAHPSVTKLSDKPLNKKITFADSDSSSIDDDEEEEEKAQVPEGGEEKEDKKPKLDVSNSDNTSHDNETGEKSDPPKIDGLHAQAGDKANDDKGDVDSPKTIEKIADELPAVKECCKTTAPTSNLGEKKVEEKSIDKLIEDELVELRDKSKKRFAKLESGCNGVVFIQMRKKDGDKSPNKIVNRIVTSAASTRKHMSRFILRILPIEVSCYASKEEISKAIQPLVEQYFPVETQNPQKFAVMYEARANTGVDRMEIIDAVAKSIPAPHKVDLSNPDKTIIVEIARTVCLIGVVEKYKELSKYNIRQLTSTKA >RHN48000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44167060:44168041:-1 gene:gene42666 transcript:rna42666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MAKSSSILSSPITLLISFFISLATATNYYKSLSPALLGFQEEKFTHLHFYFHDVMEGPKASTVIVAEPNGKAENSLPFGTVVAMDDPLTIGPELDSKLVGKAQGIYAVISQDEMGLMMVMTWAFSEGEFNGSTLSILARNMIVSEPIREMPIVGGTGAFRFVRGYAQAKFYSVSFATGNAVVEYDVFAFHY >RHN55254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16454220:16454588:-1 gene:gene30405 transcript:rna30405 gene_biotype:protein_coding transcript_biotype:protein_coding METFINLDVSPVTEPILSKSQDISCLRNCFYVDFDFTNIVVSDVETTINKGFLIPCEILCNSTEENHKTALYNIFGCVPPNVLDEILHSVEECARQMVANKDESCDILEMDLWVYVTTRPIN >RHN78909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19900757:19903845:1 gene:gene2577 transcript:rna2577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hemerythrin MGNCINSTEKLTAEILPNDGATEYPTVRLHGTPDSIFSTYIRFAILQNTTSSDVIPPSRQSPPPYKTAARGLQPNWENSITVPMRSEFESGSRDALLRFIDARFPDLSAEEPSSSVVPVSGGVESEDATTSLMVRVTRLQHKSVTWHLERLVRWAEDLATRGGRKAVDPKMGSWKMEVKKFGRSYSQLLEVMMEHAQMEERVLFPIFDRADRGLSKAAKEEHARDLPIMNGIKEIIKSIEVLDSGSPNYSETLYNLSIKLNSFQEQCKQHFMEEDLELLPLMEAVELSKEQDKRALEQCFDVMQATHSGLLKFLVEGLSPNDAMKYMDLISMCKDREKMESMLRKMIE >RHN48599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48721235:48725057:1 gene:gene43333 transcript:rna43333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein TauE MSFSTKTFIVYLVSGFSVAVLSALYLTQNTNDHKNSSLSLQLHGSYGSITDKTWPELKPSWRLALASIIGFLGSAFGTVGGVGGGGIFVPMLTLIIGFDTKSAAALSKCMIMGASTSSVFYNLRVRHPTKDVPILDYDLALLFQPMLMLGITVGVALSVVFPYWLITVLIIILFIGTSSRSFFKGTEMWKEETLLKKEMAQAQTTFVNSRGELLIDTEYDPLVPREEKTPMQIFCSNLKWKRILVLMIVWVSFLLLQVIKNDVKVCSVWYWVLFSLQFPIALVVFWYEAMKLYKDYKKRMNTGNLECICEASIEWTVLHIVFCALCGILGGTVGGLLGSGGGFILGPLLIEIGVIPQVASATATFVMMFSSSLSVVEFYLLKRFPIPYALYLFTVSVLAGFVGQLFVRKLLRILGRASIIVFILSGVIFASALTMGVIGIERSIHMIQNHEFMGFLGFCSSQ >RHN63787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54022153:54022753:-1 gene:gene26533 transcript:rna26533 gene_biotype:protein_coding transcript_biotype:protein_coding MYGMILLCSENHESRKQGLEHMRFLRKSKCIVSSRSKVKYLTKDMWKNNGMLMRNQIALCNSKSTCEGWRVKKGPWFLPDEDDDMTLCEDCSSVSLLLMWKLFWCVWFCVLKYLSMLVFSVSFGGSLLKGLAFEIKLPHQKNNPLVAVS >RHN57296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37915991:37916704:1 gene:gene32819 transcript:rna32819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase II transcription factor SIII, subunit A MMRRDEISARKKPSLFQRNPVMMKRKDQTSLVDLCVEKAIDNVKYLGDVSHVDHHMLERILPHCTLDQLMHIEKASEGMDLSPITDKLWKKFFEKQFGINCTNEVVKKMKEKRVSFTWLQLYEAKVKKMAQAENEAVDRLAQRYKEEDARKQSRKIKTCTIVPPNKRRFSGDNGPGSNVKSSNIMKKSKKDFLNSFEVKNITAMKNNCNQKKSSNSSGIIKTGSMGGIGSTSKYLTF >RHN69679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45483378:45488552:-1 gene:gene18181 transcript:rna18181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosinetriphosphatase MGGFSDTKVLQDLLLYAARAALSYWVLVTGLRYLDPNRESSKKALEQKKEIAKRLGRPLIQTNSYEDVIACDVINPDHIDVEFDSIGGLETIKQTLFELVILPLQRPDLFSHGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAHKLQPSIIFIDEVDSFLGQRRSSDHEAVLNMKTEFMALWDGFATDQSARVMVLAATNRPSELDEAILRRLPQAFEIGYPDRKERADILKVILKGEKVEDNIDFSYIAGLCKGYTGSDLFDLCKKAAYFPIREILHNEKNGEQSCEPRPLSQADLEKALSTSRKTSVAANEYSRMTSRTSFPGESGDSQVEAAIRELSKIMGVSHIQVDAQD >RHN74225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30486976:30493000:-1 gene:gene10251 transcript:rna10251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKVRLMKMREAHTQKGGRASFCSLVWDQKAEHLVTASSSDVSVSIHNPLLPSTAPKILRHHRDGVTALALSPNSTCLASGSVDHSVKLYKFPGGEFETNITRFTLPIRSLAFNKKGSMLAAAGDDEGIKLINTVDGSIARVLKGHKGPVTGLAFDPNGEYLASLDSIGTVIIWELHSGKNLHNLKGIAPDTGLDLLTMNVLCWSPDGETLAIPGLKNDVVMYDRDTAEKLFTLRGDHTQPICFLCWSPNGKYMATSSLDKQILIWDVDKKQDIDRQKFDERVCCMTWKPIGNALAVIDVMGKYGIWDNVIPSSMKSPTEDIPMLGKNSNGLLLFDEEEEDNSVSGSLSDLGENSNGEFEPPTSRKRLRKHSFSEENLDEDGEEYGIDLFPKVESHKKRNRSVKENLNNGSVGFRSTMVTSKTKMQEAFQSGATPVQPGKRRFLCYNMLGSITTVDHDGYSHIEIDFHDTGSSPRVPSMTDHFGFTMAALNENGSVFANPCKGEKNMSTLMYRPFSSWASNSEWSMRFEGEEVKVVALGASWVAAVTSFNYLRIFTEGGMQRHVISLDGPPVTASGFKDKLVVVTHASDCLSSNEQVLEFSLFNIAQGTQPLRGRLPITPGSSLSWFGFSEEGQLCSYDSKGVLRLYTSQFGGSWFPVFSAIKEKKTDENYWMVGLNTNKLVCVVLKKPESFPQVVPKPILTLMNLSFPLATSDLGAEALENEFMMNSMHLFEVQKKMEEMDNAGLDASLLDDDAFNLEAAQDRCILRLIASCCNSDKLVRATELVKLLSLEKSMKGAIKLVTALKLPNLAEKFNDILEERLYNEVKKTTETNLKENSSAPVTSDTLPTSSKAETSKASIISSSPNLSAPSFIKKNKTQEAAIDGMNKPAIVNGSMKTGGDETSDKKGKEAMQAPPHPLGSSVKPTNKPGVDKSEPSLVTPTRPSNPFLKSSMK >RHN69406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43208033:43209802:-1 gene:gene17874 transcript:rna17874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MESDSPRSETQTDPLINPLDELCHDLHSLQDLANRGAWRSIIDKVARARALSLLQKPHDHLTYLAFNALAFTKLRRFNEASSELDSVEDLDSSHYRYETYPKVYPNRVGSMVPFSLRWLHALIPIKLGQRQQGIDRLYNLLDFVREKIRIKEDDNLSASVRVWRKREVFVVNCIIGHHLSHKEFGVCLSLIKTLISRDPEDPFLISQLGYIQLQTGDLEGAKDSFLKAEGDGKNHGSLSEVEFKNLANRNKALIYMVGKDYVSAVREYDVCIERDHSDIVAFNNKALCLMYLRDLSDSIKVLENALERVPTVALNETIVVNLCSMYELAYVNHSDIKRTLSSWIARVAPDDFDSTCTRT >RHN51138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14280554:14281336:1 gene:gene35522 transcript:rna35522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MNPFCLKLIIQTIFLTLLVLQADLVCSKEVVKCIQSERHALLQFKAGLTDEYGMLSSWTTADCCEWYGIGCSNLTGHVLKLDLHGDYNYYNDNDGNKFYIMGDIHKSLMELQQLQYLNLNRNNFRGSHVPGFFGSLRNLRYLDLSYCGFGGQIPIQFESLYHLKYLKISGNDLDGLIPQLGNLSNLQFLDLSRNLLEGSIPSQLGNLSNLQFLDLLGNSFNGKIPSQLGKLTNLQELHFGGYSLSSLTIDNGDHNGGLVI >RHN78668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17326223:17327998:1 gene:gene2305 transcript:rna2305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MFTYSLLPKEKKMSKALGWCLVCTCLLIPLVVADWNILKLSRNGFKISLKNYCESWRMNVELHNIRDFEVVPEECIEYIGKYMKSTQYKVDSERAIEECLVYLSTSCNLKKDGRDAWIFDIDDTLLSTVPYYKNNQYGGNKLNVTSLEQWMRKGKAPALDHSLKLFNELKSRGIQIILITARREHLRSATIDNLLNVGYYGWTRIFFRDTANEFVSVKKYKSDVRREVMNGGYRIWGILGDQYSSIEGIPSPRRTFKLPNPMYYVS >RHN41245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28589725:28591206:1 gene:gene47547 transcript:rna47547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MNTTNNGDRLKAAAQTGDIDLLYSVIQDDPSILENIDVISFVETPLHIAASLGHMPFANEIMNLKPSFAWKLNPQGFSPIHLAMQNGQKSMVFHFLHNNKDLVRIKGREGITPLHFASQIGEVNHLEYFLFLCPESIEYLTVRHETALHIAVKNGQFEALQVLVIWLRTNTKRRAQMLENRILNQWDEARNTILHISALRSDPQALLLLLRTGRIDLCSKNLENKTALDIASTPDVKSILLSFGAKPSIEITDAPTISHIRYNPLISIIRIRRNITEEQRNSWLIVATLVATAIYQSGLSPPSGIYQVSASDGNGVNITSSNSTISTPGNAGKSVLSGYEFFLFLFINMYSFSVSILAIFFMIPYGKIGFLVASPMRWLTVSYLFSMWRISPTHVNSIILFILFSSFMLAMVIDVIVGVYIRLKHRIAKIFNMIVKLC >RHN78631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16913108:16919527:1 gene:gene2258 transcript:rna2258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium/calmodulin-dependent protein kinase chromatin remodeling SNF2 family MENDNVVFRNGNPVLVAQPLTVVRARTSTGGGARVLATPPNQNPNSDESDACKASIIKVKDEPREFEDEAKSVTVAFQPPAAETVVECKTESDERRSMLAFDDFLKATNTKVASVDESLKSMEAESTIQMKVESSDVDVVFETQESVKSMEEVPNLNVVCDTQESVMAEPVKVEPVKVGPVKQPTLAEPVCAEKKTVCDDEVEVLKVVKGKEAVSDEVRVLKVVKKEVVEEKKIQIPNLEDGEFPVEPGWSLLGRKIEIATSTAKGVRRLVDNEIIYFDFPNPHTSYKFQWIVRVSTKRSGVVGRLPMEWAKSVIPLVQSGNVKVRGRCIATPYKLEMMQEIMLLVSFYVHHSVFSESVDTSWRLEACGNVNSAAYPLLTLLNMLEIEPYRKADFTPEEMKARKRVLKLDSDEVSVIPVNKRRKGVSEPLPATTEDEQALSESALNKLVGAAEVFDLEEKEAPKTLMCSLKPYQSQALYWMTKIEKGGDDENAERNLHPCWSAYNICNGRSIYVNIFTGEAAKKFPQATQMARGGILADAMGLGKTVMTIALILSNPGRLKSEDSDGESVYDNIFSAKRRNVDPSSNLEGGTLIVCPMALLGQWKDELETHSKPGSISIFVHYGGGRTSNPDLLLDYDVVLTTYGVLSASYKSERENSIYHRVQWYRVVLDEAHHIKSHKSQVAEAAIALSSHCRWCLTGTPLQNSLEDLFSLLSFLRVQPWCSWQWWTKLVQKPYEQGDQRALKLVKGILRTLMLRRTKETKDKEGRPILVLPPTDIQLIECEQSESERDFYDALFLRAKVQFEQYVAQGKVLNHYANILDLLMQLRRCCNHPFLVMSGSDTAKYADLSRLARKFLESHTESSDMCCESDAPQNAELNKLASNFLQNSASTSNPIQSRGYIDEVLGHIQKGESVECAICMESPDDPVFTPCAHQFCRECLFNCWGTSMGGKCPICRQILKKNDLIVLPSESPFKVDIENNLTESSKVSKLFDFLENSQKYSDEKSIVFSQWTSFFDLLENPLRRRGIGFLRFDGKLTQKQREKVLKEFNETKEKRVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRRVTVRRFIVKGTVEDRLQQVQAKKQKMISGALTDDEVRTSRIQDLKMLFS >RHN61926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39406466:39407910:1 gene:gene24440 transcript:rna24440 gene_biotype:protein_coding transcript_biotype:protein_coding MLIASDVSPVSQKTRSVLEGKVVYKTVALIDRTFMHILEESGTMVGVGGFVRAYMMVNELQKMNLEPTTAMYNAILAGYFHEVSLLDFVDAYSCSDFQLFLKLDDNALL >RHN55034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14580408:14587139:-1 gene:gene30156 transcript:rna30156 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDTNTLSYWLNWRFFICAIFLLLTMGLGSFLIWKYEEFNKSRNERVEEGRRETVGLLYEDEAWNTCVKGIHPNWLLSYRIISFFVLLGLLTANVVVDGGGIFYFYTQWTFTLVTIYFALASCFSFYRSCFNHNEFEGNTLDRERGTYVAPTLDGISDIPVLSKSSYSNRESLNRNTAGVWGYIIQILFQTCAGAAMLTDLVFWLVIYPFMTSKDFRLDIFTVGMHSVNAVLLLGETSLNCMSFPVFRFAYFILWTATFVIFQWIVHAVVSLWWPYPFLDLSSPYAPLWYLAVGIMHIPCYGFFALIVKLKHLWLSRLFSGSCQFLR >RHN63953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55320200:55322299:1 gene:gene26718 transcript:rna26718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLLRSSSTPVMNPWIPHTNSKDSSPEPEFLHRIPKSRSVTLSASSSTSSSRSPICGSVSKMTRALSETDLSSQLNRKPLHRRQFDEDEEESRTGTFGARSRTASFSSALCSLTEFEESQSEVDARDGGSMLVLVEEGGGGGFDKNDGGVSRFGDSNHGNDSTDLYYRTMIEANPGNPLFLGNYAKYLKEVRKDYVKAEEYCGRAILANPNDGNVLSLYADLIWECHKDAPRAETYFDQAVKAAPDDCYVLASYAHFLWDADEEEEEEDEAEKSFGFFNGAAPSHPQQLAAAS >RHN44894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9544213:9546914:-1 gene:gene39069 transcript:rna39069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIKQFLFIVMQRRKIMVQIGCFFYALIILLSPFLVATHQSIDDVIPCVLNTDCPRDMCPIHLFPKCINLLCRCSYWEDN >RHN76554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51185507:51185920:-1 gene:gene12898 transcript:rna12898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MLLGLHAQGRSRLRSPPPPPPPPAVQFDHFLLVLSWPPAFCKELYISSNRPCITPLPINFTLHGFWPSNNGNSQPRDCDKDEKKYPFDFNTVVSFIFFLGDEQSEIYFSLNIIVVVLIIIINFTMSFIFLKKYICFI >RHN47379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39310377:39310964:1 gene:gene41972 transcript:rna41972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-methylxanthosine synthase MRGEFIAKYHRAVYEPLLIAGFGENIMDELFSRFAKLIAQLIEIETLEFTNIVLFMTKNP >RHN55832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24553896:24568046:1 gene:gene31102 transcript:rna31102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative von Willebrand factor, type A MAEKQLIVAVETTAAMGPYWDTLLMDYLEKIVRCLGGNESTGQKPSGSNVEFSLVTYNTHGCYSGILVQRTGWTRDPDVFLQWLESIPFSGGGFNDAAIAEGLAEALMMFPPSQSGGLNQQNVDTNMHCILVAASNPYPLQTPVYVPQLQSLEKTESIDSNQVNQLYDAEAVAKAFPQFNISLSVVCPKQLPKIKAIYNAGKRNNRAADPPVDAKTTHFLILISEGFREARSALSRPGTNMPSNQSPVKVDAVSATPVTGAPPSSLPSVNGSIPNRQPIPAGNVTPATVKVEQVPVTSGPAFSHNPSVPRATGTGLGVPSLQTSSPSSVSQDIMTSNENAMDTKPIVSMLQPIRPVNPAQANVNILNNLSQARQVMALSGGTSMGLQSMGQTPVAMHMSNMISSGTTSSGPTGQNVFSSGPSVITSSGSLTASAQVGQNSGLSSLTSATSNSSPNTGISQPIANLQGGVSMGQQVPTMNPGNLSGAQMVQGGVNMNQNVINGLGQSGVSSGTGAMIPTPGIPQQVQSGMQPLVNNAAAANMTLTQQTASSQSKYIKVWEGSLSGQRQGQPVFITKLEGYRSSSASETLAANWPPVMQIVRLISQDHMNNKQYVGKADFLVFRAMNPHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGDMVVFKPQLSGQQQQQQMQQQQMQQHQQMQSQQQHLPQLQQQMQQQQQQQQLPQLQQNQQLSQIQQQIPQLQQQQQQLPQLQQQQLSQLQQQQQQLPQLQQLQHQQLPQQQQMVGAGMGQTYVQGPGGRSQMVSQGQVSSQGATNIGGGNFMS >RHN43959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:233633:239545:1 gene:gene38001 transcript:rna38001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CDP-diacylglycerol--glycerol-3-phosphate 1-phosphatidyltransferase MGYVLRGGDLKNDHRASSMPSSHSKLLTLPTILTLARVASIPFLVATFYMDGWQGTAVTTCIFTAAAITDWLDGYIARKMKLKSSFGAFLDPVADKLMVAATLILLCTRPLKVAALAQAPWLLIIPSITIIGREITMSALREWAASQGSKVLEAVAVNNLGKWKTATQMTALTILLATRDCSHGGPAILAGSGVFLLYIAAGLAIWSFVVYMRKMWKVLLSYSR >RHN65825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5654163:5655800:-1 gene:gene13715 transcript:rna13715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MARVIKIVALSGSLRKASFHTGLIRYAIELSKSVIDGIQIEYIDLSNLPMLNTDLEKEGTFPAEVEAFRHKILEADSVLFASPEYNYSVSAPLKNAIDWASRPPNVWAGKPAAIVSVGGAFGGGKAQHHLRQIGVFLDIHFINKPEFYLDVFKHPDKCNDDGDLVDEEIKNRLKKVIVSLKEFTLKLQGRN >RHN59022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6744506:6746018:1 gene:gene21003 transcript:rna21003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MAKDQGEVQLLGVGGSPFVRRVQIALKLKGIEYTYIEENLRNKSDLLLKYNPIHKKVPVFVHNGKPLAESLVILEYIDETWENYPIFPQQPYEKALARFWSRFIDDKILPAISKAAWTVNKEEREKGIEESLQALQFLENELKHKFFGGENISIVDIAASYLAFWLPAIEEAVGLKVLSSDKFPKLYKWSQEFNNHPIVKENLPPKDGLLGFFKSRYAAISAASK >RHN56690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32897299:32900513:-1 gene:gene32128 transcript:rna32128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MAKVNVTALILSRKIWVCEHMSKFERSKGIFLHDNPFSYTLPVLFLQTSLVSLLTTILQFMLAPLGQSSFVPQMLAGIIAGPSVMGQSEFIKKWLFPPKTFYVSETIAFFGCMMYMFLIGIKIDLSLVVRSGKKAWAIGILSFFAPLIMSVSTALLLRKLMINPDEVLYESIFSVAFILSTASFHVTAIHLADLKLLNSEMGRMGISASMVSGTISLVWITSVVAQRQANSRKDSSSIDSMTICLLAMIAFTICVLRPIMFWMIRQTPEGKQIKESYILSVFLMLLGCSLFSEVIGEHFMLGPVIFGMAVPDGPPLGSALTERLETMVSTIFLPLYFLYSGASFKFFVIDARTFAIVQVVAVVAYLGKVGGTMLPSIYSKMPVNDVLFLGLLMSAQGITQVLYLQTSFNLYFMDAEIYGSGLIAVLWLTGITTPILKFLYDPSKRYLSLNKRRTIEQSTSDIELRLMACVHSQENTPSIINLLEMSNPSLENPICFYVLHLIQLRGRSAPLFVDHQRTSNKTKSSHSSSSNHIINAFRSYEQHKSNNVIVKLFTSISPFETMHDEICLQVAEKRVCLLILPFHRQWRPNGMTEAAHPVRALNRHLLRKAPCSVGILIERGTLNRNNPLTSVSFYSVGIVFIEGEDDREALAYAMRMANHPNVRITLVRIMEPRKKNKNLLNRDPDGDLVHRFKVDCIQIKRHDYKEEIAKDSVEMINAMRSLEGCFDLILVGRRHTSESCLFSGLTEWNEYPELGHLGDMLVASDSTFDGSVLVVQQQKRSGIGYHDLRLDSGIMTRQETLTIVEVPRDR >RHN63388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50881195:50881542:-1 gene:gene26082 transcript:rna26082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MDAGYCDVAVIATEKGKLRIKALTGSPIGREDLLENMICHLLPDSKNIFKKRVHTKTEIKLMARVRNTVHELITQLSSQTSVEFDLEMGDGSKIHKVVKREEFDEVNKEVFEKGD >RHN80175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35858872:35859779:1 gene:gene4067 transcript:rna4067 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKKVTNVNPFAKKRSQHGVVSSTPNVNTKKLWRLPHVFAKMLELPFPSDADVSIEETSQFFRFVASCNKMNIFNAGGVRAHAIEILPGITKIVIKRIDGGDVAVVGQQERRSSLGVDLWRFRLPPWTQPERVTAVCTGGKLVVTVPKIKGN >RHN42876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41591067:41593534:-1 gene:gene49374 transcript:rna49374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ANTH domain-containing protein MTKLTVTNLINIIKDKASQSKAALLSKPTTLSLLRATTHDSFTPPTHKHISTLLSSTDGSRATASSFLELLMDRLQNTNNAAVALKSLIIVHHIISHGSFILQDQLSVYPSTGGRNYLNLSNFRHNTNPTSWELSCWVRWFAQHIENLLCTSRILGFFFLGKSLCDGEERVSCLTNCGLLKEFDSLVTLVEGICKRPDQVNMNKLVGEIVNLVCEDWVVIETVVIVEVNEFKERLGCLEFGEAVELVCCLKRLEECKERVVMILESQQGLWDSVREVKDKVGMEVYKEKGKVHKEGRKSRFSESDRFSARVISSRDSLWFPSGRIYERLQPSKSGL >RHN56536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31733002:31736225:1 gene:gene31952 transcript:rna31952 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNQVNKSNDGGPIPNFSIGLTQLEQEQASDEDKGKKKGKKMEKRVKKTKELEPLPSFSIGLTQIEEEGRNEEAKSSDMENEENAKQRLRHKMSIPKVYDLMNSVNGKQRKDEIINVLNESGFGGMVHF >RHN74578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34846240:34847381:-1 gene:gene10671 transcript:rna10671 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKHFFFMFLLCLLLIISIRAIQTSKDGNQFGVMKESKTKIGIDGWRDWGGSFPDVEKENNGGGDKESGQGKSAKEKGEAHESVTVGWRDWGGAFLHVEKENVGRINNEGEHGKFAKENGEDTKNESLNHLIK >RHN69651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45249111:45253980:-1 gene:gene18146 transcript:rna18146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MERSRSKRSYYYDQDYDSETVARTRPRYNHHHHHHRDSHRHRGGVGGGGRHYKTQDSPLTVTTSYRLLCHDLRAGGVIGKSGSIIKSIRQHTGAWINVHEPVAGDEERIIEISDTRRRDPDGRMPQFSPAQEALLLIHERLLENDPGFEDEEDYGGGRGGGGKRVSSRLVVSKMHVGSLLGKGGKIIEQMRIETKTQIRILPRDSYLPRCVSMSEEIVQVTGDIHNVKNAFLVISSRLRESQHRDRSGERGGGGGQFHGRGHSPERFFPPDDDYLPHVSSGSRRPSVERSGFGSRIATTNSRNNNHASISYSMDQGAAPVAHDEQPFYEDLIFRILCPVDRVDRIVGESGGILELLQDRVGVDVQISDRVGGSDEQMVIITSEEGPNDTMFPAQEALLHIQTHIVDLSDSIITTRLIVPSSDIECLDGKNASLSEIERSTGASVQILPREELPPCIANTDELVQIVGEIEAARNVILDVTAKLRSYVYRDILQWDTVPPSAPLPSVEASSSNSMATVAETATANQNMQSVAVALASKESGGSTEMGKQKESDRRDDLPTGLNRTVSLVTRSILEVVIPEYAVPKLLAKSKSKLAQISELSGANVKLVEDRPDEKEKIIQISGTPEQAERGQSLLQGFILSTQEDGP >RHN46920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35775403:35775795:1 gene:gene41455 transcript:rna41455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MYTTYKCSPSVSTHTKEFITLNSFQEGGDGGGPSACDNQYHSDDTPVVALSSGWFNNKSRCLNKIKISANGRSVVAKVVDECDSRAGCDKEHDYQPPCNNNIVDASKAVWKALGVPHDQWGGLDITWSDA >RHN66780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18683766:18685024:-1 gene:gene14861 transcript:rna14861 gene_biotype:protein_coding transcript_biotype:protein_coding MMASETNNLTLSKCVVTHPERGEVSLRGVKTKESGDLWASQRKDQTDGKTGILKTMLKHQVTPKKLDLLHLGGLKSRRSTSDSWYCEKSNEFSWYYEKQSKQLNRSGSIAKHSLLHANSGSLTNIHLKLQTNAITPLLSLLSFFRLSSSKTLIFLPLTHLNYLVHSSPSITTNIIKRSCLAKPPLLLPNKPWMLNIKKVALQFLK >RHN73216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14476157:14476747:-1 gene:gene9009 transcript:rna9009 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSLDPSSSSSKTQAMVMATRSMYLEAMISVNYFFSLIALSIALDCISFTYAFNINININNYNNKTFLVYLKVYPFILFLFLIIPLKVKIIKDMSLFTLRFWTVISFIVFVSSAAFDLKLAHHLGVVPHVITVFVMFLFYISSLYVISCYPKLMLTFFHIPTQEMDSTIKSSHIEALFHGRIARINPFTINDPLT >RHN69310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42476850:42480013:1 gene:gene17759 transcript:rna17759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative naringenin-chalcone synthase MVTVNEIRQAQRAEGPATVLAIGTANPLNCVDQSTYPDFYFRITNSEHKTELKEKFQRMCDKSMIKKRYMHLTEQILKENPSFCEFMAPSLDARQDIVVEEVPKLGKEAAIKAINEWGQPKSMVTHLIFCTSSGVDMPGADYQLTKLLGLCPYVKRYMMYQQGCFAGAMALRLAKDLAENNKGARVLMVSSEIRVFNFRGPSDTDLDSLMGRALFGDGAAAVIVGSDPLPEVEKPLFELVWTAQTIIPDSEGAIKAHTREAGLTLHIIKDVPDLISKNIEKTLVEAFQPLNISDYNSIFWIAHPGGRAILDQVEAKLGLKPEKMQATRHVLSEYGNMSSPSVLFILDEMRRKSKEEGLGTTGEGLEWGVLLSFGPGLTVETVVLHSVAT >RHN43044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42794061:42795436:1 gene:gene49565 transcript:rna49565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MLMHDIILFCFVLLCCGHPCLKRCFLQTRAGVYIGNFKGELRHGSGKCKWSDGSTYEGDWVDGEMKDCSYIHQETSMRVNSLGIVVMAMTPKLGRMKLQMGMSVYTGDWADGDAFDGYMQMGMSTWEIGKKTMDGKGIMSWATGDVFDGCWSDGLIHGFGVYRSANGDVYTGNWKIDKMDYGRWILDSANGDVFDGYLKLEKDKMDGIGIMTWATGDVFDGCWSNGLIHGSGVYRYANGDVDIGNFRSKLLHVNGKYTCSDGTNCEGDLVDKKVTEKEELMIWALQNPDGSTSLQISNKGQSKASGASPLLIERECMQGVLIVEKIRQYSEVTHNNKKNKKQDAFSAKKLKKRSCIWAFLKTIKASI >RHN57323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38113982:38114686:1 gene:gene32848 transcript:rna32848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MNIIKPNKACAACKYQRRKCSSECPLAPYFPADKPKMFSNAHRLFGVSNIQRILKDIKDDDQKDVAMRSIIIESDIRAKFPVHGCLGVIMDYGGMLKQSMEELDHVKTLLAYCNDLQQKQHIHSSLPYTSSQIPNIPIYNNVGDVPNYYYHPNSENNNMMRASSSSYISDIIPHDVNYSGGDYVMDDNSNLMVPKGLSSNEESDLDLDDIDGGVDEQARTTFDLGKKGRFSDSD >RHN50662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9024055:9026352:-1 gene:gene34976 transcript:rna34976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MWPLFTQWWCDETMSLHHLLQLIWVLFLSVVVISGPVFIFILLHSVIDMFPYGDVHVLLEGMWGGPGEEVVRVSVVLGNGSGDCGERWLLLWWVVFEELVCHVFFGDFSPAIYVVYGCVGDFVGKYITLTEQIKLFGREIKRDLTSKVRYSTELSDHLQKSIFIISAGSNDYINNFLQPRFYDSSKFYQPKPFAEHLIENFSQQLKTLYALGARKIVVFEIGPIGCIPAISRTHEHTGECMEEANKMALYFNEKLSAMLKNLTSSLPGSTFVLGQSYSIIIDLYKNPSIYGLIDGRNPCCTTWQHGTSACIPFLRPCMNPSKHIFWDAFHLTEVVYSVVASRCFRNSSSCSPVSIQELVKI >RHN54920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13557474:13559854:1 gene:gene30019 transcript:rna30019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetic acid glucosyltransferase METQPKEKSSPHVLIFPCPAQGHVNSMLKLAELLAIQNIYITFLNTKYIHNRLIQFNDDIQALLECYPKLQFKTISDFHSEEKHPGFGERIGDVITSLSLYGKPLLKDIIVSEKISCIILDGIFGDLATDLAAEFGIQLIHFRTISSCCFWAYFCVPKLLECNELPIRGDEDMDRIITNIPGMENILRCRDLPSFCRENKKDHIRLDDVALRTKQSLKANAFILNTFEDLEASVLSQIRIHFPKLYTIGPLHHLLNTTKKSSFPSSFFSKSNFFKVDRTCMAWLDSQPLKSVIYVSFGSTTPMKREEIIEIWHGLLNSKKQFLWVIRPNMVQEKGLLSELEEGTRKEKGLIVGWVPQEEVLSHKAIGAFLTHNGWNSTLESVVCGVPMICWPYFADQQINSRFVSDVWKLGLDMKDVCDRKVVENMVNDVMVNRKEEFVRSAMDIAKLASKSVSPGGSSYNNFQDLIQYIRSTSP >RHN81012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42608089:42608406:1 gene:gene5001 transcript:rna5001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MPNVTFVGVGIKDNVAKLETYYGIGCRNAVELGPLAATVMRKPRLSFCGVDELVILVFGLDLREHRPVSSAYDYVCIPLSKELAKLATVNVYSYYMIGNSLIRKM >RHN41596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31387983:31390327:-1 gene:gene47934 transcript:rna47934 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLQKLQFLRKQKMPLLRKLPAPHGLKQQFAADDSSAYVPAASVIAEPHQIKWQPPASGRFKCNVDAAFSIPHNRTGVGICLWDDEGTFVLAKTVHGSIAGSLLGCSVAFGMFNNHDNFKASHNSAGQ >RHN67463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27476319:27476687:-1 gene:gene15655 transcript:rna15655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MVQTRGVGASTITISANGRSVVAMFVDECDFTMGCDEEHDYQPPCNIVEASKVVWKALGVPHDKWGELEITWSPNALYCVQVDSCLFYVVNFFIFTKPVLYTKLNMCLVLINNTIFFFVLTR >RHN81921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49814738:49817760:-1 gene:gene6019 transcript:rna6019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MARLWCSFFLFTLLFQLNNFCISMVEQVPSPPLPILPLPTYSQLKWQQREIIMFLHFGVNTFSDSEWGTGDENPSIFNPIGLNTTQWANVAAESGISLMILTAKHHDGFCLWPSKYTKHSVISSKWQNGKGDVVKEFVNAATDKGIDVGIYLSPWDRHDSRYGHDLLYNEYYLAQLQELLKKYQDVREIWFDGAKDPRAQNVTYYFSDWFSMVKELQSSINIFSDAGPDVRWVGDETGTAGDTCWSTINRTSLSIGASNITQYLNTGDPKGTDWLPAECDVSIRPGWFWHKSESPKKLSDLLDIYYKSVGRNCVLLLNVPPNTTGLISENDAHRLKEFRSAIDTIFHKNIAENRYVKVSSQRGGKEGGFGPENMLDSDHLWSYWTPREDDKEKDHWIEIWGNDGSLRFNVIRIQEAIGLGQRIERYEIYVDGKSIIQGTTIGYKRLHRLDGDVVHARVVRIRFIKARGVPLISSIGLHFDPFWHSRFTAA >RHN81218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44162376:44165345:-1 gene:gene5230 transcript:rna5230 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHNALHYYAPMGLPLITQKITLKMMLRSNRGTQMDTGLNVLEWLTRPNNSMGHGWITIYKRTGVGLG >RHN50226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5336061:5338413:1 gene:gene34493 transcript:rna34493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MSEQSIKRTKSMPEISLVDNLIRSDRYNNEVFLSFRGEDTRSSFISHLYTSLRNTGITVFMDEDSLQRGDHISTLTIAQIVLPVFYDVDPLEVRHQTGEFGQAFESLLSKLSKKKKDKTLKWREALHGAAAFAGFVVLNSRDLGYGGIGKTTIAKAVYNKICRNFRGRTFLANIRETWEHTDGKVSLQEQLLFDVFKKTTTKIPNIESGKNTLKDMLRDKRVLLVLDHACG >RHN59558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11949787:11950863:1 gene:gene21602 transcript:rna21602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MDFKSNGSEETQLYHAQIHLYKHVYSFISSMALKSAVELGIVDAIHKHGKPMTVPELASSLKLHPSKVSVLYRFLRLLTHDGFFAKTTVKEETAYALTPPSKLLINGESTCFAPLVKGILHPCSLDMWHSSKKWFSEEKDLALYESAMGETFFNFLNKDSESDKLGLFQGAMAADSQMFKLALKDCSHVFEGLESLVDVAGGTGVVSKLIHEEFPHIKCTVLDQPQVVANLSGTQNLNFVGGDMFKSIPPADAVLLKVCLCSSISF >RHN79078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22175745:22181717:-1 gene:gene2775 transcript:rna2775 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIKGVWNSGRKLLQHKEALIVLNYFDMATLTGLRCLLPKNILSTLDTYQKQTL >RHN41565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31093563:31094262:-1 gene:gene47899 transcript:rna47899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative O-methyltransferase COMT-type, S-adenosyl-L-methionine-dependent methyltransferase MVHGTHAFHYPSLDSRFNKVFNTAMINHTKIVMNKVLESYNGFEGIKRLVDVGGGLGVNIHLITSKYPNIHGINFDLPHVIQHVPSYLGVEHVGGDMFESVPKGDVILMKVSEYEYELLFMVYWIIRRTNKLFFKQKNVEIF >RHN52322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33894540:33896391:-1 gene:gene36985 transcript:rna36985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MVLGWGRRKNNKNNKGGKPNMEVVVPNQFRCPITLDLMKDPVTLSTGITYDRESVERWFNEGNYTCPLTNQVVRNFDMIPNHSLRIMIQDWCVENRQNGVERIPTPRIPISPIDVSELLFQVKESAKGLDQYGCIGLVQKMEKWSNESERNKKCIVENGATSALALAFDAFANDSIEKNVIVLEVILSALNWMFPLQLEAQKSLGSKASLHCMIWFLKHQDVKGKEKAIIALKEILSFGDEKHVEALMEIEGVNELLIEFINKRISPIITKSSLRIIWYLVSSNSNFNEKMKFSFVELGLVSSILHILIDSEKSICEKALTILDSLLSCDFARETAYENDLTIPLLVKKIFRVSPLTTEYSVSSIWKMCKYGHKNYEGKALIEALQVGAFQKLLLVLQVGCSDETKDKATELLKLMNPYRAELEDCVDSDFKNLKRSY >RHN48956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51352819:51353067:-1 gene:gene43731 transcript:rna43731 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVREEEGRLTGRRKANRRDEEGRHGGREQVGEEKPYGERSKEDTCVLGE >RHN64767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61665205:61666052:1 gene:gene27622 transcript:rna27622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MCFVKEKEIENNNSIYGLIQLLIFTHTPFFGILNAFFSVDGTPIREFKNMESKGVAFPKNQPMRIYSSLWNADDWATRGGLVKTDWTNAPFTASYRNFNAETTSSNAWFTQQLDSTSQQRLSEVQKNYMIYNYCTDIKRFPQGLPTECTAS >RHN52431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35226985:35227823:-1 gene:gene37111 transcript:rna37111 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSFKNKKKNDEIESNKYVFGGHKDGHSQRKTHTEGNFSFPQSLIDSQMIQGGGSSKSPWLNNCNADETDDCMNAFEGSDEMLSSWRQKSSDSSPEKSSRDENNAHAVRSSNSSPTTVDNYGYADKEHVKLEKDDEEVDITREDDLGVSQEDEEIAAVQEQVRQIKAQEEELETFNLFTFKFMIQ >RHN71249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57582648:57590231:-1 gene:gene19905 transcript:rna19905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase B MATHAALASTRIPTSTRFPSKASHSFPTQCASKRLEVAEFSGLKSTSCITYASNARESSFSDVVAAQLATKAIGSTAVRGETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLEVIVVNDSGGVKNASHLLKYDSMLGTFKADVKILNNETITVDGKPILVVSSRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEQDYGHEVADIISNASCTTNCLAPFVKILDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNVAKKGISAEDVNAAFRKAAEGPLKGVLDVCDVPLVSVDFRCTDVSSTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVANKWPGTPQAGSGDPLEDFCETNPSTDECKVFE >RHN51557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19791690:19791965:-1 gene:gene36015 transcript:rna36015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone 7-O-glucosyltransferase MVTWPLYAEQRLNRVVVVEEMKVALALKENEDGFVHASEFVERVGENMDKERGRGKEVRERVMGARNEVIATLSDGGSSRLDLKKLVDLWR >RHN53442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1677208:1677533:-1 gene:gene28349 transcript:rna28349 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKYLWEEDEDGVLLFLLLLLFVKFIVVVEIEIEMFVVVEKVVVDGNEEIVDGNKEIVVVFVDGNRVEKDSCC >RHN57539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39931111:39931860:1 gene:gene33098 transcript:rna33098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MYSLKLDSNNLNEDISTILLKLAGCARYSLQDLSLYHDQITGTLPNLSIFPSLITIDISNNMLRGKVPDGIPKSLESLIIKSNSLEGGIPKSFGSLCSLRSLDLSSNKLSEDLPVMLHNLSVGCAKNSLKELYLASNQIIGTVPDMSGFSSLENMFLYENLLNGTILKNSTFPYRLANLYLDSNDLDGVITDSHFGNMSMLKYLSLSSNSLALKFSENWVPPFQLSTIYLRSCTLGPSFPKWIRSQKNL >RHN55742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22452194:22456445:1 gene:gene30982 transcript:rna30982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ceramide synthase component Lag1/Lac1 MDLFQQLTSVDWQQESFPAYQDFFLLPFFAFFFPSLRIFLDRFIFEKLARRFIFGKGHEVLDFHTDDGRKKINKFKESAWKCVYFLSAEIFALSVTYDEPWFTDTKYFWVGPGNQRWPDQKIKLKLKGLYMYGAGFYSYSILALIFWETRRSDFGISMTHHVASLSLIVLSYIFRFVRIGSVVLALHDATDVFLEIGKMSKYSGAEKIASFAFVLFVLSFTILRVVYYPFWVLRSTSYELVATLKLENHWVNGSIHYYVFNSLLFCLLVLNIYWWVLILRMLVGQIRARGKVSEDIRSDSEDEHEHEE >RHN44414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4431617:4432374:1 gene:gene38533 transcript:rna38533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone-7-O-beta-glucoside 6''-O-malonyltransferase MNTSMLFLQSSTQTTTFPLTFFDILWLKVYPVERVFFYTLPSSQSHPSFFFQKLVTILKSSPSLTLKHFLPLAGKIIWPSESQQPIILYTPNDGVSLLIAESKVDFDQVVENSPDEASLSRSFIPHLESADSFASIISVQITLFQKSGFSIRTSTHHGVLDGKSSTMFIKAWAYLCNKTIETNEESPTLLAKLEPLFNMEIIKDPNELGVTFKNSCNEIISMIPK >RHN39485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7461685:7461975:-1 gene:gene45510 transcript:rna45510 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYSNDKYCTITHLLELQAGGPLQNNAAGVAIRIYLQCLQKKLQQNVHEIYNP >RHN40309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15211536:15213178:1 gene:gene46452 transcript:rna46452 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEVTGNASAVGYILGLSHDYVRLIPRCAKFYMFVLNYSELVQGNFRHSLELVFSIWTNVMQPRCFGTAPAT >RHN58721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4274164:4277745:-1 gene:gene20655 transcript:rna20655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MAYLSSGASQIIYARQYRHSGSKALQYGIVARTANLEMRRLWDSSIIHRVSKHQLKLWAIEGVKKKEMVNKIVSEAVAEKAPSNISPQSKDDVFVSFRGEDIRHGFFGHLVIAFPRKQINAFVDEKLKRGDDMSHSLVEAIEGSPISLVIFS >RHN47277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38440265:38442464:-1 gene:gene41854 transcript:rna41854 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLVCDPTGVVVETALKELVPAVIEWGNNLDHVLRVLLSHILNSALRCPPLSGVEGSIESHLRVLGERERWNVDVLLKMLMKLLPFVHQKAFDTCPFLSTTETAPTVLSIPLLELYARGQVEWDAFEWMHVECFPNLIQLASLLPQKEDNLRSRVSKFLLSVSECFGESYVTCIMLPVFLIAVRDDADLTFFPTAIHSRIKGLRPRSAIADRLSTMCVLPLLLAGVLGAPGKHEQLAGYLRKLLLEDNSMENRSTKHTPEIINAIRFICTYEENHGMVFNILWEMVVSSNMSMKITAAQLLKIIVPYIDAKAASTHVLPALVTLGSDQNLNVKYASIDAFGAVAQHFKNEMIVDKIRVQMDAFLEDGSHEATIAVIRALVIAVPHTIERLRDYILNLISGKNVSRSNLCPDVFLSIFLVICMLCWLSRFLK >RHN75301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41091782:41092856:1 gene:gene11481 transcript:rna11481 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRFCANLGSTTKNPWIRLAPLPAGSADIRVMIANDMAGSTNEPIGTSLFFCTTLWLNVSPNRLFNFLRHEKSRSKWDKHSQNLSIREFACILTGKHPENRVSLLRARDKNEIFYLQESYKDTTASYVIYCPLDEQKLTHLATGSNPDDVVAFPSGFAIIPGGLPRDGDKGKGNANSTANDESLLTISFHIIGKANNAASIPPESVQTIYNMVTETMAAIKDAVSYHSRLNNWDQDEVANSLAA >RHN70006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47927940:47935912:-1 gene:gene18544 transcript:rna18544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polynucleotide adenylyltransferase MELPEESKQTLTKSNEEEEDHLKTAEKLESEKLAKINFNSTRIVDLDALLCDTYDRDCPKPVHYQNRRDLIRIFNMMAKEIYGNSMVSPVVEEYGSFVMDIFNERSDLDLSINFSDSIEINRMKKIQVLRKFSKKLRSFQKSGHVTALEVILSAKVPIVKVTDIGTGVECDLSVENRDGIAKSHFIRAISAIDGRFQKLCLLMKSWAKAHNINSSKDATLNSLSIVSFVAFHFQTCDPPILPPFSTLLKEGADLESVTKAVKTYTNYGNKNKQSLAHLFVTLLVKLASVENLWQNGYCTSSYNGSWVLKKWRYSMSVEDFTDLSQNVARAVRAEGFKTIYKCIHNSIDYLSRFLNGEIQGIELMDHLFGKPMAFTLGVEGTSTSNINGNKNNPPTLHNPRPPKKRRIVKNQAGDFHQTEPRGADQVHVPPSSNVPNGVEGTSTSNTNKNKNTPTGKVHVHPSSNVLNRLASFPPPLPYLSRYQSSFATHSTDFMEHHGYVPGHVPPHFGLNPAGFQGSYNPSSQSHHVCQQIPGGYLQTFHQNAVASNPYHGSFPHSSLQGRDYASLHARDYAIYSRRSG >RHN50460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7294465:7295740:-1 gene:gene34743 transcript:rna34743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MNLMLMAAVAFWHYWVFLLLQEIRKQYNGDDNADKLSDLPDCVLLHILSFLNTKYAVQTCVLSKRWKNLWKCLPSLKIGYSNSKCLRGSKNIFYLLFRPRYISSACQVFDFKEPQNFEKFLNGCLSNRDQSIPLQVFDCVGPQIHIESIVKTLPQNLFKLYCHTLTSLHVSVASPQRTLFPNSLNFPALTSLSLWSFDFRVGGDGNVEPFSAFKRLKNLILRDCNVHANRNLCISSATLINLAIDYCNLELYTPSLCTFVYKGIPTVQQLCGSKSNLSSVKHVNIDVNIDAISLSESVKTSLILYNWLVELANIESLTINSTILEILYSVPNILKAEFPSLCNLKSLKVKTNLSSIPNGYLAFLLQNAPSAKVYLLR >RHN56034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27038340:27041477:1 gene:gene31353 transcript:rna31353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, thioredoxin-like protein MEDKELDESNEASVRAVSKLEGDGFASQDEDSDKQSEEEKMPNIGHDDAEFCNEQDDVSPKSLSSQDDEKVEGDAYVVQNKDHGELRQEKIQDNSHDGSNNENEHDRSSDMSSTQGVENMEGDTYVVQNEDHDGSKYENEHAEKLSGSSSSEDVENMERNVCVVQNEDHEESKQATSQNICHNGHEYENEQDDISSEKSSSSDVKNVKEDTYVVQNDDHIESKPDKSQNNSPDVHKHENEVDDDKSSKTSNSQDFENRGDTLDIDNEDHEESKQAKSHNISHDGLKYGNEQSDISSHSSPSQDVENLKVDTYVVQNEDQEESDKVRSENISHDGSKYDNEQDDLSSDTSSFETIEMQKSDACTIHNEDYNKDPNEEKFENLGYDGPGFEKRVDDILENIYGNIDEDKTSNESKTINPIVDVDPGTPHVVEKALALRNFVREKSLVAVSTLMRRLSRKVDEDNSDNKGNDVSDLSRDGESKEVGAENKTDKGEEPITESPLQPIVMKGRIILYTRLGCRETKEVRKFLYMKRLRYVEINIDVYPNRKIELEKVSGSTSVPIVFFNEVLIGDLSKLEALNESGKLDEKIEFIIAESPSFEAPVPPLSGEDDVSTSGPIDEMALIVRKMKESIVVKDRFSKLRRFTNCFLGCEAVDFLSENQYLERKEVTLIMSFKLSKLSGFFFLCGNYLIQDLVQFYLLSRVFFQVSFFVLAVEFGRKLAIQLFFQHVLDENIFEDGNFLYRFLDDDPIVASQCQNIPKGITTVKPKPIKEIASRLRLLSYAMFEAYASEDGRHVDYRSMHGSEEFARYLRIVEELQRVEIMHLSREETIAFFINLYNMMTIHAILVWGHPTGALERRKMFGDFKYIIGGSTYSLSAIQNGVLRGNQRQPYTLMRPFGAKDKRLHVSNQYSLFIQL >RHN67305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25822172:25825804:-1 gene:gene15485 transcript:rna15485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MCDCKDALACARDHLLPLARDHLLPFLKEAFNMIRGVPKEIAELKDELERIEVFINDADRRADDVEDKKIKDMIKQLIEASFHMEDVIDDYIFLEEQHAPDPGCAAVATNCVKTMAFRLQIAYKIQNIKSRISEINDTRTEKDHGFYIQSSSDKASTSSATNRNASLFQNLRDAPLYMVEADVVGFDKPRDELIDLLVAGRADRTIVSIFGMGGQGKTTLAKKVFDNPKVVKYFDCRVWITVSRPYNTEKVLRDIMLEFYKQQGEVPPESLHQMDRKSLVDEVRNHFQGKRLYRFVKEDRGRTFEEVAEGYLVELIHRSLVQVVSTSIDGRVKSCRVHDLVHEMILDKFKDLSFCKNIFKGKNWSAGMIRRLSIASNSDNLREDIESSSIRSLLILEPQTSVESFVSRIPRAYRRLKVLALIHMELLNIPNDFGSLNHLKYFASAVIKIRSSRLPKSIGMLVNLETLDLKSPNIQNRTVLNQMNLRDVGFEYCNMPKEICKLKKLRHFIGYQMSLIQLKDGIGGMTSLQTLNEVYLDDDEDEDDNRVVELIEELGKLKQLRELSLVGVRSKYMSAISSSINKMQQLEKLNIRGIRYNTFIDMDLNSPPPKLQRVKLIGYLLKLPEWISKLQYLVKLKVTITKEGNDAMKLLKSMPNLLSLEISGDFSVENTLERLHFEDGWFMNLKNLAIFDFFKLSHILIDEGALGSLRKLTLKAIPELMMLPTGIQHLHKLEVLCLHLMSYELKQSIAPNEGKEHWIFKQVPFVEIIF >RHN48706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49585305:49585802:-1 gene:gene43449 transcript:rna43449 gene_biotype:protein_coding transcript_biotype:protein_coding MHNHKKPVVNRNFVNETTQNKSSKTKLLVIRDTGSPSNVRNLGSPNVAMLQFDHSENSNAQVLAGKSKVPCLETKVTESHLPVVGEFKSSANVGNLGLPSGMMLQFDEMDNDNDHVLAGESRISYSKSELIGSFDDDDIMIPNMVAMSEDLLLDFNLLNGGILFP >RHN60589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28510101:28513678:-1 gene:gene22920 transcript:rna22920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MACSDEKHDRLSNLSDDLICHILSFLSTKESYRTCVLSKRWESICTKIPNLHFQLPEISGPVISKEEILSFQLAFVRRDKNRRKLTFDSEKGYQPYQPHDVHMWVSKAFVNFALLRRTENIRKLRLHSDKGCQPYDVDMWVSKALDLKVQELDLDLFLHEKILLPLRLSTCESLVVLKLRGRIQPTLNSSFHVHLPSLKILHLHETVMYSIFEDSIEYDLNNFLSGCPRLEELLLNETFRIPINISFHLLKRLFLYLYMPTSVIKCCPLQINAPSLEVLSITDFSMKPRKYEFASLSNLDRARLSICKLPDFNNLYTLLKGLSNVKSLALGSNTFHFLSIEDKLDNLHLLTFHSLLYLSVEISESCSWNMLVSFLQNAPKLKDLAVKRKIQTNFRRKEVGNSSWVEPSVTPACLITSLITFEFKGIQDIKTELDFTR >RHN54000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6134145:6134552:1 gene:gene28975 transcript:rna28975 gene_biotype:protein_coding transcript_biotype:protein_coding MYEDADIDAGPSSATTQFEINSPPHVRLFSEGRVNQIASPKIAHVWPSSSIPPQQPITTSDASLSNYNRLNPPPFPLGSGFTIAAQTDQYIQTESGLPRSENVASHGGIYGNFISTSHELRLERNMLMVEVYILS >RHN61917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39351202:39352322:-1 gene:gene24430 transcript:rna24430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MATFILRSNKIVHALSWFCLMLMIHKSAAYDFIVGGQKGWSVPSDSNNPFNQWAEKSRFQVGDSLVFNYQSGKDSVLYVKSEDYASCNTGSPITKFSDGHTVFKLNQSGPHFFISGNKDNCLKNEKVTVIVLSDRSNNNNSSNTNQTSNATPPSPQSSSPPSPAPSNQEGQSPPPDTNQTPPPTATSDHDHPPRNGAASNFVSLAGSVGTFMASALILSKYL >RHN68860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39170253:39172123:1 gene:gene17271 transcript:rna17271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative salutaridine reductase (NADPH) MYRYAVVTGANKGIGLEIVKQLAFLGVTVVLTARNDTRGRDAITKLHQTGLSNVMFHQLDVLDALSIESLAKFIQHKFGRLDILINNAGASCVEVDKEGLKALNVDPATWLAGKVSNTLLQGVLTQTYKKAEECLNTNYYGVKRVTMALLPLLQLSPAKARIVNLSSLRGELKRIPNERLRNELGDVDELSEGKIDAMVKKFLHDFKANDHEANGWGMMLPAYSISKASLNAYTRVLAKKNPHMLINCVHPGFVSTDFNWHKGTMTVDEGARGPVMLSLLPADGPTGCYFDCTEIAEF >RHN74249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31078910:31082260:-1 gene:gene10281 transcript:rna10281 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MGRRAAEFRRPIRRKLSYGICFLLGAFSVVGLVMVFVQHNYHQDRVQHTLMERNTKDEHFPKDRLNFTEEILSATSFSRQLTEEMVLAKAYVVIAKEHNNLHLAWELSSKIRSCQLLLSKAAMTGMPVNKEEAEPIIRSLSTLIFKAQDIHYDIATTIVTMKSQIQALEERAIAATVQSNVFAQISAEALPKSLHCLHVKLTSDWLKKPSLQKLSDETRNSLRLTDQHLYHFCIFSDNVLATSVVVNSTVLNAEFPIKLVFHIVTDGVNYGTMQAWFLSNDFKGATIEIQNVEEFHWLNESYSPIVKQLLDSESRAFYFGAYPDVNAEPKLRNSKFLLLLNHLRFYIPEIYPQLEKIVFLDDDVVVQKDLTPLFSLELHGNVIGAVETCLEAFHRYYKYFNFSNSIISSKFDPQACAWSLGMNVFDLIQWKKANVTAIYHYWQEKNADGSLWKLGTLPPALLSFYGLAEPLDRRWHVLGLGHDLNIDNRLIESAAVIHFNGNMKPWLRLSISRYRPLWHKYINQSHPYFQICAIG >RHN45133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12080645:12082586:-1 gene:gene39344 transcript:rna39344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MVEMGVIPPLVEIPQKRNQVLSITNKKLNGRDEHQTTFDGNFTKEDPKKEKPLEKSCDYLIGNWVHDKRGPLYNGTTCNEIRENQNCIVNGRPDTSYLYWRWKPNECDLPIFDPNTFLKLMSNMNIVFVGDSLSRNQLESLICLLSTVSKPKYINHIGSIGRWYFPSYNANLTSYWAPFLVKGDQRRKEGPNYNTIHLDHVSENWAKDIDQMDLIMLSFGHWFLDIPSVYYENDSVIGCSICHDLKSKYNDIGFYVPMRKALRIALNTIIERKMVKGNEIDVIVRTFSPTHFEGSWDKGGTCSKKNPYKYEEKKLEGMEAKIRSMEIEEAENAKEKSKQVGLNLKVLDITKLALLRPDGHAGAYRYPFPFAKTIPKNVQNDCTHWCLPGPIDTWNEIFLEMMKKGKNY >RHN72403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7332178:7335961:-1 gene:gene8109 transcript:rna8109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MFIFLMEDAIIFLIENIFGIHGVGTVLELGGLQSYVTGPSNSKLALILISDIFGYEAPMLRILADKVSATGYLVVVPDLLYGDYADIDNPQFDRFSWRKAHGPDKACEDTKPLIAALRSKGVTSIGAAGFCWGGVVAVKLASSSNNIQAAVILHPGVISDKEFHDVKVPIALLGAEIDTIFPQERLKKTEELLSAKAKLESIVKLYPGVSHGWTLRYSVEDEVAVKNAEEAHQDMLNWFIKYVK >RHN80906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41785266:41785552:1 gene:gene4888 transcript:rna4888 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNNNQRKSSKSSSFRSVFNIFKSSSNKQRGGGGYYYDNASYDNKVWPSDEDRGSWGVADPVIDMRATAFIAQYKKRISESQIHCQAQPDQ >RHN60805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30534396:30535386:-1 gene:gene23187 transcript:rna23187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MENPNPINSDFPHQIGAGWNVYPRLWAMPTLTVVLSCVFCLVFCISNRSIKYTNISCLFYINVEEVRDWQFNLSLERGTFTWMCDIELPEAYYIENGESFRANGFKRYKLSSDDPLIRGDKDTKIYIDASKQTIMAHKYALGSKSSAFNQMFKEDSVDSITISDMTTIPCQIFIDYFYDIVRDEDLLNYSQELLEAAKKYDVIDLIKDIEKRLIRDITTQNVVERMKITYRYDLKTLRNQCARLLVEFKKLHTLRTEIRAYRRTVDMDTISKMFSDFCTFATE >RHN41370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29561970:29567968:-1 gene:gene47686 transcript:rna47686 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAVGTVSNLKKKQLGEKAVLSTDQRQHKLKVQLGSNGIKKRAEDFRLKEFGGGKRMLINRHHNSLLRGLTSHITETLHATKRRALVWG >RHN59894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14521746:14523396:-1 gene:gene22062 transcript:rna22062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-methyl-2-oxobutanoate hydroxymethyltransferase MVTAYDYPSAVHHDMSAIDICLVGDSASMVVHDHDTTLPITLDEMLVHCRAVARGAKTPLLVGDFPFGTYECSSNQAVDTAVRILKEGQMDAIKLEGGSPSRIVAAKAIVEAGIAVIGHGRNVASAVKVDETALVLQEAGCFAVVLECVPAPVAATATTALQIPTIGIGAGPDCSGQVSWPNNHVCLD >RHN41590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31312215:31313513:1 gene:gene47926 transcript:rna47926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MNSNAIYGFNRMNAAAHAGDVNLLYTVIQDDPYVLERIDLIPFVETPLHIAASMGHLPFATEIMTLKPSFALKLNPQGFSPIHLAMQNDQKQMVYRFVKINKDLVRVRGRDGLTPLHFASQIGEVDLLAHFLLLCPESIEDWTVRCETPLHIAIKNEQFEAFQVLVGWLEKNERSGAEELESRILNEKDEAGNSILHVAALSSEPLVVQELLSLVKTKINLRKKNLENKTALDIASIPEIKSILFSAGSKPSLEVTDAPTRAHWLRSKTTILDKFYTQNLRRTDITGEERNTWLVVATLIATTMFESTLSPPGGFYQISSDDNNLNITSSNSTISTLKNVGKSVLSINDFTSFSVLNMASFFVSFLTILIMIPSRRQGLFLFPGMFFFLMSYIISMSVISPAGVDPKHTQITFFLASLMFGLVITGTGCQLI >RHN82771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56248784:56252331:1 gene:gene6956 transcript:rna6956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LisH family MDDYTREMMDLKTLVTRTLEKKGVLARIRAELRASVFEAIEEEDRVIEKDQALPPALLGSCNDRAKQLHASPSGRLLTALICEYLDWAQLNHSLKVYLPECNLEKDSWKSELKEFSSKNGYDLNRNGDAPVLLDVLEGFLKFENLSQARASGRRLTTSDTEPLPNSESRNTRRHSSSSVAGGLPPLGRAVPSSQASDRRGGPSASSYRKDEYNWRYDSDELPEDVIQASSALENLQLDRKARNLTSSWRHAGDGMSEDDGRADHV >RHN78177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12487126:12488364:1 gene:gene1705 transcript:rna1705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLSRLIRYVGTSSVSVSVSVSSSIIIPNNNKLFPPPRPFVSYLIRSFSSSTITEPLYFNLETLDHFSAIRIFDLMLDYDGFYSISDFNFLFSSLIKKGCLLTVFQLAQRWEESLSRKCYDRVYPNIDTWKILISCHSKKKSGNISFAFSLFHRIIEDGHRPTTSTLNDIFRGLCLRGQIYKAIMFYNYTILKGFQLNLETYSIIIHGLCKIGETKKAIHFLREAPQIHKEQNNGLCEIGETKIPFHFLSEAEQEEDEFEDMYDAIIITLCMERHINEAYDLFSEMIVKKKIIPCNDIYCCLVYGYCITGQFKQAIALFREFGEALRIRNIGALDTEHIQDVKAAKFVTEQEVTAAKRAVAVLIKRGVKPDLASCQSVVGGLYNGGTVKVTRKMEELSLRFSVCFLENHYYLG >RHN47228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38098136:38099742:1 gene:gene41798 transcript:rna41798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MGGVRLWDVRTGKIVQTLDTKSPMTSAEVSQDGCYITTADGSTVKFWYANHYGLVKSYDMPCNVESASLEPKFGNKFVAGGEDLWVHVFYFHRAMRLVTCNKGYHGPVHCVRFSPGGESYASGSEDGTIRIWQTGPLAHDESEGLSPNGTLEKEKANAEEEVSRRIEGFHISPCLYFFIFFLKNELKFCPWEVYIGTQYLQ >RHN69672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45445425:45446871:-1 gene:gene18173 transcript:rna18173 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGCIFILFDGSRVVFHNLVKKRKGESMATVEADWCHLDVNLLSLISQRFDNDLDLIRFRSVCSTWRCSSISNHHRPNLVPFKIKHFEFHCPSSSYFLSKHSLLLIKPPPQQQNLRPWLIRITQNSCGETQLFHPLRPCEYPCPYDFPYVLDFNKFSHVVHLGTSFIMEEEPEPTESQLCMELLQERFEFHYLNLQDEAFKHAIEKKEMENKAMGIKVVAVTYHGKNPLALVTLNCACHPLLFHCRKECWTPIPCVSTYFLDICVFKDRFCAVNKIGRTVAFGPDYSVELLAEYVDGGDMKFLVESEGDQLLLVDIYDSHCFGFPGEDGLKLDVFRLDEKEKKWVKLASLGDRVLFLGNGCSFSASASDLSVVKGNCVIFSDDAFYDFDQMLCGMCVFHLDQCWVSPLSDYPEYSTSFWPPPEWIVKSCIHEKIKRVCFLAF >RHN53357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1167543:1169505:-1 gene:gene28258 transcript:rna28258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA/RNA-binding protein Alba MEAIAVLTAGEMKEAIAVLTGATKNVKINGGEIEKEKKIYRIQVSKTKKPLFFYLNIAKKHLKLDNDVELCALGTAIPTIILISEILKRNGWAIEKSVEASTVDAKEGKEGRGAPKAKLGIVLGKAKSGDQSTDASSE >RHN55560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19517789:19518385:-1 gene:gene30761 transcript:rna30761 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKQPTSSSDPPPPASLSQPRPPPQYLFHRTLSTPQLPSQSNAPFSTQRPQPPYQFIAPSYSSQQPPRPPYHFSRPSFLSQPPHYSFQRSSSSQPARPPYYSITPYSSETPRSSHSNMEQSFIPPRYRSSTWITPSRSRSTSPSDASYSSKASNIKDYICIALAVTSCVIYMSYVVREFIFFCSFFISNIFIYYIYK >RHN72854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11254701:11257678:1 gene:gene8616 transcript:rna8616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MNSFNLSLAALCCVVVVLGGLPFSSNAQLDNSFYRDTCPNVHSIVREVLRNVSKTDPRILASLIRLHFHDCFVQGCDASILLNTTSTITSEQTAFGNNNSIRGLDVVNQIKTAVENACPNTVSCADILALAAEISSVLANGPDWKVPLGRRDSLTANLTLANINLPSPAFNLTQLKSNFDNQGLDATDLVALSGAHTIGRGQCRFFVDRLYNFSNTGNPDPTLNTTYLQTLRTICPNGGPGSTLTDLDPATPDTFDSAYYSNLRIQKGLFQSDQVLSSTSGADTIAIVNSFNNNQTLFFEAFKASMIKMSRIKVLTGSQGEIRKQCNFVNGNSGLATKVTRESSEDGIVSSY >RHN56026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26957445:26959039:-1 gene:gene31342 transcript:rna31342 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPTSASCVAGCDVMETARHLFLKCGTSLTLWYSVCSWLGLYLVHPFESYAITTYISVIWRDFLDVLMLSCKVSGILVFGLFGRIRTNVFFKTSLPIFTVYLTKLSAIHFYG >RHN76430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50188307:50188714:-1 gene:gene12754 transcript:rna12754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kelch-type beta propeller MVYVVGGHDEENNVLKSAFAYDVANDVWVSLPDMVRERDECKAVFCAGNNGFGTIKVVGGYRMEMQGRFERSAEEFDVATWWWDPVEEEFLDDATCPRTCVDGCELDRRMYMCMGDDVVALDGDTWQWWRMYLWI >RHN51934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28667483:28671798:1 gene:gene36507 transcript:rna36507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MMEENKKWMHKETERQRRQEMSNLCTNLRSLLPIEYIKGKRSISDHVNEAMHYINHLQNKVKQLQDKKEELMKVSNLSRNVTTQNGSSSSNIQPFVIVQPFPGGLEIVCSYSFNKCLFPLSRVLSMLLKEGLNLISCTSNMIEGRFIYTIRSEEPIMPGIDYSELQRKLTEAISCSSLQENSFEPEIDNILR >RHN52634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37267916:37268797:-1 gene:gene37328 transcript:rna37328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M48 MAWFRRTEKLIVQAFHTLPSNSRLPSHNSIFQPSSRISQSRYFSFATKGATYNRFSKRIGTSGFEINNNFRRFYSVNPQNVWNFMPRGAKDWFQDPKNVSLVLFGVGSGVLITLCFQNMETIPYTNRTHVILVSNEMEKKLGDCVFEKIKVDFKNELLPETHPQSVRVGMIANNILDAMKKTLNKETDRSDLGYGSENARETQSNWHMEDENLDDKWVQKGKEQDSQPYTSHLDGLNWEILVVNKPFVDAFCLPGGKIVVFTGLLEHFSSDAEIATFLGHEVFVSFALYVCLD >RHN45712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24836425:24837888:1 gene:gene40099 transcript:rna40099 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQVNCSHSNNLSNNASLLTSKKKNISIERGEQLLKSLIIKKTQSHENVTESKVFQDSKKKASISAENQDGKENKCKPVTNSKKCSCSPTTHEGSFRCRLHRISATKKSATEKSSNAICSKFASAEFKPQLSRFGRVASAEFGSHA >RHN80187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35970684:35973801:1 gene:gene4085 transcript:rna4085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WPP domain, leucine-rich repeat domain, L domain-containing protein MDSTVPSYQHRTLSIKLWPPSQSTRSMLVGRMVRNLTTPSIFSRKYGLLNKDEAEKDAKEIEDAAFVTATQHFEKEPDGDGSSAVQIYAKESSKLMLEVLKRGPRGKEENGELISEKGDAAVETVFDISGGRRAFIDGEEASELLKPLMGPNSFTNICFSNRSFGLDAAHVVEPMLISIKDQLKEVDLSDFIAGRPEAEAIEVMNIFSSALEGAVLRHLNLSNNAMGEKGVRAFRALLKSQNDLEELYLMNDGISEEAAKAVAELIPSTEKLKVLHFHNNMTGDEGAFAIADVMKRSPALEDFRCSSTRVGAEGGVALAEALGACTHLKKLDLRDNMFGVEAGVALSKVIPVFADLTEIYLSYLNLEDDGAEALANALKESAPSLEILDMAGNDITAKTAVSVAECISSKQFLTKLNLSENELKDEGAGLISKALEGLGQLSEVDLSTNLITWSGAKLLAEAVVQKPGFKLLNVNANFISDEGIDELKDIFKNSPDILGPLDENDPEGEDIDEEAEENSDNDELESKLKGLEI >RHN41772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32808615:32808962:1 gene:gene48130 transcript:rna48130 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHLFKFWILELREIFRESKNSPYFLDLWTEFNSAVSFIHIFFHQESLIKLLDFRIWSIVLSRKGNRYFMIKNVVFFVVGILIYRINNRKMIERKNVYLTGLDNRRKRKYKVNG >RHN54406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9324745:9325605:-1 gene:gene29437 transcript:rna29437 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNYHVRSNSFPSQSHPNSTRIEQELTKMKTWEATSTSTSDSITNGLFLLEDLYISLEDLLNMTSTQKLVSHHQGEKFVEELLDGSMKMLDICGITRDTMLQIKENVQSLHSSLRRKKGDSSIEKSVAEYKFFTKKMKKNVTKLITSLKHMESKFGASSLLNKDQDLVAVITVLREVIAMNLSIFRSLFSFLVGSSSKSKATKWLKVAKLMQKRVTCEENMENFNELQCVEASLRTLSSEDSNVAHERFEAFENAIESIENGLENIFRRLVKTRVCLLNIMTLS >RHN55259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16483196:16484212:-1 gene:gene30410 transcript:rna30410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monodehydroascorbate reductase (NADH) MGGNLSNMEHGHTSAKSLSSHILTFHSTAKWKAYFDASKETNKLMVIEFTAAWCGPCKYMDPIIQDFAAKYIKVDFIKIDVDELMSISQEFQVQAMPTFILMKKGKVVDKVVGAKKEELEKLIEKHQN >RHN58617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3365768:3366095:-1 gene:gene20543 transcript:rna20543 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRVVTASKHQSNPLKYSTNNERSKFYNGNDRLKYSGLELVLSGNIPSGVPFLVFLEVFCTS >RHN71138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56738137:56739194:1 gene:gene19787 transcript:rna19787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MHLSYFFSLSLLSKKIRHLQIKYSCFSFTFPQDDEEADTVGCCTLKVENVTAQGHNKLKFEFLGKDSIKYENTVETDVRLISICFSLLSDKHPGDDLFDKLDTSKLNAHLKELMPNLTAKVFCTFNASFTLDDMVKSSLRRDYYVFKLKLNKETKDGDVAEKVYVHRQANKQVAIICNHQRSVSKSHSEQISKLNEKIDELQVIMNLETWV >RHN54899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13329807:13331551:-1 gene:gene29997 transcript:rna29997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamyl-alcohol dehydrogenase MATETQVLEHPKKAFGWAARDPSGVLSPFNFSRRETGEKDVAFKVMYCGICHTDLHMMKNEWGNSIYPLVPGHELAGIVTEVGSKVEKFKVGDKVGVGYMVDSCRSCQNCADDLENYCPTFTVTCGAKYRDGTVTYGGYSDSMVADEHFVIRIPDSLPLDAAGPLLCAGITVYSPLRHFELDKPGMNIGVVGLGGLGHMAVKFAKAFGANVTVISTSPHKEKEAIEHLGADSFLVSRDPDQMQTAMGTLNGIIDTVSANHPILPLVALLKSNGKLVMVGGVAKPLELPVFSLLGGRKLVAGSLIGGIKESQEMVDFAAEHNVTPDIEVVPIDYVNTAMERLDKADVKYRFVIDIGNTLKPSP >RHN63205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49349426:49350758:-1 gene:gene25881 transcript:rna25881 gene_biotype:protein_coding transcript_biotype:protein_coding MSESATFNCGSTMLLGSILITFLCNLKFQSSTIGNTFVVCSSFCPCDHVYF >RHN65933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6651963:6652709:1 gene:gene13831 transcript:rna13831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MYLRTMTLSHSFIFLFSLTLISTQLTTTNGVFSKQSNIILPSEQQTTEKLTHIHFYYHDIRGNKNPTMLQIIDTPQNVANGFGSTFMMDDAMTEGPELSSKHIGRAQGMFGLCSLHDIAECMLINMVFNEGKYAGSTLSMLGRNPITKQNRETSIVGGTGIFRFARGYAIANSVNSISTPEHYVVEYNITVSHP >RHN77692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8690880:8694218:-1 gene:gene1173 transcript:rna1173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MESEKKSSSISNFGAWGMNVVSSVGIIMANKQLMSNNGYAFTFATTLTGFHFAVTALVGLVSNATGYSASKHVPLWELIWFSAVANISITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWILHSKHYSREVKVSVMVVVIGVGVCTVTDVNVNFKGFVCACVAVVSSSLQQISIGSLQKKYSIGSFELLSKTAPIQALSLIILGPFVDYYLSGKLITNFKMSSGAIVFILLSCTLAVFCNVSQYLCIGRFSAVSFQVLGHMKTLCVLTLGWLLFDSELTFKNIMGMVLAVVGMVIYSWAVELEKQPNAKTLPHSKNSLTEEEIRLLKEGVENSPLKDIELGQAKV >RHN60306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25058772:25065372:1 gene:gene22603 transcript:rna22603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & lipid binding HD-SAD family MFQSNLLEAGQFHPLEMMQNTSESDVPRIREDEFESGTKSCSENHEGGAASGEEQGPRPKRKRYHRHTQHQIQEMESFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERSENSQLRADNEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISTMAAKYVGKPVVNYSNISPSLPPRTEIGFGNPQGIGTMDMYGASGDILRSISGPTEADKPIIIELAVAAMEELIGMAQMGDPLWLRTTPEGAATVLNEDEYVRSFPRGIGPKPNGFKCEASRESSVVIMNHVNLVEILMDVNQWSTVFAGIVSRAVTVEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRESYFVRYCKQHPDGTWAVVDVSLDNLRPSPSSRCRRRPSGCLIQEMPNGYSKVTWVEHVEVDERGVHNLYKQLVNTGDAFGAKRWVATLDRQCERLASSMATNIPTVDVGVITNQEGRKSMLKLAERMVISFCGGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPTQVFEFLRNENSRTEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSPNSSQSNMLILQESVTDATGSFVIYAPVDMVAMNVVLNGGDPDYVALLPSGFAILSDGNGNGVGGETGGGVGAGAGGGGSLLTVAFQILVDSTPTAKLSLGSVATVNSLIACTVERIKASLSGESA >RHN73852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20676735:20679284:1 gene:gene9734 transcript:rna9734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative MULE transposase domain-containing protein MRVSKGNSIKINTERPLPTIQPRFESFYFCFEGLKQGFLSGCRPFIEIDGCHLKTQYGGKLLIAVGRDANDQYYPLAFGVVEVENKESWRWFLTLLLEDIGADKRWVFISDQQKGLMSVFDELLEVVKHRLCLRHLYANFKKKIWWRCCN >RHN54308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8511242:8513453:-1 gene:gene29319 transcript:rna29319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L2 MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVNEIIHDPGRGAPLAKVTFRHPFRYKKQKELFVAAEGLYTGQFIYCGKKATLVVGNVLPLRMIPEGAVICNVEHHVGDRGAFARCSGDYAIVISHNPDNDTSRIKLPSGAKKIVPSECRAMIGQVAGGGRTEKPMLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAAKRTGRLRGQAASAAAKAD >RHN61827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38664825:38670533:1 gene:gene24327 transcript:rna24327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucosidase 2 subunit beta, glucosidase II beta subunit MDSLCFHSVLLLLVSTASCLSFSHPSFLGVHPLDAQYYSSEFIKCKDGSKSFSRDRLNDDFCDCSDGTDEPGTSACSAGKFYCRNLGSKPQFIVSSHVNDRFCDCCDGSDEYDGTIRCPNTCVMGGNAENMYGNYNSKVRDQSVFSEKETENGAKAEESAHSLSGLKLAIILQVVVVAFLVFLWSFRCYTRYRRRRSR >RHN75170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39947629:39948146:1 gene:gene11336 transcript:rna11336 gene_biotype:protein_coding transcript_biotype:protein_coding MACFCFLVDQTIKIRRSKPVAGTCSRCRSGASVADMITQTRFCYVPFYCKSWKAILCTFCGAILKSYR >RHN67206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24930231:24932387:1 gene:gene15366 transcript:rna15366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MNCQKIINLGLLSENESWTLFQKHADITDEFSKSLGGVPHELCNKCKGLPLAIVTVASSLKGKHKSEWDVALYKLRNSAEFDDHDEGVRDALSCLELSYTYLQNKEAELLFLMCSMFPEDYNISIEDLIIYAIGLGVGGRHPLKISRILIQVAIDKLVESCLLMPAEDMECVKMHDLVREVALWIAKRSEDRKILVNVDKPLNTLAGDDSIQNYFAVSSWWENENPIIGPLQAAKVQMLLLHINTSISQSSFVLSNLTFEGIDGLKVFSLTNDSYHDVLFFSLPPSVQFLTNVRTLRLNGLKLDDISFVAKLTMLEVLLLRRCKFNELPYEMGNLTRLKLLDLSGSDIFEKTYNGALRRCSQLEVFYFTGASADELVAEMVVDVAALSNLQCFSIHDFQLPRYFIKWTRSLCLHNFNICKLKESKGNILQKAESVAFQCLHGGCKNIIPDMVEVVGGMNDLTSLWLETCEEIECIFDITSNAKIDDLIPKFVELELIDMDNLTGLCQGPPLQVLCFFQKLEKLVIQRCIKIHITFPRECNLQNLKILILFSCKSGEVLFPTSVAQSLQKLEELRIRECRELKLIIAASGREHDGCNTREDIVPDQMNSHFLMPSLRRVMISDCPLLKSIFPFCYVEGLSRLQSIYIIGVPELKYIFGECDHEHHSSHKYHNHIMLPQLKVLNLEFLHNLLGYVPNTVLQSGHLTL >RHN55776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23568231:23568761:1 gene:gene31026 transcript:rna31026 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVTMNAWLPQASYPCGNFSDTSSFKYRRAKGSIDHAFTVHICTGNQNQKSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSQPNNVFRPDRPTKVGLGFKKRGSAPPPIHGISKITLKVVVFHFRCFQLPLIPHLSSQTNNLQVSIAAGLHQSFLWLRPAQA >RHN55579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19658853:19664222:-1 gene:gene30781 transcript:rna30781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-phosphogluconolactonase MALSGDHKNRGELRIFDSVDEVKTVLAEYINQMSDEAVQDHGFFALAISGGSLIDLMGKLLQPPYNKTVDWTKWYIFWADECVVSKNHEDSSFKHAKEVFLSKVPIVPSHAVSINDSVSAEEAADDYEFVIRQLVRTRVVNASEISDCPKFDLILLELGTDGHVASLFPNHPALDEREEWVTFITDSPIPPPERITFTLPVINSASNVAVVAAGESKADAVLLAVDEIGPDCPSIPARMVQPANGKLVWFLDKLAATKLEDSDLNN >RHN75819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45348282:45349432:1 gene:gene12073 transcript:rna12073 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNKVVCIIFMLVLLFTKLESRSLDAFMESNKKIPAKSCCQQLIQKS >RHN54855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12926484:12930934:-1 gene:gene29949 transcript:rna29949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MMGDVAKDLTAGTIGGAAQLIVGHPFDTIKVKLQSQPTPLPGQVPKYSGAIDAVKKTIAAEGPGGLYKGMGAPLATVAAFNAVLFTVRGQMEALLKSHPGDVLTINQQLVCGAAAGLSVSFLACPTELIKCRLQAQSALAGSGTATLAVKYGGPMDVARHVLKSEGGVKGLFKGLVPTMAREIPGNAAMFGVYEATKQLIAGGTDTSELGRGSLMLSGGLAGAAFWFMVYPTDVIKSVIQVDDYKNPKFSGSIDAFRRIKATEGFKGLYKGFGPAMCRSVPANAACFLAYEMTRSALG >RHN41112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27063354:27072011:-1 gene:gene47401 transcript:rna47401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MSISTTVFSEPLFNFKLSHYHRLATINRFKPNSSHSLSSTSNIINYGSSCSWTLKPPHKFLSPKFVTFATNTDTLQQIQSSDDVIFSQTHPINRIQLVEGKVFIRLDQGNDLKNSELIVGCNLPGKWILHWGVSGVDDIKSEWNQPPRDMIPPGSIPVKDHAIETPLKKSSTSPEGDTFYEVRISFKPSDEISAINFVLKDEETGASYQHKGRNFKVPLVNYLKEDANLIGPKTGFSLSQGDIGQMSNVILDSEATNDKVQDSSSESESPKKENSQVEGFNVELPLTKEVTVNNSISVSVRKCSETAKNILDLETDIPGNIFLHWGVCRDDLRSWEAPPAPHPPQTVAYKDTVLRTQLKPKGSGEGSSAQISLGEEFSGFLFLLKINENSWLNNKGIDFYVPLSTSGSLVIGNREDQSKVVKSEEAGENENSEFTNEIINEIRHLVTGISSVKRRKTKSKEAQETILQEIERLAAEAYSIFRTSVPTFSEEAIVESEVVLDSESPELPPKIMSGTGTGYEIVCQGFNWESNKSGRWYMELKEKAKELASLGFTVIWLPPPTDSVSPEGYMPRDLYNLNSRYGTIDELKDVVKEFHKVGIKVLGDAVLNHRCAEHQNKNGIWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDSFHAAPNIDHSQDFVRNDIKEWLCWLREEIGYDGWRLDFVRGFWGGYVKDYMDATKPYFSVGEYWDSLSYTYGEMDHNQDAHRQRIVDWISAAGGTAGAFDVTTKGILHSALERCEYWRLSDQQGKPPGVMGWWPSRAVTFIENHDTGSTQGHWRFPHEKEMQGYAYTLTHPGTPSVFFDHIFSHYKTEIGALLSIRKRNGINCRSTVKITKSERDVYAAIIDEKVAMKIGPGHYEPPSDSQKWKSAWDGRDYNIWELEES >RHN71200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57163585:57167794:-1 gene:gene19853 transcript:rna19853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative enoyl-CoA hydratase 2 MAGTSDFDPALAISHNFQDTTYSYTERDVALYALGIGACASNAVDEDELKYVYHENGQQFIKVLPTFAALLSLESLPSGFDIPGLQYDPRLLLHGQQYIELCKPFPSSCHIQNKVSLAGLHDKGKAAILEIETKSYEKESGDLLCMNRTTVYLRGAGGFSKTSKPFSYSNYPSNQTSAVKIPESKPFAVFEDRTHPSQALLYRLSGDYNPLHSDPLFAKVAGFSQPILHGLCTLGFAVRAIIKSICKGDPDRIKSITGRFLLHVYPGETLVTEMWLEGSRVIYRTLVKERKRTVLSGSVDLRGLNSSL >RHN39819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10815518:10818768:1 gene:gene45889 transcript:rna45889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NTF2-like domain-containing protein MTLLIHSPEIHVTPQFAQNPNPNSRKLHNFKPYAKLNAIGSKNRVKISCDVKDFAVLELGLDRNVKQYGQFSVPVKSGSKSSKEEEEEKQNYYLNMGYAIRTLREEFPDLFYKELSFDIYRDDIVLKDPLNTFMGIENYKSIFWNLRFHGKIFFKALWIDINSVWQPVENVIMVRWTVHGIPRVPWESRGRFDGTSEYKLDKQGKIYEHRVDNIAFNKPPRFKVMAVEELIQSLGCPQTPGPTYFEISSSTKRK >RHN65590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3486397:3488184:1 gene:gene13444 transcript:rna13444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MVQQKKLVDEVSGWLRIYNDGSVDRTWTGPPEVTFMIEPVAPHEQFIEGVAIRDVTTTTSTTTNTQDGSIHRARLYLPEKTPEDNKKLPILLHFQGGGFCISEPNWFMYYHVYTELVRSTRSICVSPYLRRAPEHRLPAAIDDGFATLQWLQSVAKGDVRDPWLEEHGDFDKIFLIGDSSGGNIVHEVAARAGSVDLTPVRLAGAIPIHPGFVRSYRSRSEIEMPQSPFLTLDMLDKFLGLALPIGSNKDHPFTCPMGSGAPPLDGLKLPPFLLCLAENDLLKDTEMEYYEAMKKANKEVDLFVSKGMTHSFYLNKIAVDLDPTVGAQMNALIGRVKEFIEKH >RHN71263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57693741:57696606:-1 gene:gene19921 transcript:rna19921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MATSTPNRTVEPPSSATGTPLPPTTTPPPSNPPQPPPVAKPEVPLPEAKTSSDANLILVPSHSRWFSWDSIHECEIRNIPESSKNPRVYKYYRNSIVKFFRFNPNRKITFTDVRKTLVGDVGSIRRVFDFLEAWGLINYHPSSSLSKPLKWEDKDTKPDAASNSAESPSPAPVKEAKRICSGCKNLCVMACFACEKNNMTLCARCFIRGNYRIGMSNTEFKRVEISEETKNEWTEKETLNLLEAITNFGDDWKRVAHQVVGRTDKECVARFLELPFGDQFLHYPHSESAPCIDDGSDQLKPPVAAECESETVPSDKSSKRMCLTPLADASNPIMAQAAFLSALAGTEVAQAAAQAALTSLSNVYKSTRINYRSFPRNTLQQDAAVASNGGNASDSIQGSLLRANLQLEKEESDVEKAISEVTEVQMKNIQDKLINFEDLDVLMEKERQQLEQTKSLFFLDQLNLLFRKTSAPTTGEGNHVKRN >RHN46701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34180777:34183819:-1 gene:gene41221 transcript:rna41221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannan endo-1,4-beta-mannosidase MKKMGMPIIFLFLLLILSSNAVSRVDEEAQKEGQDHVHKPITNYGSETMWDMEEDEWQMVGKKGNQFVVNGQPFYINGFNTYWLMMFAADDSTRGKVTEVFKQASSLGMTVCRTWAFNDGQWRALQKSPSVYDEEVFKALDFVVSEAKKYKIRLILSLANNWEAYGGKAQYVKWGKDAGLNLTSDDDFYTHSTLRSYYKSHVKTVLNRVNTFTNITYKEDPTIFAWELMNEPRCNSDPTGDKLQDWIQEMAFHVKKIDPKHLVEIGLEGFYGPSTPQRLQFNPNTYAQQVGTDFIRNHQVLGVDFASVHMYADSWVSPQIADTHISFVKSWMDAHIEDAEKYLGMPVVFSEFGVSSKDPGYNSTYRDTLISTVYSAVLNSTKKGGSGAGSLLWQVFPEGTDNMDDGYAIVFSKSPSTSSIVTLQSTRLALFNSVCSTRCNWSCKKKKMLEKILYHDEL >RHN55343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17504056:17509406:1 gene:gene30513 transcript:rna30513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSSSNLHKLQRHDIGDMEDMISELPEAVLLHILSLLPTKDAVRTSILATKWKYLWTHLSIFDFQVSCNPDVSKPEQESENCLIDWVDKLLHTSNHVERLCVQVQKADIDGDKANSLLSSALMHKLLDLKLSLDHTFARFVLPNNFSASQSLNKLCLEFGFILYIPDGIRFPNLKTLYLSHLSFANEKSAQRLLSGCPVLQELTLYKFFWLNIEQINVAISTLRKLTIELNHFDPSDLRIRSFTIKFDVANLLSLSYTAHPIIKFVLVNPTSIVDACIDLRCYDLQNQQQNTTQFAIELLSRLGSVKSLTISNDTLQCLNYAKDTLHLLPPFFNLTHLDVPLGYFYPTSEVLAGIFHKTPNLEVLHISKGFFLFLDEGWPSNSFPWCFTSSLKVCSISDFLGIEPDIEAVKFLLENATVLGEINISCSELLSKNLEKLADVRNQLQHLCQGRCVIKFREMKIFVKILTEKQLENDRTLADYNIQTESTLHLVLMERVQIQ >RHN53851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4706961:4712764:1 gene:gene28809 transcript:rna28809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate kinase MTTIHLSSTTSSLFKSNNLNNLSSSNFISFHSIKHPSKRVPQITSSNQSPTLGNDYYTNSSSLYGTWRTGEGSDERTKTKIVCTIGPSTSSRDMIWKLAETGMNVARLNMSHGDHTSHQKAIDFVKQYNSQFQDRVISIMLDTKGPEVRSGDVSQPILLKEGQTFNFTINRGVSTQDTVSVNYDDFVNDVEVGDVLLVDGGIMSLVVKSKTKDLVKCEVIDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVDNEVDFYAVSFVKDARVVYELKEYLKRHNADIHVIVKIESADSIPNLHSILSASDGAMVARGDLGAELPVEEVPLLQEDIIRRCHRMQKPVIVATNMLESMIIHPTPTRAEVSDIAIAVRQGADAVMLSGETAHGKYPLKSVKVMHAVALRNESSVLRSDSYPNHLSFHKSHMGAMFAFHATIMSNTLNTPIIVFTRTGSMAILLSHYRPHSTIFAFTNEGRIKQRLGLYHSVMPIYMQFSNDAEETFARALKLLLIKGHLNEGQYVTLVQSGAQPIWREESIHHIQVRKVHG >RHN54136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7205022:7206744:-1 gene:gene29126 transcript:rna29126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MVYKSPNIIRWNFVLAIVITIFFTSKFSFAKQSKLMGLKMNMIDRCWRPNPEWRKHRQQLVTCSIGYAGKMTNNIGKGLTHYKVTNPNDDPINPQRGTLRYGASVIQGKVWITFKKDMDIKLMKPLLISSFTTIDGRGVNVHVADNACLMISKVTNIIIHNIRIHHCKAQTPGMVMGPNGKVIHLGQVDGDAIRLVTASKIWIDHNTLYDCEDGLLDVTRGSTNVTVSNNWFREQDKVMLLGHDDGYVRDINMKVTVVYNHFGPNCNQRMPRIRHGYAHVANNLYLGWMQYAIGGSMGPSLKSESNLFIAPKVGSKEVTWRKIGHTNGDKWEFHSVRDSFENGASFAVTKGSRVQKPNYNKEQIFQVADVKSVRFLTRSSGAIQCSKTSGC >RHN52332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34034888:34035409:1 gene:gene36995 transcript:rna36995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative double-stranded RNA-binding domain-containing protein MAELHEVCHNKRLKLQFRDLWKESMRVEVLINEEFVGSGVYGSKKEIARNRAAKNVLQNMEIFFGISASTNEVATKDLDFPSKCDESSDATEDLSSLPKCNGGPNAIKDLHSPPKCDGSPNVIEDLGSPPKCNGGLDATQDLGFPPKCIGGSDAAEDLGFPISAMEVQMLPKI >RHN81122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43473339:43477303:1 gene:gene5121 transcript:rna5121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxyisourate hydrolase MEPHTCLTLVFFVLVNLAVGVLSTDDYSRHDFPVDFVFGSGTSAYQVEGAANEDGRTPSIWDTFAHAGFARGGNGDVACDTYHRYKEDVQLMVETGLDAYRFSISWSRLIPNGRGPINPKGLQYYNNLINELIRNGIQPHVTLHNYDLPQALEDEYGGWLSREVIKDFTNYADVCFREFGDRVKYWTTVNEPNIFAVGSYDQGISPPQRCSPPFCLIESTKGNSTFEPYLVVHHILLAHSSAVRLYRRKYREEQNGFVGISLYTFGSVPQTNTEKDRAACQRLRDFYLGWIMEPLLHGDYPYSMKANAGTRIPAFTSRESKQVKGSYDFVGIIHYMKFNVTDNSDVLNTELRDFSADAAAKLLGLEEVLGENEYPFTPWALGQVLDTFKTLYGNPPIFIHENGQRTLSNASLHDESRLKYLHGYIGAVLDSLRNGSNMKGYFVWSFMDAFELLDGYESIYGLYYVDRNDPELRRYPKLSAKWYAQFLKGTRSSLVGAIELNNDSSLVSVGHLLQ >RHN75272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40825070:40826175:-1 gene:gene11448 transcript:rna11448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNPYSHDNHGLPFSTNNFFSVGLPELQQHQHPQHNQQQQLQQQQSQRNFVPVPNPHIHASSILSSPTFVGLFEKQGQETDQLINIQNGQIKLMLQHHIRELQVATLKNMEIYSRQIMTKANEEIAKVVKKNQEMENLLRSLETEKRFLKRIAEERGATTIALHNKLEEEKKRARMVVLNDAESCCGESEEARAEKRMRSDDNLKFCSKCKTNFVGVLFLPCRHLSLCKQCEALLQTCPICGMAKKDVIEIQSSISY >RHN82462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53976072:53980495:-1 gene:gene6630 transcript:rna6630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative choline transporter MMDSPSFSSSSSDFASAIQSASRSRRSGGGSSEMVAAAEQSRRWHDVFWLGIFVIHLIALGFLLGVLGLNRFEKENRLNIDKYTPGLTGNHAGLTETYWPLYAAAGGIGTVLGWTWLLLLGSQATQMMKVSVHILTTYLAVISVLCFWTNQIFWGVAFAVGAALQFLYVISVIDRLPFTMLVLQKAVKMVWNLPEVMRVSYAFMFAVLLWMALWSFGAAGVVASNLSDGGRWWLLVVFSVSLFWTGAVLCNTVHVIVSGMVFLVLLHGGREAASIPANSLMKSLQYALTTSFGSICYGSLFTAAIRTLRWEIRGLRSKIGNNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVNGKSFNNSARDAWELFQSTGVEALVAYDCSGAVLLMGTIFGGLITGTCAGVWAWVKWSDRVIMIGSTSMLMGMVLVGLAMVVVESAVTSIYICYAEDPLLIQRWDAEFFNQMSETLHHRLQYRSARARDYRFHDDPIRENASI >RHN42904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41835734:41838302:1 gene:gene49410 transcript:rna49410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MDRFTQPYFLFSFFSSFLLFSFSFAAFDLATIPFHDGFTYLWGKENVIPSLDGNTVKLIIHEHSGSGFKSIDMYTSGFFSAEIKLPSNYFATAGVCVTFYTTSIDVFDRRRHDELDFEFLGNKAGKPWQFQTNLFGNGTSGREERYDLWFDPTKEYHRYSILWTEINIIFFVDEIPIREVLRNKQMENNYPSKPMYLYATIWDASKWAIHEGNRKVDYSYGPFIATYKNLILKGCIFDPIEVPDDEFCSENLKAQDYAIMNPLRRLKMQNFRQRFMSYSYCYHTYRYPVPPPECVIVSKEKQMFNENGMLKVGRSRRRHSKRRGRASTTMGHMMF >RHN81953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50098354:50101119:1 gene:gene6059 transcript:rna6059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative perakine reductase MEIQVPRVKLGTQGLEVSRLGFGCGGLSGIYNAPLSHEDGCSIIKEVFNRGVTFFDTSDLYGHKHDNEIMVGKALKELPREKVQLATKFGVTLSDEMVLGVKGTPEYVRECCEASLKRLDVKYIDLYYQHRVDTSIPIEDTMEELKQLVNEGKIKYIGLSEANADTIKRAHAVHPITALEMEYSLWSRDIEEEIIPLCRELGIGIVAYSPLGRGFFAGKSVVEALSSQSLLAMHPRFTGENLEKNKLFYERINDLASKHACTPSQLALAWLLHQGNDIIPIPGTTKLKNFENNIGSLNVKLTQENLKEISDAVPVEEIAGEREYDSISQYLWKFATTPPK >RHN44350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3771765:3772418:-1 gene:gene38460 transcript:rna38460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MMGGKPLSLILLLAFSIFASHSNSLPLSTNNRWIIDETGKRVKLHCVNWSSHLNAMVAEGLEIKPLKDLIAQLKELGFDCVRYTWATHMFTRYSNYKVGENLDKLNLTSSRLGIGNFNPSLENITVVEAFDFVIDEFGKQGMMVLVDNHVSDPKWCCHNKDGNGFFGDQYSDPKEWLQGLSNVANRVKGKSQVHIKHYLFFFYKKCKKLISRLIFLG >RHN59842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13913810:13917821:1 gene:gene22003 transcript:rna22003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase III subunit RPC4 MDKKDPNRIPRKLKFTPKGKPKSQTTKIEAGVEDEQKNSEVRVRSLLERVGKRQPKVAKQSSARDAFPRDSSLSLRSFGSGNGESSGSESKFSADEKYGSTHSSTAMDYQSYTSVIDVMDDTTDDEDYVEPWGPDTNYPTTLPLRKPNSGDPAILDEIEFGEAATDVKYDGDNLNSAEELGLSDKSEQPQLIFFKLPTVLPCVKQPVNSKGKEKIGTSKVSAKAKKASNLLELPSGYMGKMQVYKSGAVKLKIGETLYDVSPGTKTILDQDVVAINTAKKNYSVVGKVTVDAKAVVTPDIDAIDLESIGL >RHN75510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42836726:42836980:-1 gene:gene11728 transcript:rna11728 gene_biotype:protein_coding transcript_biotype:protein_coding MPVINLPSLLMFRLEAARAMEVAPAEDAKVVDPKEEKDEDYDETVKTVMVDKGKKRSN >RHN38762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1864175:1872606:-1 gene:gene44728 transcript:rna44728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MNLASNFMDKTIHIAVVPGVGYGHLVPILHFSKLLIQLHPDIHVTCIIPTLGSPPSSSETILQTLPSNIDYMFLPEVQPSDLPQGLPMEIQIQLTVTNSLPYLHEALKSLALRIPLVALVVDAFAVEALNFAKEFNMLSYIYFCAAASTLAWSFYLPKLDEETTCEYRDLPEPIKVPGCVPLHGRDLLTIVQDRSSQAYKYFLQHVKSLSFADGVLVNSFLEMEMGPINALTEEGSGNPSVYPVGPIIQTVTGSVDDANGLECLSWLDKQQSCSVLYVSFGSGGTLSHEQIVELALGLELSNQKFLWVVRAPSSSSSNAAYLSAQNDVDALQFLPSGFLERTKEEGFVITSWAPQIQILSHSSVGGFLSHCGWSSTLESVVHGVPLITWPMFAEQGMNAVLVTEGLKVGLRPRVNENGIVERVEVAKVIKRLMEGEECEKLHNNMKELKEVASNALKEDGSSTKTISQLTLKWRNLKTVHIAVVPGVGYSHLVPILQFSKRLVQLHPNFHVTCFIPTLGSPSNATKSILQTLPSNINHTFLPPVNPNDLPQGTTMESQILLTLTNSLPYLHQGLKSLAKEIPLVALVVDAFSVEVLNIGKELNMLSYIYFPSAATTLAWCFYLPKLDEETSCEYRDILEPIKIPGCVPLHGRDFLSIAQDRSSQAYKHFLPFVKLLSSADGVLVNSFLEIEMGPLSAMKEEGGDNPPVYPVGPIIETETKSGDDANGLECLAWLDKQQPCSVLYVSFGSGGTLSQEQIVELALENDIDTLQFLPSGFLERTKEKGFVITSWAPQIQILSHNSVGGFLTHCGWNSTLESVVHGVPLITWPLFAEQKMNAVLLSEGLKVGLRASVNENGIVERVEVAKVIKYLMEGDEGEKLRNNMKELKEAASNAVKEDGSSTKTISQIALKWRNLKTIHIAVVPGVGYSHLVSILQFSKRLVQLHPNFHVTCFIPSLGSPSTTTKSILQTLPSNINHTFLPPVNPNDLPQGTTMESQMHLTLNNSLPYLHQALKSLAKEIPLVALVVDCFAFEALSIGKEFNMLSYIYYPTAATTLAWIFYLPKLDEETSCEYGDIPVPIKIPGCVPIHGRDLMSPTQDRSSQAYKQFLALLKLLSFADGVLVNSFLEMEMGPISAMKDEGSENPPVYPVGPIIPTIESSGDANHGLECLTWLDKQQPCSVLYVSFGSGGTLSQEQIVELALGLELSNKIFLWVLRAPSSSSSSAGYFSAQNDADTWQFLPSGFLERTKEKGFVITSWVPQIQILSHNSVGGFLTHCGWNSTLESVVHGVPLITWPLFAEQKMNAVLLSEGLKVGLRASVNENGIVERVEVAKVIKCLMEGEEGEKLRNNMKELKESASNAVKEDGSSTNTISQLALKWRNLV >RHN60385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26071859:26075678:1 gene:gene22692 transcript:rna22692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MTNVSDAEQFVIKYKVRTGHKREFAFALNSHSEIGISLSKTRPRKNQNMVPTPKPKKTRMSSSQEELNDNVVEEIFTKNGDDDKKKLKNKKVNKKNKKAPTRGKKPEFCVNCRDVNVVSGLVYSSCEESKNSQPGPRHTTQTSNSHVSPTTANYRSRKPIVPQSSSYKGMKCITYCDKSPQRLTRKDQCLHKLVFHESVLKDGAAVGYFVDGEKQLHGEININKFGILCDCCNKVVSPSKFEAHAGWASRRKPSYLLMPRRILVWCFGNQRLFSYCSYSHIRTSNGVSLHELAINRRNSMSDSDEHCSSCQQRGDLLCCDGCQRSFYLDCIDLKSQSNSKWYCKYWRNKFQKDKNVEHKANDVPTGRIAEGGPSKQIVEISTLSVKQKVVEDSSCALCSKQDFNNNEFGPWTVMICDQVLVHVNHCFSCLIHKFVSILISINVLIKLLFYMFLQCEKGYHVECLKDHNMANLEKVPEGNWFCNVDCDDIHMKLKSFMARGDSLLSDYLLNLIKKKQEKKGFETELGLDIKWKIFNTKLIDSGIITSSFLFNVAAIFHEQFDSIVDTGTDIDLIQAMLRGTEIDDKYYFGGMYCAVLIVNQVVVSAGIFRVFGKDVAELPLVATKAEYKEQGFFRSLFSCIEDVLIQLKVKWLILPAAHEAKSMWKKKFGFTTPKQELMNDFRKFYHNLMIFEGTSLLQKKLVPPSQ >RHN52974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40785787:40786874:1 gene:gene37716 transcript:rna37716 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cycloartenol synthase MWCHTRLVYLPMSYLYGRRFVGPFSAIVLSIRREIYTLPYHILNWDHAKYHCAKEDLYHPCPMIQNILWGFLDNVGEPLLMHWPYSKLRNKALNHVMKHIHYEDENTNYICLGPVNKVVLNMVCCWLENPNSEAFKCHILRIKDYLWLAEDGMKMQGYNGSQCWDVALSVQAILATNLDDEYGSMLKKANNFIKLSQVINILTGSYIIMKH >RHN70300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50406565:50407415:-1 gene:gene18867 transcript:rna18867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MVKWNSNNHHCHILNVDGSCLRTPIRAGFGGVIRNSAGLYLSGFSGFIADSTDILLAELSAIHRGLLMAVDMDYEELVCYSVSLLSINLITGQASKYHAYAVLIQDIKDLLVSHNFTVQHCLREGNQCADFMAKLGASSNDEYLIHSSPPQDLPDLLRNDGVGIYFPRA >RHN70260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50082555:50083802:-1 gene:gene18822 transcript:rna18822 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLVHSPRESPNIDHFDFNRISPYLSTPSSPKRFGEDFYLSAPTSPSRFFSQFEDEDAGFAFSVHRESDSSPRSAEELFHGGVIKPLESAKSPLLSPNRVKKSPIAKGKKAIMEAFSPKNKKDSSLGNGERRGRDRTPASEFSSSNSGRRVTRSHSPYRTSQYTWVEEQQQHKQSQINKEDSVESVQTVSSSKSSRIWRLRDFLLFRSASEGRGTSKDPFRKFPVSYNKKLSEEGKGSSSPFRSSEIPRPRRKDSGVSAHELHYARKKAESEDLKKRTFLPYKQGILGRLAGLGSNTR >RHN75052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38991317:38991541:1 gene:gene11201 transcript:rna11201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MERNGLGPDRRSYTIMIHGHYENGRAKDAIRYFREMTSKGIVPEPITEKLVISMNGQLKERIEKQGVEVEASNV >RHN45698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24653273:24655240:1 gene:gene40081 transcript:rna40081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MQGLMMLTYQAQNPNLQPSENKTPSYMQALFLFAGLYAIAAGLGGMRATLAAHGADQLDQRNKSLISSYFSWYFFSLMTGGLLATCVMVPIEQKYGWSTSFFILLFVASLALCTFVSGFSLYRYKRPSGSPLTRIIQTLVASARNIKVLTGGSLNHDVTELLLAGDQSHDKFKFLNKALMDQNIDVAQVKETKSFIGLLSIFVTTIMMNCCVSQILTFSVQQGNLMNRTIYNFTIPTQSIAFVPIIISLTFIILFEQFKKMNKHKDNSNNKFYKPLFRMGIGLALVSTSMFVASIIESKRLESFKNGKTLSVFWLLFQYILLGLSDTLTLGGMIEFFYSEAPESMKSICTSLSWCSSSMGFFMSSVLVTLSNSISGRFGKEWFGGKDLNHSRLDLFYALLCIINILNFLLYVYFAKRY >RHN68926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39671553:39672120:1 gene:gene17347 transcript:rna17347 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKQFHLFLLFTILLFLTPTSYTTRTKFSGPSTQQQAFHRWANSPISSRGKEFESQKRRVPTGANPLHNKK >RHN41150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27580787:27615877:-1 gene:gene47440 transcript:rna47440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MVNLLSCLLLIVLLSLHCFVACLAANIKNITTDQHVLLAFKSLITSDPYDMLANNWSTSSSVCNWVGVTCDERHNRVHSLILQNMSLRGTVSPNLGNLSFLVILDLKNNSFGGQFPTEVCRLRRLKVLHISYNEFEGGIPAALWDLSQLQYLYLGANNFTGFIPESIGNLRWLKELDTSNNRLSGPIPQTISNMSSLEVLHLFSNYFSGEIPSLNNMTSLKVVKFGVNNLNGRLPNDFFNQLPQLQIFTLHNNQFEGSIPQSIGNCTSLLYLSLSSNFLTGDISSIFKFNNSLLQDLYLSYNNLSGNLPSNICHGLPNLRIFHIYHNDISGEMPTIWHQCEEMEGLDLSFNSFNKGPMPGGIRNMTKLQQLYLMGNNLEGEIPSFNSMTSLRVVKFSYNNLNGNLPNDFFNQLPQLENCNLHNNQFEGSIPRSIGNCTSLIYINLASNFLTGTIPEEIGYLDKLEVLYLSNNSLSGSIPSKIFNLSSLIDLGVEQNSLSGTIPLNTGYSLPNLQRLHLYQNNFVGNIPNNIFNSSKLRQIALDENAFSGNLPNTAFGDLRFLEMFFIYNNKLTIEDSHQFFTSLTNCRYLKYLDLSGNHISNLPKSIGNITSEYIRAESCGIGGYIPLEVGNMTNLLSFDLFNNNINGPIPRSVKRLEKLQVLSLGYNALKGSFIDELCLIKSLGELYLENNKLSGVLPTCLGNMTSLRILNVGSNNLNSKIPSSLWGLTDILILDLSSNAFIGDFPPDIGNLRELVILDLSRNQISSNIPTTISSLQNLQNLSLAHNKLNGSIPASLNGMVSLISLDLSQNMLTGVIPKSLESLLYLQNINFSYNRLQGEIPNGGHFKNFTAQSFMHNEALCGDPRLQVPTCGKQVKKWSMEKKLILKCILPIVVSAILVVACIILLKHNKRKKNKTSLERGLSTLGAPRRISYYEIVQATNGFNESNFLGRGGFGSVYQGKLLDGEMIAVKVIDLQSEAKSKSFDAECNAMRNLRHRNMVKIISSCSNLDFKSLVMEFMSNGSVDNWLYSVNHCLNFLQRLNIMIDVASALEYLHHGSSVPVVHCDLKPSNVLLDENMVAHVSDFGIAKLMDEGQSKTHTQTLATIGYLAPEYGSKGIVSVKGDVYSYGIMLMEIFTRRKPTDDMFVAELNLKTWISGSFPNSIMEVLDSNLVQQIGEQIDDILIYMSSIFGLALNCCEDSPEARINIADVIASLIKIKTLVLSASRV >RHN45617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23784458:23784742:1 gene:gene39995 transcript:rna39995 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFLWSNTKILQLVGSMWSDAEIWFNSTCSNTIIESFWFRVHFELKSLHKVGADFLFSMRVLLVAQEAMSCTLLQSVRNKPDQVCGVMSLLVT >RHN79936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33644789:33648192:1 gene:gene3794 transcript:rna3794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, HIT-type MGPKQCQICHKAQSKYKCPKCYLLYCSLACFKKHKELPCVNPTPSETKTADLESHVEKPSVVDNAVVEAPVEKPLVVVDKTGEVLQQFQLEAIASSSEIRDALNDKALQELICQIDCSSNAENELDKAMADEAFRLFTNKILSTINP >RHN46628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33450763:33462137:-1 gene:gene41143 transcript:rna41143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative D-alanine--D-alanine ligase MATSSSISRFSSTLLRNNHTVQLRSSTNLQLIFPKHLELNHKLITCRATRSSAVARATISEVVVVSSGVAEKGKEEGRALRVGLICGGPSAERGISLNSARSVLDHLQGDDLHVSCYYIDCNLNAHAISSAQVYSNTPADFDFKLESLAQSFSTLADLAEHLSAAVDIVFPVIHGRFGEDGGIQELLEKYNVPFVGTGSSECCQAFDKYKASSELRKHGFVTVPSFLVQGYETNKSELSEWFRKHQLDPDTGKVVVKPTRGGSSIGVTVAYGVNDSLVKASEIMSEGIDDKVLIELFLEGGSEFTAIVLDVGSSSDSFPVALLPTEVELQFLGENDLKENDAIFNYRRKYLPTQQVAYHTPPRFPLDVIENIRKGASILFQQLHLQDFARIDGWFLPDSGCKLSSSESEFGRSESGTIIFTDINMISGMEQTSFLFQQASKVGFSHTNILRSIVHHACLRFPNLASVSGISSQIPSRSKSSELNKSFPRREGAQKVFVIFGGDTSERQVSLMSGTNVWLNLLGFNDLEVTPCLLSSTSDYASSVDIGIKADDVWNRTVWSLPYSLVLRHTTEEVLDACVEAIEPNRAALTSDLRKQVMNDLMEGLKDHNWFTGFDIANELPKKFSLREWIKLAKEVKATVFIAVHGGIGEDGRLQSLLDAEGVPYTGPGALASKICMDKVATSVAVNHLANLGILTINKEVWRKDDLSNKPINDIWHDLTQKLQCETLCVKPARDGCSTGVARLRCSNDLAIYIKALEDSLLRIPPNSLSKAHGMIEMPNPPPELLIFEPFIETDEIIVSSKVKNETGHGFMWKGNSRWVEITVGVIGKRGSMHSLSPSVTVKETGDILSLEEKFQGGTGINLTPPPLSIMSEKALQRCKKHIELIANTLQLEGFSRIDAFVNVDSGEVLIIEVNTVPGMTPSTVLVHQALAEQPPLYPHQFFRTLLDLASERSM >RHN50092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3988221:3996661:-1 gene:gene34345 transcript:rna34345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-AMPK family MDGSAGPGGGNVNAFLRNYKMGKTLGIGSFGKVKIAEHVLTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHHHIIRLYEVVETPTDIYVVMEYVKSGELFDYIVEKGRLQEDEARSFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKWSVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRLLVVDPMKRMTIPEIRQHPWFQLHLPRYLAVPPPDTLQQAKKIDEEILQEVVNRGFAREPLVDSLKNRVQNEGTVTYYLLLDNRYRVSTGYLGAEFQETMDSGLNRIHSGEVASPVVGHRFPGYIDYQGVGMRQQFPAERKWALGLQSRAQPREIMTEVLKALQELNVCWKKIGPYNMKCRWAVGIPGHHGGNDSIIENEAVPKTTVVKFELQLYKTQEKYLLDLQRLEGPQFLFLDLCAAFLAQLRVL >RHN60703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29712089:29713595:1 gene:gene23058 transcript:rna23058 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbonic anhydrase MAIAEDESEFNYDEDSDQGPHNWGDIKPEWFRCKNGTMQSPIDLLNHRVQIVSNLGGLQINYTPSNATLKNRGHDIKLELIANSSYLQINGTQYVLKQLHWHSPSEHTIDGKRLDLELHLVHETPSGETAVIGILYKTGLPDLFLSLLRKDLEAISTSTGEERSVGVIDPRMIQFNKMHYYRYIGSLTTPPCDENITWTIVREVKFVSKEQIELLRVAVHDVSYFHILLIFYYKCLICNINLSQPNYY >RHN66639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15473101:15473666:-1 gene:gene14676 transcript:rna14676 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLVIVLHYRMLSFTTHFSYHVYSSKSRVYIDTRLGLEGVALLGYPY >RHN67334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26121862:26123635:-1 gene:gene15514 transcript:rna15514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDLQTIPPFTLFSIFLLSIIVTLKLKKKIKKIDSISNIPPGPWKLPIIGNIHNLIGSPPHRKLRELSNKYGPLMHLQLGEVFFIIVSSAEYAMEIMKTHDVIFSSRPSTLTSEIVFYDSTSIAFSPYGDYWRQLRKICTVELLSIKRVQSLWPIREQEINNLIRRIASEEGRVVNLSQQVVPMMFSITSRAAFGKKYMEQDEFVSTVREVLQLAGGFYIGDLFPSAKWLQNLTGMRPRLEKLHEKVDRILELIIDDHKDKKSRSKDDLVEGEEDLIDVLLKFEDSNNSSQEFSITKRNIKAILFDIFTGGSDTAATTINWTLAEMMKDQRVMKKAQAEVRVLFKKRGKIDEIFLSELIYLKAIIKEVLRMHLPGPLLIPRVCAQACEIDGYHIPINSRVIINAWAIGRDPKYWTDPDKFYPERFIDSSVDFKGTNFEYIPFGAGRRICPGINYGMANVELTLALLLCHFDWKLPGGMKNEDLDMTELFGASVIRKDDLYLIPTTYPSLK >RHN52210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32729651:32736463:1 gene:gene36857 transcript:rna36857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSFSTLRSVLFSVFIPNFSHFQQSPNPNFIGLFPSSISLYSQLHHHQDEENNLISSFNSLLHHKNPTPPIIQFNKILSSLVKAKHHSTALSLHQQMELNGIVSDFFTFNILINCFSQLGLNSLSFSVFGKILKKGFDPNAITFNTLIKGLCLKGHIHQALNFHDKVVAQGFHLDQVSYGTLINGLCKVGRITAALQLLKRVDGKLVQPNAVMYNMIIDNMCKAKLVNDAFDLYSQMVAKRISPDDFTCNSLIYGFCIMGQLKEAVGLLHKMILENINPRMYTFSILVDAFCKEGKVKEAKMMLGVTMKKDIILDVVTYNSLMDGYCLVKEINKAKDIFDSMASRGVIANVQSYTTMINGLCKIKMVDEAVNLFEEMRCRKIIPNVVTYNSLIDGLGKLGKISCVLKLVDEMHDRGQPPNIITYNSILDALCKNHHVDKAIALLTNLKDQGIRPDMYTYTVLIKGLCQSGKLEDAQKVFEDLLVKGYNLDVYTYTVMIQGFCVKGLFDAALALLSKMEDNGCIPNAKTYEIVILSLFEKDENDMAEKLLREMIARGLL >RHN79823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32593366:32595474:-1 gene:gene3665 transcript:rna3665 gene_biotype:protein_coding transcript_biotype:protein_coding MEHMSTFTTCFFLFLFLVLPHFSRGESEAILPSEVYEIDYKGPETHSSVPPPHHKFHSIPRKNLVRTEKALGNMGVSGATLATNKVKKVHG >RHN65438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2252112:2258820:-1 gene:gene13276 transcript:rna13276 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLPRYLFITVAVGDDSHYTLQIERTGNRYKVVNFQSVFRYVVNGKLVLKKRNGNGKDIGVESIVLKEKCRFWRDFSYIWSWECGLKIRKKGDDRNSRSLLIYSNIDYRCFIEAYACREGPERPLMTH >RHN69193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41706033:41706574:-1 gene:gene17628 transcript:rna17628 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGVFSWLLCGGYVDVLVAHQMAIRDGGEATFLVVREVPVGPQRLGSIRTFSSGSTTLLSGGLSMWVDGVDAMKVTSIVVVAKVVVVSIVIVIGCSSKVVGCCVGP >RHN58341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:966581:970001:-1 gene:gene20246 transcript:rna20246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidyl-N-methylethanolamine N-methyltransferase MDIFAAIGVLSPFPFYYYLWKWPQSWVDFCGKGRDPSKIMAYVAHFLKLIQFISLFSVSSFHWPPPFYFWPLFAFGQFLNFRVYQLLGEPGTYYGVRFGKTIPWVTEFPFGVISDPQYVGSIMSLVACISWVPFQYILLWILGYVFMIRVESKEDPSTRAKPLN >RHN51152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14550868:14554314:1 gene:gene35538 transcript:rna35538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MSRHPTVKWAQRSEELSITVELPDAQDVKLKVEPEGKFYFSATAGAEKIPYEVNVDLFDSIDVNDIITSASSRQICYLVKKAENKWWDRLLKQGGKIPSFLKIDWDKWVDEDEYYEQEAKPASDKDLGDIDFSKLNMGGGDGLELDAAGDDDDDESDSEEEDTDEASSGIEVDPKDTVSGDRTVAPDTKA >RHN43092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43102381:43107516:-1 gene:gene49616 transcript:rna49616 gene_biotype:protein_coding transcript_biotype:protein_coding MENSFDSLSEVMPGRESWRFKVRFHRRLVAVFVVWLGSFCDGEIS >RHN59343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9717970:9718558:1 gene:gene21357 transcript:rna21357 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNYMMHLNLIATYNSQSCLALCLMEECSHDEDVYYTRNYSCYFIKLIRINFEVKPIHEY >RHN56755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33434920:33436866:-1 gene:gene32196 transcript:rna32196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSLQKLKLSTPSSIFEENPSTKTKRKPKRKLVRIIITDHDATDSDSSGDQEREKNNQTTKRRKPKREILHINMHCPVPNSSLSFSSPSSSSSLVSPEKTHKKLNRVRRPRKPPTSVVTRRHSNFRGVRQRPWGKWAAEIRDPIRRKRLWLGTFSTAEEAAAEYDRVAVMLHGSNAVTNYPITQVEVKTEIEKDFEVTPPVSSGNSDRGGYSDALSSPTSVLPYDCDSTPFDGFRYVDVDAFGFHIDAPLSLPEVNVPLTCHQKLEKEEKFEEFDLDEFMTWPY >RHN80626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39561712:39566177:-1 gene:gene4572 transcript:rna4572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSSSIPSSSMQAASINSNIRSVGHMFSTPSEQPDNVHFSSASEIHSMTFPQESDVMSWGTDPFEDILQFHDNVPTQNDHVEYNGSEVLGGNAKTTDFKEWVDQLMSVDDDSIQPNWNELLGDNNMAEPKSQDAQMSPSLLMQETQVSQQQYIPSLPSKEVNDLPNSSVSTTSQSKPRMRWTPELHEAFVEAVNQLGGSEKATPKGVLNLMKVEGLTIYHVKSHLQKYRTARYKPESSEGIPEKKLTSIDEMPSIDLKTPKGITEALRLQMELQKRLHEQLEIQRNLQIQIENQGKHLQMMFEQQMKSDEPSAPLSSAAVPSPVENLENTNEGHEKIGINGSASENMPEGSSQNTSTEQKGDDAKATGELELGEDQLTAPPTKRVKTDK >RHN61935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39461575:39462508:1 gene:gene24449 transcript:rna24449 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFKNNIIGCVCCVVFSMEPRRPPTRRKSQTYMVLNFADIHGNQRTKCETYIPVNLSESLITVKSNHVWLTYFPLESSWDVLNETLFVEIACFEDYLGIEVKNCGYRWIYKQDLQELNYKMNHGNSLAGKRKFLEIEDEAQQQPKTTFLQLIK >RHN71738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2335233:2340896:1 gene:gene7370 transcript:rna7370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 METWFIVLITLCIIFLVKAILSSFTTTVPLPPSPPHIPIITSIQWLRKSFSQLEPFLKTLHAKHGPIINLNIGSRPSIYISDRALAHHALVQNSSLFSDRPKALPSHKLISSNQHNISAAFYGPTWCTLRRNLASEMLHPSKIKSFSEIRKWVLHTLINRLKIASESTDYVTVIPHFHYAMFCLLVFMCFGERVNDEKINDIERVQRTLLLKVSRFNILHFWPKVAWILLRKQWEELLMLRRDQEDVLLPLIRGRKQVKETKLNNANTVVSYVDTLLELELPMEKRKLTEDEMVSLCSEFLNAGTDTTSTALHWIMANLVKYPDVQRRLVQEIREFMGGDGVVEKEEVKEEDLHKLRYLKCVVLEGLRRHPPGHFVLPHAVSDDVVLNGYLVPKNGTVNFMVAEMGLDPRVWEDPMEFKPERFLKDETFDITGSKEIKMMPFGAGRRICPGYNLALLHLEYFVANLVWNFDWKVPEGGHVDLSEKFEFTVLHQHFYVMETWFIALVSLCIIFLIRATLSSISTTKKTTATLPPGPPHIPIITSIQWLRKSFAQLEPFLRTLHAKHGPIINLNIGSRPSIFIADRALAHHALVQNSSVFSDRPKALPTNKLMSSNQHNISSAFYGPTWRTLRRNLASEMLHPSKIKSFSEIRKWVLQTLINRLKTASESEDSVTVVSHFRYAVFCLLVFMCFGERVNDEKINDIERVQRTILLNFSRFNILNFWPKVTRILLRKRWDELAKLLKDQEDVLLPLIRARKHVKESRLNNINTVVSYVDTLMELELPQEKRKLSEDEMISLCSEFLNGGTDTTSTALQWIMANLVKYPDVQGKLVEEITEVMGGDDAGEKEEVKEEDLHKLRYLKCVVLEGLRRHPPGHFVLPHAVSEDVVLNGYLVPKDGTVNFMVAEMGLDPRVWEDPMEFKPERFLKDETFDITGSKEIKMMPFGAGRRICPGYNLALLHLEYFVANLVWNFDWKVPEGGRVDLSEKQEFTMVMKNPLQAHISPRI >RHN39389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6742343:6742669:-1 gene:gene45408 transcript:rna45408 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLTNSCSASINTNDIRWGDKKEYFLEKSVSRTNFSYNT >RHN50149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4516735:4518469:-1 gene:gene34404 transcript:rna34404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MSNFEEKKPHAVLTPFPVQGHINALLKIAKLLHLRGFHITFVNTEYNHKRLLKSRGENAFDGFTDFNFETIPDGLTPKDGNGDVSQDLHSLGESIITNFRHFFDELLAKLQDSATAGLIPPVTCLVSDCYMPFTVDAAEEHALPIVLFSPCSACYFLSCLLSPKMYLNSQVPFKDESDLTNEYLDTKIDWIPGLKNFRLKDLPRLIKTKNPNDLTIRFNTEVADKCHRASGMVFNTSNELESDVMNAFYSMFPSLYTIGPLASFVNQSPQNDLTSLDSNLWKEDTKCLEWIESKEPRSVVYVNFGSITVMSREKLVEFAWGLANSKKPFLWIIRPDLVIGGSVVFSSDFLKEISDRGLIASWCPQEKVLNHLSVGGFLTHCGWNSTTESICAGVPMLCWPFFSDQPANCRYICNEWEIGKEIDTNVKREEVEKLVNELMSGDKGKKMRQKAIELKKKVEVDTRPGGCSYTNLEKVIKEVLLKQNQA >RHN79401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28493870:28498427:-1 gene:gene3188 transcript:rna3188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EEIG1/EHBP1 domain-containing protein MVVKMMKWRPWPPPISRKFEVKLLIKTLSGGFDLSPENTFAVEIRWKGPKLALSSLRRNAVVRNFTGEAHTKGDEHDVVLWDEEFCSFVNLSANKENGFHPWEIAFTVFNGLNQRPKNKIPVVGTGSLNLAEYASVVDQKDFDLSIPLTIPGGASVDPSLSLTISISLVELRVAQENSELGHKSIVPVASPLNQSGESEKDEVSTIKAGLRKVKILTEFVSTRKSRKPSREEEGSEGNYSARSEDGEYNYPFDSDSLDDFEEGDSHSEEVKEDSSVRKSFSYGKLAFANAGGSFYSSMRVKGDDEDWVYYSNHKSDVESLPKEDSIVSSSEPYVAQSSRRSLLPWRKRKLSFRSPKSKGEPLLKKAYGEEGGDDIDFDRRQLSSDESISFGSHKAEDDSGANRTSVSEFGDDNFAVGSWEQKEVMSRDGHMKLQTQVFFASIDQRSERAAGESACTALVAVIADWFQNNRDLMPIKSQFDSLIRDGSLEWRNLCENQTYRERFPDKHFDLETVVQAKIRPLSVVPEKSFIGFFHPEGMDEGRFDFLHGAMSFDNIWDEISNAGNDSTCNNEPRIFIISWNDHFFILKVEADSYCIIDTLGERLYEGCNQAYILKFDSNTVIYKMPNVTQSSVENTTGEQQTVADVLEHNDRQVQQINDKELESGAEAGDQSKSEREEDEVLCKGKEACKEYIKSFLAAIPIRELQADVKKGLISSTPLHHRLQIEFHYTQLLQSCDVVPVAEEASVAVTEVNT >RHN78367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14475994:14477033:-1 gene:gene1913 transcript:rna1913 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVGHGYAELFLFYGSPKRAIFVDEIKFVGYFTEKTFTIESVYGRAKIVSQWIDSKHKIDPTCIQALEGRASILETIRCYQDFLHDLVHLKLLYNTILHDRKLAGLLWKRHNARYNEIPRKLFTLTAKIQQLKQKLSCGETHNVDYYGLISLRRGCTRLKLQRAHFSLSLKHKPEKATGFIERCELANDGGGGL >RHN67491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27672385:27673558:1 gene:gene15684 transcript:rna15684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRIPCCEKDNVKRGQWTPEEDNKLSSYIAQHGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGHFSDGEEQTIVKLHSVFGNRWSLIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKPFSHLMAEIATTLAPPQAAHLAEAALGCFKDEVLHLLTRKPINYQGQHSNEALGNNITNYFNCKPEEKEDAVEKIKFDLSKAIQQEHEMVPTNKPWDTTAATSASFAMPYNVYPTMSGFQFSPSCFGNKGDASPWSQSLCTGSTCTAMDQQSQFHEKLEEENGDDSEATKEIRNLSNIFNSDCVVWDLPADDLMNPMV >RHN55207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16120189:16123329:1 gene:gene30352 transcript:rna30352 gene_biotype:protein_coding transcript_biotype:protein_coding MTYKYNITREKALHMDTNSNTSSSSFLFSRFFFRVLVVVGFVCFMVFSSLVSGGGTGQTTTRHWSEETLKHDDEQVVVGKDKGVVVNHDAQLDFNYMSKRRVPNGPDPIHNRRAGNSGRPPGQT >RHN64948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63217624:63218401:1 gene:gene27829 transcript:rna27829 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRHECKSKYITKVNEKLTELQRSEIQKTPFKWLLSLPKKLKISENLLEELVERWDDRSGGFAIQGRIIRFTPLDVCFALGLRIIGEKMNFKNDPTSTTKAMFDNEVINVKTIYAKIINMERDEDVEKFCRLYLLLGFAEFYFPNSSVKVGGWCLKMLDDLNFIGRYNWDLNSIRCLKMFADFIYFLSIDFKLCWVT >RHN43872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49108100:49108605:1 gene:gene50506 transcript:rna50506 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVKNEQPKVSQKRVFPFLIPMSCVSPFVFSSTNESLFYDYFISISMCFFFIFLPFHFSINHTCKTS >RHN66119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8688173:8692570:-1 gene:gene14037 transcript:rna14037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MRLKSMKIKLFTSKHSNSLHTHHIFPIQQQNNNNHYLKLINSCKYINPLLQIHTHFLQIKNPSLILYNSFIKAYSKFHHFHKAINLYHTILKIGLKPDKFTFNFVLKACTSALDFHEGVNIYKDIVFNGLECDVYIGTSLIDMFCKMGCLDNARNVFDKMPVKDGVCWNAMISGLSQSLNPCEALEMFWRMQMEGFEVDKVSILNLAPAVSRLGDVGCCKSIHGYVVRRSICGVVSNSLIDMYCKCGDVHSAQRVFDRMGVRDDVSWATMMAGYVKNGCYFEGLQLLHKMRRGNVKMNKVAVVNALLVVAEMRDLEKGKEIYNYALQMGLMSDIVVATPIVCMYAKCGELKKARELFLSLEGRDLVAWSAFLSALVETGYPREVLSIFQVMQYEGLKPDKAILSILVSGCTEISNIGLGKIMHCYAIKADMESDISMVTTLVSMYIRFELFTYAMTLFNRMQIKDIVVWNTLINGFTKYGDPHLALEMFNRLQLSGILPDSGTMVGLFSACAIMDDLDLGTCLHGGIEKSGFESDIHVKVALMDMYAKCGSLCSVERLFLLTKHVKDEVSWNVMIAGYLHNGYSNEAISTFRRMKLENVRPNLVTFVTILPAVSYLSILREAMAFHTCIIRMGFLSCTLIGNSLIDMYAKCGQLRYSEKCFHEMENKDTISWNAMLSAYAMHGQGELAVALFSVMQESNVRVDSVSYISVLSACRHSGLIQEGWDIFASMCEKHHVEPSMEHYACMVDLLGCAGLFDEVLSLLNKMTTEPDARVWGALLAACKIHSNVTLGEVAVHHLLKLEPRNPVHHVVLSDIYAQCGRWNDARRTRSHINNHGLKKIPGYSWVGAHKNGSLLSC >RHN71374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58585681:58587001:1 gene:gene20051 transcript:rna20051 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEGYHLMEFGNIIVLSLTNTNFRYVQIYINLSHSYTYYLFLLFPNF >RHN76261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48966501:48970058:1 gene:gene12556 transcript:rna12556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glyoxylate reductase (NADP(+)) MNCSQFATTMRSAFSLHHFNSPRLSRHVSNVSASLQPQGQGTDTPPRIGFLGLGIMGTPMALNLIKAGVDLTVWNRTKSKCDPLISLGAKYKPSPEEVAASCDLTFAMLADPQSAVDVACGKHGVANGIGPGKGYVDVSTVDVDTSKLINGHIKSTGALFLEAPVSGSKKPAEDGQLIFLTAGDRNLYETVAPFLDIMGKSKFYLGDVGNGAAMKLVVNMIMGSMMASFSEGLLLSEKVGLDPKVLVEVISQGAINAPMYSMKGPSMIQSNYPTAFPLKHQQKDLRLALGLAESVSQPIPIAAAANELYKVAKSHGYSDEDFSAVIEALKSKFQHSENQ >RHN62200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41668171:41674263:1 gene:gene24751 transcript:rna24751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ceramide synthase component Lag1/Lac1 MSAMDLIWRGNDNVVPDASHFSVAIYFAFGSLAARFILDRFVFRRLAIRMLTKGKAPSRINKEMQVKIAKCTESMWKLTYYATVEAFILKFIYHEPWTTNRKMFTEDWPNHELKSPFVIYYMCQCGFYIYSIAAILLWETRRKDFAVMFTHHVITVVLIGVSYLTSFFRLGAITLALHDVSDVFMEAAKVFKYSGREFGASVCFAFFAVSWLILRLIFFPLWIIKTTSLDLQKVLNLSERLPMLLYYVFNTLLIMLLIFHVYWWMLIYAMINRQLKNRGKVGEDIRSDSDDD >RHN50264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5647588:5651210:1 gene:gene34534 transcript:rna34534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MMLLRLSKVSDGKSDHCDSEEMEMGFNVLSGDEVVKSKRFVVKPRIKVWMARAITTVILWTSVVQLIAIGEFWGPKLLKGMPYCFSHQDASSSVDVAKDSVSAKVALPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVAIARHLNVTLIVPELDKSSFWADPSEFQDIFDVDNFIASLRDEVRILKELPPRLKRRVELGMSYSLPPISWSDISYYEKQILPLIQKHKVVHLNKTDARLANNGLPIEIQKLRCRVNFNALRFTSQIEQLGRKIVRILRERGPFLVLHLRYEMDMLAFSGCTHGCDSSEVEELTRMRYAYPWWKEKVINSELKRKDGLCPLTPEETALILKALDIDQNIQIYIAAGEIFGGKRRMARLRATYPNLVRKETLLEPSDLMYFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFLGFKRTILLDRKHLVPLIDLYMNKSLSWDEFSIKVKKSHAKRMGNPKRRVIIPNRPKEEDYFYSNPHECLPLQEDL >RHN73591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17930803:17933599:1 gene:gene9418 transcript:rna9418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MDTKRQALLLLLVLTIFPFTIKASSSGGIAIYWGQNLGDGTLTSTCDTGNYEIVLLAFLNVFGGGRVPSWNFAGHCGDWSPCTKLEPEIKHCQQKGIKVLLSLGGASGSYSLSSPDDAKNVADYLYTNFLSGQFGPLGSVTLDGIDFDIEGGSNLYWDDLARNLDNLRQQNRYFYLASAPQCFMPDYYLDKAIKTGLFDYVLVQFYNNPPCQYDLINSDATLLLQSWNAWTSLALPNNTVFMGLPAAPNAAPSGGYIPPNDLISKVLPFIKPTSNYGGIMLWDRFHDVGNDYSNQIKEYVKRSILRFVTQVSEAIVGSISAALNSMFPN >RHN73024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12825177:12825452:1 gene:gene8798 transcript:rna8798 gene_biotype:protein_coding transcript_biotype:protein_coding MILPLEDVQVPRPPEQEALDEIADEEDGEYVFLDLGGRLSRIRDHVYVVMSSGVVPQRSEACHCFEEVLREVHGGKVYRRNVEGGRGVIIG >RHN74124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28779162:28779834:1 gene:gene10117 transcript:rna10117 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLRFEPQPLHIIMYCPCLCYLIITVVCSQPCMSFRFISVLMVGVIVPMPPILL >RHN41478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30325735:30328858:1 gene:gene47809 transcript:rna47809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MDYNRDGNVVQIITTGGGGAADSWSTDQADWATEDEYRYWNGNDAGGETTPSSNSRAGSEPPSKKSRSNSQDGGGGGSGGGSNRAKAIGKMFFKTKLCCKFRVGTCPYITNCNFAHSVEELRRPPENWQEIVAAHEEERSVIEQPREEFQIPTVGSSTFVGESMNNRSYKGRHCKKFYTEEGCPYGDSCTFLHDEQSKNRESVAISLGPGGYGGGGGGGGGGGGSVGSGVGSGSGVVVVAAGAAAVGNGPNLKPSNWKTRICNKWEMTGYCPFGNKCHFAHGATELHRYGGGLMEGESRDGVSVVASDTKQGVPSKTHADNVVASNPPIGSDVYHLGVPSQRPSIVSQRPGQRAHQKWKGPDKISRIYGDWIDDFE >RHN74973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38374152:38374576:-1 gene:gene11117 transcript:rna11117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLKFSDRPGKNGPPSTWAQRIKIVVDVARGLNYLHFDRAVPQGNLKATNVLLDTAGMNACVILDACVLGYHVPELTASKKTMPSFKSDVFVFGVMLFELLTGRCACDVITSEEGGVDLADWLRL >RHN75173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39966790:39967683:-1 gene:gene11341 transcript:rna11341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MVSTKIGGIGNVHVGRVVTGHLKPGMAVTFAPTGLQDVVESVQMDGEAVPIAFPGDFVGLDCKNVAAGDLKPGYVASDSIDHPATEAAHFTSQVIITNDPGLIRKGFTPILDCHTSHVAVMFVELIAKVDWFYGKEIEKEPEFLKSGDAAAIKMIPTKPMVVEDFAYYPPLGRFVARDRRQTVAFGVIASVERKITESALMNGIVQDDDMDVFVEKQHQKRKTFDSAV >RHN55700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21328489:21331368:-1 gene:gene30925 transcript:rna30925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MNTNYLTFSYVLITLLLPLASSTNSYHSKQIPAIYVFGDSLVDSGNNNYLPIQSNAKFPPYGIDFDGGKPTGRNTNGKTVVDYLAIHLGLPFVPPYLGLSKAHRNKIITGINFASAGSGILPETNNKTLTLDKQIKLFKRVIKNNLPHMFDEKEKLEKHLSESLFVVSTGVNDNLVNRTFRGSRKFSSYLLKEFSLRLQKIYNLGARRFFMNNIAPLGCFPSFAPRPRPRGECNEKINKAISYYNNCLPNVLQKLQSQLPGFSFIHSDLYESFMYLRENGHKYGILETWKPCCPNNIFGDLQCHPYNATCSNRNTHLFFDDHPSQIANKIYATRCFIEKTICKPSSFKML >RHN72584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8887444:8887803:-1 gene:gene8311 transcript:rna8311 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNVGLGSSGSKRSHEDSVGSSARPMGREAAKKKGKMKSKGETLEKVEKEWVQFKELKEQEIEQLKELNLVKQQKNKLLQEKTQAKKMKMYLKLRDEEHLDDRKKELLEKLERELFEN >RHN62257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42097504:42100993:-1 gene:gene24812 transcript:rna24812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 3-dioxygenase MGEVDPTFVQELEHRPKLSLIEAKGIPEIDLSPIFHNEVPNPSAIESLVKEIGSACKAWGFFKVTNHGVPLSLRQRLDEAARLFFAQSLEEKKKVARDEIIPTGYYDTELTKNVRDMKEVFDFYTNDPFFPLTPHENDDRVIQWCNPTPPYPSNFRVIIEEYIEEMEKLARKLLELIALSLGLEAKRFEEFFKYQTSFIRFNYYPPCPYPHLALGLGRHKDAGALTILTLNEVEGLEVKRKTDQQRVLVKPTRDPYIINIGGIIQVWSNDANESVEHRALVNKEKERFSIPFFFNPGYDIEIKPLEELINEQNPSKYKPYKWGKFYVHRTNSNFKKQEEENLQIYHYISYLRERYFNKLSNKISFCSYFSQ >RHN51309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16044625:16045408:1 gene:gene35725 transcript:rna35725 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKVVGLMYWVVSVLRSSSFEFIKIGARPYSDHSPYFCAV >RHN75486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42633763:42634038:-1 gene:gene11704 transcript:rna11704 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTKSVELQLRPPSSDEVRSSVDQLPELVSSSIVDDPEISTKKDNKEHGEAVTKKMVLVGCQKCYMYVLSSEVEPKCPQCNTTVLLDLFK >RHN63772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53934571:53938672:-1 gene:gene26516 transcript:rna26516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative agmatine deiminase MVLEGGSIHVDGEGTCITTEECLLNKNRNPHLSKSQIEDSRLSWSQEGCMVASWIICLRDDDTNGHIDSICCFVRPGVVILSWTDDKTDPQYERSEEAYSLLSSVTIHDGERGCWGFSARMMRPSQDFPVLGLLLPNVNFYIANGAIIAPQFGDKKWDDEAIRVLSMAFPHHEVVGIEGSREIVLSGGDIHCITQQQPAI >RHN39854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11086468:11090313:1 gene:gene45932 transcript:rna45932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-V family MSVYDAAFIDTQLSKKTSIFGLRLWVVIGILVGSLIVLTLFLLSLCLTSHRRRKNHHKPTKLTHFTPVVSKEIQEIIHAPPLPEIHVDTVKLELRRVSTSGESKGGGSVCETASSIGSVGPEVSHLGWGRWYTLRELEAATNGLCEDNVIGEGGYGIVYSGVLVDGTKIAVKNLLNNKGQAEREFKVEVEVIGRVRHKNLVRLLGYCVEGAYRMLVYEFVDNGNLDQWLHGDVGPVSPMTWDIRMNILLGTAKGLAYLHEGLEPKVVHRDVKSSNILIDRQWNSKVSDFGLAKLLHSDHSYVTTRVMGTFGYVAPEYACTGMLTERSDVYSFGILIMELITGRSPVDYSRPQGEVNLVEWLKNMVGSRRAEEVVDPKISEKPSSKALKRSLLVALRCVDPDSLKRPKMGHVIHMLEADDLLFREDRRIVGESSHSNRDYQREHKGSSLGKNRIGGEITDQSEDDSSSRSHHQPTKLR >RHN77992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11105300:11107852:-1 gene:gene1506 transcript:rna1506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MLASFHYTAIIKRHVKYGDVEHQESCSRRCGVHSISHPFRLKDSPEKCGDKRYILSCEDNNQLILYYEFEEYHGKYYVQSINYNNFTIRLLDFNLASSNNSIPSYYSLGLYNFSSNFFTSPYLAYHRYKNYTNHMLTKSMLYVSCPNRMEYSYIGNCMNRTSYSQDVNSFYVGGYGKSLLEFGLGDGCHIEFMYLTWDVEDDGNINNISCTDIRRRMYYGFELSWLNSRCKHGRYAVLDQYNQPHCKYDYWNNAAWIVARLSFGLGVLVGLLSGVKFVLGLPFIIVLLIKKWRKKHQSMYDGVEDFLSSDNSIIPIRYSYKEIKKITGNFMTKLGNGGYGSVFKGQLRSGRLVAVKLLDSAKSNDRDFVNEVATIGRIHHVNVVQLIGFCVEGSKRVLIYEFMPNGSLEKYIFSHTEENYSLSCEQLYSISLGVARGIEYLHNGCNMKILHFDIKPHNILLDENFNPKVSDFGLARLCPTDKSIVSLTAARGTIGYMAPELFYRNVGTISYKADVYSFGMLLMEMANRRKNLDVLAGQSSQVYFPFWVYDQLQDGREITIENATNHEMKLAKKMMIVALWCIQAKPSDRPPMDKVLEMLEEEDEDLQMPNQPDLDAQDVRDDRTGSSLYSSGTLVTDSKEPI >RHN49880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1922513:1924792:1 gene:gene34106 transcript:rna34106 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVGKQSNKRRGMSRTHFLLDLVHVCIPQRHEKNRVTIIL >RHN67862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30991386:30991871:-1 gene:gene16106 transcript:rna16106 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MRTKVKLAFMVNDSARKITYNKRKKSLKKMVDELTTLCGIDVCAILYSEFHSEPEVWPSPLEVQRIITKFKSYSEVGQERKKLNQESYLMQRIGKSQEKLVKVEKANWEMERSLILFQCLQQENFINTLNTNVLNELAWEINDKLKEITSKMNQLDTNEST >RHN47920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43581681:43584345:1 gene:gene42574 transcript:rna42574 gene_biotype:protein_coding transcript_biotype:protein_coding MPEARDRRVIPLDVDTLFRRPFSAVFQESEPLSVTPAPAPFTAGLDLFFTERTPVRREVARARRSPGSENTPPTTARRGRGRATASRSALPSWYPRTPLQDITAIVRAIERRRERQGTEEIEQTGTPVHANQLTIFSDPSSFSAAIGSSSRVHKKSPKSCIKLKTPYGSKVPKIIIDIAKLPAAEDGESELLTPQKKLLHSIDIIEREVKQELMKLKRTPTAKKAEHQKRVRTLMSMR >RHN52343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34171467:34172191:-1 gene:gene37007 transcript:rna37007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine decarboxylase MLNELSSTVVFERPHDEEFIRKWQLACKGNIAHVVVMPNVTIEKLDDFLNELVQKRATWFEDGTFQPYCIASDVGENSCLCAQHK >RHN43832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48823587:48824129:1 gene:gene50462 transcript:rna50462 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMSTHFVDICVFKELFYIVNKIGRTFAYGAADFSVQQVAKHVDGGDIKFLVESEGELLLVDIYDSHGFGFPGEDGLRLDVFTLNEKHKKWVKLTSLGNRILFLGNEYSFSTTASDLSIAKGNCVIFTCESFNYFDDMLCGMCVFHLDQRRVSPLSDYPDHSNLFWPPPDWILKMLQHS >RHN81221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44172983:44173389:-1 gene:gene5234 transcript:rna5234 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKISSSNCEISRDQYMVCVHFLKHKFAVFESFKMWKAIVENETGLKNKKHRTDNGG >RHN46539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32596364:32600657:1 gene:gene41035 transcript:rna41035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MTIAKAHQIVVIGSIWGIKNIFHSLVAILTTLLVITTIYLTQDRGEKLSQKVNVSIDSLSSSKCDLFSGKWVFDNETYPLYKENQCSFLSDQLACEKYGRKDLSYQNWRWKPHQCDLPRFNATALLERLRNKRLVFVGDSLNRGQWVSMVCLVDSIIPSSLKSMQSIANGSLNIFKIKEYNATIENYWSPLLVESNSDDPVNHRVPERTVRIKSIEKHARYWTDADYIVFNTYLWWRRPLMNVLWGSFGDPNGVYKRVEMLRVYEMAMRTWSDWLEVHVNRNNTHLFFVSMSPTHERAEEWGATKGDTCYKETDMIAKEGYQGRGTDPKMMQVVEKVLDDLKTKGLNVQMLNITQLSEYRKEGHPSIYRKQWEPLTQEQISNPNGYADCIHWCLPGVPDVWNELLYAYIFNQ >RHN50982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12625637:12632809:1 gene:gene35341 transcript:rna35341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBP1 MADGYWNRQQSLLPHSGLHKRPRPDYEMPASGLPSGNEMHYLSREEDRSGHPMVKDSKTIGSAYDRYLQGQVPSFTSGEASTVGALGLQRGIGGLPNHSLSDPSAMIGRHGGGGPDLAPNGRGMNYGFQPPMDPVSRHGPEPALLPPDASPTLYIEGLPSDCTRREVAHIFRPFVGYREVRLVSKEAKHRGDPLILCFVDFANPACAATALSALQGYKVDEINPESSHLRLQFSRYPGPRSGGGPRSSGPPRGGHGSRGRR >RHN43591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47064908:47065301:-1 gene:gene50197 transcript:rna50197 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L2 MGGQLARAAGAVAKLIAKEGKSATLKLPSEEVRLISKNCSATVEQVGNVGVNQKSLGRAEAKRWLGKRPVVRGVVMNLVDHPHSGGEGRAPIGRINLNHYKD >RHN48565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48494087:48494823:1 gene:gene43296 transcript:rna43296 gene_biotype:protein_coding transcript_biotype:protein_coding MKARGVSLVGKNISHLLDNYLVIEELSATKEEVANEVVREALDRAPSGYIKWRPDPIISQLIVNNCLLGEANKGLSARISLLELETREMKKLYGSAQSSYVDEGVFNDEGVHEDVSMDEIERVIEREVANVSYDVAHHASNLLTIIKDKPRNRVKSGVLLSLWVKDGRIKKKI >RHN48477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47761428:47761823:-1 gene:gene43197 transcript:rna43197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NRAMP family protein MIVLVLILNLKTRKTIIETNSPIEKIVEIKDDSNVERDDDDVDSWEIEKSSKSILTNAPSSTSEVPLSFTSISGKSDDGGDGFGSLSKIEGLRRAARRQLAATLYDFEDINMLTYFRHGFIYTNVYGTNST >RHN44531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5768507:5770882:-1 gene:gene38660 transcript:rna38660 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIFILLSSLLLGGLLILTFQRDSLARVVSGQEWNKNRLEVAEITAISSQKEPFQRITGFFHMRKLGFGTIMHHEETSVDSKNGKRETSKISGNENDGLRKSFRSLFQVKMNDAQKHVTMKANTKFAIPRNSLTNTNTKCSQNCDVVPIKGSPERSTRSIQISEEAQSIDAAKEIGSLMYKDYSHKGKPSHRPPINNHQPNNP >RHN61187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33736199:33739672:1 gene:gene23625 transcript:rna23625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, MuDR, plant, MULE transposase domain-containing protein MFGTQPQPPLQTVPIRASYCPPLHMRNVDVDAYDALPTDDHWNTNVHVDGAIEQGMTFHSKEDCLHAIKSFHIRQSLDYDVIHSDPRRYVIQCTLETCLFKLRASFRKRSGKWKIGTMMGPHNCTSTLMSQDHRKLNYKLISQSIKTLLHADASVTPKLIIVHIKEKFNYTTTYRKAWLAKNAVIESIYGKWEESYNDLPQWLNVMKETMPGTVFDLKTQIGENGETQFHRLFWAFYPCIHVFKYCKPVVHVDGTWLYGKYKGTLLLAVAQDGNNKTIPIAFALVEGETKEGWSFFF >RHN71538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:863375:863755:-1 gene:gene7150 transcript:rna7150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MVLQCTVVNSKTSSGMLPTCHCGLPIVIYIANTRQNKGRRFLKCCNWMKNNTCDLLIWDDLLAAGTRPMVAVTSKMSIVGSREVSFRRDVESKEKKSNCAIVMQGVYELKKDKWKKNLLVEKKKVE >RHN57792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41749890:41751251:-1 gene:gene33379 transcript:rna33379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geranylgeranyl diphosphate synthase MVTTTSYVTNVKSTVHFSCISNQHRSHLNTKLKSTTLRMSMTQTPYWASLHADIEAHLKQTITIKEPLVVFEPMHHLIFTAPKTTVPALCLATCELVGGQRHQAISAASALLLMEAATYTHEHLPLTDRPRPMINHVYGPNMELLTGDGIVPFGFELLARSDGGENSERILKVMVEISRAVGSGGGVIDAQYGKILYSGSDGEEKCHVEEIRHVVEKYEGRLHSCGAVCGGVLGGGCEEEIERLRKFGYYVGIIQGMIKWGFKEDHKEVVEARNLAIQELKFFKDKEVDAIKTFLNI >RHN39289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5607045:5607389:-1 gene:gene45299 transcript:rna45299 gene_biotype:protein_coding transcript_biotype:protein_coding MILTKGQLPNVNVADSFVATMEEYMKDLVEGHPITGEYVQVKNLIVTTAPPSMDFNGGTTDLFDADTKKNIDASKSFNHPKFSFKCNAHINLKRAEQARVTITKIPAMVDNLIS >RHN51017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12940788:12941174:1 gene:gene35378 transcript:rna35378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-heme dioxygenase domain, isopenicillin N synthase MGSDSEMIPCLDFSMYDLGVVDQEGSEEWKKMSKKVREACESHGCFFLMCDKNKFPFENMLMGMKDLFDLPEEIKRKYTSSTPFSSYSSEDPKTSLAQTFGIGNAPFGDNAQTFTNLMWPQGNPIFWY >RHN47608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40963300:40964935:1 gene:gene42228 transcript:rna42228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MCYFELSFNHISILYFFCSDIVSMVAYAPLFLNTNDRKWIPDAIVFNSYQNYGTPSYWLQQLFIESSGATLLNSTLQNSSSSIVASAIEYKNSQDGKNYLRVKVVNFGNATENFMISINGLNSIVQSSNSSMVVLTSSNRMDENSFSEPNKDAQTEQATTQAAGLNADAQGPTHAAGLNATAQAPTNGANAVEQATTISARTNAIAQAGTNLHSNVTNIAAEQGSKQSKKQTAAAINKTAVVNIAAVNTTTTNTSGTTLGGRHVVGEVSLFVPRKSRTTGVKRSIREVGNVGTQQSVNKT >RHN38672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1212172:1212714:1 gene:gene44631 transcript:rna44631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase II transcription factor SIII, subunit A MMRRDEISARRKPSSSVLLFQRNPVMMKRKDQTPLVDLCVEKAIDNVKYLGDVSHVDHHMLERILPHCTLDQLMHIEKSTQGMDLSPITDQLWKKFFEKQFGINCTNEVVKKMKETLGCSCMRLKSKKWLGLRMKQLIDSRNATRKKMQENKAGKSKHAPNFHPVKEDFVEIMDLDTTCQ >RHN57072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36252696:36254642:1 gene:gene32566 transcript:rna32566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MDAPIGFDNLSSIATLRYKGIPPYTKTILTNIPPLNATPITKTFTDSLRSLNSKTYPTRVSLTIDHSLLFAITVGLNPCDTCITDNKLVSAINNITFLMPTVSLLQANYYNIKGVFTDDFPSKPPMVFDYTGTDQPANLHTDNGTKVYRLNFNSSVQIVLQGTAMIAPENHPFHLHGFNFFVVGQGLGNFDPEKDPLRFNLVDPIERNTLSVPNNGWIAIRFRADNPGF >RHN44548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6009647:6013595:1 gene:gene38677 transcript:rna38677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphogluconate dehydrogenase (NADP(+)-dependent, decarboxylating) MAQPLTRIGLAGLAVMGQNLALNIADKGFPISVYNRTTSKVDETVERAKQEGNLPLYGFHDPEAFVNSIQKPRVIIMLVKAGAPVDQTIKTLSAYLEKGDCIIDGGNEWYENTERREKEVAELGLLYLGMGVSGGEEGARRGPSMMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMIHNGIEYGDMQLIAEAYDVLKSVGKLTNEELQSAFTEWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAAELSVPAPTIEASLDARFLSGLKDERVEAAKVFKSGGFGDILTDQQVDKKQLIDDVRKALYAAKICSYAQGMNLIRAKSAEKGWDLELGELARIWKGGCIIRAIFLDRIKQAYDRNPNLANLLVDPEFAKEIIERQTAWRRVVSLAVNSGISLPGMSASLAYFDSYRRERLPANLVQAQRDYFGAHTYERVDIEGSYHTEWFKLAKQSRI >RHN54110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6970502:6971374:-1 gene:gene29096 transcript:rna29096 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSSNLTVPSGDTSNGPSISSSVYVKRVISLVFWKSSGEFLVMPSDFTVNEVGSRQNFFALYFPDLKENESDPPSIVGHWLAVPVPFTSKYVLLWISSKVSRFGAPSALAP >RHN79865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32972081:32979716:1 gene:gene3713 transcript:rna3713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidate phosphatase MNVVGKVGSLISQGVYSVATPFHPFGGAVDVIVVQQQDGTFRSTPWYVRFGKFQGVLKGAEKVVRISVNGVESNFHMYLDNSGEAYFVKDADEDKGVDLDQDSSSKSDNFLSNGHRLDHSISDSGVLRLKDEEDSSVGPRIQRTESDGRYYDFQDSFDDLSEYGSNSYGTLDGENSVDSQGSHPEMVLVSVDGHILTAPISESEQNEENVQLRNPQFHLGPAEETDICEGNGEFSSGETAWAADYISKLGASTTDIKPRQCDTNTNGYGSTALAPLKVCQEEEVNICQSQKSLEVEIQEDLLQQAGNAEVRDASSSLEVQNSSHESNASSPVVDENELQSIVQSNASSPAVDENDQESIAQSNASSPVLYENEQESIVQFNASSPVVDENEQESIVQSNASCPAVDENEQESVVQSTNIDELSSTSSPTSFGGHKSPTSESGLQDQEADKDSSREVVTASGSPITDDTEWNNKQADMSVSNDGVDETHQTTSVEDNKNNNSSEVVKPQAETSSKGDQIHPGLGLEISLCGHELKAGMGLVAAAGVFEAHRISAEDFANSAPAILKNQNLVIKFKEMYLTWEKAAPLVLGMSAFGSILPVEPKDAIPVEYSRDDDQQTPSPGRRWRLWPNPFRRVKTIEHTFSNTSNEDVFLDSESGTLLEPTPTSSTQGSPQKQFLRTNVPTNKQIASLNLKDGQNTVTFSFSTRVLGTQQVDAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGKDWTQSGVARLFCAIKENGYQLLFLSARAIVQAYLTRNFLLNLKQDGKTLPNGPVVISPDGLFPSLFREVIRRAPHEFKIACLEDIKRLFPSDYNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAISQRIGAKSYTSLHTLVNDMFPPTSLVEQEDYNSWNYWRMPLPDVD >RHN70318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50603138:50604952:1 gene:gene18888 transcript:rna18888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin D MAQQHYNPILLLDTLYCSEEHWEEQDELEYDNVSLNNTTINTTTCSLLETDMFWEDEELKSLLNKEQQNPLYIFLQTNPVLETARRESIEWILKVNAHYSFSALTSVLAVNYLDRFLFSFRFQNEKPWMTQLAAVACLSLAAKMEETHVPLLLDLQVEESRYLFEAKTIKKMEILILSTLGWKMNPATPLSFIDFIIRRLGLKDHLICWEFLKRCEGVLLSVIRSDSKFMSYLPSVLATATMVHVFNSVEPSLGDEYQTQLLGILGINKDKVDECGKLLLKLWSGYEEGNECNKRKFGSIPSSPKGVMEMSFSCDNSNDSWAIIAASVSSSPEPLSKKIRTQDQFLLN >RHN51507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19084591:19087213:1 gene:gene35956 transcript:rna35956 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATLQHQQHLKYTLVIVNSATLAFLASGSMDTNILQHHMRVSSHFIGKLVSHKFEVCG >RHN45231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13338769:13347908:1 gene:gene39465 transcript:rna39465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MAWAPKYGLKGMIDASVRVKVHSRKDRPEGKIMPVEFKTGKSPSGQTSVEHNAQVILYTLLMSERYKKTIDSGLLYYLQTDQTQGITVQRSDLVGLIIRRNELASEILKALVLQQLPPVLQSTSMCRGCRHLNVCSIYHKAHGGSVENNGLGDIFDSNTNHLRSSHSKFLCHWDHLIDLEAKGTEFIKKDVWRSYNLRSRSAGGVSSIVIDASQGIPYSTSHEDNRFIYRFVPQDNSYPSIVSDDDPSSASLKNDLDLTLRSGDYVILSNESSHQTIAKGVISDISHNHISVSFSKLLRIPGRSSTEHDLLQQVWRIDKDEAVTSFAIMRFNLVQLFLQDDQSGHLRRMIVDLEAPRFDGSSIVSHDPAISYVWSEQSLNDDQRRAILKILVAKDYALILGMPGTGKTSTMVHAVKALLIRGTSILLTAYTNSAVDNLLIKLKAQGIDFVRVGRHEAVHEEVRGHCLSETNVKSVEDIKIRLEQVKVVAVTCLGISSPLLANRRFDVCIMDEAGQTTLPVSLGPLRFASTFVLVGDHYQLPPLVHSTEARENGMGMSLFCRLSEAHPQAISALQSQYRMCQGIMDLSNALIYGDRLRCGTSEIANAKLEFSGLNCSLPWLENVLNPRRPVIFIDTDMLPALEERDHKIVNNPIEAHIIAEVAKELVKNGIGGENIGIITPYNSQANLIRHATCITSLEIHTIDKYQGRDKDCILVSFVRSCENPTSCVASLLGDWHRINVALTRAKRKLIMVGSRKTLLKVPLLKLLIKKVEEQSGILNVSKKDIYSKGELIRCSQISCSEQTLDVPK >RHN61575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36563133:36563347:1 gene:gene24053 transcript:rna24053 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGGSHLFRSDIHHYFLGVPVVLSLGHLEGKKLAYLFQQ >RHN41984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34535530:34538562:-1 gene:gene48365 transcript:rna48365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 3-dioxygenase MAPVKTLKYLAQEKTLESSFIRDVSERPKVAYNNFSNEIPIISLAGIDDVDGLRIETCNKIVEACENWGIFQIVDHGVDQKLISEMTRLAKGFFDLPPEEKLRFDLSGGKKGGFIVSSHLQGEPVRDWREMMIYFSYPIKQRDYSRWPNKPEGWKEVTEQYSEKLMSLSCKLLEVLSEAMGLEKEALTKACVDMDQKLVINNYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDNGKTWITVKPIEGAFVVNIGDHGHYLSNGRFKNADHQAVVNSNYSRLSIATFQNPAPDATVYPLKIRDGEKSVMEEPITFAEMYRRKMSKDLEIARMKKLGKEEKELRNLEKAKLEPKPLNEILA >RHN65240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:485337:492979:1 gene:gene13059 transcript:rna13059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxypyruvate reductase MRRESVLYLLRRTKSLFQRKSQHSLLFSTTTQNSRKNLMERNGSMINDVERKTTRVLFCGPQFPCSHLYTTEYLQNHSSIKVDVLPLEEIPKAIANYHVCVVKMMKLDSNIISRAVQMKLIMQYGVGLEGVDIDAATKHGIKVARIPSGGTGNSTACAEMAIYLMLGLLRKQNEMQISIQQRKLGEPIGDTLFGKTIFILGFGNIGIDLARRLKPFGVKVIATKRSWASYAQNTNELNRNDVDDLVDVKGSHEDIYDFATKADIVACCLNLNSETAGIVNNNFISSMKKGALLVNVARGRLLDYEAVVKNLESGHLGGLGTDVAWTEPFDPDDRILKFKNVIMTPHIAGVTEHSYRSMAKVVGDVVLQLHAGNPLTGIELVN >RHN79269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26889676:26892625:-1 gene:gene3037 transcript:rna3037 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSDHHCFSIESLELMEGYIGEMDLLYVVVHRHNLPELVQVHQVVEGLSQDLSMQVGNLSMADASSNP >RHN60319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25163833:25184875:-1 gene:gene22618 transcript:rna22618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MATSTHVDKDIDEPLLVPSEPTPILLSSFTHSFGSKHESDGELERILSDTSVPFVKRIKLATWVEFKLLFYLAAPSVIVYLINYVMSMSTQIFSGHLGNLELAAASLGNNGIQIFAYGLMLGMGSAVETLCGQAFGAKKYEMLGIYLQRSTVLLTIAGLILTIIYIFSEPILIFLGESPKIASAASLFVFGLIPQIFAYAINFPIQKFLQAQSIVAPSAYISAATLVIHLVLSYVVIYQIGLGLLGASLVLSISWWIIVIAQFVYIVKSEKCKHTWKGFSFQAFSGLPEFFKLSAASAVMLCLETWYFQILVLLAGLLPHPELALDSLSICTTVSGWTFMISVGFQAAASVRVSNELGAGNSKSASFSVVVVTVISFIICAIIALVVLALRDVISYVFTEGEEVAAAVSDLSPLLALAIVLNGVQPVLSGVAVGCGWQTFVAYVNVGCYYGLGIPLGAVLGFYFKFGAKGIWLGMLGGTVLQTIILMWVTFRTDWNNEVVESNKRLNKWEGKTESLLKN >RHN78245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13081342:13082880:-1 gene:gene1779 transcript:rna1779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MTLFQYITLFVAILLVIFYYIWRRNQNVLVTNWPIIGMLPFVLHNQSNLHDFVTLGLKLCRGTFHFKGPWFTNIANFILTSDHLNVHHITSKNFSNYGKGTDFHEIFDVLGVGILNLDFEEWKQERTLLHSLLKGKSFEISFQQNIQKKLENFLLRFLDHASKSVQVLDLQDILERFTFDITCILLFGFDPRFLPYKFNELPEIAHVKAISIIEDTILSRHYIPKYFWKLQKWLQIGQEKKYKVAQENLHQFLSKCITYYSKGDEERRRLRNGEDFDESHFYLLKALMKEGLGNEEMVEIDKYIRDTAFNLLAAGSGTVSSGLSWFSWLVSTHFIVEAKIIQEIKDNCLSHEDNLVTNLRVEKLNKLVYLHGAICEALRLYPPVPFQHKCAIKADILPSGDYVKPNTKLIYSLYAMGRMKQIWGKDRFEFKPERWISDKGHILHVPSCKFIAFNAGPRSCLGKDISIVQMKMVAAAMLWKFHIQVVEGHSVTPRVSIVLRMEHGFKVKVSKR >RHN78702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17661028:17661741:-1 gene:gene2340 transcript:rna2340 gene_biotype:protein_coding transcript_biotype:protein_coding MATCYNVTLSASNNIWMTDDVMIKRVPLLCLQIAYNLLVSRFLFFILKPLHVPLIVAQMLVSIFII >RHN54644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11007392:11008328:1 gene:gene29714 transcript:rna29714 gene_biotype:protein_coding transcript_biotype:protein_coding MSNILSAQVHFNGENPHLFKVRVDVTLTDLKDQLNEINQGLNLEDTMRVDDLQHACTGYFHSKR >RHN41740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32535472:32540540:1 gene:gene48092 transcript:rna48092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MAESSLKRRKAEEEDRLSSLPDSILCHILSFLPTRTSVATMTLVSHRWRHLWEHLQVFDFDDYDYDPHFYDDSFKKFASFVNAVLTLRRSRNIRKFRLNCDYPDPKMFELWFRAATGPHLQELSLTVLNADDFVKLPPSLFINCNNLVSLRLHGVEIHIKDQHSPLHFPLLKRLKLGVETVDSVVAFLSACPVLETLDINFFLEDISLNEVPIPPSFSSKSLKSTNHNFTWTHFKFGIYPHDMGIVGNFHSMVEAFLDVFSSRESEFVDPILNSLFQDNKNSEINILSRHLTSKLPLHAPVLNYPEFRNLFHLKFILPCFNSNLLVDALEKCHVLRVLIIKSSKEEPRPLRTWEPESTTVFECLKSHLTYIHIEGYQGLEDELAFADYILRNGLVLKTMLIFVDISMDKTNKYCSLKRLTDIPKGSVTCELKFDPALSPN >RHN80017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34356064:34358735:-1 gene:gene3890 transcript:rna3890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PH domain-containing protein MSLEEEEEGFDHTLLVVREVAVYKIPPRTTSGGYKCGEWLQSDKIWSGRLRVVSRRDRCEIRLEDPNSGDLFAACFVFPGQREGSVEPVLDSSRYFVLKIEDGQGKHAFIGLGFAERNEAFDFNVALSDHEKYVRREHDKESGDADASEDSQIDIHPAVNHRLKEGETIRINVKHKAAGGAGMLSAAGLSGVHVATPKPKVLNLAPPPGAGKIRSALPLPPNDPVAARIASTSPVSSVKGTKEGVKQSTDSLSDLSQLQKNLPSSATPGSTPASGWAAF >RHN39945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11870356:11872406:-1 gene:gene46029 transcript:rna46029 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSRSLSLEPCTFHLKWKKQFSFPRTHTFRTSISIPNIKSHNNAAIKLKFKCHLNQNHNSNSKPLLESLATTIWNTLRKPAVAAILLIGILLLTTYNPNTSLAAPSGGRMGGSAFSKSSSSRSYSAPSSSSSSSWSRRSYSRVPSPPSPEFSYSDEPPLFWIDPADINFNLFIHVIAAISTLLLMNAFMVLILVSVSRFLSDSDEGSVLTNTAAVKTTVLKLQQNQAVSDRDEGRTVLTAAGITTVLKLQVGLLGTVRSLQRDLNRIAEVADTSSPEGLNYILTETSLALIRHLDYCISGYSSVDIKRGIEEGEERFNQLSIEERRWSTLTT >RHN53623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2915044:2918605:1 gene:gene28550 transcript:rna28550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MLEMDKELKDLQFGSPNHRDLLHLCLSSPNCNSDTCFLESSPATEKIKSQISSKNGIWTEEEDNLLIEIVKMHDGSIWKSIGGCAAYFPGRTDIECFHRWQKVSNHDLVKRSWTKEEDEALIELVRKYGFKRWSFIAKSMPGRIGKQCRERWHNNLDPVIKKGAWTEEEESILAHYYQIYGSKWSEIARVLPGRSDNAIKNHWNSSMKKKSSASPTRCDMDVSTSSFCSSLIKPAHDSVKAEDQSLIGMVSPKQSNWLNQNADNFPTRMAIQNASVEEFWSDINIFGVATRRASEKSERRSVNLPNLMETAISCKDHANLTFADKHMNSSMDMLATNMDCFPSSSTVTYEAYNSPKWQKASFSESKFIAGNESDSSYSNYLKLANHEKKVQVGMESNNKSDMSPESVLRNLAMTYENIPSIIRKRSSKKACAAKYGKSKTPSGMVNSTPEAGCVIGFDNLKLNQGFVPFLHRNAME >RHN53481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1941078:1944514:-1 gene:gene28390 transcript:rna28390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-coumarate--CoA ligase MEGTIQCNANYVPLTPISFLERSAIVYSNKVSIIYNDVTYTWSQTHQRCIKLASSISQLGVSPHHVVAVLAPNIPAMYELHFGVPMSGAVLCTLNTRHDSSMVSLLLKHSDAKILFVDHELLDIAKGALEILSKSTAKLPLLVLILEGDAHPSVSPGTLIYENLISEGKLDFEVKRPKDEWDPISLNYTSGTTSSPKGVVYSHRGAYLNSLATILLNEMKSMPVYLWCVPMFHCNGWCLPWGIAAQGGANVCQRNVTAKGIFDNIFKHKVTHTGGAPTVLNSLINAPPDIRKPLPRKVVVMTGGAPPPPDVFFRMEELGFDLVHSYGLTETYGPGSICTWKPEWSSLSREEQAKKKSRQGVQHIGLEGLDIKDPVTMKSVPADAKTMGEVMFRGNTVMNGYLKDLKATQESFKGGWFRTGDLGVKHSDGYIELKDRSKDIIISGGENISTIELEGVIYSHPAVVEAAVVGRPDEYWGETPCAFVKLKEGHNATEEEIIQFCQKRLPRFMAPKTVVFADLPKTSTGKTQKYVLKEKAKAMGSLSKKKNTSRL >RHN69809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46426582:46428810:1 gene:gene18325 transcript:rna18325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MAIVATSFISILFFVLSLCLRGTFGDYGGGWENAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEMKCNSDPKWCLPGSILVTATNFCPPNFAESNTNGGWCNPPLQHFDLAEPAFLQIAQYKAGIVPISFRRVPCMKKGGIRFTINGHSYFNLVLVTNVGGAGDVHSVSIKGSKTGWQAMSRNWGQNWQSNNYLNGQSLSFQVTTSDGRTITSNNVVPGNWQFGQTFTGGQF >RHN74006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23444992:23445882:-1 gene:gene9931 transcript:rna9931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MDIEPEIQAPPRVTEAAEKALLLEENCNLKTNQKMSPYSLLLVPFATAIGGWKNATQNCWKVEQLLFYGKILISLHHQLVPLYGYIFCHNVAPLHALKTMDSYKCQWNIRLHLTMKFSCVLLSIHISATIQRNVYYHVELDTLCGVQLAYKHARNALSIKGDFDTIYHGITHSVSHHSIVANEPDAPIQQGQRCYLPNNVKSLASYAHNDSYQKNQGVGGTCDFDSAASFEVKSKYMEITMKGRYSMKFDYCTGYFFLSMKTSQFQQWDPGGILFIEGVNIHEKFFIRMRTQGRVL >RHN76923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2420880:2423022:-1 gene:gene316 transcript:rna316 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKEAREEFSQEGGGGGGGIRDRKAREEFDKKLLAEYEESESDEDVKLCDASFKRAVNRGRAKAMKIIEADMKLELEFHVNEIREEERKHHPLMIELALKPNT >RHN45170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12590398:12590748:-1 gene:gene39392 transcript:rna39392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MNMIFFWTFLILSIFIPILAFLISGILAPIRKGPEKHSSYESGIEPMGDAWLQFQIRYYMFALVFVVFDVETVFLYPWAMSFDVLGVSIFIEAFIFVFILIVGLVYAWRKGALEWS >RHN75808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45264876:45265796:1 gene:gene12061 transcript:rna12061 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVEASDTRKTCGGDDNVKKEHASCQDFDSSLRTCSSKCDTYALPVKRLFENSNSLDVSVKKSKASPYDDQGKDGDGIPPTSTTKKPSKSADESFSSLMKELQLVQKSFKKCIRKRQVEKGRLQSIQKDIEECCKELEDKNKLVSCVNEIHNKMQRKVEMKEEELRTLSLKVTECTLVLKTKEEDLDAVNKLISEETEILESTRKKSINIISEMKNSCALMKEFESKQKQFKVRVKELESKEKQYEGRVEELQSKEKHFEERVTELESREKQLEVHAKEFESKKKQFEGQMMDLLSKEKHVENQM >RHN41496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30509022:30509609:1 gene:gene47828 transcript:rna47828 gene_biotype:protein_coding transcript_biotype:protein_coding MNIETTSQLCKIQTKFHMATSKVLSIALFVLLGLSMCSATRKLSQEGSGGLPGGGGLPGVGSGGGLPGGGGLPGVGSGGGLPGGGGLPVVGSGGGLPGGAHIGNNDGIVPDGHH >RHN57479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39417513:39425540:-1 gene:gene33026 transcript:rna33026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain-containing protein MVIADNEVVEDQKEMNAPKSPWKRPSVDGKSVDVPVLVVGTKSWPALSDAQTPKPKNHVENVSAKGEDVAVSVPSVGQVAPRAPSVQKSNGSGNFNPMNKMPTPRYQKPGPKRNSNTNGAPHFPVATMPYHQQPPVAPYFHPMAPPPHIAIPAYAFPPGSGPYPNGENPLVKPVSPAAAGQGFTSPAHAVDAKHVQPPVQGDPNAYAVNYPNGRPNIQEQGDHVNHGWHHQRPFPARANMPMQHGMGPRPFIRPPFYGPPPGYMVGPSFPGHAPIWCVPMPPPGSIRGPPPRHFAPYPPVNSAPQSPTPETQSLRASILKQIEYYFSDENLHNDRYLIGLMDDQGWVPISTVADFKRVKRMSTDIPFIVDVLQNSDNVEVQDDKIRKRNNWSKWIQTSSGNSGSSVAQVQQDQHVESTANSCQNSDTVVDKTKESSEATLNDSAHDSTSTEQNQSNKDTFEVSDVNQKQDTNSHPSKNISHAVMNKNATTRINFYCRPQETKTKIVDYNETGNMDVSADDFGNTFLLDEEIELEQKMPKKTELSSTGRIEDEDDEMAVIEQDVQRLVIVTQNGDPKKDTSGSKESKPISNELASAINDGLYFYEQELRHNRRSNRRKSNSDNRDRSLKSQSPTSGASNIKTGENAVGSLEEPGSNNPRRKQKGFHNHKQQSSLKQRFFSNNFRNHGTGRNSHGVISESPPSNSVGFFFSSTPPENQSLMLSKLGSSPHGGVSGSSPPVGSMPKSFPPFQHPSHQLLEENGFKQQKYLKYHKKCLNDRKKLGIGCSEEMNTLYRFWCYFLRDMFVPSMYDEFKKLAMEDAAANYYYGMECLFRFYSYGLEKEFRDDLYKDFEQLTLDYYHKGNLYGLEKYWAFHHYRKMRNQKEPLKKHPELDRLLNEEYRSLEDFRAKEKNAAKAAKDDTNKVE >RHN51091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13921437:13921973:1 gene:gene35466 transcript:rna35466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MKSTTLSSLFFIFFLCIILYAPLPVISGSLYVSLCNEYRPEKEIPYCLSLLKADSRITLAKNYHDLSKYILEMASRDAFSVKTYLTLLAKRYPSDKAIGECIYLTYATTTGALNSALDKLDNDPHGARDSAITAAFGAADCDKAFQNDTVVHDPAIHIRNNEVFFISVMASLGIIHLF >RHN58408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1518877:1524883:-1 gene:gene20317 transcript:rna20317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative iron-chelate-transporting ATPase MTRIAIVNEDRCKPKKCRQECKKSCPVVKTGKLCIEVNSASKIAYLSEELCNGCGICVKKCPFEAIKIINLPENLDKETTHRYGPNTFKLHRLPIPRPGKVLGLVGKNGIGKSTALKVLAGELEPNLGRFTNPPKWPEILTFFRGSELQNYFTRFRGEGLKAIIKPQYVDDFRRKEQVNVGQALDQKNERDMKEELCAALELNQVIDRNVVDLSGGELQRFAIAGIAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRHNSYVIVVEHDLSVLDYLSDFICCLYGTPGVYGVVTLPFSVREGINIFLSGFVPTENLRFREDSLTFKVAETPHETDEAQTYARYKYPTMTKTQGKFRLRVAGGEFTDSQIVVMIGENGTGKTTFIRMLVGSLKPDTIGGSDLEMPEFNISYKPQTITPKFKSTVRHLLHERIHKSYTDPQFISDVMKPLLIEQLMDKEVLNLSGGELQRVYLCLCLGKPADIYLIDEPSAYLDSEQRIIAAKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGQPSIDCTANSPQSLLTGMNLFLSHLDITFRRDPTNFRPRINKLDSTKDREQKLAGTYYYLDD >RHN62568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44393223:44393690:1 gene:gene25160 transcript:rna25160 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTPSSLVPIFLLVLVFIFPLIGIAKESSLGEILRSHGLPTGLFPQSVKSFKLDQMGRLEVHLDRPCLAQYETTVFFDTVVKANLSFGQLKVLEGMSREELFLWLPVKDIIVTDPKSSVIVIDIGYAFKRLSFSRFDEPRICRSHHGTFFSLSF >RHN47380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39314472:39316644:1 gene:gene41973 transcript:rna41973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-methylxanthosine synthase MERVLRMKGGVGETSYVNNSLIPKKAIMKVKTLLDENLKMMISDTTFNSCWKVVDLGCSSGPNALLVVSNIMKVIDKISLSLNHELPAFQIYLNDLYENDFNTILKLLPDFHQSIQQERGENHGPCFINATPGSFYGRLFPNNYIDFFHSSYCVHWLSQAPKYSTKKAEPLIKGNICITRMSPPSVYEVYVEQFGRDFKNFLRSRSDELAMHGVMVLTLIGREKNGEITSYEALGMVLDEMVQEGLVEEAKLDMFNLPLYHPTIEEVKQMIEAEGSFTLQTLKTFKIGWDANLQEDIVDYVVDSNMRGEFIAKYHRACFESLLIAEFGENVMDELFSRFAKLIAQFIEIKSPDFFNIVLFMNKCP >RHN52218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32779189:32783012:-1 gene:gene36866 transcript:rna36866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysine methyltransferase, S-adenosyl-L-methionine-dependent methyltransferase MEEEEENATAAMVKLGSYGGSVMLVVPGEESAAEETMLLWGIQQPTLSKPNAFVAQSSLQLRLDSCGHSLSILQSPSSLGKPGVTGSVMWDSGIVLGKFLEHSVDSGMLVLQGKKIVELGSGCGLVGCIAALLGGEVILTDLPDRMRLLRKNIETNMKHISLRGSITATELTWGDDPDQELIDPTPDYILGSDVVYSEGAVVDLLETLGQLSGPNTTIFLAGELRNDAILEYFLEAAMNDFTIGRVDQTLWHPDYHSNRVVLYVLVKK >RHN48796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50336448:50341352:-1 gene:gene43549 transcript:rna43549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YA2 MAMQTVYLKEHEGIAHNFVGQLSSGTNNSAAAPWWSGFGSQSLYGESGGQIKSFSLEPPMSVVDQLASSKQSARGTEHVFGKGHTNHFTIFPDDFKMSGDAQKPHTAISLQSAVPDTPNRFELGFGQPMICTKYPYADQFYGLISTYGPQIQGRIMLPLNMTSDDGPIYVNAKQYNGIIRRRQSRAKAVLGHKLIKRNKPYMHESRHLHAMRRPRGCGGRFLNTKKSANGDGKTGSKVHKFGGQQLQFSGSQSSELLESDVGTLNSSKETNGSSPNISGSEVTSLYSRGNHDSFTVDHLGSSVLSMADMIDGGRGVIMPTKWVAAGNCCNLKA >RHN64636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60646714:60647948:1 gene:gene27469 transcript:rna27469 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILLCGDVNGRLNQLFKRVSSVNKSAGPFDALLCVGQFFPDSPDLLDDFTAYIEGGGSHIPLPTYFIGDYGVAAPKFLLAASKDSVNCGFKMDGLRVCDNLFWLKGSGKFNLFGLSVTYLSGRKSSTGQQFGTYSEDDADALRAIAEESGVVDLFLTYPCVDVN >RHN38431.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000018.1:26641:33181:1 gene:gene50750 transcript:rna50750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucosylceramidase MLEGKMVEENEHEHGHDNSSAAAVAIKVVVDPGKPPQLTWQRKLNNHANSNVPSEFTLSFKEMIHLAPIGYRLWRHVREEASKGRIGMIDPFAKRHVTSSHGVPLGGVGSGSIGRSFTGQFQRWQLLPLICEEKPVLANQFSVFVSRPNGEKYSSVLCPGKPDIKKENPASGIETWDWNMNGKSSTYHALYPRAWTVHEEPDPALKIVCRQISPVIPHNYKESSFPVSVFTFTLNNFGKTTADVTLLFTWTNSVGGHSEFTGHHFNSNIKMPDGVHGVLLHHKTANEQSPVTFAIAAEETEHVHVSECPVFVISGSYEGISAKDMWHEIKQHGTFDHLNFTETPAPSKPGSSIGAAIAATVTIPSDAQRNVTFSLAWDCPEVKFPGGRVYYRRYTKFYGTKGDAAANIAHDAIIEHCQWESQIEDWQRPILEDKRLPEWYPVTLLNELYYLNSGGAIWTDGSSPVHSLVNIGERKFSLDGFISDLENNNNISRQKDIAIDILERFTSVVEQIQTPPASKSAYGISLLQEGEENIGQFLYLEGIEYQMWNTYDVHFYSSFSLVTLFPKLELSVQRDFAAAVLMHDPGKMKLLHDGQLVSRKVLGAVPHDIGISDPWSEVNGYNLYNTDRWKDLNPKFVLQVYRDVVATGDKKFAQAVWPSVYIAIAYMDQFDKDGDGMIENEGFPDQTYDTWSVSGVSAYSGGLWVAALQATSALAHEVGDKGSEVYFWHKFQKAKAVYEKLWNGSYFNYDSSCGSSRSSIQADQLAGQWYARACGLLPIVGEEKIRSALEVVYDNNVMKVKGGKRGAVNGMLPDGNVDMSSMQSREIWSGVTYALAATMIQENMTDMAFQTAGGIYEAAWSSDGLGYSFQTPEAWTTKDEYRSLCYMRPLAIWAMQWALSKPKLARHYESNKSDVYEDDIMSRSHAGFLKVAHLLKLKEDTGSRSLFQVIYDFTCKRYMT >RHN69108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41093765:41095537:-1 gene:gene17537 transcript:rna17537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate oxidase MRSIEKQLSSSNKEEIGNKSFISFSLFYYSISFIKIKNNNKMGRGAVLAFMLCLLGVTVYGEDPYIYYTWKVTYGTISPLGTPQQGILINGQFPGPEINSTSNNNVVVNVFNNLDEPLLFTWHGVQQRKNSWQDGTAGVQCPILPGTNYTYKFQVKDQIGSYFYYPTTGLQRAVGGFGGLRIFSRLLIPVPYADPEDEYWVLIGDWYGKSHKTLKMELDSGRSIGRPAGVIINGKNAKGDGSDEPLYTMKPGKTYKYRICNTGLKDTLNFRFQGHGMKLVETEGSHVVQNVYDSLDVHVGQCYTVLVTADKEPRDYYMIASTRMTKYNLLGKGIVRYTNGKGPASPVLPPAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTIKFVNSVSNVNGKLCYAINGVSHVDPETPVKLAEYYGVADKVFKYNIISDEPPTDLKAITIAPNVMQTTFRTFIEIIFENPEKVLQSYNLGGYSFFAVAGEPGTWTPEKRKGYNLLDAISRHTIQVFPKSWAAIMLTFDNAGMWQLRSEHAEHRYLGQQLYLSVESEEKSTRDEYNLPETQLVCGIVKDMPRPPPKYV >RHN67935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31626788:31627924:1 gene:gene16196 transcript:rna16196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFPKLKVLIVESCPRIELILPFLSTHDLPALKSIKIEDCDKLKYIFGQDVKLGSLKKLELDGIPNLIDIFPECNPTMSLSIKKPSSISESQEQSEPIKCNMFSWTDIYCCGKKYGHNKLRSTTITKIPLVSQDQLLDNLMESNSYPLNIWESAQCLSRQSHILCNIKKITLWKISKMKSVFILSIAPTMLLESLTIYKCNELKHIIIDMGDHDNTGGNNWGTVFPKLRLFEVEHCEKLEYIIGHFTDDHQNHTEIPLHLPALETFVLHNLPSLVSMCPKQYHTTFPQLERLVVEECPQFIGDFITHHSITRSVDGTIIKVFIFISIYLCCLKI >RHN60979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32023876:32024085:-1 gene:gene23385 transcript:rna23385 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHSSCFSSSSYLRRLNHLPLEASFQPWASSQQELSRRRWTSSPQLVSSLLASSLPLVPLRIWNLEES >RHN61144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33430748:33439194:-1 gene:gene23577 transcript:rna23577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MNPKRSSQDDPDSRPVRTSDRIKTRPPAYNRAPFLYYNSNLRRPRKSKNKTRTAASQIAKMLRPGNRKARDSNNNSGSANLRRSTRERRVNVNLMEFADSSGSEDADLMRPSYRPLRNRISNSVSRDDAISSKRKRGVDAKPTPRREGLRPRRSKAAGRERLISESDDDQDLSEGKVEQDETENGNDVEENDAEDDHNEMEGDAEGEDEGEDEGDEDGDEDGDDEDGEEQDGRRRYDLRNRAEVRRFSMEEGKARPRSPRRVLHQGMGTKVNRDVRKGGSRVHKRHRLTRPEDSDDSLLVDELDQGPAIPWGRGGSRSGPPFLFGGVDTHGTTAWGLNLAASGWGHQGDAFATLTSGIQTAGPSSKGGADIQPLQIDESVSFDDIGGLSEYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKSEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGGEARAEILDIHTRKWKHPPPEELKKELAASCVGYCGADLKALCTEAAIRAFRQKYPQVYTSDDKFLIDVDSITVEKYHFIEAMSTITPAAHRGAIVHSRPLSLVVQPCLQRHLEKVMGTISDIFPPVSVASELTKLSMLSYGSAIPLVYRPRLLLCGGEGTGLDHLGPAVLHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYLPQFDVWWETAHEQLRAVLLTMLEELPSDLPILLLGTSSAALADVEEVPTSVFPHRSVYQVNMPSTEDRTLFFDRLIEAAMSILLERISKKSQDAKRLSELPRAPKLASGPKASELKAKVEAEQHALRRLRMCLRDVCNRILYDKRFNAFHFPVSDEDAPNYRSIIQNPMDIATILQHVDNGNYITCAAFLQDIDLIVSNAKAYNGDDYNGTRIVSRACELRDTVHGMLSQMDPALAAYCDKIASQGGPAHLPGELGDITFPDTPVVQLATTTRTSARLRHVQPEVNLDQGYEVLKRTKKIGDGINAAEDKLQDSIPTKSSQEQHQTQDVDSERMEPIEIDGDLHGSCTNNLADGSSLHDITMLDGEFSRQVESVKQRFVKRSEKYSIPQLERLYTRIMKGVFETRDKGMSDDDLKNLVLGFLSKFVEDDANF >RHN48871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50797695:50801591:-1 gene:gene43635 transcript:rna43635 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLKKIGTWGFIEVDDDNDDDSDKEQKGLVRLIKEEFKSVEKL >RHN48233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45985301:45988994:1 gene:gene42925 transcript:rna42925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-alcohol oxidase MEDSHPLLRGGRGKEKGYSHGFLTSQMHVLASICDTLFPSIPLNKEVSQDENLSSFYSTSGSQFPFPDEAAELVLLFKKSAPEAIPLVRWILWILSFKLGTLFLCGTLCLHWKWPFVLKFSEISLEKREEILKKWSKENKWTPLRAVFVLIKIVFFYTLFSRTDVNGHNPMWKAIGYKVDTREKLKLKKRPLQEGLVETTYETNSTLIQSLSEKGLEVTEDEEQNVYKIKCDVVIVGSGSGGGVAAAILANSGHKVIILEKGEYFVSQDYSSLESSAMNELYESGGIMPTLDGKMMILAGSTVGGGSAINWAACVRTPDSVKKEWSEKYKLPLFTSSDYQSAMDSVCRRIGVTDKCNKQSFQNQVLRKGCERIGLKVESVAVNASEDHYCGSCCYGCRTGDKKGTDSTWLVDAVENGAVILTGCRAEKFIIENGKNETKRKNCSGVIAATSWRSKITKKLQIESKVTISSCGSLLTPPLMISSGLQNPNIGRNLHLHPVQFAWGYFPENMTNLSGNNHEGGIITSIHKVLAENSTPKIIIEAPALGPGSFSVLVPWISGLDMKDRMAKYARTANLFALVRDIGSGEVRSEGKISYRLDQMDRENLRIGLRKSLRILVAAGAVEVGTYRSDGQKIKCEGIKEEELEEFLDTVSVVGGPRSRSELWTMFSSAHQMGSCRMGKNEEEGAVDENGESWEAKGLYVCDGSVLPSAVGVNPMVTIQSTAYCIASKIAESLKEE >RHN57504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39597992:39598459:1 gene:gene33053 transcript:rna33053 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWCRCSSEIGHGRKPKTRHQYLPCRYLTTLERTLKVVICCKEYERKM >RHN82098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51253862:51254707:1 gene:gene6222 transcript:rna6222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MESFHLLLTLPTFFSLFFHCFPSPIIQAPANDATHPYYNCTRNITSASGYAYRSNVKTLLDWLSSNSSNNARYYNAKVSSQNNMDAVYGLFLCIRDIDPKLCQLCVKEETKLISSLCTTAKEAIVWHTACFVRYSDRRIFSIVEKSPELYYMSEKDYVGEVGRFNNILWDMLNDLRNEAANASGKLADKSVNITDNQKLYGYVWCVPYLSSENCSWCLSDAIAEIPTSCCRGKSGGAIFYPSCGLKFDLNPFHKAHNNVAWGPPPPPPTIPRASAPPGLSF >RHN48150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45424900:45425378:1 gene:gene42837 transcript:rna42837 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLCHQIGGKYLNRNMVKTEDGRWVLAQRPQLADTHHEDIEPHLSQIGLISSSNK >RHN64803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62018754:62022838:-1 gene:gene27662 transcript:rna27662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha,alpha-trehalose-phosphate synthase (UDP-forming), Trehalose-phosphatase MLSRSCLGLLNHQITTMNNYEQEDLNIVPELMTPFQKFQRQYSNVSVPSSPISRKRRMIIVSNQLPIRVVSSSSCDLKFEWDVDSIYFQLKDGISSDSELFYVGSLKSHIEIEPSQQEEVAKVLLEKFRCVPTFLPSETHNYFYHGFCKHYLWPLFHYMLPLSKSQGVRFNSSHWLSYKKANRIFADKVREVLNPDEDYVWVHDYHLMVLPTYLRKRFPKVKLGFFLHNTFPTSEIYRTIPVREEILRGLLNCDLIGFHTFDYARHFLSCCSRMLGLDYESKRGYIGVDYFGRNVTIKILPVGIHMGLLELVLSSSETAKRVKELKEEFEGKVLILGVDDLDLFKGIGLKFLALRNLLEGNEKLRGEVVLVQILNQARSSGKDIQDVKFEIEAIAKEVNDKYGDEQSGYRPIVCINGPVSTQEKAAYYAISECCIVNAVRDGMNLIPYEYTVCRQGSVELDKTLGVGNDEPKNSVIIVSEFIGCSPSLSGAVRVNPWNIDDVSEKMNSAIKMKDSEKQLRHKKNYKYISSHDVAYWAKSFDQDLERACREHYLKTYVGLGLDNFRIIALDPSFKKLCLDDIAPAYKDTKSRLILLDYDGTMMPQGSINKAPSLDVISLLNSLCSDPKNTVFIVSGRGRVCLSDWFSPCDKLGLSAEHGYFTRWSKDSPWTTLGLASDFDWKINVEKVMALYTEATDGSFIEEKESAMVWQHQEADPDFGLWQAKELLVHLESMLANDPVVVKRGQHIVEVKPQGVSKGKVVEELISTMRNEEKSPDFLLCLGDDRSDEDMFESIANLALPTSSQVFACTIGYKPSRAKYYLDDTGHVIRLLEGLAAASAQNLSTK >RHN56961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35282055:35282742:-1 gene:gene32433 transcript:rna32433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor OFP family MSFNFNKRSLVKTLFSSNKSCGCIKIKPSNVLEPSQKPKISFNQNTNPNTLTSPTTSHDANTHDKDFTSTTISNDNNNNYRILKPATKLIDSIAVEKESKEPYEDFRNSILQMILEREIYSENDLQELLECFLQLNAKCHHHVIVEAFMETCEEIFPKKLCGDGRRV >RHN79774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32012067:32013085:1 gene:gene3610 transcript:rna3610 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLQYWRESASGISSLAHFLAKDTIDLFSTIVKPLIYLSMFYFFSNPRSSFESNYAVLVCLVYCVTGMAYALAIYFEPAPAQLWSVLLPVVMTLIANQTRDTTFMKILIKMCYPKWALEAFIIANAERYTGVWLITRCSSLMNSGYNVNDWPICLAVLIFYGIVARIVAFICLMITQKK >RHN71004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55761651:55770099:1 gene:gene19644 transcript:rna19644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5'-nucleotidase MRIPKRLFSFSLSNFSPQLISPLLSSRISFAFGISAKPSRLFPNIASPGASQLHSQSSMDENHDVGIHDSRSVDLPDSDSGKQPRVWSSSPEHGSKTDRGKQIFCNRSLNMKNIVAVGFDMDYTLAQYKPETFESLAYQGTIKKLVYDLGYPSELLNWSFNWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFRELSKEDKVGTYGNTLVRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPEKIREGVDYARMYKDVRAAVDLCHRDGTLKQMVAKDPGRYINEDNLIVPMLEMLRESGRATFLVTNSLWDYTNTVMNFLCGSTMVGGSNNFEWLQYFDVVITGSAKPGFFHEENRANLFEVVPETGMLLNTDNGSPMPQVGNISARIFTEATNHACQVFQGGNVAHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVIPELEKEVKLLWESRDTRKELQFLRSERDRIEDEVHHLKWSLKFKNPDAKAKQKLSSALDKLELEREKMRLIHQEAQRKLHQRFHEPWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLALHSPDKYYRPSEDFMQHEFGILASEPREM >RHN81493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46421462:46430867:-1 gene:gene5542 transcript:rna5542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M28 MRKRREAVSVASKGSTSGGAASEKKTSNDAKVRVVVGGGNSKRSSISWLALFFIIAYSCSAIYKYQFQNMPLPLTADQAGKRGFSEIEAFSHVKALTEVGPHPVGSEALNQALQYVLAACETIKKTAHWEVDVEVDLFHVESGTNHLSSGLFVGRSLVYSDLDHVVVRIMPKYTSEASEESILVSSHIDTVFSTEGAGDCSSCVGVMLELARGISQWAHGLKKGVIFLFNTGEEEGLNGAHSFITQHPWSKTVCMAIDLEAMGIGGKSSIFQAGPHPRAIESFASAAKYPSGQIVAQDLFTLGVIKSATDFQVYKEVAGLSGLDFAYVDNTAVYHTKNDKLELLTKGSLQHLGENMLAFLLHIGASSHFPEDCSTESKEDITNSKAIYFDILGTYMVVYRQNLANMLHNSVIIQSLLIWVTSLAMGGIPAATSLALSCLGVILMWLFSLGFSLLVAFILPLISSSPVPYVSSPWLVVGLFGAPAILGALTGQHLGYLLFQKYLFSVHSKRGQFPPIIQAELVKLEAERWLYKAGSFQWLILLILGNYFKIGSSYLALVWLVSPAFAFGFFEATLSPARLPKPLKLATLVLGLATPILFSAGNFIRLAATLIGGMVRLDRNPGGTPEWLGNVVIAGYIAALLSLTLVYLFSYVHLSGAKGTITVATLVLFSLSLAVVLSGVVPPFSEDTARAVNVVHVVDATGKLDEKHTPVSYVSLFSTTPGNLNQEVEQINESFVCGKDKPIDFVTFSVKYGCRTYNNTVSGWSEAEIPTMHVESDAKENGRITQVLINTKDSVRWVLAINTEEIEDFTLTDARNSEELISADKKSSVDGWHIIQFSGGKNAPRLFDLTLYWKSGSQSTDNGFLLKLRTDVNRLTPITERIIEKLPRWCSLFGKSTSPHTLAFFRNLPVNF >RHN54398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9253658:9255394:-1 gene:gene29427 transcript:rna29427 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLSNVVIYNPIPSSLTTHPMQHFHLCSAYFILVLVFYHPTLCSI >RHN56460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31023350:31025084:-1 gene:gene31866 transcript:rna31866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MIFLFYLAWNCWLGDPWPRQPELDHFHSLLWRFIVHSFHEFTYLYEQNTFNSFMNPLTLVKRIQLINSREAALNISEDASWHTKYKDSAYVFVGGIPFDFTEGDIIAVFAQYGEVVDVNLVRDASFSSSLNRVGIDVFIIDDVGDFVSALIEWFSPLHDVTIGEAVGLHRTLQWVSYIHFDNVDFVLDSQQIVDSFHTELRKTLELYLTRNILVGKEGVKIDLKDEKRFDRISFACSSYTPAKQLFVEFLCRSTCLVAPKFFLACFQSFPVLCC >RHN62571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44416859:44417411:-1 gene:gene25163 transcript:rna25163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEQEHDIRLSLSLEDLGFNAENDSNKRKSSKKYYNEDGTKVFLSKNAGSERKRKQRLNTKLFMLWSLVPMVTNMTKESIFNDAITYIKKLKDEVKSLTQELQAMEPKEKFKERAEPKIGDFLAAEEMKKWGIQILYKLFLS >RHN78368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14486499:14489954:-1 gene:gene1914 transcript:rna1914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MMSYPLAATPCSQTKTINMATVTSTSLPLFTPKTSNFHNKSCFSKSNFSTLKCKASSSSFSASMLDFDLYDLLGIDSSCDQSQIKTAYRSLQKRCHPDIAGPSGHDMAIILNDAYAILSDPFARFAYDKEHAKITEFKGFTGRPLYSVWCGSQSEQRAIFVDEVKCVGCLKCALLAEKTFAIESVYGRARVVSQWADSEPQIDEAIQACPVNCISVVERSNLAALEFLMSKQPRGNVRVGASHTAGARVSNIFVDVERFQTRFQETMQKATKYSQETDLQRESRMSAIQAIRSISNWLYWQPNRASDNKSMTKVAQKLLPDPNLSKLRDAAAKRKLKDTQKTKHKTPINSIHPEEYWTPSTVVLPSSTSTTATPPIEKPTFAKGKKQRKRSDVETYENENSPIRWGLPVVTSLFGMAAVRLHEVGSSTVELKEHWGGSLALEIVNSSWLQYILVAATWYVIGRVAVEFVANIGNRNR >RHN67990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31982308:31992133:1 gene:gene16263 transcript:rna16263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MDTTLSVLKDYFGFLSFRPYQREVIEKIIEKRDCLVVMATGSGKSLCYQVPPLVVKKTGIVVSPLISLMQDQVMALKQRGIKAEYLSSAQKDYTVQSKAERGQFDILFMTPEKACTIPTSFWSNLLKEGISLFAVDEAHCISEWGHDFRVEYKQLDKLRGVLLDVPYVGLTATATEKVRFDITNSLKMNNPYVVVGSFDRPNLFYGVKQFNRGQSFIDELVEEVSKEVANGCSTIIYCTTIKDVEQIYKSLTEVGINAGMYHGQMDGKSREESHRLFVRDEMQIMVATIAFGMGIDKPNIRKVIHYGCPKNLESYYQESGRCGRDGIASVCWLYYTRSDFAKGDFYAADLKSENQKRAVMESLLAAQQYCLSATCRRKFLLEHFGEKISAERCGNCDNCKVSKQQRDLSREAFLLMACIHSCNGRWGLNMPIDILRGSRAKKIMDAQYDKLPLHGLGKTYQANWWKALGHQLISLGYLKEVVRDTFRLISVSSKGEKFLASCRPDYQPPLVLPLVGELEEEENRSREEIKILPTSESEGFSEAEGQLYQMLLEERLKLAKSVGTAPYALCGDQTIKKIALTRPSSKARLALIDGVNQHLVTRYGEYFIQAIEKLSRELNLSLDGVPSIQTSEVRKVSPIVTNKSTKLSGAKFDAWKMWHEEGLSLQKIANFPGRSAPIQEQTVAQYLMDAAQEGLPFDWPRFCEAIGLKQDYISAIQAAIVKVGSADKLKPIKNELPEEITYPHIKAYLTMRTCGISLESIQSEGHQSVKDGEAVNNASNLSEPPLETRNLESHIEADISTESTMEIDEVASSGPVNGCQVQKLPLSCEEEFTSKRLKISETEEVNLIKLKATESSVVEWLKNHDEGATLTDMLEHFNGSNEDSIVELLNSLESDFLIYKKGNMYRAM >RHN47325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38883284:38885078:-1 gene:gene41912 transcript:rna41912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MIYLCIEGGIACLDFRCSKRRSEATTAENTDISNKAQIFTFRELATATKNFRDETFIGQGGFGTVYKGKLGSTGQAVAVKRLDTTGFQGEKEFLVEVLMLSLLHHPNLVSMIGYCAEGDQRLLVYEYMPMGSLESHLHDLLPDNEPLDWNTRMRIAVGAARGLNYLHHEAEPSVIYRDLKSSNILLDEGFYPKLSDFGLAKFGPTGDQSYVATRVMGTHGYCAPEYATTGKLTMRSDIYSFGVVLLELITGRRAYDETRAHDKHLVDWARPLFRDKGNFRKLVDPHLQGHYPISGLRMALEMARMCLREDPRLRPSAGDIVLALDYLSSKKYVPKASEIVSPGEMEHDESPNETTMILVKDSLREQALAEAKQWGETWREKRKQSGQNSPEEIIRR >RHN74048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:25095909:25107603:-1 gene:gene9991 transcript:rna9991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative clustered mitochondria protein MAPKTGKTKPHKTKGEKKKKEEKVLPTVIEISVETPDGSQVILKGISTDRILDLRKLLAVHVETCHLTNFSLCHEVRGERLKDNVEIVSLKPCHVSIVQEEYNTEEVAVTHIRRLLDITACTSTFNGSPKPKATKDQNLDLGGDVSMCPPPRLGQFYDFFSFSHLTPPFQYIRRSNRPFLEDKTEDDFFQIDVRVCSGKPTTIVASRKGFYPAGKRLLMTHALVPLLQQISRVFDSAYGALMKAFTEHNKFGNLPYGFRANTWVVPPVVSDNPATFPSLPMEDETWGGNGGGQGSDCKHEKRQWARDFAILAAMPCQNAEERQIRDRKAFLLHSLFVDVSVFKAVSAIKHFKDSKQSSISNVPTSYEERTGDINIKVTRDVSDASLKLDFKNDGTRVLGLSEEELAQRNLLKGITADESAAVHDTPTLGAVIIRHSGYTAVVKVSPESDWAGSPDGSLEIDIEDQPEGGANALNVNSLRMLLHKSSTPQSSNAIQRVPSTDIDNSHSARSFVKKVLEESFLKLKEEDTRHRKSIRWELGACWVQHLQNQDTAKTEPKKAEEAKLEPSVKGLGKQGGLLKELKKKIDIRNSKVEQGNDIDIKKPDATQQELERQCEEKETIWRKLLPEAAYSRLKESKTDFHLKSPDELMEMAHKYYDDVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMFSLGKVVELADKLPHVQSLCIHEMVVRSYKHILQAVVAAVDNDSELASSIASCLNILLGAPSVETNDVDITNCDILKWNWVETFLLKRYGWQWKYESSKDLRKFSILRGLCHKVGLELAPRDYDMDTSSPFRKSDIVSMVPIYKHVACSSADGRTLLESSKTSLDKGKLEDSVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGSDDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADLKAREAQKKARAKLKEKPGQNWDTATDENHKEEDVSQDYSITETSSDKENKSEAQFQEQKIDKVESIDIDQTMFIENNKLAQDDSSDEGWQEAVPKGRSLIGRKSSSSRRPTLAKLNTNFMSASQSPRHRGKPANFSSPRSNLNETSVGPSLPVSKKFVKSSSFSPKLINSNTPTAGVEKLADTKSAPASPALSDQIAKPAHVSGGVALQSTSKLFSYKEVALAPPGTIVKAVAEQPAKGNFNVEQNSEVSPIINATKEIHSNVASSNDIEDNVHDSIDEKPQESFHVEEKETEVVVVTNNTKTLKRNEVRKPREANNDGVVEKNVEVGNIIAIEVEKSDCLNNAANATSNGSSEIDANTTLTISVESKTQLDGNDVSLSKDMVTEGDEKKLDFPQPSDGEKKEEIETGKEPTKKLSASAPPFNPSTIPIFGSVPVPGFNDHVGILPPPVNISPLLPRRSLHQSATSRVPYGPRISGGYNRHYGNRVPRNKNAFPSSDGNPNSPPTIMNPHATEFVPGQTWVPPNEYMASPNSILVSPNSSPPVSPNDEIPPNSLNDTPVNQNEFATSPCSNDSAQVEVILQNEKVVDEQVTEAFSIRVNSEKQLVPQQHPPASNENCCPRQEEKDIYLSHPIEDEVVNNDTVDKVKPDKCWGDYSDNEVDTNGVIG >RHN40006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12233194:12234539:-1 gene:gene46095 transcript:rna46095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MILIFIYQGTTAVESICLDMDQTTCINLNSNAFTKMPNLKMLAFNDHHQDVMGFNSVHLLEGVDFFPNNLRSFGWSAYPLNSLPSNFSPSNLVELYLPYSNLEKLWNGAQNFPSLERIDLSKSARLLECPNFSNAPNLKHIKLENCESICHVDPSIFNLPKLEDLNVSGCKSLKSLYSSTRSQSFQRLYAGECYNLQEFISMPQNTNDPSTTTTGLTSSTLLIRNLDVFTFPICESLVDLPENFSYDITLSDSKMNDKDTLTTLHKLLPSPCFRYVRGLCFSYCHNLSEIPDSISLLSSLENLGLFACPIISLPESINCLPRLMFFEVANCEMLQSIPSLPQSIQSFRVWNCESLQNVLN >RHN74375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32306794:32307090:-1 gene:gene10430 transcript:rna10430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MLITNGGYGTIWPAIYTETEPRVIPSVIKLEYEEQYDLKVPDSWSGTIWVRTGCSGNPNSSFHCAIGDWGTNNIHCHYKQPHPPVLIHKVRFNTICSN >RHN77788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9431826:9433813:1 gene:gene1279 transcript:rna1279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MICSHITFSLMIMITITLVLIPLLGNAQKIPTIGCPFNLSCNHHNKKILEIPSYPIPIKLLINHINYTSQVLEASDPENCLPRLLLLQSDFTSSIFPFRIIDGSELPPIYNEFTNISFFDCSSLGQRYLKNDGPMNGDEQQDMISCPIYMAAFDDDMVKLNLVFCTKLSQRVSPLILPAEYSNGYTIRQTSISLSWSETNLDNGCFKCKNKSKKIILSSAGAMIGSTVLVLVFGFIFQIYRYFKMKSEDHTRIENFLKDYRALKPTRFSYADLKRITNKFKDKIGEGAHGAVYKGKLSNQILVAVKILNNTKEDGKEFINEVGTMGKIHHLNVVRLLGFCADGFRRALVYDFFSNGSLQKFISPANSKDDFLGWDKLQKIALGIANGIEYLHQGCDQRILHFDINPHNVLLDDNFTPKITDFGLAKICSKNQSIVSMTAAKGTLGYMAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGRKNTKTISGEENIQVEYPDWIHNLLEGGDIHIPIDEEGDFRIPKKLATVGLWCIQWHPLHRPTMKYVIQMLQGEGDKLKVPTNPFGPTTTTNTNANIVAERMNLELEVIEELE >RHN79700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31350065:31350424:1 gene:gene3525 transcript:rna3525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MALAKPLVVQQISTICVPPELQVTGSDLGVIACKSACMAFNTYQYCCRGDYITEGTCLPTDYSMFFENQCPDAYSYAYDDNSSTFTCSAGPDYTIIFCPSEHLLYPSVTIDGSLFFKCY >RHN76265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48988368:48992270:-1 gene:gene12560 transcript:rna12560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-fructofuranosidase, cell wall isozyme MAISPILLIALFSLIYGNYVIPIEATHHVYRTLESSSSYSSHHDQPYRTAYHFQPLKNWINDPNGPMRYGGLYHLFYQYNPKGAVWGNIVWAHSASKDLVNWTPLDHAIHPSQPSDIKGCWSGSATILPGGKPAILYTGIDPNNHQVQNIAIPKNMSDPLLREWKKSPKNPLMEPTVANKINASSFRDPTTSWLGKDGYWRVLIGSKVHRKGIAIMYKSKNFVDWFEAKHPLHSAEGTGMWECPDFFPVLNKNPLTIGLDTSVNGDDVRHVLKVSLDDTKHDHYLIGTYDTVKDVFVPDNGFENNQNVLRYDYGKYYASKTFFDDGKNRRILLGWANESSSVVDDVKKGWSGIHTIPRVIWLHKSGKQLVQWPVKELENLRMNPVNWPTKVIKGGELIPITGVNSVQADVEISFEVKDFGKAELLDKWIDPQILCSQKGASVKGGVGPFGLHVFASKGLQEYTAVFFRIFRYQHKNLVLMCSDQSRSSLNKENDMTTYGTFVDVDPLHEKLSLRTLIDHSVVESFGGEGRACITARVYPTLAIHDKALLYAFNNGNSAVKITRLNAWSMKKAKIN >RHN72367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7094782:7099288:-1 gene:gene8070 transcript:rna8070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MASLVVSGNSQMSSSVSVQEKGSRNKRKFRADPPLGESSKSISSLQHESLSYEFSAEKVEITPCFGPVTASDLCSVSHGCSDGLKLDLGLSSPAVSSEVRLCQPKEELEVVESHGADWSDHTETQLQELVLSNLQTIFKSAIKKIVACGYTEDVATKAMLRPGICYGCKDTVSNIVDNTLAFLRNGQEFDPSREHYFKDLAELQNYILAELVCVLQEVRPFFSFGDAMWCLLISDMNVSHACAMDGDPLSSLGSDGIGDGSSSVQTESQSKVETKSSELSLPSPCNSIPPGTQSEKSVVAENSQIRGGLLEKQGANSGCHPVDKSSSASGTSQSPLLQEKCGIVRKVHSSSTKREYIFRQKSIHVEKSYRTYGSKGSSRGGKLSGLSGLILDKKLKSVSESTAINLKSASINISKAVGIDVTQNNHNTHFSSNNGPSTPTFSLDSSDTISRAADSSSSEHEANLIPAVSSPPDALSATDTDLSLSLSSKGNSSIAPICCSNKSHSSSCVGIPYDKSMRQWLPQDRKDELILKMVPRVRELQNELQEWTEWANQKVMQAARRLSKDKAELKTLRQEKEEVERLKKEKQCLEENTMKKLSEMENALGKAGGQVERANTAVRKLEMENAALRKEMEAAKLRAVESATNFQEVSKREKKTQMKFQSWENQKSLLQEELMTEKNKLAHISKESKQAEVQAEQFEAKRRQAAKKTEELLSMVSSIRKEREQIEELARTKEERIKLEAEKELRRYKDDIQKLEKEIAQIRQKSDSSKIAALKRGIDGSYAGSFKDTKKGSGFEEPHTASISELVQKLNNFSMNGGGVKRERECVMCLSEEMSVVFLPCAHQVVCTKCNELHEKQGMQDCPSCRSPIQERISVRYART >RHN78646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17111567:17112274:1 gene:gene2277 transcript:rna2277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MIQLTLFNCSIHGRFFIVVRYMIKVVDHTDSATFVLFDRDAAELFKKTCADMIESRGMGTDASEVPKDILAMVEKSYLFKVETNLGSSTMYEKSYRVKRVTADPVLVEKFQTKYKDLMKNVHEEDVSLVDGVVDGGALKSYIQHYCSGIYRVHSTF >RHN38727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1572341:1574216:-1 gene:gene44692 transcript:rna44692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MGNFANRKSHAVFIPYPAQGHINPLFKLAKLIHLRGSHVTYVNTEYNHKRLLKSRGPTALDGFTDFSFETIPDGLTPLEGDGDVSQLPSLCQSIRKNFLKPFCELINRLNHSANVPPVTCLISDCCMSFTMQAAEEFSLPILLYFSSSACSLLNVMHFRSFVERGITPFKDERHLTNGYLETKVEWIPGLKNFRLKDVVDCIRTTDPNDIMLDFLIDVADKVHRDSTIILNTFNELESDVITALSSLFPSLYPIGPLPSLLNQTPQIHQLESLGSNLWKEDTECLEWLESNEPGSVVYVNFGSIIVMTPDQLLEFAWGLADCKKPFLWITRPDLVIGGSVVLSSEFMKEISDRGLISNWCPQEKVLNHPSIGGFLTHCGWNSTTESICAGVPMLCWPFFADQPTNCRFICNEWKIGMEIDTNVKREGLEKLINELMVGENGKKMRQKAMELKKKAEENTRPGGCSYMNLDKVIKEVLLKQN >RHN78211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12850522:12851390:1 gene:gene1745 transcript:rna1745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MSCQELNHRNIGVHFFKIILQTNLQEGKLKVPISFVKRHWQRVTNPVTLRLPNTTEKKVFWKKTSHHVVRFCGGWKEFTDYLSLRDLQLLMFQYQENSLFNVIVLGKCGLEIKYPLKETTEEYEEVEKSDNSLKIIKDPSSRRGKRPKSSSPSSGVSKKMKNNPEEQKESKHEKRKVQAHARFHNFKDVDNGWFYSIILCFFLFIFSIFFVLFFRCCYFIFVFLGELLNFLAGTSCSCDDLKERSQVLYDKVKNKFHSDKNFFVCDPNDIH >RHN56358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30285972:30291072:1 gene:gene31743 transcript:rna31743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxyacylglutathione hydrolase MKIYHVPCLEDNYSYLIVDESTKEAAAVDPVEPEKVLEASNSLGLTIKFVLTTHHHWDHAGGNEKIKELVPGIKVYGGSIDNVKGCTNALENGDKVHLGADINILALHTPCHTKGHISYYVTGKEDEDPAVFTGDTLFIAGCGKFFEGTAEQMYQSLSVTLGSLPKPTRVYCGHEYSVKNLQFALTVEPDNLRILEKLTWAQNQRQTGQPTIPSTIGDELESNPFMRVDLPAIQEKMGFNSPVEALGELRKVKDNWRG >RHN55335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17433198:17434403:1 gene:gene30504 transcript:rna30504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin protein, neddylation MIFVQELLDMKDKYDSILNLAFNHDEEFHGVLDSSFEYIINLNHNLPEFLSSFLDVKLRKGFEGNSEEIILDKVVMFIKLLHDKDLFHKYYKKHLAKRLLFGKTISEDIERNLAVKLKRVCGYKFALLEIMVMDIKTSKEMLQGFYRSHAERGDDPKLSFQVLTTGSWPLSRTTDSSCNLPVEVSALHEKYKSYYLGINAGKKLSLQPNMGNAEIIATFGNGRKHELHVSTYQMCVLMLFNAIDQLSYKDIETATKINSLNLIKCLYSMVFVNGKNIIKKVPMNGNISEGDVFFINDMFKSKFYKIKLETVATQRESEHEKLQTRKNVEEDRRPQIEAAIVRIMKFKKQLDHKNIIAEVTKELKSLFLLNPTEIKKRIESLIERDYLERDNIDNNLYRYLA >RHN45919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26783120:26786299:1 gene:gene40346 transcript:rna40346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MGFSSNMLSVLFILFCYLLQVNIAINTITSSQFIKDAETLISKDGNFTFGFFSPKNSTNRYVGIWWKSQSTIIWVANRNQPLNDSNGTAAISEDGNLVVLNGQKQVIWTSNVSNIATNTSSQFSDFGNLVLLESTTGTILWQSIQQPSDTLLPSMKLSINQRTGKNVKLISWKSPSDPYVGNFSCRTVERLNIIEVFIWNGTQPYWRSGPWNGGVFTGVHTMTVAYFFGFRVGDDGAGNIDIYYTLQNDDEFLIYQLTSQGKLDETRWDDEKKEMKVTWTTRESECDVYGICGAFASCSSLSSPICSCLRGFVPRNIEEWSKHNWTGGCVRRTPLECERVNNKTTSTKEDGFLKLKMVKVPDFAEGVAVTPEICRSLCLHNCSCIAYSHDSGIGCMSWTGNLLDIHQLQSGGLDLYVRVAYAELAKLWHFIKSAKKKNNKAFPIFDQGGSPENYTSDNAIGEMSQVKLQELLKFDFEKLAAATNNFHFSNKLGQGGFGPVYKGKLQDGQEIAVKRLSRASGQGLEEFMNEVVVLCKLQHRNLVRLLGCCIEGDEKMLMYEYMPNKSLDAFIFDPSKNKLLDWRTRHSIIEGIARGLLYLHRDSRLRIIHRDLKASNVLLDEELNPKISDFGMARIFGGREHQANTSRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLMLEIVTGRRNSIFYDFENSLTLIGFVWIQWTDDNILSLIDQEIYDPSNHNYILRYIHIGLLCVQEHAVDRPAMAAVISMLNSEAALLPPPSKPAFILRQDMLSSKSSINNVSITQIGGR >RHN80922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41924326:41925204:-1 gene:gene4906 transcript:rna4906 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVTMNPLFRTESFGYYNFPDQNYTMIEKRQLFLRSYQFCRKKSLTERIKGSLVRAKKVVWLKLRYARGLRRKLVFFPRFKCGFYYRRRRFSQLLNNSGSSHHRKVDSSSCLW >RHN53226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:233180:234252:1 gene:gene28114 transcript:rna28114 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSLAASLLPPTGSSSSHLIPALASSSSIIIASVFSETLSSASVMICLLDSTTSPTSDECLPSDFPALINESSMSDST >RHN41941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34244611:34245593:-1 gene:gene48317 transcript:rna48317 gene_biotype:protein_coding transcript_biotype:protein_coding MESHLHMLTIPCAIGFFCYITCWYLINFDCHDIALHFTSSSTRFLNHIA >RHN78716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17771952:17773428:1 gene:gene2361 transcript:rna2361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MSEIVKFIYLMIIFLSLFIVAMNANAFSICQNNSDCKDQEICLPPKKHWCNKIVPVMIEETMVGNCECI >RHN41919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34091453:34092357:1 gene:gene48294 transcript:rna48294 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLRMMKNVRFLIMLHLLSTNVLPSRSTAPFSFHHSSLQNQPHCPPLFFPLTSCVITIPRKKSYPFNVIFFFSIFDPFLFDTLIDSVQIQIHSYKCEIHSYKREIQN >RHN68251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33988235:33996005:1 gene:gene16581 transcript:rna16581 gene_biotype:protein_coding transcript_biotype:protein_coding MLISISLATALLSRAFFFKFCRCVVATESSGFCNMEEDSCSLLVI >RHN73653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18614112:18618315:1 gene:gene9493 transcript:rna9493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase transcription factor WD40-like family MALTSSLVLAALVALTVQVFYFSPIDPVSLEIPFSVSSTKNNQLQSVIKLGEGFLKQPEDVCVDKDGVLYTATRDGWIKRMVRNENWENWKHIDSSSLLGITTSKDGGLIVCDTTLGLLKVTEDGFSVILSQVNGSQLIFADDIIEASDGNIYFSVPSTKFGLHNWYLDVLEARPHGQLLRYNPLSNETVIVLDHLAFANGVALSKDEDYLVVCETWKFRCLKHWLKGINKGKTEIFIENLPAGPDNINLAPDGSFWIALIQVTSERMGFVHTSKVSKHLVALFPRLVNMINSVTKSAMVVKVTTEGNIIKKFGDNDGKKITFVTSAVEFEDNLYLGSLNTDFVGKFPLQNA >RHN40682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19414788:19415000:1 gene:gene46871 transcript:rna46871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chorismate mutase MVVLDLSHDQISFSAVILQDKDRLMELLTYPEVEESIKRRVAMKAKTYGQEVAINLKDQKTEPVYKIIKA >RHN82044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50828633:50829699:1 gene:gene6161 transcript:rna6161 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKNDNVQVSFDGSEVMMRKKETNLLYFSPLPVIVMQCSGNTVFPASSSADAQYYRYKLQYYLSNFYLFNGFCIFGAK >RHN74548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34631823:34637174:-1 gene:gene10636 transcript:rna10636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MKDGGRKQGTMSPCAACKLLRRRCAKDCVFAPYFPADEPHKFGSVHKVFGASNVNKMLQELPEHQRSDAVSSMVYEANARVRDPVYGCVGAISSLQQQVDELQTQLAQAQAEVVHMKMHQFSSDHHQPPSNSSPPSENVYQSSRFFSSPNKMGQSLWSY >RHN40857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23309025:23311799:-1 gene:gene47094 transcript:rna47094 gene_biotype:protein_coding transcript_biotype:protein_coding MWLCSAYYLLDSEKLRKMRDVKLIETKRNEGQKTVSLLILISDISAMYLQAVKLTFNPLN >RHN62320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42608872:42609240:-1 gene:gene24882 transcript:rna24882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MALNFISQSELPLNENDSQDMVIYQILKEANELSNTMVQERHQNGLEQSKSIKKKNYRGVRRRPWGKYAAEIRDSKQNGARIWLGTFQTAEEAAIAYDKAAFRMRGAKALLNFPHDFSAQGK >RHN54231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7951209:7951719:-1 gene:gene29231 transcript:rna29231 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHIHLSFLKANTFRILASNYLDIEEHHQPLFEQIEELLEKVDAMHTCCSGRAAVEK >RHN66376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11597399:11598835:1 gene:gene14346 transcript:rna14346 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTRKLFHELVVEREGYSFPVEVVYERMPDYCTHCHTIGHDVSSSRWLFPRKDKVGNAAKEIVDQGKKPVQAKRSEWVPLKENPSGIGSLRAFQDPQIVPSPTVVENLENTSVPQQQQTIHDDIPLINISSTNAFLELEDNFQTTAAAAQPVDEGTTQHIVPPQEIVSVAAAEHEVERADDVSQKDARSDDVSTKLQMEAHTITQNVEDKIHQEKLPSNSIHVLEKIIETQEEKFVADTAATFYGRYGIGTNSKQSNDVE >RHN73363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15671744:15677520:-1 gene:gene9165 transcript:rna9165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain, ankyrin repeat-containing domain-containing protein MDTMIVEGRSISKPPYFDGTTNCTEWKERIKIFIQSVDFDLWLVIKNGPKIPKKIIHGEELEKSEDEFNDEDKKIMEREAKAKNILYCALNPDDLRRISSCHTAKEMWEELDKEVTTSDIHPLPSSSPQISPPGVQIMPASFLLNNMPNPEFLEEQRNDSFLNLCVPIYKHALHGNWRAAKHILDNENRLKNAAITNGYPTLLHIAAGANHIHFVKELLKMLDENDITLQDKIGNTAFCYAAAAGNIEIVDLLLDRNSQLPVKRAGNGYTPIQYAALQGRSKMTWHLYDKTTPCFQDEDWKLLFFACIYTGIYDFALKLARDRNELAFVRDVNEETALHLLARNLMVLDYRPEHVQIHITKRKIVTVAWKKICAPYEEGGLNLRSLVCLNEATNLKLCWDLLLSEEHWAKILKSRVLRGTSCINHHIYSSIWSGIKMEFQVIRENSSWLVGDGKKINFWFDHWCGDSLFQILNATNDLIAPFPSSVNSYISNNQWSIPQSLTQVFPSLRNLVHQVTLPMHDQDDGLVWKHNPTGTLSLKDAFEFKRKHLPKLNWTKSIWSSDIPPSKSLLAWRLMHDKLPTDENLSLRGCSLPSMCSLCLSCFETSYHLFLQCPYAKNIWRWFASILNINLQIQNFEDIWKICSRNWNPQCKLTITAAIINILNAIWYARNQQRFQDKKIHWKSSISTIISNVSLSSNLSQTVASSSITDFIIMKKFNVSIHPPKAPRIIEVVWHPPIFDWIKCNTDGAATNVSSSCGGIFRNKDSMFLLCFAENTGIGSAYHAELSGAMRAIEIAARHHWNFLWLESDSALVVNAFKNHSLIPWRLRNRWNNCLHIVSSINFMVTHVYREGNCCADALANIGLTLDHLTIWLDMPACIRGYYVQNRLGLPGFRFVNF >RHN73325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15404242:15404990:-1 gene:gene9126 transcript:rna9126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin MKIIHILFHFTVFSFTVSYASINDFCVADLKAPNTPSGYACKPLASVTSDDFSFHGLVAANTNNSFKIGVATATVTNFPALNGLGISALRIDLDQDGLAPMHTHPDATELLSVVKGEITAGFLTPTSFYSKVLKSGDVFVFPQGMLHFAVNSGKGKATAFGAFSSENPTTHILDVLLFGNKLPSGLVSQTTLLDLAQVKKLKAKFGGSW >RHN70946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55333212:55334770:-1 gene:gene19582 transcript:rna19582 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLCASSPVIYCSISSWFVAVLVRCRMQVGVLELLVGVSKTRSN >RHN69315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42547955:42556601:1 gene:gene17765 transcript:rna17765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxysterol-binding protein MNPLCCIAPVSIDRDRSTPVVSKSNSQCQLGLDSSIRTVNCASKSSFSTHDSSLAGDSVKSSSAGLNHDEDDAVNEVRDSKVLNGGGVAGSVAGILYKWVNYGKGWRSRWFVLEDGVLSYYKIHGPDKILMSPAKDRSVRVIGEESAKFVKKANWNVNRGNGVVGGGATKQCKPFGEIHLKVSSVRFSKSDDKRLSVFTGTKTLHLRCVSREDRAMWIEALQSAKDLFPRALTSSDLATPEDIVVSTEKLRSRLSQEGINEEIINDCESIMLSEVSYLQGKLKFLQQKHVMLLDTLKQLETEKIELETTVVDETKERESYCTQGNRRYSDFYSVMSEGSATDSVVDNESQDGADAETDDEDGTYFDTNETLYSDALRSASYRSREGTGSASIYDRDYILHDGLHGFEMAIKDISYPYVKRRDNLPEPKEKEKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSNLVDRALEWGKQGNELMRILNIAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGVKFFSEKVSHHPMVVACHCEGRGWKFWADSNLKGKFWGRSIQLDPVGVLTLQFEDGETFQWSKVTTSIYNIILGKIYCDHYGTMHIKGSGNYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGEKVAMLIGKWDEAMYYVLGDPTTKPKGYDPMTEAALLWEKDNYVPKTRYNLSPFAISLNEIMPGLLVKLPPTDSRLRPDQRHLENGEYEYANAEKLRLEQLQRQARKMQERGWQPRWFKKHDDGSYRYMGGYWEAREKNNWDGISDIFGQGCDLPSCSEEIVSY >RHN68992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40146838:40149817:1 gene:gene17418 transcript:rna17418 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRCSAYIRHRCINSFFVSSASCSAFSTILMKINFADSKKKENHYQHESL >RHN56203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28695924:28698235:1 gene:gene31568 transcript:rna31568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine permease, plant MVERIISKEEESKKRSMKKLLLILNSILLAIGTCGGPLVMRLYFIHGGKRVWLSSFLETSAFPIILIPLTISHVHNRYRYQNPNGNNNNNNFVSMKPPLFIASAVIGVLTGLDDYLYACGIKRLPVSTSSLIQSSHLAFTAVFAFFLVKHKFTAYSVNSIVLLTLGSVVLALNSDGGDRLVGESTKDYVIGFVMILASAALYGFVLPLLELVYKKSKQVITYSLVMEIQLVMCFFATLFCVVGMIIDNDFKVIPREARDFKLGETKYYVVLVWSAIMWQFFFLGAIGVIFCASSLLSGIIIAAFLPITEILAVIVYKEKFQAEKGVALVLSLWGFVSYFYDEIKEAKKMKNREMELPQSLHSIA >RHN66009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7541533:7543554:-1 gene:gene13918 transcript:rna13918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MKVLQNVQSLSMCILTAQVYRFNDAIPTFHNLTRLDLDSLNYRWHFLVEVLKHCPKLQVLYLDQAGLNSDDQTWTKNDDKENWVDPDCVLQCISLHLRVFDISSFLGLQGELRLARYILKNARVLQTMKIWYIGSPEIETLLSSCPRASSACKLTFGYVPGVLSSESDSMDESESSIGSNDCVF >RHN59280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9039351:9040001:-1 gene:gene21285 transcript:rna21285 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFEKRKKVIIDALKFASPWTREWILLETKLCCYGVMSCVIRMTMTFVSSTLQIWRHKYFGDMNIVILVIFISIGTLLFYAINMDIVSLFTNSSFLFLANLDLYCINVLYQFE >RHN69073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40807548:40812376:1 gene:gene17501 transcript:rna17501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L13 MKMVNSFNLKRAAAGIKRIKLDGLRWRVFDAKGQILGRLASQIATVVMGKDKPTYTPNRDDGDMCIVLNAKDIAVTGRKLTDKVYYWHTGYVGHLKQRTLKDQMAKDPTDVIRKAILRMIPKNNLRDDRDRKLRIFPGSEHPFGDRPLEPYVMPPRTVREMRPRARRAMIRAQKKAEQQQENAEQRQNAEEMKNSKKSEA >RHN79581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30259796:30260152:-1 gene:gene3394 transcript:rna3394 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNETRPVSKHIISNIQSSLCPLFLLLLRQHHHHTTQRHLLRRHCFSQTATSSPHSAAPPPQPCHSTRSSPVDSPIDQNPAQPQLLPKVFITTNRIFDHPCYLVVIHEPHYESFFLF >RHN74966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38257682:38258567:-1 gene:gene11109 transcript:rna11109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pollen allergen Ole e 1 family MARSFAIVALIVSAFCISSVLANKKINFPATKGDFFVVNGQIICDPCAFGIQTRLCKPLEGVKVTLECTKGEKNVTFKTEGTTDKDGFYMIVCKGNHKEDVCMVKPENKKGTCTHLMENKSAMIVPTKNVGPDSVVRILNTLIFREKNIAPDCERLENQFGVDKL >RHN47593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40854914:40855531:-1 gene:gene42212 transcript:rna42212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MEGVVVQNGEQVLPGRSWANNQFTRYENNQGNWMEQMRGSLMVVATVIASLTFQIAINPPGGVWQSNTDTQNGCAPDQTCKAGTSVLAFGDSNQKIRYELFLLLCTISFSASQTIIVLLICGFPLRNKFVMWFLIIVTCLSVFCTAGAYVISIWMILNPLDGTFYRITIYYGIFWVGLIALLILIFFCRFVFWLLKNFFRFMCCC >RHN42660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40047183:40048439:-1 gene:gene49129 transcript:rna49129 gene_biotype:protein_coding transcript_biotype:protein_coding MEHESILKLFDSFWFQFNILNRNTTSSVTSTCSEQNLKDSQNNETSYEGPKLTRIRTVHNRSMSDQSIACFNHDSLSPDSVLNIIPSKLQTILSGKEVTDSEDENNLVQTQTLLPKKNNNINKVVKKKRESKSLSDLEFEELKGFMDLGFVFSEEDKDSSLVEIIPGLQRLGKKNEEEEEEEEDVYDESVVQRPYLSEAWEVYDWRKKEKPLVNWKVPAMNNEIDMKNSLRLWAQTVASTVR >RHN71484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:477449:480128:-1 gene:gene7090 transcript:rna7090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TCP family MELSDLQNNKQKRGTTNIHPHHQQQESSSPSNSHHQQLVVPFDGRPSPFIMGSISNIQPSSNSSPSNSSSSSASASASASAPTQHLIDASLAIATRSEPPLPDVSNNQIQPFSSTSTTVTAVANPPKRSTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSTLNVSLRSSGSTLSAPPSKSAPLSFHSALALAHHPYEEAAYQHAPLLGFHPHQHHQQQLMNADQIGEALSNENYMRKRYREDLFKEDNNNQNESGGDGDGSSSPKSFKTNHQQQDDMGEGDGGGGGASSSSSIGNSFSGLLRPSNMLPATAMWATAGSGSTIWMVPVSGGAATSNSETQTQNMWPFNPHVQSQNQGNAAVTPSQLHFMPRFNVPASGGVEFQGGRGGLQLGSAYQPSQHLGLAVSDSNMAST >RHN76551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51170527:51171625:-1 gene:gene12895 transcript:rna12895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MTTILSDMVSINDAVSYILVSIMIILLITPLAIPLKMTLFPAIRKNNIPLVGSSDLSTETSPLITSSSSSAAYVGSFHDNEDASSDVEILIAEGEGAIRKKRRPKRGDDFKFKEALVKDDFWLLWFAYSFGVGSGVTVLNNLAQIGAALGVEDTTILLSIFSFCNFIGRLGAGAVSEHFVSSIWHYAATALLGMCYGVQYSIMVPTVSELFGLKHFGVISSFMMLGNPIGALLFSVAGNLYDTEAAKQGNSTCYGANCFRITFLVLAGVCGIGTILSIILTVRIRPVYQMLYAGGSFRLSQTSNQYNCSMRI >RHN48831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50537635:50540289:-1 gene:gene43589 transcript:rna43589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDSGSWSSSSKTKIRTPFPERFLHRNNSSQDNLDRFIPNRSAMDFDYAHYMMMEGCRKGKENPALMSPSRSAYQKRLAEACNMHDRTRILAFKNKPPTPVELFPKELLSPPPLSKSAKPKRCIPQTSERTLDAPDILDDFYLNLLDWGSSNVVSIALENTVYLWNASDSSTSELVTVDDDDGPVTSVSWAPDGRHLAIGLNNSHVQLWDTTACKQLRAMKGGHRARVGSLAWNNHILTTGGMDGKVVNNDVRVRSHIVETYMGHNQEVCGLKWSPSGQQLASGGNDNVVHIWDRSVVSSNSPTRWLHRFEEHIAAVKALAWCPFQGNLLASGGGGGDHCIKLWNTHTGARLNSVDTGSQVCALLWNQNERELLSSHGFTENQLTLWKYPSMVKMADLNGHTSRVLYMAQSPDGCTVASAAADETLRFWNVFGSPAEACKAAAPKTYNEPFANFTRIR >RHN57933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42632019:42633151:-1 gene:gene33525 transcript:rna33525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MIPQCLANLPFLQVLDMEMNKLYGSVPNTFSSMTFSTLNLNSNQLVGPLPKSLSNCRNLEVLNLGNDIIKDTFPHWLQTLSHLKVLVLRANKLHISIIKLKINRNPFPNLIIFDISCNDFSGPIPKFYAENFEAMKNVIPFFYDSVNATTKGIDITYAIIPTIFVSIDFSGNKFEGDIPNVIGELHAIIGLNLSHNKLTGAIPQSFGNLINIESMDLSSNMLTGRIPTELTNLNYLAVLNISQNHLEGAIARGEQFDTFSNDSYVGNYGLCGLPLSKNCNKISPPSTYSDEHEQKFGFCWQPVAIGGMVFGVGLGCFVLLIGKPQWLVSMVGGKPNSRRTRRMRPHERINQNQLLHM >RHN81865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49421092:49425245:-1 gene:gene5960 transcript:rna5960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MDGDHNKLGNVHVENVDVGLETSNSLDLNVEQNSCSPNVLHANGSQTQSGSASANGGFVNTVLGIGTLFESDEHAYRFYNKYARLMGFNVRKDWINRSKVHGMVVSRKFTCSREGYRRKDKRDFTVKKHRKETRTGCLAHMIVTRQQDGKYQVTHFEAQHNHDDINPINSNMLLLELQNEFCVAQAVEVDSYHNLGPKSSSALTTMNTKLGARDSLDQLSMNYDNYLHSVRERDMGKGEAGRLMGYFQRQHFENPTFFYAVQLDVDDKVTNLFWADDNMVVDYDHFGDVVGLDTTCRTNKAFRPFVQFLGVNHHKQVLIFAAAFLYDETIESFNWLFRTFIGAMSGKKPKAIITEQDAAIIEAINAVLPETNRYTCVWQMYENTLKHLSHFVKDVESFANDLRSCIYDPKDEEEFTHAWGVMLEKYNLQRNEWLRWMYREREKWAVCFGQNRFFVDVKGFHLGEILSHKLRSYLNPDLDVVQYLNHFERIVEEQRYKEIEASDEMKGCLPKLMGNVVVLKHASVAYTPRAFEVFQQRYEKSLNVIVNQHKRDGYLFEYKVNTYGHARQYTVTFSSSDNTVVCSCMKFEHVGFLCSHALKVLDNRNIKVVPSRYILKRWTKDARLGNIREIKQFKMQDNPKMVVASCYKDLCHRLVKLSARASESVEAYQFAVRQLDEVMEGVQKILILKSEEAQVITSNSIHVDASESEPAVIFLNGHATEDQDESNRVSEEIDRTATPDRCQITVNYSQTDSDRILNVEPSSNTVVCISSPPSPYVSSQPNLLLQGLFGFETNEVVQCMYERPDLVLDHQSNTNLFQPPISNQHSSPCLSQLSQEPIIQNAHHESVPSNNQMQQGMDLDIQNPHSESCILLCDHRYRSSDSA >RHN56239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29159301:29160839:-1 gene:gene31607 transcript:rna31607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AT-hook motif nuclear-localized protein MFSNFQQQQHHNLFQPSRECQTSEEDETRSSGGPSPNKPSCNDGATIEIGRRPRGRPPGSKNKPKAQSQIIINHSSDPAMSPHILEIPEGSDVVEAISRFSNRRKTGLCVLTGSGTVANVTLRQPSGPPGTTVTFHGRFNILSISATFFSPLESSPPMNKEFSISLAAPQGQIVGGFVVGPLLAAGTVFVIAASFNNPSYHRLPLEEDVRNNSVSGGYEEKSPPQLSGGESWFEFSCP >RHN42777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40918061:40921795:-1 gene:gene49264 transcript:rna49264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase D MDQVQGGGNEYPVFLHGDLDLFIIEAKSLPNLDLSTEAIRKCLTMGNSCTPPFVKGLKTHSGKDKIITSDPYVSICLAGATIAQTRVIPNCENPLWDEHFLVPVAHPAHKIEFLVKDNDILGAELIGVVEIPVQKILSGNILNDWFPIIGQYGNCLKPYPELHISIQYMSIDVNEDNGSVNYGVPGTYFPLRRGGNVTLYQDAHVPDGMLPEIELEGGKMFQHSKCWEDICHAILEAHHMIYIIGWSIFHPVKLVREPTKPLPSGGELSLGELLKYKSQEGLRVVMLIWDDRTSHDKFLLKTEGVMQTHDEETKKFFKHSTVHCILSPRYASNKLSIFKQQACSYFQNVVGTLFTHHQKCVLVDTQGSGNNRKITAFIGGLDLCDGRYDTPEHRLFSDLDTVFQNDFHNPTFQLNSNSSAPRQPWHDLHCKIEGPAAYDILTNFEQRSRKAKKWRDFRLRKVTNWHDDALLRLDRISWIVKPSSGPDGDKSVRVTEETDPENWHVQVFRSIDSGSVKGFPKDVDKAKAQNLLCGKNLKVDQSIHAAYVKAIRSAQRFVYIENQYFLGSSYHWPTYRNAGANHLIPMELALKIASKIIAHERFSVYIVIPMWPEGVPTSAAVQEILFWQGQTMSMMYKIVADALAKAGLSECYHPQDYLNFYCLGKREPHSRESLSTQSQSSENRALVSVKKFRRFMIYVHAKGMIVDDGYVIIGSANINQRSMDGSRDTEIAMGAYQPKHTLAEKNSLPRGQVYGYRMSLWAEHLGGIDGSFTEPHTLECVRRVNRIARKNWSIYVSEESLQMKGNLMHYPVHVSRNGKVSTQEGQEYFPDVGGKILGSQNSLPDALTT >RHN52529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36409592:36414758:-1 gene:gene37218 transcript:rna37218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eukaryotic translation initiation factor 3 subunit J MEDDWEEEQLAPIDLKLHEPPKLKWEDEDVDEDDVKDSWEEEDEPAPAPAAPVVKTTEKAPKKPSEKATEKKGKKVEQEKEKEEPLDPLAEKLRQQRLVEEADYKATKELFGGGNDEKNLDTFIPKSETDFLEYAELISHRLRAFEKSYHYMGLLKNVMRISMTSLKGADAKDIASSVTAIANEKIKAEKEANAGKKKTGGKKKQLTVDKPDEDFVAADRYDALDDYDFM >RHN63312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50320756:50322721:-1 gene:gene25998 transcript:rna25998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronate 4-epimerase MAQQVNNETTPSTPGKLKPDKPHHRFRIHPPHSRYTFICILLSAFLVFLLFSTFNPPPPSTTAPRRVLGDSWGGSHWERLVSKSTRRNSASNKIVLVTGSAGFVGSHVALALKRRGDGVLGIDNFNRYYDVNLKHARQKLLERAGIFVVEGDINDGTLLKKLFDVVPFTHVMHLAAQAGVRYAMQNPNSYVHSNLAGFTVLLEACKSANPQPAIVWASSSSVYGLNSKVPFSEKDRTDQPASLYAATKKAGEGIAHTYNHIYGLSITALRFFTVYGPWGRPDMAYFFFTKDILKGKQITVFESPDGGSVARDFTYIDDVVKGCLGALDTAKKSTGSGGKKKGSAQFRVFNLGNTSPVPVSKLVAILEKLLKVNAKKRVLPMPRNGDVKFTHANISLAQREFGYKPTIDLETGLRKFVKWYLEFYSSGSIKKGSSSW >RHN69994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47832324:47835665:1 gene:gene18530 transcript:rna18530 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSHSKLQKSSLVSLCRQRKDIIKAAKYCRYDLVTSFDTYLESLLKLGNSLDQYVEQEFVIFDHSCLGLEFSADDSDLDHLHCDDDEEEEEDEGDDEEELSSIDFSLESSDESIHCKHYVDDRKNMKNQRKSRVEFDKNATQAPFEEDIHHMHMSHEDFVAYEYGRMKENTQRFDRYKHYGSRPFPIMIVSPVHDNESHNHGTSHQNESHNHGASHQNNAAPTVSEPPPPPPQASRFDLLYPFTMNYEVPSYNHHDEDERKVRETEGIPDLEDESELSSNVSSNGTSSNFEGLNSNRNSVSSTEGTNNGDLRSKIKIEEYESSETSNVGVTTPASSITMSLKEAVLDIKNEFKNLCDCGREFSLVIEAEKIPYHSFSTKLRVFASCVLGRIFPSVPSWLHPSYMSYQPVPRTQKMSKAKMQTNQDHKKIGDLSLTLEKLYVWEKKLYEEVLGEEKLRILYDKLHKRLKKLDMKGSESDKIDDTLYSIKLVDSEISVAVTSISVISREINVLTDAKLLPELNKLIDGLLELWKTMSTCHQKQFQAINKVISHVHILDPAKKKKSSIKATLKLEKVILNWGMSFSNFIKKQKTLVKYLNDWLQKCAPQETEESEDGLETPPIFGVCNNWYNEIMKVSAIEVSKAITKFSSDLHHLYEKLKEEKAQKVRVKSLFKDYKKRLRSFCKNIIIKSEHYHSFIKMKASENFDEDDIPLLNASDKRLETLRQRLIEARKRQGKVIKDVNDIASSCLQEGLAPIFDALWRFSLENLKVYEQLRLPNSGVYE >RHN72725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10204929:10205218:1 gene:gene8475 transcript:rna8475 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFSVQPPCPLTPCCNRHVLNVTTPSEPPPSIVNYDASGGWISGCLRSDRPVVSDPFVTLLWKRSFYSDSIFMVER >RHN65035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63791761:63793037:-1 gene:gene27928 transcript:rna27928 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMGRIIPVRFERVAAAFESDEVARVRLCESSGSEHSPESSTDLSDLVKSFLEKNSVRAEEDAVAFDKEDRDFEWYDYEEKREILKEIFNDTVKEKIRREAELAIEVVGGDKSSPGFKRMVMSRLRERGFDAGLCKSKWERNRKFPSGDYEYIDVNYGGNRYIVETSLMAEFEIARPTNQYTSLLDVFPLVFVGKVEELKRVVRIMCSAIKDSMKTMDMHVPPWRRNSYMQAKWFNTYKRTTNEVATNKSITFEARPLKAYNCKDVYYGSKIAVRVGHLAAKFNVE >RHN48571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48515996:48516379:1 gene:gene43302 transcript:rna43302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 22 kDa protein MRETKDSLLLRLDTPGLGKEDVKISVEQNTLTIKGEGAKESEEVEEGGRKFSSRIDLPEKLYKIDQIKAEMKNGVLKVIVPKMKKEEMNNVVNVKLMDL >RHN46220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29784969:29786434:-1 gene:gene40674 transcript:rna40674 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTETNEYVKLKLLVNEESNKVVFAEAGKEFVDILCSFLTMPLGTVARLMQKDSSMGPVSVGCLNSLYQTVKDLNKECLWKDSDKEMLLQPKNSSEDYCSTLKFNIDDSQPTKYFIRCLNSYCDYSSTLYLSTSMDDERCKCRSAKRSVVLKHFHEGFVNSDASFVITDDLKIMPNTMVYTSFSLLKNSGIVTSSTKEMTVIVTKEKVLDLLKCALVSKSPLTDMFLGNKPSIERSSFFSCEVENDLNADIQITVELVIRKSDGKILFAHGEQDFTDLLLSFLTFPLGGVVCKLGGCSSIGSIDGLYKSIVELDENQCLKSKEAKNRLVDPHLAKEYISSKQILPINQSRVNYYCYYQGQDSKQSIVDCKFFITNEYRRDEGKYVEMHLVKDNENTRNEGYLKGPRTYLATDDLVIGPSSPISVLLLINLCKFL >RHN57036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35921018:35921674:-1 gene:gene32524 transcript:rna32524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MFQFICDSCLAAKQIGPDRAAEFAYGAGQIDPLKALKLDLIYEADEKDYISFLCGQGFNASTLYLITEKYIICFEVANSTARDLNYPSFALKAPRPKHHVSGTFKRIVTNVGLPMSTYIANVTAPKGIHISVTPSVLSFTALGEKQSFVLTIHGKMKRSIRSASLVWDDGQYQVRSPIVIFDDRAISKGVSLYRINYIYTVIFGLLFCIIIIIIIIIK >RHN74654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35525613:35529747:1 gene:gene10759 transcript:rna10759 gene_biotype:protein_coding transcript_biotype:protein_coding MYFCYETTIPFFDRATSIPRKYLMDPKSLISKSTANFSFTLAISTVSSPVRTISST >RHN51298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15938610:15940330:-1 gene:gene35714 transcript:rna35714 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MNSAPQSSLVAAAGRSGGDMAPFIQKTYDMVDDPTTDEIVSWSSDNKSFIVWNPPEFSRILLPSYFKHNNFSSFIRQLNTYGFRKADPDRWEFANEKFTKDQKHLLKDIHRRKPIHSHSHPPASAIDPERAALEQEIEMLSLEKNALQSKLLSYDYLETEKLQLEDFQRRLDGMEQRQANLQTFFDKALQDSFIVELLSRKIESMDLAADNKKRRLSQVDRIQPVVEGIFLDNPCSFRLEFGNVFYQDISNKLRLELSLADLDMNFISGSTQGSNEDEESLQKNISESKGAGDNVQVEAAARHGANDVFWEQFLTERPCCSDNEEAIST >RHN58381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1323219:1324308:-1 gene:gene20288 transcript:rna20288 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYSLFCILNTFNFFFFETPNIIFRQVCARHTNSRKRPRTTKHRKHRI >RHN80355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37387881:37388102:1 gene:gene4270 transcript:rna4270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MSNESNLQVTFSKHCNGLFKKASELCTLCGAYIALIVFSPSEKVFSFGHPNVDTVIDRYLSSTTPKQWPYAIH >RHN69589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44688651:44692633:-1 gene:gene18074 transcript:rna18074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arabinogalactan peptide, AGP MAVSCASFRVVAFLGLIYATLISVACSQSIAPAPAPTSDGTKIDQAIACVLMLVALVLTYIIH >RHN71765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2498501:2501972:1 gene:gene7399 transcript:rna7399 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFSEAAAATPLMKGNPCETTEGSSISSKSYVNVNSCMSPKKLSFE >RHN43850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48952874:48959555:-1 gene:gene50480 transcript:rna50480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase, Protein-serine/threonine phosphatase MGLLLKMKMQNQHHPLASKLQEQTGNKRYTFIQAHRAWLLKLMFLWILLMALISRIIYSKMDVGTKVRRKEVLGSLCDQRARMLQDQFSVSVNHVHALAILVSTFHYYRNPSAIDQETFAEYTARTAFERPLLSGVAYAQRVVNSEREQFEKQHGVVIKTMEREASPVRDEYAPVIFAQETVSYLESIDMMSGEEDRENIMRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPPNPTTEELIKATAGYVGGSFDVESLVENLLGQLAGHQAILVNVYDVTNSSDPLIMYGNQYEEGDVSLVHESKLDFGDPYRKHQMICRYHQKAPPNWTALSTAILFFVILLLIGYILYGAGNHIVKVEDDFHEMQELKVRAEAADVAKSQFLATVSHEIRTPMNGILGMLGLLLRTELNSTQRDYAQTAQACGKALIALINEVLDRAKIEAGKLELEAVPFDLRSILDDVLSLFSEKSRHKGLELAVFVSDKVPDIVMGDPGRFRQIVTNLVGNSVKFTERGHIFVKVHLSENRKPVTNGKHETYRNGGSEEVVHASGGYNLKTLSGYEAADERNNWDNFNHLIADEEFFCDASTKKVASNEFYEQVTLMVCVEDTGIGIPFSAQDRIFMPFVQADSSTSRNYGGTGIGLSISKCLVELMGGQINFISRPQVGSTFSFTADFGIFKKNPITEVKKVNYEDLPSSFRGLKAVVVDGKPVRAAVTRYHLKRLGIQVKVANAINKAVSLCGKNGASSTGLFQPDIIFVEKDSWVCGEDGIFSVRQLDWKQNGHIFKMPQMILLATNISNDEFDKAKSAGFSDTVIMKPLRASMVGACLQQVLGTGKKRQLGKEMPNGSTSVRSLLFGKKILVVDDNVVNRRVAAGALKNFGADVKCADSGKAALEMLQFPHKFDACFMDIQMPEMDGFEATRRIREMERTANEETNSECGERKSEFHLPILAMTADVIHATYEECLKCGMDGYVSKPFEEENLYQAVAKFFQTKPTSVDS >RHN60450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26942192:26945189:-1 gene:gene22762 transcript:rna22762 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRPLLLVFLLLMFVITSQFEWKQQLMVDVDSTSTISQKQQRFSKGVEIVKEKIILVQEKNIRRLNEVVRHLQQQLQQCRSSNDTRNGTVSL >RHN65016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63681995:63682342:1 gene:gene27906 transcript:rna27906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNFRVPQLEVLNLSYTKVDDGTLYVTSKNCRGLLHLLLKNSDVTEKGVKHVLENCTQLREINLNGCDKVHTSVVDSMVFSRPSLRKITAPPGFHLSDEKKKLFLRQGCIVCSKST >RHN40752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20297406:20298041:1 gene:gene46949 transcript:rna46949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MKKINLLLRKCKSLSKQLGRSSSYSSLRSKSNREDLYEEHGMQEDENCETIFVGSSRKRYVISSKYLNHPLLEALINKSKQKGSDNENVLVVNCEVVLFDHLLWMLENADPKFSSESLEELAELYVF >RHN71647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1634411:1640012:1 gene:gene7268 transcript:rna7268 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGSSRSRGKRPLPEDNDDSAKNPPAKRVRFPKGKKGKPVEVIVEKVIAKEDVNDLSNPAVAAKERVKRRNQITAELISDENGGISKVAEVTYKENENFVEDGIQIEPFNLDKEREEGYFDASGNFVEYVRDNEIKDAWLDNVEVDPRYAGLSSVATNDEEEVQELSSKDVAIMKRRIANVLEPEETVLQGLRRLKGSGDRKTKMSGETKIVFDQLTEDAMKLMENGEFNVYHEHKEVFEREADGYEKLVRAREEGISGNSDDFDMFADDDGQAATKPSTAENNAVSEPSSDATNSGTEGGALQSDYVYDESSGYYYSSSLGYYYDPNTGLYCSAASGKWYSFNEETGTYDEVNEVASNLS >RHN81361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45230024:45233705:-1 gene:gene5385 transcript:rna5385 gene_biotype:protein_coding transcript_biotype:protein_coding MMYRIEADCGFQATRALDWSPSCSCIWFCKLFANTSRLTLIVGISSGSSQKKSSISLSNASVPCSGVAGSSMIGSHFLLLRLASMLVLFPGRGRISGFKFGRLLSSAIGTGMLTICFSSGPGKASRALY >RHN50419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6978358:6979605:-1 gene:gene34701 transcript:rna34701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNTLLGFLPQKIRRVFTFNLNTRTVLHDELIVEILTFLDVKSLMQMKCVCKSWKTLISDPVFVKMHFKKQSTGMTHLAFLSNKFEGSGDCMAAPISRLMECSSSSITLTDPYHQFNYKDAGDVLGSCNGLVCMQDCSFTAEYQEHSFSFWNPATRTKSEALLSFRNYAKEKNNCKFAFGYDNSTDTYKMVLLCLKRDGELIATTVKVFTLGDNVWRDIDCFPVELVYHRSLMFARDKVYLNNSINWVVRHRYYCHLKNLTVEKFVIISLDMRTETYTQLLLPRCCDEELTGAATLSVLMDCLCLSYDFNKTHFVIWQMKEFGVEESWTQLIKISFPILLKDFKSQEFNNDSLFLCPRLMPLCFSKDGDTLIFAINLLDQAILYNLKNNRATRIKSTNKIWWSAAKGYVESLVSTS >RHN42114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35488819:35490565:-1 gene:gene48513 transcript:rna48513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TUBBY family MSLKIIVCKLKGMKNRLGSISKSVETKHRLCRTKSHVALDVTPIEPVQQGQWAYLPPELLLDIIRRVEESATSWPARAIVVCCASVCKSWRSITLEIVKTPQQCGMLTFPISLKQPGPSDYRIQCFIRRNKRTSTFLLYLGLEPCKFDFAGIDFTIYDSQPPHDAAVQPNCRPSGMFNSKPVSPRRVQACSHLVSTISYKFFWKSPRRMYCIMNSNIVTTIQEGGNTTSPTLLPKIFDEPFSPSPELLGLSQGSIQPLLLKSKDYMRDEQRKCWCLDYMGRAKENAIVLSTKNFQLVEDVVDPSHNASPVERERVVLQLGKIGKDIFIMDYSYPLSAFQAFAICLTQMRV >RHN47405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39477342:39479026:1 gene:gene41999 transcript:rna41999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MSSSFWTLCLILASLVSFSLCAPPRTPVDVPFGRNYYPTWAFDHIKYFNGGSEIQLHLDKYTGTGFQSKGSYLFGHFSMNIKMVPGDSAGTVTAFYLSSQNAEHDEIDFEFLGNRTGQPYILQTNVFTGGQGNKEQRIFLWFDPTKEFHRYSILWNMYQIVFFVDDVPIRVFKNSKDLGVKFPFDQPMKIYNSLWNADDWATRGGLEKTDWSKAPFIAGYKGFHIDGCESSVNAKFCATQGKRWWDQPEFRDLDAAQWRRLRWVRQKYTIYNYCTDRKRLPQVPPECKRDQDI >RHN74376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32320795:32321130:-1 gene:gene10432 transcript:rna10432 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTLPRFLSSTVPEIPLPLLPQTKEEYQERLAEAEREIHMWKRECQKKDKDYETVMGLLEQEAYDSRQKDVIIAKLNERIKEKDAALDRIPGRKKKRMDLFDGPHSDFED >RHN40381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15811754:15815721:1 gene:gene46528 transcript:rna46528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MRATIYILPFLVFFFFFFALFIVLESSGDCSDFDHAQMATPLGGIQDSPSSENSLEIESLARFAVDQHNAKQNSLLEFARVVKAREQVVAGTLHHLTLEAIDAGEKKIYEAKVWVKPWMNFKELTEFKHAGDGHAPSFTTSDLGVIKDGHKPGWQSVPAHDPQVQDAANHAIKTIQQRSNSLVPYELHEVTDAKAEVIDDTAKFNLLLKVKRGQKEEKFKVEVHKNSEGNFHLNQMEADNS >RHN67376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26545743:26546320:-1 gene:gene15558 transcript:rna15558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MKYILFPCIKESHQFIFSIMIYRFPEVAKLRLLFSHEICGIINTLSLSPNTQYAAYLVFKIIYAHGYVNEPVSFFDGVDGGHRSIKSVCLDPNMKHNPYNKEEELHRPNVRSDGWLEIEMGEF >RHN64916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62948738:62952674:-1 gene:gene27793 transcript:rna27793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MSLPKIFPPQHRQLLQDLIECTHNKNILKGRTLHARILKTGSISSIYVTNTFLNLYAKTNHLSHALTLFDSINDNDKDDVSWNSLINAFSQNHSSSSSSFAISLFRRMMRANNVIPNAHTLAGVFSAASNLSDVVAGKQAHSVAVKTGCSGDVYVGSSLLNMYCKTGFVFDARKLFDRMPERNTVSWATMISGYASSDIADKAVEVFELMRREEEIQNEFALTSVLSALTSDVFVYTGRQVHSLAIKNGLLAIVSVANALVTMYAKCGSLDDAVRTFEFSGDKNSITWSAMVTGYAQGGDSDKALKLFNKMHSSGVLPSEFTLVGVINACSDLCAVVEGKQMHSFAFKLGFGLQLYVLSAVVDMYAKCGSLADARKGFECVQQPDVVLWTSIITGYVQNGDYEGGLNLYGKMQMERVIPNELTMASVLRACSSLAALDQGKQMHARIIKYGFKLEVPIGSALSAMYTKCGSLDDGYLIFWRMPSRDVISWNAMISGLSQNGHGNKALELFEKMLLEGIKPDPVTFVNLLSACSHMGLVDRGWEYFKMMFDEFNIAPMVEHYACMVDILSRAGKLNEAKEFIESATVDHGLCLWRILLGACKNHRNYELGVYAGEKLVELGSPESSAYVLLSSIYTALGDRENVERVRRIMKARGVNKEPGCSWIELKGLVHVFVVGDNQHPQVDEIRLELELLTKLMIDEGYQPLLDRLPETVIDNLTDQEGSDEIQISVCGGL >RHN65410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1921895:1926934:1 gene:gene13245 transcript:rna13245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MASNSQKDIPSDEKAGSAENKNTKDEASSNATPGPGTGFPPGTGFQANPFDFSAMSGLLNDPSIKELAEQIAKDPSFNQMAEQLQKTLHGGAPQDGLPNFDNQQYLSSMQQVMANPNFMTMAERLGNALMQDPSMSSMLESFTNPSNKVQLEERMARIKEDPSLKHILDEIENGGPAVMMRYWNDEEVLKKLGLAMGIPPTSGDAAASSENSGPDETEDVGTEDESIVHHTASVGDIEGLKAALASGADKDEEDSEGRTALHFACGYGEVKCAQALLEAGAKVDALDKNKNTALHYAAGYGRKECVALLLENGAAVTLQNMDGKTPIDVAKLNNQDDVLQLLEKDVFL >RHN39543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8063477:8067398:-1 gene:gene45574 transcript:rna45574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MKMKFIVQNLGVSIFFKVFLIIAIISQTFGSKTDYYRSNKALFIFGDSFLDAGNNNYINTTTFDQANFLPYGETYFNFPTGRFSDGRLISDFIAEYVNIPLVPPFLQPDNNKYYNGVNFASGGAGALVETFQGSVIPFKTQAINFKKVTTWLRHKLGSSDSKTLLSNAVYMFSIGSNDYLSPFLTNSDVLKHYSHTEYVAMVIGNFTSTIKEIHKRGAKKFVILNLPPLGCLPGTRIIQSQGKGSCLEELSSLASIHNQALYEVLLELQKQLRGFKFSLYDFNSDLSHMINHPLKYGFKEGKSACCGSGPFRGEYSCGGKRGEKHFELCDKPNESVFWDSYHLTESAYKQLAAQMWSPTGNSHTIGSYTIRDFFQAM >RHN75167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39920485:39920934:1 gene:gene11330 transcript:rna11330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVLLEIIGGRKNYDPTETSEKFNFPRFAFKMMEEGKMRDIIDSELKIDDENDDRVHCAISVALWCIQEDMSMRPSMTKVVQMLEGLCTVPKPPKSSNEGNTSSSSDAYLSAVGLSGPR >RHN70021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48086182:48089579:1 gene:gene18561 transcript:rna18561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellular retinaldehyde binding/alpha-tocopherol transport, GOLD domain, CRAL/TRIO MAEESPKIATTQETVPLAEEQVVVTQKTESPVPADKESDQPKPNPEPVENNTTETEEVSKPSGDDKIPESGSFKEESTIVGELPEAEKKALAELKQLIQEALNKHEFSAPASTTPSPVKEQKPEPTPEAPAEETNKKDEQVSETESVVAVTTADDDVSTTPPPPPTEAEAEAEQPKEEVEKKETEVAASSVDEDGAKTVEAIEESVVAVASSVPEEPKVVEASSPEQQQPEEVSIWGIPLLADDRTDVILLKFLRARDFKVKEAFTMIKNTIRWRKEFKIEELLLDENLGDEYLEKTVYMHGYDKEGHPVCYNIYGEFDNKEVYKKTFSDEEKRERFLKWRILVLEKSIRKLDFTPGGICTIVQVNDLKNSPGPTKWELRQATKQALQLLQDNYPEFVAKQVFINVPWWYLAVNRMISPFLTQRTKSKFVFAGPSKSAETLLSYIAPEQLSVKYGGLSKDGEFGITDAVTEITVRPAAKHIVEFPVTENCLLSWELRVIGWDISYGAEFVPSSEGSYTVIIQKTRKIASSEEPVLCNNYKIGEPGKVVLTIDNSSSKKKKLLYRLKTKPSTSD >RHN65530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2938389:2941575:-1 gene:gene13377 transcript:rna13377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-PERK-2 family MENTTKRVNVDSSSPFCQTKMSHTHINNHEEHHQIVEQTSEKSVCSMCYNKRPKLDWTKKEFTYAELYAATQGFSAKNFLSEGGFGSVYKGEILGYKIAVKQCIHASHKQEKEFKSEVDALSTARHENIVMLLGSCSEGNHRLLVYEYVCNGSLDQHLSQYSRKPLCWQDRVKVANGAAKGLLYLHQNNIIHRDIKPNNILLTHDYEAMLGDFGLAKIASEELSCSIECPGNLAYWAPEYAAYGKVSNKTDVYSFGVVLVELITGMRTTDKRLGGKGLVGWARPLLKEGNCQKLVDGRIMDSHGHDCHQIFWMSRLAGNCLNKDPQKRLDMNTVVKALIHIEEGCSSCIVEKDSSTLPMSDYSKSKDDMRERDSSESNGASSKSRTAYCYYKSSEN >RHN54220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7910504:7913822:1 gene:gene29220 transcript:rna29220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MAYHMMSFQSHISLLIVLFGFLGLISFPVEAAIKKYQFDIQMKNVSRLCHAKPIVTVNGRYPGPTIYATEGDRVQINVTNHVKYNISIHWHGLKQYRNGWADGPAYITQCPIQTGGSYTYDFNVTGQRGTLWWHAHILWLRATVYGAIVIMPKPGTPFPFPQPAREFEIVLGEWWHKDVEEIVNQGNQMGLPPNMSDAHTINGKPGPLFPCSEKHTYAMEVEKGKTYLLRIINAALNDELFFSLAGHNMTVVEVDAVYTKPFTTQSILIGPGQTTNVLVKANKIPSRYFIATRTFMDAPLSVDNKTATAIFQYKGIPNTIIPSFPTTLPNANDTNFALNYSKKIRSLNSAKYPANVPLKVDRNLFYTIGLGVNSCPTCINGTRLVASLNNVTFVMPKTALLQAHHFDIKGVFRTDFPDKPLAPFNYTGAPLTANLGTKTGTRVSKIAFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDPAKDPAKYNLVDPMERNTVGVPTGGWTAIRFTANNPGVWFMHCHLELHTGWGLKTAFVVEDGPGKDQSVLPPPKDLPKC >RHN61307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34695917:34696387:1 gene:gene23756 transcript:rna23756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxoacyl-[acyl-carrier-protein] reductase MACIVMEKNGGPPNIIVNDAGVINKNNKMWEVLSEEFDLVMDTNLKGNVNVLRHFISLMVKKNKNEEGGIRSGAALVAPYFASKWEIEGLTKSVAEEFPKGMAVMALNTGVISTNILASCYGASSSLYQSPESWVLEEATKILNLTPTNNGASLSI >RHN61095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32917768:32919200:-1 gene:gene23520 transcript:rna23520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MFSPLTALLLLAIISTVTSTANSCLPSELKALQAIKASLREPNDGIFNSWTGTDCCHNWLGVSCDENTRRVADINLRAGTLYTTFEKARKPGYMTGQISPEICKLTKLSSITITDWNGISGEIPKCISSLSFLRIIDLAGNRFSGNIPSDIGKLRHLNRLSIADNVITGGIPRSLTNLTSLTHLDIRNNRISGYIPMGFGRLQYLGRALLSGNQLHGPIPGSISRIKRLSDLDLSRNQLSGPIPESLGLMSVLGTLKLDTNKLSGMIPKSLFGSGISDLNLSHNLLEGNIPDAFGGRSYFTSLDISYNNLKGPIPKSISSAAYIGYMDLSHNHLCGPIPKVLDHLDASSFEVNDCLCGKPLEACKKK >RHN61708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37690727:37694809:1 gene:gene24197 transcript:rna24197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein phosphatase 4 core regulatory subunit R2 MMETQPQENMQQPPVVSSSDGTDNLQNDAAVADVSNHIDTVAKEEVKSDASRDEVVRILQAIASTGKFWHDWDNLKSMLSFQLKQVLSEYPEAKLTSEQQCASLGESYSDLVNKLDEALTCFIDGPPFTLQRVCEILLEAKNIYPNLSKLALALEKNLLVTSTLTICTDPYPQDPVQEPDDQEKASEMQQQQSDGAQNGTETEPEPLVPDTDEVMVEADTSDDVAVEMETFEDAKSSELDSEPNLDQKTDSEQNIDQKIDSEPNANNAQAS >RHN72536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8461364:8461891:-1 gene:gene8262 transcript:rna8262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative petal formation-expressed MEKYKSSNKIGKMNEWSEELEMEMRDVVEVIKRKDVEDYDRLGNIALKVNKSLAIAGPLLSGIAAIGSTFIGNSSLAAFVPLLASVINTFEHGGQVGMVFEMYRASAGFFNLIETSIESTLGEKDLEKRENGELFEMKMALQLGKSVSELRELASKSASYRMEGVDIDEFSSKIF >RHN40963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25027105:25033786:-1 gene:gene47214 transcript:rna47214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type-6 MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKSAGITSIGVRGKDSVCVVTQKKVPDKLLDHTSVTHLFPITKYLGLLATGITADARTLVQQARNEAAEYRFRYGYEMPVDVLSKWVADKSQVYTQHAYMRPLGVVAMVLGIDDELGPQLYKCDPAGHYFGHKATSAGLKDQEAINFLEKKMKNDPSFTYAETVQTAISALQSVLQEDFKATEIEVGVVQKDNPEFRVLSTDEIDEHLTAISERD >RHN75939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46312248:46315316:-1 gene:gene12202 transcript:rna12202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MGKDVEVQEQGGEFSAKDYQDPPPAPLFDLDELTKWSLYRAVIAEFVATLLFLYITVLTIIGYSRQSDTTIKGNTECDGVGVLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFVGRKVSLIRAFLYIVAQCLGAICGAGLAKGFQTSYYNRYKGGVNTVSDGYSKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGPAVIFNNEKAWDDQWIYWVGPFIGAAVAAIYHQYILRGSAIKALGSFRSNA >RHN69677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45477417:45479619:-1 gene:gene18179 transcript:rna18179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MIWLGVTSMTISSIFFIFVFSYLILRFFRKTSALHFLKHWWLSLENRLHLHQSFKIPLYDHNFRENQLYRKILTYLDSLPSVQDADFTNLFSGPNPSDIFLHLDANQIVHDTFLGAKLSWTNNTVAGDSASALVLRMKKKDKRRVFQQYFQHILSVADELEQRRKKDIKLFMNSVAGETYRWRSVPFTHPATFETVAMDAELKNKVKTDLDQFIKSKQYYNRLGRVWKRSYLLYGASGTGKSSFVAAMAKFLCYDVYDIDVSKIIDGSDWKTLLMQTTPKSMILIEDLDRLLAGKSTGVNISSVLNFMDGIMSCCGEERVMVFTMNGTKDEIDQAVLRPGRIDVHIHFPLCDFSTFKILASSYLGLKEHKLFPQVEEVFYQTGARLSPAEVGEIMISNRNSPSRALKTVITAMQVQSNGSGQRLSHSGSGRSSEEVNDTSAVICRESVHTVREFRKLYGLLRLGSRRKEKDESVPNSGPIEKETPSRIEGRVG >RHN65832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5697005:5699120:1 gene:gene13724 transcript:rna13724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MIFPLEPKTKRITTNPNTNIENKEEDRLSDLPDSILHHILSLLDTKQAFQTSILSTRWKNLPNHLPTLRLSSTGFNSFDCFIKSLTQILSLRDDSTALHSLDFYRPQDFVDPKILESMVNYAISHNVQLLRISVSCDIQQLQSSLFSSQTLTSLDLSIHYYIHHWKILFPSSLNLPALTTLTLTGFYFRSDNNGCTEPFSSLNKLNTLIIGNLRFLKGQSLCISSTTLVNLTIYGYYLYNKYKSKIMLSAPFVESVSRILSLRDDLTSLQTLDLYHCPRDFDFVCPDILKSIVYYTVTYNVQRVRVCVTCNIQQLPSCLFSSQTLTSLDLSIHHRILPAKLLFPSSLNLPALTTLTLISFYFLSGDDGCAEPFSTLNKLNTLIIRHCKVVDAQTLCISSTTLVNFTMVGYNYYYYYKEIHKLELSTPRLSMFAFTGTPDLKLCVSHPCSITHLCIHAEDIIGFVKEDSAVLLISWLQELANIKSLTVSSNTLQVLSFVPDLFNVKLTSLCNLESLQVEMKLLTPGLYVLLSHRKYKETGSRIHLTKDKLIPVGVVEFLLQTSPLAKVNFINNDD >RHN63371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50748832:50752080:-1 gene:gene26063 transcript:rna26063 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHRERKEEGVMAMATMREMPRSPEAKLGMRVEDLWDVQEAQLSPNDKLNACFESIPVSAFPLPAKNQEIEIKSDATLAEAVKILARHNILSAPVVDVDAPEDATWIDRYIGIVEFAGIVVWILHQSEPPSPRSPSTPTSASAIAAAANGNTFARELEALGLGSAATTSGNFFEDLTSSELYKNTKVQDISGTFRWAPFLALERSNSFLTMLLLLSKYKMKSVPVVDLGSGTIDNIITQPAVIHMLAECAGLQWFESWGTKELSDVGLPLVTPKQIIKVYEDEPVLQAFKEMRKKRVGGVPVIKRGGTTAVGNISLRDVQFLLTAPEIYHDYRTITVKDFLTSVRSYLEKNKNAFPMSSEFITCKRDCTVKELIQLLDKEQIHRVYVVDDDGNLEGLITLRDIISRLVHEPHGYFGDFFDGVLPLPANSRV >RHN62889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46533889:46538700:-1 gene:gene25522 transcript:rna25522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MSHFSFMARFLLPRTSFYSVPVPTIFENSKSSFSSISFKTHSKNDNFSDTKQQITTLCSKGHIKKAFESFLYGIWTEPRLFSILIQSCIPTNSVSLGKQLHSLIFTSGYSSDKFISNHLLNFYSKFGELNNAVKLFDRMPRRNYMSCNIMIKAYLEMGNIENAKNLFDEMTERNVATWNAMVTGLVKFGLNEEALLFSRMNVLGFVPDEYSFGSVHAYVAKCGYEFNSVVGCSLAHMYMKAGSLCEGERIIKWMPNCNLVAWNTLMAGKAQNRCFDGVLDHYCMMKMAGYRPDRITFVSVISSCSELATLCQGKQIHAEVIKAGASSVVTVISSLVSMYSKCGSLQDSIKAFLECEERDVVLWSSMIAAYGFHGQCEKAIKLFNDTEKENMAGNEVTFLSLLYACSHRGLKDKGLDFFDMMVEKYGLKARLEHYTCVVDLLGRSGCLEEAEAIIRSMPVSADAVIWKTLLSACKIHKNEEMARRVAEEVLRIDPQDPASYVLIAGIHASAKRWQNVSEVRRAMKDKMVKKEPGVSWVEVKNQVHQFHMGDESHSKFVEINQYLEELTSEMKMQGYVPDISSVLHDMDNEEKEYNLTHHSEKLAIAFALMTIPKGEPIRVMKNMRVCGDCHVAIKYISEIKNLEIIVRDSSRFHHFKNGVCSCGDYW >RHN50138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4431179:4433160:1 gene:gene34393 transcript:rna34393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:UGT85H10 MSNFEERKPHAVLTPFPVQGHINALLKLGKLLHLRGFHITFVNTEYNHKRLLKSRGPNAFDGLTDFSFETIPDGLTPTDGDGDVSQDLRALCLSIMNNFHQFFGVFLAKLNDSATAGLIPPVTCLVSDCNMAFTVDAAEEHALPIVLFSPCSASYFYSTFHITKLFQNGVLPLKDESNLTDGNLDTKVEWIPGLKSISLKDFPDIIRIKDPDVIKYKIEETDKCQRGSTIIFNTSNELESDAINALSSIFPSVYTIGPFSSFLDQIPENHLKSLDSNLWKEDTKCLEWLESKEPGSVVYVNFGSITVMSREKLLEFAWGLANSKKPFLWIIRPDLVIGGSQVLSSDFLKEISDRGLIASWCPQEKVLNHPSIGGFLTHCGWNSIMESICAGVPMLCWPFFADQPLSSRIICEEWEIGMKIDTNVKREEVEKLINELMVGEKGKKMRQKATELKKKAAEDTRLGGSSYMNLDKVIKDVMLKNY >RHN55839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24655964:24661009:-1 gene:gene31109 transcript:rna31109 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTALSPTLLCSFHSPLASKSSLYHLQWHIPKQVHNHYPFSSINSLSSAKPLVLSNSRRTHLCSAGRRKPTELATSSSDKEGDENLKRVLQIGLWGAEAVYILWLFLLPYAPGDPVWAISSDTVNSLVGLSLNFFFILPFVNLVGIRLIDAPVLHPMSEGLFNFVIGWTFMFAPLLFTDRKRDRYKGSLDVLWGLQMFLTNTFLIPYMAIRMNNADDDNAPIKNSKLGSIMTTGAPLVGLIGGGICLISLLWALFGRMDANFGGIVDRWEYLVGYLGSERLAYAFIWDISLYTIFQPWLIGDNLQNVQQNKVAVVKYLRYVPVVGLMAYLLCLEPKEG >RHN73389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15902654:15904008:1 gene:gene9193 transcript:rna9193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-Os family MSSSEAIAIEAVLVIVIVAKVAILICVCRKRNQVNGGTISPDSQFITLTMDKFLNDMEREKPIRFTGQQLRIATDNYSNLLGSGGFGTVYKGIFSNGTMVAVKVLRGSSNKKIDEQFMAEVGTIGRIHHFNLVRLYGFCFERNLIALVYEYMGNGSLDRYLFHETKVLGYEKLHEIAIGTARGIAYLHEECQHRIIHYDIKPGNILLDKNFYPKVADFGLAKNCNRENTHITMTGGRGTPGYAAPELWMPFPITHKCDVYSFGMLLFEIIGRRRNLDIKNTESQEWFPIWVWKKFDAGLLGEAMIVCGIEEKNKEIAERMIKVALWCVQYRPELRPIMSVVVKMLEGSLEIPKTFNPFQHLIDGTEFTTHSVQESNTYTTSVSSVMVSDSSIVCATPIMRKYEIELASSTA >RHN68731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38077795:38080340:-1 gene:gene17119 transcript:rna17119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain-containing protein MESKPSNTIKILYSYGGKIRLRSTDGELRYVGGHTRVLAVDRSISFSELMVKLEELCGSSVTLRCQLPNGDLETLISITNDEDLTNIIDEYERASLKLTHPLKIKAILSQPKSSLKVSPDLSSSSSNASLSPARSPHTSAESLPYAVAYRIGRHSRSPRAPVGYSIGGVRNGSAKACCYMGQLQGSPRSPRPVYYGPRFNNYCH >RHN74759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36478005:36482376:1 gene:gene10871 transcript:rna10871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-oxo-beta-amyrin 30-oxidase MATTTTIILFTLMTLSLIWAWRILNWLWLKPKKLEKLLREQGLKGNSYRLLVGDMNDLLKMRKEATSKPMNLSDDIVPRVYSFVHQSVTKHGKNSFIWFGPTPRVNITDPDQIKDVLNKIYDFQKPNANPLVRLLANGLVSLEGEQWSKHRKIINPAFHLEKLKESFPLQDIESKCIMLPIFHKSCDDLISKWEKMLSSDGSCEMDVWPFLQNFASDVISRAAFGSSYEEGKRIFQLQTEQAELTTKIMMKVYIPGWRFLPTATPRRMKEIDRDIKASLTDMINNRERALKAGEATKDDLLGILLESNHKEMEEHGNNKDVGMSLDDVIEECKLFYFAGQETTSVLLVWTMVLLSRYPDWQARAREEVLQVFGNNKPDFDGLSHLKIVTMILYEVLRLYPPVIALARRVHKDVKLGNLALPAGVQVFLSIIMVHHDIELWGEDAKVFNPERFSEGVLKATNGRNSFFPFAGGPRICIGQNFSMLEAKMAIAMILQRFSFELSPSYAHAPATVITLQPQYGAHIILHKLEL >RHN65858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5879663:5880289:1 gene:gene13750 transcript:rna13750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MKIFQILFLFTFFSVISHASVNDFCVADVKAPNTYSGYPCKPVAKITSDDFVFHGLVAAKTNNSFKIGVTSATVTNFPALNGLGISAMRVDMDEGGLSPMHTHPDATELGIVVQGEFTAGFLTPTSFYSKVLKAGDVFVVPKGMLHFAINSGKGKAIGYVSFSSENPTIHTLDSLLFANKLPSDLVAKTTLLDLEQVKKLKARFGGSG >RHN42098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35383956:35384319:1 gene:gene48493 transcript:rna48493 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEIKLMLLKMHQRKLQLLKSPRLSRRSIISKIHIVGAYRYKLIFVSTIIP >RHN76236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48781973:48783462:1 gene:gene12529 transcript:rna12529 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPALLSTPGLGFGYTNRSIPGSSPLMVGNSTTSSSISTELELLMLSILTKSPCTLNFHAGILNGGGGGGGGGIEFNGDSLPVITEKSSSFVFDIIIAET >RHN53724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3579221:3579662:-1 gene:gene28663 transcript:rna28663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKLESNSEKSIVISQLLLLSEDLVIDILSRVSLKSLLTSARYVCKSWATIINSSNFAIQQCTHSKPGLLVENKSMSYYFLDIKDDLNGHFERIDLGTYSGMGSLRSTCDGILLF >RHN43360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45159878:45164429:1 gene:gene49920 transcript:rna49920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acid--amino-acid ligase (peptide synthase) MALTFVTLPHFISPTTIKPNSTTTILKPKHFLLQFPSRPLSYPFLPLRPPSAIAPDGKFYPNPADDDPPEVGEDSAHGFTKYQQIQLQADRARLREEEDFKNNQATYLSAIADSHDAPDEPSSIDSAEDDLFGEIDKAIALKRKEFVKQGLLKPNPAKSEVEAVEELQPHELDDVEEIERLRELKVNSDESPGDFEEDGDLSLKSESSFDLDFDSLGQSNKARIVEPKFKMSLAELLDESKVVPVSVYGNLEVEINGIQHDSRLVTSGNLFVCCVGRKNDGHMFLSEADKRGAIAVVASKEIDIEDTLGCKALVIVEDTNAVLATLAASFYKYPSKNMALIGITGTYGKTTTTYLIKSMYEAMGLRTGMFNSIASYVHGDNQLDSSYGVLDAVLVQNLMAKMLHNGTESVVFEASSRGLAQGKYDEVDFDVAVFTNLMGEEDEEEDRDAKAKLFSRMVDPERHRKVVNIDDPSAPLFISMGSPEVPVVTFALENKNADVHPLKFELSLFETQVLVNTPAGILEISSGLLGRHNVYNILAAVAVGIAVGAPLEDIVRGIEEVDAVPGRCELIDEEQAFGVIVDYARTPDALSRLLDSVRELQPRRIITVIGCCGEDERGKRPLMTKIATDKSEVTMLTSDNPKGEDPLDILDDMLAGVGWTMQEYLKYGENDYYPPLPNGHRLFLHDIRRVAVRASVAMGEEGDVVVIAGKGHETYQIEGEKKDFFDDREECREALQYVDELHQAGIDTSEFPWRLPESH >RHN68630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37289214:37290303:-1 gene:gene17006 transcript:rna17006 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFVDRKLVGEMAKHIALDTVFLSFQPKCKQILQPCLFWVPMKKKNRVCHIGPYKNVLQKHQRMLVQR >RHN66014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7633569:7636319:-1 gene:gene13923 transcript:rna13923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAELIAGAFLSSFFQVTLDRIASRDFKDLFNKKLINKLEITLNSINQLLDDAETKKYQNQNVKNWLDRLKHELYEVDQLLDEFDTHVQRKSKVQHFISAFTNRFESRIKDLLDNLNTLAEQKDVLRLSERSYESAVSLQSSKRSPTASLVDESCIYGREGDKEEIINYLLTNKDNSKQVSTISIVGLGGMGKTTLAQLVYNDRRIQETFELKAWVYVSEYFDVIGLTKAILRKFGSAENSEDLDLLQRQLQEKLTGKNYLLVVDDVWKLNEESWETLLLPFNYGSSGSKIILTTRDKKVALIVKSTELVDLEQLKNKDCWSLFKRLAFHGRNVSEYPKLESMGKNIVDKCRGLPLAVKTMGNLLRKKFTQHEWEKILEADMWRLTDDESNINSALRLSYHNLPSNLKRCFAYCSIFPKGFKFDRDELIKLWMAEGLLKCCGRDKSEEELGIEFLDDLESISFFQQSLNYLGDKRLFMHDLVNDLAKSESHEVCLQIEVDSVQNISERTRHVCCYLDLKDGAGILNHISKIKGLRSLLVLTRGYGKECMISNNLQHDLFSKLKYLRMLSFRDCGELRELSGEIGNLKLLRYLNLTQSLIERLPDSICKLYKLETLILEHCYKLTILPSKFYKLVSLRHLNLKGCNIKKMPKQIGSLNHLQTLSKFVVGEENGSNIQELGNLNRLQGKLCISGLEHVINPEDAARANLKDKKHVEELDMNYSDNFIGREVDVLDALQPNNNLKRLTIEGYNGSNFPNWITGCHLPNLVSLQLLSCGLCSHFPPLGQLPSLKNLSISYCDGIKIIGEEIHGSNLTHVPFLSLEVLKFEKLNNWEEWLCPEGFPLLKELTIRKCPKLERATLPQHLPFLQKLNITDCNKMEASIPKT >RHN41378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29645709:29646671:-1 gene:gene47696 transcript:rna47696 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTGSNNIEILRVQALSILCTPHPFLEFSGFAPIPDKVDPIRSRKQQIKNDTLFDFDGSSPVQDKVDPFCSTKQQIDHDAFLDFDGFSPAEDKVDIYNRSKEQRQDNDEENIEEGEFSFACTKVRGLHIFADEIFENGKIRQIPHTFDQSLFIYPTSNNNVSHLRPPLKKIFIKNSVNRHSMLGGISKESQNESLQNMTMVEIKASNECYEKSNSTGSSNLWKFRQNMNLRSNSDHKDSFVLLNASDPKNSRKPKVENIVNKKRKDEKHKNGLSAYEKLYVSNKTRKDSNKRRSFLPYKRQLLGLFTNMNGLSRNLHPF >RHN71690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1938606:1939214:-1 gene:gene7316 transcript:rna7316 gene_biotype:protein_coding transcript_biotype:protein_coding MASINFWSFILSLLLITFSSMTSIEGARNLVELPPLPNLPVPLPITQPQLPTIPNLGVPTLPQVPTVPQIPQIPTVPGVPQIPQIPGVPGVPKP >RHN64473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59389000:59390085:-1 gene:gene27292 transcript:rna27292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MFLLYIQRHSLLVGSVESFKTMEDEVVMLGFHLSMFDIRVRIALAEKGVKYEYREEDLVNIKSALLLVMNPVHKKVPVLIHNGKPICESLIIVEYIDEVWKDQTPLLPTDPHQRAQARFWADFVDNKVHEVAKKIWTGKVGEHEKEKEELIKNLKTLEEVLGDKPYLAGDTFGFPDIALIPFYRWFSTYETVGKMKLDCPKLG >RHN60491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27322640:27332340:1 gene:gene22807 transcript:rna22807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MASQRIGEIGLSESGPSSQHVPYGVLHGITTSTSPLMNQGSAFNFGELEEAIVLQGIKIRNDEGKASALFTSKPAATLEMFPSWPIRFQQTPRVVASLTSPSLTIPYGGSKSGGESTDSGSTELQFETNSPISVKASSSDHHNHAFQQETATDDGLRTGTSTQNQSKAKSPQQKKKGAVSTSEKTLDPKTLRRLAQNREAAKKSRLRKKAYVQQLESSRLRLSSLEQDLQRARSQGLFLGCGGGNISPGAAMFDMEYARWLEEDQRHMAELRAGLQASLGDNELRVIVDGYLYHYDELFRLKEVAVKSDVFHLIKGIWASPAERPFIWIGGFRPSELITMLTQQLEPLAQQQIDGIVDLNTSSFQAEEALSKGHEQLHNALVHTIAGGPVIDGMQQMVAAMGRISNLEKFVHEADNLRQQTLHQLCRILTVRQAARCFIVIGEYYGRLRALSSLWASRPRENMINDDNSCQATTELQMVQPSHSHFSSF >RHN50922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11860715:11863288:1 gene:gene35275 transcript:rna35275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MARRKVVNLFSIVMLCITIVQGRKTINTSNEFSASSLDNNDDGICKSMVETQGYTCEEHKATTSDGFILSIQRLPAGQSGKKANKPPVLIHHGLFCDGVSWLLNSPNESLPFILADSGFDVWLVSGRGSKYSSHSSLTPKDLGYWDWSWDELASNDLPASMQYVYDHTSQKIHYVGHSQGSLIAFVAFSQGKLLNITRSAALLSPIAHMSHITSKATKLAAEILLADVCPNCCLNTSRVQYYLEHVPQPTSTKNLIHFSQMIRRGTIAMYDYGIFNWVHYGQLLPPDYDFSKIPNDLPLFLGIGKLDMLSDEEDVNDLLNFEFKNHDADKLMKVVLENYAHADFILGVKAKQDVYDPMIDFFNAH >RHN80510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38685672:38689932:1 gene:gene4446 transcript:rna4446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine gamma-lyase MADTFLMTTTTAAAPAKRNRVDDETETKKKLATATQNGTVFNPATSLANARHEFGEHGGVNMSIEASVTFTVMEPETLRRMFAGELGPDRDFFVYSRHFNPTVLNLSRLMAALEGTEAAYCTSSGMSAISAAILQLTSTGEHVVASRTLYGGTHALLTHFLPRTCNITTTFVEISDIEMVDEAIIEGKTKVLYFESVSNPTLSVANIPELCRVAHRKGVTVVVDNTFTPMVISPVKLGADVVVHSISKYISGGADIIAGAVCGPASLVNSMMDLHQGAIMLLGPTMNPKIAFELSERIPHLGLRMKEHSNRALVFATRLKKLGFKVIYPGLEDHPHHELLKSIHNKDYGYGGLLCIDMETEERANKLMSYLQNYGQFGFMAVSLGYYETLMSCSGSSTSSELNSEEKVLAGISPGLVRMSVGYIGTLEQKWNQLEKAVSRLQEYGFPNKN >RHN76348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49568849:49580519:-1 gene:gene12658 transcript:rna12658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MEGSLSRSISRSLSRSSWRMEGVFASGRYSRRTSNLDEDEEALKWAAIEKLPTYDRLRTSILQTYAEEYGDQDHPNKVQHREVDVRKLDVNERQQFIDKIFKVAEEDNQKYLSKFRNRIDKVGIKLPTVEVRFENLSIEADCYVGSRALPTLPNVTLNMVESALRIFGISTAKRTKLTILKNVSGIIKPSRMTLLLGPPSSGKTTLLLALAGKLDTDLRVEGEISYNGYKLNEFVPRKTSAYISQNDVHLGEMTVKETMDFSARCQGVGTRYDLLSELARREKDAGIFPEAELDLFMKATAMEGTESSLITDYTLKILGLDICKDTIVGDEMQRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTYQIVKCLQQVVHLTEATIFMSLLQPAPETFDLFDDIILISEGQIVYQGSRDHVLQFFESCGFKCPERKGTADFLQEVTSRKDQEQYWSNRNIQYRYITVTEFANSFKHFHVGTQLQNELSLPFDKSTGHRASLVFKRYTVSKMGLLKACWDKECLLIKRNSFIYIFKSVQICIIAVICGTVFIRTKMHQRNEGDASVYIGAILFTMIMNMFNGFSELPLTIARLPVFYKHRDHLFHPPWTYTLPNFLLRIPISIFEAIVWVLITYYTIGFAPEASRFFKHLLLVFLVQQMAAGMFRVISGVCRTMIIANTGGSLMLLLVFLLGGFILPKRDVPNWWVWGYWVSPLSYAFNAFSVNEMFAPRWSKPSSDGFNSLGVATLNIFDVYSEENWYWIGVAALLGFTVFYNVLFTLALMYLNPVGKKQAIISEEEASEMETGGDSKEEPRLARKESNKGNNTKEVAMQRMGSRDNPTLESATGVAPKRGMVLPFQPLAMSFDSVNYYVDMPAEMKEQGVTDNRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGFPKNQETFARISGYCEQTDIHSPQVTVRESVIYSAFLRLPREVSSEEKMKFVDEVMDLVELDNLSDAIVGLPGVTGLSTEQRKRLTIAVELIANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFEAIPGVPKIKEKYNPATWMLEVSSIAAEARLGMDFAEYYKTSTLHQRNKALVSELSTPPPGAKDVYFSTQFSQSTFGQFKSCLWKQWLTYWRSPDYNLVRYFFTLTAALMVGTVFWKAGEKRGSTADLNMIIGALYGSIFFVGVNNCQTVQPVVSVERTVFYRERAAGMYSALPYALAQVICEIPYVFGQTIFFSVIVYPMVSFEWKVAKVCWFFFVSFFSFLYFTYYGMMTVSITPNHQVAAIFGAAFYGLFNLFSGFFIPRPKIPKWWVWYYWICPVAWTVYGLIVSQYRDVTIGISVPGETNKTAINKYIEDYYGFDPDFMGPVAAVLVSFAIFFAFIFAFCIKALNFQTR >RHN50614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8584658:8587078:1 gene:gene34922 transcript:rna34922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MIFLGLMNIVALTLCIIVLATCNHQAHASSHVFLGKKNDKSSVEGLCASAVTIHGYKCEEHEVITKDGYILSIQRIPEGRSEVKSNVTKKKEPVIVQHGVAVDGATWFLNSPKQNLPMILADNGFDVWIPNTRGTKFSRKHTSLDSSSKKYWEWSWDELVTYETPAIFDFVSKQTGGQKIHYVGHSMGTLTALASLAEGKWVNQVKSVALLSPIAYLSQMRTILGQVAARSLLSEVYNLINNTNSSYSWTWIPCGRNLLPLL >RHN53210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:89229:98564:1 gene:gene28096 transcript:rna28096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metalloenzyme, LuxS/M16 peptidase, peptidase M16C associated MYTRWRQYKRVIGNIMEIGSCSSNSTSMERAALVRSLSTTRYFSRTPSVLSARFSPPTLLLRRRSLSTSSTRLLSSSTSRPLFYFRNRNHFSTRASLVSSPDIGGGEVVKDEVARELGFEKVSEEFIPECKSIAVLFKHVKTGAQVISVSNKDENKVFGIVFRTPPNDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDVQTFQQEGWHYELNHPSEDITYKGVVFNEMKGVYSQPDNILGRASQQALFPDNTYGVDSGGDPQVIPKLTFEEFKEFHRKYYHPSNSRIWFYGDDDPTERLRILSEYLDMFDASSSPNESKIEPQKLFSKPVRIVETYPAGEGGDLKKHMVSLNWLLSDKPLDLETELALSFLNHLLLGTPASPLRKILLESRLGDAIVGGGLEDELLQPQFSIGMKGVSEDDIPKVEELIVNTLKKLVEEGFDTDAIEASMNTIEFSLRENNTGSFPRGLSLMLQSIGKWIYDMNPLEPLKYEKPLQDLKSKIAKEGSKSVFSPLIEKFILNNLHKVTVQMQPDPEKAAREEATEKQILQEVKASMTTEDLAELTRATQELRLKQETPDPPEALKTVPSLSLQDIPKEPIHVPTEVGDINGVKVLQHDLFTNDVLYTDIVFDMSSLKQELLPLVPLFCQSLLEMGTKDLTFVQLNQLIGRKTGGISVYPFTSSVQGKEDPCSHMIVRGKAMAGRAEDLYDLVNSVLQDVQFTDQQRFKQFVSQSRARMENRLRGSGHGIAAARMDAKLNAAGWMSEKMGGLSYLEFLQTLEKRIDQDWADISSSLEEIRKTVFSKQGCLINITADGKNLANTDKFVSKFVDMLPTSSPIATPNIWNVRLPLTNEAIVIPTQVNYVGKATNVYDAGYKLNGSAYVISKYISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSFLSYRDPNLLKTLEVYDGTGDFLRELEIDDDTLTKAIIGTIGDVDSYQLPDAKGYSSMLRYILGITEEERQKRRGEILSTSLKDFKQFIDAMEAVKDKGVVVAVASPDDVDAANKELSNFFQIKRAL >RHN77054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3579471:3584697:-1 gene:gene463 transcript:rna463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, alpha-ketoglutarate-dependent dioxygenase AlkB MDERPQPPSNNDPFLLNYQPSELQIASEFLSTWLPFLSKGLCRTCVQSLSDRINSISIAPESANKIENSEIKVDVEDNCDCHSLGSWNDGVQGNSISEAPSPRMSWADMAQEDDEFGEEEHDENDSNVGVVSGNNSGENRVVADKAVLPREQREYIRFMNVRRKKDFICYERVNGKLVNILDGLELHTGIFSAAEQKRIVNYVASLQEMGQKGELKDRTYSAPRKWMPGKGRQTIQFGCCYNYAVDKDGNPPGILHHASIDPLPDLFKVIIRRLVKWHVLPTTCVPDSCIVNVYEEGDCIPPHIDNHDFVRPFCTVSFLSECDILFGSNLRIAGPGKFDGSFAIPLPVGSVLVLNGNGADVAKHCVPAVPTKRISITFRRMDESKRPAGYIPEPDLQGIQPLAYEAEQEEKKSTVGENGENRPNNHYMRRDNRRGGRNDGMGSAPRSDRFSEPREWTQNSPRSAPTRNNRYSEPREWNQNSQRYAPRNDRFSEPRNDRFSEPRESPQSSQRSANRWSRVRSSS >RHN64696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61068183:61073730:1 gene:gene27540 transcript:rna27540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MRFLEFGCVLLIVVLALVVSVKGEIYIVTVEGEPIISYTGGIDEFEATAVESDEKIDTTSELVTSYGRHLEKRHDMILGMLFEQGTYKKLYSYRHLINGFAVHISPEQAETLRHAPGVKSVARDWKVKRLTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGFVDSGIYPHHPSFATHNTEPYEPVPRYRGKCEVDPDTKINFCNGKIVGAQHFAQAAIASGAFNPSIDFASPLDGDGHGSHTTSIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKALYRLFGGFVADVVAAIDQAVYDGVDILSLSVGPNSPPAAAKTTFLNPFDATLLGAVKAGVFVAQAAGNGGPFPKTMVSYSPWIASVAAAIDDRRYKNHLTLGNGNILAGIGLSPSTHLNRTYTLVAANDVLLDSSVTKYSPTDCQRPELLNKKLIEGNILLCGYSFNFVVGTASMKKVSETAKALGAAGFVLCVENISPGAKFDPVPVGLPGILITDVGNSKKLIDYYNISTPRDWTGRVKSFKGLGKIGDGLIPILHKSAPQVALFSARGPNVKDFSFQEADLLKPDILAPGSLIWAAWSPNGTDEANFIGEGFAMVSGTSMSAPHIAGIAALIKQKHPHWSPAAIKSALMTTSTTLDRAGNPLLAQQTSETEAIKFVKATPFDYGSGHVDPTAALDPGLIFDAGYEDYLGFLCTTPGIDVHEIRNYTHVPCNTSMGKPSNLNTPSITISHLVGTQVVHRTVTNVAEEETYVITARMEPAVAIEVNPPAMTINGGTSRQFSVTLTSQSVTGSYSFGEVLMKGSRGHKVRIPVVAKGFPR >RHN52771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38632714:38634340:1 gene:gene37476 transcript:rna37476 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLIHIICFFLLTTLLQTLHCQPQQPQTNLNYSLCREESYNYGDQLSNISYPFWGHNRPSHCGGGDLFYLNCFEDQRKNFTSTILLGSQNFTVLNINLTTYTIKMRRTDLADEVSI >RHN82183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51762539:51766809:-1 gene:gene6322 transcript:rna6322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endo-1,4-beta-xylanase MMKVATELCIFLFNTSCLVLISGLQLQSMPYDYSATTECVPEPQRAQYGGGIIVNPGFDHNIKDWTVFEHGTIKERTSNDGNTFIVVSNRTQPLDSLSQKVQLEKEMIYIFSAWFQLSEGSDTISVVFKINGSELVKGGHVIAKYGCWSLLKGGIVAKFSSPAEILFESKNPSPGVELWTDSVSLQPFNKTQWRSHQADSIERVRKSKVTFQVNHLNETSLEGATVVIKQTKADFPFGCGMNYHILTNIEYQKWFVSRFKYTTFTNEMKWYSTEKIQGQENYTIPDAMLKFAKENGISVRGHAILWDDERFQPQWVKSLSPEELREAAAKRMKSVVSRYSGQLIAWDVVNENVHNRFFEDKLGENASAVYYSTAYYLDPNTSMFMNEFNTIEFSPDQVASPPNYIRKLKQIQQFPGTTGMLLTIGVQGHFSRGVPNIAYMRSGLDLLGATGLPIWLTESSVDSNPNQAMYFEEILREAYSHPDVEGIIMFVGPAQAGFTNTQLADANFQNTPTGDVVDKLIGEWGTGTHTAIADSRGMIDISLHHGDYDVTVTHPLIRYSKKLNISVRKGFSPETIHVKMHA >RHN54721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11581457:11581726:1 gene:gene29799 transcript:rna29799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S13 MNMRAGKLSAAELDNIMTVVANPRQFKVPYWFLNRKKDYKDGKFSQVVSNQLDRKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTTTTG >RHN60861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31098284:31098655:1 gene:gene23248 transcript:rna23248 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNFNITFSTTPDLQQHNHLGEYESVPQLNSSGGGGGGGNYNSCSSGCASYLGSPDRLMQRSISSHSLQKNDVPHHHPFSTLFAELLDQENGPVRRVYSTGDLDQVILRLQYHLPLKSTFNG >RHN68372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34931857:34933510:1 gene:gene16715 transcript:rna16715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MAKHCSKDSSAVTILSKCTVFPDQKSTLADLKLSISDLNMICCHYIQKGNLFTSPSLPSQTLVPHLKTSLSKTLSIFPPLAGRFVTDSAGHIFITCNDSGVDFIHASATDLTITHLLSPPDVHPAFKQFFPFHRKINYTAHFSPILAVQVTSLADGIFIGIAVSHAVTDGSTFWNFFNTFADISRGLTVANRIPYFRRESILMSKVPVQLPEGEIKVSFNADAPLRERIFTFTRESIQKLKATVNKNINHRTSPENADVAEIISKMKNDDAQMKAVAERVTVETTEISSFQSLNALMWRCVTRARKLDSSKTTTYRNAVNVRSRLEPKLPEYYFGNAIQSIATYASAREVVENDLTWSAMQLSKSVKEYDSATVRRVAGKWDVEPKCFPMGNHDGATLQMGSSHRFPMYENDFGWGRPLAVRSGGANKFDGKMSAFPGRKGGGAVDVEVLLAPETMARLESDEEFMAYASCQQ >RHN76571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51333364:51333642:1 gene:gene12919 transcript:rna12919 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIASEYTTVVQYKNDKESSNIGSLFCVASSSYSYMKLLADDLGRFQTFASSSSSPLSNPTNSTTQTIASSGEDVRSLRRRLTNSNRVST >RHN59596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12344540:12346731:1 gene:gene21647 transcript:rna21647 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGVGTEREYERNGSATKLNVIAMEADGYKLQCTLFGTYVDELNTFLATGETANVVVSIQLAKVKTIYTFKIV >RHN71212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57265411:57266864:-1 gene:gene19865 transcript:rna19865 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSPKKLTSPINKWFSDINWGLVMLIIPLLSIIIFFSFHAPTINTLSSFSSVKNLLFNIPYINTANSPSKDELLRSKIAVCLVGGARRFELTGPSILEMILKEYPNSDLFLHSPLDSDSFKFSFLKFAPNVAAKGKKILINLKNESIYLSIFIYKYERHCTNPKQHNLIATPLYLMPNQSATQPKLIPTAQIRDTISKQTH >RHN60569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28334577:28343748:1 gene:gene22896 transcript:rna22896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADALLGVVSENLTSLLQNEFATISGIRSKARKLSDNLVHIKAVLEDAEKKQFKELSIKQWLQDLKDAVYVLGDILDEYSIESGRLRGFNSFKPMNIAFRHEIGSRFKEITRRLDDIAESKNKFSLQMGGTLREIPDQVAEGRQTSSTPLESKALGRDDDKKKIVEFLLTHAKDSDFISVYPIVGLGGIGKTTLVQLVYNDDRVSGNFDKRIWVCVSETFSFERILRSIIESITLEKCPDFDLDVLERKVQGLLQGKIYLLILDDVWNQNDQLESGLTPDIWTRLKSVLSCGSKGSSILVSTRDKDVATIMGTCQAHSLSGLSYSDCWLLFKQHAFRHYREEHTKLVEIGKEIVKKCNGLPLAAKALGGLMVSMNEEKEWRDIKDNDLWALPQEKSILPALRLSYFYLTPTLKQCFSFCAIFPKDREILKEELIQLWMANGLISSMGNLDVEDVGNMVWKELYQKSFFQEIKIDEYSRDIYFKMHDLVYDLLHSVVGKECMYLEDKNVTNLSRSTHHIGFDYTDLLSINKGAFKEVESLRTLFQLSDYHHYSKIDHDYIPTNLSLRVLRTSFTHVRSLESLIHLRYLELRNLVIKELPDSIYNLQKLETLKIIRCDNLSCLPKHLACLQNLRHIVIEDCWSLSRMFPSIGKLSCLRTLSVYIVSLKKGNSLTELRDLKLGGKLSIKGLKDVGSISEAQEANLMGKKDLHELCLSWESNDKFTKPPTVSAEKVLEVLQPQSNLKCLEINCYDGLWLPSWIIILSNLVSFELENCNEIVQLPLIGKLPSLKKLTISGMYNLKYLDDDESRDGREVRVFPSLEVLDLFCLQNIEGLLKVERGEMFPCLSKLKISKCPKLGMPCLPSLKSLDVDPCNNELLRSISTFRGLTQLSLLDSEEIITSFPDGMFKNLTSLQSLVLNYFTNLKELPNEPFNPALKHLDISRCRELESLPEQIWEGLQSLRTLGISYCKGLQCLPEGIQHLTFLRTLKIWGCEGLQCLPEGIQHLTSLELLTIGYCPTLKLRCKEGTGEDWDKIAHIPKRDIREF >RHN56282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29487551:29491171:1 gene:gene31654 transcript:rna31654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDSEWETLHTILTKSLTILSWPPITLLCPLYVSIRTLESDCRSSNQRCLVFWVLFAFSMIIEREFAVLFSWLPWWSHVKALATILLLIPYFGAAPYIYKLLIKCYGTGNICAWTMTIFNLKITHFELSEDGNILSESDTGIKAFLESDDNSKSVEESDDNSKSVEVSAQTIITTHLQEKKLLVYQGRDDVRADCDKTSSGYYISKKKVQKEWSCALCQISTTSENCLGSHLQGKQHKAKVKELRVGLHAKNIPYVLSFTQERIKGMVLLKNLNQIANILNPVSRSIIWCEWKKPEIGWTKLNTDGSVNKETAGFGGLLRDYRGEPICAFVSKAPQGDTFLVELWAIWRGLVLSLGLGIKSIWVESDSMSVVKTINKMQQCPKAETCVKKIWKLLSKFDESRISHSWRETNRAADHLAKMAVCGNDVVLWPSDFSHSLCNIIQDDARGTKYLRR >RHN62650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44944068:44946338:1 gene:gene25245 transcript:rna25245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative class I glutamine amidotransferase MEKMGGSGKRFGVLLCADDSEYVKKMYGGYFGVFVRMLEEEGESWDVYKVARGEFPDDEDLNLYDGFVITGSCSDAHGNDTWVSQLLNLLKKLNDMNKKILGICFGHQILGRAIGGKVTRSPTGWDIGVRNITLSPSLPSPLSSLELPTKLSIIQCHRDELRELPAKAEVIAKSDKTGIEMFRYGDHIMGIQGHPEYSKDILLNIIDRLIQRNFITENFAMRLKEKAGMWEPDKEAWKRLCISFLKGRYCERNGIENMNIGGIF >RHN40537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17298641:17302055:-1 gene:gene46697 transcript:rna46697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MVLVGKLITELGIKAPGEKFFKLFASELHEVQKVCERIHHAKLHEGEDWHHNDSVKHWTIVIDGEVHTCNEQVEEVDEENKKITFKLFGGDIENYKVFNVILEVIIKDNGSSAVRWTIGYEKKNEDIDTPNGWMDYLSKCTRDIDGHLVKGENVAL >RHN68528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36256840:36258441:-1 gene:gene16892 transcript:rna16892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol synthase MEVKRVQTLACNQLKELPPQFIRLANERPENTKAMEGVTVPMISLSQPHNLLVKKINEAASEWGFFVITDHGISQKLIQSLQDVGQEFFSLPQKEKETYANDPSSGKFDGYGTKMTKNLEQKVEWVDYYFHLMSPHSKLNFEMWPKSPPSYREVVQEYNKEMLRVTDNILELLSEGLELESKTLKSCLGGEEIELEMKINMYPPCPQPELALGVEPHTDMSAITLLVPNDVPGLQVWKDNNWVAVNYLQNALFVHIGDQLEVLSNGRYKSVLHRSLVNKERKRMSWAVFVAPPHEVVVGPLPPLVNDQNPAKFSTKTYAEYRYRKFNKIPQ >RHN59107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7527758:7528643:1 gene:gene21096 transcript:rna21096 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEQEDCSVPCILSCFFLHQLALLENRNKCCSYNTTQTWAL >RHN50979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12596629:12597669:1 gene:gene35338 transcript:rna35338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MYKDLKSDAPVRYPHDLFDRIWESDSVKKAIYLVDFADGTEKIATNVSIDVNGDEMPPVKVMQTAVVGTSGFLKYRLNLDGFPAVGCAFSYLAEIEDFLQNESRKFRLVLPGQPSVSKAIVNIKEIARGKYRMYQPGFIHLENNQLTGVLPATLGNLPNLKELYVENNMLSGTVSSELLSKDLIIK >RHN81313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44775090:44780709:-1 gene:gene5331 transcript:rna5331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MENDAGSPQGPVTCGSWIRRPENLNLVVLGRSKRGNSCPALLQIFSFDPITVSLSTSPLTNFVLEAEEGDPVAIAVHPSGDDFMCSLSNGSCKLFELYGHEANMKLLAKELTPLQGICSQTCITFSVDGSKFAAGGSDGHLRIMEWPSMRIILDEPRAHKSVRDMDFSLDSEFLASTSTDGSARIWKVEDGVPVTTLSRNSDEKIELCRFSKDGTKPFLFGAVQKGDKSLTAVWDMSSWNKIGHKRLLRKSASAMAVSHDGKYLSLASKDGDICVVEVKKMQIHHYSKRLHLGTTIATLDFCPSERVVLTTSVEWGALVTKLNVPKDWKEWQIYLVLLGLFLVSAVAFYIFFENSDSFWGFPMGKNQPARPRFKPMMRDPQSFDDQWGPVDM >RHN54722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11588709:11589137:1 gene:gene29800 transcript:rna29800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S13 MFPAFRNLFFYIWRRFANICCKKADVDMNMRAGKLSAAELDNIMTVVANPRQFKVPDWFLNRKKDYKDGKFSQVVSNQLDMKFRDDLERLKKIRNHRGLRHNWGLRVRGQHTTTTG >RHN68308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34474153:34478454:1 gene:gene16644 transcript:rna16644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative enoyl-[acyl-carrier-protein] reductase (NADH) MGWRGLLGFEYGIIQAPLGPDISGPQLVAAVANAGALGLLRAPDWESPDYLRELIKQTRTLTDKPFGIGLVLAFPHEENLKVILDEKVAVLQTYWGDCTTELVAKAHSAGVKIVPQVGSFESAKQAIDAGADGIILQGREAGGHVIGQDNLISLVPRVVDLVGDRDIPVIAAGGIVDGRGYVAALALGAQGVCLGTRFLATEESNAHPIYKRKLVELNETEYTDVFGRARWPDAPHRVLQTPFFKDWRSLPAHESEANQPVIGHSTIHGVEKEIRRFAGTVPNMTTTGDLESMCMYAGQSVGLIKEILTAGEVIKRLVEGAQHIIQQNFK >RHN62512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43989007:43998081:1 gene:gene25099 transcript:rna25099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MNLNIQDSYAASLVMSEGESIHDFCWYPYMSASERLIVLYSLMNVSDPVTNVFATTTRDHPIHLWDATSGQLRCTYRAYDAMDEITAAFSVAFNPSGTKIFAGYNKCIRMFDLHRPGRDFKLHSTVKDKKEGQTGIISALAFSPSHTGMLALGSYSQTTAIYTEDNMELLYVLHGQEGGVTHVQFSRDGNYLYTGGRKDPYILCWDVRKAVDCVYKLYRSAENTNQRILFDIDPSGQHLGTGGQDGSVHIYDLQTGQWVSSFEAAQDTVNSFSFHPFLPHAVSSSGHRRFVIPDENDEDLCLSGRENCVSVWSFCYDSKMEDFKGDDSFNNQSGSGSFD >RHN49681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:263449:263886:-1 gene:gene33885 transcript:rna33885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MVSLSKFALSVSMLLAIIFVFQLKGGNSFSILKPVVHMYITNNLTNGEQLGVHCKDKDHDIGYRAIHFQEPYAFTFRPAFFISNTLYFCGFNFGSESHYFDVYVQDRDEKAVDKECHWQINKYGPCRVNVLVNPNSIECFPWPSD >RHN58940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6075195:6075938:1 gene:gene20897 transcript:rna20897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQIVKNMVKTPKLVYVLILFLSIFFSITVSNSFNSKIVFTDCKTDKDCQNHRGFNFRCRKGNCVAKIR >RHN57285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37830682:37830912:-1 gene:gene32808 transcript:rna32808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetylvindoline O-acetyltransferase MYEADFGWGKPIWITTTGCSSKNIIFLMDTIDGDGIEALVNMKDNYMAKFEHDFELFEYTSLDPNNVRDDDCVNCS >RHN53380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1317623:1322356:1 gene:gene28282 transcript:rna28282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WEB family protein MASKSRSGLSETLPNKASSPATPNKATPASTLSKVPPATPNKTSPATPRVSKLGRGVSKPESESPSPLQTSRLSAEKASPRSLNSKPIAERKSPRPTTPADKHTPRAVAKSSELQTQLNVAQEDLKKAKEQLIQAEKEKEKAINELKEAQRLSEEANEKLREAMVAQKRAEDDSEIEKFRAVELEQAGIEAAQKKEEEWQRELESVRNQHALDVSALLATTNELQRVKQELVMTCDAKNQALSHADDATKIAELHVEKVEILSAELIRLKGLLDSKLETEASENNTVLELQTEIEALKHELEKAKGYDEKLAEKETLIEQLNVESEAAKMAESYARSVLDECRKKVEELEMKVEEANQLERSASLSLETATKQLEGKNELLHDAESEISSLKEKLGMLEMTVGRQRGDLEDAERCLLAAKEENIEMSKKIESLESEIETVSKEKAQALNNEKLSASSVQTLLEEKNKLINELEICRDEEEKTKLAMDSLASALHEVSAEARDTKEKLLANQAEHESYETQIEDLKSDLEASKEKYESMLNDAHHEIEDLKSDLEASKEKYESMLNDAHHEIDVLTSSIENSKMDILNSKAEWEQKEHDLVECIKRTEEENSSLGNEVNRLISLLKKTEEEANVKREEETQLKENMKEVEAEVIHLQEALKEAQAESMKLKESLLDKENEFQNIFQENEDLRSRESATIKKVEELSKSLEEATTRNTNEENGDLSDSEKDYDLLPKVVEFSEENGHGGEGGIFKEELSVSAKEENIVLDDKFEKTESPKPENVNGKLKEEDERKEKDDSVELKMWESCKIEKKEFSPEKGAEPEESFEEEVESKTDGGETNGASVTENIGDGGSSPTKEQVIKKKKKPLLGKFGSLLKKKSGSNSNHK >RHN52962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40713978:40714121:-1 gene:gene37704 transcript:rna37704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor EFTs/EF1B MQVAACPQVQFVSIEDIPETIVTKEKDLEMQREDLALKPENIREKIV >RHN62551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44313031:44316003:1 gene:gene25142 transcript:rna25142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MYNHDLPWWRGGHWNGATLIGAPDMKRDMAILNVSFLEDDDNYVAFSYNMFAKSVITRVVIQQSGFLQTFRWDSQTGQWSRCWSEPSDECGNYGTCGSNDGTGGCVRKKGSSVCENGEGFIKVVSLKVPDTSVAVAKSGLSLEECEKECLQNCSCTAYSIADVRNGGSGCLAWHGDLIDIQKLNDQGQDLFLRVDKIELANYYRKRKGVLDKKRLAAILVASIIAIVLLLSCVNYMWKKKREDENKLMMQLNQDSSGEENIAQSNTHPNLPFFSFKTIMTATRNCGHENKLGQGGFGSVYKGSLVNGQEIAVKRLSQNSGQGKEEFKTEVKLLVKLQHRNLVRLLSCCFEKEERMLVYEYLPNKSLDLFIFSHHWIGANVLKLCGIARGVLYLHQDSRLKIIHRDLKASNVLLDAAMNPKISDFGMARIFGDDDEIQAITKRVVGTYGYMSPEYAMEGRYSTKSDVFSYGVILLEIIAGQRNTHSETGRASLNLIGHVWTLWTEGRALDTVDPALNQSYPSAIVLRCIQIGLLCVQENAINRPSMLDVVFMLANEIPLCPPQKPAFLFNGSKYLQESSTSGGGSSVNEVTETTISAR >RHN63696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53276319:53277329:1 gene:gene26430 transcript:rna26430 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEDVENEGGGGGGGGGIDEIPCFVGGTVDGGGGMAEISYLGGGIGGGGGGGGGGGLDGATAVSTSENFDVISLLAKGRAGGESGKEPQVSPTIDEATDFQEGGGGGGGG >RHN41041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26342625:26350533:-1 gene:gene47314 transcript:rna47314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CAAX amino terminal protease MVSISNSIVVTSSLYSPSSLLPRTLFHSHKLSSLNQSSAFLSHNYRLNSKRILPSVCFFNSRDNKSDTKLQDQDSRSEWPILRRWEVPWEWQTVSLTSLACGLGFVLTGLAEATALPYLGIRPDMLSLDEKAELLFIDQGITTAAVLGIIYSVFNTYQPLPEGFFKYDLREPFNLQKGWLLWAGVGLVGALVSIGLTGVAVSFFNGETPERETDALVRLLPLIGSSSISTACLVGITGVFAPLLEETVFRGFFMTSLTKWVPTPVAIILSAAVFALAHLTPGEFPQLFVLGSALGISYAQTRNLLTPITIHAFWNSGVILLLTVLQLQGYDIKELLQR >RHN79272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26901017:26903706:-1 gene:gene3040 transcript:rna3040 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNDSVDDEIEDSGNHFHSKMGLEYNSQKPFPQQNGFGIPVR >RHN60008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16375219:16378717:-1 gene:gene22190 transcript:rna22190 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHSFIIVQNLSKSSLSYPSHSNNRNYRHPLSIGVSSQQEVYNPPYHHVYRTHDVHPPKKLSPNLTRVVYLHPLP >RHN38399.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000028.1:18022:20335:-1 gene:gene50785 transcript:rna50785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ELMO domain-containing protein MLIQMLDLEAVKPRTLVGATFLKFLAENESAFDLLYCITFKLMDNQWLSMHASYMDFNTVMKSTRRQLEKELLLEDLTQLEDVPSYKLLTR >RHN69232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41986872:41992600:1 gene:gene17674 transcript:rna17674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA oxidative demethylase, DNA-(apurinic or apyrimidinic site) lyase MYGSENNREDSEPTAFRRAEKNYKLYYDNNNAPSKKKKNKQPIPVDLTEVLDFRSILECHHRNSELPNGVIVLQDKFSSPVFNLQNRPGFYFIPGALSIEKQCDLIKESLTDFPQPPNRTNHNAIYGPIQDLFVAANEGKVLVEEKSLVTSNEPNTDLSCRDGEKWKFTTEKEASLRKCRSVSGSSLLRKLRWSTLGLQFDWSNRNYNVSLQHNKIPEALCELAKQLAKPAMPAGVEFHPEAAIVNYFASGDTLGGHLDDMEADWSKPIVSLSLGCKAIFLLGGKSREDAPLAMFLRSGDVVLMAGEARECFHGVPRIFADKENAEIDHLETQLTHEDDICFLKYIQTSRININIRQVF >RHN81804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48997799:49002809:-1 gene:gene5894 transcript:rna5894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative presenilin/signal peptide peptidase MESLWKLVYLLEPAPVTLIATAVAVTFGAAFRALNYGKEMERNHHMSEASITLDRSQALMIPVMSSFSLVLMFYLFSSVSQFLTAFTAIAAASSLFFVLSPYAAYLKSQFGLADPYVSRCCSKSFTRIQAMLLFTCTFTVVAWLVSGHWILNNLLGISICIAFVSHVRLPNIKICAMLLLCLFVYDIFWVFYSERFFGANVMVSVATQQASNPMHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGGVVPGQSASDFMMLGLGDMAIPGMLLALVLCFDYRKSRDTINLTDLHSSKGHKYIWYALPGYAIGLVTALAAGVLSHSPQPALLYLVPSTLGPVIVISWMKNELLELWEGNIPNVNDKDREVIMS >RHN56521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31582592:31582903:1 gene:gene31929 transcript:rna31929 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIFECVIHHVGDFCSFMDFEYVGPEEVLECDPNYFSYFALLTTLKRLGYQTLQSLWYYDPALEDGMIVLNSDNGCRRMQNIAHQFDRVHLYVVHLCHNLKL >RHN47152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37531496:37533546:1 gene:gene41712 transcript:rna41712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c, class IA/ IB MELFEPTLPRNRAAGEKIFRSDCAQCHSNIGAVHKQGPNLNGLFGSQSGTTPEYSYCVANKNMSMNWEEKIMDDYLLNPNKYILDTKMVFPALKKPQERVDLIAYLK >RHN81185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43901872:43905222:1 gene:gene5191 transcript:rna5191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MRRHKRAKKTNIIDINMAGSNEVNLNESKSVVPLNTWVLISNFKLAYNLLRRADGTFNRELAEFLDRKVPANTIPVDGVFSFDHVDRNSGLFNRVYQPAPENVTTWGIIELEKPLSTTEIVPVIIFFHGGSFSHSSANSAIYDTFCRRLVSMCKAVVVSVNYRRSPEHRYPCAYEDGWNALNWVKSRTWLQSGKDSKVYAYMAGDSSGGNIAHHVAVRAAEEDVEVLGNILLHPLFGGEKRTESEKKLDGKYFVRLQDRDWYWRAFLPEGEDRDHPACNPFGPKGKSLVGLKFPKSLVCVAGLDLLQDWQLEYVEGLENSDQDVKLLYLKEATIGFYFLPNNDHFYCLMNEINTFVHPNC >RHN81363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45256393:45258292:1 gene:gene5388 transcript:rna5388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 77A3 MTSSLFSSSASLSTYYHLIFTVLAFLLSALIFFLTQKTKSKHHNLPPGPQGWPVVGNLFQVARSGKQFQDYVKDMKAKYGSIFTLKMGTRTMIIITDAKLVHEAFIQKGALYASRPPENPTRNIFSANKFTVNAAVYGPVWKSLRRNMVQNMLSSTRLKEFKSVRDKAMDKLINRLKVNAENNNGVVIVVRDARFAVFCILVVMCFGLEMDEEKLERIDQVMKNVLITLDPRIDDFLPILSVFFSKQRKRALEVRKEQVEFLVPFIEQRRRAFQNPGSDQTATTFSYLDTLFDIKVHEGKKSTPSNEELVSLISEFLNGGTDTTATAVEWGIAQLIDNPEIQAKLYQEINSIVGDKKVEEKDVEKMPYLQAVVKELLRKHPPTHFVLTHAVTEPTTLGGYDIPIDANVEVYTAGIGEDPKLWSNPEKFDPERFLSKGEEADITGVTGVKMMPFGVGRRICPGLAIGTVHIHLMLARMVQEFEWSAYPPGKKIDFSGKMEFTVVMKESLRAKIKPRGETLK >RHN56415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30713734:30714314:-1 gene:gene31812 transcript:rna31812 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMLCFPYSFEPYLATIIWMFPQLYLPILYLAFQPCRKQFSPKLRSLVFFL >RHN59972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15505660:15507673:-1 gene:gene22147 transcript:rna22147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MIYSLLQKCNSLIQMKQLQAHLITTGKFQFHPSRTKLLELFSVSPSGNLSLAGNIFRQIQNPTTNDYNAILRGLAQSSEPTQSISWYRDMLCCVQRVDALTCSFALKGCARALAFSEATQLHSQVLRFGFDADVLLLTTLLDVYAKTGFIDYARKVFDEMDKRDIASWNAMISGLAQGSRPDEAIALFNRMKEEGWRPNDVTVLGALSACSQLGALKEGEIVHRYVLDEKLDRNVIVCNAVIDMFAKCGFVDKAYSVFESMSCRKSLITWNTMIMAFAMNGDGYKALDLLDRMSLDGTCPDAVSYLGALCACNHAGLVDEGVRLFDLMKVSGVKLNVKHYGSMVDLLGRAGRLKEAYEIINSMPMFPDVVLWQSLLGACKTYGNVEMAEMASKKLVEMGSNSSGDFVLLSNVYAAQQRWKDVGRVREAMVDSDVRKVPGFSYTEVDGRIHKFINYDQSHPNSKEIYAKLDEIKFRIKEYGYIAETNLVLHDIGDEDKENALNYHSEKLAVAYGLISTVDGTPIQVIKNLRICVDCHAFIKIISNIYNREIIVRDRARFHRFKDGVCSCRDYW >RHN58561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2812507:2813776:1 gene:gene20483 transcript:rna20483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein 2 MASHDQSYKAGETMGRTEEKSNQMMGNIGDKAQAAKEKVQQTAQAAKEKTGQTAQAAKEKTQETAQAAKDKTQQAAQATKDKTQDTTGQARDKGYEMGQATKETAQSGKDNSAGFLQQTGEKVKGMAQGATEAVKNTLGMNNDEEDKDHFTTKTTTTTRRNVVD >RHN77178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4680483:4686057:1 gene:gene604 transcript:rna604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endo-1,4-beta-xylanase MKRFFTSCVSKFHSHSKHKKSHSHSQIMAGNISGPSVSNAANILLNHDFSNDLNSWHLNCCNGYVISSKAGGQGVNLMDSDCNYAVITDRNEGWQGLEQDITDRISIGSAYTVSAFVGVSGLSQESVDVKATLKLEYHDSATNYLFIGRSSVMKGSWEKLEGTFSLSTKPDRVVFYLEGPAPGIDLLIRSVEINCSIPNDNKFISTEACVSTGDESIIINPQFEDGLNNWSGRSCKIVLHDSMADGKIVPKSGKYFACATERTQFWNGIQQEITGRVQRKLAYEITALVRIYGNNVTNADVRATLWVQTPDLREQYIGIANVQATDTDWVTLQGKFLLNGPTSKAVLYLEGPPSGTDILVNTLVVKHAAKTPPSTPPAAQNVAFGVNIIENSNLSDDTKGWFTLGNCPLTVKTGSPHILPPMARESLGPHGILSGRYILVTNRTQTWMGPAQVITEKLKLFLTYQVSAWVRIGSSSNGPQNVNVALGADNQWINGGQTEVSDDRWHEIGGSFRIEKQPTKIMVYIQGPASGVDLMVAGLQIFPVDRHARFRYLKMQTDKIRKRDVVLKFAGLDSSSYLNTMVQVRQTQNNFPIGTCISRSNIDNEDFVNFLVKHFNWAVFANELKWYWTEPQQGNLNYKDADDLLTLCQKYKIQTRGHCIFWEVDGTVQQWVKSLNKNDLMTAVQNRLTSLLTRYKGKFSHYDVNNEMLHGSFYQDRLGKDIRANMFKTANQLDLSATLFVNDYHIEDGCDTRSCPNKYIEHILDLQEQGAPVGGIGIQGHIDSPVGPVVCSSLDKLGILGLPIWFTELDVSSMNEYVRGDDLEVMLREAMAHPAVEGIMLWGFWELFMSRDNAHLVNAEGDINEAGKRFLALKQEWLSHSHGHVNEQGQFNFRGFYGTYNVEIVTPSKKISKTFVLDKGDSPMEVSIDL >RHN78344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14271669:14272484:1 gene:gene1887 transcript:rna1887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MYREKNMAKTLKFVYVIVLFLSLFLATKNIDGRVSYNSFFRALPVCQTAADCPEVTRGRTYKCINNKCRYPKILKPIQ >RHN80284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36820858:36821810:-1 gene:gene4189 transcript:rna4189 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIQDVKYFFDQQFNLGKLRFFLGLEIANSYTCIFVNQRNC >RHN62523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44064530:44070107:1 gene:gene25111 transcript:rna25111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (non-electrogenic) MRNFQFFQRFSKNFRDYDSQFKLVLLCTTVSGGGLLAYGEAVATSESAVPEKKKVLVLGTGWAGTSFLRNLNDPRYEVHVVSPRNYFTFTPLLPSVTCGTVEARSIVEPVRNIFRKKHVDSRFSEAECLKIDAVNRKVYCRSNINNNLNEKEEFVVDYDYLIIAVGANVNTFNTPGVTENCHFLKEVEDAQKIRRTVIDSFERASLPSVSDEERKRILHFAIVGGGPTGVEFAAALHDFVSEDLVKLYPGVKDLVKITLLEAGGHILSMFDKRITTFAEDKFKRDGIDVKTGSMVTKVSDREITTKEMKNGGEITTIPYGMAVWSTGIGTRPFIKDFMTQIGQVNRRAIATDEWLRVEGTDNVYALGDCATINQRKVMEDIAAIFKKTDADNSGTLTLKEFQEVMNDICERYPQVELYLKNKQMHGIADLLKESKGDVKKESIELNIEELKTAFSNVDSEMKFLPATAQVASQQGTYLAKCFNRMEECEKNPEGPIRFRGEGRHRFKPFRYKHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYASKQVSWRTRALVVSDWMRRFIFGRDSSQI >RHN65674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4273709:4279569:-1 gene:gene13542 transcript:rna13542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor STY-LRP1 family MSMLGLRDLVLIAPSPSSLQHHHQQNQNQNQNQNQPISHDHNSNHSLPSSASLSVGFGIFPLLTATPCMPQQQSQNNEVQENPSNNNNFWNLRMCPEVVNLPKKGVITEEENHGKIAMMESEENGVYGSEYRVCQDCGNRAKKDCVFRRCRTCCKGRGYDCSTHLKSTWIPSTRRREREVEMFAGGGDGEGCSGVKRQKGLLGSSQNAAATSHSSNSNGTTPKSFATSSCHQDAGFKEGLPGHVNAPAVFRCHRVTAIGNGEDEFAYLATVHISGHVFKGFLYDHGVDGKNPMPCVSELQLGNNCSGKNGECSSAIGVPNNNAYPASAS >RHN42679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40229748:40231104:1 gene:gene49157 transcript:rna49157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MRNMMQQSLISTSFLLFAITFYTSTTLAQLSPIQSPTTSSPSPPLPSTTASTPLPATTATAPSPGLSTVPLVPTTPAGAPSPTITVPKGPTIDIINILQKAKRFSVLIRLLKTTQLINQLNSQLVSSPSGSGGLTIFAPEDSAFSKLKAGFLNSLTDRQKVELLQFHSLASFVSISNFDTLTNPVQTQAGDDARLQLNVTTYGGSQVSMATGAVNATVTGTVYTDSKLAIYQVDKVLMPLDLVLPAKAPALAPAPAKGLLPKAGKTNSSVADDGSGAGSDDGDGKDLPADISAAGSVMWVNAVVVFGMGLVGGVVL >RHN72268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6289439:6293692:-1 gene:gene7962 transcript:rna7962 gene_biotype:protein_coding transcript_biotype:protein_coding MELIYLLCSLVSISFTTLVLSLILPFHALLRRLVSSRAASSSSNDGDEPVSLYEGTVYHQRRHPVHHSFQYNVRYALIDLDRALHAPPNHLSPDEARQITDTNGPILLLTIPASVGYEQNPLSVYYCYDVEDSDTRLKKCIAEVTNTPWAERVTFIFNPHSDLVAKALHVSPFMDMLGSWNIKASDPGENLSISISVHHPEFGNYFTASLKAKRLCPTSASDHAVFFWLMPHKVAVWIYWHAIKLWWQNVKFIQHPRYNIPAYRDDALIRDRKLQCCGFSAQRGSNQDCLADEASPRHRWFKWTDAKWPWS >RHN42651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39990493:39993451:1 gene:gene49117 transcript:rna49117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MSSVSKTIPISSPYHTHKTTSNYAKKSHNRFIFFKQPRRTCLLHSTVCVSPSFTNTTHSVTQNQNAKINKFCEMGDLRNAIELLTKSKSYELGLNSYCSVLQLCAEKKSLEDGKRVHSVIISNGISVDEALGAKLVFMYVNCGDLVQGRKIFDKIMNDKVFLWNLLMSEYAKIGNFRESVSLFKKMQKLGVVGNCYTFTCVLKCFAALGKVKECKRVHGYVLKLGFGSNTAVVNSLIAAYFKFGGVESAHNLFDELSEPDVVSWNSMINGCVVNGFSGNGLEIFIQMLILGVEVDLTTLVSVLVACANIGNLSLGRALHGFGVKACFSEEVVFSNTLLDMYSKCGNLNGATEVFVKMGDTTIVSWTSIIAAYVREGLYSDAIGLFDEMQSKGVRPDIYTVTSIVHACACSSSLDKGRDVHSYVIKNGMGSNLPVTNALINMYAKCGSVEEARLVFSKIPVKDIVSWNTMIGGYSQNLLPNEALELFLDMQKQFKPDDITMACVLPACAGLAALDKGREIHGHILRRGYFSDLHVACALVDMYAKCGLLVLAQLLFDMIPKKDLISWTVMIAGYGMHGFGNEAISTFNEMRIAGIEPDESSFSAILNACSHSGLLNEGWKFFNSMRNECGVEPKLEHYACVVDLLARMGNLSKAYKFIESMPIKPDTTIWGVLLSGCRIHHDVKLAEKVAEHIFELEPDNTRYYVVLANVYAEAEKWEEVKKLRKRMQKRGFKQNPGCSWIEVGGKFNIFVAGNSKHPQAKKIDVLLSKLTMQMQNEDYSSMFRYVLINEDDMEKEMIQCGHSEKSAMAFGILNLPPGRTVRVSKNRRVCGDCHEMGKFMSKTTKMEIVLRDSNRFHHFKDGLCSCRGFW >RHN39927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11745868:11751219:-1 gene:gene46011 transcript:rna46011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, phosphoribosyltransferase MSNLKLGVEVVGAHDLMPKDGEGSASAFVELHFDDQKFRTTTKEKDLNPVWNEKFYFTIADPSKLPSLALDACVYHYNSKNNNPKIFLGKVRLTETSFVPLSDAVVLHYPLEKKITFSRVKGELGLKVFVTEDPSVRSTNVFPDQKPSMDSDQHSNKDQPPVSLTDSFLNMFSRKKNVPKHSFHSIPGSNQEEHKSSPPVAAKMDVDHVKHGMKSGPPQKIMHAYADSLSPFDYALKETSPSLGGGQVIGGRVIRGNKPSSTYDLVEPMRYLFVRVTRARDLPSKTGSLNPYVQVKAGNFKGTTKHLEKNQEPEWNEVFAFSRDNLQSTTLEVEVKDKGTILDETVGTVRFVLHDVPTRVPPDSPLAPEWYQIEKSGKKKKGELMLAVWFGTQADEAFPDAWHSDTLFPGGNSSVSHHQMRSKVYHSPRLWYVRVRVIEAQDLILSEKSQMSDAYVKVQTGNQILKTKPVQSRTKNMRWDQELMFVAAEPFDEPLILSIENRIGPNKDETIGAVVIPLTKVEKRADDRIIRTRWYNLEQSMSSAMDGEQGKMNDVFSSRIHLSVCLDGGYHVFDESTYHSSDLRPTSRQLWKKPIGVLELGILNVDGLHPMKARDGRGTSDAYCVAKYGRKWVRTRTLSNTLDPKYNEQYTWEVFDPATVLTVGVFDNGQVNGPDNKDLLIGKVRVRISTLETGRVYTNSYPLLMLHPSGVKKMGELHLAIRFSCYSMVDLMQLYFKPHLPKMHYKRPLNVMEQEMLRQQAVNVVASRLSRAEPPLRKEVVEYMSDTHSHLWSMRRSKANFYRLMTVFSGFLSVGRWLGEVSTWNHPMTTVLVHILFVMLVCFPELIMPTMFLYVFVIGMWNWRFRPRCPPHMNTRLSYTDGVTPDELDEEFDTFPSTKNPDVVRWRYDRLRSVAGRVQSVVGDLATQGERVQALVSWRDPRASSMFMAFCFVSAIVLYITPFQMPILMGGFYFMRHPMFRSKVPAAPVNFYRRLPALTDSML >RHN64056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56227128:56227898:-1 gene:gene26834 transcript:rna26834 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRKSRTNTVLRSLSPSPRFCNSQDSPSHYSSSSSTAFASSTSSFTSRSTSFFRASTSPTRVSLYGSSPSASSVRFSLDRSISPNRSISAVPRTGVKKQSSSQPKRTCMCSPTTHPGSFRCSLHKGFGSRAAVTPYSQNRLNARRSAMTNSLVRIRGVEGDLVKRALAALIRPSSHQQKRRGDFHPRPSRLSVMTKAQDS >RHN65704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4596358:4600625:-1 gene:gene13577 transcript:rna13577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, thioredoxin-like protein MDHSLSVSCHAATTPSPKRSWIPSCTTKAQQQPLKEIRVCTNRACRKQGAFQTLETLSGIAPPNVSVKSSGCLGKCGAGPNLVVLPDYLIIRYCGTAARCLETMVSLFGGGDNSLDALALRKMADVELEKKNFAEAELLLSQAIDLKPFGGIHVTFKCRSSVRLELGNYLGALQDANEALILAPEYSEAYICQGDAYLALNKFDLAEQSYLACLDIDPSIRQSKSFKARITKLQEKLASVNTS >RHN57303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37971132:37975774:-1 gene:gene32826 transcript:rna32826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MLVLIHLVITLKCEAIRRLKINSTRDRDAVPQSMPYPDRPGEPDCVYYLRTGMCGYGSNCRYNHPANISPVTQYGEELPERVGQPDCEYFLKTGTCKYGSTCKYHHPKDRRGAAPVVFNTLGLPMRQEEKSCPYYMRTGSCKFGVACKFHHPQQAASFGGAYPVAASPPSTTVTSSGFPYAGGFPAWSMPRMSYLSGQAIQSYVPPFLPSSQGIMPALSWSNYMGSINPAMPTGFIGSNLVYDYMNPAGETLSGGQAMNSSLPDRPEQPDCKYFMSTGTCKYGSDCKFHHPKERIAQTLSINPLGLPMRPGNAICSYYRIYGVCKFGPTCKFDHPVVAISQNYGLPSPTLSVFDASLLTNPRRLSTVQPAETSPSKQSTDKLQQSDTKAATEDSSKQADSTSTSRTPSTESLQE >RHN78498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15693617:15694263:-1 gene:gene2072 transcript:rna2072 gene_biotype:protein_coding transcript_biotype:protein_coding MIITQNIMSSSSISSLISSSLLKKTTIPITKPLYTTNLSSKKPPSLLCIPTSALFSNSNNIPEYPGKGPPEFPFKPETEPPSFPKENPTIPTREPEVDPSVPPEIVIDPPQFNPFHDPNPNPKPDAPKPPLTPPGQDMPFPIPDVVPPRPPPDVVPPCPPGPDIVPPPSTPPIPPPTGPSIIL >RHN68906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39512575:39529399:1 gene:gene17322 transcript:rna17322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MTVMMSAPIDQEDEEVLVPHADLPENNNHQPMEVVAQPETANTVESQPVPDPPQTRFTWRIDNFTRLNTKKLYSEVFVVGAYKWRVLIFPKGNNVDYLSMYLDVADSTSLPYGWSRYAQFSLAIVNQIHNKFTVRKDTQHQFNARESDWGFTSFMPLGELYDPSRGYLVNDTLIIEAEVLVRKIVDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPSQLDLDRENGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSEQWYKFDDERVTKEDNKRALEEQYGGEEELPQTNPGFNNPPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDIEGQMGKDIYFDLVDHDKVRSFRVQKQTPFNVFKEEVAKEFGIPVQFQRFWLWAKRQNHTYRPNRPLTQIEETQTVGQLREVSNKVHNAELKLFLEVEKGMDLCPIAPPDKTKDDILLFFKLYDPEKEELRYVGRLFVKCTGKPSEILTRLNEMAGYVPEEDIVLYEEIKFEPNVMCEPIDKKVTFRASQLEDGDIVCFQKAPLVDNEEQVRYPDVPSYLEYVHNRQVVHFRSLDRPKEDDFSLEMSRLYTYDDVVERVAQQLGLDDPSKIRLTPHNCYSQQPKPQPIKHRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHAIKDEVVSHTIRLPKQSTVGDVLDDLKTKVELSHPDAELRLLEVFYHKIYKVFPSNEKIENINDQYWTLRAEEIPEEEKNIGPQDRLIHVYHFTKDTAQNQMQIQNFGDPFFLVIHEGEALSEIKVRIQKKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHTDNAPKRSYAVNQNRHTFEKPVKIYN >RHN77828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9674687:9677397:-1 gene:gene1321 transcript:rna1321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MAETLRLAVAVIGNVASVSLYAAPIVTFKRVIRKKSTEEFSCIPYTIGLLNCLLFTWYGLPIVSNKWENFPLVTVNGVGIVLELAYVLIYFWYSSSKGKVKVAMIAIPILLVFCAIALASAFAFPDHSHRKQLVGSVGLGVSIAMYASPLVVMKKVIQTKSVEFMPLPLSLCSFLASVLWLTYGLLIRDIFVAGPSVIGTPLGILQLVLHCKYWKRKVVIEEPNKVDLPKLVSLENLDLEKGGLEKGNLEKNVTTS >RHN78431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15024153:15028248:1 gene:gene1987 transcript:rna1987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,4-beta-D-xylan synthase transcription factor C2H2 family MASSTNQPSKKSSLRNTGGSEQGGGKPGIKFARRTSSGRYVNLSKEDIEMSTDVAGDYMNYTVHIPPTPDNQPMDGNSVAMKAEEQYVSNSLFTGGFNSVTRAHLMDRVIDSEVTHPQMAGAKGSKCSICAGNIMKDERGHDVTPCECRYKICRDCFIDAQSDTGMCPGCREPYKVGEYEDDNQDYDTAALPLLAPPGSKNNMSVMKRNQNGEFDHNKWLFETKGTYGVGNAYWPPDDENGGDGMHQGVFDSSEKPWKPLCRKRSVPNGIISPYRLLIGVRLVVMCFFLHWRVTHPNKEAVWLWVMSITCEIWFGFSWILDQIPKLSPVNRSTDLDVLHEKFHVVTPTNPTARSDLPGCDLFVSTADPDKEPPLVTANTILSILAVDYPVEKLACYVSDDGGALLTFEAMAEAASFADLWVPFCRKHNIEPRNPDSYFASNVDPTKNKSRLDFVKDRRRVKREYDEFKVRINGLPESIRRRSDAFNAREEMKKMKQFKESGADPSKPIKVIKATWMADGTHWPGTWASSSSEHAKGDHSGILQVMLKPPSPDPLTRSANNNIIDFSDVDTRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAVLSNGPFILNLDCDHYIYNCKAVKEGMCFMMDKGGEDICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPFYVGTGCMFRRFALYGFDPPTGDWKMTKAKKKFFKKRKKSKKRSAEEDSETTMELNTKRSSEFDYYLDVDLLPKRFGNSVELAKSIPLAEIHGRPLADHLSIKYGREPGLLTSPRDPLEASTVAEAVSVISCWYEEKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSKNNAFLASKRLKLLQRLAYLNVGIYPFTSLFLIVYCFLPALSLFTGYFIVQTLSVAFLIYLLLMTVCLVALAILEVKWSGVELEQWWRNEQFWLISGTSAHLAAVIQGLLKVIAGIEISFTLTTKSGGEDDDDIYADLYIVKWTSLMIPPIVIAMVNVIAIGVAFSRTIYSAVPQWSKFIGGAFFSFWVLAHLYPFAKGLMGRRGKTPTIVYVWSGLIAITLSLLWIAISPAEGGTEKSASGNFQFP >RHN78341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14260948:14262859:-1 gene:gene1884 transcript:rna1884 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIQFYKYKTTNQPKGGAQQEKHSTAKHTDTTILTCKKSTESSNTKIPNTKTIIQHRTAISTTVSAITSVTNTSHKQTLKTAVTQHQSTHKHVITRSNSVTITKPS >RHN71488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:493954:498107:-1 gene:gene7094 transcript:rna7094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative KH-domain/beta-lactamase-domain protein, archaea MSSVKKRESNGGTINRETEDQLIVTPLGAGNEVGRSCVYMTYKGKTVLFDCGIHPGYSGMAALPYFDEIDPSTVDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTYATKAIYKLLLSDYVKVSKVSVDDMLYDEQDINRSMDKIEVIDFHQTVEVNGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAETPQFSPDVCIIESTYGVQHHQPRHTREKRFTDVIHSTISQGGRVLIPAYALGRAQELLLILDEYWANHPELQNIPIYYASPLAKKCLTVYETYTLSMNDRIQNAKSNPFAFKHISALSSIDIFKDVGPSVVMASPGGLQSGLSRQLFDMWCSDKKNSCVIPGYVVEGTLAKTILNEPKEVTLMNGLSAPLHMQVHYISFSAHADSAQTSAFLEELNPPNIILVHGAANEMGRLKQKLMTQFADRNTKILTPKNCQSVEMYFNSQKMAKTIGKLAEKTPEVGETVSGLLVKKGFTYQIMAPDDLHVFSQLSTANVTQRITIPYSGAFCVIQSRLKQIYESVEPSVDEESGVPMLLVHDRVTVKHESEKHVSLHWASDPINDMVSDSVVALVLNINRDLPKIVAESDATKIEEENEKKTEKVMQALLNSLFGNVKVGENGKLIINIDGNVAELNKESGEVESENEGLKERVRTAFRRIQSSVKPIPLSAP >RHN79101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22691065:22693535:1 gene:gene2807 transcript:rna2807 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLIKKTMILLYIISSLTYFYYFGLTWRAILCNQNSTLNWIGSKFLVQNWEIQEEFAQRDTIERRMKRLH >RHN72902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11676798:11677246:1 gene:gene8668 transcript:rna8668 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNILSSFDPLVSPPKDGVKIIQECFIDPLGSYVVYSPLNTQELNMAINGHDLSNVSLIIPSGFLISEDSKSLSKDSKSRGSLLTVAFQMHMPAPLITNFESAADASNALMTTVVQSIKHALLSGSELK >RHN45379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:18300127:18301494:-1 gene:gene39672 transcript:rna39672 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKGNRIACPELDAEGFSDDIQLYESSYNDQAKANYFRSKISISATKREEDIVLAPCPPSEKVCTMRPRGVKEIFHMYVVVLEEFGVKIPFTLFEMDVLHLLNVAPTQIHPNSWAFIRGFEILCDALDMLPSAGVFFHFYGTKGVDKGFWVPISAHPGKQLFPAFASNFKRDWKKSFLRVQAAKDSTVAVASVGGEVRFPLGWTSNPLAVSGYDYQKMTPYEQGVVGFLDRMLHTDIQKLLNKEGDSEDLELYLCESSHHILSLSFICILITNENLFIYAVPMLPLTGKERRKYLEALKEKNASAEHIASDPAGVLLRKGAKKKELGPSVEASGGDADKLSEKPTVDEMVTEEVADLTTSPTKKRKRTGKEIENNSSAETEAAFDTSLWHRDFNYRRYVEEHVPFSGVDKDAAFHGKFDELAQDAGTSVLRTLLYIQSMERKHEALEKEYQNS >RHN73157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13912068:13912616:-1 gene:gene8943 transcript:rna8943 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPDLVKIGLEGFALIDNFYGPPTKMSNNGGRQGQGRKGFQANQYQYNKEETVINSKDAAYSYGGIMVVNYPKTRPKNRWGNIFKAFKS >RHN58792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4943650:4948450:-1 gene:gene20738 transcript:rna20738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyridoxal 5'-phosphate synthase (glutamine hydrolyzing) MAVVGVLALQGSFNEHIAVLRRLGVKGVEIRKPEQLLTINSLIIPGGESTTMAKLAQYFNLFPALREFVQMGKPVWGTCAGLIFLADKATGQKTGGQELVGGLDCTVHRNFFGSQIQSFETELAVPELVSKEGGPETFRGVFIRAPAILDVGPEVQVLADYPVPSDKKLSSDSSVEDKKENADEKSKVIVAVRQGNILATAFHPELTADTRWHSYFLKMGNVTGEEASSSVVPAEVSTNNKLQSQNDLPIFQ >RHN48129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45256446:45257137:1 gene:gene42814 transcript:rna42814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKRGENMAENLKFVYLLILFISIFLVIIVSHSITPWLKKTCVTDKDCPKVAKINIRCRRGQCVQVF >RHN60880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31335784:31338304:-1 gene:gene23272 transcript:rna23272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L29 MAGVKVYELRQKTKQDLLNQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLNIAQVLTVISQKQKTALREAYKSKKYLPLDLRPKKTRAIRRRLTKHQSSLKTEREKKKEIYFPVRKYAIKA >RHN48265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46165937:46166509:-1 gene:gene42964 transcript:rna42964 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKRKLRVSPLVTLGNVHRRRQLGFGPASKVLRKMVCKLKSSWKKAMKWHRYSPQYSYDLRSYCLNFNDTHLNDHTHCIG >RHN65859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5888219:5888845:1 gene:gene13751 transcript:rna13751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MKIFQILFLFTFFSITSHASVNDFCVADVKAPNTNSGYPCKPVAKITPDDFVFHGLVAAKTNNSFKIGVTSATVTNFPALNGLGISAMRVDMDEGGLSPMHTHPDATELGIVVQGEFTAGFLTPTSFYSKVLKAGDVFVVPKGMLHFAINSGKGKAIGYVSFSSENPTIHTLDSLLFANKLPSDLVAKTTLLDLNQVKKLKARFGGSG >RHN78932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20087171:20089904:-1 gene:gene2600 transcript:rna2600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MEQKIFCCLLVYKKFKPHLVMVFGQLGYTLLYFITEASFNHGMSPYVYVTYRHVVAGFVMLPFAYFLEKNVRPKLTFSLFMEFFVLAVLGVSLTLNMYFVSFKYTSPTFIASMVNCIASLTFIIAVALRFEVLDLKNPHGISKVLGTLISLAGVMTMTLYKGPVMSNFWRPLFTIQPTIASSVNEKSQFKGSLLIVLCCVTWSLCFIMQASTLKRYPAQLSLTTWMCFIGAAQSAIFTVIAEHNNPSAWIIGLNIDLWSTIYGGIVVSGLLTYTQLWCTEKKGPVFVTMFNPLSTIFVAILAYSVLGEKLYLGSMIGALIVIMGLYLLLWGKEGDREVDFKTKGKLQCYSEDQECKI >RHN62245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41982851:41983570:-1 gene:gene24799 transcript:rna24799 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQFVQPPPPPIEVTQQAYTTQSGHGSVGPVIAVLAVITVLGIIAGMIGRLCSGRRVMGYGEYDIESWVETKCSSCVGGRIVPPPPPRPPVNEPEAAAENSPAVEDSQEIKEEEQSQQQSRQSSLGHSSG >RHN55380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17809781:17809999:-1 gene:gene30553 transcript:rna30553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MLRASSKSVQPHGHHTGVRIVVAGEKCTGKSTLIRAAASNKFEKNVGRLLRPIRLPIHHCVDHLPITVVDTR >RHN51030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13185209:13186550:1 gene:gene35393 transcript:rna35393 gene_biotype:protein_coding transcript_biotype:protein_coding MENEEVVAPVSPWLGEEPLETELVFSNDGVGEIHFGSIGDMEDWELMLPRTSDRVCSEYENHAFPMDEVFQREIIRWTKVSPSQIHPNSYTFMRAFELLCDYLRLPASKNVFFSFFTVQRGTDVVSFRQTQKMFEVFAGKVQSFKERFFLVRPRSAAALDNLLEAVKDGSLPRRTKIDKQGNPLMSADGNPVTEPCLVNAHELLTSENFKDCLENMKDLGALASTASKKISAKKRRKNVQSLEHLIAGFGVGSSSGPVVDLEGEDPLEELVQESAKKQKVGTPTKQPVTPIRAVPVCSEIGDFLQLPRVWSKPDQCGPHSTLFLDESELRIIHDLGPDGRSKAIADGFIATMKAL >RHN56177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28534210:28535970:-1 gene:gene31538 transcript:rna31538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I MGEENLPTAPTLPTTTVPKKHTKKLTLIPLIFLIYFEVAGGPYGEEPAVQAAGPLFALLGFLIFPFIWSIPEALITAELTTVYPGNGGFVIWAEKAFGPFSGSLMGTWKFLSGVINIAAFPVLCIDYVKKLFPVFESGWPRYIAILFSTLSLSFLNYTGLTIVGYVAVVLAIVSLSPFVLMSLIAIPKINPHKWLSLGQKGVKKDWNLFFNTLFWNLNFWDNVSTLAGEVEEPKKTFPLALLIAVIFTCVSYLIPLFAVTGAVNVNQSEWETGFHAQAAEIIAGKWLKIWVEIGAVLSAIGLFEAQLSSSAYQVLGMAEIGILPKFCGVRSKWFNTPWLGILVSTLITIGVSYMDFTDIISSANFLYSLGMILEFASFLWLRWKKPMLVRPYKIPMNLPMLVVMCLVPSGFLVFIMAIATKTVFLVSGLMTIGGIGFFFFIKLCRMKNWVKFEIKEDEEDGNVVADL >RHN78191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12590084:12590819:1 gene:gene1721 transcript:rna1721 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLFKKHINITNHMISQIITNIQRFNVTEFRKLGEDIFVEIFEVLLDLTRIDWLTVWIDAGGDDVGTLVHVGEEKSWGDCGSVVETRATVAVTTRADLEVERAVYSVFFCAED >RHN49505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55253341:55254570:1 gene:gene44344 transcript:rna44344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MASLVKSPAKTQLVLEINLISAQGLKPPSSPRRNFQTYALTWIDSSTKLRTRVDKIGGQNPTWNDKFLFRITPEFLASETSGVSVAIYAVGTFRDHLVGTVRFLISNILSSSSADSGNRTPCFSAVQIRRPSGSFCGILNIGAMVVDGSGFPALEKISAIGYHDLMGEKIKHRRKKLLEQKPKEVTVAGEEVSSESCDAESSVDEGSTTTSSTSSPKTTALKEWNGVRELSAGNKGLVGSGFLCCLVAQRNSHISR >RHN67021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22929674:22937228:1 gene:gene15151 transcript:rna15151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative MT-associated protein TORTIFOLIA1/SPIRAL2 MKNTKPQTQSTPSSRSSSLSSHLAMVELKQKILTSLSKLSDRDTHQIALEDLEKTILSLTPDAIPMILNCLYEAVSDPKPSIKNESLRLLSFVCSSHPHSTSPHLPKIISHIVKRLKDTDSASRDACRDSIGSIAALYLRGENNGNNGSNGNGSLVGLFVKPLFEAMGEQNKAVQGGAAICMAKMVEMTAAVEEGGGAAVVPVGAFQKMCPRICKLINNPNFLAKAAILPVVSALSQAGAIAPQSLDNLLSSIHDCLSSSDWATRKAAAEALSSLALHSSSLITDKTTPTVTLLEACRVDKIRPVRDSMTEALDLWKKIAGKDGSSHDSKPLSSDGGNPEPAVLTETSDLKNVNPDERKTDPSVKDLPTSSSNTDSNSKAKAASISEKAVVILKKKPPVLNDKVLNPEFFQNLERRDLDDLPVEVVVPRKCLNSSSSNNDEESKATAKDSNERTNATGNVPNDGFHGSVNNKYHGLERGNDGNSRQRNYEDFAQDRYYERRMNAKELRTKTNDTIDRSENDQRDGSANIAGFSRADGQSEVPFSNNRGNLLAIQRQLTQLERQQGHLMNMLQDFMGGSHDSMVTLENRVRGLERIVEDMLQDLSISSGRRFEGSSGRPSSKYNGFNEYSNSKYGRGGDGRIPFNERFTQTDGNALGMRGRGPSWRSDMPEGWDFPGYGASRNGQISSRRAFGGSSVDGRSPKSVHESDQGGSRRAWEKAAMPIRLGEGPSARSVWQASKDEATLEAIRVAGEDNGTSRATRVAVPEMTAEAMADDSVGQERDAIWTSWSNAFDALQAGDIDSAFAEVLSTGDDRLLVKLMDRTGPVINELSSEIACEILYAISQFLLEQDLFNICLSWIQQLVEVLFENGPDTFGVPMEVKEDLLRNLHDASTDTAEGWEGVPPDQLLLQLASGWEIDLQQHDK >RHN39674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9506656:9508860:1 gene:gene45720 transcript:rna45720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, sigma-54 interaction domain, ATP-binding site 1 MLSYKFIILIPLTPIILFIIRYILFKTGLIHTTKKLHKKLQDFFYLYQYLKVPELNQTMQPNMFYRKVSLYLHSLPSLEDSDFTNLITGNNQNDIVLTLDSDQIIEDRFLGATVYWFYTKTEPNQTGAFVIKIRKTDKRRILSSYLHHITTMSAEIEYNGKRDLRLFVNITGGGGGGRRWRSVPFNHPSTFETMLMETDLKNRIKNDLESFLKAKNYYRRLGRVWKRSFLLYGESGTGKSSFVAAMANFLCYDVYDVDLSKIQSDSDLKFLLLETSPKSIIVVEDLDRFITAELESPATVTSVGIQNFMDGIMTSSYAEGRIMIFTMNSKEFIDPNFLRPGRVDVHIHFPVCDFSSFKALANSYLGVKEHKLFPAVDEIFRQGASLSPAEIGELMIANRNSPSRAIKSVIGALQMDGDGRGCGDMIVRRIEDDDVEDESNQGGLCGGDGFSTVKDLKKIYGLLRLRNVKRNMSGNLLVNDEGR >RHN38958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3050123:3062130:-1 gene:gene44936 transcript:rna44936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, alpha/Beta hydrolase MEFIQSRVEPWMREQGARLMKVSWVPLQWRMRWPWTNHREQKKRIKEEYQRRRKQLNDLCLALKTDSLSDLQDLLCCMVLSECVYKRPATEMIRAVNRFKADFGGQIVALERVQPSSDHVPHRYLLAETGDTLFASFIGTKQYKDVIADANILQGAIFHEDAAEESDGHVATESDKGENQSGKEYMWNPLESRSKQMKSKYKPAAHRGFMARAKGIPALELYRLAQKKKRKLVLCGHSLGGAVAALATLAILRVIAASSSSKENGNVSVKCITFSQPPVGNAALKDYINRKGWQHYFKSYCIPEDLVPRILSPAYFSHYNAQSVPVPSENESNSLLSREQEEGVAKRKGNDGEQLVLGVGPVQRSFWRLSRLVPLEGLRRQFSKHQERQINSVETNSLPDSLANSLIEEEAVQPRSLEIQESSDGISLKPFPETNKHSLEVSTNGKTNAKTNAINGDEGKWHKVPYLPSYVPFGQLYLLGNSSVESLSGAEYSKLTSVKSVFAELRERFQSHSMKSYRSRFQRIFDLCMNDDASSFLGIEQWQQASHLQQWLGLAAADTVELGHIVESPTIRTATSIVPLGWNGVPGAKNGEPLKVDITGFGLHLCTLVHAQVNGDWCSTTVESFPSAPNYSSNQEIQPELQKMRVLVGAPQKTPPKHQTVLDSLMPVFTSVDSMTAGSSAPVDNDKSVRPASLNNLLIFCTSDFTTVSTEVHLRTRRVRLVGLEGSGKTTLLKAILNKSKPSTAAYDDAVSDIDMNEVIADGLCYCDSVGINMQELSSETSRFKDELWAGIRDLNRKTDLIVLVHNLSHSIPRYNDSNGTQQKPVLSLFLDEAKCLGIPWVLAITNKFAVSAHHQKSAIDAALKAYQVSPSSVEIINACPYVMPGFAGASLSWDAATNAESSKRVGPQNLLFAPINFVRRPFLKREIVLQVEGVTALCEKIHRALRSHEESSFQELARDRLMMELAREQGISTNASKNGKAKAISLNSAAVGASVGAGLGLVLAIVMGAASGLRNP >RHN57241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37506078:37506430:-1 gene:gene32755 transcript:rna32755 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELVEFKGHLPINTPSPKSAGREGVHWENPGPTLDRYWGTWICKLARQRYNIASRL >RHN46531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32560538:32563493:1 gene:gene41026 transcript:rna41026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S4e MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVLVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSVRDDEAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLEQNKITDFIKFDVGNVVMVTGGRNRGRVGFIKNRKKHMGTFETIHVQDAAGHEFATRLGNVFTIGRGPKPWVSLPKGRGIKLTVIEEARKRMAASQQAAAA >RHN81348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45062415:45066710:1 gene:gene5370 transcript:rna5370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDPDVVEIPPPIHQHPPRLKKQKKQSIVHDVIDIDDGDNDDDDLMVIGEISRKRKKGKTLEAIHEDVLGETSVLKSARARRNRAAVVPPGLTITDEPENETLKKLRSFKQFDAVADSSDHYFIKNHSSMKQNPKSWAKKVQEQWKMLEEHLPDTIFVRVFESRMDLMRAVIIGAEGTPYHDGLFFFDVYFPPKYPNVPPQVHYHSGGLRLNPNLYACGKVCLSLLNTWSGSKNQKWTPGVSTMLQVLVSIQGLILNAKPYFNEPGWAPSKGKPGGEANSLQYNENTFILSLKTMLYTIRKPPKNFEDLVVGHFYSRAHDILASCKTYMEGVQVGCLAKGGVQDVDEGEGKCSDKFKADLVGLVNNLVKEFERIGVKDCEKFTSPPVPRSTTARK >RHN59877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14321421:14322096:-1 gene:gene22043 transcript:rna22043 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKCSLIFVVLVFCMLSFSSNILARNIADASELYAFSDEKSMNKRLLLQQKRLPPCKSRRNQEERDNPGCHNY >RHN73469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16749604:16762062:-1 gene:gene9284 transcript:rna9284 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSFWRSKDRFSLDQLRYLADQLTKVQIVNEVNKDFVIEALRSIAELVTYGDQHDPTFFEFFMEKQVVGDFVRILKLSRTISIPLQLLQTVSIMIQNLQSEHAIYYMFSNEHMNYLITYSFDFRNEELLSYYISFLRAIGGKLNKNTISLLVKTRNDEVVSFPLYVEAIRFAFHEESMIRAAVRAVTLNVYHVGDDSVNRYITSEPHTDYFSNLVSFFRKQCMDLNRLISETLKNPGPDSNSTVTTAVDEIEDNLYYFSDIISAGIPDVERLITDSILMLLIFPVLLPSLRTVADNDMQSGVVTSLYLLCCILRIVKIKDLANTIVAALYYPLESFTKCSGGQVNGYIPDHGFTSKSDGIDNDNLAENSAKCLVVNVPCSSSSSDCHPQSITILNNGSSSNVALREVLLEYVTGGADVQVLGSLSVLATLLQTKELDESMLDGLGILPQRKQQKKLLLQALVGEASGEEQLFSSESSLTRDGIACGLDVYLNKIKEQYGVSFQPSNVVSSPRVPRFQVLDALVSLFCRSNISAETLWDGGWLLRQLLPYSESEFNNHHLELLKISYENSASALEKEVRGFWPDLLITVLCDEWRKCKRAMESSSPPKEPKCMLFPPRMFFSEEDIPEGSSFTAGERMHELVKVFVLLHQLQIFTHGRALPDQPLIYHPCDHRTNSRAHTSGLAAVPKPGTEMNLVNAVPCRIAFERGKERHFCFLAISVGTSGWLVLAEELPLKKPYGVVRVAAPLAGCNPRVDDKHSKWLHLRIRPSALPFLDPVKYNRHGKLKTKAFVDGRWILAFRDEESCKNAFLMIREEINHLCDEVDRRIKPLLKLETVLDISSSSAPVSEDSSSHTTPPDSL >RHN52155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32088238:32088446:1 gene:gene36784 transcript:rna36784 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLPHCNIVNNRSMGGECFVDNYEEEDEEHFFDESDEEDEEKFFDDLDGDEDEE >RHN49705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:422320:427092:1 gene:gene33910 transcript:rna33910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like ferredoxin MLSIRFITSFSHFNFKSHFLLFSSSSAATMSSTTATDAVSATDDAKHGFSRPEMYKENLAGTVASYDRHVFLCYKNRQTWPPRLEASDDDPLVKRVASQFKSRKNDILVKTMITVCEAREEDGFSEGDVLIFPEMIKYRGLEESNVDSFFDDVMVSCKSWGGGVQDVIAGSYIFVCAHGSRDVRCGVCGPVLIDKFNEEVQLRGLKDQISVLACSHIGGHKYAGNLITFSPGPDGKIMGHWYGYVTPNDVPALLDQHIAKGEVIQKLWRGQMGQPVAELKGEDDQNKLANGNDTKKNNVAVESNSLNNNENVVGCCQGVNGVSCCQTASFEQNKVETDKKQGSKVCGSWPILQKRDILAATGIVGALAAVAIGYRFYRRSG >RHN61492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35872250:35877475:1 gene:gene23963 transcript:rna23963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-40S ribosomal protein S27a MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKEYNIEGGSVLHLVLALRGGN >RHN42565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39363202:39373769:1 gene:gene49018 transcript:rna49018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein geranylgeranyltransferase type II MGELVAEKHVRYILSIEKKKDSFESVVMEHLRMNGAYWGLTTLDLLGKLDTVDVDEVVSWIISCHHHDGGFAGNVGHDPHILYTLSAVQVLALFNKLHLIDADKVTNYIVSLQNEDGSFSGDIWGEVDTRFSYIAICCLSILRRLDRINVENAVKYIISCKNMDGGFGCTPGGESHAGQIFCCVGALAITGSLDLVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWISKEKLIKFILDCQDTENGGISDRPDDAVDVYHTYFGVAGLSLLEYPGVKPIDPAYALPVDVVNRIFFSK >RHN59405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10327086:10329168:-1 gene:gene21431 transcript:rna21431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MANMNVACVVLVICMFVCTYAEAIIYCYDTADIIKPCFIYLLTDFDFPEIEPDCCNAVKKVDDAAITKRDRRETCSCLKQLASNIFHLDVDKGDRLFGLCEAKIHYKINPNAECAKIKAEEIVANARSGNILTTI >RHN44021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1054581:1062142:1 gene:gene38065 transcript:rna38065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heparan-alpha-glucosaminide N-acetyltransferase MKKYEAIKSFEENDEDLEMGHENQIHDSATKIINNEERSTMISSSSSSSRKQEQQQHQQQPQTSQRLLSLDVFRGLTVALMILVDDAGGLIPALNHSPWNGLTIADFVMPFFLFIVGVALAFTYKKPSCKVDASRKAVLRALKLLALGIFLQGGYVHRVSDLTFGVDLKQIRLMGILQRIAVAYLITALCEIWLKREDIVNSGSSLLRKYRYQWALALFLSVIYLCLLYGMYVPDWEYEVPTEPSSEPMKFSVKCGVRGDTGPACNVVGMIDRSLLGLQHLYRRPIYARMPECSINSPDYGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLVGLHYGHIILHFKNHRIRILHWMIPTSCLVVSGIALDLFGMHVNKVLYSFSYTCLTAGAAGILFAGIYLMVDVCGYSRMTSIFQWIGMHALMIYVLAACNIFPIFLQGFYWGNPHNNILKLIGVGS >RHN82831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56676955:56678991:1 gene:gene7022 transcript:rna7022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MDKLWSFSSRSGDIVDIGSQQWEEEKDNLHKQLDDLIPLPHVLEDVDCFLNNTKTTNSISREEIPNCVVVLHKSLESMMDKYNRGRRGRRSRFGQDLNDDNLFLDAVDRILKLSTTMSLSGFFLDKTSSVLEKVMIILENDLCAILHHPKSKTFRPTPPPVKSSSFGSLQLQFDLQDERESLRSNNLVDEDDKDGNLLPTFSTEEIFVMNKIATVMINAGYHTECCMTITNFRRNAFKNVMQKLGYTCVRMGDVYKMQWESLEGEILTWNKAFRHCTTVLFNIERKLYNSIFPNQPSISHGMFGDLARAVIINFLNFAQAVVLTKPSSEKLFKFLDMYETLRDDVEPVITLINGDGLEQCAKDLAYEMTMTKHGIIKAVVSMFYDLENSIKGDNERIPVPYGAVHPLTRYVMNYLKYACEYKVTLEQVFYQYCSDDYLATIGHHYVDDSNNNKGTSTSTADIQNEENNDKTRDQNLDGEPNKSPFVVQLMTIMDLLDENTERKSKLYRDMALRCVFLMNNGRYIVQKIKGCVDLHESMGDNWCRRRQTSLKFHHKSYQRETWTKVLQCLNPDGLHQQGNKVSKQVLRERFKCFNSMFEDIHKTQSSWMVSDEQLQSELRVSISALVIPAYRSFMGRFKHHLETGRHIDKYIKYHPDDIETLIDDFFVGNVTSMPRRRT >RHN71317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58193017:58193566:1 gene:gene19984 transcript:rna19984 gene_biotype:protein_coding transcript_biotype:protein_coding MYQILSEIFFSGCMINSTVRRRTHLVQSFSVVFLYWLYYVS >RHN41510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30618502:30626725:-1 gene:gene47842 transcript:rna47842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA-dihydrouridine(20) synthase (NAD(P)(+)) MDYRNKKILAPMVRVGTLPLRLLAAEYGADITYGEEIVDHKIIKCERRINEHLGSTDFVEKGTESVVFRTCDQEKNRVVFQIGTSNAVRALTAAQLVCNDVAAVDINMGCPKAFSLSGGMGAALLSKPELISDILTTLRRNLSLPVTCKIRLLKSPHDTVELARRIEKTGVDAIAVHGRYVLDRPRDPAKWNGIADVVSALSIPVIANGDVFEYDDFQRIKSATGASSVMAARGALWNPSIFSPEGEVSYEVTQKEYIRKCILWDNDIRSTKHTLRDMKSHYSSLEVPEWRAVVKSETTAEIAELYGEQEYYRLIKSDSYRV >RHN53956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5732373:5734667:-1 gene:gene28931 transcript:rna28931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MKTPMKSFVATFFFILVVCDVSLARTEKSQNDKITYIVHVAKSMMPTSFDHHSIWYKSILKSVSNSAEMLYTYDNTINGFSTSLTLEELRLLKSQIEILKVTPDQQYKLLTTRTPEFLGLDKIASMFPTTNNSSDVVVGLLDTGVWPESKSFDDTGYGPIPRSWKGKCETGTNFTTSNCNKKLIGARFYSKGIEASTGSIDETIQSRSPRDDDGHGTHTASTAAGSPVSNANLFGYANGTARGMAAGARVAVYKVCWKEACSISDILAAMDQAIADNVNVLSLSLGGGSIDYFEDNLAIGAFAAMEHGILVSCAAGNSGPNPLSVTNVAPWITTVGAGTLDRDFPAYISLGNGKKYPGVSLSKGNSLPDTPVPFIYAGNASINGLGTGTCISGSLDPKKVSGKIVLCDRGESSRTEKGNTVKSAGGLGMVLANVESDGEEPVADAHILPATAVGFKDGEAIKKYLFFDPKPTATILFKGTKLGVEPSPIVAEFSSRGPNSLTPQILKPDFIAPGVNILAAYTRNASPTGLDSDPRRVDFNIISGTSMSCPHASGLAALIKSVHPDWSPAAIRSALMTTTYTAYKNNKTLLDGANKKPATPFDFGAGHVNPIFALNPGLVYDLTVDDYLSFLCALNYSADKIEMVARRKYTCDPKKQYSVTNLNYPSFAVVFEGEHGVEEIKHTRTLTNVGAEGTYKVSIKSDAPSIKISVEPEVLSFKKNEKKSYIITFSSSGSKPNSTQSFGSLEWSDGKTVVRSPIVFSWKL >RHN48218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45867687:45874985:-1 gene:gene42908 transcript:rna42908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling JUMONJI family MRFSSVPPGFASLTSFYLKRDDNVKSDPITTSTKPEMDDNTSYKQICTQRPWIISDESKCKPEESHTEHPPMTKLKPPTKSSLPKGTKYGCPKCSNCFKVTARWHPEDARREVLEEAPIFRPTEEEFKDTLNYIASIRSEAEPYGICRIVPPASWKPPCSLEKKNVWENSEFVAQIQRIDGHQVQYAPEVVTSSHDTTETKRRKVMKVAMDSHLGNKSTCTPNNGKVKDCDKEPEPGPKFSLKTFKKLADEFKIQYFNHKDKNKIMGSGKNSARHQQQWEPSVENIEDEYGRIAQNPTEEIEVLCGDTLEAGDFSSGFPIPAISDSLNACTYPEYLKSGWNLNNMLSLPGSLLSFESPEAAQKFSPRVHVGMCFSPLKWKVEERQLDSLCYMHLGEPKVWYGVPGRCSVDFETIWKKYLVGARDMYAGQPDMHDNLVMQLSCSVLKGEGIPVYRCIQYPREFVLVFPGTYHSGFDCGFNCSEAASFAPLEWLLHGQNVVDLYSEQKRKTLISYDKLLLGAAREAVRTRWETDICMKSTPDNITCKDAYQRNGILSKAFNSRIRSESLKRKFISTSLKSQKMDENFTASCKRECSVCLRDLFLSAVGCPCSDDKFVCLDHAKQLCSCPWTGRILLYRYEISELEVLHQALDGKLSAVYKWAKEDLGLTVRSVASQKSKLTPEKVNDSEDSVKEPILQSARDAYNKWKQRKSQATPNSLVEKQSEMAFQAKRTPGSIHSSRYAIHPKKNTTLLHSAISNDVKAKEKMVGTKSAATSIGKGSNSAGIKPDSKAIEDKLTISKKVGDPKVSEVSSSTPGSRFLSLLQENIWIDVSSSSSESDED >RHN81503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46543872:46547697:1 gene:gene5557 transcript:rna5557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminodeoxychorismate synthase MNMSLRLICPTSEAMQYKNVNPLLSRPSFTRVSCFMKRNVCNRYDGRNTSVYCQLMHDHLEESYKRKKKLELPLQTQGFVRTLLIDNYDSYTYNIYQELSVINGVPPVVIQNDDWTWEELCYYLYEENAFDNIVLSPGPGSPACPEDIGICLQILRECRDIPILGVCLGHQVVNNIEAVLWLMMSML >RHN45815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25916486:25918204:-1 gene:gene40228 transcript:rna40228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative omega-hydroxypalmitate O-feruloyl transferase MERIELVEKVVIVPEQPTPRKRMFLSNIDLSLVVYQDSSSFFDPPSNQMSFSEICSKLYGALGKMLVHYDFMAGRLVPSLEEHNRFEIDCNDAGIVVVAAKTDRKLSEFGVISAPNPELRELVVFLHGDEDTNLKEKPLASLQLTQFGCGSLALASHYNHCILDGKAVREFEANLASLTRGDDLITVPNADRTLLRARNPPKISHPHFEYSKSTNIENLFTIRGTTSTNVKNSAAENQIHVLHLSPQKIASFKKKAQENKTLKNITTFQVVAAKIWKARTIATKMDDDKVSTMLFPVDVRKMVVPELQNGFAGNALVPGFARATVKELIELEDDFLIRKVQEGIERLNDEYIKSGIDWLEVNRGVPCKEDGFSLVAWWRLGLENEVFAWGTLKCATPLIVKPGLVIMLPGTKDEGGINICLSLPQDQLQEFCRIMLET >RHN55722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21691078:21691786:-1 gene:gene30951 transcript:rna30951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MTMNLTLVKGAIARLCSDEFYCNDNKERFTPVLQVHKLENDSVWLSDGIHFHAGLLRANLLELCSVGKLKTGSVVRLTNFEVNVFKTTKLILIYDFDVICQCEVIGNPILLPENALSDCKIYCS >RHN82318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52799622:52800029:1 gene:gene6474 transcript:rna6474 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAIQDRRVDHNHGLLVAAADGPEGVGTGQLMLAGFGMEAEADDVNGRMMEGDEHMKKLVVAQMADNKDSGDEDLFVYWIFDFGFPLKGSCCYSHLCFYPGSSDQLLVSEHLQSFPCTGCSLSLQSHCSAALHL >RHN60486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27280638:27286269:-1 gene:gene22801 transcript:rna22801 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTEAPFRPREKLIERQKYFQHMRNHTHLKGPYDKITSVAIPLALTAASLFMIGRGIYNMSHGIGKKE >RHN60336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25531489:25536738:1 gene:gene22637 transcript:rna22637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MNSSGALAQNCFNPDAKSNVNRNVADYHPSIWKDYFLQYASESMELDEKIRPQIESLKKEVRNMIVSKIENPFAKVHLIDSICRLGVNYHFQHEIDEALLHFHENCVENGDLIIEDNLHTISVLFRLLRQQGFCVSPNAFNKFKDTQGNFSEKLATDVEGMLSLYEASHVRIHGEDILEDALNFTYAYLESIANQLNHSLSSQIKHSLRQPLHKNIPRLEARRYISIYEQDPSHNEILLSLAKLDFNMLQKLHQKEFGNICKWWNELDVPRNFSFARDRIVECCFWILGVYYEPQFSQARKTMMKVIAMLSIIDDTYDAYGTIEELERFTNAIERWDISCLDDLPEYMKISYTALLKVYEEIEQEMIIEGRVYALNYGIKEFKKTVQAYITEARWLNCNYSPTTEEYINISSVSCCYQLLATTSYIGMGDIATEDIFSWVTNKPKILYAASVLCRLMDDIVSSEFEHKRGHVSSFLECYMKEYNISREASIQECQKRVVNAWKDINEECLKPTKVPTLFLTRIVNLSRFMDVIYKHEDNYTHSKGEMKTYIKALLVDPVPI >RHN65946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6793771:6795705:-1 gene:gene13847 transcript:rna13847 gene_biotype:protein_coding transcript_biotype:protein_coding MQNRAPNSSIRAPIFVETNCSTGSQKSGPELHKSGADFGSSSKRHFCTPNSNFESLLQHNILSKITCESIFIFINHI >RHN51738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23866094:23868689:1 gene:gene36248 transcript:rna36248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MRKEQHVIEEAGDEDIEENEMEDDMENDINYESDEIEGADENEVEGLIRKRKRGKTLCKNIHARDFKNRQEITLNEEGQPIGPDEKRVAELSSFLGTVARSADLCTLTFNNWKALVKTWNDEEIDPVWEYVNEKYIIPEKGKKDVFAIVSDAWRRYKYLIKKNHFTKYKTMRERLKNRPEEVPEEDFKKLLVYWRDKNSQEVSLQNAQNIAQLKWRHRTGNKAFAVIREKMRVSNEDKEPPSQAEMFIATRQSKKGKELDQETNTAIIKLQDLIEKHGKPSEEAFQSVCGKEKPGRLRCHGRTTTMTLLKRNEEIARLRKEHNDEVKQFRDQIQEIEEKRRKETKAMEGKIQILLKAMLSQNTTGLDTEALADLITTSTADAKNVLRSSTSIFAQDDNEMNNDDINEEFEDLEAQDEEI >RHN38461.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000011.1:5879:9036:1 gene:gene50693 transcript:rna50693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MFFDTPRTWILYEPMDRDKSLLLAMTSSFITSSFPYPSPLFSVTHQMALSSYL >RHN72372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7121148:7133738:1 gene:gene8075 transcript:rna8075 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYTGFDQRSGPAGGPPNTRPFFGFSRPPPPSTHSSIEPPGWNDAQNLFHKGLGPQPSQRRTSATTLVASRGSATGVTAKVYRSPHLERNRSPPISYADIDDSMTGVTARVYTSPRERTRSPISYADIDDLRDPSQTVLKNNPPNLLTEEHGHLLPLKSQSPPLVPLNHQSSVQNFQGPSIPIQQPTLAPSTLDGQARLSVNSNFSIHPIQSPVSPYIDSQNHRPSFTKEFNNQGSKRTRSPPSSFTSIHENFNDAQKDFRRPSISARLGSTSNVLKTSPQSQLHQIPSPVSVSEDAGSRPIISTAPKRTRSPLPSFSASETFKGNSASLEDNSEHEMLAKAKRLERFKDELSKSKPNNDDVADHTASVSEKKYTEGNLMDSASDFTNGHGVSDNEDRETSNVIIGLCPDMCPESERGERERKGDLDQYERVGGDRNVTSKRLAVKKYTRTAEREASLIRPMPILKKTIGYLLTLLDQPYDERFLGIYNFLWDRMRAIRMDLRMQHIFNQGAITMLEQMIKLHIIAMHELCEYKKGEGFTEGFDAHLNIEQMNKASVELFQLYDDHRKKGVDIPTEKEFRGYYALLKLDKHPGYNVEPVELSLDLAKMAPEIRQTPEVLFARNVARACRVGNFIAFFRLARKATYLQACLMHAHFAKLRTQALASLHCGLQYNQGLPVGHVANWLAMEDEDIEGLLEYHGFLIKAFGEPYMVKEGLFLNADTAYPRKCSKLVHMKRSGKIVEDLSPSIHAESLPRETVKMIQTTKAYKHEPQTVSAAENDSSVQKLHEEIPDSKAIYSAMNGKSAKAFKKMQDVQDGVKDYDMASPHSSPLSFPFAKIMPEPQHTIIGSLKSTNSYINVGASPKRNSHSNVDIRPSEIIPKTVPPEISLANNFSLPPPAAQSVSKDESLFIHEEHEDNIHEVRESCHDEEVAEAKLKLFLRLWRRRVSKLRMLRLERQLASNAALDSLTLGPPVRYCTEKPGNFDKFDIDIMMRERYEKQENSWSRLNVSDVVGDTLARRNPDAKCLCWKIILCSQKSSAYEMGKAGLWLTSKFTPSSDDDDVAISSSGLVIWRKWIPSPTDIDPTCCLSVIRDTSVGSQDEVVSGASGILFLVSESISWKHQRVHLHNLLMSIPSGACLPLLILCDSYGSSSDIINELGLQDIDKLPVSSFLLVFLRENQQMKPLDGFFSDRQLREGLQWLAGESPSQPNIHCVKIRELVHTHISSFSGVQDIISNSKLSPNDCISLFNRALDCSIQEIVDAANSNPDGWPCPEIGLLDKSFDEDSRMVKRYLPTLGWSSNLKTQPIIYALQNCKLPAFNDDLSWLARGSKFGQEMENQKKQLVNCLYQYLTHTSNMMDISLAKQEVHIITQKWARLELCGSSYHVIPHWGMIFRRIFNWRLMGLSDKEVSTAYIFECRHHDVALQNVGFEACLSSSYHPDTSLDEMIVVCCNSPLPAIDMQPRPKALQHLQQMDFDYETTNSRDPERNLGLDELPNINTASTYGINNGNSEALVSRKPSKEAEKLSKLLEQVNLMQDGIGKKLSVYF >RHN71706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2071557:2072039:-1 gene:gene7334 transcript:rna7334 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSIKKEQMLNSKDTHPLNHHKHLSNPKLKREVATMNMDPNHRGNLQKESPTTTTTKAPTSSPKQHQPPFFESPPPPQPQLNHHQHHYLITSHHHHHPFITTPTPTTATPQFSKHKSKTARLKPKTTLPNHLTKKLEATQTQIKRKMQPNNKTRAATPV >RHN55675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21003410:21005661:1 gene:gene30895 transcript:rna30895 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKIITFLCLFFSSLLVESHPSFHHQDQQLSLPILHRKIMFTEKVKESDEARHLVSHKRKDSLPAGKQNMEVGSKGTRQEWMESDDPSQYFTMDYNRVKRRRPIHNKQLPVGP >RHN80843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41224330:41225917:-1 gene:gene4812 transcript:rna4812 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALILKANLLITALIFIAIFFCYSVAAEGELDGGQDIVKALSCFENKLIYAGCDDAYRLNPSGNIRVPPEATDFFCSGPCLIETQLVLECIDNMLSNFIFYNKATVQQMRYALNSGCSYSRQRGNFNLEGYIGGETNNAREVPILIRCYLFIAAATAVYLM >RHN62322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42616380:42617451:-1 gene:gene24884 transcript:rna24884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MESDLSFARKSPNLSMDLPKSPSMKSAISTMVPSQSGPTFSPPPSHCTTYLYQNRCNQFKEHPLNKAYHHSSFSRVAPSSISSFPMTPTPSYKDAYTSGSQSEFISARNPMIFAPNYNKMEVMHGPVNSSKGTRELSTNNLFQYGETSQYRVSPSLSPSLVSDGHPSVSVKSELQGGLSCNGGIGNNSRENNQRHIHSDQNRQQTNQNNSEIRLKDPNIIKGQWTTNEDRVLVQLVNHFGLRKWSQIAKYMKGRIGKQCRERWNNHLRPDIKVTFFSIFFSFYLFHIQLKIK >RHN67976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31897870:31900034:-1 gene:gene16246 transcript:rna16246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L17 MANVAVMATTNNNHPTTTSRWNMSSLRSSLPSIPPTSASSLRFTRSSPTTLRISRVKSQSLLPSFTGLRPLPIFAPPSEFSGFDHGFTVIDNGGRVYAMRHGRKVPKLNRPPDQRKALIRGLTTQLLKYGRIKTTRARASAIRKYVDKMITLAKDGSLHKRRQALGFIYEKQIVHALFAEVQERYGERNGGYTRIIRTLPRRGDNAPMAYIELV >RHN57481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39441992:39446613:1 gene:gene33028 transcript:rna33028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MGIQTMGSQSNGQQSHLQANQLVKQNSWYGLTLDEVNSLLGDLGKPLGSMNLDELLQNVWTAEGNKVVGMESEQVSSSSSLQRQASMTLARALSGKTVDDVWREIQLGQKKQYGDDVKVEDREMSLGGTTLEDFLVQAGLFAGASTSPTVGLDAMDTAIPQSFQPNTSLVSSSSISSLSDAKPGRKRDAPDAYEKALERRLRRKIKNRESAARSRARKQAYHNELVTKVTLLEQQNMQLKKEKEFEQGLQPESSPEPKYRLRRISSAIF >RHN38927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2857565:2858692:1 gene:gene44904 transcript:rna44904 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVSSLIVFNEADHECLVDGWGLYLESNILNDCGFGNCLQ >RHN74476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33843686:33844240:1 gene:gene10561 transcript:rna10561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MVVSRRLVSSLIRLWKPSVSCSTLIRSSTSCASRHTYGGISQYASSASTPLSRQAKIEVPGGPQISDEFTGQDAIGHVCQVVDTLVDVKFKEGLPLPQIRTALEVLDHSSRLVLEVADHLGEGVVRTIAMSPTEGVARGWRVLNTGSPITVNNTQSLKNIIVVVAAAAAAFVVVVVKKESISTE >RHN81874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49500097:49502295:-1 gene:gene5970 transcript:rna5970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MKAIFCGNFEYDCRESELERLFRRYGKVDRVDMKAGLSLHIHLLPS >RHN55812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24222058:24222576:1 gene:gene31080 transcript:rna31080 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSHSGYIQYQVHKSLSRHDSGGGGSNHSPPPHSCPRGSPYPHRFHNKSSEVSPIMVYSHIHKGLVLRRITDYVILKSLEKSLKLEFYEETGDLDEHMEHVDIVLYYHPARGIVKCQLFVFTLKDGTMTWFKRLVDDSIDSWRTLCEKFTSDSTSRKCQPIMMPFISVIV >RHN45871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26402807:26406052:1 gene:gene40293 transcript:rna40293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MNFNNYKNMFMFFILTMLCFNFLDVSDAIDTITSTQFIKDPETLSSKSGNFTLGFFSPENSTNRYVGIWWQPHFTILWVLNRDQPLKDSSGVVKISDNGNDLVVLNGKKEVIWTSNAPNVATNSSSKLLDSGNLVLLEGTTERTMWESFQHPSNVMLPNMKLTSNKITGEKVKQTSWKTPYDPSIGSFSLSVERLTIPEVFIWNENQPYWRTGPWNGKIFTGLPYMTTHYLGGLHVGDDGEGNVSFFQITSDTVGLIIYNLSSEGNCEEKWWDEKKKEWKVTWNSHEMECDVYGVCGHFASCNSQSSPICSCLKGFEPRNKEEWNKQNWTEGCVRRTPLQQCERYRNQNTSEDSNADGFLKLPMVKVPDFADGSSLTLSSETCKSQCLENCSCVAYSYDADIGCMSWTGNLVDIQKFSNGGLDLYIRVAHTELGKHSTIRSDNAIGELSQVKLQELLLFNFGKLATATNNFHSSNKLGQGGFGPVYKGTMHDGQEIAVKRLSKASGQGLKEFMNEVAVISKLQHRNLVKLLGCCVDGEEKMLIYEYMPNKSLDAFLFDASKSKILDWRKRFSIIEGIARGLLYLHRDSRLKIIHRDLKPSNILLDNELNPKISDFGMARIFGGSEDQENTRRVVGTYGYMSPEYAMQGLFSDKSDVFSFGVYFLRLLVWIQWQEGNILPLIDPEIYDHIHHKYITRSIHIGLLCVQEFSIDRPTMAAVISMLNSDIVDLPPPKKPAFILKQNMLSSVSPEENNDDF >RHN66918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21630714:21631513:1 gene:gene15040 transcript:rna15040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MESFFQNLTTKVKETISPPGRGVSEVKGSSDMQRREITERKKLEMQMEDYFASADRIRKMECGGITEINGSQNCPTIDGLARFAVDQNNKKVVPKKEFVKVVSAKQKLVAGMLYYITLEAKVGEKVNVYEAQIWDRPWLDLKELTEFKRVDDDPSTDSFDRSYQIGDQFF >RHN71462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:361181:361692:-1 gene:gene7066 transcript:rna7066 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVFFVYLKNWVLWFSKKLWCLYFFIFISSATKKIKSHDEPDEICLNLTSSSMLRVTQHRPITTFQRFRCFLHINISKD >RHN51578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20149592:20150791:1 gene:gene36042 transcript:rna36042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MESKLIRTLKSLICSFFICFSPPQLPDLPFELVAEILCRLPVKLLVQLRCQSKSLNTLISDPIFVKKHLRLSTKSHHHQLIIPYLDNKFVSRVNSYPINSIMSKFTKQDFPYDGKHFKGESYHNIVGSCNGIICLALALPRRSELVLWNPTIRKFKRLLYFETPSDAYDSTLFGFGYDHIIDVYKILAVSFYRCGKNIFKTQARVNTVGTNSWRMIHGELPLSIGRYESMKFVRGALNWIAYRFEGDNSVISFDLVNESCRELLQPDYGGESVPAVILSVLRDCLCIFALTHQFSSVWLMKEYGNEESWTKLFHVPYMEEDPFHPFHGKPLWIFEDDKVLMEYTSLQNRNTSISKVLAIYDFKSGIIKCPNISKAGVWNDPEVCTESLISPESLISPDF >RHN55988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26507116:26508037:-1 gene:gene31293 transcript:rna31293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKIFNFVYVMILFLSLHIFLKEVDAQRTCKTDADCPKIPSLYPTIYKCLDGICRFSEAKLLIL >RHN78935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20115591:20117948:1 gene:gene2604 transcript:rna2604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TAP42-like protein MGKLAGKCTIEYLFHLCDVQVPYYLAELTEKIAHDDRIPILKASQAKLKEFISFCEAMELVPKEGLESYIVTDQRARKIARFKGQKAAESKLLEINERKERRGRSTKAAALSTPVEAGEEELLDDDGEEEREAWNTSISLAICKAFDLLEMIKKEEEMLSAVKDTQSKDGDQEFSKDVMDDRAKKAEAWHRNAAVRAQYTKPSPPITCATFAQDVLEGRAQASQAHDHKHQPLIFGPQSLVNGSFTNERERMAAQVFQPSHRMPTMSIEEAGLKEMEIMNTWQENTARFIEEANSSWHNDRKFKPGEEEEDEDDDAAQDRARALDDWKDDNPQGAGNSKLTPCG >RHN52583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36808875:36816220:-1 gene:gene37277 transcript:rna37277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MARNILLLSGIVVILTYVAIIEATILLTNDDINNSLNRSSFPEGFIFGTASSAYQYEGAANFGGRGPSIWDTFTHNYPEKIKDRSNGDVAIDEYHRYKEDVEIMKDINMDAYRFSISWSRILPKGKLGGGINKEGINYYNNLINELLAKGLQPFVTLFHWDLPQTLEDEYGGFLSPNIVNDFQDYVELCFKEFGDRVKHWITLNEPWTFAKHGYVEGNLAPGRCSSWQNLNCTGGDSATEPYLVAHNQLLAHASAVNIYKTKYQESQKGKIGITLVSHWIMPLYDTELDHHAAQRAIDFMFGWFMDPLTIGDYPSSMRSLVGSRLPKFSKYQAKLVRGSFDFIGLNYYTSSYATNAPELSKVKPSYNTDPLVILSQERNGIPIGPTAASFWMSIYPKGIRELLLYIKTKYNNPLIYITENGMDELDDPTLPLEKALEDTIRVNYYYDHLYYLQSAIEDGANVKGYFAWSFIDDFEWANGYTMRFGIYFVDYNNGIKRYPKMSAIWFKNFLQRKVVAYS >RHN40527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17200559:17206238:1 gene:gene46687 transcript:rna46687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MNNSIIDPLQGDFPEVIEEYLEHGIMKCIAFNRRGTLLAAGCNDGSCVIWDFEVRGVAKELRDNECCSPITSICWSKGGNRILASAADKSLSLWDVLSGKRIKRIVLQQTPLLARLNPGSSKSSLCLACPLSRAPMIVDLNTENTTYLQVSVSDKPSGPNPASRNKGSDGSTSFTPTAACFSKYGNLVYVGNSKGEILVIDSKDGEVHAMVPISGGSVVKNIVFSRNGQYLLTNSNDRVIRIYENLLPPKDEVRALAELNENLGDLNGVEKLKAAGSKCLTLFREFQDAITKVHWKAPCFSGDGEWVVGGSASKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVRPIVVSVSLCGIVYIWAKEYIENWSAFAPDFKEIEENEEYVEREDEFDLNPDTDNVKGSDVNEDEDVDIVTVEKDPNFSDSDMSEEELRYLPVSPIPDVPEQEDRLLDSSSKIGDSNNSGSPFSEEVVANGHMMSHASSPLEDDAVGTRIKRRRKPSEKVLELQAERDNKTSNSSKPSRTKTKSFVDESNGNDFYYDDDLSDE >RHN56327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29877784:29878971:-1 gene:gene31711 transcript:rna31711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDENNNRRNLSSLSTVSKRFLSITGRLRFSLTLVNPTPPFLLSLFKRFTHLNFLDLSRYLGNNLDDLLCQISDFPSFKIISLKLPNVGNRFPANGLRAFSQNITTLTSFTCSGFVNLFLIAECFPLLDDLDLRLKYPLNYISMIETRTRSYNNGVEALSLSLHKLRKVNLSSFPINNQSLFHLFSNCKLLQEVNIDGYKQLLTDAGIASALRERPTLMSFSLSSYSISRPPFMTSHIIDSLVSFKGLTCLGLYYFNISDELLYTIARGGLPLIKLVLKNRWGYSYDGLFCLLSKCRRQGFRHLYIGLSKFLNDQHVIQLSSFLGDLMSINLSNCDKLTESSLFALARNCPLLGEITMEHVGVGIFYYLLYSNIIMWENIVTIIILAIYQLWALVD >RHN62640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44869258:44881649:1 gene:gene25235 transcript:rna25235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MAPSCYHHKPHLLAFFHVTLTFLLLVTSRAAPLSFNYEQLGGDKTNTFNISGDVSQDNQVLQLTKYKEHSLGRVTYSKLFHLWDIKTSEVTDFNTRFSFTINTPNKTHHADGMTFYLAHPNFPMSQIYGGGIGLASLVQLSNPDYTKENPFVAVEFDTFVNEWDPNYDHVGIDVNSISTNHATQWFTSMDERGYDAEVSYDSSSNNLTVTFTGYQHNNTIQQHLFYVVNLRDVLPDWVEFGFTSATGTFWEYHTLSSWSFNSSLDFEAKEDGTKTGLVIGLGVGGAVALICVIGLVCLVKWKLKKKGMKDALHFDLAMDSDFERISLPKKFIYEELARSTNNFANEHKIGAGGFGAVYKGFIRDLKHHVAIKKVSKESNQGVKEYASEVKVISQLRHKNLVQLYGWCHKQNDLLLVYEFVENGSLDSYIFKGKGLLIWTVRYNIARGLASALLYLHEECEHCVLHRDIKSSNVMLDSNFNTKLGDFGLARLMNHETESKTTVLAGTYGYLSPEAATRGKASRESDVYSFGVVALEIACGRKAIEPSLSEEHIYLVDWVCELYGNGDLLKAADSRLYGEFNEKEVERLMIVGLWCTHTDHLQRPMIRQVVQVLNFDAPLPNLPLQMNASTYNTSFNSVYSKSKISGFENNQTGTSTSSDSTITGSSQSSTAFEVVSPSAALLHK >RHN66443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12347184:12349932:-1 gene:gene14432 transcript:rna14432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MCINCALHSKSKSKSSIIPWMKIKCPMQTNGIDCGYFVMQFMKEIILANQDMIPENYFGDYKCKTYSKDKLVQVEEDWATFMVEYLRDYIADRLKP >RHN79556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30088708:30094460:-1 gene:gene3367 transcript:rna3367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubulin GTPase MVTCVSTNFAHSNAINSAGVFNVVRGREFPRRVNFMKIFDRKIGFLGSERKLSLVQVKCSSNSNNGSSYHSHDPFLHLHPEVSMLRGEVSSTVNIPRKGSSSLGEDLSESLGDISSESNYNQAKIKVIGVGGGGSNAVNRMIESSMHGVEFWIVNTDVQAMRMSPVFPENRLQIGLELTRGLGAGGNPETGMNAAKESRESIEEAVYGADMVFVTAGMGGGTGTGGAPIIAGVAKSMGILTVGIVTTPFSFEGRKRSIQAQEGITALRDNVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIITIPGMVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLYEVNAAAEVIYDLVDPSANLIFGAVIDPSLTGQVSITLIATGFKRQEEDEEKPLQTSQLTQGDTTINFNRRPSSFSDDGSLFEIPDFLKKKGRSRYPRV >RHN53333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1035699:1044586:-1 gene:gene28229 transcript:rna28229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MASFLLRRNSNLLARSLTGNYKEQFCPYFQAKFECLGAALNSLHPYSRSYGSASGSIRNEITDMTCPHTWYPLARRKRRRVFLHVGPTNSGKTYQALKQLQSSASGIYCGPLRLLAWEVAKRLNKANVPCDLITGQERDEVEGAHHKAVTVEMADVSTDYKCAVIDEIQMLGCNTRGYSFTRALLGIAADELHLCGDPAAVPLIQEILDITGDELEVQYYERLSPLVPMKVPLRSLSDVRNGDCIVTFSRRDIYKLKKRIEREGKHLCSVVYGSLPPETRTRQASMFNDASSEFDVLVASDAIGMGLNLNISRIIFSTMQKFDGFQMRDLTVPEIKQIAGRAGRYGSNFPLGEVTCMSGDDLPLLHSALDSPSPILERAGLLPSYELLYMYSRLHPQAGFYQVLEHFVDNAKLSEKYFIVNCDQVLKVAAVVDEFPLELRDKYLFCISPADMDDEISSQGLTQFVENYAKKGLVRLREIFTPGTLKVPTTPQALKDLESIHKVLDLYVWLSFRLEDSFPDHELAKSQKALCSMLIEEFLDRYGWQKPMARRLPLRKVSNSLLSQNLRQHL >RHN71535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:842448:845128:1 gene:gene7146 transcript:rna7146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, alpha-ketoglutarate-dependent dioxygenase AlkB MELLSSLTKEEILEVLSHGLCHQCETLGHDRIRRTLHKRKRGETWYHGEGWEDIGDEIYMSMNGNGSNSESLNTRVRKKGKSPINSSLKHDSTLDENVGKHGENLYPGGDLKDIGGAIHMNGNASLAKSLNKRIRIVYNPRNSLQKHDSTLTSDTELLLNDGTVDVSSSKNELSEEQKEHIRYNSEIQCKKDFTFIERINGRDINLLQGLELHTDVFNATEQDEIVEYIYGLQRRGQQGRLRDRTYSKPRKWMRGKGRETLQFGCCYNYAVDKYGNPPGICRTEEVDPLPDVFKQMIKRMVRWNIIPPTCVPDSCIVNIYDVGDCIPPHIDHHDFVRPFYSVSFLNEAKILFGSNLKEIQPGEFSGPASISLPLGSVFVLNGNGADIAKHCIPSVSSKRISITFRKMDERKLPYKYPPDPELVGIKSLSDSHLNKPDRNSETQVKKAGSVQSKPNPVLKTRHESSFHIKDEYPQTFYGNFSNFRKLKDSEFERTERH >RHN70147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49108660:49110781:-1 gene:gene18701 transcript:rna18701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAILRTTLPCPTQLSSTKHHVIITNSFDFDKKKHYSPDPFFSSSLRLSVTHTPTLIITTKQHFNSTLRFASTSEQQVTTQEQTEELSTTRLLAQNVPWSSTTEDVRSLFEKYGKVLHVELSMYNKNRNRGLAFVEMGSPEEASEALNSLQSYEFDGRIINIQYAKPKKEKIPPPVERKPITYNLFVANFPYEARSKDVKEFFDSGTGKVVSAEVIFHENPRRPSGYGFVSYKSKKEADEALAEFQGKNFMGRPLRVAPSKRFVQLAEESAGSEDTSSELSVNEAEADKTD >RHN59310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9412066:9412787:-1 gene:gene21318 transcript:rna21318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative costunolide synthase MLNFADVSVNSIELNMLVAATDTTSATIVWAMTALIKNPRVMKKVQQEIRNSRVKKEFIDEDDIQNFSYLKAVIKETLRLYLPGPLLVPRETREKCIISGYQIPAKAIVFVNAWAIHTDPDVWKNPEEFYPERFLESSINFYGQDFELIPFGAGRRICPGMSMAVASLEVILANLLYSFDWELPDGMVKEDIDTERWPGLTQHKKNELCLAAKIPM >RHN38729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1618069:1619166:-1 gene:gene44694 transcript:rna44694 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRVQEMHNKNLLYWEKMKEVRLLTFYLHVIAGTLVCVEYPSKVEKALVEDHILGFVHVFFYAIEGLYCYFITSTALSLPSCGCLEYILIMIFNGVSSQHYITFVDKDRARYILMLWNISAGILLIFTLKESWNQLYKAVATTIDRLCFILTKICEVVDLVHKKIQNKVQRNRNEVDQENAQPVPQENAQAVPQEADPQEIFNRNYFYFEKQKEMRNITMWLHVISGTLVTLENPVIGVKEIMDNLNFLHFIHPFFKSIQILYLYYFLSIALSPPRSGTFEYILVMIFNGLTSFFYVEYSDQKLAWIVSRLWIISAVSLLLYTAEDTYKQVKCIFVTGKDRLKNIRTMAQDIPHNTMEWLYAH >RHN68544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36348203:36354607:-1 gene:gene16911 transcript:rna16911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MEELQQALKNCNLTVSTISEKGRSLFTTRDFHPGDVIISQEPYVCVPTQKRCDGCFSTTNLSKCSRCQVVWYCGTPCQKSEWKLHRLECQALSRLDSNKRKSVTPSIRLMLKLYLRRKLQDQKIIPSTAMDNYKLVEALVAHMSDIKEEQLVLYAQMANLVHLILQWPEINIKEIANFFSKFACNAHTVCDSELRPLGTGLYPVVSIINHSCLPNSVLVFDGREASVRALQHIPKGTEVLISYIETAGSTVTRQKALREQYLFQCVCPLCSKVGQYEDVRENAILEGYRCKNETCDGFLLRTTDGKAFQCQECGLVRDKEEIKQIATEIKFLLEEASKPSSNGNSHEAISIHKMIEKLQTKLYHPFSINLMQTRETILKSLMKLEYWREALAYCKLTIPIYQRVYPAVHPLLGLQYYTCGKLEWYLGDTEEAIKSLTKAVDILRITHGTKTPFVKELSMMLVEARAEASFLQIDL >RHN72652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9441153:9443615:1 gene:gene8396 transcript:rna8396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MKLYNVAIFVLFLSVVSVFTVSDGKDALVGGWTPIKNVKDPQVVEIAKFAVTEYGKQSGSKLSFVKVIKGETQVVSGTNYRLVLAAKVVSATKNYEALVWEKPWLHLKNLTSFKPVA >RHN51103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14041937:14048819:1 gene:gene35480 transcript:rna35480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein Ist1 MSMLNSFFAQGFKASKCKTLLKLSIPRIKLLRNRRENQLKNMRREIAKLLETGQEATARIRVEHIIREENMMAAQEILELFCELVSVRLPIIESQREIPLDLKEAISSICFAAPRCADLPELIQVQQQFATKYGKEFISAATELRPDCGVNRQIIELLSVRAPSPEKKLTLLKDIAVEHELDWDPATAETEFFKKHEDLLNGPTEFFGGSKLPLAEEKHDEELYSTHDVHDTPKKEQLDSDSDSDMLDFPEVPKTYVQMQSLPTANFGTAPDTVTPPGGMPHPEVDLHSSSHSEDFTDLKQEHVESTVHRDESHTSFGKTESKQFSPFIAPPSESPASYNPGHSDSPTSFPTEKSISSPSLSSAPYSARHSDLPPFTSAAKSESPPSETVASYNATKHSDSPPKLSTTKSESNVDLNDVVAAAHAAAETAERAAAAARSAASLAQLRISELTKMRSNEHIPDSSSENPFYAGGNNETSTPERDHKSAIGNPYANVFKDHDIHEDHNASIGSHSSSFPSFDTLKEDFDSSPPTDRVLDDKSNSHQPKRLPSMDDDTYFSYPNLFNSQNSNVGSHTHSDNSRSTYDM >RHN49223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53389084:53389690:-1 gene:gene44032 transcript:rna44032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase domain-containing protein MLFADDVALVGESREEVNGKLETWRQALEAYGYRLSRSKTEYMECNFSGRRSRSTLEVKVGDHIIPQVTRFKYLGSFVQNDGEIEADVSHRIQVGWLKWRRASGVLCDKKVLLKLKGKFYRTVVRPALLYGTECWAVKSQHENQV >RHN75247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40585200:40590432:-1 gene:gene11420 transcript:rna11420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-muurolene synthase MSLAPATSVDSTEHAIPDFKRPIVNFSPSIWRNVFLQYDSESVEINGNMKQQVEMEKDEVKKMFLFSRNDSEQNLNFIDSLQRLGISYHFEREIDEALEQIHNTFTNNKEITTKEGSLHFLALAFRLLRQNRHHLSAGMWWKKTDFATEVPYVRDRVVEAYFWPLCMSYEPKYTTSRKIVGKLVACISLLDDTYDAYGTVEELELFTQAIQRWDFSLIQSLPKCMKVVFNTIVELWDEIVMILVETGKSNLVLQYIKEEFYKLAQSYLVETKWCNEGFIPTYDEYKANGIISSTLPLQILSFLGFGEFSNKELFDWIFSDPKIIEAVSAIGRLADDISSHKFEQQRVHVASSREEAYKLIQIEIEDYWIIMNEECLKIENIPRSVLEIILNVARITEFTYENFEDKYTKAELMKDYIVALLIDPIRIEQCK >RHN56898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34566063:34567388:1 gene:gene32362 transcript:rna32362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MALFSSVSLCMLVFFSFFFFTCFSSKHNKHHNVNDSFSLSFPLTLSINSTTKTNPIVPSISPYKYSMALVVTLPIGTPPQLQQMVLDTGSQVSWIHCDNKKGPQKKQPPTTSSFDPSLSSSFFALPCNHPLCKPQVPDISLPTDCDANRLCHYSFSYTDGTVVEGNLVRENIALSPSLTTPPIILGCANQSDDARGILGMNLGRLSFPNQAKITKFSYFVPVKQTQPGSGSLYLGNNPNSSCFRYVKLLTFSKSQSQRMPNLDPLAFTLPMQGISIGGKKLNIPPSVFKPDTTGFGQTIIDSGSEFSYMVDKAYNVIRNELVKKVGSKIKKDYIYGGVADICFDGDATEIGRLVGDMVFEFEKGVEIVIPKERVLIEVDGGVHCFGIGRAEGLGGGGNIIGNFYQQNLWVEFDLAKHRVGFRGANCSKSAKYSTRRVNGLM >RHN71968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4013784:4024706:-1 gene:gene7631 transcript:rna7631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MPNSDSSVEDDPSLQEEEEDEVDDDEEEEFEEVEVEEEEEDQEEEEEIEEVEQEEEEQEEVEEEEEEEEIEEEEEDEVEEEEEEVEKEEVETVVVEEEEEEEEEEEEEEEEEEEEEEEEAIESEHIKETIKVEEEEKEEEEEEEEEEEEEEEEEEKEEEEEEEEEEEEEEEEEMEDEEKQEKIVVEEKDKDKDEVPNSLPDADKHSKVEVEEEEEKEENEEAIDMEVEEEQETVVEKDKEKDEVPDSLPDADKRSKDSSQHMELDVKEENLGSVSHVPDNPEVIRPSHYMEENDAMSKASVSLHEELTLKNEDYPLKQKVESPPKPSENLISAEDGKNQGLNVDVENPGHLQRKASEGCSEPDCNSKASLSDVMDIDSRATKPSSDTGKDVVTGIILRDNFVEDADGDQNSKEKVMQSEMESTVDVKQKPSRTRIHSPSAEIKDGNKRPAIICAFFAKGWCIRGSSCSFLHVKDSVNNTDQGAEGDVVTAHQKRELKLEKGVKENEEEASPSWHPSHEKEKFLMRDSLFPENKSAFNTSNNYFSSNLSSYSSRAEEMIAIRNQHMYRGYTSTKDFSSPLGASALDSHAHKSSFSSDREDFHLVGSSRVAPHANGYTLKTRSNWEPSVPFRPSFFITSMNVSSPRDLYDPLRNSIEIPNIGDGSLKASLLIRGSSVQASSQVRTYDDSAAVGKQMSDVNDDKSSVSSHHKFYENEPNRSSVPRGKDCLATKAEITSGTCANYQNGDIGVGQYAFGVEDRIETLKKRTEHDARHHSDESEHKKNRVAKDNKFHEMEVDFQTDGSVLKETKTLKIFHAVLVDLVKELLKPFWHEGRLSKDAHILIVKKSVDKVISTLEPRQIPTTEDTAKQYVSLCRPKIAKLVHGYVNKHGKS >RHN44036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1169529:1171676:-1 gene:gene38084 transcript:rna38084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein Ist1 MGLIGKSFTSKLKNLTSLAISRIAILKNHRKARDSYAYFDVSQLLKLDYHDQALVRVEHWIVEQNMLDAFDMIEVYCNVLKERAQVLENNKECPFELKEATCSLIFASSRCGEFPELHKIQEILTSKFGREFADHAIELHKNNEVNSKMIQKLSSKHPTMEIKMNALMKIATDIGVTLPFEKDPTLTNKDKLNFDQRQHEVETKNGSSVDDAKHEEDNRHDPTQNLIELETKKCSSVEENNQHDHDHDQNVIEDKNLFDVNEERRRNKYAAAAVLQALELASFEISKYSNHKQKDVVIPKRNYIIDLNEKLEGKEIKKHYAWKEESQLSQNLKDDMITLESATNLVSKEKVKENTNRESSMLDKLRSFKSLNDNNDDKSEFHAVGENEKMSEERANPFSQAIRWNPQRSQTNPTNRTYFPKKIHTHHQHLDWKMMSVRTR >RHN69106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41085662:41087649:1 gene:gene17535 transcript:rna17535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminocyclopropanecarboxylate oxidase MDNFPIINLENLNGDERKATMEKIKDACENWGFFELVNHGIPHDLMDTVERLTKEHYRKCMEQRFKELVSSKGLEAVQTEVKDMDWESTFHLRHLPESNISEIPDLSDEYRKSMKEFALKLETLAEELLDLLCENLGLEKGYLKKALYGSKGPTFGTKVANYPPCPKPDLVKGLRAHTDAGGIILLFQDDKVSGLQLLKDGNWVDVPPMHHSIVINLGDQLEVITNGKYKSVEHRVVAQTDGTRMSIASFYNPGSDAVIYPAPTLIEENNEIYPKFVFEDYMNLYARLKFQAKEPRFEAFKESNVNLGPIATV >RHN38835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2367666:2371612:1 gene:gene44804 transcript:rna44804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, U1-type, SF3A2 domain-containing protein MDREWGSKPGSGGAATAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPTQPQPHKRKVNMKKSVKIGRPGYRVTKQFDPDTKQRSLLFQIEYPEIEDLAKPRHRFMSSYEQRIQPFDKRYQYLLFAAEPYETISFKVPSTEIDKSTPKFFSHWDPDSKMFTLQLYFKTKPPEATKPQPPASAPNGTTAPGVPPRPMPPPPQAPLPPPPPPPQGLPPGAPMGNPPRAPPPPMSGSMPPPPPMAANGPRPGAMPPLPPPAPIGTRPPSMPPPQGFPGQQMQS >RHN80228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36305368:36318563:-1 gene:gene4128 transcript:rna4128 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEMEESTFNNESNDDICNSILSRFSNSTAVNHQHLCAVIGAMSQELKDHNLSSSPVAYFCATCSSLDRTASEPNPPIHLMDALLTFLSIVMFKVPVAVLKEKREFLSELVTKVVMLPSSSESAVVHGLKCVSHLLIHRDSVHWSDVSTLFNFLLGFLTDSRLKVRRQSHLCLRNVLINFQNSSLLASASEGVKNLLESFLLLAGGANANDDDGTKGANANDGDGTKGAQLVLYILDALKECLPFLSLKYKTNILNHFKTLLNMGQPLVTRRIMDGLNFLSLNPTSEVSPEALLEVLCTLSSLSASSTEISGDGMTFIARLLDAGMKRVFSLNRQMCVVKLPSVFNDLKDILASEHEEAILAATEALKSMINCCIDESLIKQGVDQITLDESRMSGPTIIEKICVTVESLLDYHYAAAWDRVFEVVSSMFHKLGSNSPYFMRGILKNMEDMQQLPDENFPFRKQLHACLGSALVAMGPETFLSLITLNLEAEDLSVSNKWLFPILKQYIVGARLKYFTEEILPMIERAREKAQKLEKQELMKADTLVYSLWSLLPSFCNYPSDTAKSFKDLEKHLRSKLKEEPNIRGIICTSLQLLIRQNKNIKDSNDKDDSRQDMDKQQVLYNYSQQVATKNLRALEISANNLLKDLSDAFLKSTKDDGGCLQGTIGDIASIAEKKVVQNLFKKKMSDLLKCTQNANKVDDSESSMQIDTSNDVSQSVLRARLLDFAASLLPGLDAKDIDLLFQVIKPALQDVGVMQKKAYKVLLIMLKSSDSFVSLKLEVLLGLMVEILPCHSSAKRHRLDCLYFLIVHVLKSEAFKDEFFYLLKSKDESMAMAWPEVFVTEIILALKEANKKTRNRAYEILVEIAHALGDEERGGDRNNLYQFFITVARGLVGKTPHMISATIKGLARLAYEFSDLVLTAFDLLPSTYVLLEKKNREITKANLGLLKVLVAKSQAEGLQKHLRSVVECLFKWQDDAKNHFKAKVKLLLGMLITKCGLDAVKAVLPEDHMKLLSNIHKIKERKERNRGAKSEESRSHVSKATTSRQSRRNHMDIFSDFDGDSAGSDTEYLNGKAIFRGGKSSTHLKSAASSFGSKMILKNNIPEHLSDESDDEPLDLLDRQKVRSALRSENLKRKSRSDDDEMEVDSEGRLIIREEEGEQTKKKPADSEYDARSEPDSHLSARFGTKAQKRRRTAEPGKAGRAYTGKEYASRKAGGDIKRKDKLEPYAYWPLDRKMMSRRPQQRAAAKKGMATVVNMTKRLEGKSASGMLSMKSMKQKKTQKKGSRQKKM >RHN59154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7989269:7995048:-1 gene:gene21145 transcript:rna21145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyl-CoA C-acyltransferase MEKAVERQRVLLQHLNPNSVTSSHHSTHLSASLCSAGQTGGSENDVVIVAAYRTAICKAKRGGFKDTLPDDLLASVLKAVIEKTNVEPSEVGDIVVGTVLGPGSERAIECRMAAFYAGFPDTVPLRTVNRQCSSGLQAVADVAAYIKAGFYDIGIGAGLECMTQDTISGVRKTNPKVEIFAQARDCLLSMGITSENVAQRYGVTRQEQDQAAVESHRRAAAATASGKFKDEIIPVSTKFVDPKTGEEKQIIVSVDDGIRPNANLVDLAKLKPAFKKDGTTTAGNASQVSDGAAAVLLMKRSVAVQKGLPILGIFRSFSAVGVDPAVMGVGPAFAIPAAVKSAGLELSNIDLYEINEAFASQFVYSCKKLGLDPTKVNVNGGAMAFGHPLGATGARCVATLLNEMKRRGKDCRFGVISMCIGSGMGAAAVFERGDF >RHN69603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44825613:44832343:-1 gene:gene18092 transcript:rna18092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MVCGILIRAGIFTKRLLPFNYVRRKCLQRVVVFHSQFVANRVFRNKYIGMMVDTGSMSTRAGPSVEDTVSHKEDDSARSGFVTGGCKSEDERLSCGYSSFRGKRVTMEDFYDIKTSTIDGRSVCLFGIFDGHGGSRAAEYLKDHLFENLMKHPKFLTDTKLAISETYQQTDAEFLNSEKDNFRDDGSTASTAVLVDNRLYVANVGDSRTVISKAGKAIALSEDHKPNRSDERKRIENAGGVVMWAGTWRVGGVLAMSRAFGNRMLKPFVVAEPEIQDQEIDEETEVLVLASDGLWDVVQNEDAVSLARAEEGAEAAARKLTEAAFNRGSADNITCIVVRFNHEKRHAANPDKASTASSQHEQRQ >RHN62677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45125595:45126301:1 gene:gene25275 transcript:rna25275 gene_biotype:protein_coding transcript_biotype:protein_coding MYASFSPTIGVLQHPHPSAFRTNPSTANMLYHSSFSSQSATIDCYIGGTIHRLSKLSFSASFPPPHLLQPSSQHTHPP >RHN40214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14290496:14299028:1 gene:gene46337 transcript:rna46337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MAEHSTATVNHQQNNNNNINDDDDKKKDKTSDPDLFCCLLQPLTSDADPEYTGIRRLLLHQKAEAGILRRKDWRCNGKTYVAYRNYINRPRNWESLGRAPSLRSTPGNSGRWFPSPSPLSRWSEVDSWSSGRDVQVANSVSNHRASFGSGSNASDSDRPRIRGVEPAYSFVGMHCIFDQCKASVTVIKFGHMSSDLLAYGASDGTLTVCSVSEKPSVIKQLNGHSKDITDFDFTSNNQYIASASLDKTVRVWEIEKGNCMRVIYGVSSQLCIRFHPVNNNFLSVGNANKEINVFNFSTGRTINKSVFDAEVTSMDHDHTGHLIFCGDAQGCIYSVNMNSHTGILSRSHRHRSSSKHRSPVTTMQYRSFSLLARGPVLLTCTQDGNLSFFSVALEIKGYLTLRCSLKLTPRIHKIQASFCPLLSLEKGEFIVAGSEDSNVYFYDLTKPKHTCVNKLQGHRFPVMGIAWNHGENFLASSDFYGIVIVWKRERTNQNMNT >RHN51548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19621170:19621523:1 gene:gene36005 transcript:rna36005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MLICIKFFIPIPVHSIIVLLNAYRHTMAPSESSVVAEYAKSNRSTCKKCSEAIQSKTLRLGLVTKDKSRGFDVTKWHHLTCFPVPSSYSSIDKIIGFSSLKVFPFFFSNIFVSELVI >RHN44496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5425727:5427928:1 gene:gene38623 transcript:rna38623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLFPELIDDFVRKIQNYIYYVNTRLLRFYDNGLVIKKFKLAVYNGERFSYMLKDIDLWLKLASECGVEGIHLYLPYGLDQDECYVLPKGVIEVKSITELVLMGGIKVDTSFMNHSIKFFSLRVLSLKHVLSRDENAIEHLISCCPLIEHITLRFVDNMKSLSIHGLLKLKTVKVEGIQEVYIDAPYLEKLRFCPDDFFAPYKIGFDRCQNLKYLSLESCIIANKWFLELFPKFPFLESLELDSCTMSEKINISSVQLKVLEISFCSDMKEINIDAPNLLSFVYYSVGCGSSDPIISYLRSSSQLKVYMNFFIDYYHHLCNLREFVQNIKPQNVLSSLSIYIRKPFEDVQQPVVFQASSPPPSIKHLHLICVPQSETLFSSIVNILLSSCCPAFISLNPHSKAFIEFLYETLIERKGDDCLCSSSDTKCWWHGLKNVKVISSMKIDDNIDFKTILELLPIGEKFSFMLEF >RHN44914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9809409:9814310:1 gene:gene39091 transcript:rna39091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKPATSTLELAKRISRTIITTSKNNNTKTKHKHHHYSWNSQIEQNIHNNLKHNLTPSLVSSIIDPFLLNHHSLALGFFNWVSQQPNFTHNASTFHSILKSLSLTQNHSHSHSLIQLVKKAQFLNIQIHPSVFCAVITSHIARNNLNQAFLIFNDVVELIAQMGAPICNSLLAALAGCNIDNARKVFDEMIVRGVRFSTLGFGVFVWWVCKEGDMGKVLGLVDEVGECGFEINGSVVAVLIVHGLCEVGKVDEAMVMLGELRERGWKPDFMAYWVVANEFRKMGNVVVEIKVLKMKRKLGVAPRSGDYKGFIFELVSEKRILEAKMTGEVIVGGNFVVEDDVFNVLIESVSDIDPVGAIVFFNYVVEKERFLSVSSLNSLSRNLCRVGKVDELLEVFRVLDCRNYFKDVEGYNVMVSWLCEAGRVKEGYAVLQEMKKKGLNPDVSSYNYVMEACCKEDLLRPARKLWDEMFASGCCGNLKTYNVLIHKFLEEGLIEEARTLFNRMVDKGVEPDSASYTFLLQGFCREDKLEEAFELYSRSVRQDITIARDILGSFILSLCKKGHMTAASKLLCSLSHDIGRPESHVALLKCLADAREIQTAIEHLRWVRHKSPSMLPDICTGLLASISVAKCPEPILQFLQRMQG >RHN61482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35784648:35786511:1 gene:gene23953 transcript:rna23953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRSPCCEKAHTNKGAWTKEEDDRLISYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLNRGIDPATHRPLNEVSHSQSQSQTLHLQNQEAVTIAVAASTSTPTATKTLPTTISFASSIKQEQYHHHHHHQEMNTNMVKGLVLERCPDLNLELTISPPRVQEHDEQFRNRERNNLCFVCSLGLQNSKDCTCDEIVGNSSSGNGSTAPAYDFLGLKGGVWDYKGLEMK >RHN59746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12741452:12741634:-1 gene:gene21857 transcript:rna21857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S3 MGQKIHPLGFRLGTTQNHDSIWFAQPRNYSENLKEDKIIRDCIKNYIQKPRCRGNWTNKD >RHN55163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15704746:15706694:1 gene:gene30300 transcript:rna30300 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVVLPAAVFPFLCTCVCCGVDWCVCVVRGLVRFGLVRGVSCWLVRLCCCLCDCVSIYRVGLKLEFVTDEHKISGLWRRKKEMCLFVTGFVSEEA >RHN51539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19544107:19544388:-1 gene:gene35996 transcript:rna35996 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDTNKITVTLSVYICNRVYESWPLYEILNQFKKGHSHMAVVLKGNMETESTAPAHAVDSPVFLNIITNQESNQVQINGGNILHNLAICYHI >RHN58713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4233538:4238813:1 gene:gene20647 transcript:rna20647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAM175 family protein MDPPPPLQKIAISGPTLSSLLHHLSTTSTSTFSGLLFGHVTLTTPLNLTDDSSDTTPTLLATVTGFLTTSSFLSPSGDINITTLRRLVPHSSSLLGWFSGRRRSPLRPSLREFSITSSFSSLSQFSSTINPNPNSNPSSSSSPSSSSSFNFNPSLFFLLSSPISDQSSHIHTHDYRVYQFLSESQSFNPVSIEIINIGPAFRGHYGSFIPNSPFPVLDCQLSYSPMIQDDDNEKLSKMKQTSKDQRELDVCAEGYEVKRLSNLMGSEAKNYTQSLEDLYLKMLVKIGNLTTLVEQSSAKVLEQENHNKKLKHKILKSAAPE >RHN55227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16282035:16285788:-1 gene:gene30372 transcript:rna30372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MLAGLQKRSYLDYENIVETMNSKSDLFLQSNPVLKKSQFSFMEIKPFVNQQIIVEYIDEVWKNNGTPSILPSNAYDRAIAKFWLLLETSEADEDGEWLSVHKFFFYSLLMNVFLCCLPLVFIGIMF >RHN43635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47374005:47377820:1 gene:gene50244 transcript:rna50244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MAFQSLFSKHKLLSSYLFPLVQHKFIHSFPTQQISVPLLPELVNEISRIVSDHRHPRHDLELSLTPFSTQISTDLVEQVLKRCNHLGFSAHRFFLWAKSIPGFQHSVQSFHILVEILGRSKQFAILWDFLIETRESDSSCKITNEIFWIIFTAYSRADLPDGAIRSFVRMDEFGIKPSIIDVDLLLYTLCKKKHVRQAQQFFDQVKSHFLLTTKTYSILINGWGKIGDSGKARELFDAMLEQGCHVDLLAYNNLLEALCKGGHVDEAMDFLNDMLSKKVEPDAFTYSIFIRSYCDANNVHSAFGVLDKMRRCNLLPNVFTYNCIIKRLCKIKKVEEAYQLLDEMISSGLKPDTWSYNAIQAYHCDHCEVNRALKLISRMEKDVCFPDRHTYNMVLKLLIRIGRFDKATEVWECMGDKKFYPSVSTYSVMIHGLCKKKGKLEEACKYFAMMIDEGIPPYDTTIEMLRNRLLGLGLLDNIEILAAKMRQSTSCSIQELANIMIGARSAQNTWRRDESDIESD >RHN63744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53684636:53685902:1 gene:gene26486 transcript:rna26486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MNTLSFLILSFFSLCFSISFSHAVVVLVLNLFTATPQNHHSTIVNAAHRSIIRVNHFYKNSLASTPESTVIPNTGSDIVWLQCEPCKQCYNQTTPKFNPSKSSSYINIPCSSILCHSVRSTSCNDQIFCEYTINYGDDSHSQGDLSVETLTLDSTTGSPVSFPKTVIGCGNNNTGRLEVQGSGIVGLGGGPVSLITQLGSSIGGEFSYCLVPLDNKESNTTSKLSFGDAAVVSGDNVVSTPIIKKDPSVYYVLTLEAFSVGNKRVEFGGSSEDGDEGNIIIDSGTTLMVLPADVYKNLESAVVDLVKLKRVDDPYKLFGLCYSATLDEYDFPLITAHFKGADVELHSISTFTQALDGLVCLAFLPGGAIFGNLAQQNLLVGCDLQQGTVSFKPTDCTKV >RHN53557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2499921:2505609:1 gene:gene28476 transcript:rna28476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosinetriphosphatase MEQKGMLISAALSVGVGVGLGLASGQTMFKPNTYSSSSNALTPDKIENEMLRLVVDGRESNVTFDNFPYYLSEQTRVLLTSAAYVHLKHAEVSKYTRNLAPASRTILLSGPAELYQQVLAKALTHYFEAKLLLFDVTDFSLKIQSRYGSSNCETSFTRSTSETALARLSDLFGSFALFPQREENQGKIHRQSSGSDLRQMEAEGSYSKLRRNASASANISSIGLQSNPTNSAPGKHITGWPFDEKILIQTLYKVLLYVSKTYPIVLYMRDADKLLCRSQRIYKLFQTMLTKLSGPILIIGSRILDSGNECKRVDEMLTSLFPYNIEIKPPEDESRLVSWKSQFEADMKKIQIQDNKNHIMEVLAANDLDCHDLDSICVADTMVLSNYIEEIIVSAISYHIMKNKEPEYRNGKLIIPCNSLSHALGIFQAGKFGDRDSLKLEAQAVTSEEGAAVKPEGKTESPAPAVKTEAEIPTSVRKTDGENSVPASKAEVPDNEFEKRIRPEVIPANEIGVTFSDIGALDETKDSLQELVMLPLRRPDLFEGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMSNWDGLTSKSEDRILVLAATNRPFDLDEAIIRRFERRIMVGLPSAENRENILRTLLAKEKVHGGLDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQQEIQKDSKKKKDAEGQNSQDAQDAKEEVEQERVITLRPLNMQDFKMAKSQVAASFAAEGAGMNELRQWNDLYGEGGSRKKEQLSYFL >RHN51497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18891656:18898808:-1 gene:gene35942 transcript:rna35942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADP-retinol dehydrogenase MASNSQKDIPSDDATSGLGLSTACKLSKEGYVVVIVGRSEQLLSETIKKIKGWNEDAHLKAFQADISSAESIIKFGTSLRQWLLDSDLHCSVQILINNAGILATSPRVTTEGYDKMIATNYIGPFVMTKLLLPLLESSPVSSKIVNVTSFTHRAVTNMQVDEGTVSGKRFLKSKQYPYAQIYEYSKLCLLLFSYELHRQLCQMGKSHQIFVNVADPGVVQTNIMREVPACLSWVAFFVLKRLRLLESFESGNDSIIDAALTPPGTSGVYFFGGKGRTINSSALSQDTKLAHELWETTSDLLSVTTFGNKRNNF >RHN53486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1978624:1982173:1 gene:gene28396 transcript:rna28396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CRK7-CDK9 family MGCICSKYSSKKEKVEEFEKESEWNKSSVQLVAPTQLNGVTIGIALDGCNSTPRFAKASSQVFRRAEEKIIQLDAANKSQHQRCMTLTSGVDERKPVMSRILSVQHFSGEQYVDSGWPLWLSSVAGEAIKGWVPRRADSFEKLDQIGQGAYSSVHKARDLETGKYVALKKVRFSSGDVESVRFMAREIYILRQLDHPNILKLEGLVTSRTSTSLYLVFEYMDHDLAGLAARPGVKFTEPQIKCYMKQLICGLEHCHSRGVLHRDIKGSNLLVDNNGTLKIGDFGLATVYEPDSKVPLTSRVVTLWYRAPELLLGSTDYGAAIDLWSAGCILAELLVGKPIMPGRTEVEQMHKIFKLCGSPSEDYWQRTKLPYATSFKPQNSYRRQVADAFKHFPSTALALVDKLLSMEPQKRGSATSALESEFFTTDPLPCDPLSLPKFPPSKEFDVKRRDKEATRKNTEAVKGHGPVTASRGARNTKALETPEYNAQGDISLPGKSNTRMSPLKYESQEDDESNNKCEPSRSPMHNGYTHSAISLHSKRSDSSRKNIPELRAQRSHLNQAAIDFSDSIKKEQGMSGRDTGMGYIPKKNRIHCSGPLMPAGGNIDDMLREHERLMQDVFRSVKKANP >RHN67940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31657763:31658558:1 gene:gene16204 transcript:rna16204 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHNMLKNMDCGVPCQNHQWRCQYCCRGQRNGFGGCGQKALRRLHDDLICDNCWWMNSSPTQVCSLVLPFPTFHHPQEQGIHIVQC >RHN69911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47295110:47297804:-1 gene:gene18444 transcript:rna18444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MIHKKHHFSIIRSTLIILLSMATLPFLFFIFLTLPHSHSHSSPNLNLIQQACKSTRFPHQCQTSLYSHPHPTNPTPLQIINSAISLSYQNLKTAESLLNSILHASSSVHNHTRTTLAKSCLQVFKYSQHRTSLTLEALSRGKIKDARAFMTAALAYQYNCWSGLKYANDTELVFKTMSFLESLTNLSSNALSMILSYDLFGNDTDSWRPPRTERDGFWEDSGSGVFGSGPSVPVDLTPNVRVCKEAYTDAGSGCYKTVQEAVDASLDDLPVGERFVIHIKEGVYEERVRVPLRKRNVVLLGDGIGKTVITGSSNVGLQEGMTTYNSATVGVVGDGFMAKDLTIQNTAGANAHQAVAFRSDSDLSVIENCELIGNQDTLYAHSLRQFYKSCRIIGNVDFIFGNSASFFQDCEILVQPRQARPKKGENNAITAHGRTDPAQSTGFVFHNCLVNGTKKYIELFNDNPKVHKNYLGRPWKEYSRTVFINSFLAAIITPKGWLPWSGDFGLRTLYYGEFDNSGPGSNLTKRVTWSSQVPAEHVSTYSVQGFIQGDDWVHRIGYSLMDGWD >RHN71947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3852267:3852557:1 gene:gene7608 transcript:rna7608 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLEDSRSNSNCFPLPKTHQYHSSISSKSQRNIGRSSIFDEDNSSCTFTERSTCVSKSLIDSVVDLCLGELASKNNKYGKSSTFELRASRSFSSF >RHN40440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16326982:16330895:1 gene:gene46589 transcript:rna46589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MFSNNFLPYYETICLNFTMDISVLHQGIWPESPSFKDEGIGKIPSRWKGVCMEAHDFKKSNCNRKLIGARYYNKKDPKGSPRDFNGHGTHTASTAAGVIVNNASYYGLAKGTARGGSPSARIAAYKACSGEGCSGGTLLKAIDDAIKDGVDIISISIGFSSEFLSEYLSDPIAIGAFHAEQRGVMVVCSAGNEGPDHYTVVNTAPWIFTVAASNIDRNFQSTVVLGNGKAYKGVGINFSNLTRSTMFSLVFGEDVAAKKTTKSEARNCYPGSLDNKKVAGKIVICANDDQNLTRKMKKLILQDAGAMGMILIEKEHLDAPFDAGLFPFTEVGNLEGLQILKYIKSTKKPTATILPTTVVSRYRPAPIVASFSSRGPSSLTENILKPDVMAPGVSILAAFIPEKENVPIGKKPSMFGIQSGTSMACPHVSGAAAFIKSVHGGWSPSMIKSALMTTATTYNNMRKPVTNSSNYISNPHEMGVGEINPLKALNPGLVFETNVKDYINFLCYFGYSNKDIRKMCKTNVTCPRASKSLISNINYPSISIEKLKRKQKAKVITRTVTNVGSLNATYIAKVHAPEGLVVKVIPNKLVFSESVQRITYKVSFSGNKARGGYNFGSLTWLDRRHYVHTVFAVQVE >RHN78965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20359590:20361243:1 gene:gene2634 transcript:rna2634 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNHATRIKSGTFHNIFQLKSYHLITHLKNNPTILNINYSTCSSDKRSF >RHN73579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17815927:17826944:-1 gene:gene9405 transcript:rna9405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NUDIX hydrolase domain, cleavage/polyadenylation specificity factor subunit 5 MGENGIRDDDDDEKVEVNIYPLNSYYFGSKEDAIPSKDHSLQRFKSNYDARGMRTCVEAVMMVELFKHPHLLLFQIKNSIFKLPGGRLRPGESDTDGLKRKLARKLSADENLAEWEVGECLGMWWRPDFETSMYPFLPPNVKHPKECTKLFLVRLPESRRFTVPKNMKLLSVPLCQIRDNHKTYGPIISAVPQLLSKFSFNMIGI >RHN72209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5822382:5823195:1 gene:gene7895 transcript:rna7895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fasciclin-like arabinogalactan protein MHFSIANCHCSSSAPSLFFNIIIIMFQIVLIVTIILSSSSLSSLNLSSPITSSSSSPSSSFLNLTKILYSSHTFFKAASEFHSLGIDSEIDTRYSTTVFVPDDKAFANATVSKRYESLSDDNKYFVLKCHMLKEYLPPAVLRKIANDMHLQDTVATEIMGQATYRINITVMVNGSVAVSNNIVRALVTRTLYDRSPIAVYAVSKVLMPKELPALITSDVTAPNVYCFKFSVVLILLVLWI >RHN41082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26825725:26829942:-1 gene:gene47366 transcript:rna47366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA ligase (ATP) MKLGCFPFSRTDKLGDLGLVAQASHLSQTLQKSDALTITKVFNTFHLIAKESGKDSQKKKNNLIKSLLHASTDCEPLYLVRLLLKELRIGYAEQTLLSALGQAAVYTEEHSKPPPGIQSPLEETLFFTSGFFLHQATKIVKQAYSVLPDYDKIISAMLTDGVWLLAKTCNFTPGIPVEPMLSEKITSVSEALNKLRNAELICEYKYDGERAQIHYKENGSVEIYSRSSERNTGKFPDVVAAVSRLKKKNVSSFVLDCEIVAYDRKKQRILPMQVLSTRARKDVAVSNIKVDVCVFPFDLLHLNGQALLEENLKIRRKHLYASFEEESGFLQFSMSLISNDAKEIQKFLDKSVDASCEGLIFKTLDKDSTYQPSERNWLKLKKDYMKTIGDTLDLVPIGAFHGQGKRAGGVYGSFLLACYDVDTQEFQTISKTGTGFKDEDLEESSARLRTNLIPKPKACYKHAEKDKPDVWFEASEVWEVKVSDLTISPDYQAAVGKVHSNKGISLRMPRLVRFRPDKAPEQATSSERVNYNLHHPTYNI >RHN43902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49333539:49341389:1 gene:gene50537 transcript:rna50537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-CTR1-DRK-2 family MKNILKKLHIMSNNQSEDAQAERSNKSNDGSSSSPTTRNKLSNWLHSVSSNRKQSPGSPPSGERVEELSDSLTFGGGGGGLDMVVSDSTKRDSGSSSSRDPEVEEEYQIQLALELSAKEDPEAVQIEAVKQISLGSCHPDNTPAEVVAYRYWNYNALGYDDKISDGFYDLYGVLTDSTSTRMPSLIDLQGTPTANDVKWEAVLVNRVADSNLLKLEQKAMGFAVKSREDFEIVVDRNLVHKLAILVAEYMGGSVEDPESMSRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADSLGIPCRLVKGMQYTGSDDVAMNFVKIDEGREYIVDLMAAPGTLIPSDAAGSHIEYDDSSFVASPSSRDLDSSHITSFSSGVGSSSEETSDFRTFEKGNRYKHFADAGKESDVSSRPPTCKEELKKPLNEFKNIPNVEKIKARESVSRPNNYPYMHGRSPSWTEGISSPEAHRMKVKDVSQYMIDVTKENPKLAQKLHNVLLESGVVAPPNLFSEIYHEQLGSQNEANSPTEEDEYKHRSAQKEAKEDSDNLAPRFLPPLPPHIIHPKASPSNQLEHSKPVEGLGIGLSLDSREAAVQHTYSDAEATLVKYGKNVPVAAAAAAAAAVVASSMVVAVAKSSTDSSFEIPVAAAATATAAAVVATTAAVSKQYELGNRSDGDAEGSGNEPKGSGDGENNALGANSEGGEKSDRSVSNDSTKSDLALDDVAEYDIPWEEITMGERIGLGSYGEVYRGEWHGTEVAVKRFLLQDISGESLEEFKSEVQIMRRLRHPNVVLFMGAITRPPNLSIVTEFLPRGSLYRLIHRPNNQLDERRRLRMALDAARGMNYLHNSTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKYSTFLSSRSTAGTAEWMAPEVLRNELSDEKCDVFSYGVILWELFTMRQPWGGMNPMQVVGAVGFQHRRLDIPDDVDTAIANIIRQCWQTDPKLRPTFAEIMALLKPLQKPITGSQAHRASVHAHSSRSAEDPAAA >RHN56160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28329035:28341346:1 gene:gene31517 transcript:rna31517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphate-induced protein MHQTFPTTSIISTSLFFLTQNKTQMASFNKSAIFILFLTISLLQLSSARKLTESDQQLKFQYHKGPLLTGKISVNLIWYGKFKPSQRAIITDFITSLSSPIKPTTASQPSVATWWKSTEKYYQLTNNKKSVNLALSLGSQILDEKYSLGKSLTTNQILNLASKGQQQNAINVVLTAADVLVDGFCSSRCGTHGSSYGARVNGKRNKFAYIWVGNSETQCAGQCAWPFHQPIYGPQSAPLVAPNNDVGLDGMVINVASLLAGTVTNPFGNGYFQGPKEAPLEAASACTGVYAKGAYPGYAGDLLLDKTSGASYNANGDNGRKYLLPAIVDPKTSACSTLLSVIFTLFLTISLLQLSSARKLTESDQQLKFQFHKGPLLTGKISVNLIWYGKFKPSQRAIITDFITSLSSPIKPKTTTQPSVATWWKSTEKYYQLTNNKKSVNLALSLGTQILDEKYSLGKSLTTNQILKLASKGQQQNAINVVLTAADVLVDGFCSSRCGTHGSSYGARVNGKRNKFAYIWVGNSETQCAGQCAWPFHQPIYGPQSAPLVAPNNDVGLDGMVINVASLLAGTVTNPFGNGYFQGPKEAPLEAASACTGVYAKGAYPGYAGDLLVDKTSGASYNANGDNGRKYLLPAIVDPKTSACFTLLSAIFTLFLTISLLHLISAARKFTESDQQLKFQYHKGPLLTGKISINLIWYGKFKPSQRAIITDFITSLSSPKQGTTTSQPSVATWWKSTEKYYQLANNKKSVNLALSLGSQILNENYSLGKSLTTNQILKLASKGQQQNAINVVLTAADVLVDGFCSSRCGTHGSSYGALVNGKRNKFAYIWVGNSETQCAGQCAWPFHQPIYGPQSAPLVAPNNDVGLDGMVINVASLLAGTVTNPFGNGYFQGPKEAPLEAASACTGVYAKGAYPGYAGDLLVDKTSGASYNANGDNGRKYLLPAIVDPKTSVCSTLV >RHN65586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3439080:3441361:-1 gene:gene13440 transcript:rna13440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKFVNFVYSMIIFLSLLVVAMNAKRNYQCDPCFGHPDDMINFCPPGTAPKCFHGLIKCVPIMRGTNRMFA >RHN72206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5792730:5797131:-1 gene:gene7892 transcript:rna7892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine hydroxymethyltransferase MPAACSGVASMIGYLQQPPLSSTKLHNFSHGGLLPQLHFNNNNHFKPCCKVSIHEATFGKSSSSSSSPSSLPKKIGGDGSSFLDYGLSEADPDVHAIINKEKDRQFRSLELIASENFTSKAVMEAVGSCLTNKYSEGLPGKRYYGGNEHIDELEILCQQRALAAFHLDGDKWGVNVQPLSGSPANFAVYTAILKPHDRIMGLDLPHGGHLSHGFMTAKRRVSGTSIYFESMPYRLDESTGVIDYDMLEKTAALFRPKLIIAGASAYPRDIDYARFRKIADSVGAFLMMDMAHVSGLIAASVLADPFEFVDIVTTTTHKSLRGPRGGMIFFKKDAVHGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLKYAQSPDFKNYQNQVVANCRALANRLVEHEYKLVSGGSDNHLVLVDLRPSGIDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGLGEKEFELIADLIHEGVRISLEAKSLVSGTKVQDFLNFVLAPEFPLGDKVSNLRRKVEALATQYPIPGV >RHN52497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36156364:36157181:1 gene:gene37184 transcript:rna37184 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPTKKAEAKAEATSKGHDAAQEKAEVPQTYLATLPPKIESQPTSSGESSSKELAAKQSGDLYSGLRWLLPGIAFMFIIHYLSKYLEHLFTRDQ >RHN68108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32912645:32918266:-1 gene:gene16408 transcript:rna16408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif domain, nucleotide-binding alpha-beta plait domain-containing protein MTTRIAPGVGANLLGQHSAERNQDATAYVGNLDPQISEELLWELFVQAGPVVNVYVPKDRVTNQHQGYGFVEFRSEEDADYAIKVLNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPDTGNSRGFGFISYDSFEASDSAIEAMNGQYLCNRQITVSYAYKKDTKGERHGTPAERVLAASNPTAQKSRPHTLFASGPPSLPNAPQANGTIPAPVPPRPFANGVAPPPIHVIQPPPPQAPAFQPMQMPPPGQQVWHQQQQGQPMMQQGMPPPMQQFRPPHSMQMPPPPPPQGMQGPPRPLPPPSVMAGQQQVWRPPPPPQQQGGHPMGYPQNSMPPPPPPNHHGMRPPSG >RHN60689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29465496:29467668:1 gene:gene23040 transcript:rna23040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MADIEGSPGSSMHGVTGREQTFMSSVASPMVPTDTTAKFALPVDSEHKSKVFKLFSMANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKSDVGNAGVASVSGSIFSRLAMGAICDLLGPRYGCAFLIMLTAPTVFCMSFVENAAGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLANGTAAGWGNMGGGATQLIMPLVYELIRRAGATPFTAWRIAFFIPGWLHVIMGIMVLTLGQDLPDGNLGALQKKGNVAKDKFTKVLWYAITNYRTWIFALLYGYSMGVELTTDNVIAEYFYDRFNLKLHTAGIIAASFGMANIVARPFGGYASDVAARLFGMRGRLWTLWILQTLGGVFCIWLGRANTLPIAVLSMILFSVGAQAACGATFGIIPFISRRSLGIISGLTGAGGNFGSGLTQLIFFSSSKFSTASGLSWMGAMIVGCTLPVAFVHFPQWGSMFLPASKEINEEHYYTSEWNEDEVQKGLHQPSLRFAENSRSERGKRVASAATPPNTTPTH >RHN56801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33845835:33847179:-1 gene:gene32255 transcript:rna32255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin MNSSSVPMQIFINIEYGMKSFPLMVKSSDTILSVQEKIHDNEKIPVHDQELYFSNAPLDYHRTLASYNIQDKSTIDLVVRIMAD >RHN49346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54150781:54151608:1 gene:gene44166 transcript:rna44166 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRMYDRQQSSTGTPTSPSSPVMMSPLNRHARAGSTGSAMNNIGRTQNNATKAAAQRLAQVMSHQTIDEEEDDDDVPLDYSAISGTRSIGLGGGRAARPRSPVKFRSAQEQPQSARPRSPAPVVIGFFNLNLNKVSIDSLYFFI >RHN68253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33998488:33999089:1 gene:gene16584 transcript:rna16584 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTDISTQSQIGGVKRMGELDNGPNRNLAIGVGLDRRLRPGVWKVYTRKKTKVAKEDGMDEKE >RHN38604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:654570:657716:-1 gene:gene44561 transcript:rna44561 gene_biotype:protein_coding transcript_biotype:protein_coding MAHANTSAAGLSETEYEMVKQIIDIGVLEKLSLAECSIYKVPHNLRKVKQEAYTPELISIGPIHLGNEELQPMQEHKKRYFLFFYERLSQFNQQSMIKIYKLYLESKEQQIRKCYAEKFPNISKEKFVETILLDAVFIMELLLRNSSWKSDTSKHEHEYKQSKSFRWKHSDDYILTQAWLSKSITRDLILLENQIPFFVLMDLYQTVVPNGDKKEDHASFHDLALEYFAFYDTQKSASLETKLGDVGSGNSVSKKKHCDKSMSSNHMFQVPKHFTDLIRFFYLPADLTDLEKSGSSHTFPRTVTKLIDSGVSFEKFPGRRLLDIKFEKKQFLSYFLCLGCLPSFSCLNNFKARLMIPQLKVDHTTECVLRNLIALEQCQYQEQPYICNYVSLVDSLIHTQVDVDLLVEKEVIVHEMGSDKEVAMLVNGLCKHVVVNSTCYYDTINKLNNHYQNIWNRTMTTLWLVYFRDAWRASSTLVGIAFLVYTAFNFVRLAKILFY >RHN75787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45028523:45029062:1 gene:gene12037 transcript:rna12037 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQNSYLYISFVPLELTSIFTRWHLKSLKMKHDLKTDSQHLTLRFVTKFVMIRKMIWFLFTTDDNSVESTHVHILNTLLNFFYCIFFLSGMPLYIEHVLFD >RHN62546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44286039:44293277:1 gene:gene25137 transcript:rna25137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MRSFTTFFLFCLSTSITFFSKPSTSVSNTLTTSQFLSINQTLFSPKGIFQLTFFSYNNFSWYLGIRYNIDHDKTVVWVANRNTPLQNPTAFLKLTNTGNLIIINESNKTIWSSNQTNQNSTLNTNPILQLLDSGNLVVTTEPNENDPTNFLWQSFDYPTDTLLPGMKLGWNFDTNTETHINSWKQTDQDPSIGDISFKMDYHGVPEIFLWNKNRRVYRSGPWNGKRFSGVPEMQPVTDSIQFSFVENEHEVYYSFSIGKESLFSRLSVNSLGELQRLTWINSRNIWTKFWYAPKDQCDNYKECGPFGVCDTNASPVCNCIKGFRPKNHQAWNLRDGSDGCLRNNELDCESDKFLHMVNVKLPETSSVFVNRSMSLVECGDLCKRNCSCTGYANIEIVDGGIGCVMWLDELIDIRIYPAGGQDLFVRLAASDVGDDGVGGSSDHKIARAIGIMVGGATIIFLVLGTCYLWRKKKLQCLLKGKREKRGSLERSQDLLMTEGVYTSNREQTSEKNMDDLELPFFDFNTITMATNNFSEENKLGQGGFGIVYKGRLIEGQEIAVKRLSKNSGQGVDEFKNEVRLIVKLQHRNLVRLLGCSFQMDEKMLVYEYMENRSLDAILFDKAKRFSLDWQTRFNIISGIARGLLYLHQDSRFRIIHRDLKASNILLDGEMNPKISDFGMARIFGTDQTEANTVRVVGTYGYMSPEYAMDGIFSVKSDVFSFGVLVMEIISGKKNRGFYSANKELNLLGHSWKLWNEGNALELIDSSIVNSYSPAEVFRCIQVGLLCVQERAEDRPTMSSVVLMLSSETATIAQPKNPGFCLGSNPVETDSSSSKQDESCTVNQVTVTMVDGR >RHN49680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:259110:259529:-1 gene:gene33884 transcript:rna33884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MVSLSKFALLTILVVFQLKGGNSFGILKPIVHMYITNNFTTGEQLGVHCKDKDHDIGYRSIRFAEPYAFKFRPAFFIPNILYFCGFTFSNEFHHFVVYDQTKDEKAVKRECHWQINKYGPCRINVLVNPNSIECFPWST >RHN41730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32460011:32467255:1 gene:gene48082 transcript:rna48082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MSSNDVDKTIKEKEPKTPPAATSQEQSSTTTGTPAVNPEWANYQAYPSIPPPGFMASSPQAHPYMWGVQHMMPPYGTPPHPYVAMYPHGGIYAHPSMPPGSYPFSPYAMPSPNGMVDASGNTPGSSEADGKPHEVKEKLPIKRSKGSLGSSNMVTRKNNELGKTPGASANGIHSKSGESASEGTSEGSDENSQNGSQLKFGERQDSFEDDPSQNGSSVPQNGALNTPHTVVNQTMSVVPMSVAGPLTTVPGPTTNLNIGMDYWGTPTSSTIPAMHGKVPSTAVAGGMVNAGPRDGVHSQLWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEVLNQENASLRAELSRIKSEYEKIRSENASLKERLGEIPRNEDLGEGQNDQHVGEGTQ >RHN41237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28502857:28505973:1 gene:gene47537 transcript:rna47537 gene_biotype:protein_coding transcript_biotype:protein_coding MASESSSESRLFLPPPPAWFKPLPSRFKPGPNSPYCPKPFPNCGLLSPNPPPPPLIAAGNCQISAKLNGVVFPFPFGNEPSFIIAPGSISVH >RHN54099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6924358:6925899:-1 gene:gene29083 transcript:rna29083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Myosin heavy-chain] kinase transcription factor WD40-like family MTGSSRKGHTFLDEGSVHGRRFGKLKKDEFDGYNSDNHPRKNSPIKTTDAPHLDPQMASDNSPYDASLSPLSKSPWHVNEEASSSEEALVGSLVREEGHIYSLAATKDLLYTGSDSKNIRVWKNQKEFAGFKSNSGLVKAIVIAGEKILTGHQDGRIRVWRVSNKNEQTYRRAATLPTLRNYIKCSMKPSNYVEVRRHRNVLWIKHYDAISCLSLTEDLSLIYSASWDKTFKVWRASNYKCLESVTAHDDAVNALVVGFDGLVFTGSADGTVKIWRREMQGKGTKHFFSQTLLKQECAVTALTLNSDGTFLYAGSSDGLVNYWVRETNLEHCGILRGHKLAVLCLVAAGSLVFSGSADMAICVWKRSITNEHVCMSVLSGHTGPVKCLAAEKDLDVMLHERKWILYSGSLDKSVKVWKVKENAPPGQQTHQQAPRLSVSSGEFPRVSSLRKMGSRRY >RHN46861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35384209:35384577:-1 gene:gene41396 transcript:rna41396 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMVDRISILPNDLLCHILSFLQIRQTPLCYSLSALRIAYVRFCRFVDTLKTFRLKCVSRTPIQNMWLEAAKCRRVEELQDMKISICSNVMPSEKSLIVEELSSCSCPKRCKLSFEHKYCY >RHN64541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59930831:59931311:-1 gene:gene27365 transcript:rna27365 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGMHSYWLIGYFAGVGYFPGATVVVAEAERKLVAAAVAFVAGTGSLRLLLLLEGWSLET >RHN52139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31945653:31946297:1 gene:gene36768 transcript:rna36768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MSFLRKHLNNISHSPLSTLRRFSNLTPPPTSATSYDTLAANFATSGDFTSLHSILNKRIQHGFFNTKHTFNFITNSNFTPSLLQNLITTLSRLNPGFTRRNAFDSLITRLCKLRLIEDALTVVQSMSRVDDMDNELKACTFHPILSFLTRVKSLDQAKRVVEVMSELGRRLRF >RHN82564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54781130:54790019:-1 gene:gene6737 transcript:rna6737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif domain, splicing factor, RBM39, splicing factor RBM39, linker MPVGKNNVHLATCWSQFLFLHVQNHVLIAIGRHTLPLITISHNLQATVKRHKEKKEEAAEPESDPERDQRTVFAYQISLKADDRDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTASVVNGPSGNLGPYSGGARRLYVGNLHSSITEADLRRVFEAFGQVELVQMPLDDIGHCKGFGFVQFARLEDAKNAQSLNSQLEIGGRTIKVSAVTDQSGMQEFGGNTGDIDDDEGGGLSLNASSRALLMQKLDRSGIASSMVGLLGNSVVNNTGLNLQAMGSIPAVALIPAPGVGMPGGGLQIPMLTSPTIDTIGTPSECLLLKNMFDPEDEKEPDFDLDVKEDVEAECSKFGNLKHIYVDKRSAGFVYLRFENTQPAISAQRALHGRWFAGKMITASFMVPQLYEDRFPDSK >RHN58254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:306051:306538:-1 gene:gene20145 transcript:rna20145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-dehydrocholesterol reductase MVESKKKTETVHSPLVTYASMISLLTLAPPFVNLLWYTMTAMDGSILKTFDYLNNNGLQGFVNLWPKPTLLSFQIIAVYAVFEATLQLLLPGETVYGPISPTGNRPVYKVSFSLFSFFLYFTPACNQITRYIHIHL >RHN56414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30708647:30714418:1 gene:gene31811 transcript:rna31811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MGEGERMVEPETTSSSTITLTTTTTTTWKQNQTLQTLSEKLTNGNLNTKIEAAREIRRMVRKSSKTRSKLADSGVIQPLIFMLSSSNIEARESSLLALLNLAVRNERNKVQIVTAGAVPPLVELLKMQSNGIRELATAAILTLSSAAPNKPIIAASGAAPLLVQILKSGSVQGKVDTVTTLHNLSYSTVNPIELLDASAVSPLINLLKDCKKYSNFAEKATSLLEILSNSEEGRIAISLTEGGILTLVETVEDGSLVSTEYAVGALLSLCLSCRDKYRELILKEGAIPGLLRLTVEGTVEAQDRARMLLDLLRDSPKEKRLDSSVLEKIVYDMAERLDRVSVDKAAETSK >RHN69434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43378534:43379253:-1 gene:gene17908 transcript:rna17908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSSIVHNYSWETYCALLDEDEVRFYQTLEQVHIGDYICIKVRVRHELCVNPPKYPSLILPFDWVFYAQTMFVPYHEFHQNSLLYMQTYFSNAIIPYDAMYSCMPHVMTYIDNVRNSYPNPHYESPRIRVLPLVLDISVRMLFENHNDFVTNMAVLVNTMGETQFVPATKEAIESLEKVKVEDCDTMKMCVICQVEFNLGMEVTKMPCDHLYHHECIVQWLETSHMCPMCRHPLPTSTGG >RHN80311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37094930:37099591:1 gene:gene4218 transcript:rna4218 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SEOa MIRLSRSSIHIGNGDIIDHNPLTMSDEHILEEIYSTHVHSDTKFDAEYLFNIAGNILTRSTHVVDNFVQGHEQQTSLEQLDNINPPASFTSPLCTLKKINSEMACKAPGEEIAYRTTLAILNKLSNYSWVAKGVLTLSAFALEYGEFWLLSQYLPTEPLAKSLAIMKRVPQLTKPELLKKHRYAVLEVNNLIKATSQLIDIIIALERLNSRHDIKEVPALAPALEQFPVDVYWVIITIVAIVTQIECLTTDSEERQDLSQFGQKINIIISKLRKHVSQITIQIDEAEYNKLLKKLFQTPTEIMEVFKVLIFWKDTPQTPIYCGSTKTLVNIDVLKKKDVFLFISTLDICQEDISTMIRIYDHIQKTGSQHQIVWIPIVEEWNDRGRKKFDSLKSKMPWYVLHHFATIKGIRFIKEELHFKLNPLVVVLSTQGKILHQNAFHMIHVWGVKGFPFTKTKEESMTQELMWVDSVLVGIDIKIKWREDDIVIICGGKDKEWIQQFTKYFGALVNDATIKQTNTSIELICLESQQQNVVNTFWKKVESLFVTKMHEKTNSVTQQVEKLLSYKNESGWAIVTKGSIVIAVGHGTTVLKTFAEFGTWKGDVSTKGFEYSFREYHNTIASSVHICSHLEIPNVDGKIPDFIKCPDCHRTMEVYISYKCCHNG >RHN48127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45231086:45237356:1 gene:gene42812 transcript:rna42812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MSLDKNPCVFFDVSVDGDPVERIVIELFSSVVPKTAENFRALCTGEKGIGEATGKPLHYKGTSFHRIIKGFMAQGGDFSRGNGTGGESIYGGKFADENFKLKHDGPGVLSMANSGPNTNGSQFFIIFKRQAHLDGKHVVFGKVTKGIEILKKMEQLGTADGKPTQPIKIVDCGEVSKAKSQYAVEKEKEKRKKSIKSLTSDDSSDADKKLSRKRKTSSKDRRKRRRKYSSSDSDSDSDSYSSDSESDSSYSDSSSSSYEKHKKRKRSKRRHGKKKSIGRKQRSKHSRRRSRHKSKRSSEGSSDTESDSSSASDKKSGHKKQADDKAEKVLDIGDKSSILPVQSPTSPELEVDPKVKTTVDKQSHEEGELSPENGEFLNNGHDTQAEFSKPANQRAYSDDSNHNRDASPGRGPARSPTKNSGELNQGRALLASPDHKASEPAASKHGRGISKSPSPNGMPKRVKKGRGFTERFAFARRYRTPSPERSPRAYRYGDRNVRRNFDRNTSYRNYSERSPPRRFRSPPKGSRPRYQSRRSRSRSISRSPVRGRYRDNGRGRSPIRSVSPEGRRPPISDRLKSRLGARDSQQSPNRKRSKSNSRSNGSSRSRSPDATPPKRYNKRTSVSRSRSRSSSASGQKGLVSYGDGSPGSGSR >RHN76911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2294027:2294329:-1 gene:gene300 transcript:rna300 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGKINSTLKTSMASINNLLWKPIQSLLNIQIVIRIIQIIISLTVFAKTFGNSFHVLSSEPVFLWIYNRL >RHN50470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7370946:7371302:-1 gene:gene34753 transcript:rna34753 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCHPLRLVMNLDIICFQILNRQELSSIVFDPNSVSRVREDARIPGIVHEIRRLADLELSIVKSIPRGFNSLRYIYEDIVEENVAAGIYDSQARDQSTSSETNAGSSLPNTTPLPNP >RHN40345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15497429:15503042:1 gene:gene46492 transcript:rna46492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MANFWISIGEISWTCLKKFDLNSLCSQRSLVDTINILFLCVYYTSLLITLIRKSCTNESQRKCWNFLIVSICCALISIAFFSFGLWNLIAKTDNSEELNLVVCIIKGFIWISFAVSLIVQRIKLVRILNSIWWLSSCILVSSLNIEILLKNHVIETFDIVQWLVYFLLLYCAFKNLGHIRDNRVQECLSEPLLAQKNETAQTELGHATFLSKLIFSWVNSLLSLGYSKPLALEDIPSLVSEDEANMAYKKFVHAWESLVRERTKNNTKSLVLWSIVRSYLKENILIAFYALIRTIAVVVSPLILYAFVNYSNRTEEDLKQGLSIVGFLVVTKVFESVSQRHWFFNSRRSGMKMRSALMVAVYQKQLKLSSSARKRHSVGEIVNYIAVDSYRMGEFPWWFHITWTSALQLFLSTSVLFIVVGIGALPGLVPLLICGLFNIPFARILQNCQSQFMIAQDERLRTTSEILNSMKIIKLQSWEEKFKNLVESLRDKEFVWLSKAQILKASGSFLYWISPAMVSAVVFLACSVTKSAPLNAETIFTVLATLRNMGEPVRTIPEALSNMIQAKVSFDRLNNFFLDEDLNNNESEKNLNQCSVNALQIQDGNFIWDHESMSPALKDVNLEIKWRQKIAVCGPVGSGKSSLLYAILGEIPKISGTVYVGGTLAYVSQSSWIQSGTVQDNILFGKEMDKTRYEKAIKACALDKDIDDFSHGDLTEIGERGINMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAAILFNDCVMTALRDKTVILVTHQVEFLSEVDTILVMEDGKVIQSGSYENLLKSGTAFELLVSAHKVTINDLNQNSEVLSNPQDSHGFYLTKNQSEGEISSIQGSIGAQLTQEEEKVIGNVGWKPLWDYINYSNGTLMSCLVILGQCCFLALQTSSNFWLATAIEIPKVTDTTLIGVYALLSISSTSFVYVRSYFAALLGLKASTAFFSSFTTSIFNAPMLFFDSTPVGRILTRASSDLSILDFDIPYSLTCVAIVAIEVLVMIFVIASVTWQVLIVAVPAMVALIFIQKYYQATARELIRINGTTKAPVMNFAAETSLGVVTVRAFNMVDRFFKNYLKLVDTDASLFFHSNVAMEWLVLRIEALLNLTVITAALLLILLPQRYLSPGRVGLSLSYALTLNGAQIFWTRWFSNLSNYIISVERIKQFIHIPAEPPAIVDNNRPPSSWPSKGKIDLQGLEVRYRPNAPLVLKGITCTFKGGSRVGVVGRTGSGKSTLISALFRLVEPSRGDILIDGINICSMGLKDLRMKLSIIPQEPTLFKGSIRTNLDPLGLYSDDEIWKAVEKCQLKETISKLPSLLDSSVSDEGGNWSLGQRQLFCLGRVLLKRNRILVLDEATASIDSATDAILQRIIRQEFEECTVITVAHRVPTVIDSDMVMVLSYGKLVEYDEPSKLMDTNSSFSKLVAEYWSSCRKNSLPYISKKHQ >RHN49359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54274350:54274543:1 gene:gene44180 transcript:rna44180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol O-acetyltransferase MTSSSLMFTVRRCQPELVPPAAPTPREVKLLSDIDDQEGLRFN >RHN80800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40947695:40949449:-1 gene:gene4767 transcript:rna4767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translationally controlled tumor protein MLVYQDLLTGDELLSDSFPYKEIENGMLWEVEGKWVVQGAVDVNIGANPSAEGGDEDDGVDDQAVKVVDIVDTFRLQEQPTFDKKQFVTYMKRYIKLLTSKLEPEKQELFKKHIEAATKFLLPKLKDLQFFVGESMHDDGSLVFAYYKDGATDPTFLYFAYGLKEIKC >RHN69986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47775125:47780194:1 gene:gene18522 transcript:rna18522 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQNVYPSSSTRLQFSFPHSKLNQPRFSSFSLRIRNARFQPIISSASNVQPKKHTSSSTRNKNPNNNNNNEKKKKNNNKSNDEIGDSISNSSLPQIPTSLPKPPAGFVVDDTGKLLTSSMDRLATLVDPANNLPLECVIKRVFTSSRGDDCMLLCPLDMPLQILQSTGDGWSEVSYEELESLLPAASFALAKLRMHLIYSGYCFTARGGFCYSEEDIFDFHADGKDDGLATEGVEITHFNQEAAHYMIYTPSDPLLFVAAKDQNGMLQIADDELLEDPAVISAIDEETEFNALVEEEAALLDSLLGKR >RHN61756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38073376:38077769:-1 gene:gene24249 transcript:rna24249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MVEELFFWKGSNNPNPNPNPFQQFQNHSNLLLLSGPPSSGKTSLLFQFAFNVASSAIHSNPNVVFICNQNRLDSKPPFLSQGIDPSSNVFQRIQMKYVNDDEDIRKYFAAFHLYDTLPKAVVIDDFGDFFDNKVCQQRYSNPRGRDLAMIKSLALCHNAINYANQKGSCKLLLSDTHTHQGDSPRFHFIYKKWIHTTFTIKEGDISGSFILKGRSYSRTDDTGTRSIKAAKYSIALQYLVFDGLINDQVEYKSTSSTSRTD >RHN74345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32021679:32026722:-1 gene:gene10386 transcript:rna10386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MEVNFGLCFCLLVLLVPFTCAGRATISTTTGNGGNSGGSIQKLEVKKHLKNLNRPPVKSIKSPDGDIIDCVHVSHQPAFDHPELKDHKIQMRPNFHPERKTFGESKVSSNSNSNSKPITQLWQKNGMCSEGTIPIRRTRTNDILRASSVQNFGKKKQKSTPQPKPAKPLPDILTQSGHQHAIAYVEGGDFYGAKATINVWDPKIQQPNEFSLSQIWILAGAFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNGIALGASISPLSNYGSSQYDISILVWKDPKEGNWWMQFGNDHVLGYWPAPLFSYLTESASMIEWGGEVVNSESDGQHTSTQMGSGHFPDEGFGKASYFKNIQVVDGDNKLRAPKDLGTYTEKDNCYNVKTGNAGDWGTYFYYGGPGRNPNCP >RHN55161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15696747:15699774:1 gene:gene30298 transcript:rna30298 gene_biotype:protein_coding transcript_biotype:protein_coding MLNILNGTSLLTIFDHVDCCGTMIRQWVCLNIWRRICESYFSIIDQPLHCAFKGITSFNVMATAPFMEVTSLVKSIPRW >RHN78428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15006449:15006970:1 gene:gene1982 transcript:rna1982 gene_biotype:protein_coding transcript_biotype:protein_coding MCGPIKRFFLPLEAAKKWGRRASVSCFYNLVFIEKCAIQKISLFMCKISQW >RHN49502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55236314:55238573:-1 gene:gene44341 transcript:rna44341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MCGSMLMLELPNATSHGTSHPTRFYCLTCPYICPIRKEVKIKRRQMLVRKGIDPIISHDEINKNKPKADIPCPNCRHPQASYHMEQTRSADEPATIFYKCMNQKCEHQWKEG >RHN71876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3298115:3299475:-1 gene:gene7519 transcript:rna7519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kelch-type beta propeller MGSLPSPPPSPSQENHPSNYVMVTIFCPREPTPNVTLPNSIHLYYPSMNTWTNVGRIPGLVDDQVLKGFSMISLGDFIYIIGGQICNKEKVHVNDDSAEFLDEGIKVVPNVLRYNIRTNQWFNCAPLCVARYDFACTVCDNKIYVAGGKSRLASARGISSAELYDPDFDTWSRLPNLHILRYKCIGVTWKGKVYIIGGFAERENSDMTMPSIVERSSAEVLDSQARKWDLIAGMWQLDVPPNQIVAVNDTLFSSGDCLNAWKGHVEAYDGKFWNEVDGSRKRSLSTLEYNYENWPLNQRLYLTMAPIGNKLFFLAGYRVGGGELARTMSVVHVFDTSATVDPWKSFEPMELEGEKELCSHCCVVQLSSP >RHN38999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3419809:3421400:-1 gene:gene44983 transcript:rna44983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MNKGENTIFIIPPGLACGKSTLPPVTSSQFDICKDGGLLKKILKEGKKWENSKDPDDVIGMHLDVSIICNFIPVSDFVLTISSTPCGITVKYEARLYDGTLVAKSDKVEFTVEDGHCIQLLLIVSCIFIFLGNLTFMFTCYVIGHCCLTLPKTVKNMKKGEKVILTVRPQYGLGEKGLVPPNAIIVSTMYYQVERVSFLKDMWSWEMNTEEKIKVARKKKIVGNKLKFDKKFARASEKYEKVFKAKLICFTLIHFMYCFLN >RHN64580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60187696:60192739:1 gene:gene27408 transcript:rna27408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mediator complex, subunit Med20 MPVRWILHWQPSQGAVVNSHILNEISQCVENLNGVKDGRTKATLTFYRPNLRDQSLSSEFPRDFLGISLMEQPNKYYFIIRGHKLAVEADSSILTIMEKLQSYKSKVTLNFEGTQYKLGDFQVRMIKVVPNQAENLRGILMEIEYLPNSSVEKVKPIMEEFIEIWRDVLSKKSLPGQFMRTEPIFVEYGLSDHYTWQHTAVQYAAALAQLIASVQVRN >RHN55511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19118749:19121523:1 gene:gene30704 transcript:rna30704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MMWNFASHALTSIGMKRSSTEPILSCAECSDDEVCSNASKDEGLECPICWESFNIVENVPYVLWCGHTLCKNCVLGLQWAVMKFPTQQIRIPFFVSCPWCHLLSFRFIYKGNMKFPRKNFFLLWMVESLNGDRHKAVSASVDSQPIWSPKVNLLGSQGTGCSPSRSSSSHCSEQLGSNNAVRGSDRERHYFSLHKSLDFFLHFTSKFPLVIIFLLIAFFVIPCSIVILIIYFLLTIIFAIPSFIVLYFAYPTIQRLVREITS >RHN49158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52887463:52898731:-1 gene:gene43957 transcript:rna43957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative von Willebrand factor, type A, Zinc finger, Sec23/Sec24-type, sec23/Sec24, trunk MGTENPTRPNFPSRPTSSPFAAAPAAAQTMTPFSTTGPVAGSEPPSFRPTTPAPPQAPTPFSSSGPAVRPGAPSFRPTPPGRFNDPSVPPPPPSSNVPPMPTAGAFQHFPAPPFSSTVQPPLTRPPPLGQPSIHQHASQPPPFPPSIPPQPQMPYVQMGSPPMGSNVPPPQFQSSVPGYARMQPGAEIQAPPMHSSIHANQGNYGPPPPASSSPFLPHQGGYASSLPVGNPIGIQPTQQPGYVPPTGTIQGLTEDFSSLTMQTRPGTLDPLFDAKELPRPLDGDVEPKKLAEIYPMNCDPRYLRFTTSAIPSSQSLASRWHLPLGAVVCPLAESPDEDEVPIISFAPASVVRCRRCRTYVNPYVTFTEAGRKFRCNVCTLLNDVPSEYYAQLDATGKRVDLNQRPELTKGTVEFVAPAEYMVRPPMPPVYFFLIDVSISAVRSGMIEVAAQTIKSCLDELPGYPRTQIGFATFDSTIHFYNMKSTLAQPQMLVVSDLDDIFIPLPDDLLVNLSESRSVVEAFLDSLPTMFQDNVNLESAFGPALKAAFMVMSQLGGKLLIFQNTLPSLGVGRLKLRGDDSRVYGTDKEHGLRLPEDPFYKQMAAEFSKYQISINVYAFSDKYTDIASLGTLAKYTAGQVYYYPAFQSAIHGEKLSHELRRDLTRETAWEAVMRIRCAKGVRFTTYHGNFMLRSTDLLALPAVDCDKAFAMQLSLEETLLTTQTIYFQVALLYTASCGERRIRVHTMAVPVVTDLADIYRLADTGAVVSLFCRLAIEKTSSQKLEDARNAVQLRIVKALKEYRNLYAVQHRLANRMIYPESLRLLKLYGLALCKSTALRGGYGDVSLDDRCAAGHTMMTLPIKKLLKLLYPSLIRLDEYLLKPSIQADDLKSIERRLPLTGESLDSRGLYLFDDGFRFIIWFGRVISPDIAKNLLGADFAAELSKATLHEHNNEMSRRLMRVLEKLRNDDRAYYQLCHLVRQGEQPKEGFLLLTNLVEDQMGGNNGYVDWMLQISRQVQHS >RHN78771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18180145:18183129:1 gene:gene2420 transcript:rna2420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat domain-containing protein MDSGINVAAFAHSPIHKAIILKDYANLKEILGGLPKLSNPYEIKTEAASIAEDEKAAAISAVVDRRDVLNGDTPLHLSVKLGDVDAAEMLMAAGANIRLKNNEGWSALRQAVINKQDKIGLIMIKYSYNEMDEKWFRRFPRYFGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANMRADMTLAGFDGLRIKRSDQSVLFLGDDSDDERIHPGFMCLISHEKKEVVVPYLTRPSKPDEKEMKQYLAKKSTEAKVVRVGIDVSQALLVPQITWRRKEKKESVGPWKSKVYDMQNVVFSVKSKKVPGVQAQAKLPAKENPKKENEKLDDILTDEERKQLEAEMNFTEENYGQNKKEAKKGKKGKSSGHKEKDHHYHKGKSTKINGSNSASSSGSHKEENGESEFKRGVMPVLWLSPNFPLKIEEFLPLLDILAEKVKAVRRVRELLTTKLPKETFPVKIAIPVVSTVRVFVTFTKFEELPKVDEFESAPSSPTSADFENASEEASSSSSSSWFQWIRTPSQSNLSAAENFSKAQDLFTIPSEYKWVTIDSKIEKNKKKETKS >RHN72019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4391301:4394506:-1 gene:gene7686 transcript:rna7686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVVMLVGNKADLRHLRAVSTEDSTAFAERENTFFMETSALESMNVENAFTEVLTQIYRVVSKKALEIGDDPTALPKGQTIDVGSRDDVSAVKKSGCCSA >RHN82608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55157734:55158129:1 gene:gene6783 transcript:rna6783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAGKGLVAAKTIGDNKDRDKKEPISRSSRAGIQFPVGRIHRQLKHRVQANGRVGATAAVYLASILEYLTTEVLELAGNASRDLNVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPNIHKSLINKTAKE >RHN48860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50734038:50734667:-1 gene:gene43621 transcript:rna43621 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGCLRTISTILESVNSLPQLFVQIEPTLLHIMRSMLTTNSQGIPL >RHN51775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24779279:24779605:-1 gene:gene36293 transcript:rna36293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MKFREIDTQEEFEEILHKIKQEPFDCSKKDNCRCDDPADIEYDSTRTWVKYKPNIPKTPKGFKRISVLRDDYSKLDSYYITPTGKQLRSRNEIAAYLKDHPQPNGVSA >RHN77341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6141980:6143650:1 gene:gene787 transcript:rna787 gene_biotype:protein_coding transcript_biotype:protein_coding MERNTKHALMKFFDYFLKLVITCKTVAKVSTLFRPSKVGYKNRMTC >RHN49510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55281538:55284401:-1 gene:gene44349 transcript:rna44349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MTSKTSMNILLFIFMLVLPCLVGLSQGECDFKAIFNFGDSNSDTGGFYAAFPAESGPYGMTYFNKPAGRASDGRLVIDFIAQAIGIPFLSPYLQSIGSYYKHGANYATLASTVLLPNTSLFVTGISPFSLAIQLNQMKQFATKVKEADQQETKLPSPDILGKSLYTFYIGQNDFTSNLAVIGTGGVQEFLPQVVSQIAATIKELYNLGGRTFMVLNLAPVGCYPSFLVELPHNSSDLDEFGCMVSYNNAVVDYNKMLKESLKQTRESISDASVIYVDTYTVLLELFRHPTSHGLQYGTKACCGYGGGEYNFNPKVYCGNTKEINGKRVTATACDDPYNYVSWDGIHATEAASKLITYAILNGSYSDPPFPFQEHCDLQPIG >RHN39672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9495031:9495546:1 gene:gene45718 transcript:rna45718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MVKYNAILFIFVSVVWLSIIFLVSDAFESDDESSKTYIVYMGSIPKGTSYYPTSHHLSMLQQVIDGSNVENHLVRSYNRSFSGFAAILNDQQREKLIGMRGVVSVFQCQNYHLKTTRSWDFLGFSQSIKRDQIVESGLVTGVIDGGIWPESESFTDKGINVSINHGHDILM >RHN41967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34382191:34384758:1 gene:gene48347 transcript:rna48347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-methyl-2-oxobutanoate dehydrogenase (2-methylpropanoyl-transferring) MAVRMYSEMVTLQTMDSIFFWYRGKEEFPSTSPQWEKKQLTLHQQLRFLLMIYLASGDGIVVKGQAYGIWSIRVDGNDALAVYSAVHTAREIAIKEQRPVLIEALSYRVGHHSTSDDSTKYRAIDEIGKWKEILRIDSKNGLKGMVGGVTRMNCFFEFVLQLMHAIQVAEKAQKKQERELRNIIEKHPKPQVDMSSL >RHN65811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5567000:5567408:1 gene:gene13701 transcript:rna13701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative annexin MVIFVRQLLVGLVSSFRYGGSEVNASLAQCEADMLHEAIKHKNHNHEEVIRILTTRSKTQLVATFNCYRHCYH >RHN66079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8393586:8394023:-1 gene:gene13992 transcript:rna13992 gene_biotype:protein_coding transcript_biotype:protein_coding MRYHLFVVKCILLNYIVTSFSALSTSMTLLDVLFDIFRRAEVSMKKETPVNFLTDSLDRRSTPVYVMVYRCVGGKHACVDLTGVSPLVGLGVGSFTVGRISLKTASSKIAEHEKAFSDNQHAFIPFVFDTFGFLASEVVDLLHRV >RHN49118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52586161:52590678:-1 gene:gene43912 transcript:rna43912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MVRHVVSPQIPPVFLNDAAREAGTENPAYTEWEEQDSLLCTWILSTISSSLLSRFVRLRFSHQVWDEIHNYCYTQMRTRSRQLRSELRTITKGTRSIAEFIARIRSISESLMSIGDPVAHRDLIETVLEALPEEFNPIVATVNSQTEVISLDELESQLLTQEARNEKFKKALVGETASVNLTHAENSGEKNGHNQPQTGSYPDQQFNISGNPTGNNSSQYFNPNFGGRNGSRGRGFRGNRFRGRGGRNFGRGNIQCQICYKTGHDASICYHRLSVPPQYEGYGSLGGNFGGNLGSGYGPATGFGTHSNVWMQGVGQRNPSYGAPRAPFPPQFGNSRPPAPQAYITGNESTSSNSFNNGWYPDSGATHHVTPDANNLMDAASFSGSDQMYIGNGQGLAINSIGSMSFSSPFSPNTTLTLNNLLHVPSITKNLVSVSQFCKDNNVFFEFHSNICYVKSQDSTKILLKGHLGDDGLYQFDQPYVPSVSRTASSSSVATSSLSLNNCFSPSSLSLSRSQCNNGSVYTPIHTSGSSNDSSNSLSLYKVWHNRLGHPHHEVVRSVMKLCNQQLPNKSFTDFCSACCLGKSHRLPSVSSKTVYNKPFELIFCDLWGPASVESHGGYSYFLICVDAYSRYTWIFPLKLKSHTLITFQNFKTMVELQYNLPIKSVQTDGGGEFRPFTQFLTTLGITHRLTCPHTHHQNGSVERKHRHIVETGLTLLANAKLPLHYWDHAFLTATYLINRLPSPILNNKSPFFLLHLQIPDYKFLKSFGCSCFPFTRPYNNHKLELRSKECVFLGYSPSHKGYKCLDPTGRMFISKDVIFNEYKFPYSELFTSGQSSSPPTTSSDHTPLPSFLFPLNNKQCPTTQSSSTPTTTLHTASPHSSFPESNQSNHHHSIQDTHASSHSNHHNISPGPIFNPTPISTHPPSPSPSSHSHNTYHSISVEPVTSQPSTQAEPHRIHPNNTHSMATRAKHGIVQKRKHPTLLLTHIEPTGYRQAMKQPQWLQAMQLEHEALMKNNTWTLVPLPADRQAVGCKWVFRTKQNPDGSINKYKARLVAKGFHQMPGFDYKETFSPVVKPVTVRSVLTLAVTNKWCIQQLDVNNAFLNGYLEEEVYMTQPPGFEAVDPSLVCKLNKALYGLKQAPRAWFERLKSTLLKLGFCSSKCDPSLFILHANQHSTFMLVYVDDILITGSSASLIQQLVKKLNAEFSLKDLGKLDYFLGIEVHYSENGSLLLSQKKYIQDLLVKANMANANGIASPMASSTKLTKYGSNHVSDPTFFRSIVGGLQYVTVTRPEISYSVNKVCQFLSAPLEDHWKAVKRILRYLKGTIHHGLLINPAPMHQPLSLTAFCDADWASDPDDRRSTSGACILLGPNLISWWAKKQTLVARSSAEAEYRSLAQASAEVLWIQSLLKELKVPTAIPQIFCDNLSTVSLAHNPVLHSRTKHMELDIFFVREKVISKDLIVSHIPAQYQVADILTKPLSASRFLELRNKLRVSDPMSLRGDC >RHN56896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34551950:34553560:-1 gene:gene32360 transcript:rna32360 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTTRAIEYLKDDLTFMEEEDEDESVVNCWLVFVLEFVEI >RHN65920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6545437:6545610:-1 gene:gene13816 transcript:rna13816 gene_biotype:protein_coding transcript_biotype:protein_coding MYLICIVNITDYISSVQPQTSFFVILFEYKSLVVVLEHTKSLDFVLEHRKSLAVVIE >RHN58727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4306965:4307330:1 gene:gene20661 transcript:rna20661 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLEIQAEPIVGGGFRLLTIRDIIGQPEDGGGYWKRIIGMLGLLLRKILGIFAIFAYTDKEKWIDNMRGMLSVVATVIAIVSFQSFTNPIGGLLPLEKNSSICRFVGEYWICPVKPLSQR >RHN64797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61958477:61962145:1 gene:gene27656 transcript:rna27656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MYVTNKKKTQFLTDIFFPPEMFVSLTRQSKSPDPSETEPPYFAIPCGDCLTFRMENRCPIYGPLTEELTFLEGSAPFNNCHASTIVEFDKDHFLVAYFGGTSEGAPDVKIWLQTCKNGRWESPVIADEQPNVPMWNPVLFKLPSDELLLFYKIGQEVQKWSGFVKRSYDKGNTWTEREQLPPGILGPIKNKPILLENGHLICGSSVESWNSWGAWVEVTSDFGRSWSKHGPIYIENEPLSVIQPVPYQTADGKLRVLLRSFDGIGRVCISESSDGGQTWGHAKPTQLPNPNSGIDGVKLRDGRILLVYNTTSRGILKVALSNDDGDSWYEALTLEDSSGMEFSYPAVIQASDGLIHITYTYKRTQIKHVTLRPN >RHN63482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51662119:51665670:1 gene:gene26183 transcript:rna26183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA reductase MFGIKSKRESSMKETIRYLAGIAGPSGFGSNSTAEQVTQHCSLFIPSNLTALITGATSGIGAETARVLAKRGVRVVVGARDMKKAMKVKEKIQEESPYAEVILLEIDLSSLASVQRFCSEFLALELPLNILINNAGVYSHNLEFSEEKIELTFATNYLGHFLLTKMLLEKMIDTANKIGIQGRIINISSVIHSWVKRSCFCFKDMLTGKNYNGTRAYAQSKLAMILHVKEMARQLKARNARVTINAVHPGIVKTGIIRAHKGLITDSLFFIASKLLKTTSQGASTTCYVALSQKTEGVSGKFFTDCNESSCSRLANDESEAKKLWNNTNNLLHKRLRQAAIGSSSSLYS >RHN70747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53698037:53703368:1 gene:gene19361 transcript:rna19361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MMIGLRKHKLRRPALVIALASVACFVMIITAYIYSTPTRTGSDKCNFYSSEGCRTRDLFPNDFSRELTDKEIESRVVVKDLLNYVPIQTNTPKVAFLFMTPGTLPFEKLWHLFFQGHDGRFSIYVHASREKPVHFSRYFVGREIHSEPVSWGSFAMMEAERRLLANALLDPDNQHFVLLSESCIPIRHFEFVYNYLVFTNVSFIECFVDPGPHGNGRYIEHMLPEVEMKDFRKGSQWFSMKRQHAVIVIADNLYFTKFKYYCRPNMEGGRNCYSDEHYLPTYFNMLDPGGISNRSVTYVDWSEGKWHPRSFGAQHITYKLLKTLTSLNQSPHITSDSKRTVLITPCMWNGSKRPCYLFARKFYPEALDKLMYLFANSTVSFGN >RHN66318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10799467:10802180:1 gene:gene14277 transcript:rna14277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSSPRSSSLQYLEIRSHDSIELFKVKLQMNALTALEKLFLKCRGLLSFCEGVCLPPKLQKIVIFSKKITPPVTEWGLQDLTTLSELMIKEAGDIVNNLVTESLLPISLVSLDLYKMKSFDGNGLRHLSSLQRLDFCQCRQLQSLPENCLPSSLKTLRFVDCYELESLPENCLPSSLESLDFQSCNHLESLPENCLPLSLKSLRFANCEKLESFPDNCLPSSLKSLRLSDCKMLDSLPEDSLPSSLITLYIMGCPLLEERYKRKEHWSKISHIPVITINNQRTI >RHN53014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41187043:41190073:1 gene:gene37758 transcript:rna37758 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPIIFSVSIENLIDKICKEQTQQPLDHLARRRLAAIEEQQAFTLLTNISKCKITKSLNAYVMHMLKQPPYSHSPSNPSPSSSSTLSPSQPYSLSAPPSTPPPVARASSIHNTNTYRIQLPKKERLFKIADFPQQVLNTTLPHISTLEANHLVDNPEMVSQNPQKTPPSPIINSHSNKHEPFETISLIATTNAVNLLEDEQLLCPTSPIVSDGNLHDCLTLSSTHLHFPSFDNFVEELSHKVTNIRNLNKSTMNPYIIADQWKNCIAFVNDWMNTNVKCGQKIAEKNMENPQKFLLGIPKISTSIPHIITMEEPTMNFTPPIQRKPVQFFATKEDLDNLEQIKKSQAQIQHTLDLLLSKFC >RHN64248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57633371:57634111:1 gene:gene27043 transcript:rna27043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MADHQLNGAHYGPSIPPTRPQRRRHNAGCGFCSCILGCFRSCCGCMFNCILSLICRIIATIIILVAIFGFLFWLIVRPNALKFTVMEASLTQFNFTNNNTLHYDLSVNLTIRNPNRRVSVYYNDIETLSFYKDFRFGSQTLGKFFQPHKNTSLLNPVFKGQQVIPLSSSQISEFNKETNNGVYGINLKVLLNVRFALGLIKTGNLKQNHHCDLKVPFKSHNGTSSLGNGFQATRCEWDSKWWLLFR >RHN53744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3760065:3762138:-1 gene:gene28686 transcript:rna28686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MASPIFLVLLCFILCFSPSSQTILLPLTHSISKTKFNSTHHLLKSTSTRSKARFHHQHHKHQTQVSLPLAPGSDYTLSFNLGSNPPQLITLYMDTGSDLVWFPCSPFECILCEGKPQTTKPANITKQTHSVSCQSPACSAAHASMSSSNLCAISRCPLDYIETSDCSSFSCPPFYYAYGDGSFVANLYQQTLSLSSLHLQNFTFGCAHTALAEPTGVAGFGRGILSLPAQLSTLSPHLGNRFSYCLVSHSFDGDRLRRPSPLILGRHNDTITGAGDGESVEFVYTSMLSNPKHPYYYCVGLAGISVGKRTVPAPEILKRVDEKGNGGMVVDSGTTFTMLPESFYNAVVNEFDKRVNRFHKRASEIETKTGLGPCYYLNGLSQIPVLKLHFVGNNSDVVLPRKNYFYEFMDGGDGIRRKGKVGCMMLMNGEDETELDGGPGATLGNYQQQGFEVVYDLEKERVGFAKKECALLWDSLNSEKN >RHN59772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12979405:12980607:1 gene:gene21920 transcript:rna21920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 31 MQVPLSEIKKMRGKPASAKTILFLCIACFLAGTLFTGQMWTSPSSHESTTLPVVRHDGGHKRKVIEDGPGDVMEEVTKTHQAIKSLDRAISTLGIELTASKTSQTGGQGQHLRQHASNHSIQKAFVVIGINTAFSSKKRRDSIRETWLPKGMYMVPVKGSKFPAVQKAKFTGAIRFGRLY >RHN67840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30669995:30670660:1 gene:gene16079 transcript:rna16079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MCNLFAIHLWQELSANVENLCSLEMLEVENICFLKNLAKIIIVGCEKLEIAFSTSIIMCLPQLLHIRIEKCKELKHMIEDDLENVCFPKLRTVVVKECNMLKYVFPISICKELPELEALIIRESEELEEIFVCKGDEKVNIPKLKFAAFVNLPSLYQTQGIHFQAVQNRIVQNCQELSLTNAITEHFRNNTYDYISGTDFVQYFYILRRSRFKDNILLKTF >RHN41904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34003651:34005786:1 gene:gene48272 transcript:rna48272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MTSFNGDKSSIIAKNYYALVILFLLYFVAMLDKFVADEIKAAPTLYLFGDSTFDVGTNNFLNSKTKANSPYYGIDFHISFPTGRFSNGLNTADQIARQFGYTKSPPSYLDLEKLQYTFKQNIMVGVNFASGGSGILRYTGYKQSGEVICLEKQVHQFASVHENITKTLGPEKSANFVSKALFLISIGSNDLFDYERNESGVFHLGKEENLAVLQQNYYSYITKLYELGARKFGILSIPPIGCYPVVTSTNGGNCVKPLNDFAVAFYKATKTFLQKLSLELEGFEYSLGNIYAMFTTMLKHPLVFGLNDTKSACCGIGKLNGEGPCLKTLKENRCGIGMFNEDGLLFKSLNDKLLGIRKFSIEDSCVKPLNINLCVNRDNHLFWDWLHITERASKLIAEMVFEGGIEFVFPKNFSQLVS >RHN43673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47646082:47652449:1 gene:gene50284 transcript:rna50284 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDKSPSTVIGNRDRDRELLIPVANSGDDTDASRPLASSSSMHHSGRETFSKVVQSWASKKFMTGCVILLPIAITFYITWWFIHFVDGFFSPIYAQLGIDIFGLGFITSITFIFLVGVFMSSWLGASVLGLGEWFIKRMPLVRHIYNASKQISAAISPDQNSQAFKEVAIIRHPRVGEYAFGFITSSVVLQSYSGDEELCCVYVPTNHLYIGDIFLVNTKDVIRPTLSVREGIEIVVSGGMSMPQILSTLESRVPAQISRPDRR >RHN79879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33128913:33136075:1 gene:gene3730 transcript:rna3730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MTSMTPDHSKKVGLGMTIPSPAPFLTPRPERRRPDSRGSDRNPNRQDNKDKETNVQVLLRCRPLSDEEQRSNVPKVVSCNENKREVTVMHTIANKQVEKVFNFDKVFGPKSQQRSIYDQAIAPIVNEVLDGFNCTVFAYGQTGTGKTYTMEGGMKNKGGDLTAEAGVIPRAVRQIFDILETQNADYSMKVTFLELYNEEITDLLSPEDNSTRPIEERVKKPVALMEDGKGSVLLRGLEEESVYSVNEIYTLLERGASKRRTSETLLNKRSSRSHSVFTITVYVKETVIGDEELIKCGKLNLVDLAGSENILRSGAREGRAREAGEINKSLLTLGRVINALVEHSAHVPYRDSKLTRILRDSLGGKTKTCIIATISPSAYCLEETLSTLDYASRAKNIKNKPEANQKVSKAVLLKDLYLEIERMKEDVRAAREKNGVYISHERFAKEEAEKKERSEKIDQLENDLNLSQKQVDKFRELYLTEQEQKLDLECELKDCKVNFEKTSNDLHDLQENYRLVVSKLKEKECTISKLLKSENALIERAKEMCTDLQNASDDINFLSSKLDHKERMEAENKKIIVNFASLLNGSLKDLHTTIIGSISQQQKQLKCMEDHVCSHLASKSDAAHALEARIKKMTEIYSSGVGTLKELANTLHMKASSDMEQIQNKVSSQTLAVENFLATVVLEANDVIDSIHNSLDEQKQLVAFSTQQQEEGLQQSIISARVVSEATVKFFDNINMHSSRVMKIVEETQKERFHQLSNFEMKFKEEAGRDEEEALEKITAILANLTSKRTAMVSEASRNMQDASVQQSKRLQLEMFNVQQVSKDATKEVNEYVENANSQFVKQIFSVNSFKATIEDCLQDCSNTVDCSKKQWESAQLSFNNFHKNNLAEIESTVKKNILTNHSLDQKFVSASLSMDADYDAGTHNLLADVNGALMMDHENKKEIDSMTTQLLEQLSSLQDKHGGNVSDINIEAEKCLVKDYLVDKHTSSALNKKVVSVPSHASIEEMRTDIVEDNSTENRLKWTATESKIPRLTENRTPFANVN >RHN50191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4973552:4975728:1 gene:gene34454 transcript:rna34454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TCP family MINIPKEAEFPLKQEVLPSNDSTTSSSTTSQWLKLKDPRIVRVSRAFGTKDRHSKVYTIKGLRDRRVRLSIQTAIHLYDLQDRLGLNQPSKVVDWLLNIAKDEIDELPPLQIPQGNFTLGYPSFASTIFNDDVRQNNTSIWKSNSKQGLGDIVTNKENWMNRSEEDKEKKKENDNRDCAQHVSPDSLLPHLVPDNVSNIIISRGANQPSFLGSLNTMPLGYQLEPSSSHVPQLSNFGFVNQIKDIDGNNGMHVVPFPSSLCLSTQQYFPSHVGAVEMDPTQINYHYDQMLSSSSQNDSFNPTQQLMRVTPKFFHSLNNSESYSSHKDQDFPSK >RHN45944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27091352:27092469:1 gene:gene40374 transcript:rna40374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MYHSTIYLYIATNNCFSPHNATLHLLPSSTDHYIRMESTPNFVKRVLREALGDDVSDFKLLVFAVHAFILESGFVRVDHVSDEVLELWKIVNDRLAFPLLIDLCDKAGLILPPCFMSLPMELKLVIFEYLPGDDLAKVCCTCSKLQYLASNDELWKKKFEEEFGQSVNGMRFYKSLYAQYRETKKNSEQSFSFRIPRTRILRYFQRRRGGG >RHN57475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39400460:39401139:-1 gene:gene33022 transcript:rna33022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSSSSSSSSTDTKKGTWSKEEDEILKAYVEKHGTRNWNEVSKNAGLIRCGKSCRLRWYNHLQPDVKKGPFSEEEKSKVFEFYIKYGEFKWSKLAHELPGRSDNDIKNFWNARKRKLEKLGLSPFPDNMEPDHKLNSSQQVEDSQEDEFNIPQLKFRKYPSIFDEINEKLLDVPNMFYNNVGSTSTLIDR >RHN45098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11744932:11767129:-1 gene:gene39301 transcript:rna39301 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGLRNKVSAQHKTDLVNHKPAKKQKITPKLDDPLMNGLSNKVYAQIKKELVDQGPSKKQKNTPKLDDSMMNGLSNKVCAQIKKELVDHGPSKKQKNTPKLDQSMFNGLSNNFSAQVQKDLGDDGPSKKQKSTPKIPSMSLDEYFKRSREQLEAEESENEELYREQEVEGEINYENGDGDNSMEEKIHCSRKRTEGCICYYK >RHN75379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41746987:41747328:-1 gene:gene11568 transcript:rna11568 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSGDDEKKDAPSTEMMNDPKEQGEIEEEVVKSLKLTVIIPKFEMEGDDELEEFKTPTAPKYRIPPPLVCPPAPKRKRMFMPETPHMAFFQTPLSVLYGKFARANKKHKSD >RHN52189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32521871:32522831:1 gene:gene36831 transcript:rna36831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKPVLSLTLSFFLFSFITNISPNNAIEQVLDINGTPLTPGGQYYILPESDNPSIGGLILNKIDDLECPVTVVQDITVIGLPVKFSMLENSTSNILPGTDLEIEFTTKPDCAKSSKWSMFVDHDTQLSFVGIGGSANNPGVETTSGKFLVVKHQHGSGHAYRIGFCLDTTGDCGFIALEFFNSEDGGPRLIFTVNDAYSVVFVDAASIKSASHALPI >RHN82411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53514268:53527033:1 gene:gene6574 transcript:rna6574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine--tRNA ligase MPTKEDISEKEKCLDLFLKIGLDERTAKNTIANNKVTTNLTAVIHEAAVTDGCTRTVGNLIYTVATKYPGNALPHRPTLLEYVVSSKVKTTAQLDAALSFLANTGSENLDVNKFEEACGVGVEVSTEDIKKAVNEVFEENKASIIELRYRTNVGELLGHVRKRLPWADAKAVKQLADAKLYELLGDKTEADNEKPSKKKKEKPAKVEDKAAPIATPEKPPEEDLNPFLIFPSPEENLKVHTEVPFSDGTILRCCNTKALLDKHLKATGGKVLTRFPPEPNGYLHIGHAKAMFIDFGLAKDRDGGCYLRYDDTNPEAEKKEYIDHIEEIVQWMGWKPFKITYTSDYFQELYDLAVELIRRGCAYVDHQTPEEIKEYREKKLNSPWRDRPISESLNLFEDMRRGLVEEGKATLRMKQDMQSDNYNMYDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCIVDSLENVTHSLCTLEFETRRASYYWLLHALGIYQPYVWEYSRLNISNTVMSKRKLNRLVTEKWVDGWDDPRLMTLAGLRRRGMTSTAINSFVRGIGITRSDGTLISVSRLEYHVREELNKTAPRTMVVLHPLKVVITNLEANSTIEVDAKKWPDAQADDSSAFYKIPFSNVLYIERTDFRMKDSKDYYGLAPGKSAILRYAFPIKCTEVILADDNETILEIRAEYDPSKKTKPKGVLHWVAQPAHEVDPLKVEVRLFDRLFLSENPAELDNWLGDLNPHSKEVIPNAFGLPSLRDAKVGDSFQFERLGYFVVDQDSTPEKLVFNRTVTLKDSYSKGGK >RHN40884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23750293:23752728:-1 gene:gene47128 transcript:rna47128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MGVPERDPLSQLSLPPGFRFFPTDEELLVQYLCRKVAGHHFSLPIIAEIDLYKFDPWVLPGKANFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKIITTEGRKVGIKKALVFYIGKAPKGTKTNWIMHEYRLLDASRKHNLGSSKLDDWVLCRIYKKNSSSQKEIPTFSSKECCNASSPSSSSQVDEMLDSFLEIDDQSFALPRVTSLRMLQQEEKFGFNNMGAGIFSDWVNPTDIESSPEFGLGNQSDGMMNYGCNDYCVPSVPPFGNMDLSAESRNPTDEEVQSGMRTNRVTDPSSLYQHNTNVFLSGLGDPFGFGYSGH >RHN52776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38659806:38665623:-1 gene:gene37481 transcript:rna37481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CALMODULIN-BINDING PROTEIN60 MATKRVFDDSNDKDQDNPNDKRMRSSTSRPSFASVIETAVKVQNMQNFLGALEPFLKRVVSEEVDRAIGKCCPSSINRSPSLRIQAPRDQQPTLQLSFSKRLSLPIFTGSRILDAEGKPICISLVDKTNNNQIVQTSLPYPIKLEIVVLDGDFPHDENENWTSEEFNKDIVKERPGKRPLLSGELNLTMRGGIAPIGDIEFTDNSSWIKCRKFRVAVKVNHGSNQSVRIQEGMTEAFVVRDHRGELYKKHHPPMLSDDVWRLEKIGKDGAFHHKMMAKGIITVQDFLKLAAIDTPKLREILGIGMSDKMWKVTMKHAMTCDMGSKIYRYRGPQFIILLNPICKFIKANINGQEFSSREYLSQMNKSYIDKLVGEAYTKWHELEEIDGVLNDNIALLTQGDQIVEQYPNNHPATVATTYHQNQYYGDPSIENIASYVPSNNAQMVSGEWLPNANGFPFGFTGSQSDGDMTPSSSVPRDINGFTRQL >RHN60770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30265378:30266559:1 gene:gene23131 transcript:rna23131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MKQVTFFFFFSLFLLFSSTLSISQAPESSPKSPKKTPPTPKATAPSPKSLVPTLPESPDSTDSVPDDITTILKKAKTFSVLIRLLKTTEIMSSVNSQLITAKNGGLTILAPDDSAFSSLKAGFLNSLDENKKIELLQFHILPQYVASSNFDSLSNPVQTIAGKDPTRLPLNVYASGNNVSLSTGVVTASVVGVVYTDNKLAIYHLDKVLLPRDFFATKAPALAPTLAAAAKAPKAANKENSSADDQDQNDQDQGKSGAVRLCGILGTTLMSFVAVAMIWS >RHN50853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11162800:11165535:-1 gene:gene35192 transcript:rna35192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein MACNTLMSSAISAFPSLLSSSKSRFSTSLPLPCTASTNASSRFTMTSDWMPGQPRPSYLDGSAPGDFGFDPLRLGEVPENLERFKESELIHCRWAMLAVPGILVPEALGLGNWVKAQEWAALPGGQATYLGNPVPWGTLPTILVIEFLSIAFVEHQRSMEKDPEKKKYPGGAFDPLGYSKDPKKFHEYKIKEVKNGRLALLAFVGIVVQQTAYPGTGPLENLATHLADPWHNNIGNVLIPPQ >RHN54124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7103291:7107251:1 gene:gene29114 transcript:rna29114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LIM family MEVLTKVNGILEILMKMDQFDRNQIRGLCGAPEEPVCMGMDEPLNKLKIELMKDGVSVLVLTGLGGSGKTTLAKKLCWEPQIKGKFGENIFFVTISKTPNLKNIVQALFEHCGCRVPEFQTDEDAINRLGLLLRQVGRNPILLVLDDVWPNSEGLVENFKFQMSDYKILVTSRVAFRRFGTPFELDPLDHNHAVSLFHHFAQLNHSSIYMPDLNLVHEIVKGCKGSPLALQLVAGSLCKQPFEKWQNMKERLMSKSIIESNSTDLLCYLQQSLDISEDKGQHGALKLPHTQGSGRGLTIWCIVRNLTLFLHKRLFSGDISEDINEKECSIDMGLFPEDQRIHVPALIDLPAELLNSDEDGREAMATDNDLITGNLINAIATRICAGCNAEIGHGRFLNCMEGDWHPQCFTCHACHLPITDYEFSMSSNRPYHKSCYREKYHPRCDVCKNFVSISAVIAQKIRSGSFVQKQLFRSTVQKQLFRSTVQKQFRSTVQKQFRSSF >RHN61155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33520639:33525330:1 gene:gene23590 transcript:rna23590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MDLFDDILLEVPPAPARPSAKFAPKVKSKQSLRKEISASEHATSSVDGKNMHVASTPTVTKSIRDTNLDNDGAESELNKVPSPSTAFLDSNKSLQVNNSLQDSLNVGFKSSSGDNSTAISENNIHSIFTSGKVQEDANERKQSLRKRKRSYVAGVEDDRDKSSRQLRKQVACEHVKNSNSLIEDDDELDPPYICNNIDQIEENDDEDEVDNSSKKKRALTNSKKKYMSKNGKAYKKSKKENGDSEKTTKEPPKKFSRSSRRRKRQVDQALLDDYELGSRSISLRDILAISDDKERQEKKEAKSSTNQSGGDFFHDAGANNEEETFSSDDDGLRDQEDDQASKKFASTVPLYNSHSFRDKSPRVKWSKQDTEKFYEALKEFGLDFTMIQQIFASKTRRQIKLKFKNEDQHHPLRITDAINSHSSDHHIFKSVIAKLPQISTTNANQEATEDTTEDMSPGINEQVATTEQDSADVKDQEDPMAYQSPEQFDDSDDDLWKWSQYQSVI >RHN73289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15135700:15135996:1 gene:gene9087 transcript:rna9087 gene_biotype:protein_coding transcript_biotype:protein_coding MHESKKTKKIMNQETFMVQRVLKVKEKVKKLRKGNRENEITIIMFQCINAEEIVHNDMSMIDLNDLAWLIDQNLKETDRRLEKVDNNGHINQVMTTQS >RHN57152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36890504:36921888:-1 gene:gene32655 transcript:rna32655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anaphase-promoting complex subunit 1 MSIGVRRLTLLGEFKPFGLIAESLDGKSIENVTENYEYFLFDPEIARDRDAEDDCNEVASALNNRGDHELFVRGNRIIWSIGARVFKRFTLESPIFKVCWCHLGHTAEALLCILQVDRLTIYNTSGEIVSVRLPRTITSIWPLPFGLLLQQEFEASTSSRGSFSSTSPLPSVRDMLLSASNHIQKGDGSLVSSHLILMDPLDEQQPTFIEERGKLNIMKEYDEKTIWTSDQVPLMASYNKGKMQHSLWVAEISNSNFDEAASGLLNEDPMGVLPKHLSFRRIWQGKGAQTAACKVFMATDDDAAPAVCFFHQEQRKLLSVSLQTVEINNEIVFDVKPDKSWIIAAVAASPVMVTRPRVKIGLLPYSDIMVLTPENALLLYSGKQCLCQYVLPSCLNKDKILHDLELPESSSLSNALKITGLADAVEGRVNVIVNNKQMFRCALRQSPSSSLANDCITALAEGLGFSFYRYFLGLLWKDDYPTDFSVAESSVDSEWDSFGRVIMKICRKSNIISQKHSGLVPHGAWKFLLSSQFHTNFCKANSLFGKSCAVPLDQLQSNSSTSSIDGKHSFEEPFYTELLVECLESLHALYESLKLDNLRKRDLEHLAALLCNIANFLGEDNYLDHYIRDFPIFCKKFLKSGTTISPKIPPSLFRWLENCLQHGFTYANISDLPSLVRKDGYHVVSLARKIVCFYSILSGANLVGKKLSSGVYCNITTGSHSSKEELTVLAMVGERFGLQQLDSLPSGVSLPLRHALDKCRDSSPNDWPAAAYVLLGRQDLAMSTLARECKYKEIETPTNVNVISMSTPYMLNLHPVTISSTISDAIGLEGTKLEDTDSVDGSMLDGMEHIFNSSTQLRYGRDLRLNEVRRLLCSSRPVAIQTSVNHSASDQDLQQTQLWNLAQRTTSLPLGRGAFTLATIHTLLTETFSVPKLVLAGRLPAQQNATVNLDPNIRNIQELKSWPEFHNAVAAGLRLAPLQGKMSRTWIAYNKPEEPSSVHAGLLLALGLHGFLRVLSVTDIYQYISQEHESTSVGLMLGLASSYRGTMQPAVSKLLYVHIPVRHPSSYPELEVPTLLQSAALMSLGILYESSAHPQTMQVLLGEIGRRSGGDNVLEREGHAVSAGFALGLVALGRGEDALGFIDSFVNRLFLYIGGKVHNERSHFSTISMDENRGSSQMMDGTTINIDVTAPGATIALALMFLKTEAEAVASRLSIPNTRFDLQYVRPDFIMLRVIARNLIMWSSVHPSKDWVWSQIPEIVRCGVEGLGGDDNDIDDMDGDAYMQAYVNIVAGACISLGLVFAGTRNGNAQELLYEFAIYFLNEIKPVSPTSGKFFPKGLSRYIDRGTLETCLHLIVLSLSVVMAGSGHLQTFRLLRFLRSRNCADGQSSYGIQMAVSLATGFLFLGGGMRTFSTNSSSIAALLITLYPRLPMGPNDNRCHLQAFRHLYVLATEARWIQTVDVDTGLPVYAPIEVTVRETEHYAESSFCEVTPCLLPERAILKTIRVCGPRYWPQVIDFIPEDKPWWNFGDKNNPFNSGILFIKRKVGACSYVDDPIGCQSLLSRAMHKVFGLTSLKASDTITDVHSGSGSITVDQLVSTFSSDPSLIAFAQFCCDPAWYNRSDVDFKEFCLQVLFECVSKDRPALLQVYLSLYTTVESMVNQITTGADVSGDSLSISGFKLALTYIEALMTGKLSTPKEGIVQSTFVGSLRKQVEELLNSSQELKDDFHKYLKLGKWPDGESQDKRSILLSWFLQWYNVPASSVIRTAIDRVKPKRMSSSSIPLLRLSLPRTHINVISEIDRCFT >RHN42395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38027890:38032225:-1 gene:gene48832 transcript:rna48832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (S)-2-hydroxy-acid oxidase MDLITNVNEYEAIAKQKLPKMVYDYFVSGAEDQWTLQENRNAFSRILFRPRILRDVSKIDLTTTVLGFNISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGVRFFQLYVIKDRNVVAQLVKRAESAGFKAIALTVDTPILGRREADIKNRFTMPSHLVLKNFEGLELGKLDKTDDSGLASYVSGEIDRSLNWKDLKWLQTITSLPILVKGVLTSEDTKLAIQAGAAGIIVSNHGARQLDYVPATIMALEEVVKAADGKIPVFLDGGVRRGTDVFKALALGASGVFIGRPVVFSLAADGEAGVRKVLQILRDEFELTMALCGCRSLKEISRAHVVTELDRQRVAPRL >RHN56171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28479460:28485669:1 gene:gene31531 transcript:rna31531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome-c oxidase MAETPNSSQTLAEEYYVKDKQEKKEVAEKPVAVEKPVAVEEVEKPKEATPVEAVVEKTVEETIPAAPVVAEESSEVTPPAAEENAQEESSETVVEENSGDQEEAEEKPEIKLETAPVDFRFPTTNQTRHCFTRYIEYHRCVAAKGDDAPECDKFAKYYRALCPGEWVDRWNEQRENGTFPGPL >RHN74057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:25486638:25486947:-1 gene:gene10002 transcript:rna10002 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVPANTSRFGFSPCKKNLLFLVPANMPYFGFGPWLHFCDDLHTWHMMTKPIY >RHN62796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45895942:45897291:1 gene:gene25405 transcript:rna25405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MISSTMKFPSCILLLLLILTTHLFIPSLSEQCNPHDKKTLFQIKKQFGNPTKLSSWDSTTDCCNGTWYGVFCDKQTYRVSTLDLQDLDLPHPVPIPPSIFNLPFLLYLTLMNTPNLVGTIPPSISNLTKLNSIYIIQTNISGEIPNTLSEIKTLVTIDISDNKLTGPLPASLSTLPNLAGIFFYRNQLTGAIPKSYGSFPKSFVGLDLSRNRLSGKIPASLGKLNLQILDLSWNAFKGDASMFFGSTKQTSDLVLAMNSFAFDFGKVELPKNLGKLDLRNNKIYGTLPEGLTELKLLNFFYVGYNKLCGQIPQGGNLVRFYETSYEHNKCLCGSPLPACKT >RHN77198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4897936:4904525:1 gene:gene624 transcript:rna624 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuBisCO large subunit-binding protein subunit beta MASLNLSSCNLSSSASISSFPSSSRRKTTSNVALTRKSRNPKVSAMAKELHFNKDGSAIKKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTAKALVAELKLMSKEVEDSELADVAAVSAGNNHEVGNMIAEALSKVGRKGVVTLEEGRSAENNLYVVEGMQFDRGYISPYFVTDSEKMTVEFENCKLLLVDKKISNARDLINILEDAIRNGFPILIIAEDIEQEALATLVVNKLRGSLKIAALKAPGFGERKSQYLDDIAILTGGTVIREEVGLSLDKAGNEVLGTAAKVVLTKDTTTIVGDGSTQEAVTKRVSQIKNQIEAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKATLANDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSNDDTRYGYNAATGKYEDLMSAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIKEPEVAPVGNPMDNSGFGM >RHN56748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33373984:33378205:-1 gene:gene32189 transcript:rna32189 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP73A3 MDLLLLEKTLLALFIAATIAITISKLRGKRFKLPPGPIPVPIFGNWLQVGDDLNHRNLTDYAKRFGEMFLLRMGQRNLVVVSSPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRYGWESEAESVVNDVKNNAEASIGGIVIRKRLQLMMYNIMYRIMFDRRFESEEDPLFVKLKALNGERSRLAQSFEYNYGDFIPILRPFLKGYLKVCKEVKDRRLQLFKDYFVDERKKLESTKSTTSNDGLKCAIDHILDAQKKGEINDDNVLYIVENINVAAIETTLWSIEWGIAELVNHQGIQNKVREEMDRVLGPGHQVTEPDLHKLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLNGFDIPAESKILVNAWWLANNPAHWKKPEEFRPERFLEEESHVEANGNDFRYLPFGVGRRSCPGIILALPILGITIGRLVQNFELLPPPGQSKIDTSEKGGQFSLHILKHSTIVAKPRSF >RHN71932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3679616:3683007:1 gene:gene7586 transcript:rna7586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-carboxy-D-arabinitol-1-phosphatase MAGIVFLNTTLLCSPLPCLTSSQLHLPLTRRIHCSTSTSTSYPAATDKLPNSIDFSVTGGAYDFTKATTSLTNELITSSKKVTLLRHGLSTWNAESRIQGSSDLSVLTEAGVEQAERCKKALENIHFDQCLASPISRAKQTAEIIWQGREKPLVYIDSLKEISLYHLEGLKNVDAKQIYKEEYRIWREDPANFVMNGRYPVQDLWIAARDCWKEILLSPGENFLVVTHKSILRALTCTALGLGPERFRSLDVNNGGICVFNFNTRGEAMLEALNLTAHMYSDHVYPA >RHN42638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39894962:39895293:-1 gene:gene49103 transcript:rna49103 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRLRVFRMWLSLDVEVREFFEGCSLGVSVLSGDGFWWVYLHGLCLGVMVYRLYVAPFVSCFVHTLRFARMAFNNICRLKKSVFYEVSS >RHN75431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42269607:42275673:-1 gene:gene11640 transcript:rna11640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MELGSILHFLEGRTILVTGATGFLAKIFVEKILRVQPNVKKLYLLLRAKDSESAAQRFRNEIIGKDLFKLLKENQGPKFNSFVSEKLTLVPGDISKEGLNLKESILEEEICNQTDVIVNLAATTKFDERYDVALGINTLGVKHVLSFAKKCIQLKVLVHVSTAYVCGERGGLILEDPHRYGVSLNGVPGLDIDMEKKLVEEKLNQFQAQGTTEHDIEVAMKDLGMERATKYGWPNTYVFTKAMGEMLVETFKENMSVVIVRPTIVTSTFREPFPGWVEGLRTIDSIVVAYGKGKLTSFMADLDAVFDVIPADMVVNAIIVAMMAHANQPNDNIIYHVGSSIRNPITYRTFRDYNLRYFTKKPLINKDGKSIKVGNITVFSNIASFRRYMFICYMLPLKGLEVANSILCQYFQGIYTDLNRKISTVMRLIDLYLPYLFFNGIFDDMNTQKLLLAVKQEGVEVNLFYFDPKIIDWEDYFMNIHIPGIFKYALKF >RHN81331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44924719:44926412:1 gene:gene5350 transcript:rna5350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain-containing protein MAFFTTNQNLFSVVLLIFTTSFLLLETELVNSQKTVSFNISNFTTSQSDVSLQGSSVILPDGIVTITNPNDPTYLAGRILHYTPVPIWDNITANVASFITTFTFKVVDFKDFSPDGTFSTIAQVIDLKEVLPHTVRIGFSAATTTGARQLHHLHAWSFKSNLDTTTTTTSDNIASF >RHN67384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26663991:26665857:-1 gene:gene15568 transcript:rna15568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MHDLLQEMGREIVNQESINPGKRSRLWRAKEISDILKENKGTEVVEGIIFDTTEVGDLYLKSDSFRRMANLRYLKIHNISNGSICNVHFPDGLEWLSDKLRATNLGRASLCQCESLRQLHPSIFSLPRLTFLDLGGCITIESLKTNIHSKSLRELLLDGCSSLTEFSVTLEEITELFLRGTAIRELSSSIWRNTKLTSLSITKCNKLNIVGNKLSDDHGLGSVTQLDLSGCTEINALSLWSILDGIRSLKRLKLNECCNLECLPENIQNHIMLEWLELDDCRKLVSLTELLLSLLYLKAVNCTYLDTDFIQWSLLSNRSQKFIEDRSIEDEGVIDAFSFLPGAQVPLYFDFQTTEASITILPIPKSDFTINHHALHCIILECGKEVDRSRISHNYLGTLISDHVLICWHGYNRQENGSNDIYNLSFQFIHQDPNEELWWSKEGIKGCGVLPVWEYWGSSISN >RHN41451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30098018:30102562:-1 gene:gene47774 transcript:rna47774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MVVLNPVQRIVEEKFITLLRSCKNYERLHQIQAQIVTHGLEHNDFVAPNFITTCSRFKRIHHARKLFDKIPQPNTATWNAMFRGYLQNGHHRDTVVLFGELNRIAGMPNCFTFPMIIKSCGKLEGVREGEEVHCCATKHGFKSNSFVATSLIDMYSKKGCVEDAYKVFGEMHERNVVVWTAIINGYILCGDVVSGRRLFDLAPERDVVMWSVLISGYIESKNMAAARELFDKMPNRDTMSWNAMLNGYAVNGEVEMFEKVFDEMPERNVFSWNGLIGGYVKNGLFSETLESFKRMLVEGHVIPNDFTLVAVLSACSRLGALDMGKWVHVYAESIGYKGNLFVGNVLIDMYAKCGVIENAVVVFNCLDRKDIISWNTIINGLAIHGHAPDALGMFDRMKSEGEEPDGVTFVGILSACTHMGLVKDGFLYFKSMVDHYSIVPQIEHYGCMVDLLGRAGLLDQALNFIRKMPIEPDAVIWAALLGACRLYKNVEIAELALQRLIELEPNNPANFVMVSNIYKDLGRSEDVARLKIAMRDTGFRKLPGCSVIECNDSVVEFYSLDERHSETESIYRVLKGLTMLLRSHGYVPNLSDVAHGLGVSE >RHN78413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14897471:14901244:-1 gene:gene1965 transcript:rna1965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MLQSMKLFPLSCLLWFFCMFVMATSPHASSKTQSSEANALLKWKASFDNQSKSLLSSWIGNKPCNWVGITCDGKSKSIYKIHLASIGLKGTLQNLNISSLPKIHSLVLRNNSFFGVVPHHIGVMSNLETLDLSLNELSGSVPNTIGNFSKLSYLDLSFNYLSGSISISLGKLAKITNLKLHSNQLFGHIPREIGNLVNLQRLYLGNNSLSGFIPREIGFLKQLGELDLSMNHLSGAIPSTIGNLSNLYYLYLYSNHLIGSIPNEVGKLYSLSTIQLLDNNLSGSIPPSMSNLVNLDSILLHRNKLSGPIPTTIGNLTKLTMLSLFSNALTGQIPPSIYNLVNLDTIVLHTNTLSGPIPFTIGNLTKLTELTLFSNALTGQIPHSIGNLVNLDSIILHINKLSGPIPCTIKNLTKLTVLSLFSNALTGQIPPSIGNLVNLDSITISTNKPSGPIPPTIGNLTKLSSLPPFSNALSGNIPTRMNRVTNLEVLLLGDNNFTGQLPHNICVSGKLYWFTASNNHFTGLVPMSLKNCSSLIRVRLQKNQLTGNITDGFGVYPHLVYMELSDNNFYGHISPNWGKCKKLTSLQISNNNLTGSIPQELGGATQLQELNLSSNHLTGKIPKELGNLSLLIKLSINNNNLLGEVPVQIASLQALTALELEKNNLSGFIPRRLGRLSELIHLNLSQNRFEGNIPIEFGQLEVIEDLDLSGNFLNGTIPSMLGQLNHIQTLNLSHNNLSGTIPLSYGKMLSLTIVDISYNQLEGPIPNIPAFLKAPIEALRNNKGLCGNVSGLEPCSTSGGNFHNFHSHKTNKILDLVLPLTLGTLLLALFVYGFSYLFYHTSRKKEYKPTEEFQTENLFATWSFDGKMVYENIIEATEDFDNKHLIGVGGHGNVYKAELPSGQVVAVKKLHLLEHEEMSNMKAFNNEIHALTEIRHRNIVKLYGFCSHRLHSFLVYEFLEKGSMYNILKDNEQAAEFDWNKRVNIIKDIANALFYLHHDCSPPIVHRDISSKNVILDLEYVAHVSDFGTSKFLNPNSSNMTSFAGTFGYAAPELAYTMEVNEKCDVYSFGILTLEILYGKHPGDVVTSLWQQASQSVMDVTLDPMPLIDKLDQRLPHPTNTIVQEVSSVLRIAVACITKSPCSRPTMEQVCKQLVMS >RHN64633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60626361:60627535:-1 gene:gene27465 transcript:rna27465 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTTFIATWFTPSSIFIIVNLVIGTIALASRFNGPPKNDQIQQPQLNRSPSLLNRIRSFNLRHYNQEPSFVAQPEPELESESESEFTHPQLVRKPSLLERVMSFNLNKHEPQYPQTHYVQPESESDSTKPQLVRKPSLLQRVMSFNLNKNVPAQPEAENPSLNQVKSKVEMKKSASEKTFERGEEDEETVERRRPATAAARSETTTCKEDEAVDAKADDFINRFKKQLRLQRLDSFMRYRNTAR >RHN44404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4316967:4324310:-1 gene:gene38523 transcript:rna38523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain, NTF2-like domain-containing protein MVGNAFVEQYYHILHHSPELVYRFYQESSVISRPDSNGVMTSVTTMKGINEKILSLNFKEFKAEIKTADAQKSHKEGVTVLVTGCLTGKDNLRRKFAQSFFLAPQDNGFFVLNDVFRYVEDPEPSEPHPVNGDDGPIAVTVTSEPEPIHIADPSPTDPANSHVKERQIVADNAYEPSNHPETQIVAENEDTVEPDFQSNENVDSQAAEITSLSQEDAPKKSYASIVKVLKGNLVPAKVYVPTKTVKNVPTNTVKKATNRTENKVVESVEPAAVPDAAPDNVGNPESNDSNEEVEGHSIYIRNLPLNVTVAQLETEFQKFGPIKPGCIQVRNNKQQGYCFGFVEYLSVNSMNNAIQASPIPIGGRQAVVEIKRTTARVGGGSGGIGRPRFPSGRSGYRNDSFRGRGNFGGGRGFGRNNDHGNRGEFPIRGRGPGGRGESYHQGRGRGGGRSSVFKQSPVATE >RHN75974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46673830:46682106:-1 gene:gene12240 transcript:rna12240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MSYPAFFYVVCLCTLRKTRSLSYKKLLLNLQPIGVSGKTSIVDILDDFLRQRFGEDSYERIDNCLTASKKQAATKIFNDKNNKRFFFLMETSACHPSIKLSSIDAIIIFDSDWNPMNDIRSLQKLTLDSQFESIKTFRLYSPFTVEEKALILSRQGKTLDINCPSSSRGLSHTLLMWGAVHLFDELRVFHDGATSTSNLKPLLEETVSQFSSCLADVGEDSDTSNCSILLKVQQHGGAYLANSPLFGELEFRSPDEESPQKFWNNLLVGRQFQWKYSCGSTQRSRRRVQPFNNLAGGTDLVNEGTTKKRLKVSNNNVDQPSSKSVGEKLPNGIKAGTFVDLVDKPQGNDDVESGKNGRVHDELRSLHLSLKPQITELCEVLLLPDNVRNVVDNFLEYVTNKHHFNWESVSIQSFQISLIRAAASLLNHKVDQKTILALSKEQLNFDCKQAEVDYSYSFLQCLKKIFVYRTGTYNDNSSPKVCKSLNGVSCTEVVQEVELFKKDLSKSIKKIQKKFVKMLNKVRLMYQEEKHRLRVVNEDEKAELERKYKIQLAMTRSCYPNEVVRTETLNILNVDHQKSIEELKCEHETRLKELEDEHSEHIRKYQVWEASWVEEVKSWAKNELLNIVASKGPGTGIDYLQMCDNVVESMIEAGAMVTETNSPSVGKTVKLQNSLVKHDRANEMDILVPNDQPISGSEDHNMTENQYSQENIISKHSHSREQNSDGATSMTDETNRSENFGHESQDGCERSNLGITSLPDYENATHTEHQCSDGVSSGVEGQIPVELQETTDKGDSVSISERQVQVEMPVTDNSTDCQLENANQLNPPSSMDQTSDKVSIDVPVVDGVLSSKPCQAVGLTGCQDKISLSNPPLEQQIPDGDVPVMEPENSHAVAECHMEPSINAMLVDNSTTDDQEGGVQGNVTSAPVPRPVNVMEPLGQGKELPSVKSAADKNSDGAMQNSSEQVQVASSSADVVPANQITVPSKQVHQLAAAEPSSNLALSGFSTVHLATEDEHQLNSVHSLPTHHSEPTSVVPNKDAGQSHSNSALGLHSNQVAVHPISNSDLDLLTASRVRAQCGYRRNLSNPLEMNNHPIQSTPPSSSRRLQHLSYDPLNIEFERIQKVIEQSSKNHEDTKLRLKSDFEKELAELRRKYDVKFQEIEVEFQQTKKTLDTNLNTVYVNKILANAFKSKCLDLKVSGASGVQHDSLPQHLHQLSRQQAATRPFLVSGSSSGESPVTSLPSPSIAPNSQHMLPPGYNMSGTFSSASARPPLINTTRSSIARDIQGGEIRAPAPHLHSSRPSISAPPSSFNPLQRGIPSQTAASNLRATSPSYAHVSPWQRPPSYQSNPQMGRRPDSAGRSALLGNFSSQSTKTPPNIISRLSDVAPANLSRSGPNSSSVVANSSHQAASQNLVCLSDDE >RHN78324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14149125:14151336:-1 gene:gene1866 transcript:rna1866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Dilute domain-containing protein MTLISNIKYNGVSQSFRSSSSLSSSGIDVVQQVEAKYPALLFKQQLAAFVEKIYGILRENMKNDLSPLLSSCIKEHQTGNDNSEPTGSWLNIIECLNRYFKILKENYVPPVLIRKVFNQTFQYINAEIFNSLILQKECCTFNNGEYVKSGLAELEVWCTEATEEYIGPSLDELNYSKQAVSFLVSEKKDELSYDDLTNDICPVLSSQQLYRICTLYSDENDNAKSVSSDVTSRLKLLMTDDTGDDEQSYMLEDNSR >RHN67823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30517258:30518717:1 gene:gene16062 transcript:rna16062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MAIGKLYGSTYFKCSSGRLLDGRFIIDFIAYGLPFLPPYKNITKSQDDVKKGVNFAYGGATALDVNYFKGGRVINSLNMQFDWFKKLKPSSCKTKEECDSFFKKLLFVVGEIGRNDVLFDLSKTITELREMVPLIVESIKKYHQCFTLDNVEILKACCGGSGPYHFDDLFCGWQSTTICSDPSKLINWDGPHFTEAAHKQITKGLIEGHFANPSLKPAPFKIV >RHN74929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37921194:37923047:1 gene:gene11064 transcript:rna11064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TGA like domain-containing protein MTNFAQFYESWHTQFNNLIHQLKLSTSTQTDSEELIQKVLSHHQDYYNAKSMAAEKDPLHVLASPWATTLERSLHWIAGWRPTTAFHLIYTESSLLFESHIIDILRGFRTGDLGDLSPNQFRRVSDLQCDTVKEENAITEELSEWQDSASDMMGSEADINDKIERLVSIIKKADDLRLRTLRSVVEFLSPQQAVEFLIASAELVVGIRGWGLNHDRSRPRIR >RHN72077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4803035:4805021:1 gene:gene7749 transcript:rna7749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycyl endopeptidase MMSLTSNPFLLIIIFTIFLCLSSSTYGIPTKYSSILGPKLDKLPTQNKTIELFQIWMKDHGRVYKDLEEKAKKFDIFVSNLKYITESNGNRKSSPHGFLLGLTNFADWSPQEFKERMLHKLDMSMINDTMKLNDIASSSCNAAPSSLDWRLKGAVTNVKDQTNDCSSCWIFTAVGAIEGINAIKTGKLISLSAQELLDCNPAACNTGFVNNAFNWVIRNGGLASDYDYAYTAKKGFCRASQVRNNAFSSIDTYYHVEQSEQGLFCAVAKQPISVCLYAPQDFHHYSYVSSSDF >RHN55820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24336699:24338187:-1 gene:gene31088 transcript:rna31088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MQAHTFSYISSIQTNNDTVDESSISLSLGPPGTHHKPKLHHQHHHHHNHLQNQNFTIDDEQSGVTVALHIGLPSNISQNITPISKPDHHLASAPIQGQYWIPSPAQILIGPTQFSCTVCNKMFNRFNNMQMHMWGHGSQYRKGPESLRGVKPASSMLRLPCYCCAEGCKNNIEHPRSRPLKDFRTLQTHYKRKHGAKPFGCRKCGKPFAVRGDWRTHEKNCGKLWFCICGSDFKHKRSLKDHVRAFGDGHAPHTVESCEDQEVLLGDEYDEEFEGDENYEDDDDNDNIDRMVFGF >RHN63857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54533300:54536271:-1 gene:gene26612 transcript:rna26612 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSFSQWIRPLVAVTVGSLIAFRAHRKNSLSTSGAIAGFFVMSLHVFVGFRFAAMIFAFFFTSSALTKKGQDTKRLIDPEFKQGGQRNWIQVLANSGIASVLIVALWVLTEGKDQCMNSKDSALITALIGGVIGHYCCCNGDTWSSELGVLSNDRPRLITTFKPVRKGTNGGVTKTGLLAAAAGGSVIGVSYVLLELLTIRCGSDRVLKQLLVIPLTTVAGLCGSIIDSLLGATLQFSGFCSIRQKVVGNPGTTVKRISGLSILDNNAVNFVSILLTTILTSIACLYIF >RHN61087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32870501:32870779:1 gene:gene23510 transcript:rna23510 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLLDGDEDAYRWILTTEQYFRATRKSEVAKMMVVDLTMRGPALRWWLWCFKPEWRHLLPILDEEMEPTSESHTNFHFTILSHPHYAGSG >RHN56287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29528229:29532255:-1 gene:gene31659 transcript:rna31659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MVEKFLTVPPFECAWREDLKFQEAGRGCVAFDAFACNDVTLVFRENVGSQGYHYKRDSSPHYTVILGSHRNRRLKIEVNGETVVDVVGVGLCCSNSFQSYWISIYDGFISIGNGKYPFQDVVFQWSDSRPNCNVQYIGLSSWDKHVKYRNVNVLSLKHTLMPLSKHMLFGDYQVEDDVTAADKQLHMDYDKWGLNNFLESWDLSDMLFIVGPEERPVPAHKPILAASGNFPLCSSFAITLPTVSYPLLHALLHYIYTGWTQIPQEQLDSLRALSLQFQVMPLVKQCEEVMERIKVDNKLFDTGKNVELTYPCIGPHCSTLPSLPVSIQRLVQLKLSGQYSDVNIYIESYGFVARVHRIVLSLWSIPFAKMFTNGMSESMSSEVTLRDVPPEAFKAMIDFLYDGQLNEKIIDSGSLLLQLLLLADRFGVAFLHQECCKMLLECLSQVVGYTPLTTVVSTY >RHN45403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19810773:19813576:1 gene:gene39724 transcript:rna39724 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLGFNLAHNVETLPGEPMEPIVQQSFTLTRIDYDDGLYHSSHSQPQSWHLYLLHLLSFESDTRSM >RHN76403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49976885:49977502:-1 gene:gene12724 transcript:rna12724 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRLIIDSCIVQDAQNLCISSTRLVNLTILMDDCDLAINIGTYFGLELYAPSLQTFAFSGPYTPKLFASKNVLSSIKHVDIHVTCYWNLKAEQTSSVLLNWLVELANVESLTLSSYTLKVLSLVRGLLKVELPSLCNLKSLKIKVVIPSSIRDEMVDFLIQNSPSTKVDIVRL >RHN46629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33464032:33469619:-1 gene:gene41144 transcript:rna41144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NSF attachment protein MADHIATGQQLANKAEKKLFCCCALFGSNYEDAAELFLKSAKSFKLGKSWDKAGSIFIKSAKCHMKLDNKFDAAKAYVDASHCYKKTSRKGGITCLKQAVTIFMEIGQHIMAAKYCKEIGIYELIISRAKLELFDIRGDSATSVIQCKQKVAQFSAQLQQYQKAIKIYEDTAQQSLNNNLLKYGVRGYLLNSGLCELCRGDIVAITNTLERYQDLDPTFSRTREYRFLADLAASIDNEDVASFTRVVKEFGSITHLESWKSTLLSRVKDALEAKVMEEDDLT >RHN41253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28647759:28649089:1 gene:gene47555 transcript:rna47555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MHVLSIRENARLQGFPDFYKLCGPIKQRYMQVGNAVAVPVSRVLGYSLGLAYQVVAADGPLYTLPEKFLVIRKPASATSSEEVVVSSEEAA >RHN52896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40087203:40087860:-1 gene:gene37629 transcript:rna37629 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAFQDYNMHLISEEDRGQLVETDWQSEDGYLVWFYKVSHPKLIPDVPNNPPRPANIEVLLHEEHGVDPLTAMCRIKDLAITSIEQNSELAGTPLYIAMEQIIAHATPATQYQSLRRKRRNVRQYN >RHN78251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13194471:13196036:-1 gene:gene1785 transcript:rna1785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MQFENTHFSKSIMSIIQCIGGFVAILIFLYIYYWRRNRDEFVPINWPIIGMLPTFLHHLSDFHDYATIVLKRNGGTYRFQGPWFTNTSFVGLADPMNVTYITKKNFGNYAKGSKFHDIFEVLGGSIFNSDSNDVWKQEKTMFHLVLGRKSFKNMFQHSIQKKVDDYLIPFLNDVSEAGAQVDLQDAFNRFTFDSSCLILFGFDPNCLPNKFNQLREIPYKKSLPVMEEVIFYRHFIPSSLWKLQKWLNVGQEKKFKVAQEYLDRFLYESITFSLGEEQSKCSNEEMDQCFLGMVKALKKEGYGKGEISEKYLRDTALTMVFAGNGSISSALSWFFWLLSTYPIVEEKIIQEIKDNWLTQEDNRIILRDVVLDKLVYLHGAICETLRLYPPIPFEHICAIKSDILPSGERISPNTSLMYSMYSMGRMEQLWGEDCMEFKPERWISERGDIIHVPSYKFITFNTGPRICIGKDLSFIQMKMVAAALLRKFHIQVVEGHLVTPRLSVFLRMKHGLKVEVSKRSI >RHN67754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29990601:29993003:1 gene:gene15979 transcript:rna15979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MASLLIIFAILIFLLHFQHSSSFSLSVEKHEEDIIISPKGTFTAGFYPVGENAYSFAIWFTQKHKNLANATVVWMANRDQPVNGKCSRLSLLKTGNLVLTDAGHFDVWSTNTNSSKPLELILYDTGNLVLREHNKIGFVLWQSFDFPTDTLLPDQSFTRHMKLVSSKSGNKYSSGFYKLFFDNDNLLRLLYDGPQVSSIYWPSPWLVSWDASRSSNNSSRVAKLDVLGNFSSSDDFTLKTSDYGTVLQRRLTLDFDGNVRAYSRKHGQEKWLISGQFHQQPLKIHGICGPNSYSINNPKTGRKCVCLPGYNRINNQDWSQGCKPSFQLSCNNKTESKTRFQRLPHVDFYGYDYLHQANFTYKQCKQFCLRMCECIAFQYRLVNDEGVFYCYPKSQLRNGFSSPNFQGSIYLRLPKREHVSVHANVIKNGSLVCSRNDGVEQLKKSYVEDKENGSVKIILWFASGLGVIEALCFFMIWFFLFKNSEHFVIDNQGYVLAGATGFQKFTYSELKQATKCFSQEIGKGAGGTVYKGLLSDNRVVAIKRLHEANKEESEFLAELSVIGRLNHMNLIGMWGYCAEGKHRLLVFEYMEKGSLTDNLSSNALNWGKRYKIALGTAKCLAYLHEECLEWILHCDIKPQNILIDSNYQPKVADFGLSKLVQRNNFDNSSFSRMRGTRGYMGPEWIFNLPITSKVDVYSYGVVLLEMITGKSAMTGILITDGEKTHNESLVTWVREKRRNLSEMKSLVEQIVDPTLGSNYDMVKLETLTMVALKCVEEEKDMRPNMSEVVEMLQTHEHDSS >RHN67225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25039904:25040998:-1 gene:gene15389 transcript:rna15389 gene_biotype:protein_coding transcript_biotype:protein_coding MCILPIRKRKSALVSPRGCVMRIWSCSMRIGQPLGGEFYNFSFFFRAFYHLKMSWNLG >RHN60666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29267671:29269818:1 gene:gene23016 transcript:rna23016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRAPCCDKTSVKRGPWSPEEDSKLKDYIEKHGTGGNWISLPHKAGLKRCGKSCRLRWLNYLRPNIKHGDFSDEEDRIICSLYVNIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMAMQFPTLHHQRKPSFPPSPSSHQFLDYFTHTPTSLIDLQTISLPSNNYPNTSFNPFYQNQESMISVVNPPMQYNYPIKDNMFMFGSEGSSSSDGSCTLSHGKEIKQEEIVYHHNMNSGGFDGYNNNNNFMINGNIGSFVGESVNQHEEKSSGVGYSYNNGQSQTLNPLLDYGLEDIKQLISSSGNKGFHVDDIHKNEEIGMYYFDY >RHN58260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:367506:368740:1 gene:gene20152 transcript:rna20152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate 1-epimerase MRCLRYEFRLRIALGPTGDLMLTSRIRNTNKDGKSFTFTFAYHTYLYVTDISEVRIEGLETLDYLDSLKNRERFTEQGDAITFEGEVDKVYLSTPTKIAIIDHERKRTFEIRKDGLPDAVVWNPWDKKSKIISDLGDDEYKHMLCVQPACVEKAITLKPGEEWKGRQEISAVPSSYCSGQLDPRKVLFHH >RHN43288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44649085:44650739:-1 gene:gene49836 transcript:rna49836 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYRNTKEIRNFGMMKKNEGLMWRSKDEEIGGLVECVSPSIHHAPPSSGSSYLLVSSLLVSPQTDRHVCTAVATLCANEPTACLGHTLRRSGL >RHN65902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6337685:6338092:1 gene:gene13796 transcript:rna13796 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWRALRDQPRYGSQVGGNVDSGSSGSKRSYEDSVGSSARPMGRDAAKKKGKKKSKGETLEKVEKEWVQFKELKEQEIEQLKELTLVKQQKNKLLQEKTQAKKMKMYLKLKDEEHLDDRKNELLGKLERELFEN >RHN76621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51714202:51716005:-1 gene:gene12972 transcript:rna12972 gene_biotype:protein_coding transcript_biotype:protein_coding MHIWQTMIFPVRRLCLALSTRINHRKNGAGLVKLQDDVQTCGYEDVQVMWEMLQKTETELVDNHHKRKQLPFWRLFVCSNSNHTKASSQSSNQT >RHN71516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:707992:716972:1 gene:gene7125 transcript:rna7125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membralin MDPEQTFIRVQERFSQMLTPKVRVTLEYLYLFVAITFFCILVVMHANYVQQPGCSSELSGVLTSDAQLIQIKITSAGLWYHNDSEPNIIDPPDTEAVKDKMEASDVNQDESTFLGSMILWNLIGSSARRGNLAFKFWKADTEFREHKAETSTSNQNSRPVVEDTVIKIDKEEQRSSFTSSVKETLKAGIIHFGKKWYRRISFIWRHTMQIIGSFQKLWDFAGVHLNLDIPKWMHILHLDKVNSNAVQWLKKKTKLSEPTYLYTMEKGYFLLPESAKSRHNIRTVNVSISAWHPCFGNRWQQLLINRFVGYDTILINSLLSSPGQGYLYNYQSKEFYNLSFAQEVPEVPAKFGDYLVMKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHHLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLCELFTLISVRTPISMKFFPRFFLLYFLVFHIYFFSYAYGFSYLALSATAAFMQHLILYFWNRFEVPALQRFVQNRRSQLQQHPDFHITSSTILASTLHITRLNTRNPGLSATNLPSGTGFRPGFDQPMPQNGPGVTAPQGRSESNPDGVANPTQIPGQADTRQAERGPNPGSMNPISSMLLWILGGASSESLNSFFSMFRDVRDQGQVYTEAPRHDNPQNQESDRQR >RHN39220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5065908:5071771:1 gene:gene45224 transcript:rna45224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anoctamin MNGNENEVVFEIGVVIPRRIIQEKDESCDCAYVLIEEFKKVGFVVERIIGIADEFIKLAAPLETLGRAAAELQIKKRTHIGMDLQFEVEEVEAFVKQPDGSIFSWCERFHCYCHLIYGIVNNSKSAIILKFDGKEIYWETGENLIQKLESENIIKQVFPLHDEKTRKKLLRTWALHWWDFTSQPIDEIYSYYGAKIAVYFAFLGMYTRWLFFLAAFGLTLQLIEFRSMKLVVLPVFFVVVILWAVMFCQFWKRKNSALLARWPLSSAVAADPGYKIPGRKGSSLQPPMELLKVFETDRAKGKEVFQRYEWLGRFMRFRNDAIIIFSIICLQLPFELAYAHLYEVIGSDVYKFGLTAVYLFAIQYITKIGGQVSVKLIKNENNENTEKRSDSLVYKVFGLYFMQTYIGIFYHALLHRNFSTLRKVLIQRLLLSEVLENLVENSLPYLKYSYKKYSVRHKKKREKGESTDKIQFSSRVEKEYLKPSYSASIGDELEDGLFDDFLELALQFGMILMFACAFPPAFAFAAVNNIMEIRTDALKLIVILRRPVPRAAATVGAWLNIFQFLTVMSICTNCALLAWLYDEEGKWKVEPGLAAILIMEHVLLLIKFGFSRLVPDEPAWVRANRAKHTTQAQDLCSKKLLRTISGGKRNFGEMKTKTT >RHN68687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37826062:37826481:-1 gene:gene17071 transcript:rna17071 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSMFFSPKIIVVMFVLAMITCVPAKASTIEHCLRLKLNPPPPAPRAALGHGTKFDLNRVVCKDAFRAVGHSVRVTGKLPWSYLGALCNVFGDNDQKIEHYIKGAFRVNDFIKLIDGRSCAIVRKKLHPKSPSSLPRP >RHN57338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38214682:38217426:-1 gene:gene32865 transcript:rna32865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MLQLCIIQSLIGARIFSKNYESQFGKLNPSNLTARDFIGHGTHTLSTAAGNFSPDVTIFGNGNGTAKGGSPRARVASYKVCWSKTDAGGCHEADILAAFDQAIYDGVDVISNSLGGSSPYIEALFTDGISIGSFHAFAKNIVVVCSAGNDGPAPRSVTNVAPWSFTVAASTIDREFVSHISIGNKNYIKGASLSKGLPSGPSKKIYQMIHSIDARLLNATIQDARFCKPRTLDPTKVKGKILVCTRLEGTTSVAQGFEAALAGAVGVFVINDEKSGSLLLAEPHPLPGASMNANEDEDIDEREWFGKGGTDENITRKMVAYMSDARTYTGLKPSPIMAGFSSRGPSAVQPLILKPDITAPGVNILAAYSLATSPSNLPSDTRRVPYNLQQGTSMSCPHVAGIVGLLKTLHPSWSPAAIKSAIMTTATTLDNTNQPIRDAFDKIATPFEYGSGHIQPNLAMDPGLVYDISTTDYLNFICVFGHNHNLLKFFNYNSYICPEFYNIENLNYPSITVYNRGPNLINVTRTVTNVGSPSTYVVEIQQLEEFKVHVQPSSLTFKEIGEKKTFQVILEAIGMPPHGFPVFGKLTWTNGNHRVTSPIVVL >RHN50612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8577409:8580000:1 gene:gene34920 transcript:rna34920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MAFPGFMNIAALTLCIFVLANCNHQVQASSRAFSGKESKISPGNGLCASWVTIHGYKCQELEVTTKDGYILSIQRIPEGRSEVKNNVTKKKEPVIVQHGVAVDGATWFLNSPKQNLPMILADNGFDVWVTNTRGTKYSRKHTSLDPSNKKYWDWSWDELVTDEMPAIFDFVSKQTGEQKINYIGHSLGTLVALVSLSEGKWVNQVKSVALLSPIAYLSKVKTVLGQVGARSLLGEVVNLINRTNSSYS >RHN45158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12470438:12475511:-1 gene:gene39375 transcript:rna39375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like ferredoxin MASDNSSTDTGFTRPEMYTEKLAGTVDAYDRHVFLYYKNHLSWPPRVEASDDHPLPKLVADTFKARKNDLALKTKITVCEASEEDGFSDGDVLIFPEMVKYRGLVESNVESFFEDVLVNDKPWAIGVPEVLTGSHVYVCAHGSRDVRCGTCGPVLIKNFNEEIELRGLKDQISVTACSHLGGHKYAGNIIIYSPGPDGKTMGHWYGYVTPNDIPDLLDQHIAKGEVIQRLWRGQMGPSVPEVKGANDQKLANGNLANGEHANKIEKNIESNSLSREENVTGCCQGVNGVSCCSFPNPAKRDEIKEGKSCKIRSLLKERDVLTAVGVLGAGAAVAVAYKLYRRSG >RHN67183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24687735:24693494:1 gene:gene15340 transcript:rna15340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EH domain-containing protein MHGMEIVTVPNDSNSKENLTLYQEWFNYADADGDGRFTGTEATKFFAMSNLSRQELKQVWAIADSKREGYLGFKEFVISMQISASSVTSIIDGLKRLYIQKLKPLEVAYRFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHMLQSRAHIGPEPTTDRFVVVMSGPDERSIPGNTVAVQADMPFGGLTNFGSAFLSKFECSTMPHPLLEHITFVDSPGVLSGEKQRLERSYDFTGVTSWFAAKSDLILLLFDPHKLDISDEFKRVITSLKGHDDKIRVVLNKADQVDTQQLMRIYGALMWSLGKVLNIPEVTRVYIGSFNDQPVNNVVNGVLGNELFEREQEDLLTDLKDIPKKACDRKINEFVKRARAAKIHAYIISHLKKQMPAMMGKAKAQQKLIDNLEGEFAKVQKEFHLPAGDFPNVEHFKDVLSGYNIDKFEKLKPKSIQAVDDMLAHDIPNLLKTFRNPYG >RHN81795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48934183:48936346:-1 gene:gene5884 transcript:rna5884 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVGGNSSKGSPRRRHVPSYESPRSLSSSDNNYEVIKNRAHIKHNHILSGGHIKHLTTKSDGWGLILFIRDVIGAFYSLLRLTYKDYVVFLHIVALIVLSVF >RHN45700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24671409:24671642:1 gene:gene40083 transcript:rna40083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family MTAVVEIMLSLITSCNGVTLVDYFFKSMHYSVAESSNMVTNFLGTAYLLSIIWGFISDSYITRFTTFLVSGTVQLMV >RHN51694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23002389:23002892:1 gene:gene36193 transcript:rna36193 gene_biotype:protein_coding transcript_biotype:protein_coding MRERTVNQVIANISTNNAILQIVLILILSGQVTYASSWWTCFGANIYTITIEILFPERIQIDCYSTGYTHPSTYERNNMFVQYEFCGSYEWYGETAPWYCKVITKYPACEGGFVQKDFEVFNEDFDCAERHCRWQIHKQYPSLYNPKKNIFEKHYYYNTSCPYADYD >RHN55548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19418789:19419448:1 gene:gene30748 transcript:rna30748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative immunoglobulin-like protein MTLSPTSTHEDTLLMSSTDKETLENHPAFIGCSRLIILVPFVLILTYIILSMDVVPDSPSFDVESEGSLNSLTVNGTQLTAEFNISVSGYNFKSYSRVYYDDVSAEIFYRGEGVVLTKSSLPSFTTDGKSESVIHLTLSVNKSDDFGGAATGIARSRKDGTVEFGLIVKSLFKYKNRWAQSDWRPMKVVCNPLKFAVSPNDNNTTNPGILLEGLRCSST >RHN66450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12457046:12458137:1 gene:gene14440 transcript:rna14440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MDGSMAETSTAIDKQLTLTSPPQPILPLDLIIEILCRLPVKLLLQLRCVSKLWNSFITDRKFANKHLRMSTTHRIQGVTYSLFSYNSMLTSYQLNCLFTRRVTTNVTKLKYRFNNCNKPNIVGSCNGFLCVAFSNYSIVLWNPSINKFKELPLIQKSQGITNLTFSFGYDSLTDNYKVIVVLQYTDVKVHTLGTHFWKTIQEFPFGVMPVEKSGKFVSGRINWLASTDLRLQSPRFIVSFDLRKESYEKVLPPDGVDVCNLSLSVLRDCLSIFAGHHDIWVMKEYGIQESWTKLFTISNMPSPNSSVSFTKAAYIFEDDQVLLESEGNLNFVIYDSKNGTFRFPSFQIIAPDVCVESLISPCF >RHN60309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25081333:25081803:1 gene:gene22606 transcript:rna22606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MMNANDNKTGLQYMGKVNYYNDSVVVIVKGFSMELTRILTIFTTIDLSNNMFEGKIPEVIGELNSLKGLNLSNNRITGTIPQSLSKLRHLEWLDLSRNQMTGEIPVALTNLNFLSFLNLSKNHLEGVIPTGQQFSTFGNDSYEGNTMLCGFPSSKS >RHN52170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32310206:32312275:-1 gene:gene36804 transcript:rna36804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MVQIIHLRKTELHISFQIHLRRTQQTMFSSSSSSSMLPRYYVVPDYFVPKKFQSFQFLKNTHFNFIPYSSSKKNFIPYSSISTTFHSNDVDDAVSLFNSLLHQNPTLTAFEFNKILGSLVKSKHYHTVLSLSQQMEFEGINPNFVTFNILINCFCQLGLIPFAFSVLAKILKMGYEPDIITLNTFIKGFCLKGQIHQALNFHDKLVALGFHLDQVSYGTLINGLCKVGETRAALQLLRRVDGKLVQLNAVMYNTVIDGMSKDKHVNDAFDLYSEMVAKRISPDVVTYSALIRGFFIVGKLKDAIDLFNKMILENIKPDVYTFNILVDGFCKEGRLKKAKNVLDMMMIQDIKPNVSTFNTLVDGFCKDRKMKEGKTVFAMMMKQGIKPNVVTYCSLMDGYCLVKQVNKAKKIFNTMSQRGVTANVHSYNIMINGFCKIKKVDKAMKLFIEMHHKHIIPDVVTYNSLIDGLCKSGKISYAFQLVNEMHDRGQPPNIITYNSILNALLTKLKDQGIQPNMHTDTILIKGLCQSGKLEAARKVFEDLLVKI >RHN65028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63738298:63744141:1 gene:gene27921 transcript:rna27921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EH domain-containing protein MKAVSDWIHSSSNEHIETYQQWFILADSDGDGRISGNDAIKFFALSNLSRPQLKQVWAIADTKRQGFLGFEEFVTAMQLISVGQAGYDLNSDILKTQIDNEKIKLPVMEGIDVLVANKASSTINAQPDLFGTGQPRPFPPVASKSVKKLPLSAVTSIIDGLKKLYVERLKPLEVTYRFNDFVSPVLTDSDFDAKPMVMLLGQYSTGKTTFIKHLLRCEYPGAHIGPEPTTDRFVAVMSGTDERSIPGNTVAVDASMPFSGLTTFGSSFLSKFQCSQMPHPLLDEITFVDTPGVLSGEKQRTQRSYDFTGVISWFAAKCDLILLLFDPHKLDISDEFKRVIYSLRGHDDKIRVVLNKADQIDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPINEGFVGPLGQELFKKEQNDLLSDLVDIPRKACDGRINEFVKRARSAKIHAYIVSHLKMEMPAMMGKAKVQQRLIDNLEDEFKKVQREFHLPAGDFPNIDHFREVLSSYSIDKFDKLKPKMIQAVDDMLGYEIPELLKKFRNPYD >RHN79726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31627020:31629748:1 gene:gene3558 transcript:rna3558 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLLEKHCTAALSEASRKQIDVAKLHAAAVTATLNAVIAYAEWAPLTDLAKSGIINLYVSYVVYLSSSVKYSCYIITLVFCSFRPCFLWTPNSCGFLLSAPEFRLHASEFFKLVSSRKRSVDASASEIDQVMRDIFQILMNISREFLHKSGSGLGSMDESEYDFSECICESMVSLGSFNLQSIAGDSAVFSLYLEQMLGFFKNYKFAIHFQSLQFWLVLMRDLTPKPRSSTHSACDSPSVSCSGSENAKKKDTFFTFSKENTSLLSDDFCSAMLDTSFLHILKREKTLPGTALSLVAPELWSDDFEDKGQFIQYRYSLLELIRFVASYKPLIAAAKVSEKIDTIIKSFLLSPSPSQDLAAIESMPLALENVVNAVFGGSNDMAEENAEVQLELCRTFEGLLQQFISLKWTEPALVEVLVHYLDAMCLFLKYSPDAAGSVINKLFELLTSLPLEIKETSTSSARHARLHICSSFIRIAKATDKSILPHLKVHLFKHPLLNDHS >RHN64849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62452817:62455062:1 gene:gene27715 transcript:rna27715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H2, subunit C MEKGGEAKIDLKRDGIEDLSGRVHLLPCCIKHDGPTEVSQYFKPKPTGVVGEDGLPLQQSHFRGRLLEGTTLPLPHNYSGFVLGKKNSVESSNSWETSVTFNDITYWNHDSVPSNNDDFSRAFHWIPVAEALHTPVTPEELESSSITL >RHN63557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52195707:52198838:-1 gene:gene26271 transcript:rna26271 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHFKTLIKTRSDPMFYVVHGESRTHCNTVDDEASCTVPMSLSTKGFKFNVLHNSTDIARKKLGSASSLPPHFHVCLVLFIFNLCSYNFSVSQFSYCL >RHN57130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36719483:36721972:1 gene:gene32630 transcript:rna32630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoinositide phospholipase C MNAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALQRGVRVIELDLWPNSAKDDIVVVHGRTLTTPVSLVQCLKSIKEYAFVKSRYPVVITLEDHLTPDLQAKVAEMTTQIFGDMLYFPHTETMTEFPTPESLKDRVLISTKPPKEYLDSKQFKDTSDSEREMGEEGSLSPALSVECDERCSGSDLDEEGLNPRDKKPDQQSAPQYKRLITIHAGKPKGHIRDHLKVAGDVKRLSLSEQELEKASASYGADIVRFTQKNILRVYPKGTRVTSSNYRPHIGWMYGAQMVAFNMQGHGKSLWYMQGMFRANGGCGYVKKPVFLMEKGPHSECFDPKRTLPVKKTLKVKVYMGNGWNSDFSKTHFDTFSPPDFYTKCLCLLRCFIAYSNLFADIILQVCIVGVPADEVNKKTKVNKDNWFPIWDEEFDFPLSVPELALLRIEVREHDKHEKDDFGGQTCLPVSELKSGFRAVPLYDQKGEKLKSVKLLMRFQFT >RHN52687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37748637:37749156:-1 gene:gene37385 transcript:rna37385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MSLNEHESSKKNRFIALPSKGKSSKAYKASESEEESPDGDYDEDQSVKMAMLSNKFEYLARKQKKFLSKRGGYKNSKREDQKGCFNCKKPGHFIADFPDLQKEKSKSRPKKPSFSSSKFRKQIKKSLMATWEDLDSESGSDKEEAYEDAKAAMWLFRR >RHN58070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43503134:43503460:1 gene:gene33677 transcript:rna33677 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIPSKWRGNGICQFDTFIGSRKTHCNRYIRVAFRVGMGKSFPPYKNSFRSLDLRSYHGLSILYFFPIIFTLSTCKQPKKEKKLSILCNTYNNFTKIIHNFSNSKLC >RHN44808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8697434:8697832:-1 gene:gene38973 transcript:rna38973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLGVTICHKLSSIPQDIGKFTNLELLSLGSCTDLEAIPTSIGKLLNLRHLDISNCISLSSLPEEFGNLCNLRNLYMASCASIELPFSDVNLQNLKTKTCDEETAATWEDFHHTLPSMKIEVPHVDVNLNCHH >RHN51106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14075879:14076981:-1 gene:gene35483 transcript:rna35483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MNCFDLRLDHNNFTGGLPNISPMAAIIDLSYNSFSGSIPHSWMNLKELGVLDLWSNGLSGELPSYFSNLKQLQTMNLGENEFNGTITILISPNFQVVILRENKFEGSIPPQLFNLSYLFHLDLAHMKLSGSLPHNVYNLTHMVTFHVDLYYPTTFNLFTKGQDYMYQVNPDRRTIDLSANSLFGELPLELFQLVQVQTLNLSHNNLTGTIPLLIGDMKLMESLDFSNNKFFGTQLQSFNASSYIGNPKLCGAPLNNCTMKEENPRTATPSTKNEDDDSIKESLNLGMGVGFAAGFWGICGSLFLIRKWRHACFRFFDRVGDNLYITLMVKLNSFRRN >RHN47149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37512235:37512457:-1 gene:gene41709 transcript:rna41709 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMEPFHGCNFGVDWIGIRTWRSIAALMLEELVTRTIEKGNKIF >RHN82775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56270917:56279538:1 gene:gene6961 transcript:rna6961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rab-GTPase-TBC domain-containing protein MNKTRNSSSSSSPVITFDHKRDAYGFTVRPQHLQRYREYASIYKEEEEERAERWKSFLDRQAETESSELDTNRTLVGEGEKVSGAESVGQDPDASSEKGVDGQQASCDMPDSADTGCQKEELPASEETRIHRVQLWSTIRSSLNTIEDMMSIRVKKKTGSVKDELVTETESLSLADGAKSPKGACEEDSDEEFYDVERSDPSLDTPLVDGLSTSTNGIAAAAAAAAPLETSCPWKEELEVLVRGGVPMALRGELWQAFVGVKARRVEKYYQDLLASNGDSGIKSNHQNGQLDDNDGKTNAEFIHVPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLMGILDDYFDGYYSEDMIESQVDQLVFEELVRERFPKLANHLDYLGVQVAWVTGPWFLSIFVNMLPWESVLRVWDVLLFEGNRVMLFRTAVALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNINEVRLQELRNKHRPAVIAAIEERSKGLKALRDAKGLVSKLFEQSNNAQVLGNLSRTESGSTNADEILISLTGEGEIDSAPDLPEQIAWLKVELCRLLEEKRSAILRAEELETALMEMVKQDNRRELSAKVERLEEEVAELRQALSDKQEQETAMLQVLMRVEQEQKVTEDARRFAEQDATAQRYASQVLQEKYEEASVALAEMEKRAVMAESMLEATLQYQSGQTKLQPSPRSSQPESPGSRNNQEPTTDTPTRRISLLSRPFGLGWGDRNKGKPTNVEEPAVVESPVSQHEGNGVKVQDELETR >RHN62076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40526732:40528634:-1 gene:gene24607 transcript:rna24607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc/iron permease MAYSVTIHKAIFIVFILITFLTSQALADCESESTNSCNNKEKAQPLKLIAIFSILATSVIGVCLPLATRSIPALSPEGDLFIIVKCFAAGIILGTGFMHVLPDSYEMLWSDCLDEKPWHEFPFSGLVAMFSAVVTMMVDSIATSYYSKKGKSGVVIPESHGGDDQEIGHSHGGHHHIHNGFKTEESDEPQLLRYRVVVMVLELGIVVHSVVIGLGMGASNNTCSIKGLIAAMCFHQMFEGMGLGGCILQAKYKFLKNAMLVFFFSITTPLGIAIGLAMSTSYKENSPVALITVGLLNASSAGLLIYMALVDLLAADFMSKRMQSSIKLQLKSYVAVFLGAGGMSLMAKWA >RHN59791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13211118:13214639:1 gene:gene21943 transcript:rna21943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVEILKYVYNMILFVSLYLLGIYVERECYTYADCRRKYRGANKHLLWCNDGYCEYHTQ >RHN48597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48710626:48712603:1 gene:gene43331 transcript:rna43331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MNLKSYGFQQKPNLAGKLSNLDRVEADLLQARAAIRKAVNGKQTQDIDYVPTGPMYHKPNLFHRSYLEMEKRFKVFVYEEGEPPVFHDGPCRDIYSMEGIFINQMEMNDKFITKDPEKAHVFFLSYSVAMMVHYVYVRDSRAYDLLRITVKDYINVLSEKYPYWNRSHGADHFILACHDWGPEVSNAVPNLYKNSIRAFCNANTSEGFKPVKDVSIPEINVRTGIIDDLLGGPSPSKRSILAFFAGGLHGDIRNVLLEHWEHKDEDIQVHKYLPKGVSYYAMLRKSKFCLCPSGWEVASPRVVEAIYTGCVPVLISDHYVPPFSDVLNWKSFSVEVSVNDIPNLKKILSNISPRQYIRMQRRVGQVRKHFEVNSPPKRFDVFHMILHSIWLRRLNLKLHDDQ >RHN55285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16821788:16824588:-1 gene:gene30437 transcript:rna30437 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLENGLSFNSGPPCLKNAPFRYTSLIDLHCQDFHTDSSTYSLFVIFINTQTINYVSRDCIWVRSISFVVFHCSS >RHN59192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8333025:8334098:1 gene:gene21190 transcript:rna21190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MEKKKTSVHLPHDLIILILLRLPVKYLIRFKCVCKSWFSLISEPHFAKSQFQFTTATHTRRIIGLSSLSHEIRSIDVDAWLNDDLPSANLNFLLPKSYFPFEIIGSCGGFLFLYRFPDIYLWNPSTGFKKQIPVSSFDSNKPHDNLYGFGYDQSRDDYVLVVFSHVSSHLEVFSFPDNTWKEIEGTNFAYADPSHRKGFLFNEAIHWLAWSCDLNLDVIIVFDLIKRKLIEIPLQNDFGGLTLDADSGLWVFGETLSIWILTSDGERIEIWVLKEYKVHSSWNKTLVLSVDFIPDNLNVSPMYSTKNGEIIIVTTDGSILVKYNSKGQLLEHQSFCNAPSKVVMYTESLLSLPGDNE >RHN44831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8978472:8979083:-1 gene:gene38997 transcript:rna38997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MTILIFADAKRLIGRKYSDSIVQSDVLLWPFKVIAGDNDKPTVLVKYRGKEKHLVAEEISAMILTQMREIAEAFLESTVENAVITVPAYFNDSQRRATKDAGEIAGLNVMQIINEPTAAALAYGLQKRSNCVKERNIFIFDLGGGTFDVSLLTIKNNLFEVKATSGDTHLGGEDFDNRMVKHFVKEFERKNKLKLTLVVTQNP >RHN64247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57623246:57626913:-1 gene:gene27042 transcript:rna27042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MNSMGSRSMVISLEEGWNVMQDGINNILEGLPEPQFTSDGYMILYTTIYTMCTQRPPHEYSEQLYEKYKETFEEYIKSTVLPSLRENKDELLLRELLIRWSNHKIMTKLLSRIFCYLDRFHIPRKWGLPSLEETGFLSFYHLVYDEVKKQVMDAILAMIDWRQAGEPIDQTLVNNALAFYSEIGESTRRNDPKHFAETMIKEKSTFYYDEGSNWIASSIFMDNTPEVMKMHYSPTGSSRKINLISSDGDMFEVDYDVALMSKTIEDAIETDPTGDVNCISLSLVSSKILAMVIEYCKKHKNAQMSDVDLMDWDVEFVNVHYTTLFDLVLSSNYMNINSLYNLVGGKIGDMIKGKTAGEIAQLFDIKDVCTQEEQNQ >RHN70712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53375447:53379730:1 gene:gene19324 transcript:rna19324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II MVLHSLWVCPSNNPALILPTNNRIFRRVILNQTKCSSLSDDDKRYYSSSSSRRDFLLLGGGLTSLSLTTCSPISVALAGEEEQDHKMASFLDEVNAYSYLYPLELPSKKFVFKWVESRKPERYSSAAPLSPNARLRIVSERVDLFDNLIISVTIGPPSANLINLKDKSKWTAKDVVDSVLADKSSLRVTSVQRSAESSVLDAHSNEIDGEPYWYYEYLIRKSPNSMSEESGIYRHYVASTAERDGYLYSISASTLSPQWKKMGPLLEKTVSSFRLVSPTENYVPPYKDPWRFW >RHN77785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9420419:9421440:-1 gene:gene1276 transcript:rna1276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MSNGSLDKYISSREDTISLSYQKMYEISVGVARGMAYLHQGCDMQILHFDIKPHNILLDENFIPKVSDFGLAKLYPNEISIVTLTAARGTIGYMAPELFYKNIGGVSYKADVYSFGMLLMEIASKKRNLNPHAERSSQIFFPIWIYNQLADEREIEMDEISYEENINVKKMFIIALWCIQLKPCDRPSMNKVIEMLEGNIENIEMPPKPSLYPNEMIQEDLDINSNEIESENDGSTSLIDDEDATKSLILNSANY >RHN55733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21969118:21969793:-1 gene:gene30964 transcript:rna30964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MCDIWGVSNTIKDIWSEEEEKILMKAHEELGNKWVKIAKRLPGRTENSIKNHWYATKRREYSKRNYPSGSTLLQEYIKSLNLDKNPPKEYTRKSSTKASDSEYLLTNQSTISAQSQKANDSQCLLSSGDFEDDILDICFDDNLFQDGCSIDSLLDDMQIVEDTMLGVDVKKELDLIEFFYSGQ >RHN76323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49361463:49362014:-1 gene:gene12625 transcript:rna12625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stigma-specific protein Stig1 MILSIDLPLFYKYLPFLLILLIQNISNKYYFYSYPTLFYLSYIALNTKTMKFIKTLFLVTLLMALGAITLSSATSSKNEEPNSLQGTSHFLSRKQNRISVSCNKYPKICNIKGSPGPNCCNNNCVNFSIDMFNCGRCGKKCSFPKICCEGKCVNPRSNEKHCGKCGNKCDNRGSCVYGMCRYA >RHN78919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19996480:19999826:-1 gene:gene2587 transcript:rna2587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MATPITHLLSFFLLFSIFHCTLSLLDPQDDVGFLVEPDDVNIVQNNRNSSKRCDFSVGKWVYDESYPLYDPNCPYLSTAVTCQKNGRPDSDYEKWKWKPSGCSIPRFDALKFLGKMRRKRIMLVGDSIMRNQWESLVCLVQGVIPTGRKRVTYHGPAMAFHSMDFETSIEFLWAPLLVELKKSPENKRVLHLDLIEENAKYWRGVDILVFDSAQWWTHSDKTSSWDYYKEGSNLIKNMSLMVAYQKGLSTWARWVDLNLNPQKTKVIFRSMSPRHNRENGWKCDNQKQPLPFFSLQQHAPEPLVVLQGVLKKMRFPVYLQDITTMTALRRDGHPSVYRKATSQDEKQRMGHSSDCSHWCLPGVPDIWNEMLSALL >RHN74595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34983913:34985666:-1 gene:gene10689 transcript:rna10689 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLVRRRKQNPKSKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNIKECENHIHTLDSPCANIRMEIGWPPGVPFVHPHDLPNKAKLGFLEAYEPGWTEAHQNIDRNQSP >RHN76483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50719493:50722128:-1 gene:gene12816 transcript:rna12816 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartate racemase MLAMSLCYQSQTLFGFVSILNPNLNPRYLCKSRSWKVLSTPPSSVILNADESGKFSKNLVGSVRNDSVSPFGYQGTTVGIIGGMSVDATLNFLRKLVELSSQDGQNPIPFLLCSDPILNKELLSYERSLESFKVDSSQIVQSLRNKRVFLENSGARCIVMPCNVSHSWYEQVSIGCSVPFLHMAECVAKELKEAKLKPLEAGSPLRIGVLATNATLAAGFYKEKLQNEGFEVILPDRATMEHTVIPATEALSRKDMEGACNLLRIALQVLLVRAVNFVILASDEMRDVLPHDDPLLKKCIDPMDALARSTIKWVRSCGDNT >RHN73432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16379217:16381432:1 gene:gene9244 transcript:rna9244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase I associated factor, A49 MFFSLFFWMCIGFGFFPKIKFSLRFVDDSEKKKLSCILSFINYLVKFKDQHSMDGISSSKFEKLPYTLYHRFTTMFDVTESRRLPPEKMNLLISYVLVLTLFSDDFRTDYRDIVKDLRMSTLTLRPIFEHLGCKFISSQKVSYATLPIPLTFSQIKKRKRKNKNKL >RHN53079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41862471:41866762:-1 gene:gene37831 transcript:rna37831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKVTKFVYIAIHILSLFFIAMNDAVIFECSEDSHCVTKIKCVLPRKPECRNTQCTCYRGYKGSFTLHH >RHN75737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44659129:44661228:-1 gene:gene11977 transcript:rna11977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MLQAFNQFQFSKSFCHINPLTMPQLKLLITLLHTVTIIICCVSTTEFVYNTNFNSTNIILYGNATIQTSILTLTNGSFFSIGRAFYPKKIPIKPPNSSTILPFATSFIFSVAPIKNSIAGHAFSFLFTSSRDVNGTTSAEYMGLFNLTNEGNPNNHVLAVEFDIAKNVEEFNDINDNHVGVDINSLTSLTSHEAGYWGGKYDNEFKVLKIKSGENYQVWIEFMHSQLNVTMALAGQKRPHVPLISTNVDLSGVLMDETYVGFCASTGRLIDSSKILAWSFSNTNFSIGDALVTDNLPSFVPHKGWFSGAKAIAVGVTTVVCVLIIGFGCVVFFIRYIRQKGEEEIEEWELEYWPHRISFQEIHAATRGFSEENVVAVGGNGKVYKGILQGVEVAVKRIPQEREDGMRVFLAEVSSIGRMKHRNLVGLRGWCKKDKGNLILVYDFMNNGSLDKWIFECEKGKMLTWEERIQVLKSVAAGILYLHEGWEVKVLHRDIKANNVLLDKDMNARLGDFGLALMHEHHGQVANTTKVLGTLGYIAPEVIRTGKASTMSDVFGFGILVLEVICGRRPIEEHKPGLIEWVESLMVLDQLDNAVDERLETEGGYSIEEAVRWLHLGLLCTSSDPNVRPMMRQVLKMLGGEIDNIECDGENMETSLLRRIQSAATWSRTDPCRGHPTFEEIRMISYNSKTSSDIIWEGR >RHN50461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7299022:7300269:-1 gene:gene34744 transcript:rna34744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKRKRTCSLKSCSPNNLLTKSPEVSDSTCSYLPDECWEIIFRFIHKDDFKQRCLNSLSFVSKEFLSIIDRRRFSLIVKDATGPFLGRLLKRFTNLNSLDLSNYNDDLDMLLHKISPFPLKKLTSLSISNQHTFPANGLRAFSQNITTLTSLDCSNTFLYNNDLLLIADCFPMLKELNLGHPLVNNQTNFINGIHCILSKWQCIQHLDLRCTYFLNDEHVSELSLFLRDLLSVNLSDCWMLTELALYSLVRNCPSLSEFKMEYTAIGKESVGNSSVYPQLKSLYLGRNLRLTDEKIVILASFFPNLQLLDLNTCNNISEGICQVLRRCSKIKHLNLAHCSRVKLLGMNFVVPQLEVLNLSDTKVDDETLHVISKNCCGLLELLLKDCYYVTKKGVKHVEENCTQLRLFSNRGCLLC >RHN57728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41351424:41356230:1 gene:gene33311 transcript:rna33311 gene_biotype:protein_coding transcript_biotype:protein_coding MLAECRLLFDGVFVQANILDRWLWDPDIHDGYTVRGAYRILSTPMLSNLDTNSDHIWHKQVPRKVSMLLGVY >RHN60052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17763565:17764338:1 gene:gene22251 transcript:rna22251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxisomal biogenesis factor 11 MNKTNHIHISTMNDTVDKLVIFLAKRDGIDKLVKTFQYVSKLANWRVEVTHPDISKRFKNWEVASGLSRKAFRTGRFLTGFNALRRNPGSTLTLRLLAVLSNSGEMVYFFFDHFLWLSRIGTVDANLAKKMSFISAFGEAVGYVFFIIADFILLNEGLKAEKKLRSSEGKDNEKEIQKLKSDRIMRLMAVAANVADLIIALAEIEPNPFCNHTISLGISGLVSAWAGWYRNWPS >RHN74038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:24214436:24215547:1 gene:gene9976 transcript:rna9976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA recombination and repair protein, RecA MSTAAQNLVHELHQKTEKCSVGCPVLDRYLAGGIPTKSVTELVAESGSGKTQICLQLVLTAQLPPSHGGLSASSLYIFTEYPFPIRRLKQLSRSFLSSNPGVGTDPLDRVFLRGIYSAEKFVDFLPDIEILLTYWKSRLRPVRVIVVDSIAALFRSEFENSMLDLKRRSSLFFKISGGLKTLAERFGLVVVVTNQVVDLIEENEGLRIGNFSNLYSSGRRVCPALGLSWANCVNSRMFLSREEFGEGSRKLRTFRVVFAPHLPQCCSEFVITGEGVFGVEMGQRNGV >RHN70040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48250735:48251307:-1 gene:gene18580 transcript:rna18580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HotDog domain-containing protein MEKTDLESAKRYLEEKGEASLKVDDDEFPPKFLEHLILRGLRFDVIEPGRVIFTMNIPPRLLNSGKYLHLGATVTLVDVVGSIAIPAAGFPLDTGTSVEINVSCLDAAYLHVSVFQSLITLVLSLIMKFSINLYYSPIYIQKMHSLFSKFKVSLQY >RHN76947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2590566:2594786:1 gene:gene341 transcript:rna341 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSLSLILPNMKKKTTLFSSSSSSSSPLPIFSTKSLLYDKLPSEPLRLSVLKLDGTSFDVHVSKNATIAELKDAVEGVFSYMPQHGPAKISWPHVWGQFCLCYDGQKLVTEKDYLKNYGVKDSDQLHFIRHASNNCGARRKRSKKRVFHLKQHRRLSQANYCKQKENCDADEDDDDGDISSDEEALENAETKHHIEEVHVRKNKITGFVGELLSYTPLAVVRKTRAQNQIFPSTISRCLIGGLRKIKRIVCFGRRQQYPTWRQY >RHN62641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44882482:44882688:1 gene:gene25236 transcript:rna25236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MKKVEIEVDRVLQISGERSVEKEDKNNEWHCVELSSGKFMRKFRLAENAKMDQVNANMGEWCSHCNYS >RHN68474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35858220:35859810:-1 gene:gene16836 transcript:rna16836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MISVENGITNHQDEIEESKGSQVKEFASVDISTPTQTFPSNENPQREFLKFGSASAKFKELVIEKDQISQSVPSPRSDSLKSRFSTMFAQKLEWNSVKKICMEWIENPMNMALFAWIVCVAVSGAILFLVMTGMLNNVLKKKSERNTWFEINNQILNALFTLMCLYLHPKRFHHLVLLCRWTPNDVSALRMIYCKNGTYKPHEWAHMMVVVILLHVNCFAQYALCSLNLGYKRSERPAIGVGITISIAIAAPAIAGLYTILSPLGKDYDCEIDEESQVQVREKPFEKKYAFASKDQQGGIIENRPKWSGGILDIWNDLSLSYLSLFCPFCAFGWNMERLGFGNMYVHIATFMLFCMAPFWIFVLASVRIDDDNVRQTLVAFGIILSFCGLLYGGFWRIQMRKRFNLPAYKFCFGKPSLSDCTLWLCCCWCSLAQEARTGNSYDILEDKLSMKEIDTRDQSSVSCLAGQDVVSSKSDTSSSLERRKDETMNPPIALLIQREAPTLFSESKRGK >RHN47175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37673519:37676357:1 gene:gene41740 transcript:rna41740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MASSRVVGLFSIVLICITIAQGRKALNTNNEFSASSLVNNDDGICKSMVETQGYTCEEHKDAVVWLWNSPDESLAFILADNGFDVWIVNDRASRYSSHTSLTPNDQAYWEWSWEELANNDLPATVQYVYDHTGQKMHFVGDSQGSLMAFVAFSQGNLLNMTRSAAMLSPIAHMGRINSDATRLAAKLFLANGLMFLKHICDILGLDCVNLLTPFTGPNCCINSSRIDYYLEHEPQPTSTKNLIHYSQMIRTDTIARYDYGLLENLRRYGRVHPPNYELFAIPKDFHLFLGMGRLDMLADVEDVKFLLSYEFKNHDPNNLVQVLKENYAHADFIMSVTAKQDVYDPMIDFFNKQ >RHN58376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1317167:1317481:-1 gene:gene20283 transcript:rna20283 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGKEDTRIIELHLDAKMVRIIFAVPIVWLWMSQFWCNTFLINVIGLGICHSFHGTGDYADVLANMRCAHGSYVIFYQKILAKVRVGLSGKFGPKTSIQPDCG >RHN55479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18841682:18842530:1 gene:gene30666 transcript:rna30666 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTIFCFASSLTSHRSENKIIFKRCLAILATELFLDTADGKTIFQAKFKRSKKLILTASRRKFSASWLPDFDEKLEPPRTAKTGL >RHN47682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41534934:41539433:-1 gene:gene42307 transcript:rna42307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEIEKGIKNNMEMVEKEVETDSKKMNHPRRGGIRTLPFILANEVCDRFAGAGFHSNLISYLTQELNMPLVAASNTLTNFGGTSSFTPLIGALLADSFAGRFWTITVGCLIYELGLISITVSTILPHFRPPPCPTQVNCKEATSSQLLPLYMSLLLTSLGSGGIRPCVVPFSADQFDMTKEGVASRKWNLFNWYFFIMGFASLSALTIVVYVQDNVGWGWGLGIPTIAMMISVISFVLGSPLYKTVKPEGSPLVRLAQVVVAAVKKRKETLPDDPKFLYHNRELDAAISLEGSLLHSNQYKWLDKAAIITEEEAKDSNAPPNLWNLVTVHRVEELKAIIRMLPIWASGILLIMSSSHLGSFVIQQARSMDRHLTHSFQIAPANMSIFGVLTMMIGVMLYERFFVPFARRFTKNPAGITCLQRMGVGFVVNIIATIVSALVETKRKTVAAKFNLLDDPKAIIPFSVFWLVPQYCLHGVAEVFMSVGHLEFLFDQSPESMRSSATALYCITTAIGNYSGTLLVSIVHKYTGKENNWLPDRNLNRGRLDYYYYLISGIQVINLIYYIVCAWFYTYKPMGEVCERSKEEDLEQASANISIENLKDGKEEEKKGFTKDE >RHN44968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10347454:10348379:-1 gene:gene39157 transcript:rna39157 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPIFVSVVLFILLTPGLLFQIPGRGRFVEFGNFQTSGLSILIHAMLYFALVCIFMLAIGIHIYAG >RHN75405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42045941:42049702:-1 gene:gene11603 transcript:rna11603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEVQKIVYAFIILISLIMVVTSNPQGTCYTFVHCYIKNGWPFKGVWRCNKGFCELVI >RHN46499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32302182:32303402:-1 gene:gene40991 transcript:rna40991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSLFRHLLLRRSSAVTLRSFSTSSAQQAATAEPQPPPEELHTRVTSLIRSGNLDAASAMARQSAFSATNRPTVFTCNAIIGAMHRAKRHNEALDLYEFFFNSFKITPNIVSYNFMINAYCDEGCIDAALDVFRKMKADASIKPSLVTYQIITKCMINAGRIEDASDIWFEMLLDSGHTDSSVYNNLTLACSQLGNLDKANEFIDELKIRCPYYHHGVVSATYMNWFLKQGRDKDAMECYKSLLNRKCRMRPETGDVLLEVLLKYGKKTEAWDLFHDMLDNHTPSTFHGVDSDTFTLMVEECLKLGKVDEALATLKKVGTKPDSKPFFLDVDGYCQLISIFCAHDMLSEAENLFQDMYSMSMTPDASTYAILFDTYRRMGRKDDAYMLNNKMAEAELIKNGPTRIEL >RHN40329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15373617:15375072:1 gene:gene46472 transcript:rna46472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MASREEDHKVSYDYCKQQILSLPKEKGLSIQDLYFFQSFWCPPILIQPINSFQNNFQTKDSDVIVASMPKAGTTWLKALTYAIVNRHSLEMHDHPLLKSNSHELVPYFEFNIYGDNLDQTPLIDLSNMAEPRLFGTHIPFNSLAKSIKESNCKIIYICRNPLDTFISTWFFINKIRSNESLPMLNLEEAFEMYCKGRNLSGPFWNHMLGYYKESIARPGKVLFLNYEELKQDANYQVKRIAEFLGCPFTQEEESNRMIQNIINLCCFENMKDQEVNKFGVLSSRYEKKHLFRKAEIGDWKNYLSRSMVEKISKITKEMLGGLSFEVCRSIDQ >RHN48507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48023738:48024542:-1 gene:gene43228 transcript:rna43228 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFLRFSLQDLESALLFITGGGDFESDLMMKMRGMKMKKWEKGLSVVDSPEDTIDMHDLTIIF >RHN46263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30199072:30204585:1 gene:gene40730 transcript:rna40730 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLKWFKVDGESKLEQTCSEDFECYIKNPHVPFGHLRCFEGFCQQLNGPA >RHN65420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2082253:2083070:-1 gene:gene13257 transcript:rna13257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MTQLKEIAEGLERSGHRFLWVVKRPPQEHLGTKQLHDTTREFELGSVLPSGFIERTKERGLVVNSWAPQVDVLSRESVGGFASHCGWNSVLEGVVAGVPMIAWPLHAEQHVNRNVMVEDMKVAVAVEQREGEGFVTGEEVEKRVRELMESERGKEIRERSLKFKDMARDAFGEFGSSTKALANLVQTWNDN >RHN70343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50766473:50768044:-1 gene:gene18913 transcript:rna18913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MKLAFAILLLVLSSSLLEVSFAGSDFCNSKCAVRCSKASIQDRCLKFCGICCEKCNCVPSGTYGNKDECPCYRDMKNSKGKGKCP >RHN75068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39161024:39161410:-1 gene:gene11220 transcript:rna11220 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEPNWCDWHLVVCSMNLENSLIQSLLVVALAPLQKETGVPSHSLMSIQHSATIAVSLSPLSGACSTKDLTAIHEVLENIGYKEYDGVANGLSFQMWTDQMQDSLESAKSRLKKNKFVSDKIMKIGL >RHN70664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53066856:53071100:1 gene:gene19273 transcript:rna19273 gene_biotype:protein_coding transcript_biotype:protein_coding MMNHDDMVNNINSMLQKAEPPVTSDCCIYKVPFAIRSLNPDAYTPKVISIGPFHHSHRHHHLQNMERHKLIYFKAFLQRTQTTLHTFIHCIDSAIPNFRSCYSETLDFTQQELVQLILIDSGFIIELFWRSYYDDWSQDDGFLLKPWLASNIRLDLLLLENQLPFFVIQEIYNLSFTSTNASVPKTKIPSFLELSFDYFAYYNQSNLGFDNGDFSIRHFTDLIRIFHLQHPLQRRPLRIDEPMKHLQSATELLEAGVRFKVNTKSECLLDLRFSGRVLEIPQLKVEDWTEILFRNMVALEQCHYPSESYITDYVAVLDFLINTGKDVDILVQKKILVNWLGDSDSVANLFNSLWKNVTHLYFSSQYSILCEDLNGFCHDPWHRLKATLRRDYCNSPWQTAASIAGILLLILSLLQSVCAVLQVVQQYNDSYKLLQDAVAMQLKDNSGDITNARDRYFACIVSMLAHTDMIPADKGDLATVDKDISANISSRTLSNGKRMTNLVLKVALHAFCHDISDGRLKCYDAFTKVSKWQLYQ >RHN48112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45122489:45122641:1 gene:gene42788 transcript:rna42788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pleckstrin-like, plant MTYMVLLRIENRLEGIVELECRNQREYDVWTQGVSRLLSIVTQRQNKYNN >RHN40456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16468328:16470394:-1 gene:gene46608 transcript:rna46608 gene_biotype:protein_coding transcript_biotype:protein_coding MTYMRLLENIYLLYISILGPGNAVEQKTNISLQGFGVSNNAPRISETEAFGKHANTQRISPLKEESNNQNQDSQKPTLGSEELCPEMQRLIKVITSMSPEALSAAVGDIEKVVRLNDEIPTFTSPEGWKMPRSFVATTFDTPGVCASIGEGFNQFTDVAAPEPDSFTMKGKCPPAVENQNILAEIKDINNRLFDSEVVIAKKEIVESVVGVAAEQSEGLLVKIMYNAVSINQNLVSHFTSDKKSLIKPLRLFIPESYPSSSLVILDELPLTVSDDLRALFEKAKAKLRLKLQSTKQPWLIKDVARAWEHCAREAVLDYAHANGGGTFTSMYGGWEACR >RHN62507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43939143:43942492:1 gene:gene25091 transcript:rna25091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LysM domain-containing protein MTKSSSSSFALVLSFLLITMFSTAPTAGFPICSTIHGVQVGETCFSIIQKFAIEQPLFLRLNPNINCSGIFVGQWVCVNGR >RHN69951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47524834:47526027:-1 gene:gene18484 transcript:rna18484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MGDTVKLPPGFVFSPTDEELILHFLYSKKASLISSHPNFIPDLDPSLLVPWELNDKALCGGNDEYYFFAKVKENKSSTENGHWEEIGVTVPIFSGTDQKVGMKKYLLFHIGELAQSFETSWVMQEYHICSTGFDQSTVSYHGDNKVGENWSEWVLCRVYEIDKNMYPQEGVNYCNSDDDDSGTDLSWQDQVFLSLELEDDMEGITMPQ >RHN57718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41280546:41286884:-1 gene:gene33301 transcript:rna33301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase TKL-Pl-5 family METKKLHSRFSLGRQSSLAPDHDGSATVNSLVTDSVTVTDSVTVTDLVDPTVRLMYLANEGDLEGITELLDDGSDVNFRDTDGRSALHVAACQGRTDVVELLLQRGAEVDVQDRWCSTPLADALYYKNHDVVKLLEQHGAKLKVAPMHVQNSREVPEYEIDSSELDFTNSVCITKGTFRSAIWRGIQVAVKTLEEDVFTDDDKVKAFHDELTLLQKVRHPNVVQFLGAVTQSTPMMIVTEYLPQGDLCAYLKRKGALKPIIAVKFALDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGHLKVADFGVSKSLKITKTVKEDKPVTCQDTSWRYVAPEVYRNEEYDTKVDAFSFALILQEMIEGCPPFYRKPESEVPKAYVENERPPFRALPKLYPYGLKDLIEECWDGEPYRRPTFRQIIERLDKISNHLAQKRCWKALTPPCIRNLETLFKGYPTSPGSRSARSTVR >RHN47047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36776484:36780794:1 gene:gene41598 transcript:rna41598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diacylglycerol kinase (ATP) MIALGRGFSMATTMVFRSELQPITPERFLGIDSSSSSRRRDLVFIVNPQGANGRTGREWRKLVPYLRSRLGKECNIFESLTSGPCHAIDITREAIREGADAVIAVGGDGTLHEVVNGFFWAGKPVTSQMNESTRSTALGLIPLGTGSDFARTFGWKNDPCEAVERVARGLRSKIDVGVITGESCEHHYFVNIADIHLSAKAGFHAARYKRFGKLCYVIGALQAFMGHQNHDLRVKFNEGEWVKCPQVTAVCVGNAKYFGGGMKITPNADPFTGNLEVVILQDFKWYDFVLKLHKLYNGTHLSVKNVSTRSVHSIEVEDISGQGGIYIQSDGEHLGFLPKKISVLPAAVEMIF >RHN59626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12549298:12550594:-1 gene:gene21687 transcript:rna21687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem antenna protein MPDLSIYPVNYWGHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLATLVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGWIVSVDDLEDIIGGHVWLGSICILGGIWHILTKPLHGLVVHYEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGETEKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFLFVGHLWHAGRARAAAAGFEKGIDRDSEPVLFMTPLN >RHN48342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46789378:46790019:1 gene:gene43050 transcript:rna43050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MEILQDDTMRELYNASLNRSVSSLRTLIQRNPLILSKVSLYPFSITPLHIASLLGNFEFCQILLDIDPNLASEVNLEGRCPLHLVSAKRYTKIVRAILLTNSKTCFIRDKDDKIPIHFAAMRGRVEAIKELNSVMPETEIIKVMFETDDHGSILHLCVRYNHLEALKILVKLVRGNHRLRFLSVKDKEGNNVLHLVVRRAQTKGTTCHFSKIT >RHN74211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30240102:30249585:1 gene:gene10232 transcript:rna10232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative legumain protein MGFQILNFFKFSMKGLMILLLIFLSHSVAHSTSSSETTMHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNARNKYPAQVFNNENHRLNLYGDNVEVDYRGYEVNVENFMRVLTGRHENAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADVVKQMKEKHRFKELLIMVDTCQASTLFSQLHSPGVLAIGSSMKGQNSYSHHLDPDVGVSVVDRFTFYTLAFFERLNIYDNASLSSLFNSYNPNLLMSTAYYRMDLYQRHLEEVPVTNFFGSVMETIHTDSAYRSQSNKKFGGPEIKMPLDQSISDNDRRILRNSVDEDQPNKLSTEENFHGVGQLWNTIISKVNTFESADTFVCYGLGSMVPLLIISVWLSS >RHN53860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4782824:4787805:-1 gene:gene28820 transcript:rna28820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MRATLCKGLNRGLGTLLAGLLAFIVGYIANASSHRISQAVIIGAAVFLIGALATYMRFIPYIKKNYDYGLVIFLMTFNLIALSSYRVDSVLKIAHERISSIAIGCAICLIMSILMFPNWSGEDLHNSTAFKLEGLAKSIEALTLQVEGVYGIWHGMCRSQTTIQHWSCVNEYFYGEIDSPGENKSSEDPIYKGYKNVLDSKSIDETLALHASWEPRHSRYCHKFPWQQYVKVGAVLRQFGYTVVALHGCLRSEIQTPRSVRAMFKDPCIRVAAEVSKVLIELSNSIRNCRHCSPEILSDHLHEALQDLNNAIKSQPRLFLGSKHKHNHANNMLKLAAAQVGQGRHGKGSGFSLSSVKTDSSALLDWKTKRDSLMQSKENERKSLRPQLSKIAITSLEFSEALPFAAFASLLVETVAKLDLVIEEVEELGRLDCFKEFRAGDELVVTCEEPRVDVSRNNLPSHGIE >RHN55642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20449310:20469662:-1 gene:gene30854 transcript:rna30854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MGRGKVELKRIENKINRQVTFAKRRNGMLKKAYELSLLCDAEVALIIFSSRGKLYEFCSGTSMAKTIERYQRCSYGALEINHQPEIETQKRYQEYIKLKSKVEALQQTQRNILGEELEQLDINELEQIERQVDSSLKTIRSNKTQHMLDQLTDLQKKEEMLLETNNILRNKLEEINVTLQPTWESREQNATYSDHPHQSEGYYEKARCNRTLQIG >RHN46756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34604854:34605113:-1 gene:gene41279 transcript:rna41279 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLIQLVESEHKVVDKYQIGGSIQDLDYEGIDTVSSFSHLFVANFLLCLD >RHN67248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25162775:25165649:1 gene:gene15419 transcript:rna15419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEMAVSFAIDQLLPLLTEEVNLLKGVHKEFGDIKDELESIQAFLKDADRRAAADGENNSEGVKTWVKQLREAAFCIEDIIDEYMIHVGQKPHGHGCVALLHNISHLLRTMTSRHRIAAEIQDIKSSVRGIKERSDRYSFQRSFEQGSSRSRGSWNDKWHDPRLASLYIEEADVVGFDKQRDILIDWMIKGRAERTVVSVVGMGGQGKTTLAKKAFDSKDVVGHFDCRVWITVSQAYDVEGLLKDMLLKFYKQKGEDPPMGIFQMDRGSLMDEIRNYLRRKRPKRVIRQWIAEGFVKEEKGKTMEEVAEGYLTELIHRSLVQVSSLRIDGKAKGCRVHDLIRDMILEKDEDFNFCKHISDDGQRSLSGIVRRLSLTAIDNVFMECIDGSHVRSLFCFGNKISFPFYRGIPTKYRLLKVLDFEGFVMIPKNLGNFIHLKYLSFSLSDLLVKFPKSIVMLQNLESLVLKDAYNLVLPKEISKLRKLRHLIGQTLSLIELKDGIGEMNSLQTLRNVYLDLDDGAAEVIKALGKLKQIRELGLLNVPKEYGSILSFSINEMQHLETLNVGSSVDFIDLSLISKPSMLRKLTLHVWLEKFPQWMSDLQNLSVLKLFYPDSTKDPLQSLKNLQHLLMLSLDLSKYEGLGLHFHDGEFQKLKELEVRGCIELKEIIIDKGSMPSLKKLKLVQPLNLKNIPTGIEHLEKLEDLYIWGVEVEFVQRIPTEDWNWIMEHVALVKIYSRVWEEIQNSRS >RHN42410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38125258:38126483:-1 gene:gene48848 transcript:rna48848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase transcription factor WD40-like family MLNMAMVVTTTLVIFILCSQSVAILQKKLQLPSPVTGPESLAFDRNGGGPYVGSSDGRIFKYIGPNEGFKEYAFTSPNRNKTICDGLADFSAVQAKCGRPLGLSFNHQTGDLYVADAYYGLVKVSPDGANVTQLVGPAQANSTKFADGLDVDSDTGIVYFTEASTNFQLKDFQTLITSGDNSGSLLRYDPSTNQTTVLLSNLAVPSGVAVSKDGSFVLVSEYLSNRVQRVWLKGPRANSSELFMLLAGRPDNIKRNSRGQFWISVNSYLGPPGSPRRTMLPGGIKVTENGLILQIVSLGAEYGTQPASEIQEYNETLYSGSLLASYASIFTP >RHN72264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6258014:6259012:-1 gene:gene7958 transcript:rna7958 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPYLEEDCIKDYKLKNNSNPNKAKNLSFFASIFSLFIYICIFYIFNLSPYTLLNNNIFWFIMSNTLILIIAIDYEAFSSSKQKQEDLHEEYVKHSQEIRNHVSSIPTYDELQVDKQCIINSNQEFLQEEKETIVPERVLEIVVQNQPKKIRTSDDSANEKKKSTLLLQVDGDDVHKEHELEKATFPTRSIYRRSKSYRHNRAKHVVIDERRNSVRRLESMKMEPKIEEENEFSKMSNEDLNKRVEEFIQKFNKQIRLQASTIN >RHN70529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52055166:52059972:-1 gene:gene19114 transcript:rna19114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BRCT domain-containing protein MGGEGRVEVVSGKGCSRLFSSSIRNLQPLDQMSPVSSSPQLPSNAPFAGLVICVTGLSKEARNQVMEATERLGGQYSPNLHPQCTHLHSFGGRKFEHALKHGTKNGLFVVTLGWFVDSVRKNVRLTESLYSLKSYGDNDMKLDDFRLLPGYTNAGNCLPARIHEIKHTHHAEEFRRFSGAESNRSLDSSLSGCSIYVDPGISSELQNKVAETASREGASLADQWFVGCNVSHVVTEGTSIQRYLGYSSNLITPVWILKTAKEKQVQRLVSMSVDLARQVGLMLDDISGKEIVKQKVHDDLQGSKSEIGCKERQQIVNSAKNGVRSRRGRRMQTCQTPIRPITPNNLLDSICWSISEATSTASIYTDSFSAEDPSENHTSIFFDAKGDGKDSDASFSHSTRPLTESEKSELIFKNHFLTILFPVDRFSEIGPSTRTLFSHNGFTCLQVLDHIHAFYQENMSSLEIDVAIHTDSRHADRLRSVYSSKETAERGYVMLKRIEFLGSRTSFEMLKRVSGDNNSNVYELLLRA >RHN81124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43481856:43485497:1 gene:gene5124 transcript:rna5124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxyisourate hydrolase MEANTCLTLVFFLLLNLALGVLSTDNYGRHDFPVDFVFGSGTSAYQVEGAANEDGRTPSIWDTFAHAGFARGGNGDVACDTYHKYKEDVQLMVETGLEAYRFSISWSRLIPNGKGPVNPKGLQYYNNLINELIRNGIQPHVTLHNYDLPQALEDEYEGWLSREVIKDFTNYADVCFREFGDRVKYWTTVNEPNIFAVGSYDQGITPPQRCSPPFCLIESTKGNSTFEPYLVVHHILLAHSSAVRLYRRKYREEQNGFVGISLYTFGSVPQTNTEKDRAACQRINDFYLGWIMEPLLHGDYPDSMKANAGARIPVFTNRESEQVKGSYDFIGIIHYSKFNVTDNSGALKTELRNFFADSAAKLLGLEEILGENEYPFTPWALGQVLDTFKTLYGNPPIFIHENGMLTSRSRLH >RHN58161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44200855:44201981:1 gene:gene33774 transcript:rna33774 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRFILVFFSAVLAGYFAWRTVSFSPNIDLASNESTEEVRSFLKKEDFDFKKMIQNGFWVFIDMASGRYLWRNLRQTNMDVEY >RHN40380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15802750:15810918:-1 gene:gene46527 transcript:rna46527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-associated protein, MAP65/Ase1/PRC1 MASTPPSFSPSRTTCASLLRQLQVIWDEIGESDTDRDNMLLQLEQECLDIYYRKVEQTRKHKADLNKWLDDAESELADIVSSLGDDCVSISRGKGTLKQQLANIRPVLEDLKSRKAERVEEILEIKSQISQICAEIAGCGQSKGVTDQDVDQCDLTMEKLGELKSHLHELQNEKILRQQKVKSHISTISEISAVMSIDIWKTLNDIHPSLSGSSNGAPQCISNDTLARLTGVVLSLKQEKQQRLQKVQELVKFLVELWDLMEIPIDEQKAFSHVTRLISASVDEVSTQGGLSADVIEQVEVEVQQLNVLKASKMKELVFKRQNELEEIYRGVHMDMDSEAARQTLTSLTESGNVDMSELLQSMDEQIRKAKEQALSRRDILDRVEKWKFAAEEEKWLDEYERDQNRYSAVKGAHKNLKRAEKARILVSKIPSVVENLTAKVKAWETEKGIPFLYEKVLLLNSLDEYNVQRQLREEEKRKSREQKRLNEQLAVEQEAMFGSKSATKKPLNQSSHVNTLAGTPNGRRMHTPSGRYGTSGAKDRRESGRVNNIIPVNYVALAKDDSVSRGS >RHN44432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4577820:4582611:-1 gene:gene38552 transcript:rna38552 gene_biotype:protein_coding transcript_biotype:protein_coding MCFDKEGGSQDYIILELPRQDKDFDKAGFNKVLRVRPEEFKFFEEARWKGQTHEK >RHN61280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34441489:34446427:-1 gene:gene23724 transcript:rna23724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MAATSNPSFLLCLSLITTTIYFADSQSFIGVNYGQVADNLPPPSATANLLKSTSIGKLRLYNADPSIIKSLSNSGIGITIGAANGDIPILASNPNSATQWVNSNVLPYYPSSNITLITVGNEVMTSGDNALISNLLPAIQNVQNALNSASLGGKIKVSTVHSMAVLTQSDPPSSGSFDPNLKDTMKQMLEFLKDNKAPFTINPYPFFAYQSDPRSETLAFCLFQPNSGRVDSGNGKLYTNMFDAQVDAVHSALSGMGFQDIEIVVAETGWPSRGDNNEVGPSVENAKAYNGNLITHLRSLVGTPLMPGKSIDTYIFALYDEDLKPGAGSERAFGLYKTDLTVAYDVGLDKSTQKGPPSTSPNAPVTPAPKTTSQWCIPKGGVSDDQLQANLDYACSREGMDCGPIQPGGACYDPNTVASHSAFAMNLYYQKFGRNPWNCDFSQTASLTSQNPSYNGCTYPSGST >RHN42157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35927114:35936668:1 gene:gene48561 transcript:rna48561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-BEL family MGIATTPSSIPSTFSNSSSIQNYHSSFNSSILEKSQNSTNSMSQDYHHHHHQNHHQQGIFAFSNGFERSNTPENNNPHQQQQQIQRDKVRIQDFDSQQPPQPPPPPLIGNIEEEQEALPVYETTGMLSEMFNFPHGASAAELLEQQQQQQQQQMASTRTPQAPHGIGNSSDWYGNRQTMLTDSKNHHNNRDSSSSSSIFHHQNHHQMSNINAESAAAMQLFLMNPQTTRSPSPPPQPHPSSTNSSTLHMLLPNPSTTNLQGYNSGAGGSFGQFTWAQEGGGGGATSSHHQLNNNQPEMASVVEGQGLSLSLSSSLQHHHSEDLRMGDAAAGGFLYYNQGGPGTSYKNLGSVHHHHLQGGGLGQINHIHQGHVGFGSSSSSSSLGVVNVLRNSKYVKAAQELLEEFCSVGRGQFKKNKFSRQLSNPNSNQGGGGGSVGGGGASSSSSKDVSPLSPADRIEHQRRKVKLLTMLDEVDRRYSHYCEQMQMVVNSFDLVMGFGAAVPYTALAQKAMSRHFRCLKDAITAQLKHSCELLGEKDGVGTSGLTKGETPRLKLLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEDMYQQELKEAEGSSEGDQEDRENKNPQSSTSNNNNNTSGHLSTTTTTTNIIAQTPTQPSTTTSTTTTPQPTSNNNQLMRSNNTINANENDPSLTPLINRQGFSENQAMLLQQPSSTVKTTVSEVAPPISDSMAIDDTCRHGSFVSAEYGTTTGTSADIGSTLIRFGTNTSGDVSLTLGLRHAGNLPDKTSFSLTDFGGI >RHN77182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4739028:4740367:1 gene:gene608 transcript:rna608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MGVKYEGRAVGIDLGTTYSCVAVWLENHNRVEIIYNEQGNKTTPSFVAFTDDQRLIGNAAKNQSATNSENTVFDAKRLIGRRYSDSVVQKDIMLWPFRVITGENDKPMISVKYKGQEKQVSVEEISSMILTKMREVAETYLTSSVKNVVVTVPAYFNDSQRKATIDAGAIAGLNVIQIINEPTVAAIAYGFDKRSESDCKRNIFVFDLGGGTFDVSILKIKGGVFEVKATAGNTHLGGEDFDNRMVNYFVEEFKKKNNVDISKNAKPLRRLRTACERAKRTLSFAFVTTVE >RHN60211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23585498:23589820:1 gene:gene22470 transcript:rna22470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFKFSCFYIKSQGNKTKKLAQPSTEAMAKALRDSSKNPVGKDSPIPTGLNSSVSKQQPRKLMNVSENDILDQVSVEHIGRLEDFNSKFCIEFDKKVRQTGRMRKSQSESVLFQGITEGDQDLGFSKSRYDSPNSNGSSNDQSKTISSFGFQVIPSCDNQGSLFSIGDRTPSDKDARENSDTPLSGDLGGGSAYQTSGPSTPTLRKSRSLPNIKASTLSSANNHAFKHASSISRSSDDLCALGLRKKEVFINVSYDQIGETQERESGMEKTEDNHMDRYFDGFDSHLLSGLQKDWTMPITDDISDAEPLQGDSSSEFPNKDFNVKRIEDWVIGLQHCGPPLEDITELPESVDPKVDINTANAAVAASVVDHKITPGMETAKRYISSLTANATAAQLANHGLSVIPFLSAFVSLKVLNLSGNAIVRITAGTLPRGLHTLNLSKNNISTIEGLRELTRLRVLDLSYNRILRIGHGLASCSSLKELYLAGNKIGEVEGLHRLLKLSILDIRFNKISTAKCLGQLAANYNSLLAINLEGNPCQKNVGDEQIKKYLQSLLPHLVYYNRQPFKANGLKDGGDRAVRLGMNSQQFDRNLRVDRKSTRKGPSSTRRSSAVSSPKLSKGKQAQLPPIRTKTSTQSRHHFDSPSKPLNLNSGHFMRKSRSESTLLGAL >RHN64004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55845334:55847381:-1 gene:gene26776 transcript:rna26776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MVVILRHLSLSWGRFTSSEMKVFKSSLICPLIFLVLFLNFFLVIFTPNENHMIHINSPHELLSISSNNFGDGRKNMTSLEKIEEDLAQTRALIQRAIRSKKSTTNMKQSFVPKGSIYLNPHAFHQSHKEMVKRFKVWVYKEGEQPLVHDGPVNNKYSIEGQFIDEMDTSNKSPFKATHPELAHVFFLPFSVSKVIRYVYKPRKSRSDYNPHRLQLLVEDYIKIVANKYPYWNISQGADHFLLSCHDWGPRVSYANPKLFKHFIRALCNANTSEGFWPNRDVSIPQLNLPVGKLGPPNTDQHPNNRTILTFFAGGAHGKIRKKLLKSWKDKDEEVQVHEYLPKGQDYTKLMGLSKFCLCPSGHEVASPRVVEAIYAGCVPVIICDNYSLPFSDVLNWSQFSMEIAVDRIPEIKTILQNITETKYRVLYSNVRRVRKHFEMNRPAKPFDLIHMILHSVWLRRLNFRLHLKQMYPS >RHN74113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28098520:28102341:1 gene:gene10096 transcript:rna10096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MAGRNDAALAAALQVVAQAVGKQPNANAGANAEARMLETFLKKNPPTFKGRYDPDGAQTWLKEIERIFHVMQCTEDQKVRFGTHQQAEEADDWWVGLLPTLGQEGAVVTWAVFRRKFLRRYFPEDVRGKKEIEFLELKQGNMSVTEYAANFVELAKFYPHYTAENAEFSRCIKFENGLRPDIKRAIGYQQLRVFPDLVNSCRIYEEDIKAHYRIVNERKGKGQQSRPKPYSAPADKGKQRMVDDRRPKKKDATEIVCFNCGGKGHKSNVCREEIKKCVRCGKKGHIVADCKRTDIVCFNCNGKGHISSQCTQPKRAPTTGRVFALTGTQTENEDRLIRGTCYINNTPLVAIIDTGATYCFIAFDCVSALGLDLSDMNGEMVVETPAKGSATTSLVCLKCPLSMFGRNFEMDLVCLPLSGMDVILGMNWLEYNHVLINCFSKSVHFSSVEEESGAEFLSTKQLKQLERDGILMFSLMATLSIENQAVIDKLQVVCEFPEVFPDEIPDVPPERAVEFSIDLVPGTKPVSMAPYRMPASELSELKEQLEDLLEKKFVRPSVSPWGAPILLVKKKDGSMRLCIDYRQLNKVTIKNRYPLPRIDDLMDQLVGARVFSKIDLRSGYHQIKVKDEDMHKTAFRTRYGHYEYKVMPFGVTNAPGVFMEYMNRIFHAFLDRFVVVFIDDILIYSKTEEEHAEHLKIVLQVLKEKKLYAKLSKCEFWLKEVSFLDHVISGDGIAVDPSKVEAVSQWETPKSVTEIRSFLGLAGYYRRFIEGFSKLALPLTQLTCKGKTFVWDVHCENSFSELKKRLTTAPVLILPKSDEPFVVYCDASKLGLGGVLMQEGKVVAYASRQLRVHEKNYPTHDLELAAVVFVLKIWRHYLYGSRFEVFSDHKSLKYLFDQKELNMRQRRWLELLKDYDFGLNYHPGKANVVADALSRKTLHMSAMMVREFELLKQFRDMSLVCEWSPHSVKLGMLKIDSEFLKSIKEAQKVDVRFVDLLVARDRTEDSDFKIDDQGVLRFRGRICIPDNEEIKKMILEESHKSSLSIHPGATKMYHDLKKIFWWSCLKRDVAQFVYSCLVCQKWKVEHQKPAGMMVPLDVPEWKWDSISMDFVMSLSNTPRGNDAIWVIVDRLTKSAHFLPINISFPVAQLAEIYIKEIVKLHGVPSSIVSDRDPRFTSRFLKSLQEALGSKLRLSSAYHPQTDGQSERTIQSLEDLLRICVLEQGGTWDSHLPLIEFTYNNSYHSSIEWHLSRLYMVGGAELRSVGLSQVKEWS >RHN61861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38956518:38960677:1 gene:gene24367 transcript:rna24367 gene_biotype:protein_coding transcript_biotype:protein_coding MMILTKMKLQTLGWFLCLARIDILRLSNNFLSLHPILTVSYVYEGFEFYSEPIMLFPFLNL >RHN73340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15500673:15501186:1 gene:gene9141 transcript:rna9141 gene_biotype:protein_coding transcript_biotype:protein_coding MQQILRYFQQLANMELMLDIVYTYIFLYTFIPIYFYVYTFIIFYWNL >RHN42129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35616457:35621195:-1 gene:gene48530 transcript:rna48530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-PERK-1 family MAPHNDRPDNSSPDNATPDDADGSDSKNSSPSGGGNNPPSSDGGNNPSPSDGGNNPPPSDGGNNPSPTEGGNSSPPSGGGNNPPPSGGGNNPSPTEGGNNPSPSGGGNNPSPSGGGNVSPPSGGGNNPTPSGGGNNSPSGGGNNPAPSGGGNNSPSRGGNDSPSSQKPGSGGGNNSPSVGENNSSESHSTNTEGNSNVLVGVIVGATVLLLVVGVCLICSRRKKRKQPYYYGPPDPSLAKGNNYYNSGQHPPPHQNWQGPPSGPHGMDHVVRVQQNPNGASGVWGAPHPLMNSGEMSSNYSYGMGPPGSMQSSPGLSLTLKGGTFTYEELASATKGFANENIIGQGGFGYVHKGILPTGKEIAVKSLKAGSGQGEREFQAEIDIISRVHHRHLVSLVGYCVSGGQRMLVYEFVPNKTLEYHLHGKGVPTMDWPTRMRIALGSARGLAYLHEDCSPRIIHRDIKAANVLIDDSFEAKVADFGLAKLTTDTNTHVSTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVMLLELLTGKRPLDLTNAMDESLVDWARPLLSRALEEDGNFAELVDPFLEGNYDHQEMIRLAACAASSIRHSAKKRSKMSQIVRALEGDVSLEDLKESMIKSPAPQTGVYTTTGSEYDTMQYNADMAKFRKQIMSSQEFD >RHN55264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16602958:16603519:1 gene:gene30416 transcript:rna30416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MKEVAKKINRDLLHVADYAVGLESRLLQVNSLLSVESNNGVYMVGIHGIGGIGKTTLARAIYNLIADQFECLCFLHDVRENSSKHGLEHLQERLLSKTIGLDIKLGHVSEGIPIIKQRLQQKKVLLILDDVDEQKQLQVMVGEPDWFGPGSRNI >RHN71076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56306808:56308231:-1 gene:gene19719 transcript:rna19719 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGMEVDLSLLKKMSAEYAEAKNVAIKEASSILDVQNIKHIAEDKELIGVLVEMISDDWRVQKQTFYKQTGLGETDEYEQELEQLLLQQYSDDD >RHN69551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44346114:44346752:-1 gene:gene18033 transcript:rna18033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alanine--glyoxylate transaminase MKCITLSSIGNGIPLGVVVTTPKIAKVLTHLSYFNNFGIGCLKSNRIVGSNLKERLNALKDKHECKVSSVILFPSKINAQESTHVMENKSCGCEGKRFGSWS >RHN45031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10971833:10977241:-1 gene:gene39226 transcript:rna39226 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCSSVHRDSVCSKTVDKLVILPSPIKDNKPKNDNFIIHDHVAVKPRLSPSPSTNTFNGSKEEAFFDSKGWLDSDCEDDFYSVNGDFTPSRGTTPVHHSFGTPAINKNLSHHVAPEPSPKKKNLLELFRESVRENQNDEFGKISNNEEKEVKPIVIHDVHTKSAQSTPYISGGNSTCSSIERTMNDENESVKPMQCCLPSLASCRSFSERRRKASLAIAASGKV >RHN65868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6078485:6079393:1 gene:gene13760 transcript:rna13760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MAFATSTLTEKKVLFILGATGTGKTKLSINLGTQFPSEIINSDKIQVYKGLDIVTNKVQESERCSIPHHILGIIDDPEYDFTMDEFCKHVLEALDLIIENGHLPIIVGGSNSYLKKLLEDPTIAFRSKYDCCFIWLDVSLPILFPYLDKRVDEMVQAGMVDEIRDFFVPGADNTKGIRRAIGVSELDSYFEIEMKKGIDDAQKEKILKEAIRKTKQNTFILAENQLSKIQNMSDKLGSMVKKINSTKVFEAILRGENYQDLHQEIVIKPSMKIVKRFLEETSHGFRNVKFSNGNGKHTPNGV >RHN77307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5928169:5930678:1 gene:gene749 transcript:rna749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase MNSLPWLSLFCFCLSIFPLLASSTLLFQGFNWESSNKGGWYNSLKNLIPDLANAGITHVWLPPPSQSVGPQGYLPGRLYDLDASKYGSKDDLKSLIAAFKDKGINCLADIVINHRTAERKDDRGIYCLFEGGTPDSKLDWGPSFICKDDTAYSDGTGNLDSGEGYQAAPDIDHLNPQVQKELSEWMNWLKTEIGFSGWRFDFVKGYAPSITKIYMENTSPDFAVGEYWNSLSYGQDGKLNYNQDAARGELVNWVENGGGVVNAFDFTTKGILQAAVQGELWRLKDSNGKPPGLIGIKPENGATFIDNHDTGSTQKLWPFPSDKVMQGYAYILTHPGTPSIFYDHFFDWGLKDQIAKLTAIRQRNGINMKSTVNILAADADLYVAKIDNKIIVKIGPRMDLGNLIPSNFHVATSGQDYAVWE >RHN74600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35035498:35044018:1 gene:gene10694 transcript:rna10694 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLTCENIQFIFLLFLYEFGMLLLWFLLRFIPLCRMIELLKECTCCSSRMEFHFDSNGLGCLAEDGGFPGCQHSWDVGIYLQLQKNNLGVVFILGFFQTSQINTSFPSISPRSKSHKLILNY >RHN61154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33515265:33518009:-1 gene:gene23589 transcript:rna23589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CR4L family MGFTERHFLIYGLNILFELVVLISNLWCQVYSLGSMSSIAISYGEKGSVFCGLKSDGSHTVTCYGSNSAIIYETPTHFSFHGLTAGDGFVCGLLMDSNQPYCWGNSNYIEMGVPQPMIKEARYLEISAGDYHVCGVRKPWSENVSLVDCWGYNMTKSYVFDGMVHSISAGSEFNCGLFSNNRSVFCWGDETNSKVISFIPSKLKFKKISAGGYHVCGILEGVNSRTFCWGRSLNMEEQISVRHVGGEGNVDLPPNDTMISVVGGRFHACGIKSYDHGVICWGFMIKPSTSVPSEIKVYEIAAGDYFTCGVVDEKKSLMPVCWGVGFPTSLPLAVSPGICKPFPCPSGFYEFEQKGLCKFPNSGVCMPCSGDCPVEMYQKSGCNLASDRVCEYDCSSCSSPECFLHCSSSYSNVGNKKKNEKIWALQLPVIIAEIGFVVFIVSIASLTSILYVRRRLRDCECPARLEKAKKLSRSSSLQNENRNNRIRPEMEEFKLRKAQRFTYEELQTATCGFKEESIVGKGSFSCVFKGVLKDGTVVAVKRAIVSLSSSNNIHKNSNEFHTELDLLSRLNHAHLLNLLGYCEEDGERLLVYEFMANGSLYEHLHGTNQVLKQHLNWIRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVADFGLSLLGPVDSSSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMMQYEEGNNIVEWAVPLIKSGDISAILDPILKPPHDLEALKKIANVACKCVRMRGKDRPSMDKVTTALERGLAVLMGSPCIDQPILPSEVVLGSNRLHKKPSQRSSNMSVSEIEDPRFELRAPSWITFPSVTSSQRRKSSSMSEADVDGKVVEVKNMCNVGGGSSDVLRSLEEEIVPPSPQKRMFLEHNF >RHN68354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34826818:34832131:-1 gene:gene16695 transcript:rna16695 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSSSSSSTLQETLLRLSNSITSSLAATSYTPPKTATISVKAFLEPLLTPTNSIKDFALACALLSSSTLINSELLSWIPNHLSSLASASFFELSQIYLTVFNNRNTEKVAELGLDCDLVPPEKRLLIELFPELVPILKERIKESSFDKSDEFDEFSAASARVPVGFAILAAYQFRWFVTQVDYPHLGKLCGWVIPCALTAVDHWSPEVKGQGMVSFAHLGKNVDAAELGGFEDVILDACCQNIAADDEVWDCVVEASITLMSLTQKSNPRSPWFEKMLNEMLSHLERQPRNKERRIAWLKSVDSLLNGVGLVLLAHFRRIFPLFFQWMHADDDDTIILVLKCTYVVLRLTWIRNSPYVARLVDKLALVYKEAALRKAREEIRANITQILVLLQESKGQHFNLAWDKHQTDPDLTNLNLSLSGNYKCNLDSLPSENSTQSSGIVQT >RHN71843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3018370:3022150:1 gene:gene7483 transcript:rna7483 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVEKLYRKLQEANEESKIVHNAKTMKDLRARMDKDVEQVLKRVKIIKGKLEALERSNAANRNIPGCGPGSSADRTRTSVVSGLGKKLKDMMDDFQGLRARMQQEYKETIERRYFTITGEKADEDTIENLISSGESETFMQRAIQEQGRGQIMDTISEIQERHDAVKEIEKNLIELHQVFLDMAALVESQGQQLNNIESHVAHASSFVRRGTEQLHEAREHQKDSRKWTCYVILLAIVLVIVLLFPLLMSILPHLFL >RHN40344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15489874:15495515:1 gene:gene46491 transcript:rna46491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannosyltransferase, DXD MAWLDIRSLLTFSAIFRVILILYGEWQDAHMEVRYTDVDYIVFSDASSLVASGYSPYQRTTYRYSPLLAFLLLPNHFIHHSWGKFLFSSADILVGYFIYYILKLQKVPENLCNYSVMAWLLNPFTFTIGTRGNCEPVVSAMLLWIIVCLMKGNVLQSAFWYGLVVHFRIYPIIYSIPIILVLDPNFFPSGQKPVLRNWSTFQKERPEDRNGLFTPLNFLKSLFTWNRMMFGLVSGLVFLVCTGLFYCLYGWEFLHEALLYHLTRTDPRHNFSIYFYHIYLHYGNDISVVEKLVSFLPQFFVQLVLIFSFARDLPFCLFVQTVSFVAFNKVITAQYFVWFFCLLPLILPWSKMKLKWGGLSCILLWIGAQTHWLLWGYLLEFKGKNVFLQLWAAGLLFLAANIFILVMIICQHNCSSVFKALENTDPKHITKLE >RHN55365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17701758:17704477:1 gene:gene30535 transcript:rna30535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MEERNDGEKLDEVILPGFRFHPTDEELVGFYLKRKVQQRPLSIELIKQLDIYKYDPWDLPKFASTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDKPIYSSEGSKCIGLKKSLVFYKGRAAKGIKTDWMMHEFRLPSLIDPLSPKKYLEKTIPANESWAICRIFKKTNSTAQRALSHSWVSSLSETRTSNMLTKNQEITQFCSSNISLTKNTSLANHFYTNNNDSQNFDEDVTPYKSIISPLLHEDLNTSLIFSSSSPLETSNTNSDIISKSTMDVSSMLLNMSSSSSMLGDFSKTCDEVTTTNFMNGGLQEQFSDYSLPFGNYQYDNNALVKVPYNVNMNVPRIDEQELMNFNIGDAWKSNLLWDTSFCPIDVPSSYSTTKCYT >RHN43378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45281301:45283842:-1 gene:gene49941 transcript:rna49941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MNLTKLLMTDYKVEMINGGMQEFFVQFHKPKHSPYQEGVWKIRVELPDAYPYKSPFIGFVNYIYHPNVDAMEFKEGEMI >RHN70020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48075492:48079451:-1 gene:gene18560 transcript:rna18560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-OST1L family MDKYEAVKDLGSGNFGVAKLMRNKVTKELVAMKYIERGSKIDENVAREIMNHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVHFCHTMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQDDPKNFRKTIQRIMAIQYKIPDYVHISQDCRHLISRIFVANPLRRITIKEIKSHPWFLKNLPRELTESAQAMYYQRENPSFHLQSVDDVMKIVGEARNPPPVSRPVKGFGWEGEEVEGEEDLEEELEEEVVEEDEYDKRVKEVHASGEFHIS >RHN60457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27013252:27014332:1 gene:gene22769 transcript:rna22769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional nitrilase/nitrile hydratase NIT4B MIHIAIEGGCFVLSANQFCKRKDYPPHPEYVFASAEEDQTPDTVVCRGGSVILSPSGTVLAGPNYDGEALISADLDIGEIARAKFDFDVVGHYARPEVLSLIVNDQPTNPVTFASTSTKIEEKSK >RHN69577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44540083:44541325:-1 gene:gene18060 transcript:rna18060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MFQQRHNNISSSELDQMLHMLSSPNSNIMPFPTTSMDKSNSKWKPHIEIAPNCPRCASSNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKAVRNSQTDRLSANYGSADDEDHQQNQNNGSRDIDMAVVFAKFLNQNPNSTHHGDEELEIESEVNNNGLSSSSNNINNLSSTPESVETENDAVVQPHKPFDEVQNYGGEHELSLSGIEYDQFEGFLGVDEDVVQDVLWPDSNAMNMMMSSSSNNYTWQQPPPMMQMELPGELELEYSMPLPLPLNNENGTINSNSTTSVNLISESWNSWDSFDLSTMEVFSSSSRP >RHN54408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9330437:9335853:1 gene:gene29440 transcript:rna29440 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKCYKFKEVDLVSLRELALKVKSQTGFRLRYGGLLTLLRTDVDEKLVHTLVQFYDPSFRCFTFPDFQLVPTLEAYSNLVGLPIAEKTPFTGPGTSLTPLVIAKDLYLKTSDVFNHLITKSHIRGFTSKYLLDQANLGTTRQDTLEAILALLIYGLILFPNLDNFVDINAIEIFHSKNPVPTLLADTYHAIHDRTLKGRGYILCCTSLLYRWFISHLPSSFHDNSENWSYSQRIMALTPNEVVWLTPAAQVKEIIMGCGDFLNVPLLGTRGGINYNPELAMRQFGFPMKSKPINLATSPEFFFYMNAPTGQRKAFIDAWSKVRRKSVKHLGVRSGVAHEAYTQWVIDRAEEIGMPYPAMRYVSSSTPSMPLPLLPATQDMYQEHLAMESREKQVWKARYNQAENLIMTLDGRDEQKTHENLMLKKELAKVRKELEEKDELLMRDSKRARGRRDFFDRYCDSDSESDDLPTTSYA >RHN49889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2016202:2017115:1 gene:gene34116 transcript:rna34116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H MKQAIRKGYHHIAIKGDSELVVNQFKGSCNIYNANLRSLCNEALELKGDFHSCTIQHIRRELNTEADAQANQAVYLGDGQVEEDRMN >RHN53401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1477902:1482409:1 gene:gene28303 transcript:rna28303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAGPEPSPSPKILLAKPGLVTGAPISGKFNRSGAGEDDSTQHRSRLPSVASLNLLSDSWDFHFDRFLPFLTENTDFTVIGVIGSPGVGKSSIMNELYGFDSTSPGMLPPFTIQSEENRAMARHCSTGIEPRISAERIILLDTQPVFSASVLAEMTRPDGSSTISLLNGESLSAELAHDLMGIQLAVFLASVCHILLVVSEGIHDDSLWHLMSTVDLLKHGISDPSLLASSLSQNSSSGHDSDNKVPEFEYMATPVFVHTKLQDQEFTPKNCLQLRKALMQYFKPSSFVGENTGKKPSNHVPPSMVHGSPLDSDTLNLFTIPFKKKEENPRAQHESYISALWKLRDQILSMKSPSFRRPVSEREWLKNSAKIWEQVKKSPTILEYCRTLQHSGMYRR >RHN67327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26077016:26081271:1 gene:gene15507 transcript:rna15507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MGFEFSNILISTILGFLLFMVIKFIWRSKTKKTTYKLPPGPRKLPLIGNIHQLGTLPHQALAKLAQEYGSLMHMQLGELSCIVVSSQEMAKEIMKTHDLNFANRPPLLSAEIVTYGYKGMTFSPHGSYWRQMRKICTMELLSQNRVESFRLQREEELANFVKDINSSEGSPINLSEKLDSLAYGLTSRTAFGANVEDEDKEKYRKIIKDVLKVAGGFSLADLYPSIRILQVLTGLRQRIEKLHGETDKILENIVRSHRKKNLETKAKEEKWEDLVDVLLKLQTNSDLEHPISNNVLKATISDIFGAGSDTTFTTLEWAMSELIKNPQVMKKAQAEVRSVYNEKGYVDEASLHKLKYLKSVITETLRLHAPIPLLLPRQCSEKCEINGYEIPAKSKVIVNAWSICRDSRYWIEAEKFFPERFIDSSVDYKGVDFQFIPFGAGRRMCPGMTSGIASLEISLANLLFHFDWRMPNGNNADDLDMDESFGLAVRRKHDLRLVPTAYHSSG >RHN82719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55877021:55877866:1 gene:gene6901 transcript:rna6901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase MNLFVLALLMISITNFPSCLCENDDIQHTNCNDAFICESSNITNLKYPFRGGNRGKYCGVNDDPNMELTCEDSVPKLTTDDSFLKYSILEWDNSTQKLRVTREDYSRDDVCVPVDNITFNSTLFKLYDDVANVTLFYYCLLTTETLPNTFHSVECSDNSSVLYTLVDPSTATYSDLCDPMVITIMIPILETRAAQLGSGNGTISDVIKDGFDLKWTGDYGECQRCVVSGGACGNDGGSEFQCFCKDGPHTTSCISEISPASENSPASENYPASENSPASSM >RHN44450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4867689:4870340:-1 gene:gene38571 transcript:rna38571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MANLLKNIVPSKITLLSSNLFLLHLLLFPLEVNSLYDILAVNCGHFNDTIFENRTWVGDRDNTKLFSIIEPQTELPSFKAKANPNSDAVKRIPFASARISFSNFTFSFSSITNGPIFLRLYFYPTSYSYQHIETSNALFTVKVGTNLTLLKDFNPSLWLSNDSKTITKEYCIQIAPNEKLNITFIPKNTNTNQLNGLAFINGIEIVSMPSFLYYTNLSDTNYHLKILDFDSGEYQILNNKAMETVYRVNAGENQVPPNYDTGMFRNWDNDFPRYLEKEYPLSVSSYFGHHLNYLNNTISNYTAPEAVYLTARSYGMDATKDYNVTWNFEVDSSFTYMVRLHFCEFEMTIRDQGDRVFQIFIANILAEEKADVIRWSGARMVPTHKDYVVLMYSQEGSSQIERVNLSIKLRRAPETIHTIYRDVLLNGIEIFKISDKTNLAGQISKFIGLSPRHQEFSTQSSKKIKITTAFVVVIAISGLTLTIVVGITIFWLRRRLHNAMADNSCKTKNIELLSLQPHLCRYFTIAEIKAATNNFDNDFIIGFGGFGNVYKGCVDGSTPVAIKRLKSGSQQGANEFMNEIELLSQLRHIHLVSLVGYCNDNTEMILVYEFMQHGTLCEYLYGSNNQPLPWKQRLEILLGAARGLNYLHSEVKHKIIHRDVKSTNILLDEKWVAKVSDFGLSKVGPTGITTTHVSTMVKGSLGYLDPEYYMLQRLTLKSDVYSFGVVLLEVLCARPPLVRDLDKNTASLVCWFQKCHNEGVAIEQIVDPFLRDSITVECLKYYCKLALSCLHDDGTQRPSMSQVVGGLEFALQLVVSEEDSQFGMTQKDRTYMSDEWSDLHFMRSHTYKESTVSARLGTREQPFSGIGNQRTRSYSCQNFKIYI >RHN73950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22003116:22003436:-1 gene:gene9850 transcript:rna9850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MMDKHFHSGMNVEEAIDLVDKCILEIRSRLVVAPPNFVIKIVDKDGARAWRESVKDTHASA >RHN76610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51637105:51641838:-1 gene:gene12961 transcript:rna12961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Mg2+ transporter protein, CorA-like/Zinc transport protein ZntB MADLKERLLPPKPASAFNVREGINRPTASGRQAFQGVDVVEVKKRGQGLKSWIRVDTSGNSQVIEVDKFTMMRRCDLPARDLRLLDPVFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDKYVLQYVIDLQRRLTTTGVGEVGEVWQSDHSDMNQRRGNRNFENLYSNNSPDYLPFEFRALEVALEAACTFLDTQAAELEIEAYPLLDGLTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMELSFYGDQSMVGYRPVDGASISLPVSPVSSPPDSHSRRLEKSLSIARSRHESMRSSESNNENIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFEIPLFDVPSAFQWVLIITGVCGVCIFSAFVWFFKYRRLMPL >RHN46946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35929903:35936689:-1 gene:gene41486 transcript:rna41486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amylase transcription factor BES/BZR family MATDMQRLVGMGEDDEEMGMGMKDDDDEDADYDENGGEHGNVSGMVEIDGGSGMGTGAGDNRFQQHHEFQEQVGTPGGGNRRSRPVEEKERTKLRERRRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSKSQGQMPAGGNSAVVTSSSSHVPSQQPPSVSLKGVASGYGSPLEYNACPMKDVFIPSPSPYDLSSTSRSQTSMVGDGEAQRDNPPLIGGSIDTINEKQTADITPRLPERDFAGSPYVPVYVMLPLGVINIKCELVDPDGILKQLRVLKSANVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVRELKLKLQVVMSFHECGGNFGDDVCIPLPHWVAEIGRSNPDIFFTDREGRHNPECLSWGIDKERVLRGRTAVEVYFDFMRSFRIEFNEYFEDGFISMIEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLRKAAEERGHTIWARGPDNVGTYNSQPHETGFFCDGGDYDGFYGRFFLNWYSQALVDHGNRVLSMAKLAFEGSCIAAKVSGIYWWYKTASHAAELTSGYYNPCNRDGYAAITAMLKRNGVNLNIACVDLHTFNQHESFPEPFADPERLVWQVLNAGWDVGLPVVSENALPCLNRVSYNKVLDNTKPINDPDGRHFSSFTYLRLSPLLMERPNFIEFERFVKRMHGEAVLDLQV >RHN45168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12586682:12587311:1 gene:gene39388 transcript:rna39388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVETLKFIYVPILLLSIFLAMIVSISSFPLMPIPCRTDKDCPKKMGTVGKCRKGYCAQM >RHN45258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13825252:13833786:1 gene:gene39496 transcript:rna39496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mediator complex, subunit Med21 MDIISQLQEQVNLIANLALNTVGTLQRDAPPNRLSPNYPEPPPHPTEDGANFSEEPKLMGASLVKAAKQFDLLVASLPISETGEEAQLKRIAELQAENDAVGQELQKQLEAAEKELNQVQELYRQATDNCLNLKKPDVN >RHN64177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57094353:57096649:-1 gene:gene26964 transcript:rna26964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MEGVEIKRPLKLHFIPYLAPGHMIPMCDIATIFASHGQQVTIITTPSNANFLSKSLPSIDPLFLRIHTVDFPYQQVGLPSGVESMSNTPDLASSGKLYAGAMLLQEPIQNFMEKDPPDCIIGDFLYPWVHDLASKLWVPNLAFNGFSLFTVCLMETLRTNPSIYPHMDSDSDSGSFVVRNLPHPITLSGRLPKSSEEFMGSMLEKELKSNGLIVNNFAELDGEEYIKYYVSSTGHKAWHLGPASLIRKTVQEKAERGQESAVSVQECLSWLNSKRHNSVLYISFGSLCRYQDKQLYEIACAIEASGHDFIWVIPLNNGKEDESEEEKQKWLPKGFEERNIGKKGLIIRGWAPQVLILSHPAVGAFMTHCGWNSTVEAVGAGVPMITWPSHGEQFYNEKLITEVRGIGVEVGATEWCLTGFEEREKLVSSDSIEKAVRRLMDSGDEAEKIRSRAQEFGEKARRAIQEGGSSHKNLLALIDDLKRLRDSKPIE >RHN52685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37729977:37731077:-1 gene:gene37383 transcript:rna37383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MLSDIDGSALDHPSHGVIGIVFRSHLAVFRGALVQNIGYAMPLEAEFNAFMIAIEKALEMHMNNIWVECDSLIVVKAFHQDVVVPWRMHNRWFNYKILARQMECICSHTPREGNMVADVLAKNGQGLSMYSSQRWDSPPAFALPMLDRDKLGLSFSRIQMT >RHN65578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3398971:3402032:-1 gene:gene13432 transcript:rna13432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-hydroxyindolin-2-one monooxygenase MLLQVYSEISMVGRNPSKYEHEDVYRMPLLLATIYESARLLPSGPMLQRCSMKHDLRFATGVTVPAGAVLVVPVQLVQKDAFNWGKDASAFNPYRFLSNITKESGSEEQLDYGISSFVLNDPCENAAFLPFGSGTRACVGQKYVIQVVATLLASLFKKYEIRLNTGSDGDSEPISKNPLVQHNPNSQIIFVRRDQ >RHN57535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39907802:39909372:1 gene:gene33094 transcript:rna33094 gene_biotype:protein_coding transcript_biotype:protein_coding MMDISFSNELCYMNGSSCNKDKDLFFYSAPTSPSRLKLIEHDGSRTGPTTPRSHEDANSNLDRFEFETSRRFNHSEPRTKTNRKDVNAFEQHQRLCEDSLPTMAFADELFCDGKVIPMMPPLKLPPRLVQNGDSTQSSRATSPKSPGSMLRLPFARLWKNDDFDPFKVAFEKVREEKRGKSKGREYGLRRTRSLSPLRVFNSKCDKHEGLSESHKHDQSHCCEKLPLMSFPEGQMLRELLEEPMKEESERENMVSEPKGLAFARQTRQVEVANDTNFELESKKTLVSNVAKEIKKDENKRGGFWKRNKKIESIKKFFFGNSKKGKASAQQKLEDKKTELEKHSLVKKPDMKSVHSTESTTWSKDDVSGEFTKMRLVCQRPLPKSFLCLGYEGWKVK >RHN57528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39797150:39797696:-1 gene:gene33085 transcript:rna33085 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSSPITTTTITTTTVNFIMISINMKSLFVAISHVIFDIYSYSSLTCGPAIEKNIILFRICAYLSFLSSLFTSLFSPIPASALIRRGMLVFSDITFVCGLVFLMVASHRSFPAVSLFSLFALIKFLASRFYSVFIAK >RHN66057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8104929:8110298:1 gene:gene13969 transcript:rna13969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MASREVNIVKWIMNLCVMMVVVLGLWSSKVEADPQVPCYFIFGDSLVDDGNNNNLNSLAKANYLPYGIDFNGGPTGRFSNGKTTVDVIAELLGFEGYISPYSTARDQEILQGVNYASAAAGIREETGQQLGDRISFSGQVQNYQKTVSQVVNLLGDEDTASNYLSKCIYSIGLGSNDYLNNYFMPAYPSGRQFTPQQYADVLIQAYAQQLRILYNYGARKMALFGIGQIGCSPNELAQNSPDGTTCVERINSANQLFNNGLKSLVNQLNNELTDARFIYVNTYGIFQDIINNPSSFGIRVTNEGCCGIGRNNGQITCLPLQTPCSNRNEYLFWDAFHPTEVGNTIIGRRAYNAQSESDAYPIDINRLAQI >RHN42244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36659763:36663873:-1 gene:gene48660 transcript:rna48660 gene_biotype:protein_coding transcript_biotype:protein_coding MELRILTFFTLSNPLASMLSFFSFSLLHHRTKTFNCFVVLLFTLFSVSAYGLCSANVRLNSSEYDVSGKICNSGFTDTVTDGSGFQNVCQDTHSLCVPSNDEKRSPKAASFGGSGNQCNGSFCSGLARDSEVTSNVKGLLLFPDVGIHVSIIYCGNLRTDLYNMPPEVSNLKENCKLIILNNDTTSPEVEIPCENAMHICLEHQRLSFVGIKDKTKLDSSGSMRAEYVVGNKDLPPNVKATETADVDELVFGKWVSQGTTNAISVLEDDEVIFSTVQVGSYVSRWITVKNPSHHPVKMQLILNTEEIIDECRGPDDILHNFSAGNLVIDEVISEAKHGFSVPKSAVREAYVKPFDYTTLGPIIFYPSKRCRWNGSALIRNNLTGVEWIPLRGTGGLCSLALLERSEHVQSINFDLKVHNLLSFSLKYPVLEMKDMISSCSQPLVKEIYAKNTGDMPLEVKTMRVSGRECGLDGFKIHDCKCFALDPGESIKLLISYQTDFSAARVHRDLELALASGIFLIPLKASVPYDVLGNCKKFVFWTRVKKWLIGFALVASLFLMFFFDCLCNNHNNSFRITLRHNEETPLLPCNQGNDSLSMSDRTNNMFCSVGEDTTSTMRATSARYSYDLNETFDQETSQHLTQNSKNHKQISHILDTPKEGKSPTTAVQSSDVGKPSQQGDLKIKTRKEKSRRKKNKSICVKSTAVSEVSSSRSGNSTPSSPLSPVAPASSKSSRPLSPDVKQPPLLGSQKPKKIQASTSAAKANTLKQRVPVKSCSDNVSSPKVSHSPSTSNATTPLKMFCAASPMLGIPPPSSLVSTSSVSLSCRAPGSKLDKQKTVVAPQAGHAEEYTYDIWGGHLSGIHLLVPKDVTCMKSSPAEKNFNSFFVGEPQAIK >RHN82052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50901877:50908824:-1 gene:gene6169 transcript:rna6169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MSFVRNFQAEDCNLNGGYRSTYDGNNTDRKRSRNHYNQRNYNNYYDNHNQANFGYYGGNFNQCNADYSNYANVASSSLKKRKYSAPVRGESQKFTLPATVYDSIPSSRNFQAYPARSIAYNSTSASLKPDFSIFDDDKPIFMSRDDIDRNSPSRKDGIDVLHETHLRYSYCAFLQNLGTRLEMPQTTIGTSMVLCHRFFVRRSHACHDRFLIATAALFLAGKSEESPCPLNSVLRTSSELLHKQDFAFLSYWFPVDWFEQYRERVLEAEQLILTTLNFELGVQHPYAPLTSVLNKLGLSKTVLVNMALNLVSEGIFTRLSCWLV >RHN64669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60878165:60882956:1 gene:gene27509 transcript:rna27509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative choline monooxygenase MAMNMQVTPFNIPNLQKRQPQNLNFPNKHSSKPICCSLSSSDMVVSQKLAQQFNPNIPIEKAVTPPSSWYIDPSFFHLELDRVFYRGWQAVGSMEQIKNPGEFFTGRLGDVEFVVCRDDSGNVRAFYNVCRHHASILASGSGQKSCFVCPYHGWTYGLNGNLLKATRISGMRDFNVNDFGLIPIKVATWGPFVLLNFGKEKEEVDNHNVAEEWLGSCTELLSTNGVDSSLSYVCRREYTIECNWKVFCDNYLDGGYHVPYAHKDLASGLNLDSYSTKLFERVSIQSCEGGSEKSKENNDRLGRKAIYAFIYPNFMINRYGPWMDTNLVLPLGPSKCQVVFDYYLEPSLQGDRDFIEKSLQDSEKVQIEDIVLSEGVQKGLQSPAYSVGRYAPKVEQAMHHFHCLLYENLTT >RHN55431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18217123:18217386:1 gene:gene30610 transcript:rna30610 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQFRIVDHLSQFGSFGGFSAHKRKIIRLIWFCPVLVLWKNEMQESSKIRVIISTTCLKTYAQSFDWLNGKNYFLAFDYHMVTKSF >RHN41593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31364757:31372198:-1 gene:gene47929 transcript:rna47929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GRAM domain-containing protein MEQPKPETNTETPNPNGDAAAAKGAGSGSGSEPLGTEPSPGSMKKSVHWSPELVTESTFTSSPHEHRSNTYFNSSPSFSQPPPQFNVMETVVTVRNVLGRWSRKVGEATKKAETLAGNTWQHLKTSPSMAEAAMGRIAQGTKVLAEGGYEKIFLSTFDTVPEERLQNSFACYLSTSAGPVMGVLYISTAKIAYSSDSPISYKNEDKTEWSYYKVVIPLHELKAVNPSSNTANPAEKYIQVISVDNHEFWFMGFLNYDNAVGFLQDALQAGKVIQSEA >RHN73707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19182581:19183119:1 gene:gene9557 transcript:rna9557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MDQGRVSSTSIILMSLLCMLVFHSNMSFAEEHIVGDGKGWSFGVQNWPAGKTFKAGDTLVFNYSPTSHNVVVVNKSGYDSCVAPKGSKVYTSGADRITLAKGGNYFLCGFPGHCNLGQKIAVNAN >RHN71286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57932033:57937799:-1 gene:gene19946 transcript:rna19946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-V family MAPSANSSGGSVPLLTTSIVGLKFYILVLILVAIVVTIILITVLCIRRNRTSKKSKMRRVKHSSGTIPLVSKEIVEVIKIEQVDGDESSRMKKQVECEIEESSSVSVESPSPSANIGWGRWYSLKELENATDGFAEGSVIGEGGYGIVYRGILQDGSIVAVKNLLNNKGQAEKEFKVEVEAIGKVRHKNLVGLVGYCAEGAKRMLVYEYVDNGNLEQWLHGDVGPVSPLTWDIRMKIAVGTAKGLAYLHEGLEPKVVHRDVKSSNILLDKKWHAKVSDFGLAKLLGSGKSYVTTRVMGTFGYVSPEYASTGMLNEGSDVYSFGILLMELVTGRSPIDYSRAPAEMNLVDWFKGMVASRRGEELVDPLIEIQPSPRSLKRALLVCLRCIDLDANKRPKMGQIVHMLEADDFPFRSELRTREKDPVPSQVDLFKKVPYPKRHVEPVNKASWR >RHN79932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33606647:33608575:1 gene:gene3790 transcript:rna3790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MRTCCSHTLPMKRKRICYLKSSSENLPPSKLTKRTSCAHTLPMKTKRTFSLKSLPENLPPSKLITRTGCSHTLPMKAIRTCSLKSSPENLAAAKRTEDRSTICPSSPAGSPLKRKKRTSESYLPDDCWEIIIKSSQINFNSLSLVSKQILSITNRLRFSLSVTDASRHYLPRLLKRFTNLTSLDLSRYNYLPNDLLCKISNFPLKKLTSLKLPVPTPFPADGLLAFCQTVTTLTSLTCSRASFVHSQLLPVAHCFPLLKHLDLSRPWYNLSQPVEDHINGISSLLSNSPYIQHLDLSHTNFLNDQHVAEFSLFLAHLVSINLTGCWKLTESALFSLVINCPSLSDIKMEYTTIGNESIGGGGREDSNSFAVVSPKVKSLCLARCQYLRDQNIILFASIFPNLEVLDLSYWKEVSEETVSKVLRCCTKIKHLNLSYTNVDDKSLHIISTSCCGLLQLLLESCMNVTENGVKHVVQNCKQLREINLRYIDQLNANVVSSMVLSRPSLRKIIAPLGFSLSDRKRKVFLQRHGCLVC >RHN72100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4984138:4986229:1 gene:gene7773 transcript:rna7773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VI-2 family MNMPNLINYARRKLLDQSNNLPAAPYSGGPKTDFSNLPISISSGSFPAVPDANKKQNQSHTPLPSASDPSHDGKQASQDHPKTFGNYWKYIVIIIAVFVMVILIIVLLCFWKKPAAKIIKPWNTGISGQLQKAFITGVPKLNRAELETACEDFSNIVTNVEACTIYKGTLSSGVEIAVVSGLINTRQEWTKTMELNYRRKIASLSRINHKNFVNLIGYCEEEEPFTRMFIFEYAPNGSLSEHLHVKEVERLSWSERVRIIMGTAYCLQYMHHELNPPVAHSKVSSHVVTLTDDFAAKLAEVTFRSIVEPSKSSIRGDSKKYEMLRSGLDQNVYDFGILLLEIISGKLPHSEEQGNLVHWAAEFLHDRRSIGYMIDPSLQSLKDNELDVICEVIQGCIQPDPKMRPTMRDITSRLREVFCVTPEQAVPRLSPLWWAELEILSVEAT >RHN75981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46744407:46744708:-1 gene:gene12248 transcript:rna12248 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGSLQFGKYGRKETIGCLMSKSAQLIRWSTRLNRLPLRG >RHN71633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1544746:1545940:-1 gene:gene7253 transcript:rna7253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MKKKLFYLHYLRPAFDRGINHSKVLNPTLHHQKKEKKETCCLLSMASKFSLILCIIFGICALDFTNGASSSPSGECSKLVLAMTDCFSFLTNGSTLTQPEGSCCNGLKTIVNTAPSCLCGAFKGNAHLGVVLNVSKALTLPFACKVSAPSISNCGLPNASAAAPGVSISPWPASSPTTSAEAPAAAPPSGKSAASTLLPISVGSLLVCLLSLFSGL >RHN43105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43239778:43242933:1 gene:gene49630 transcript:rna49630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine desulfurase MFHYINNIIHHYIQFLLMEIEQPLLAEIIPILAQSQNKAKNGDYVSLTKTNNKLETSHDFCYHPESFKKLVEMDLPCNESVEEKLCWLRSQIIGNYAKFDSPFGRRKLVYADHTASGRSLRYNEDFIINHLLPFYGNTHTCDSYVGSRTTKMLHEATEYIKKCLGGGEDDAIIFCGSGTTASIKRLQEVMVIAVPSILRESMLNSLSKEERWVVFVGPHEHHSNLLSWRQSLAEVVEIGLDDKGLLDMEALKLQLEAYKDTNRPLLGSFSACSNVTGIYSDTHAIAMLFHKYNGYACFDFAASGPYVEIDMRSGKNDGYDAVFLSPHKFLGGPDSPGVLLMNKALYRLRSSPPSTCGGGTVTYVNAFDEKDTIYMENIEDRENGGTPPIIQTVRASLAFWVKEYIGYNEIEKREQLYINKALKRLVTNPNIKILGNLNAKRQAILSFLIYSTTNSCSSIDSSSQEHEGELNLWQEMGNQRGKPLHGPFVAALLNDLFGIQARGGCACAGPYGHELLNINKSQSLAIRSAVQEGYIGVKPGWTRVSFPYYMSESDFDYILSAIEFLAVYGQRFFPLYSFNLRNGSWTMKTEKFEAVNKEDTCNISNHLLGKNLEEINTDVDVAVRRNQTYLDVAKYIASSLPKFPPQGILQEEMDPNILYFRV >RHN65347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1370725:1375054:-1 gene:gene13174 transcript:rna13174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eugenol synthase MEANKNRILVFGGTGYIGKYVVKASISLGYPTLVYTRPINSQTSPSKIQLCKEFNSIGATLVEGELEHDQIVRVIKEADIVICTFPYPQVMEQLKIVDAIKVAGNIKRFVPSDFGVEEDRVHPLPPFQAFLDKKIKIRREIEAAGIPYTYVSANCFGAYFVNILLRPYEKNKDIVVHGSGQVKAVLNYEEDVAMYTIKVANDPRTHNRIVVYRPSKNIISQNELISLWELKSGQKFHKVFVPEEDIVKLSQTLPPPEDIPISIIHSIFVRGDMANFELEEDDLEASQLYPGYNYTSIDQLLDKFLVDPIPPAYGAFE >RHN52164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32222734:32225487:-1 gene:gene36796 transcript:rna36796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GYF domain-containing protein MLYAFTLDGYLQKRAKLKTPEEQERLLREFPQVIAEDLESESATPDVPEKKLPTNFQELSQTTCTKVSLATEVPKAAADDFSDEDDFADDQEWLFHDTPQVTTGYPEFSKSKTPEIPDKKAENNIQGIWEATCMTASIEPEVPKVVSNGPQVTAGYPEFSKSKTPEIPDKKAENNMQGIWEATSMTGSIVPEVPKVVADGIPQGTTGYPKFSKSKTPEIPDKKAENNLQGFWEATCMTGSIVPEVPKVVANGIPQVTTGYLDFSKSKTPEVPVKKTENNMQGFWEANCIEASVVPEVPKAVANSFVFMGKRFHVADQTKQESESPKSILSLSRPSEVPLFNIAMNNTASNGISRDTVTSTVHQRSSMSVQQQPATQTFSSFKKDGVFMPAKSNEVTIKAKNSQGTSDKQVRPAQIQVIELSDDDDEEIEKSSTIKPSFITPVPVENPHSSTWNYIDPQGNVQGPFPLFSLKCWNDSRYFSPDFKVWKAGQTQDQSVLLVDILSKYFPVGPSFM >RHN76552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51172794:51173627:-1 gene:gene12896 transcript:rna12896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAKSVGEKRVRNNIPNDLVFSILSKLSLKSLKRFGCVCKPWTFLFENSCFRTNFISIPPSYCNDISVLLYEVVAHDYSIHCSSYLLSGDRYENQVKLDFPNPFQEENSFFNFNTCDYYGCDPITGTICLSQGKPFVLGNPTTNEYKLIPPSSLQAVPPYRELASKDLHGFGYDHIKDDFKIIRYMRFTTISDQELERLDVRYEYVPWNEISYESEWEIYSLRCNSWSKLDINMPNHCEIGSSQLLNIDGMSHWWYESENRDKHVLVSFDFSNEMFVT >RHN71156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56827655:56830010:1 gene:gene19806 transcript:rna19806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MHMYFEKKALKEQKMKQEEKYMWAIVDGVKEKVGNFRVEPPGLFIGRGEHPKIGKVKRRIHPSDVSINIGKYTPIPECPIPGESWKEIKHKDTRIWLAKWRDPINPNKSKHVSLDPSSSLKGQSDKEKYEKARMLKSYIGNIRAAYTEGFTSKDITKQQIAVATYFIDKLALRAGNEKVQI >RHN78728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17894736:17902754:-1 gene:gene2375 transcript:rna2375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-hydroxymethyl chlorophyll a reductase MSSLSSLPLSLSIRASSSSQESSISKQDWRKRSKPIPPGGTYPAKDQCSRCGLCDTYYIAHVKNACAFLGDGMSKIEKLEPVVHGRGRKTDTLDETYLGVFEELLYARKLNPVEGAQWTGIVTTIAIEMLKSGMVEAVICVQSDPDDRFAPRPVLARTPEEVMAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEHHLNLDKLYVLGTNCVDNGTREGLDKFLNAASDSPETVLHYEFMQDYKVHLKHLDGRIEEVPYFCLPANDLVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYSGISMTQHPQYITVRNERGREMMSLVKNLLEITPTVNSGDRRPFVMETVKADDKAKFGRGPPQPAPKFVGNLIAFLLNLVGPKGIEFARYSLDYHTIRNYLYVNRLWGKERADRHMPSYAKKIVDMYNKNGEIEKMLSEK >RHN57771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41623876:41628358:-1 gene:gene33356 transcript:rna33356 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFEAPSFSLGLDLDDTPPPSPSTSPNHDPVPQEVPDSDPESQPDPPIRILKRLRRAPPSSSQTESLPSFFDPDEDDIEEFSSQEEPLQVSAHSSVQNRSVCGSSKVSLKGAGVLTSHSCSNSMGKKRKQGLDVPASVGLETGQSGFIFPNLADSPIRRCKLLDSDDDDLFGEDVFGVNKVGPSSSTGQAYNQSTPLTSLEQNRKTQFDATQKRDHASDIPASVGLETGQSSFVFPKLVTSPIPRFKLLDSDDDDDDLFGEDVGGENKVGPSSSSGQTCNRNTPLTYSEQDRKTQFDVNRNQDLWKDFSPMKNFSVPTPVFNEVFEEYFCSRKSTEVPRSGIGMSENYNETYCGVSSGYQQDEQIWEVAGPLPPAHRYFLHEDPRIQQLVRSRLCNFFPLGVNSVNQQQNGSHIDYMGQFDNGGASKTPGRVNGSTSRRSKSTNLNVEESFNASEGWVDPKIISPFGSGTSSRKNTTKRNNTKRSVSKAKNAQCKLNPSNVSGNWVEPKSRAGMPKDAGKRRVQASSQSAGHWYTGSDGRKVYVSKSGQELTGRNAYKHYRKESGTGFKKSKKKTSAKKANAKKGN >RHN65461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2421645:2424418:-1 gene:gene13302 transcript:rna13302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cell division cycle protein MQNIKEEEVNRCQIQEWYPIFKSVSIKTLIHQLPESFIQYLLDDLGPFLLPVSVLNEDALPNRIHNPIDEEDFQVSEGSEDEAEESSPPPSFPELELQVKESVESLGGAVFPKLNWSSPKDSAWISTSGSLRCTTFSEIALLFRSSDSLVHDLCHAYDSCDDKSSSRPHNFFLALRKWYPSLKPEMEFRCFVRNQKLVGISQREVTTFYPILLEKKNGLLLQIQGFINNHVRNKFESENYVFDVYITNDERVKIVDFNTWGGFTLSLLFTWEELEHIHSEGDDSVEFRIVEDYCGVRPGLKTAVPHDYLDTSQGSGWDQFLRNTADEELRQQSTEAGA >RHN73633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18375033:18379067:-1 gene:gene9469 transcript:rna9469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine N(alpha)-acetyltransferase NatB transcription regulator GNAT family MSTIPIQKPGFHILLFNSPNYKCTKITSSWTMSMDSKFSSTVNSNSDIKNKKMKEELSVKLSSPIVPKVVEEGLSSNGLRFDRLQPSEQELIRENIFEFGQFVAREAVLDEEFWTAAWLRAESHWENRTYERYIDIYKRKFADQEFNAVKKRCKVQNGDSCACIIAVRKEQKNVKRSIIKSVVGTLDLNIRYLLQGETFPGERVKTPFCCSVNRTPPSRYGYIANLCVAKLARRQGIASNMMSFAVENAKSNGVSQVYVHVDRNNRPGQLLYQKMGFEMVETANSRLLPEETYLLRLRM >RHN56201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28670132:28673483:1 gene:gene31566 transcript:rna31566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 3-dioxygenase MFSVKRLVESNNLSSIPSNYICQTNIQDYSIVLNETEIIPTIDFSQLISSNPMERSMAIQKLGNACRDWGFFMLINHGVSETLRDEVLRTSQCFFDLPSEEKKEYISEKLFDPIRCGTSFNLKVDKTLYWRDYLKCYVHPQFDAPSKPPGFSETLEEYTTKSREVIGELLKGISLSLSLEENYIHNMMNVDSGSQLLVINYYPPCPKPELVMGLPAHTDHGLLTLLMQNEHSGLQIEHNGKWIPVNPVPNSFLINTGDHLEIITNGKYKSIVHRAVVMNKKAARISVGTAHGPTLDTIVTPAPELLSKDNPSAYRGITYRDYLQLQQSRELARNSCLDYIRI >RHN42587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39529772:39532609:-1 gene:gene49043 transcript:rna49043 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSNTLILLILTTTTLTLFSVVTAKETVYDLLPKFGLPSGLLPNSVTDYSLSDDGRFVVHLSDTCYIQFDYLVYYEKTITGKLSYGSISDLKGIQVQRVFIWFNVDEIRVDLPPSNSIYFQVGIINKKLNVDQFKTVHSCRRNSLRSSPCQPSSSLLPAPLKEIPMLLTE >RHN76171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48211416:48211964:-1 gene:gene12456 transcript:rna12456 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDRIKLQRLKEKQKLAAQEAAEKQKPRQSQAQENYSYIHANNIVSLENMYVSGRPLHYPPDMPHETAYNLDLTVAEYGPRQEGQHQHLQSGLAVNIDPIRQPENAAITMPAANMKGDEITGGDINYFAKDAFQNELDRFGSPITNMSFDFGVLDNPPSPPFLLDDFMGMGDDEMIQYFGG >RHN65801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5512710:5519776:1 gene:gene13691 transcript:rna13691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative annexin MASLIAPSNHSPVEDAEALQRAVKGWGADEKAIIAILGHRNGTQRTQIRQAYYELYQEDLIKRLESELSGDFERAMYRWILEPAEREALLANIALRNANINYHLIVEISCVSSPDELFNLRRAYHNRYKRSLEEDVATNTNGHLRQLLVGLVSSFRYDGSEVNASLAQCEADMLHEAIKNKNYNHEEVIRILTTRSKTQLVATFNCYRHDHGIAITKKLSDEGSDGFHKAVSLAISCINDHNKYYEKVLRNAMETVGTDEDALTRVIVTRAEKDLEDIKKVYYKRNSVQLEHAVAKKTSGDYKNFLRTLMGKEE >RHN47229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38101751:38108186:-1 gene:gene41799 transcript:rna41799 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASKLENEDTVRRCKDRRRLMKEAVYARHHLAAAHSDYCRSLRLTGTALSTFASGEPLSVSDNTPAVFINHKTTTTPTTTTTTFHHPPPPQPKPQPPPPSSFHRPPPPASPTIASSKLPHILSASSTPSTNHQPHHQQRRRKPPPPKLPHILSDSSPCSTPRSDNFASNFFPTAHSTYSSTPSQTSSVWNWENFYPPPPPPPGSDYFDREHEHERENEDSASQFSFKSRNSDVSYSRQQPQQQQKQPQTKTEAYQHQQQHRYVNPTSHEVEGFDSERSEYDFFNEKLAMVEKNHNHGHGHGHGNHHLEEQTETEREEVECSEWGDHYSTTTSSEDDEEEEEEEDDGVDGDVESRSEIGTRSNFGSSSAAKGYVAAVGKSEDLASSSSTGEGMMEMKMVVRHRDLKEIVDSIKENFDKAAVAGDQVSDMLEISKAQLDRSFRQLRKTVYHSNSLLSSLSSTWTSKPPLAVKYRLDAASLDEPGGLKSLCSSLERLLAWEKKLYEEVKSREGVKIEHEKKLSSLQSQEYKGDDEAKIFKTKSAINRLQSLIVVTSQAVSTTSTAIIGLRDSDLVPQLVELCHGMMYMWRSMHQYHEVQSNIVQQVRGLVNRSGGESTSELHRQATRDLESAVSAWHSSFCRLIKFQRDFILSLHGWFKLSLMPVDNDNVNRMEHSDAYMFFDDWKLALDRVPDTVASEAIKSFINVVHVISSKQAEELKIKKRTENASKELERKASSVRNLERKFYSSYSMVGIGIPDTGPDNGQGLDARDPLAEKKMELATCQRRVEDEMTKHSKAVEVTRAMTLNNLQTGLPGVFQALTSFSSLFTEALDSVCTRSYAIK >RHN42975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42309602:42310114:1 gene:gene49488 transcript:rna49488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MTILSELLYQLYVKTIVLFTYMLIELILVICYLKSTKNPITTTQYLNFIEEKNPTTRLKKLAAEHIDCRVCLSEFEEGDIVRSLNCEHTFHKDCLDKWFLQEQYCATCPLCRNKVLSDDVVSKYCLLQNQVEFDVIDDEFMTLLSSLRGGSIWYRYL >RHN38758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1822504:1829838:1 gene:gene44724 transcript:rna44724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MEKTVHIAVVPGVGYSHLFPILQFSKLLVQLHPYFHVTCFIPSLGSLPTDSKTILETLPSNISCTFLPPVNSNDLPQGVALALQLQLTLTHSLPSIHQALKSLTLRAPFVALVVDALAIDALDFAKEFNLLSYVYYPASVTSLSSYFHLLKLDKETSCEYRDLPEPIQIPGCVPIHGRDFLDLAQDRSSQSYKFFLQCVEKFRLFDGVLINSFLEIEKGPIEAMTDEGSENLLVYAVGPIIQTLTTSGDDANKFECLTWLDKQCPCSVLYVSFGSGGTLSQEQIDELALGLELSNHKFLWVVRAPSSTANAAYLSASDVDPLQFLPSGFLERTKEQGMVVPSWAPQIQILSHSSIGGFLSHCGWNSTLESVVYGVPLITWPLYAEQRTNAVLLCEGLKVGLRPRVNENGIVERVEIAELIKCLMEGEEGGKLRNNMKEFKEAASSVHKEDGSTTKTLSQLALKWSNFGLSLLLLFQVLGIYPFSLYSSILNAGFFKQIKNSKEGFSVNAEYFGKAIISKTSNGSISGSSQDYKHFLQHVKSLSFADGVLVNSFLEMEMGPIKAPTEEGSGNPSVYPVGPIIDTVTCSDRDANGLECLSWLDKQQSCSVLYVSFGSGGTLSHEQIVQLALGLELSNQKFLWVVTKEKGFVITSWAPQIQILSHSSIGGFLSHCGWNSTLESVVHGVPLITWPMFAEQGMNAVLVTGGLKVGLRPRVNENGIVERVEVAKVIKCLMEGEECEKLHNNMKELKGVASNALKEDGSSTKTISQLTLKWRNLKTVHIAVVPGVGYSHLVPILQFSKRLVQLHPDFHVTCFIPTLGSPSNATKSILQTLPSNINHTFLPPVNPNDLPQGTTMESQMFLTLNNSLPYLHDALKSLAIESPLVALVVDSFAVEVLNIGKELNMLSYVYFPAAATTLAWSIYLPKLDEETSCEYRDIPEPIKIPGCVPIHGRDLLSVAQDRSSQVYKHFLPLFKLLSFADGVFVNSFLELEMGPISAMKEEGSDNPPVYPVGPIIQTETSSGDDANGLECLAWLDKQQPCSVLYVSFGSGGTLSHEQIVELALGLELSNKKFSWVLRAPSSSSSSAGYLSAENDIDTLQFLPSGSGFLERTKEKGFVITSWAPQIQILSHNSIGGFLTHCGWNSTLESVLHGVPLITWPLFAEQKMNAVLLSEGLKVGLRPRVNENGIVEREEVVKVIKRLMEGEEGEKLRNNMKELKEAASNAIKEDGSSTKTISQIALKWRNLV >RHN69261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42170981:42178912:1 gene:gene17704 transcript:rna17704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative urease MKLCQREIEKLQLHNAGFLAQKRLARGLKLNYPEAVALIATQIVEFVRNGDKTVSELMSIGRELLGRRQVLSAVPHLLETVQVEATFHDGTKLITVHDPIARENGNLVLALFGSFLPVPSLDIFTENNEDNVIPGEIKTEDRMVILNAGREAVSLKVVNNGDRPVQVGSHYHFIEVNPYLTFDRRKAFGKRLNIASGTTTRFEPGESKSVILVSIGGNKVIQGGHNIVCGPVNDSKCIAAMEAVRTRGFKHKEDENAREGITGEDYSLTKLIPREEYANKYGPTIGDKIRLGDTNLFAEIEKDFAAYGDECVFGGGKVIRDGMGQSCGHSPDGSFDTVITNAVVVDYTGIFKADIGIKDGLIASIGKAGNPDVMHGVNMIFGANTEVIAGEGLIVTAGAIDCHVHFICPQLVYEAVSSGITTLVGGGTGPADGTRATTCTPAPNQMQMMLQSTDDLPLNFGFNGKGNCAKPDELHEIVKAGAMGLKLHEDWGTTPATIHNCLTVAEQYDIQVNIHTDTLNESGFVEHTIAAFEGRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTSPFTLNTIDEHLDMLMVCHHLDKNCPEDVAFAESRIRAETIAAEDILHDMGAISIIASDSQAMGRIGEVISRTWQTANKMKSQRGPLQPDDSDNDNFRIKRYVAKYTINPAIANGLSRYIGSVEVGKLADLVLWKPSFFGAKPEMVIKGGDIAWANMGDANASIPTPEPVIMRPMFGAFGKAGRANSIAFVSKAALDYGVKALYGLDKRVEAVDNVRKLSKLDMKLNDALPEITVDPETYTVTADGEVLTCAAATTVPLSRNYFLF >RHN48949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51311607:51315203:-1 gene:gene43723 transcript:rna43723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MSRHPEVKWAQREDKVYITVQLADSKNSKVDLTPEGDFTFSGSAGAGDNQYELKLELFDKVNVEESKISVGVRNIFCVVQKAESGWWKRLLRGEGKAPHYVKIDWDKWVDEDEVEEGGGGDVDLGGMDFSKFGGMGGGDPMGGMGGMGGLGGMMGGMGGMGGMGGMEGLGGMGGMEGLGGMDFSKFAGMGGDAMDDIDESDDEDQEVSKPGEQDAAGKSAGEAATESVEATPST >RHN62922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46769428:46774777:1 gene:gene25559 transcript:rna25559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fucosylgalactoside 3-alpha-galactosyltransferase MSGFLHQRSLHNPFQFSNPFPSSQPSSTNPKKPLSIFSPAILLSLLSLIIVLGVFSPWVGMPQKLIFTSNPEVSKWGRYTLDEALTFVAKNGTVIVCIVSQPYLPFLNNWLISIAMHKRHDMVLVIAEDYPSLYKVNQLWPGHAVLIPPVLDLEASHKFGSQGFFNFTARRPSHLLKILELGYSVMYNDVDMVWLGDPFPYLQGNHDVYFTDDMTAIKPLNHSHDLPPPGKKGRPYICSCMIFLRPTDGAKLILKKWMEELQIEPWSRTKKSNDQPAFNWALMKNAKGVDMYLLPQSAFPTGGLYFKNKTWVKETKGKHVIIHNNYIVGFEKKIKRFRDYGLWLVDEHKQESPLGRL >RHN51478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18593270:18594284:1 gene:gene35919 transcript:rna35919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Hemopexin-like domain-containing protein MPASLIGAAFRSSKLNECYLFVKERYVILDYNTLRKDLISGPVDIDAGFPMFSKTIFKRGIDSSFETDGNVAYFFSKSQCVKTDYAPRSGPAAARIVIGPIEIVEMFPSLVTTPFANGIDAALIRHNGSSEVYLFKGNMCCILDYKSNDVYNLINITYHYPAFFNTVFKDGIDAAFTSHSGSEIFIFKGEHCARINLAGEFIGGIKRIHDDWPTLRGII >RHN69770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46149868:46151968:-1 gene:gene18284 transcript:rna18284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MDRTTTSKDKSARREDTIDETRPVPETQREVQRDLIEHSVLKEQNKTGTREENIFFRIWYDLKKKELVKIAIGSFAAAFSGISKPFFGFYIITIGVAYFQDDAKRKVGLFSAIFSAIGLLSLFSHTFQHYFFGVVGEKAMANFRRALYSGVLCNEVGWFDKPENTVGSLTSRIISDTSMVKIIIADRMSVIVQCVSSILIATGVSMYVNWRMALVAWAVMPCHFIGGLIQAKSAKGFSGDYSATHSDLVALASESTTNIRTIASFCHEEQVLEKAKTYLDIPKKKYRKESIKYGIIQGFSLCLWNIAHAVALWYTTILVDRRQASFENGIRAYQIFSLTVPSITELYTLIPTVITAINMLTPAFKTLDRKTEIEPDIPDDSQPDRIQGNVEFENVNFKYPLRPTVTVLDNFSLQIEAGSKVAFVGPSGAGKSSVLALLLRFYDPVVGKVLIDGKDLREYNLRWLRTQIGLVQQEPLLFNCSIRENICYGNNGAFESEIVEVAREANIHEFVSNLPNGYNTVVGEKGCQLSGGQKQRIAIARTLLKKPAILLLDEATSALDAESERTIVNAIKAMNLKEETGLRSRITQITVAHRLSTVRNSDTIIVMEKGKIVETGSHSTLIEVDAGLYSRLFRLQSFDETS >RHN62122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40925074:40925469:1 gene:gene24657 transcript:rna24657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SLC26A/SulP transporter MIFAFSAVSSIDTSGVSLFKELEVTLKMKGAELVLVNPLAEVIEKLKKADEANDVRTDYLFLTVEEAVAALSSGLKSQPPSTEEEEEEEEKHTLVTEC >RHN41506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30604560:30609691:1 gene:gene47838 transcript:rna47838 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVDNKSISDFEKEIFNKFRDFMTGITKIDELGTAGSKLLSGFQQALEFIRKPAIDKNSKLVNSIIKANETERLKAYINFECKNRKDVVRNATNLGSCKQGLLHLIREVKVVLDELDDIKANVTNVSQRMHGKLSALSDPDIDVKLNELRIDNNVDKNAACSHSGSTDVSATNSKEKTDYTSLAFLMVGIYEMVQEDYLMQERIVFALDLNMSTEELENYCQMWSLHPFINDEITHQAWKYIR >RHN74327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31871072:31879990:1 gene:gene10368 transcript:rna10368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MMGTVIEEDDTFTKALGRGPIFYYGMGHMLNDITSACWFTYLLLFLTDIGFSPRNAAIVMLSGQVADAFATVFVGELIDRFGHFKIWHGAGSLLVAISFSSVFGGCLPCTIFTSDSITFETVSYSVFAAIFNVGWAATQVSHMSMVSCITLNSTSRVALASCRNAFTMVANLSLYAIALIVFSVVNGKTYANVENQYRWIAYVSIFIGCCFVGIFLLATKEPRLQMDVHGKARGRISWAYWFKRVLYYQVALIYVLTRLIVNISQAYLAFYVINDLQMAQSAKALVPAIIYISSFIVSIALQEIAWTGKLLKAYFSAGSILWIFCGAVIMLLTDHMSYAMYIVSVFIGIANALMMVTGISMQNFLIGENLNGCAFVVGSLSFLDKMSCGIALYILQSYQNISPQLQAINQFPPSITRLGLGLVPAVCALLGVVVACTMDFHHPSNSLTAPLLV >RHN48593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48662810:48663268:-1 gene:gene43327 transcript:rna43327 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTEEIKSKSEVHHGDELCQVKSKELLKEISLPNGLLPLKDIIEVGYNRETGFVWLKQKNSITHKFEKIGKLVSYATEVTAYVENGKIKKLNGVKAKELLIWLNVADIYVDDPPTGKITFKATSGLSRTFPVSAFEVEEDNSNAAGVKEA >RHN39249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5283955:5285659:1 gene:gene45254 transcript:rna45254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MEMEMSMKSSNVHIGTHGVPECSSDSEPLIDQKVETKQTGLGCATFLSKLIFSWVNSLLSFGYSKPLDLEDIPSLVSEDEADMAYKKFVHAWESLVRERTKNNTKSLVLWSIVRTFLKENILIAFYALIRTISVAISPLILYAFVNYSNRTEADLKQGLTIVCFLILNKVLESLSQRHWFFNSRRSGMKMRSALMAAVYQKQLKLSSPARTRHLAGEIVNYIVVDAYRMGEFPWWFHITWTCALQLVLSIVILFGVVGIGALPGLVPLLICGLLNVPSARILQNCQSQFMIAQDERLRSTSEILNSMKIIKLQSWEEKFKNLIELLRHKEFVWLSKAQILKAFGSFLYWLSPTVISVVFLGCAVSKSAPLNAEIIFTILVALRNMAEPVRMIPEALSILIQVKVSFDRLNNLLLDEELNNDDSERNLEQCKVNAMEIQDGNFIWDHKSLSPTLTDVNIEIKWGQKIAVCGPVGAGKSSLLYAILGEIPKI >RHN59782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13077660:13077964:1 gene:gene21930 transcript:rna21930 gene_biotype:protein_coding transcript_biotype:protein_coding MMGQRKYHLGEIYREFRCLKRTDLIKAMANCLPMETIRTGCQVVSIELDPITQYSQLVLSNGSILQAKVC >RHN58186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44446935:44448026:-1 gene:gene33803 transcript:rna33803 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSHLLLAPPLHLHDLSLLLETQSLSRPTERPPPYVKQILHFGLPDLPSPSILKLVSASYPPSLPSLVWPPRKPPEEFRTTLKVVLLRGLRFNRLPPKPPWMLCWSAALSMACLVLLFFDKVNKSETMCSRNVRDEAEEMIRNWIEKKTLNSHISFVSIRRWKLLMNELLAYGIWFKFSYLYSKFRCTQILF >RHN46780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34826568:34831361:-1 gene:gene41308 transcript:rna41308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MNILANIRFCVDPNFIIGTYCSNLVCNFYNPNYSITKLYQIHNKRNSLSKKPSYLDIHKHHFDSVLVSVGTEEIVEEVIEGSYKKFRWNEIRNDITEEQKQAIAKLPFRMEKRCKAVMRQIICFSEEKGRLCDVLRAWVEIMKPTRADWLSVLKELKNMDHPLYLEVAEHALVEESFEPNLRDYTKLIHYYSKENQLEAAENIFTLMKQRGFICDQVILTTMVHMYSKAGHLDRAEEYFEEIKLLGEPLDKRSYGSMIMAYIRAGMPEKGESLLEEMDAQDIYAGSEVYKALLRAYSVIGNAEGAQRVFDAIQLAGIIPDDKMCSLLIYAYSMAGQSQKARIAFENMKRAGIEPTDKCISSVLVAYEKENMLNTALEFLIELERDGIMVKEETSRILAGWFRKLGVVEEVELVLRDFATTTSHQIS >RHN55609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20036860:20038417:1 gene:gene30812 transcript:rna30812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MVERRINDFPDDILTHIVSFLPFKDAFKTTVLSKKWVSLCYKLSNLEINDEGVNNAEDWIHFRRFVDAIILSPHSQNVTLKSFNLRCSSEFVDCDGFDKLVEAAKQRHVEYLDLCLLNVPLAPSIFCCDTLVVLKLARIRVGTSFNCSAHLPSLKTLVMFAVFFEDMENCFKLLSGCPKLECLDTTFIKPGFTIVEANAGVTERGYFKPLSNLINASIHIRDVPLKALYNVQYLCVTNSRLGKTISDDEIYSYYKGLPLFGNLTELQLYFRRGIHDWGEVVKMLQKCPKLQALKIVKGFDSTTKENWKYPYDVPECVLSRLTTCNIVGYEAFEHDFQFATYILQNARLLQVMTICNAKYSNPNPVPKPQYLEDLYSCPKISPACKLSII >RHN58068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43489552:43493470:1 gene:gene33674 transcript:rna33674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDTHPPNSRRLRRRSNPSPSVILPDELITEILSWLPVKSLMQMKCVSISWNTLISNPKFVKIHLYRSTRNPYFSSLVFTPQLDDYSFTHFPVSSLLQNLRITIPRNYYYRLTNKDCSKIVGSCNGLICLLGYSYNAIISINNKNVWFRFWNPATRRISDKLGSMSCSRDCIFVFCYDNSIDIYKLVELGWSGNNDPQTKTKVRVFSLEDNVWRTIQSFPVVPLQLLNSTGFDSVHLNCTVNWLANQSDRWNDSTRECVILSFDLGREKYTQFMPPKGFDSFGLPSICALKDSLSLYHNFKNTDLVIWKMIEFGDENSWTQFHKVSYHNIPKNYKQGGSLLIRLIPLHLSENGETMVLADMIQNRVILYNLRTNRAKKTRINRKICWSSMKDYVESLVSTS >RHN60438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26755832:26760674:-1 gene:gene22750 transcript:rna22750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAMQSPSSSSSFSYRFTYQVFLSFRGSDTRDGFTGHLYRALTDKGIHTFIDDRDLQRGDEIKPSLDNAIEESRIFIPVFSINYASSSFCLDELVHIMHCYKTKGRLVLPVFYGVDPSHIRHQSGSYGEHLTKHKKSFQNNKKNMERLHQWKLALTEAANLSGYHFSHGYEYKFIEKIVKYVSNKISRQPLHVATYPVGLQSRVQQVKSLLDKGSDGGVRMIGLYGIGGMGKSTLAKAIYNFIADQFECSCFLENVRENSASNQLKHLQEELLLKTLALKIKLGGVSEGIPYIKERLHTKKILLILDDVDNLEQLHALAGGRDWFGCGSRVIITTRNKHLLTNHEIEIIHEVKGMSTENALELLRWMAFKNYKVPSSYEEIFNHAVVYASGLPLALEVVGSNLFGKSFAECESTLDKYERIPHEDIQKILKVSFDALDEEQQSVFLDIACFFKGCRLAEVEEILQQHYGYCIKSHIGELVDMSLIKISGWNLNDVTLHDLLEVMGKEIVRKESPKEPEKRSRLWCRDDIIHILQENKGTSKIEMIYLNSPSMDLVIDWNGKAFKKMTNLKTLIIKNVYFSKDPKYLPSSLRVLKLNGCSSESLSSCICSKRFEDMKVLTLDKCQYLTHIPNVSGLPNLEKFSFQYCDNLIAIHDSIGKLNKLEILNAWGCIKLENFPPLRLPSLKELDLTYCKRLKSFPELLCKMTNTKEIGMCKTSTRELPFSFQYLQLSLLACEMLRFPKHNEKMYSIMFSNVDVLEFNHCNLPYECLQIVLKWCINVKFLDLTFGQFKILPECLSECHLMRTLLLNSNQHLEEIRGFPPNLKCLDAFECKSLSSSTRRMLLSQQLHEAGCTTFVFPNGTEVIRIPDWFEHQSRGHSISFWFCKKIPSITFIIILPNVEYYLGFNLFVKGHECTVQKFEFRLPYFSGHTYLFDMNLEENIEHYKILDKALLKNEWIYVELKLQFSWIPENIIKRLRSTEMGIHVRKEKNNTNEDVIFTNPYSR >RHN73566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17732677:17733091:-1 gene:gene9391 transcript:rna9391 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGGRMGKNNYNSRSSSSSSMYNDEVRELQCWCPRICVVRKANTVNNGYVDEAEELGYFKNNGVGHGRNARLMEKPNDRGREEVWRARLMDKVDCVGSELKLIKILI >RHN62190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41552667:41556215:1 gene:gene24740 transcript:rna24740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein deglycase DJ-1, class I glutamine amidotransferase MSFLLLLLPQPSTATRLSPFTISTSTISLKPLSTLSPPRSIPNSTLSISTSPPPTPTNAPPPKKVLLPIGFGTEEMEAVILIHVLRRAGAHVTVASVEPQLQVEAASGTKLVADASISECSDQIFDLIALPGGMPGSARLRDCDALRIITCKQAEENRLFGAINAAPAVTLLPWGLLKRKKITCHPAFFHKLPTFWAVKSNIQVSNGLTTSRGPGTAYMFALTLVEQLFGESIAREVAEFLLMRTDDDNVSKKEFNEIDWSVGHHPPSVLIPIAHGSEEIEVVTLIDILRRAKANVVVASVEKTLGVMASQGTKIVADKLISDIQESAHDLIILPGGTAGAERLSKSRILKKLLKEQNSAGRIYGAVCSSPAILHKQGLLKDKKATAHPSALNKLKDGAVNDAVVVIDGKVITSEGLATVTDFALAIVSKLFGNGRARSVAEGLVFEYPRK >RHN42794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41043082:41045912:-1 gene:gene49282 transcript:rna49282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MMVNTGFLQFIAILCLLMQGIVQCNGGLNSQFIASEAEALLEFKEGLKDPSNVLSSWKHGNDCCHWKGVGCNTTTGHVISLDLYCSNSLDKLQGHVSSALLQLPYLSYLNLTGNDFMQSRVPDFLGNMQNLKHLDLSHANFKGNLSDNLVNLSLLESLDLSGNAFYVNNLKWLQGLSSMKILDLSGVDLSSCENDWFHDIRAILHSLETLRLSGCQLHKLPTSPPPEVNFDSLVTLDLSINYFNSTPDWLFEKCHHLQNLNLSLNNLQGLIPYSIVRLTTLEILDLSKNSLIGSIPNFFDWLVNLVALDLSYNMLSGSIPSTLGQDHGLNNLKELHLSINQLNGSLERSIHQLSSLVVLNLAVNNMEGIISDVHLANFSNLKVLDLSFNDVTLNMSKNWIPPFQLENIGLAKCHLGPQFPKWIQTQKNFSHIDISNAGVFDIVPNWFWDLLPSVEHMNLSYNGLRSCGHDFSQKFKLKTLDLSNNNFSCALPRLPPNSRHLDLSNNLFYGTISHVCEILCFNNSLETLDLSFNNLSGVIPNCWTNGTNMIILNLAKNNFTESIPDSFGNLINLHMLIMYNNNLSGGIPETLKNCQVMTLLDLQSNRLRGPIPYWIGTDMQILEALILGRNSFDENIPTNLCLLKSLHILDLSDNQLTGPIPRCVFPAMATEESVNEKSYMEFLTIEESLSIYLSRSKHPLLISWKGADRSFHRGGRMFGYIKIIDLSSNFLKEGIPAEIGKLVELVGLNLSSNQLVGSIPSNIGEMESLEWLDLSSNQLSCAIPTSMVNLCSLGVLNLSYNTLSGNIPIGIQMETFDESSFQGNPHLCGSPLTKACLEDGNSWFKDKHCSDIEGSIEHESDDNHEDKVLGMEINPLYISMAMGFSTGFWVFWGSLILIASWRHAYFRFLSNLNDKIYVTVVVTLNKLQRKLHTQQPPM >RHN39768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10362242:10363102:1 gene:gene45830 transcript:rna45830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MYHCSHSTITSLSLTSFVFLSMDSVFSTILIILAILFITFMLSLMLRFLQLRINRRRLLSDSTPPRSPPPLTTIVTESPPASLNIDSLPPIPFHFTSDSTPPSSQPPQPAPEIQRAIDSLPTFHFSSISTTATATADCAVCLTAFSTTDLLRALPRCCHAFHSDCIDNWLRSSNLSCCPLCRSTIFASESDLAAILRSPSDSFRVEIGNVTYPEAVGGDALSSYSIGGSFDYRVMEVSQVPVSAVSSRTGSFRGSGRFFGGSSRRIEANRIGEEITEMFRWLSGDY >RHN60554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28103393:28105068:-1 gene:gene22877 transcript:rna22877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative taxadien-5-alpha-ol O-acetyltransferase MENHKKSPSLSLETKDIVIVKPSMPTPSEILSLSTIDNDPNINILCQTIYVYKPNLDFPNDKKDPACVIKEALSKALVYYYPLAGKITTLDDGKLGINCNGDGVPFLESNANCELSSLHYLEGIDVPTAQKLVFDNPSQDQTSPHPLVFKVTKFLCGGFTIGMGLSHSVCDGYGASQFYRALAELASGKNEPSLKPVWERERLTAKSTLKEQPFQFLIDKTSIATSPFLPTKEISHECFNLNGDTIKRLKMKLMKESDDGKHVIKENFTTLETLGAYVWKSKVKALKLNNDGKTMFCLAVGVRKLLDPPLHEGYYGNAFVASNVVLKVKELNEKPLFEIVKLIKESKKLPLNNEYIKNSINILETMRKRNIRIEGTGASLVLTDWRQLGLLQEVDFGWKDSVNIVPVPWNMFGFVDLCLFLPPNNLDPSMKGGVRIFVSLPKASMAKFKEEMEFLKVMKVDEDSS >RHN64549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59958367:59960212:-1 gene:gene27374 transcript:rna27374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TIFY family MSTSSDISGLSGNKLTKSSEKPTFSQTCNLLRQYLKEKKGSFEGFNLHTPETNGSSPGSSSHSGITMDLFPTNVTPKNLTTMDFFFPRVVNPMVKEPETAQLTMFYNGQVIVLDDFPAEKVEELKSFARTQTQHSDVPTMIPQQPPSLIDMPIARKASLRRFMEKRKDRVSVYSPYQRICPDSAAPEKHAESAPWLVLGAKST >RHN67413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27004659:27005579:-1 gene:gene15602 transcript:rna15602 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVLLVGIVEEICDETFHVDRRERAQPGNGCKESLCLCWECALPSSVIITSLTLYFPSLAIYETFARLSHTNQDKMINY >RHN47012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36431621:36431915:-1 gene:gene41557 transcript:rna41557 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSNNNNNINTGASGGAGSSSRRTDNTKFPTMDKIFGIRPPPRERTGDANNNTKGTKFPTMQDVFAPRR >RHN67219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25018833:25019387:-1 gene:gene15379 transcript:rna15379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAVNVMSSSPKLKSSETSNHVPSLLANFLLRCCKQKQNCLPMKHTCGICFDLKTNSDMFQTTKCNHFYCLDCIRKYVTFQISNYLVKVKVITCPSPNCFVELKPKQLQHILPKQVTFRWRSLICESSISLKFISYARKLFQNFKLDKSLVKLAKKERWRRCPKCSFYIERSEGCDNMLCRFVTE >RHN55183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15829877:15831792:1 gene:gene30322 transcript:rna30322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family METNSVVSIGVDSQEVHVLAVDDSLVDRKVIERLLKISACKVTAVDSGIRALQFLGLVDEKETSESDAFVPGLKVDLVITDYCMPGMTGYELLKKIKESTTFRAIPVVIMSSENILPRIDRCLEEGAEDFIVKPVKLSDVKRLKGYMTTKEVNVGSQDTEVIVTNNVGNDNDGDGVGIGINNKRKLEEASDLSSSEPSISSSTLSSPSSSPSPSSSPLSSPDVLDSPIRRLKMTGTE >RHN42482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38723755:38725664:-1 gene:gene48929 transcript:rna48929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, Zinc finger, MYND-type, tetratricopeptide-like helical MRTRTGACYPEPGIVTKMCSFGKRKPRDTLFCAKRHKKCSEKKTTTNYDFFESLPDDIVISIFCRLSSTATSPSDFVSVLLTSKRLKTLALNSLVLSKASPRTFSIRAKNWCESAQRFLKQCAEAGNVEACYTLGMIRFYCLQNRGSGASLMAKAAMNSHARALYSLAVIQFNGSGGTKSDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVKQNIAEGRRFLIQANARELAAVLSTGAAAPAARQLVSTNFQSQLRHVAVSGCPLLSDFGCNVPAPEPHPASKFMTEWFSVRGGSPGPGLRLCSHAGCGRPETRKHEFRRCSVCGAVNYCSRACQALDWKFRHKAECAPVERWLEEDGEDDGEDDGDREVMVMEDS >RHN65793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5402447:5412326:-1 gene:gene13683 transcript:rna13683 gene_biotype:protein_coding transcript_biotype:protein_coding MFDWNDEELANIIWDEGGEGDDHIVPFPEASEDLKNKKEVNQEPAVCKLNKRKQPETQTDFDGKLGSSSNLDNNGGQLASGYVANSCSDLSLSSAAKIDQDSPCTELSKYREEITQNGRDAEFYQNADEGKEQGDFADYDWANIGSFDDLDRIFSNDDPIFGHASLDNSDDLWSAKDVSSNQASVPLNTPSPTDALRNRSGPLEIKEEHVHCSDQSFSLDYEKISGPAYQSIENSHTITDNVECAGDRSKPTGHEQQSFSQKNQLKTRKKSKIKQEGKDLQDFYGNWSSSATSARQFESQLTPSVLQSSPSSILGQPKQLRGPETLYQNIINPYVAPSFYGNLPAMPMLTQFQSGNLRHQHVFSGYETSSGPLSPLKSYAGSAVPQTMTPQEKIEKLRRRQQMQAMLAIQKQQQVLGHQVPCTSKSVAQKCHPQIQSHSSDGTDPKIEDLGTLPLIEQDDSNTISLATDDDFVEETILCRLQDVISKLDVTTRLCIRDSLFRLAQSATQRHYAIDTSSTNKNNKEYDVFAREESSSQNRYARMPDVETETNSIDRTVARLLFHRPVELTGNYSDKLESHISTEVQCESKAANQVNLPVRCLQEENLRSNQQLSHIGLEDPCPSFEVQPMDQTKNSLSICTSENASNPQELEASQ >RHN74908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37703438:37709209:1 gene:gene11042 transcript:rna11042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-glutamyl hydrolase MKINHFFNMSNVVVLFLFLVSIFQCFHATNSHSGIRLPSQLHRDSSLVSCPAPDASLYYRPVIGIVSHPGDGASGRLSNATGANYIAASYVKFVEAGGARVVPLIYTEPWEILRKKLELVNGVLFTGGWAKEGLYFETVKRIFKIALEKNDAGDYFPVYGVCLGFELISMIVSEDNDILEEFSARSQASSLQFVENTKIEGSVFQRFPPDLLKKLSTDCLVMQNHRYGISPGKLLSNQKLSSFFEILTICSDEDDKVYVSTVRSRNYPVTGFQWHPEKNAFEWGPKSIPHTEDAIRTTQYIANFLVSEARKSLNRPVAQDVLDNLIYNYRPTYCGYAGKGYDEVYIFE >RHN49217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53351072:53354257:1 gene:gene44025 transcript:rna44025 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSLSSDSSSHRRKRHHRSRRDRDNKDSLKIRKKSKSHSHAKRRRKHHRYSSDSDFYSSSSLSDSSRSESSSNSEHETSHRSKKHKKSDKPKKNKEKERSKSHRHKRHKHSLKEPNGERSSSPVQLSKFLGRNKDDGVRRSAVSGKKILLKLDKTKEDKEAESKRNELLNFLNASFD >RHN77532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7519756:7521591:1 gene:gene997 transcript:rna997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator Znf-B family MKIQCDVCNKNEASLFCTADEAALCIDCDHRVHHANKLASKHHRLALHNPTPKQHPLCDICQERRAFVLCKQDRAILCKDCDSSIHSVNELTQKHDRFLLTGIKISTTNSSSSSSSSTPSSATTKSNHIPSSSLIEKSTTPSPTSMEEGSGGSTISQYLIETLPGWQVDDFLDSSSVPFAFSKGDELFNAGIEENLDSFFPNNNMGIWVPQAPPPSLYSSSQIMMGQSETTKKGSNNKSTINKSRLRDDHDSNIFTVPQISPVANSKRTRYLW >RHN44385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4107364:4108534:-1 gene:gene38502 transcript:rna38502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MEGHSRKHCEVKKAKEFLNEVKHRFIQDNRRDKYDDFLKVLKDYKTKRIDDATISKLLNGLFEGHRDLISRLNYFMPDGFKIKLPKEEEESLEHSEEKNAKEFVNDVKCRFIQVNQRDKCDNFLNVLNDYKTQRIDAANLSKLLKGLFEGHRDLILRLNYYMPDGYAIKLPSEEEQSLEHCEAKNAKEFLNEVKCRFIQVNQREKNDNFLNVLKDYRTQRIDDANLVIKMKKIFEGHSDLISRLNYFLPDGYEIKLPLEEEQVHVKLEDAKSS >RHN54692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11335513:11335821:1 gene:gene29766 transcript:rna29766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 3-beta-dioxygenase MAVKIGQNIAESLGILDADFEDWPCQYRINKYNFTSETIGSFGASVHTDSRFLTLLQDDENVGGLKVMDNSGSFVSVAPFHGALLANLGDIARVSTKYITIH >RHN50854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11167003:11171674:-1 gene:gene35193 transcript:rna35193 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDEEAPSFSLGFDLDSPNQDPTTLIVPDSDSDPDTRPDPPPRRILSRLRRGLPSSSSFVRRPTEPPPPLFVVDIDVDDYDDIEEFSSQDEPVQVSAHSSGRNRSVCSSSKVSLKGAGVLIPHSSISSSEKKRKQDLEIPDSVGLETGRSGSVFRKFAASPLRKFQLIDSDDDEMVVGGENKPGPSSSTGPMCNRNTPLTSLEQDSKTQFADVNRNQEDLWKDLSPVKNFSISRNSQASVGLEAGQSRSAFPKPKLAASPLRKIQLLDSDSDDDLIVEDVKGTDKLRPALSTGKRNTPLNSSKQDKKVRFVDEEQNQKHFSPVKTFSIPTPAFNDVCDEYFRSANNTQAPKSNHNETYHRVDSECQEDEQIWEAAGPLPPAHHYYFHEDSRIPQLVSSRLCNFSPLCVNALDQQQNIDYIGQFDSGGSTRRRSKSKKFNGSEGSVDPNIISTSSRKKATKRNSTKRNNETSKLNSSDVSASWVEPKDAGQRRVQASDQSAGHWYTGSDGRKVYVDKNGKESTGRNAYRLYRKESGAASKKSEKKTTAKKPAAKKGN >RHN50528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7941245:7942951:1 gene:gene34824 transcript:rna34824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MINGGDQPEGLNLDECRPSISSPVGWIPAGLANLGNTCFLNSIMQCFIHTVPLVEGLFTCSHASNDGHNGYCVICSFHYQMQLSLRYTGRVISPKIIVENLNHFSSMFRRYQQEDAHEFMQCVLDKLDSCFLDLKKNDPKFEGDNIVKKVFGGSLVSRLRCCNCGRSSDTNEPLIDLSLEIENVETLSSALDSFTTVEDIDAKFKCEGCNEEVSMEKQLMLDQTPSIAAFHLKRFKTDGVFVEKIDKHIDFQLQLDMQPYTISNDASSKYYLYAVVVHTGISSTSGHYFCFVRTAPGTWHKLDDSMVTKVSEETVLSQEAYILFYARQGTPWFSNFAESTIPSLNLSRMNTSPKSVLNENIERSRAGESGEFFERKFEYSCPQSRKFIDTFPHREQLPFGSSNQKTLNQKVRVQHWNSTNLASIAKLSGSSYVKNVAPDRNERSLEVYDFTENDDFNPLTPSNSPPFQISRDHLKTEKQRSSSKRSSYKSNDNPENKAAISYVKNMHGSRRDAFLNIINKRQKIDSSQSNKGSNSASRKSSHASVVSLLPRNQAMRLLAAGNFTANSL >RHN59549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11857499:11860734:-1 gene:gene21591 transcript:rna21591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MRVAVRATCLLTTHHLSFIIHSPISQFFKTNQFPKLIHSNTHLPLQTVTTNSNSNSLISTYSRLPQTYTSLINSFSTTLSSCTKLENLEFGTSVHTSIIKNGFESDTLLTRHLIHFYAKCKCLNSARTLFNSVSRLDNLDTATFTALIGGYVRVGMFDDALQLFDEMQSGFVLDELVIVTVLNACVNLGKLDHACELFDEMDGCGNVVAWNVMISGHGKRGYHKEAVEFYRKMRMNGVISSRSTLASVLSAVAGLGDLGCGLLVHGEAVKLGFESSVYVASSLINMYGKCEMLCDAKKVFDVVCDRNVVMWNTILGVYAQNGCLSDVMELFSEMMGCGNDPDEFTYSSILSSCACFDFLDIGRQLHSTIIKKRFTDNLCVNNALVDMYAKAGALKEARKQFERMKYRDNISWNAILVGYVQEEEETEAFNMFRRMNRHGVVPDEVCMASILSACGNIKVLEAGLQFHGLSVKLGLDTNLFAGSSLIDMYSKCGGIEDARKIYSCMPEWSVVSMNALIAGYAIKDTKEAINLLHEMQILGLKPSEITFASLIDCCKESPKVILGMQIHCAILKNGLLCGSEFLGTSLLGMYMDSQKLAEGNILFSELSNLKSIVLWTALISGHTQNDCSDQALNLYREMRDNNILPDQATFVTVLRACALLSSLQDGQEIHSLIFHTGFDLDELTSSALVDMYAKCGDVKSAAKVFEELPIKKDVISWNSMIVGFAKNGYAERALKVFDEMTLSSVSPDDVTFLGVLTACSHAGLVSEGRQIFDNMVNYYSIHPRVDHHACMVDLLGRCGFLEEAEEFIDKLDVEPNAMIWANLLGACSIHGDEKRGLRAAEKLIELEPQNSSPYVLLYNMHAGSGHWDEAKSLRRTMVQNEVQKTPGCSWIVVDKTTNLFVASDMSHPSSDEISHALKHLAALMRDNRLQEDGISPAS >RHN49285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53810342:53815121:-1 gene:gene44100 transcript:rna44100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MEEDRVIDMLTEKFNRFVIHCNFNSAPEQLNETSDSMDENTMSTQDGHTLPVLKKILDLSSKAQNLKKQHVALSEQVKLAFESFPGLDFLKSVQLIGDEYEILKRKYLEVSLERRRLNNEVIELKGNIRVFCRCRPLNENEIANGSAVSVVNFESNSEELQVVCSDSSKKQFKFDHVFKPEDNQEAVFAQTKPIVASVLDGHNVCIFAYGQTGTGKTFTMEGTPEHRGVNYRTLEELFRVSEERQGTIKYELLVSMLEVYNEKIKDLLAGNSSEATKKLEVKQAADGTQEVPGLVETHVYGADGVWEILKSGNRVRSVGSTSANELSSRSHCLVRVTVMGENLINGQRTKSHLWLVDLAGSERVGKTEAEGERLKESQFINKSLSSLGDVIAALASKSAHIPYRNSKLTHILQSSLGGDCKTLMFVQISPSSVDLTETLCSLNFATRVRGIESGPARKQVDLTELLKYKQMAEKSKHDEKEARKLQDNLQSVQMRLATREFMCRNLQDKVRDLENQIVEERKTRLKQESRSLVAEKTIKRTLLIPLERPPLRRINDSLPPPPERRPSSCSSSLQGKENNVRTNLMTTRRRVSIAARPPAAPSQAQPLQPRRRVSLATLKPETTSSQLTNGSNDHQPMVRNQRKARYSRLFAPLTTSAIETTPTLDKSSSSRFDGSPTQAADSRMMARHPHPAVIALQRKSLVWSPLKRRGIESSRKASFLPSRPSTQMR >RHN64088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56479545:56481399:1 gene:gene26868 transcript:rna26868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MEDGRGGGTVDKNGRAEQYKGRVTVHVIIACIVAATGGSLFGYDVGISGGVASMDDFLQNFFPAVYKHKLRAHENNYCKYNNQGISAFTSTLYISGFIASIVAAPITRRYGRRTSIIIGGINFLVGSALNAAAVDLEMLIIGRVLQGVGIGFGNQEKKGSNVMETIRGTIKYV >RHN69971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47653620:47668290:-1 gene:gene18507 transcript:rna18507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase transcription factor interactor and regulator CCHC(Zn) family MGGRAITVLNVAEKPSVAKSVASILSRNQGLRVRDGRSRYNRIFEFNYTIRGQPCQMLFTSVLGHLMELDFDDRYRKWHSCDPADLFQAPVHKFVPEDKKDIKRTLEEEARRCQWLILWLDCDREGENIAFEVINVCTAANPNLTIKRARFSALIDREIHQAVQNLVEPNKWFADAVDARQEIDLRIGATFTRFQTMLMKDAFVIDTVTDGRNTVLSYGPCQFPTLGFVVERYWEIQAHEPEEFWLIKCSHRSDEGVANFSWERGHLFDYTCAVIIYEMCIEEPTATVTKVTPKEKPKYPPHPLSTIELEKRASRYFRMSSERTMKVAEELYQGGFISYPRTETDNFSSRTDLHAIVQEQQGHPEWGIYAQRLLDPGAGLWRNPRGGGHDDQAHPPIHPTKFSAGESGWSQDHRKLYELVVRHFLACVSKPALGAETTVEIDIAGERFSACGRVILERNYLDIYIYDRWDGSMIPNYAIGEQFIPTTLTLDPGKTNPPPLLSEADLLSRMDKECIGTDATMHDHIKKLLDRFYATKDANMCFTPTNLGEALVMGYDDMGYKLWKPDLRAVMERDMKSVSEGNKSKAEVLDTSLQQMKTCFLDARLNKVKLLEAMAIFFERLNRVGSDEQNGTGVVVRRCRLCQESDMVLKKNRDGNFMVGCRSYPQCRSVVWLPGSISEAVVTTNACNNCTPGPVYLIQFKFQQLKIPPSYNVNHLGCIGGCDEILTQLTEICGTGSRMPARGRGPTASTSNVHHTNPRSTASTSNAHHTNPRQAVCSHCQETGHSSNDCPSQTRRSRNPQYPGMNQQNGESSVACSSCGTPCGLQTANTDSNRGRDFYSCQTQGCRFFVRWVDDLNNGSEGRSFPRSNSTPASNRGRNGGRGSRGRGRNGSNSSNGTFVSATGDPISDRRCYTCGDPSHFANVCPNRGGL >RHN47256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38335910:38336229:1 gene:gene41831 transcript:rna41831 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFNKTKKSIDYNVEAFMQSYNLSVPIKQYGYAEVKRMTNSFRDKLG >RHN51529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19436944:19438663:1 gene:gene35984 transcript:rna35984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MQKIVLKVELYDDRIKKKAMKTVSGLSGVESVSVDMKDQKLTLVGDIDTVDVVGKLRKLCHAEIVSVGPAKEEKKDEQKKEEDKKEEPNKKDPKEELADLVKAFETHYNQMRQPYPYYYYQTVEESPSGCVIC >RHN57802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41799198:41799743:1 gene:gene33390 transcript:rna33390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prohibitin, Band 7 domain-containing protein MVSSQAKASNLFKKARFVSGFGAAVTIGHSSFYIVKSGERAVLVDRFHGTLPRSVGKGIHFKIPWVQKPYIFDLRPRTHRLSAISATDDHEPVNLTLRVISRPEVQRLPTIVQNLGLEYDKILNFIANEVLESIVAKSSLLMLFRSHSWFSERVKDAFVGRAKDLNILIDEIDITHFSNPR >RHN80037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34540390:34542060:-1 gene:gene3913 transcript:rna3913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MARENLRVLSALDVARTQCYHFTAIAITGLGFFTNAYDLFCISLVTRLLGRIYYYVDGAEEPGTLPPNVAATVNGLALVGTFTGQLFFGWLGDKLGRRTVYGITLNIMIICSLGSGLSFGHEPKMVLGTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFVAAVFAMQGFGIVAGGVFAIIVSVAFKSKFDAPPYVVDPTGSTVPEADYVWRIILMFGAIPAALTYYSRSTMPETARYTALVAKDMDKAVADMSKVLKMDIKAEPLKDDDVEENKQFGLFSKEFMSRHGVHLLGTMSTWFFLDVVFHSMNLFQKDVFCTVGWIRYPKTMNAMEEVYIIAKAQTLIALCSTVPGYWFTVALIDRMGRFTIQLMGFFFMTVFMFAIAIPFDHWTITENKIGFAVLYALTFFFANFGPNTTTFVVPAEIFPARFRSTCHGMSSAAGKLGAMVGAFGFLFLVQNQDPKKTDAGYPAGIGVRNSLFCLGAVTILGFCFTFLVPEAKGRSLEEMSGEDIDDIVDDTISHSSSSNRMMSYP >RHN41490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30456570:30457694:1 gene:gene47822 transcript:rna47822 gene_biotype:protein_coding transcript_biotype:protein_coding MNLETTAQLCKIQTKFHMATSKVLSIAIFVLLGLSMCSATRKLSQGGSRGLFGGGGLPGGGLPGGRGLPNVGSGGGLPVGGGLPVVGSGGGLPGGGGLPVVGSGGGLPFGGGLPVVGSSGGLPGGGGLPVVGSGGGLPFGGGLPGGGGLPVVGSGGLPGGGGLPVVGFGGGLPFGGGLPVVGSGGGLPGGGGLPVVGIVGILPIVGPILGG >RHN76824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1594381:1594536:-1 gene:gene203 transcript:rna203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase MRPHLSKEYMESSKPAAELVKLNPKSEYAPGLEDTLILTMKGIAAGMQNTG >RHN60196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23337278:23338642:1 gene:gene22451 transcript:rna22451 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFSKSKFSQCFRPVVDLDDLLESKVVAHHHSKNKHTVLKVIKAMVLETILNRRARHKTCYGFDCFGVSKHNYSTYKKVTNATQSSLTSLSSDNSTVSQSKNMSTKGKHEKETKQESAILEKQKKFEFRAICLVLISLVFTVFFGKLFGIFLTSIWIFLFSLCNSNYRCRKMLPYGARYSVVHSPKYMDVNGHYRK >RHN52413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35103551:35107247:-1 gene:gene37088 transcript:rna37088 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKIDYCHRFSYVYLKHTNLFHTPKLNELSKRQFEYIMDKGLLKNEWIHVEFKLKDRDNIIFRNAQMGIHVWKEKSNTEEENVVFINPYIRKTKSNEYLNASLSQKKRKRNKFSIRINLQKKIWVHPKFRARFRKQKEHRT >RHN62552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44322136:44323647:1 gene:gene25143 transcript:rna25143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MDSATNHLYFVTSQNYKQKLSVMHNNLLLNSLILLLLTFSFCSCSSDTISIDKTLRDGELLVSKSKTFALGFFTPGKSTSRYVGIWYNNLPIQTVVWVANRDAPINDTSGILSINQNGNLELHHNLSTIPIWSTNVSLTLSQRNITSAVIAKLTDKANIVLMINNTKTVIWESFDHPTDTFLPYQRFGFDRKTNQSWPLQSWKTEDDPGKGAFTVKFSSIGIPQLFMYNHNLPWWRGGHWNGALFVGIPNMKRDLQTFNASFVEEDNYVALSYDMFDKSVIARLVVQQSGFIQIFTWNNQKSQWNRFWSEPTNQCDNYGTCGSNSNCDPLNFENFKCTCLLGFEPKFPSDWYESRDGSGGCVRKKGASVCGNGEGFIKVVSLKVPDISGAVTIDGLSLDECEKECLRNCSCTSYAVADVRNGGSGCLAWHGDLMDIQKLSDQGQDLYLRVDKVELGKIFPPTVFFLLNLTPLMCSLNFSGLVSSYQLITTKKAKESLIKRGWL >RHN79928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33582706:33583266:1 gene:gene3786 transcript:rna3786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase Rpb7 MLPDFQEFLKVQLPWNAIVFQESMLPDFQEFLKMKPRSLILQLAILICPLSDFAAKKVTKDMGYFLVATTLDKIGEGKVRQHTGDVLFQVVFNVVTFKIFKVVHMNKHGVFMRIGPIKNAYPSSSKMLGYMYILGENQISMNQKMPEIAKDVKVRVVVIGMKWMQAEREFHGLEGDYLGSISSPDM >RHN55961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26099246:26100790:-1 gene:gene31261 transcript:rna31261 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIGGPLLCIGDLLTDVGEENEQEGIEKTSPSSSLSDLNNNALPHLTKLFQENYDHLNSALNATDHSWTSLTLKLCTSLDTANKLVQSTNSNVASLLEKVEELEKIVKRGDSAIAATKAFYVAPDNNSSALK >RHN69744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45990223:45996046:-1 gene:gene18256 transcript:rna18256 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:IAR33 MAAIHVVIVFLLCTSLCTVVKCDAYAQEILSSAQKEKDWLVSVRREIHQHPELAFQEHNTSALIRSELDKLGIPYTYPVAKTGIVAQIGSGSSPIIAIRADIDGLPLQELVEWEYKSKIDGRMHACGHDAHATMLLGAAKLLNQRKDKLKGTVRLLFQPAEEGARGASQMIKDGVLQDVEAIFAVHIDATTSTGAIASIPGPFTAAGCIFEAKIEGVGGHAAFPHQTVDPLLATSLAILALQQLVSREIDPLHSQVLSVTYIKGGDALNVIPSYVKFGGTLRSQTTEGMYHFRQRLKEIIEGQASVHRCNAYVDFKEEAFTPYPAVVNDKDLHLHVERVGRLMLGPDNVHEAKKAMVGEDFAFYQEVIPGVLFSIGIRNKKVGSIHSPHSPFFFLDEEALSIGAALHTAVAELYLNEHSI >RHN39922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11719369:11719787:1 gene:gene46006 transcript:rna46006 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTCFFVWMILTFWVDDCFWRIVVFCILVDEFDVEAISLVF >RHN57568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40137886:40138596:1 gene:gene33136 transcript:rna33136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MAAEKELAPFSQSSNTENEFSQNNYVRFSTSLLNTTTNIGTENLVMPKSPPGGAPSSGMLIDLPQNSREDLITTSSKRSRGRSKGSKNKPKPPVVITVEPESFMKQIFIEISAGCDVVESIIKMAWRHQADISVMRGSGLVSNITIRNSTSHSPALTIEGPIKMMSLSGTYINPNSDTVPSEFITNPNHSSFSIFLSGNGNEGQVYGGIVIGKIMASGNVMITATLQKKPKFYRVT >RHN44189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2305920:2306189:-1 gene:gene38279 transcript:rna38279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type MVFVRSLVLLVKFLFLYSLFSFAFTTCFPQIHPKCHGDESHALLQFKEGFVINNLASDDLLGYPKTSSWNSSTDCCSWDALNVMSTQTM >RHN59276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8991157:8995512:-1 gene:gene21280 transcript:rna21280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arginase MSTIARRGIHYMQRLNSANVSSALLENGQNRVIDASLTLIRERAKLKGELVRALGGAVATSSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSTTEEGKDLQDARVLTDVGDVPIQEIRDCGVDDHRLMNVIGESVKLVMEEDPLRPLVLGGDHSISFPVIRAVSEKLGGPVDVLHLDAHPDNYDEFEGNYYSHASSFARVMEGNYVRRLLQVGIRSITTEGRAQAKKFGVEQYEMRTFSRDRHFLENLKLGEGVKGVYISIDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQGDVVAGDVVEFNPQRDTVDGMTAMVAAKLVRELAAKIAK >RHN74240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30972371:30974304:-1 gene:gene10272 transcript:rna10272 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSKVYSKRQPHLFGCEDQSSQDHTKKFHDRVKSNNFVIREVPIQKLFNVDNCTDNSSSDLSDFIKSNNFSSTSMNVLDYNMPELVVFIQEDQQQYVKDICIDREVLPERKCDVNSESDLNHEVMETISSNSFGSDSECSSQHISFKEAMKVHGFRNLMKSEMELDLGNRISIDQHFPSRTASETLREAFQRERNFSRSFENWQRTSGSRVEFPHSRECLQVTDSNMYRPDISYLQSITSSDNKQVDDHQETSNSASDSQSDQTSCTCHANTSSIASHQSNDSISSSHSFSFPVLPVEWSGSPVRMMEADKSQSRKHRWRKMLFPCCDCDD >RHN52420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35174136:35174549:-1 gene:gene37097 transcript:rna37097 gene_biotype:protein_coding transcript_biotype:protein_coding MDKELLKNEWIHVELKLTSICSPPEEVIKKLRSSQMGIHVLKKKSNMDEEDVVFTDPYIRKIKLEKYLNALLLQFHPLLKKQRRLVEVGVSETEILQQQHLALVSVMQNLVLNETRIGTPWLDRFLGPGTSLSLLSL >RHN66600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14824988:14826616:-1 gene:gene14627 transcript:rna14627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MSSRRSRSRQTSSSRNITDDQIHDLVSKLQQLLPEIRNRSSDKVSASRVLQETCNYIRNLNREVDDLSERLSELLATTDTAQAAIIRNLLMQ >RHN77495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7231084:7231311:-1 gene:gene958 transcript:rna958 gene_biotype:protein_coding transcript_biotype:protein_coding MCLNIGITLYRPPHQGRSFTSLHTFLNILTFPSRHFILYKPLTFSYSHQLRFLFIPLSLQQLLSK >RHN53732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3622166:3622976:1 gene:gene28671 transcript:rna28671 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKRIYFYTNLNKVLGCFSYLLMLVFSLWNQVPSSIIHGIPSEGARKNGLDGS >RHN77753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9181674:9182130:1 gene:gene1240 transcript:rna1240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase MINLEKEEEIDMENISEEDMKIVKKMIMVALWCIQLKPNDRPSMSKVVEMLDGDIESIEMPPEPTMYPDETISRGETTNLDQTTSSDFISSSDLEENMSNPLLENTS >RHN57106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36543318:36545770:1 gene:gene32605 transcript:rna32605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WIYLD domain-containing protein MDAALDAMRQLGFEEKIIRDTVEELLDVYEGNQGWPFIEEGSYKLLIETILCNCDENKDDARQDGVGETSSAATPATGITEVGSSYLVPQDYVTRGNDDLDSASQTNDHDHDFAPIGNVEEDAGVKNTTVRESNHETFVSNVEATTDKLPQSRYRPCYGWISSDDDDVDLIYFQPPPLPKHIEELIGTSLAHEGPKRKSRWDEKPDDM >RHN55141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15485618:15487022:-1 gene:gene30275 transcript:rna30275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEKEMCDISVEKRLRLFGFELNPTKNNNEGVAKESNEGDESVNSSNSISSGGDKIVQEKNSSKDQDERKFECQYCFKEFANSQALGGHQNAHKKERLKKKRLQLQARKASINYYLQPFQKNHHGFSYHGSDHTHWFYDPSSYNSEFSLSEESQISFKSKNVHDTNSSWYSLPSHVSPSQQDTCMFTFSNDDKPSSNQSHSKALDLQLGLNLQSNTIRSSLKRS >RHN57279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37800434:37800784:-1 gene:gene32802 transcript:rna32802 gene_biotype:protein_coding transcript_biotype:protein_coding MESQICWQLSVRLVFASARCRAFVCCSGLFIRFFQLRADVWLGNSICAGWSQNGSGWYACLKHSSVVLLGVLGCGDSCRFS >RHN67314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25890823:25892697:-1 gene:gene15494 transcript:rna15494 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLLIIVLFFVVVGKSIRREALELWQKNVAFEININTTFPFSNYHSYVSHSLHHSLSCAHRTQLSL >RHN51037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13283556:13284489:-1 gene:gene35407 transcript:rna35407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MAYFKLASLAVFLLATFLMFPTKNVEAQSCSGAVCIRFNTECDAGCYCHTAGTEQTGVCRPNVDGMEMEERHPYLCQSHDECNKKGSGSFCARSPNSDNKNGWCFASFSEAQEYFKFTAKYKFKRDFLKMPITA >RHN82812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56567609:56569825:1 gene:gene7002 transcript:rna7002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, protein Cms1 MGKRKGRENNDDRRKKKKKKTETTGSEQLRFFVNEFQSANDVQLSSIELESLKADSSILELSNSQNSRDTDLDVKLLGGDIKGAFGNCWRQVLCESEVVEGKIPPGSPSVLIVSPSALRSIHLLKGFRFMTKQCSAVKLFSKHIKLQEQISLLKNRVNIASGTPSRIKKLIDVEALGLSRLQVLVLDMHPDVKGYSLFTLPQVRDEFWDLFKNYFYQPMIKGDLRICLYGPYQLAACSNGKKKDI >RHN49966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2753792:2754223:1 gene:gene34210 transcript:rna34210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AAA-type ATPase domain-containing protein MGKKPSPLGSKSNILSQKKKLWSIMASIVFMYGIFEKFFSSQIRSYVTKYMQKLISFTSPYIHITFPDSIAGPYLKRNETYTCIQIYLNAKSSERAKRLRAEVVENSQTPLVLTIDDNEEIIDKFNGVKIWWVANYTSQKKIR >RHN79563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30133101:30133376:-1 gene:gene3374 transcript:rna3374 gene_biotype:protein_coding transcript_biotype:protein_coding MLQADVNGLLLPRPSRQKSMLIVLDLLDHPKNYIGRLIARSCNLFLHIQSCKCATKKTNCQLKEQNYTYNYLTKS >RHN71585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1172476:1173132:1 gene:gene7199 transcript:rna7199 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDVLQQGWTVDNASRMLTVKKQPVVSVQKLDPCKLQQLTEYVFHLEH >RHN50340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6321511:6325371:-1 gene:gene34615 transcript:rna34615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proline dehydrogenase MATRVVPQKIIKNLRFKTTTKPLNSYHPSATATVASILEREQPSPPQPSHQQPSYLDLNDGERLFSAVPTSTLIRSSTVLHATAIGPVVDVGIWAMQSKLLQTGILKDAVMAVTKRTFYEHFCAGEDAITAGKSIRSVNEAGLRGMLVFGVEDAHENDGCDRNLKGFLHTVDVSKSLPPSSVSFVIVKITAICPMALLERISDLLRWQQKDPSFNLPWKQDSLPIFSESSPLYHTTKKPEPLTPQEESDFQLANQRLQQLCKKCVEANMPLLVDAEHTTVQPAIDYFTYSSAIMHNKDDNPIVFGTIQTYLKDAKERLFLATKAAEEIGIPMGFKLVRGAYMSTESTLAESFGSKSPIHDTIEDTHNCFNDCSSYLLEKFANGKGSVVLATHNIESGKLAAAKAYEIGMGKVNHKLEFAQLCGMSDALSFGLSNAGFRVSKYMPFGPVEMVMPYLLRRAEENRGLLAASGFDRQLIRKELGRRLKAAIF >RHN52973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40783275:40785783:1 gene:gene37715 transcript:rna37715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cycloartenol synthase MEKEEVGKTLKRALRFYSTLQTEDGFWPGDYGGPLFLLPSLVIGLWVTGAVNAVLTPEHQSEMRRYVFNHQNEDGGWGLHIEGPSTMFGTAMSYVTLRLLGEDIDSGDGAMQKARKWILDRGGATSIPSWGKLWLSVLGVYEWSGMKAIPPEIWLLPYFVPLHPGMFSLFLIRSKSNMWYHILIYMI >RHN54711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11504122:11507780:-1 gene:gene29789 transcript:rna29789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribulokinase MAACTVYSTQPLRTNISIPTSSKTHVGFNQKQVVFYTTTKKSSNRRTNSNNRRYLITCGAGDSQTIVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYEQVKAIKEGVSVQKPIYNHVTGLLDPPELIKPPKILVIEGLHPMYDSRVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFEAYIDPQKQYADAVIEVLPTQLIPDDNEGKILRVRLIQKEGVKYFSPVYLFDDGSTISWIPCGRKLTCSYPGIKFSYGPETYKGNEVSVVEMDGQFDRLDELIYVESHLSNLSSKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLFEQIVASRAETPVGAAKA >RHN47665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41357598:41360179:-1 gene:gene42288 transcript:rna42288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nascent polypeptide-associated complex subunit alpha MTAQTQEELLAAHLEQQKIHHDEPVVEDDDDEDDEDDEDDDDEDDDNAEGFEGDASGRSKQTRSEKKSRKAMLKLGMKAVTGVSRVTIKKSKNILFVISKPDVFKSPTSDTYIIFGEAKIEDLSSQLQTQAAEQFKAPNLTNAGAKPESSGIAPEDEDVDETGVDPKDIELVVTQAGVPRSRAVKALKAANGDIVAAIMELTN >RHN77735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9045892:9046923:-1 gene:gene1222 transcript:rna1222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MWWMRVILVLLLPFTLLLHKSSCRSIETSSSIEKKHTCPPSSCGKILNICYPFRLKDDPKRCGDSRYELACENNVTTLYLYSAKYHVRSINYNNFTIRLVDPGIQQSNCSSLPLYSLSRSNFCDTFDYGNCNGPYHAIFSRERFLIEASPELLFKHIVYLNCTHQVTNNPKYVNTSSCFQFGYSKSNYIYAMAGDLIAQDFQVGCHVKLVTPTSLLGLERNKLLSYDIIHKALVYGFEISWMHLSCHNRCGDLATCSISPNTNGILECIPGCRPSTGYWSDFCGKGKIITTHFDPDYNFFTH >RHN74947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38044454:38046904:1 gene:gene11085 transcript:rna11085 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQNQSYFNVWALVARSCFQGRIWEISNSIFRENVTWLMRMSLRTSWINRSSLVGRKPCERKKKKIKVISRRQIPCFQFSIGSYYTKK >RHN38449.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000012.1:16774:16974:1 gene:gene50715 transcript:rna50715 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRGRDFLFCHLCGTMLTVPSTDYACCPLCKTKCNIKGTTFYFSLQINLLVFLFCSDYYMYCSRM >RHN54505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10125570:10129269:1 gene:gene29564 transcript:rna29564 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVTELCTGVRSAYLDPSFVIPSTQSLSEGVTRSFVVINIVLRMLVIYLLFLNPFFTIPPSPTPSLSPKDISSERLQMLSQRDIKRNGG >RHN40988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25414247:25420504:1 gene:gene47251 transcript:rna47251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MALGSTIITLLILSLFYCRNLLCIYATSYRELLVVGQLIRNSDTLVSKGGNYELGFFTRIRENSTKYYVGIWFKKVANDKIVWVANRDNAFQTSSVFLTIDHDGNIVIIDGDMMSYVTGAPNSSNNSIRNSTYATLLDTGNLVLVNNSNQAILWQSFDHPTDTLLPGMNIGYDTDTGHTWSLRSWKSTDDPSSGPYTLQYDSRSANLSVSKGSNVLWIDGNSNFSFHDVLNRAEFKQSYGLNNYTTIPIDSTSRFILEASGDLKYQAWYEESKQWIFLRSSTCVTNNSCGFFSICNPQTPDPCQCLRGFKQSSAGGCVRIKKLSCNNNNIKHGYFSSFNMSVKSLRRHRVHRLVDTGAQCNYTCFNDCSCVAYAYDFFDGACMLWNDQVPTLTITSTGDSYKNIDNYNLTFHLRVAGSDKRNPNSNAIGRHGNGKRNLLVIVILISFLLFLVLVCLFVYRTRKQSGEGDDLLNFQVGMSMKVNKDSDIADKSIKVRRKEVKLPLFSFASVSAATNNFSDTNKLGEGGFGPVYKGILLNGDEVAVKRLSRRSGQGWEELRNEALLIAKLQHNNLVRLLGCCIDRDEKMLIYEFMPNKSLDCFLFEAVKRRMLDWGSRVRIIEGIAQGLLYLHQYSRFRIIHRDLKASNILLDANMNPKISDFGMARIFGENELQANTNRIVGTYGYMSPEYAMEGLFSVKSDVFSFGVLLLEIISGKKNTGFYQTSSFNLLGYAWDLWTNDSGMELIDSELDDISNKHLVLRYVNIGLLCVQQSPDDRPTMSDVVSMFGNDTASLPIPKPPAFQNVRGIKSSRLSKSIEGNISVNGITDSVIEAR >RHN51296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15916089:15916456:-1 gene:gene35712 transcript:rna35712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MSMPIESMLLAVNSNFLVFSVSSDDMMGQSFASLVSTVAAAESAIGLAIFVITFRVRGTIAVEFINSIQG >RHN60347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25674502:25679312:-1 gene:gene22651 transcript:rna22651 gene_biotype:protein_coding transcript_biotype:protein_coding MVPISIENWRAPKMKPYKENVLDEIRKDYIFGDEHENHVKMEAGRLFTTFKTTFTRLYMRDTNLDVVDKSPKDKYPPHRTRALGCLCSSEFDS >RHN71326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58255627:58260628:1 gene:gene19994 transcript:rna19994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MAKPKAPKRNLESYSVKHMNKSVTIKAGDCVLMRPSDPSKPSYVAKIEGIEADSRGANVKVNVRWYYRPEESIGGRRQFHGSKELFLSDHFDVQSADTIEGKCVVHGFKSYTKLDAVGNDDFFCRFEYNSATGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSDWFHPACIDMTVEEAERLDHFFCESCSVEGQKQLQNSHSATRLADTKVDTKRRRR >RHN42069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35184882:35188437:-1 gene:gene48460 transcript:rna48460 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPGASGFGWDDAKKMIKVEKEIYRQWCKSHSTAVGLYNKPFPHYDSLDIVFGKDKAAGTVTEDIIDMTIEMEKENVQSTQEGGSGINLNDDDDDDENFESQMPETPTANTTAPGSNPTNQPQCDSTNYRTRKRGGKRVKYNDDASDSMSNSLNKLGEIYANGLIGGTRLSLF >RHN64303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58041752:58043152:1 gene:gene27107 transcript:rna27107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MFHYHCCLRTLLYLNNLSKTPKCCYLLLLQPHHSLNTTSDSDQHSFPVSYLTNNCALSPQDALKASKRLRFNTPDKPDSVIAFFKTHGFSDHQIQTIIRKIPLLIVYNPIKTILPKFQFLASKGASPKDIVATVTRSPNFLCSSLDKNIIPSFELVRSFCPSDHKAITSVIICPSSICDSRFKPNLQFLLDFGVTRSSIYRLLTSRPSTICCTDLKKALEEIKELGFQPSKYNFCVALLAKRAVTKSQWDAKVDVLKSWGCSEDAVFNAFRKQPNFMLRSPDKLNAVMSFWVEELGWDPSLLLAEPTLFGYSIQKRLSPRASIVKYLLSKGLMKEGASLCTPFYLTDENFQRRYVRRII >RHN45521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22025886:22031483:1 gene:gene39877 transcript:rna39877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (S)-norcoclaurine synthase MSVNIDNIAMEEAPNFSPSLLVPNVQEMVKKNPLQVPTKYVRKQEEMEKVNEIPQLSFEIPVIDFILLSNGSMEELLKLEIACKEWGFFQIVNHGVQREVLQTMRDIADEFFKLPIEEKEKYAMLPNDIQGYGHTIVFSEEQTLDWSDSLILVIYPTEYRKLQFWPKTPHEFKEIIEAYSSEVKRVGYELLSSLSVIMGLEKHALVELHKEVLQGLRVNYYPPCSMPEKVLGLRPHCDSTTITLLLQDDDVPGLEIRHKGNWVPVTPIADALVVNVGDAIEIWSNGKYKSVEHRASQIRTRKGCLMQHLCSLEKMLKLSPLTI >RHN57290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37848766:37850373:-1 gene:gene32813 transcript:rna32813 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGVVPDFDLNVWENGTIGIGEKNIVQGLIMPDNFILPGMDCTIELESSCLAKHRDKPLEKESLSHEFILTESYLMKSSIQLETTSAIVARSGMKSRETIEYLYKKLMSVNGVYEAELNYIHQRLLVKTDMKHVFLKGYLMVMSYSLAVASNVVECGCNDITCVKVKYKNFGDKVDYLMKNNIVVDSCHFTNEEMWVLVEMCDEYPKKRFGEANIYNSLILAKDDLVVFSTNEENASLVGSQPMYGNPERLWNNIINIAIKMGAVDDLAKVVAAMRGVPYFLREMNELTGENSFIMDFTPSYSITLGMEGLLNLPSTPRIVGKHCGYHASSKSLVADLQLGQMMLMSVFNVVEHLAAFGILGVPSGSVRTDPFFDSNVRKYGLRCEAERDNTVLHEWKGFRGVPFFLTMMGNLKNVAVALAGEIRDGVYSRLRPQLLHALPFSRCHYATWGIIIGHNNPEFEFPKQEKVKAFAWVMGLTKKVPLVGFNAVGQLFSESLSDEELKLTVLADGAYDLCFTHKINSHVLQAIKFF >RHN76046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47265164:47267118:-1 gene:gene12320 transcript:rna12320 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPLGSIFSYQESSDQLRLKLIDTIAELENTRNVKDELLNMLEIAYKERDQAREQLQILVKKLASSNSNMFYEVQQNNNNNNVVMFQSTKAKSSITESNSPSHVSSPVDSFIEAVSSPEFSNVGVDSHNKYFFNQTLVHDEVDVASRVVDSLARGKVLPQKGKLLDAVINAGPLLQNLVLSGPLPTWKNPPPLSSIKIPPFSVEEDIDELNLFQNSTHPSFHSSGSLPIRNAADVTPSSCNNAWQFTSSSSLKKQKHQCF >RHN41089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26910050:26914093:-1 gene:gene47377 transcript:rna47377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ssDNA-binding-TF family MSHLQLHLHSQPPSLSSTSSSSFSSLKLFTNNNSFSLPFKFKPFTIRCRHSDVFNPSPSNPPPPATTPPNNPLVGALPPRVYVGHSIYKGKAALTITPTPPKFVTLDSGAYKISRDGCLLLQFAPSVGPRQYDWNRKQLFMLSVDEMGSVISLGARESCEFFHDPFKGGSDEGKVRKVLKIEPFPDGSGFFFNLSVQDKIVNVDVSMNIPVSKAELSVLRSIFKYIMPYLLGWHAFANSINPEYSAALNNVANNANPRYGGDYEWNR >RHN72287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6458183:6461442:-1 gene:gene7981 transcript:rna7981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, EAG/ELK/ERG MSKIPTYFSNFYTTCSYMSTWFVLDICSTAPLEPISLLFTTYDSELGFKLLNMLRLWRLRRVSSLFARLEKDIRFNYFWVRCTKLTAVTLFAVHCAGCFNYLIADMYPDSKRTWIGAMYPNFKEQSLWDRYVTSIYWSIVTLTTTGYGDLHAENTIEMLFDITYMLFNLGLTAYIIGNMTNLVVHWTSRTQNFRDTVKAASEFASRNHLPRRVHNQMLAHICLRFKTEGLKQQEALNDLPKAIRSSIAHHLFFPVVQKVYLFQGVSNDFLFQLVSEMEAEYFPPKEDVILQNESPTDLYVLVSGAVVVFDKATAVEAFGEIGVLYHVPQPFTVRTTELSQILRINRTSLMNVLQANPGDAQIVMNNLLMVIKILKASKLKTWGIYCINYIYQSYTPSSMIRLKEREGFDSEYPHTDHGLVLYKLLGNTKESSSQESTNNLHGHSLMHEGENINIRDSQNNLHIVTNDVSVPNNMIPEDGKRDLHAAVLPVHKGKLDIVEILIERDAKSKNPNTIGWTQKALVQQLKNKSISDHTMYYESEKKSDEHRIEIVEPQILNFGKNGSTRNSRQDGIRTINFPLEKVYTDTNSRNSNSPSDREMARFIKKRVTIHSPSGWRSSSHGQQGKLIILPDSLEELLKIAGEKFGGFNATKVINKENAEIDDIDVIRDGDHLFLLGSDSDNLNS >RHN59153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7986401:7987412:-1 gene:gene21144 transcript:rna21144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEHPPPLPFSAPPLTAAQIAILTTPPPPFPDSSRSVDLSPLEFLLALIAIVTIPALIYTFIFAFGFSFCRRRPEQNSGELSFASDDLTNGGGASVSDFKYRKDAHVKETGGDCPVCLSVFVDGEKLRELSCCKHYFHADCIDLWLGNRSSCPICRATVAGKRRNMSAAAAPVRDNDLMQGLPDASTLV >RHN53589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2722542:2723349:-1 gene:gene28513 transcript:rna28513 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFLGFALFKLLDEGKLSQFQDLWEEFSSADAARKVVKLIAFSKFETISEATEEARFLIDGKASKGLRKFLRPHCDNETLCVTDAKLGCIIKEKLGPKIKCFHNNAVMELMRGIRYQLTELVTDLAVQDMPPMRLGLSGSLSIYKLKHSADKVYILLIV >RHN44006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:746223:752560:1 gene:gene38050 transcript:rna38050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2,3-dimethylmalate lyase MMSCSATVGRAGATATAGGGCVPHLHHFRTSFSSPFQLQSHRNSKPLRATITISCSSNKAKQLREILDSPGVHQGPACFDALSANLVQSAGFPLCFTSGFSISASRLGLPDTGYLSYGEIFDQGLLITQSVDIPVIGDADNGYGNAMNVKRTVKGYVNAGFAGIILEDQVSPKACGHTQGRKVVSREEAVMRIKAAVDARNESGSDIVIVARTDARQALSLDEALYRSRAFADAGADVVFIDALASRQEMEAFCQVSPLVPKMANMLEGGGKTPILTPLELEDIGYKIVAYPLSLIGVSIRAMQDALTAIKGGRIPPPGSMPTFEEIKDTLGFNAYYEEEKRYATSTDQLLSKRESSSVYSIQQREQVDTEQTSQTIEDPIVEVITPDVYNKYGADGSRDPFSGIWSRTLRIKITGRDGVEKLDLRIPAGFLDGITNTVPALGGVNLKKLLDDATDEIGGKLLDFNDRMGDRIQVFLE >RHN55788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23807523:23809949:-1 gene:gene31045 transcript:rna31045 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGEIWWESGGNLAVLGLGSHRPNHVGRDSWANLQRAPVLSKFLWWPNHVGRGFVGKNLHEARAKSRREGIRGQKSPARSRTIEIFVVGQISLGARARAKPRRGAEIPRARLRTRIIKVIPVWIFFSRPGLDLPLPMPSQGKVAFCNSGAKRGFAFSFLRLMTLKIFAINESRVQICQNFSLEVLMMSNMPDFWRTRFVPWMGAHLKKKLRFARFGQKIRINRNVVGFLRNFADPLDVFLLRCLQKISSKFDF >RHN49905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2167200:2178624:-1 gene:gene34134 transcript:rna34134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-binding, CRM domain-containing protein MLLPTTNFNTFFTPSSPFFFPFHFPKTPSKFTIRTSSSNSHTLPDSAIQRIADKLHSLGIITTTTGHSSSSSTTSTTTAGEIFLPLPHTLPKYRVGHTLDLSWSTPENPVPLVGAGLEKLSENEVERARLAKEKKREEKRKRVPTLAELSLTDGEILRLRELGYQMKQKIKVGKAGVTEGIVNGIHERWRRSEVVRVVCEDLCRINMKRTHDILERKTGGLVVWRSGSKIILYRGIDYKYPYFLSDEVLREEESDALQPMDSDDESIDERKTHSSEMSSATHAGQSSNIKTVKPALVQGVGTPNRVRFQLPGEAELLEEVDSLLEGLGPRFTDWWGYDPVPVDADLLPAVIPGFRPPFRLLPYGVQSKLTDDEMTTLKRLGRTLPCHFALGRNWKLQGVAAAIIKFWERCEIVNIAVKRGVQNTSNRKMAEEIKYLTGGTLLSRNKEVIVIYRGKDFLPAAVSSAIKKRWKAVKNKENAENRSAITASSHSERKHMTFIKDKETIEKPLLMKAKAAIQRTSFKLAQALEKKEKAEKLLESLEKDESLQEEEIDKESITEEERYMLRRIGLKMKPFLLLGRRGVFDGTVENMHLHWKYRELVKIICNQESVEYAHQTARTLEAESGGILVAVERVNKGYAIIVYRGKNYSRPDSLRPRTLLNKKQALKRSIEAQRREALKLHVLKLDKNINELKHQMVKDEASSEQIAKELRSDLATENSPEEASVDNQQPIQEQHIELIGSGGECQGEPESLTGLVHQERQATKNSLEEASVDNQQPIQEQHIELIDTGEECQGEPESLTGLVHQERQLDEVDDFVVDTGHSVSTNKASEGSIISFKSDPEPSAPVINENSNEFPSSLVHPKRQLDEVSDSVVDTGHSVSTNDAMEASIISFKRDPEPSAPVINKSPIEFSSRSSSLSNRERLLLRKQALRMKKIPIVPIGKSNIVAGLEEAIKNLLRRHPFVIVNVKGRAKGTSVHELVSILEEATGAVLVSQEPSKIILYRGWGAGTQRHTNSIYRGVAEDGGAKPNVSPELLEAIRIECGLQ >RHN49839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1589545:1590732:-1 gene:gene34063 transcript:rna34063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MNCSPTFYTIIKKKDVEEFKSHPYLATLLNCAFWVFYGMPFVHPNSLLVVTINGVGLVFMIVYLTIYFIYANKKGRKDMGFWLLLEAIFFAAIVLITMLVFHGTTGRSLIVGIVCDVFNILMYISPLTIMKKVITTKSVKYMPFWLSVFNFLNGLCWTTYALLHPFDLYVLISNGIGVISGTVQLILYGYYWCRGDNQIDDDKGGPAPVVTAV >RHN61414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35446290:35446550:1 gene:gene23879 transcript:rna23879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPSLIRSRVSSSKAVDVPKGYLAVYVGEKMKRFVIPISYLKQTSLQELLSQAEEQFEYEHPMGGLTIPCREEIFLDITSHFN >RHN63164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49000012:49002901:1 gene:gene25834 transcript:rna25834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L37ae MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKFFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >RHN71294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58017322:58020954:1 gene:gene19955 transcript:rna19955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MLHCLNTTTNSGNLATESCSSDITVLERQRERMKWQQQQHHQGYFNSAAMFCSSLQQQVDNSEASSLAADLLPLQMPTLDVTSSSISRTFSCPPLLPDPKLIHSSIAGKDKDNSSKKRKAEKSHHNSKLKVVVGEIEIENKDKRIKIGSEDGESKITGNPNTKKNCVAEDTSNSKENSKVSDVQKTDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQKQVEFLSMKLATVNPRLDFNIDDLFEKEVFPNCDANASFQAMGMSTGLNSNNPYLQFNSPQQFVPYGGLDAGMNPSDMGLRRSISAPVSIPQTFIDSSCFSQQILPSTIWEGDFQNLYNFNFDQARATSFPTQSQLFTGLVEANNLKIEM >RHN39306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5739687:5742733:1 gene:gene45316 transcript:rna45316 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKPTVALRAILVGGIAAFAKIAGAMKAAGGVKMGAAAAAMTAAATAAVTGSKQEQKDPSQQSTK >RHN55676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21025309:21027259:1 gene:gene30896 transcript:rna30896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MLSFCFFYFLLFLAFKKIQFSKLALFFKPVQKPKRNNVSTSMENLPHELVSNILSRLPSRELLKNKLVCKTWYNLITDSHFTNNYYSFHNQLQNQEEHLLVIRRPFISSLKTTISLHSSTFNDPKKNVCSSLLNPPEQYNSEHKYWSEIMGPCNGIYLLQGNPNVLMNASLQQFKALPESHLTDSNGIYSLTDYASFGFDPKTNDYKVIVLKDLWLKETDERQKGYWTGELYSLNSNSWKKLDAETLPLPIEICGSSSSSSSRVYTYVNNCCHWWSFVNNHDESQGMNQDFVLSFDIVNEVFRKIKVPRICESSQETFVTLAPFEESSTIGFIVNPIRGNVKHFDVWVMRDYWDEGSWIKQYSVGSIELEIDRLMGFIGSNRFLWKCNDDELVLHEHDSQKRRDIKVKDYGKYDDSFRAVVYKESLVSLQRGK >RHN74340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31965380:31972697:1 gene:gene10381 transcript:rna10381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BAH domain-containing protein MPPSQQTQRYCEEEEIVGGDFKWGNKRGIGVKNKHTQFYDSFVYDGVEYFLYDSVYFYHTDHVETSIGKLVKMYETSRAKMIRVVWFFRPSEIRNFHRGYKPCWNELFLASGKGKGLFNINLLESILGKCNVVCTSEDRRNPKPSETELKRADFLFKCTFDVDRCVIDDKFPDKIDGAEVELFFNKKGAKKTGNNLHLETNKMPQQIKKTKIKMKTYENIKDEVKTKTAPSDILRCKVEDKDELRTSENVSLKIKMTTSGNLKDEVKDEVKTKSKTAPSDIMRWNGENKDKDELRTSENVSPMKLLDSYPFKKMKIVEEKSTIGHSSNSQMKDEFDEKEEFRHDESVKPTRKVTEVTERPNAEKRKWFKKMPWEERLQTAQELGTLVLLSNLDPSYTSFEVEDLVWHALKEKVEARMIELSPTSNTYYGRALAIFRSKDAGANAISELNRRCLILEDGRVVTARMGTVSDPVKQSTFIGHLSISRAVLHKQREMRNAVSTSHCSQPNNIEYAMAIEWTHQYDKSEACWKALCEKQMKEIDDVKSKLRTDSIIFEAS >RHN64723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61275099:61283078:-1 gene:gene27572 transcript:rna27572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative homogentisate phytyltransferase MDSLLAGSFPKSFSYSFTTTGGNLWRSKRCVNNYYSIPSSCASKASRHKKIQNEYNTLRFWQPSLNHYCKGAEGRSTYQECNGKFVVKAAPEQSFESEHPAFDPKNILVAVKNSLDAFYRFSRPHTVIGTALSIISVSLLAAEKLSDISPLFFTGVLEAVVAALFMNIYIVGLNQLSDVEIDKINKPYLPLASGEYSFATGAIIVVSSSILSFWLAWIVGSWPLFWALFISFVLGTAYSINVPLLRWKRFAVLAAMCILSVRAVIVQLAFFLHMQTFVYKRPVVFSRPLIFATAFMSFFSVVIALFKDIPDIEGDKIFGIQSFSVRLGQKRVFWICVTLLELAYGVSLVVGATSSCLWSKIVTSLGHAVLASILFNHAKSVDLKSKASITSFYMFIWKLFYAEYFLIPLVR >RHN47730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42031692:42033176:-1 gene:gene42363 transcript:rna42363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKKIANSVSISLLNFPESILDGILERLSPIELIKMSEVCICLRNKCRSDHFWEIHMKQKWGRVIGHVALKEWQWHVTSITAEEGSVLNQQINNIQNGSLGSFSGAWPMLCLGSYFEECTHLKSLLLSNNFKMALYLSLESGKFWFPAQLFRGLYVHEALLSYDSKTDTFQARTRSGNWRIVGNKIHWDKLRPSLVETSSCVRHVSDCYQDLKLGDHIEIQWRGNTQTPYGEIKYDHNDSESSTHLNFYWWYAVIGHLDSCNENENYCFCQNSETLVVEFKQYPRGSSMRKTMLRRHNNGEQAENSIGNYGGIRKLRDEEEIEKWKELLDHRL >RHN76846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1752134:1763183:1 gene:gene226 transcript:rna226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MSRTTTRGKNKRLRQGDDDTGTSEILRKIYKTGVATDDDMNKLYMITKPVCSGCRVNTKDNPNCFCALVPPPSGTRKSGIWQKISDFVDSLGFDPNTELRESANSPAGLTNLGATCYANSILQCLYMNKRFREGLFSVEPDIFQQQPVLDQLARLFAQLHLSKKAFIDSSPFVKTLELDNEVQQDSHEFLTLLLSLLERCLSRSEISKARTIVQDLFRGSVSHVTTCSQCGRDSEASSKMEDFYELELNVKGLKSLDESLDDYLTVEELNGDNQYFCESCKTRVDATRSIKLRTLPDVLNFQLKRYVFLPKTTTKKKVTSPFSFPAELSMHHRLSEPSQSELIYDLSAVLIHKGTAANSGHYIAHIKDVNTGQWWEFDDELVTNLGRCPFAEEASCSASKSVKNDVNHSNFSEARVADSNGSASNVKVSQSLPMETFSSSDAYMLMYHLKHTKIFSENGGMETSANHTETVDVPITAQDNDCLPSHFCEEIQNFNASYLDTCQQYNHRKEVELSRISERREEVRSVLAEAPVQPLEQPYFWIYSDWLRQWAENVTPSAIDNTSIQCSHGKVPVSKVTSTKRLSSKAWDKLLSKYGGGPTLSHEDCCLDCLIDGARNVVSADTYRHQRESFKQLARDILDGKNEDGKYYVSRSWLQQWWKRKVVDAPSEADSGPTAAISCPHGQLMPEQATGAKRVLVPEGFWLFLYEDATSVKSDDLLVCPTFPLDSKECSECNSELSEVFCMEDSMRLLKQRQRQSHEKLFNGKSMPLSLDCTYFLVPSSWISKWRTYINPAVKNSDTPETLNVVIGALLCEKHSRLIERPPELILRRGAIIPRESSAGGLTIISENDWKCFCEEWGATETQGVSAKIEKINDSVNATAGSCEEMAICEDQLANWDKGNNESGNGQFLIKTCPEVCESCIGEKESCELVHKLNYSNEDICVILVRGKEVPRSILEASKGFVEADRRVSKRSRKIKNGSSINLKVSASTTIYQLKMMIWESFGVVKENQILQKGDITIDHIDENTTLVDVNIFAGDQIIVRDSEIHENRDIADELCNEKKDTQHTEGGFRGTLLTSNVSSQVV >RHN46640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33605913:33608142:-1 gene:gene41157 transcript:rna41157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diaminopimelate epimerase MAMHKTRALYYHARWWWKHIDNRDSSEPKISSEKVVQLCDRNFSVGADEVIFVLPGINGTDYTMRIFNSDGSEPECDALLNLFLSLRVYRGGIDKLSPNKDHAAVKSEIDVDGVIWNVTCVSMGNPHCITFNRKESQNLLVDELKLAEIGPKFEHYEMFPARTNKFVQVLSNSHLKMRVWEHGAG >RHN70968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55483367:55483756:1 gene:gene19606 transcript:rna19606 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFFGAMQLLLLLSFVACASAVSSGIRFCYGAELLWAGSSFCCLGGVLGVLLAACSSVGYYLLGGCFLGASSWLREVLVGLFLGGWAVFERAGCSLVLTQGYACVLPIVYACVNTLLVVSNCIWVVF >RHN64002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55833153:55833317:1 gene:gene26774 transcript:rna26774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aconitate hydratase MFTSTYEAITKGNPMWNELQVPAEKPYSRDPNSTCIHEPPYFKDMTMVLLDLMV >RHN74183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29991486:29991802:-1 gene:gene10195 transcript:rna10195 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPKQLWRLELPKSLLQSFCKVGYFTPFCFHHPSISKILSNSLFSLFTKMPSSK >RHN48331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46711377:46716994:1 gene:gene43037 transcript:rna43037 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVKNNTRVHADDKPFPGCLGRMVNLFDLTPSTVNANKLLTDKPHRDHHASLSRSQSDVSRTASPSFGDRIEDKPIVSDSMRASSNKKVNGTPIKMLMDQEMSKEIVSKHSPPNVVAKLMGLEALPRGEHSLAVERSPGGDCSQHMCSHSATSFNHWQLEDRFMDKEMLHEVHPSREQVAYKDIYEIWLQSQRTSNVRDKTPERRKWVEDVNEKKMALIRQKFMEAKRLSTDERLRQSKEFEETLEVLSSNNDLLIKLLDSQNLYERQSTPLAETKRITVLKPSKMVDNEKFCRKGNNSDKHFKNPLNNDAVWEKNSPGYSPASQKVDEFAVQPTRIVVLKPSSVRAHDIKDVVSPTVSSPQNPQSGSFYHDPEDDDLLESRKVAEEITQQMHEDARSYQRDETVYSSVFSTGYIGDDSSFYKSDHECTAGNFSDLEVMSPSPRHSWDFVNRCGSPYSSSSFSRASCSPESSVCREAKKRLSERWAMMASKKGFQEQRHMRRSSTLGEMLALSDVKKSLISEFEGINKEQEPNESVSCSKNFNEEIRADGSPKNLPRSKSVPLSSTVYENGLYVEACNNNATKAHDSKELTKSKSMKSSFKGKVASFLFSRNKKSIREKSCLSISTDESQSTVAETSVSPINSPEIPRNDISQSFNGGFSGECSLSTLCESSGKTLSGSVLNKQGVISLEPELTMSKPRVPWISSENQDQPSPISVLEPPFEDENAAHESLDCMKSGQLGSRVSLKSNLIDKSPPIGSIARTLSWDDSCAEVASPYQLKPSLASLDTKVEDQDLLVFVHKLLSAAGLDDQESDLFYSRWHSLESPLDPTLRDKYANLNDKEPQPLHEAKRRQRRSNQKLIFDCVNVALMEITGYGLESSLMGRLWSGGHRRLQVSEGAPSLLVDLIVSHMKELTSSGMRSVWGDCGDSNSLVVETVVRKEVVGKGWVELMGLEVDIWVKEVEGKLLEELVEDAVVDLTGRV >RHN51197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14999166:14999345:1 gene:gene35587 transcript:rna35587 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S18 MDKSKRLFLKSKQSFRRSLSPIQSGDRIDYKNMSLLYRVISHQGKILSRRVNRSTLKQQ >RHN75352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41503626:41508710:1 gene:gene11537 transcript:rna11537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MAEIHIPSKDVKSESSSGIRAKHASLPIRRKRSFFDLNEDAVDDGDDHVIVISEGPCGEISNNEISSQEGNLSSNNNSSEEGKGRGSTVRQYVRSKMPRLRWTPDLHLSFVHAVERLGGQERATPKLVLQLMNVRGLRIAHVKSHLQMYRSKKLDESGQVLSQKNRAMQGRHHIMDMYGRFNVQGHFGVENNYLHPSSPLLKQSSYNEIKAHDTSRFHESGVFNNNHVIFRSSSVWDKDFSHLFDVKDAITRNNIAAIRSSQVSQLLPESKSLSRGDDNGAKHFPWSTYSTSINYQRSSEYTMFGSEVHDKIDRMEESIHQTQVSKQSHLTTQLKRMNEKKESSNFLKLKLSRDSESDQETNTILSLSLFSSSSNSK >RHN80237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36378825:36381978:1 gene:gene4137 transcript:rna4137 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVHKIVDIAKRASENNTVINVGLGAVFAILGARSYNQQKIIEALEAEKDSLTKTNKSIRNTLWDWKQQLYAEAASDSAPVPLARLYEIYGEAAPPQQSAPGDPVRKDANPAGPAKIMI >RHN81416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45740509:45742579:-1 gene:gene5455 transcript:rna5455 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIVFGSSMISLFQKLLKKPPSSRFVAAFHPLQTPNPIIPLNQGHDLPTETLPTSPILTHQFVGSSSSTLIFPSFPFGFASKPVLENGILSHVAEDAELEDAQTLWADSVKKKRKKKMNKHKYQKLRKRMRRQT >RHN52748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38365010:38366026:-1 gene:gene37451 transcript:rna37451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK-LRK10L-1 family MPYKNLVTIYGYATHQKESILVQEYVSNGTLAAHIQGRISDSGTSLTWLNRLDIAIDIANALEYLHYNGIVHRNVKSPNIFLDINFCAKVGNLYLSKKLDIGSTHATRDLIGTSGYVDPELVSKGLLGVQNDVYSFGVVLCELLSSMLAEYYIQNEEENLATILCTKLENQTLVELMDLRLGFKSDIKITKMMTATAELAFWCLKCPQELRPNMEQVLETLHGIKQGRYEINPIKAFKIFHHAELEEATNHFDTFLGSGGFGRVYYGKKLQRYLQSLMRK >RHN81296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44639376:44639846:1 gene:gene5312 transcript:rna5312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MRQKVKLAFISNGAARKATYNKRKKGIIKKVSELSTLCGVSACAIISNPFNSQIEVWPDREGAKKVIERYHNSSAKDETKNLNQEGLIMQNIAKARDRLRKLENKKPEKKIDLLMHECMQNKNLVDNLTAEELKDLDEFIEKKLKEEDDKINAIET >RHN77108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4154340:4157738:-1 gene:gene529 transcript:rna529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thromboxane-A synthase MLFISVILNVPLASTIFILVTLMGGLVGYLYWPFWKLRKVPGPPSLPLVGHLPLLAKYGPDVFSVLAKQYGPIYRFHMGRQPLIIIADAELCKEVGIKKFKEIPNRSIPSPISASPLHQKGLFFTRNSQWSTMRNTILSVYQPSHLANLVPKMQSFIESATQNLDDTSKEDIIFSNLSLRLATDVIGDAAFGVNFGLSKPHSICESMNNVEQSSANSDEVSIFINQHIYSTTQLKMDLSGSFSIIIGLIAPILQEPIRQILKRIPGTMDWKMECTNKNLTGRLDDIVKKRMEDKSRTSKNFLSLILNTRESKSVSENVFSFDYISAVTYEHLLAGSATTSFTLSSIVYLVAGHPNVEEKLLQEIDGFGPHDKIPNAKDLNESFPYLDQVIKEAMRIYTVSPLVARETSNEVEIGGYLLPKGTWVWLALGVLAKDSRNYAEPEKFKPERFDPKCGEMKRRHPYAFIPFGIGPRACIGQKFSLQEIKLTLIHLYRKYIFRHSLNMEKPVELEYGLVLNFKHGIKLRVIKRT >RHN65286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:865617:870674:-1 gene:gene13110 transcript:rna13110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine--tRNA ligase MATAEESLRKCLSEKLSAVEIQSNTVRSLKSSSAPKPDIDAAVQALNALKLEKSSIEKSLESLLSASGSNSRKAFRKSVVNTLKRRFFYNSSFQIYGGEAGFFDYGPPGSAVKYNVLSFWRQHFMLEEKMLEVDCPCVTPEVVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDYCNQKLQEDLTLSSEKAAELKHVLAMLDGFSSQELGAKIKEYGITAPETKNPLSDPYPFNLMFQTSIGPSGSARGFMRPETAQGIFVNFRDLYHYNRDKLPFAAAQIGQAFRNEISPRQGLLRTREFTLAEIEHFVDPEDKSHPKYAKVADLEFFMFSREEQMSGQSAKKIRLGEAVSKGIVNNETLGYFIGRVYLFLTRLSIDKDRLRFRQHLANEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLLAHSEKSGVPLVAHEKFSEPKEVEKLVITPIKKELGLAFKGSQKMVVEALEAMREKEALDMKAALESKGEVEFEVCTLGKTVTINKSMVSIHTEKKKEHQRVFTPSVIEPSFGIGRIIYCLFEHTFYTRQSEAGDEQLNVFRFPSLVAPIKCTVFPLVKNQEFEEVANLISESLTAAGIWHMIDITGTSIGKRYARTDELGVPFAITVDSTTSVTIRERDCKDQVRVDVENVATVIREVTEGQRTWEDLLKLSGVLTACASQPAASDSVSSAEFEKIMG >RHN52269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33301141:33309128:-1 gene:gene36922 transcript:rna36922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperone DnaJ MSQILCSILHIQTPFSMPFITLSPPSSSPFTIATPSNLTFKTTTPFPLSSTRNRSRFATVVRAKGGKDHYSTLNVSNNASLQEIKSSYRKLALKYHPDMNKNPGAEEKFKEISAAYEVLSDDEKRSLYDRFGESGLQGENGGPTNASEVDPSDLFDAFFGRTDGLFSDEGGFGFNMRNSGNRGNDIRHDLRLSFEESVFGVKREIEISCYETCNTCDGTGAKSKNGIKKCTSCGGKGGEKKTQRTPFGMMSQVSTCSKCGGLGMIITDRCRKCDGSGLVQAKRKMDVVIPPGVSDGDTMKIRGEGNFDKRRRYTGDLFVVLHVDRKQGIWREGLNLYSKINIDFTEAILGSVKKVETVEGFKDLQIPSGIQHGHSVKLSRLGVPDMNRPSIRGDHYFVVNVLLPNDISGTERSLVEQLASLRASRQGHSWSSDDTGQRKGKFNDSMWRDKRSDASSEETETASSLWGSIKNFLRRGNSDERFASISQDTSTLMWRFGHQNYSVPHSIFVVLFITWILKSIAKSIQKRT >RHN52513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36249782:36250424:1 gene:gene37201 transcript:rna37201 gene_biotype:protein_coding transcript_biotype:protein_coding MHMDDNFLSYYNIIRYTFFFFYQQKLISSFHSSHNLECKMESNQRLGDELVILTP >RHN66897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21111756:21112943:-1 gene:gene15013 transcript:rna15013 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQHHPQLINWAVRHRNKMLMSEAFRKLPRLIMTNVKTLRMYFLMLPHNPFDFEVKLSSLSTISVSLNHNAFIQLSSIIEEQFPEDDDFRDSKSKPSPTNSIPLPLTSQTLPLPFEGEVNVLNPSEIVENSSSPCIVKWELEQLVSESYLDYKNLSLLTDFFVKYPSVLLRDTSLSTRYSVMHSVLSYYNSSKPIVFGFDKDWLDSVERRALFSDIKVSQDALQKLLDSNQQVSKEVEVLRLKIDILSQHVEDLKHQLTTSETVLKSIIQEEAQVLETKATFSAPLGY >RHN38929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2863174:2867183:1 gene:gene44906 transcript:rna44906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEQIPYGVATSLVNRLASAAFREFGRIYGVMDELERLKNTIEVIRAVLLDAEEKQEKSPAVQVWVRRLKDVLLPADDLIDEFLIEDMIHKRDKAHKNKVTQVIHSFLPSRTAFRRKMAHEIEKIQRSFKDVEEDMSYLKLNNVVVVAKTNNVRRETCSYVLESEIIGREEDQNTIISLLRQSHEHQNVSLVAIVGIGGLGKTALAQLVYKDGEVKNLFEKHMWVCVSDNFDFKTILKNMVASLTKDDVVNKTLQELQSMLQVNLTGQRYLLVLDDVWNECFEKWDQLRPYLMCGAQGSKVVMTTCSKIVADRMGVSDQHVLRGLTPEKSWVLFKNIVFGDVTVGVNQPLESIGKKIAEKCKGVPLAIRSLGGILRSESKESEWINVLQGECWKLCDGENSIMPVLKLSYQNLSPQQRQCFAYCSLFPQDWEFEKDELIQMWMAQGYLGCSVENQCMEDVGNQFVNIFLKNSFFQDANFNDDGDVTGFKMHDLMHDLATQVAGNDCCYLDSSKANKCLGRPVHVLVKHDALCLLESLDSSRLRTLIVMNYNHYMLPRPKLSVIRNFKYLRFLKMQISSSQRAGFIEKLKHLRHLDLRNYESGESLSKSICNFVCLQTIKLKDFVVDSPEVVSKLINLRHLKIYNGTFKDKTPSGFRKLSIQQPKGLSLSNWLSPLTNIIEISLSYCRGFQHLPPLERLPFLKSLELRFPYELEYIYYEEPILHESFFPSLEILAFYGCDKLKGWRRMGDDLNDINSSHHLLLRHFPYLSQLVIYRSKMLTLMPTFPNIKRLSMESCSTKILEATLNVEESQYSNGFPPLSMLKSLKIDGTSMENVPKDWLKNLTSLENICFSLSSQQFEVIEMWFKDDLIYLPSLQTINFTYCGFKALPDWICKISSLQHLKMFRCKLVDLPEGMSRLTNLHTLEIIGCSILDTNEFLTETGALWSKIAHIPKIIKRNYFVAGEYECTSNTYPDGILYF >RHN75321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41265964:41270243:1 gene:gene11503 transcript:rna11503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MEHHPSSSSSSSSSAAPTTPSEIVSRFRLRVLSLRARRSPPPDVCQKMQHPPSSSAALKSRRRTRRRSRVLQPSDFNDIKDPTKKDRITKCATLAIRDYNSQTQNDYQLVVVDTFTSQFVNGFLYCITFQASNADKEYATFEAIVFGYGKREFDLRKVIRIRIKGTSTWYNGTLMQLEDDAPIVMDVKDHKRDVYGLIKSAQQLRMPDVGSVMIKQDEGEETAGALPDKTR >RHN78006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11203997:11205795:-1 gene:gene1520 transcript:rna1520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MNFFSCTLVLVLTCAFIHVFCLLLKRTTKPTYKLPPGPSPLPIIGNLLELGQKPHKSLAKLAKIYGPLMSLKLGQITTVVISSSTMAKQVLLTNDKFLSNRTVPQSVSVLNHDQYSLAFTPISPLWKELRKICNTQLLSHKSLDASQDVRRMKIRQLLSDIHQSSQIGEAIDIGTIVFKTTINLLSNTIFSVDLIQSNGAAGEFKDLATDITKLAGTPNVADFFPVLKMLDPQGLKRRQTKNVTKVLDIFGDLINQRLEKRECTRVDTCKDMLDAMLNISKDNEFMDKNMIQHLSLDIFVAGTDTTTSTLEWAMTELINNPEAMRKAKKELEETIGCGVPLEESNISDLPYLHAIIKETLRKHPPVPFLLPRKAERDVEICGYTIPKDAQVLVNMWTICKDPTLWENPTLFSPERFMGSDIDVKGRNYEVAPFGGGRRICPGLQLANRMLMLMLGSLINSFDWELEGGMKPEDMNMDDKFGITLQKAQPLRIVPLKLSN >RHN64914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62934708:62937953:-1 gene:gene27791 transcript:rna27791 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNQVNKSNDGGPIPNFSIGLTQLEQEQASDEDKGKKKGKKMEKRVKKTKELEPLPSFSIGLTQMEEEGRNEEAKSSDVENEENAKQRLRHKMSIPKVYDLMNSVNGKQRKDEIINVLNESGFGGMVHICNWNRVHTFFVDWMVKNFDKENMWIALSKTEVLPLKEEDVHRVYELPMVGKQINLDLCSVEAIKRLRIELGLNGNYSASVRVTNLERILKTQENPKAWVKGAICFIIHKIRCMEY >RHN66003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7451673:7453616:1 gene:gene13912 transcript:rna13912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MWHVSKGGDEINSVLRLSYHNLPSNLKRCFAYCSIFPKGYEFEKDELIKLWMAEGLLKCCGRDKSEEELGNEFLDDLESISFFQEPLYSWGDKSFVMHDLVNDLAKSESNEFCLQIEGDSLQDISERTRHVCCYLDLEDDVGILNHISKIKGLRSLLVLPRDYGEECFMISNNLQRDLFSKLKYLRMLSFYGCELRELSGEIGNLKLLRYLNLTKSLIERLPDSICKLYKLETLILERCSELTILPSKFYKLVSLRHLNLKGCNIKKMPKQMGSLNHLQTLSHFVVGEENGSNIQELGNLNRLQGELCISGMEHVIDPVDAAGANLKDKKHVEELNMEWSYKFNTNGRESDVFEALQPNSSLKRLIISHYKGNRFANWMRGCDLPNLVSIRLTHCALCSELPPLGQLPSLKEISISGCDKIRIIGKEFYGNNSTNVPFRSLEILHFDSMSEWEEWSRLEGFPLLKELSIRKCPKLKRALPQHLPSLQKLEINDCKMLEASIPKCDNMIELDIQKCDRILVNELPTSLKRLFLCDNQYTEFSVDQNLINVLFLEELKLDFRGCVNCASLDLRCFNSLSYLSIKGWGSSSLPFSLHLFTKLHYLYLHGCPKLESLPMGGLPFNLRGLSIYNCPKLIGSREEWGLFNSIP >RHN51730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23667387:23669154:1 gene:gene36239 transcript:rna36239 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLKRVGVGEKMKGFVYTLQGIFDNEGAFKNIKTLKEFDDRNSMTNILWWICSRG >RHN71319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58210211:58212517:-1 gene:gene19986 transcript:rna19986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MEITNMSQALQLHAQFIKSQNQRNFSKLFTFAAQSPSGDLNYARLLLNTNPSLNSYYYNTIIRAYSHTSNPTHHFQALSLFIFMLQPHTNVPKPDTFTYSFALKSCGRLKLTQQAKQLHGFINKMGFGFDLYIQNALIHMYSEIGELVIARQVFDRMSHRDVVSWTSMIAGFVNHHLTVEAIQLFQRMLEVGVDVNEATVISVLRGCADSGALSVGRKVHGIVKEKGIDFKANVCTALIHMYSKCGCLESAREVFDDVLDRDVFVWTAMIYGLACHGMCKEAIELFLEMETCNVKPDERTIMVVLSAYRNAGLVREGYMFFNDVQKRYSMKPNIKHFGCMVDLLAKGGCLEEAEDFINAMPMKPDAVIWRTLIWACKVHADTERAERLMKHLELQGMSAHDSGSYILASNVYASTGKWCDKAEVRELMNKKGLVKPPGSSRIEVDGVVHEFVMGDYDHPDTEKIFIKLDQMVDKLRKEGYNPKVSEVMLEMDDEEKAIQLLHHSEKLALAYGLIRTCPGSKIRIVKNLRSCEDCHEFMKLISKVYQRDIIVRDRIRFHHFKNGDCSCKDYW >RHN61666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37292765:37293107:1 gene:gene24154 transcript:rna24154 gene_biotype:protein_coding transcript_biotype:protein_coding MYINVFATTFNTNINPTKAKIFDCERREGDIYLRDINAKITEEEYEPAWNNAKVVSYEDGLSLLYCLDWPNDVAQFFI >RHN82575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54891303:54891798:-1 gene:gene6748 transcript:rna6748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (pseudouridine(54)-N(1))-methyltransferase MNPDDYRPDIVHQALLAILSSALCLAGRVSAIFIRTGEGILIKVDPQTRIPKTFGNFCNMMGKLSFSSTHEMYYHSWL >RHN79475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29334044:29340097:1 gene:gene3269 transcript:rna3269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative digalactosyldiacylglycerol synthase MASHSHSQPSNTFTSISHRWREDLRLIRDRANSFTTRNLPSTTFDDVDFVKNLRPKLSEFPKRTSIRMNLSAIRDAIVSEVEEDMDGILGNNKRFNCSEFWKGDEQQWCKDWEPIRVFKKQFKKNTQFLDKLKLSLKSMCNETEDSKEVRPLDVSELLAYFVRQSGPFLDQLGVKRDICNKIVEGLYGRRKNQRQLHSFSGGESSVLGDGNLNDELDLRIASVLQSTGHRYDGGFWTDHHAKHDLSDNQRHVAIVTTASLPWMTGTSVNPLFRAAYLSQSLKQKVTLLIPWLCRSDQEQVYPNNLTFLSPEEQEVYIRNWLEERIGFEAEFKISFYPAKFSKERRSIMAAGDASKYIPARDADIAILEEPEHLNWYHHGKCWTKKFNHVVGIVHTNYLEYIKREKNGALQAFFVKHINNWVTRAYCHKVLRLSAATQDLPKSVICNVHGVSPKFLKIGEEIDADRELGQKPFTKGAYFLGKMVWAKGYKELIHLLAKHKNDLDGFKIDVFGNGEDANEVQSTAKTLDLNINFQKGRDHAEDSLHGYKVFINPSVSDVLCTATAEALAMGKFVVCADHPSNEFFRSFPNCLTYNTSEEFVAKVKEALENEPRLLTPEQRYQLSWDAATQRFMKYSELDRVVNIEKGATKSNKNNGKLIANSLSVPSLTELVDGGLAFAHNCLTGNEFLRLCTGAIPGTRDYNKQHCEDLQLLPPQTPSLKPRTTRLRRESCNQVI >RHN40304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15120197:15123976:-1 gene:gene46447 transcript:rna46447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP-dependent synthetase/ligase, AMP-binding enzyme domain-containing protein MEHDGNRNSRINPTSGFCSSNSIFYSKRKPLLLPPNQSLDATTFISSRAHHGHTAFIDASTGHHFTYQQLWRAVDAVSSSLSNMGIKKGDVILLLSPNSIYFPVVCLSVMSLGAIITTTNPLNTVHEIAKQIADSKPVLAFTTSPLVSKINAASPTLPIILMEADGNSTSSNTLEEMMKKEGQSYDDTWTKSSGPARRPKREQVNQDDTATLLYSSGTTGPSKGVVSSHRNLIAMVQIVCARFNHEEYERGNTFICTIPMFHIYGLAMFAGLLSLGSTIVVLSKFEMHDMLSSIEKFKVTFLPLVPPIFVAMLNNADAIKRKYDLSSLHTVLCGGAPLSKEVTEGFVDKYPNVAILQGYGLTESFGAGASTDSLEESRKYGTAGLLSSSIEAIIVDTETAKLLPVNQTVELWLRGPTTMQGYLNNEEATKSTLTAEGWLRTGDLCYIDSDGFLFVVDRLKELIKYKGYQVPPAELEALLLTHPAILDAAVIPYPDKEAGQYPMAYVVRKDGSNISESQVMEFVAGQNSKSCIHTFHT >RHN73122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13608587:13610171:1 gene:gene8905 transcript:rna8905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain, Bet v I type allergen MGVFTFNDEHVSTVAPAKLYKALAKDADEIVPKVISAAQSVEIVEGNGGPGTIKKLSMSEGGKTDYVLHKLEAVDEANLGYNYSIVGGTGLDESLEKVEFETSIVAGSDGGSIVKISVKYHTKGDATLSDAVRDETKTKGTGLIKAIEGYVLANPNY >RHN66641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15489235:15489777:1 gene:gene14678 transcript:rna14678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MNQIFKFVYALIIFFSLFLVVTNAGLFRCKVDIDCPQILCFEKQIAKCIDRMCECVNCQVH >RHN48345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46795817:46796444:-1 gene:gene43053 transcript:rna43053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >RHN51886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27699526:27699990:1 gene:gene36445 transcript:rna36445 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIVADSLWEHVQVNHMDTSFFQPFHGNRSKTHASSSATAAAAYTSKPLQQQDDNDIKKKVLMKADETVTLENDGFHFREGALVEVCSDEKGLRGVWFYFILPPSLVIRPF >RHN78192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12599544:12603795:-1 gene:gene1722 transcript:rna1722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitotic spindle checkpoint protein Bub1/Mad3 MAEIETQKIDPETEFLASKRETGTEWETFKENVRPLKRGRNVNILNHALKCHTDNYLKKSLIQQRRKLIEAIDDYKGEDPLLPWLECMKWVQEAFPPGGDSSGLVVIYEQCVRAFWHSERYKEDLRYLKVWLEYAENCFDKEVIYSFLDANEIGKTHSDFYISYALHLESKNKFKAANQTFELGINRNAQPIEKLKAAYRKFLVRSMSRTKPIDDPVEKEAPVRSFGTLLAKGDNGAPLAPLSSNRSSKPLAPLNSNLSVKNDRTRAAPLSIYKDSNANAETCPPQPDPSHSWLKLGGRAERNKENNAIPAKWKSFKVPQRPGTRATVVGASACIPVFVDEEYQGSLNPKAEGKSSNLKTRQDDEKDLKRETELLRKDPLRNFPHSSLPR >RHN73935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21791197:21791748:1 gene:gene9835 transcript:rna9835 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFQLIVTYSGWLMCGKKRVYCHLPERVKWQFSFVHDIPKHPSDVAEIPKEMLAPVLIDPIAGFYLDWRQRCGRPWQHEPGYMAWYAKVTHRQIIPPDEGSPPRLANVEQIIEEEHGREIPDTLTIIRDVVQVADNVVAMQEEMTKEELVQEMIRIGSTGRPALTYRIVRHLRGQRHRRQQS >RHN52749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38378343:38382348:1 gene:gene37452 transcript:rna37452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MSHPQMPSPHHLLPFSFIINICLLLSLFLIPIHVTSDVESFKSCAPFSCGNFTNISYPFWSINNQQNYCGHPNFKLDCEHGNLTIEIKSQKFHIIDINQTSQVLRIARLDLWSYDSATIASCPKKYINVTLDSEFFNYTSNYEKYTLLYECGSLPDPYSSSLGSEVSQFISCLIEDKPRDAYLMSSAKVADFIDLGCKNNITIPGLNSSFLEDSDSVANVLDEGFEVKWSGVEEDICDGCMKSGGRCGYNTTENVVLCLCPNQQSYGDCGFCRPNLTTIVLPDDPGCKRTRSFKSVIPPPLAAPSNGQIPYDGQIPLNGLTPAGGPNSKESSNDSGQWNWKRKFVIAGVGSAAMVALLMCIIICCYRGKSSILVKFWFKTKNDINIEAFLKDHGVLLQKRYKFSEIKKITNSFKIKVGQGGFGAVYKGKLFNGSLVAVKILNASKGNGEDFINEVASITRTSHVNVVNLLGFCFEGCNKALIYEFMSNGSLDKFIYNKEPETIASLSWDNMHQIAKGIARGLEYLHRGCATRILHFDIKPHNILLDENFCPKISDFGLAKLCLKKDSIVSMSDQRGTMGYVAPEVWNRHFGGVSHKSDVYSYGMMLLEMVGGRKNINADASHTSEIYFPHWVYNRLELGSYLRPDGVMDTEEDEIAKRMTIVSLWCIQTFPNGRPTMSKVVEMLEVDINSLEIPPKPLLSSPTRSVSESCTS >RHN56482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31184243:31190276:-1 gene:gene31890 transcript:rna31890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MANALLGVVFENLMSLLQNEFSTISGIKSKAEKLSTTLDLIKAVLEDAEKKQVTDRSIKVWLQQLKDVVYVLDDILDECSIKSGQLRGSISFKPNNIMFRLEIGNRLKEITRRLDDIADSKNKFFLREGTIVKESSNEVAEWRQTSSIIVEPKVFGREDDKEKIVEFLLTQARDSDFLSVYPIVGLGGIGKTTLVQLVYNDVRVSGNFDKNIWVCVSETFSVKRICCSIIESITREKCADFELDVMERKVQEVLQGKKYLLVLDDLWNKTQQLESGLTHDKWNHLKSVLSCGSKGSSILVSTRDKVVATIVGTCQAHSLSGISDSECWLLFKEYAFGYYREEHTKLMEIGKEIVKKCNGLPLAAKALGGLMSSRNEEKEWLDIKDSELWALSQENSILLALRLSYFYLTPTLKQCFSFCAIFPKDRKILKEELIQLWMANEFISSMGNLDVEDVGNMVWKELYQKSFFQDGKMDEYSGDISFKMHDLVHDLAQSIMGQECMHLENKNMTSLSKSTHHIVVDYKVLSFDENAFKKVESLRTLLSYSYQKKHDNFPAYLSLRVLCASFIRMPSLGSLIHLRYLGLRFLDIKKLPDSIYNLKKLEILKIKYCDKLSWLPKRLACLQNLRHIVIEECRSLSSMFPNIGKLTCLRTLSVYIVSLEKGNSLTELRDLKLGGKLSIEGLNNVGSLSEAEAANLMGKKDLHQLCLSWISQQESIISAEQVLEELQPHSNLKCLTINYYEGLSLPSWIIILSNLISLKLEDCNKIVRLPLLGKLPSLKKLELSYMDNLKYLDDDESQDGMEVRIFPSLEELVLYKLPNIEGLLKVERGEMFPCLSSLDIWKCPKIGLPCLPSLKDLVADPCNNELLRSISTFCGLTQLALSDGEGITSFPEGMFKNLTSLLSLFVYCFSQLESLPEQNWEGLQSLRILRIWNCEGLRCLPEGIRHLTSLELLAIEGCPTLEERCKEGTGEDWDKIAHIPIIQFTED >RHN71336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58352626:58357112:1 gene:gene20006 transcript:rna20006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MSSVSRSRSRSRSRSPMDRSRSRSPVDRRIRSERFSHREAPYRRDSRRGFSQDNLCKNCKRPGHYVRECPNVAVCHNCSLPGHIASECSTKSLCWNCKEPGHMASSCPNEGICHTCGKAGHRARECTVPQKPPGDLRLCNNCYKQGHIAVECTNEKACNNCRKTGHLARDCPNDPICNLCNISGHVARQCPKSNVIGDRGGGGSLRGGYRDGGFRDVVCRSCQQFGHMSRDCMGGPLMICQNCGGRGHQAYECPSGRFVDRYPSRRY >RHN64435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59070484:59070813:1 gene:gene27251 transcript:rna27251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S49, ClpP/crotonase-like domain-containing protein MKGIENSYKSFLDNVALSRSLSAYHTKQIAERRIWTGKEALSNGLIDAYGGLSHAIYIAKVKAKIPADTLVRLEDLSRHDQSVFEALRRHIIQFAVFVFEMFEELYRKM >RHN59562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12002774:12004928:1 gene:gene21608 transcript:rna21608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MDFKSNGSEETQLYHAQIHLYKHVYSFISSMALKSAVELGIVDAIHKHGKPMTVPELASSLKLHPSKVSVLYRFLRLLTHDGFFAKTTVKEETAYALTPPSKLLINGESTCFAPLVKGILHPCSLDMWHSSKKWFSEEKDLALYESAMGETFFNFLNKDSESDKLGLFQGAMAADSQMFKLALKDCSHVFEGLESLVDVAGGTGVVSKLIHEEFPHIKCTVLDQPQVVANLSGTQNLNFVGGDMFKSIPPADAVLLKWVLHDWDDELSLRILKNCKEAISGKGKKGKIIIIDVSIDETSDDHELTELQLHFDMVMMTLHNGKEREKKEWKKLIYDAGFSSYKITPICGFKSLIEVYP >RHN53328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1002806:1005491:1 gene:gene28224 transcript:rna28224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MIVLSLPPIPMATTTTTLHQSSSPLLLPTTTQKPKNSSLQTCKTLIELKQLHCNMLKKGVFNINKLIAACVQMGTHESLNYALNAFKEDEGTKCSLYTCNTLIRGYAASGLCKEAIFIYLHMIIVMGIVPDNFTFPFLLSACSKIMAFSEGVQVHGVVVKMGLVKDLFVANSLIHFYAACGKVDLGRKVFDEMLERNVVSWTSLINGYSVVNMAKEAVCLFFEMVEVGVEPNPVTMVCAISACAKLKDLELGKKVCNLMTELGVKSNTLVVNALLDMYMKCGDMYAVREIFDEFSDKNLVMYNTIMSNYVQHGLAGEVLVVLDEMLQKGQRPDKVTMLSTIAACAQLGDLSVGKSSHAYVFRNGLERLDNISNAIIDMYMKCGKREAACKVFDSMSNKTVVTWNSLIAGLVRDGELELALRIFGEMPESNLVSWNTMIGAMVQASMFEEAIDLLREMQNQGIKGDRVTMVGIASACGYLGALDLAKWIYTYIEKNDIHIDMQLGTALVDMFSRCGDPLNAMRVFENMEKRDVSAWTAAIRVKAVEGNAKGAIELFDEMLKQDVKADDFVFVALLTAFSHGGYVDQGRQLFWAMEKIHGVSPQIVHYGCMVDLLGRAGLLEEAFDLMKSMPIKPNDVIWGSFLAACRKHKNVEFANYADEKITQLAPEKVGIHVLLSNIYASAGKWNDVARVRLQMKEKGFQKVAGSSSIEVHGLIREFTSGDESHTENAQIGLMLQEINCRISQVGYVPDTTNVLVDVDEQEKEHLLSRHSEKLAMAYGLINTGKGIPIRVVKNLRMCSDCHSFAKLVSKLYGREITVRDNNRYHFFKEGFCSCRDFW >RHN57081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36314380:36314627:1 gene:gene32576 transcript:rna32576 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGGTSLNELDLGLRILWQSIESPFVVCTICRDRGNFDDADEACEFSNATSQYVEEFTDVEA >RHN80535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38860913:38861984:1 gene:gene4473 transcript:rna4473 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MPNLLSLSIISNAYEGESLHFHDGGCQNLKELYIGDLRNMNSIVIDKGALHSLKKFELFGMPNLVPSGIQHLEKLEVLNFWAVPLAEFNLSQPNQFKTLRINEIEITRHPFFRKGFWFHG >RHN63306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50257516:50262110:-1 gene:gene25992 transcript:rna25992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arginine--tRNA ligase MFIELDCPSSVKKQLAELFRESLKATVPSEPDVVPLVEACVANKNGVKHADYQCNNAMSLFPIIKEKQPEFRNPRSLGEGIAKNLPPSEMVESCFVAGSGYVNIVLSKKWIAQSLQKMLTSGIHSWAPRLPVKRILVDFSSPNIAKEMHVGHLRSTIIGDTIARMLEFCQPECLIRRNHIGDWGTQEFYKTSKSKYDSDPDFKTKARQSVVKLQRGDPKYREAWHKICEVSRAEYNKVYRRLGVHLEEKPESFYNPYIPPTLKKLEKLGLIEDSDGARVIFVEGVDRPLIARKSDGGYNYFSTDLASLWYRLNIEKIDWNIYVTDVGQWQHFDMLFKAFRRAGCRDGSINVGVGVVSWTNISNLFKNLFKYTPCRWLPKDANEYPICTHVGFGLVCGDDGKRFRSRSSETVRLVDLLDEAKSRCKDTLLERDNAKGWTEEEIDKTAEAIGYGAVK >RHN54336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8826769:8827079:1 gene:gene29352 transcript:rna29352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MGPSRRTPGLCLGLALLGRLGETKDVAPLVGFLASNAGEWVNAMADAATFAVVDLSKTFTITVMAIFF >RHN43659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47524483:47528112:-1 gene:gene50269 transcript:rna50269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MSVPVEQGYGEVPSMETKERSIGLNLKATELRLGLPGSESPERENGGVLKSLVSGAKRGFSDAITDGGSGKWVLSGNGGSEVGLCKDGNLFSPKAKGVGECNNQQNPFSASVVVKETVTHSPKPLHDNKPQVSPPSSKAQVVGWPPIRSFRKNSMVSQPQKNDADAEAKSECLYVKVSMEGAPYLRKVDLNGFSSYRELSSALEKMFSCFTISQCGSYGVSCREKNLSESRLVDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTESCKRLRIMKSSEAIGLAPRAMEKCKSRN >RHN42390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37951651:37954333:1 gene:gene48827 transcript:rna48827 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DELLA2 MKREHKLEHEDMSSGSGKSGVCWEDDGGGMDELLAVVGYKVKSSDMAEVAQKLEQLEQAMMGNNFHDHDESTIAQHLSNDTVHYNPSDISNWLQTMLSNFDPQPNNPSVNSDDNDLNAIPGKAIYAADEFTSRKRVKRNESVTVTTESTTTRPIMVVETQEKGIRLVHSLMACAEAVEQNNLKMAEALVKQIGYLAVSQEGAMRKVATYFAEGLARRIYGVFPQHSVSDSLQIHFYETCPNLKFAHFTANQAILEAFQGKSSVHVIDFSINQGMQWPALMQALALRPGGPPAFRLTGIGPPASDNSDHLQQVGWRLAQFAQTIHVQFEYRGFVANSLADLDASMLELRSPETESVAVNSVFELHKLNARPGALEKVFSVIRQIRPEIVTVVEQEANHNGPAFLDRFTESLHYYSTLFDSLEGSSVEPQDKAMSEVYLGKQICNVVACEGTDRVERHETLNQWRNRFNSAGFSPVHLGSNAFKQASMLLALFAGGDGYKVEENDGCLMLGWHTRPLIATSAWKLAAANSVVVSH >RHN80627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39568920:39576962:-1 gene:gene4573 transcript:rna4573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MTTNTRAIKALEAMKDLGISEDEVRPVLLKLYKLYDKKWELIEDDNYRTLIDAYFESKEDKAYFESKEDKQEEHKRKAPASCHDGEKPKQKLHLVDIDNQVLSADNSRQVLSVEDTGIPSKTFKQETMKPSQISRQDMKLTTNSQAFMAARDRKIYPGAASSEGRCKDPIDGPCHRSIQRKNMSRGNDKPKSHPGSVSTSCNGSLNASNGNLTVKSLSRGNDKPKFYPGSVSTSCNGSLNASNGNLTVKSLSDVYQNTHKIEATPTCNNNTRTSKGNIDIGSSSPLGEVKSSLDCDAALRTGLNLSRNGSRQTLSEDKKKSFRYVEDITKGSENIKISLLDETNSEDFPKFNYIPCNTLYQSANVNISLARIADEDCCSDCLGDCLSLSVPCACSQETGGEFAYTSQGLLSEKFLTDCMSMVKEPQHHHYVFCKECPIERTKNETKPESCKGHLVRKFIKECWRKCGCDMQCGNRVVQRGLSRKLQVFLTQEGKGWGVRTLEDLPKGSFVCEYAGEILTNSELYDRIVYSTGNDRHTYPVTLDADWGSEVGLQDEEALCLDATNNGNVARFINHRCSDANLIDIPVEVETPDRHYYHLALFTNKDVSAYEELTWDYGIDFDDHTHPIEAFQCCCGSAFCRDRKQKGIRLAKTKKVKHTHTKK >RHN81307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44722904:44723305:1 gene:gene5325 transcript:rna5325 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLILAICDTKKNYFLLHDFRLSSITIREVFPRLFAMSLQKSYCSIYEMRTWWRGLGVGI >RHN54368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9035452:9036832:-1 gene:gene29388 transcript:rna29388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MEEVWKDINLSSLNDQNTRPMIMSTRNSTFGGGVILQDFLTRPLTLDPTKSLDYSSNNSSSSVASDQNNNNASFYCPISTTPPPLVTALSLNSRPDFLYDPLIRHNKHNNSQLLLQQQQHNIGVSNVSPCFVNASPCDQNVGVPASSSFTCFGKRFGEAPDISPGERRNKRMIKNRESAARSRARKQEKITSFLFSKFSAYTNELEQKVQLLQEENARLRRQQQELWEAESGGQQKKKSSLYRTSSF >RHN54612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10762022:10765513:-1 gene:gene29680 transcript:rna29680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MKSFSLVSSTLLYLHLLFLFTLNLMQFGTNKMVAVAQLGNQSDHLALLKFKESISSDPYKALESWNSSIHFCKWYGITCNPMHQRVIELDLGSYRLQGRLSPHVGNLTFLIKLKLENNTFYGEIPQELGQLLQLQQLFLTNNSFAGEIPTNLTYCSNLKVITLAGNKLIGKIPIEIGYLKKLQSLSVWNNNLTGGISSSIGNLSSLMLFSVPSNNLEGDIPQEICRLKNLRGLYMGVNYLSGMVPSCIYNMSLLTELSLVMNNFNGSLPFNMFHNLPNLIIFEFGVNQFTGPIPISIANASALQSLDLGDQNNLVGQVPNLGKLQDLQRLNLQSNNLGNNSAIDLMFLRYLTNCTKLKLFSIAGNNFGGNFPNSIGNLSAELKQLYIGENQISGKIPAELGHLVGLILLAMNFNHFEGIIPTTFGKFQKMQVLILSGNKLSGDIPPFIGNLSQLFDLELNFNMFQGNIPPTIGNCQNLQVLDLSYNKFNGSIPLEVFSLSSLSNLLDLSHNTLSGSIPREVGMLKNIDMLDLSENRLSGDIPRTIGECTTLEYLQLQGNSFSGTIPSSMASLKGLQSLDLSRNQLSGSIPDVMKSISGLEYLNVSFNLLEGEVPTNGVFGNVSQIEVIGNKKLCGGISELHLPSCPIKDSKHAKKHNFKLIAVIVSVISFLLILSFVISICWMRKRNQNPSFDSPTIDQLAKVSYQDLHRGTDGFSERNLIGSGSFGSVYKGNLVTEDNVVAVKVLNLKKKGAHKSFIVECNALKNIRHRNLVKILTCCSSTDYKGQTFKALVFDYMKNGSLEQWLHLEILNADHPRTLDLGHRLNIMNDVATALHYLHQECEQLVLHCDLKPSNVLLDDDMVAHVSDFGIARLVSAIDDTSHKETSTIGIKGTVGYAPPEYGMGSEVSTSGDMYSFGILMLEILTGRRPTDEVFQDGQNLHNFVATSFPGNIIEILDPHLEARDVEVTIQDGNRAILVPGVEESLVSLFRIGLICSMESPKERMNIMDVNQELNTIRKAFLAGEINSFIYMLL >RHN74583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34890926:34898162:1 gene:gene10677 transcript:rna10677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HORMA domain-containing protein MERRENQTPQGQIGRVLVEFLEVAITSVVFLKGIYPQGAFERARYMNVVVQRACHPQLRYYIHATVSGLLPFIQKGMVERVAVIFFNADNVPLEKFVFKLAMNLSYGSAVEEVDLQLSLRSFMSKLSISESLTKKLPPDCRWEITAYFRSIPEAGTSKEADLWIPTDTKQWQQPPLITPIKSMSSEPLCLQLYLEHPFLSESLL >RHN59621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12544683:12544889:1 gene:gene21679 transcript:rna21679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A1 MFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWAFFLARIIAVG >RHN39449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7219758:7232678:1 gene:gene45473 transcript:rna45473 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin MKIIHIVFLFSFLSFTTSQASVNDFCVADLKAPDTPSGYHCKPLANITSDDFVFHGFKAGNTNNSFNAALTSAFVTDFPGLNGLGISAARLDIAENGSIPMHTHPGATELLIIVQGEITAGFLTPTAVYSKTLKPGDLMVFPQGMLHFQINTGKGKATAFLTFSSANPGAQLLDLLLFSNNLPSQLVAQTTFLDLAQVQKLKARFGGRG >RHN56089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27572835:27576488:1 gene:gene31423 transcript:rna31423 gene_biotype:protein_coding transcript_biotype:protein_coding MESSIWEYSVILFLRPLFAIAFVLSLISLGWLLAWKLVLVHVPLVQEIFGLKKKPVRSKPQTGRLSKIYSNLNNPPISSSSSS >RHN59423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10577387:10580160:-1 gene:gene21452 transcript:rna21452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative saposin MYIFTLERNSLCLNIVSVLKYKCKIIHLSTYLSYAELNRKPDACSICEEYTTEILDYLKDNKTQAKIIDDLHNTCHQLPAFSEQCFELVDHHVQLFFSKIARMMPAELCEKYHLCESATISSQVHGNSCGFCKDTVAELLVELNDPETKLEIIQKLLKACNNMEKYKKECKRMVFEYGPLILVNAEKYLKKADICTTLHACPSSTIVSQEATVTEETALFSDS >RHN79795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32267320:32271175:1 gene:gene3633 transcript:rna3633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MNIFMDSRDNNNNNNSSEEVERCLDPQLWHACAGGMVQMPTVNSKVYYFPQGHAEHACGPVNFMNCPKVPPLIPCKVLSIKYMADPETDEVYAKLKLVPLSGNGNGNGNDAADYDTVGGNENQDKPVSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYAADPPLQNILAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNQKKLLAGDSIVFLRAENGDLCVGIRRAKKGIGGGHEDPLPLGWNPVGENFPLPWFTQNENQMGRNINNNNGLNSGANMTGREKVRPEAVLQAVTLAANRQPFEVIYYPRASTPEFCVKAPLVEAAMQIRWCSGIRFKMAFETEDSSRISWFMGIVSGVQFVDPLLWPNSPWRLLQVKWDEPDLLQNVRRVSPWLVELVANIPSINLSPFSQPRKKLRLSPHHDFPLDGQISVPSFPSNLLGQSNLFRCLPESTPAGMQGARHAHYGLPISDLHLSKVQAGLFPAGFVPFDHAAKLPSVSNNLMMQKSSMSENVSCLLSMASSTQSSKKFDDEKKPQLVLFGQKILTEQQISNDRSSDGNTNKMGNFSDGSGTPHHQQVLQKRSSCESFQWHRDNHEETEASLESTDHCKVFLESEDVGRTIDLSLLGSYDELYRKLADMFGIEKYEKVSRVLYRDISGAVKHIGEEPFSNFTRTARRLTILMDSSK >RHN74996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38581882:38582070:1 gene:gene11141 transcript:rna11141 gene_biotype:protein_coding transcript_biotype:protein_coding MFANIDFSGSHCLDLHRSQESDPDWLVDQQKKEVMIIQGWIANYSNDLGVPDGQGQVHRGQP >RHN82466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53990372:53992730:-1 gene:gene6634 transcript:rna6634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MARIFFNFILFIFFWVSPLAHSRNIPHNAKTTILDVVSSIQKTYQVLNFNQNLKQQQQQKSPFTSSTSTLSLQLHSRASLSSHADYKSLTLSRLDRDSARVKYITTKLNQNFNTDKLSGPIISGTSQGSGEYFSRIGIGEPPSQAYMVLDTGSDISWVQCAPCADCYRQADPIFEPTASASYAPLSCEAAQCRYLDQSQCRNGNCLYQVSYGDGSYTVGDFVTETVTIGVNKVKNVALGCGHNNEGLFVGAAGLIGLGGGPLSFPAQLNSTSFSYCLVDRDSDLVSTLEFDSPFSRDAVTAPLRRNPQLDTYYYVGLVGISVGGELLAIPETSFEVDSAGNGGIIVDSGTAVTRLQSDVYNVVRDAFVKGTKDLLATNEVSLFDTCYDLSSKTSVEVPTVAFHFGEGKVLVLPAKNYLVPVDSVGTFCFAFAPTMSSLSIIGNIQQQGTRVSFDLANSLVGFSPNRC >RHN48724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49744988:49747302:-1 gene:gene43471 transcript:rna43471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MSVNHTIQETTSLWWNQQQQRTQQDAEEAEIEDDVVLESIMKLKTTEASSEEEEEEKEAMFEKPLTPSDVGKLNRLVIPKQHAERYFPLDSEEIKGLLLSFEDESGKCWRFRYSYWNSSQSYVLTKGWSRYVKDKRLDAGDVVLFQRHRIHPQRLFISWRRRHGSNSTPPAHVSRSISSSSTHGNVPMGWSRELYPAHPYPTHHHPLSYHAGEGSQSQNTATPCGNSSSTSRVLRLFGVNMECQPDNNININNINDSQTLSQDCSYNNMSSTQGTAIPQFYHHLHRQPPSNPHHHMLRQQPY >RHN45823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26016703:26019015:-1 gene:gene40242 transcript:rna40242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CDC45 family protein MVREHRVDSFYTKLRQSAQLSSTTSPVLIFPSTSDVDSLCALKTLFRILESDSIQYASYPVSSFREIHNYAKPTPQNINHTSIVLINWGSHKDLRKILNLGPYATIFVIDSHRPIHLHNLSDQNDSVVVLFTQEDEKQADLAYDFDFPLTALANASTTIDSESESDDSDSESDSDSDSSESGKKSRKRKRNSNSEDGDGDEEEERQDPVKMYRKKKKEYYGLGTFHGKPSGCLMYELAHFLRRNTDELLWLACVALTDQFVHERLSDERYSDGVMELEQYINDSGNLDVVNSVTLKDGTKIRAPNSSRIAYEDEPKLMLLQEWNLFDSMLCSSYIATKLKTWSDNGMKKLKLLLARMGFALSDCQQKFQYMNVEVKRKMKKEFEKFLPEYGLTDFYYRGFLRIHGYSSRVSAADVVYGVTALLESFVNSDGSCASMQFNVAFDALSLNNVDKLKDGMQKAIKVQRAILRQGSLAIMKNGYIRSGRKFRWLKLDESADSKLLGYPQALTKFCYFLMDALREKGARMKPLICACMSQEPNKVLVVGVCGRPRLAAAQGNAFGVAFRSAAEDSDSEFFHELFESSWIVLESKAVNSFMVKLTEKL >RHN48499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47974646:47981091:1 gene:gene43219 transcript:rna43219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H MNAAAFFTHLPTILRRTITTPPHTFKSHHSSFSSQFLFTPLGKKLRKTKAETRMDQQENERDGFYVVRKGDVVGIYNTLTHSQAQAGSSVCDPPVSVYKGYSMSSETEEYLLSHGLKNALYTIRASDLKEDMFGTLVPCPFQDPSTKGTTSNADTSKKRALRVLEQDDVLKATGLTSISEDPLRKQVKFDRAAVGEASSLANKTCIVEFDGASKGNPGKAGAGAILRSKDGNLIYRVREGVGNATNNVAEYRAMILGMRYALKKGFTNICIQGDSKLVCMQIDGSWKVKNENLFTLYKVAKELKDKFASFQISHVLRNFNSEADAQANLAIHLADGQVQEEFVG >RHN58610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3263013:3268377:-1 gene:gene20535 transcript:rna20535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Btz domain-containing protein MANSVAEDDVDYESDPEEAQRSLLTMRRREASDDEEEEERGVGERDDDDSVKSEDLRVRVRSDDSDGEGGVADYDEDDEEVEEEEEYEEEEYEEGVEEEVYEEKGEGGVDGSVIVVKESEGGVVPSLEEEDSGEVNLEEKKENEPFAVPTAGAFYMHDDRFRDNSNARQRRMHGGRRLWESKDDKKWGHDKFEEISVQDRRYDERRPSRGNFRGRGRTRGTGRGGHVRGNRREYNDREYNNREYNNREYNEGSNQNQVPKVVVKGRGPRRYEPTNRRNGPAPQVQNKQSRKSQDKTSLAISERNSMPSSNAESDPVPAKKTSHVASNLNYASPPFYPSGSSNKDINLPQKRDVQIGSTSRNIHPVMDEGFPVQNNTIHRGKNVVDSISMDKLYIDQSVGPSVGKPLNNVHLAPPGSSGVHVSQSPFPRPAGPGRGAPIPLQMNYQPVPSHTQVNKVSPTQLQAIQRSSAPGRTLTSVQATAAQMGHRPGSGSQSSSPPKRSASINSLDSGETDAASESGKAKGALVGKGKGAPQGPGRGPFVYGGAQVMGAAGNMGISQGDPNFPTFLPVMQFGGQHPGGMGVPAVGMAFPGYVANPQLGLGKSEMTWLPVLAGAAGALGAQYCSPYLAVDGAYGRQPGQTSAIDNSSKENVNKANNELKPPQKTELVNDEYGQRQNKPRRYSEMNFGQ >RHN44920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9952717:9958672:1 gene:gene39097 transcript:rna39097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Rcd1-like family MKNIASFVSPIGASIKSSTTTQSMSSMERLVIELSNPDLRENALRVLSKFQRIRLFPELAPLLWNSYGTIAILVQEITSIYPTIQLLNLTQTQSTRVCNVLALLQCVASHPDTKMSFLNASMPLYFYPFLQTTSELAQFEHLRLASLGVIGALVKSQVNTKESIDFLLRSEIIPLCLCNMEIGKELSKTVATFIIQKIMSNDDGLIYICGTAERFFAVVQVFNMVLESVGNQPSHRLMKLLIPCYSLLSQHHRACNALKRRLPNMLKTVNTVNCLREDEITWSWVMKLHENIGVNQVPLVPGGGNQ >RHN42828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41333358:41336675:1 gene:gene49321 transcript:rna49321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA reductase MHQLEVLIIFLPFLLGLTLTFNCFVTLITWIFNSCLRSDTHLIKTYGSWALITGATDGIGKALAYQLAQKSLNLILVSRNSKKLETVKNEIKTKYPRIDIKTITIDFSEDFTESLWEIEVLASDLNLGILINNVGITYPKAMFFHEVKEEMWMKIVSVNIESTTRITKAVLGGMMERKKGAIVNIGSGAAVVVPSHPLFTIYAATKAYVDQFSRSLYMEYKQYGIHVQCQVPLYVATNMVSRVASIERDSLFIPTPEGYARASIRKIGYETRCTPYWAHSIQWAFARLIPDPLLDYWRMSIGLRRRSSHKNKD >RHN70414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51288775:51289685:1 gene:gene18993 transcript:rna18993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 10TM putative phosphate transporter, cytosolic domain-containing protein MFGDGVGQTCKPSMCMVQCYFCGGTNNFKIISSREIDSIHSRTGFRDMHLVARQKECAAAFVFFKSRYAALMAARNLQASNPMLWVTEQAPEPCDVYWSNLCIPYRQLWLRKIFTWGVSTTFMIVFLAPVTFVQGLTQPEKLEKMFPFLTEILKT >RHN47628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41102254:41104264:1 gene:gene42249 transcript:rna42249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MEEESTSQTTTDSNVTDRIKLNVGGKLFETTLSTIRSGGPDSLLFALSNRFSNDPNPVFIDRDPEIFSVFLSLLRTNHLPSTARRFSKQELADEALFYGIDSHLRNATSPPPFSGIDASIVASVRPASEGLSSTFTAAENGSVWIAHGGQLSSYDWNLIHSATVRTHLDEINSICRVWPEIAAVGTESDAGLHFYNFSGSRHVGSVHWSDPSDPRIFKARVNAITASDNSVFASFDCPHRENCILEVDKAKLQIVSQLGRQSGNQAKNMVPGKLTFVPTTGVLVGSAVTGGAFGYSGYIRVWDPRSGEVVWETNEPGAGRSSRFGDSFSAIDVDVEKLLLFKLCSKSGDLAMADMRRLGDDPWVYLKEKNPSLWTDGGDGSTSSVVNCYKGQVFVGRGGELEVWSRVKEVVECESERDRESDGEGVYRRNFVDKREDSEKGVIVKIEGGGDRLFISREDVEGIEVWESSHYAGAISVL >RHN70078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48527918:48534983:1 gene:gene18621 transcript:rna18621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Arf GTPase activating protein MNFAHLNDSPMFRQQLQGLEENVESLRSRCWKFHKGCRKYTEGLGEAYDGDIAFATALENFGGGHSDPLFVTLGGPVMAKFSIALREISTHKELLRSQVEHMLNDRLTNILNVEILDVKEARRRFDKASQLYDQAREKFMSLRKSTKFDVAAVIEEELHNARTIFEEARFNLVGALHNIEAKKRFEFLEAVTGVMDAHLRYFQQGYQQLQELEPFIIEVLAYAQKARESYNEEQISLCERMVEYKKASYQESRLSLNGPYGSPSGEVNIHPFSRISNSVVDAVAESAASGKVRVIRQGYLSKRSSNLRADWKRRFFVLDSRGMLYYYRKPLHTNSLNQLYSQRNCANENSAGILSRLLSSGYPGVVPDEKSVARHTVNLLTSTIKIDAEQSDLRFCFRIISPSKMYTLQAENALDQMDWMEKINGVIASLLSVQTLGGKSFSADSESGDSDSSSIIDSLPSLQDYDRLGSGDFASKNSTLIKSSEDLQKNKQGIKVEQPIDILRKVSGNDKCADCGKPEPDWASLNLGILVCIECSGVHRNLGVHKSKVRSLKLDVKVWDSSVLTMFQSLGNLFANSVWEELLHATSTSQTDDTPFGSSKAEKNKFGHAKKPEHDDLISLKERFIHAKYSEKVFVRRMPKSHHLLSVGQQVMECIYANDKKAVYRHIVKSDVDINSVSGEALSGFFSHISSSSDSNTSSSKVQLMEDIQEGSSLLHLACLTSDVGMIELLLQYGADLNAIDSRGRTPLHYCIMRGKTAAAKLLITRGANPLAADNEGNTPLKLAPEPDDIGKIHASFLEKIEDQPTSHSDV >RHN57259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37629495:37630911:-1 gene:gene32781 transcript:rna32781 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLALYKPCCVSSLICHCSKQIRNIPVSSMGDRPLLALLSYQFLTGIAITPIIILLQLKRMVDA >RHN69967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47639166:47640035:-1 gene:gene18502 transcript:rna18502 gene_biotype:protein_coding transcript_biotype:protein_coding MLILFGENMFLQAEKAAFEEAKKKKEEEESKDDSVDSDAEEDEKDTNEVSHDSDDESKAEAEDSDETNKEDVHDEL >RHN48641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49117870:49142634:-1 gene:gene43380 transcript:rna43380 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,4-alpha-glucan-branching enzyme 1, chloroplastic/amyloplastic MVYTISGIRFPVVPSLNKSSLRGGDRRTSSSHSFFLKKNSSSFSKTSLYAKFSHDSETKSSTIAESDKVLIPEDQDNSASVTDQLENPDITSEDAQSFQNLEDLTMKDENKYNVDEAASSYREVGDDKGSVMPSSLVDVKTDAQAKKTSVHSDKKVKTDKPKVIPPPGAGQKIYEIDTFLQAHRQHLDFRYGQYKKIREEIDKYEGGLDAFSRGYEKLGFTRSATGITYREWAPGAKSAALVGDFNNWNPNADVMTRDDFGVWEIFLPNNADGSPPIPHGSRVKIHMDTPSGIKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEKYVFKHPQPKRPQSIRIYEAHVGMSSPEPKINTYANFRDDVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPEDLKSLIDRAHELGLLVLMDIVHSHASSNTLDGLNMFDGTDAHYFHSGSRGYHWMWDSRLFNYGSWEVLRYLLSNARWWLDEYKFDGFRFDGVTSMMYTHHGLQVSFTGNYNEYFGFATDVEAVVYLMLVNDLIHGLYPEAVSIGEDVSGMPTFCLPTQDGGIGFNYRLHMAVADKWIDLLKKKDEDWRMGDIVHTLTNRRWLENCVVYAESHDQALVGDKTLAFWLMDKDMYDFMALDRPSTPLIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGDQHLPNGTVVPGNNNSYDKCRRRFDLGDAEYLRYHGMQEFDRAMQHLEERYGFMISEHQYISRKNEGDRVIIFERDNLVFVFNFHWTNSYSDYKVGCLKPGKYKIVLDSDESLFGGFNRLNHTAEYFTSEGWYDDRPRSFLVYAPCRTAVVYALVDGVESEPVELSVGVESETIQQSVEVEP >RHN68177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33414307:33420660:1 gene:gene16490 transcript:rna16490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione dehydrogenase (ascorbate) MSTVRIQVSACALSATVNHLRYRPNYAVSTSSFNNHFSIKPLKVSMSSAPPSEPLEVAVKASLTTPNKIGDCPFSQRVLLTLEEKHLPYEPKLVDLRNKPEWFLEISPEGKVPVINFDGKWVADSDLITQTLEEKYPSPPLVTPPEKATAGSKIFSTFIGFLKSKDPNDGTEQALLNELSSFNDYLKENGPFINGKDISAADLSLGPKLYHLEIALGHYKKWTVPDSLTFLKSYLKEIFSRESFINTRAQPEDVIEGWRPKVEG >RHN78847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19144018:19149755:-1 gene:gene2508 transcript:rna2508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose-phosphate phosphatase MDRLIGSANLMIVSDLDYTMVDHDDPENLALLRFNALWEAYYRHNSLLVFSTGRSPTIYKELRKQKPLLTPDITIMSVGTEITYGESMVPDDGWKQYLDHKWDRDIVIEETAKFPELVSQSETEQRPHKVSFNLEKGKASKVMQALSKCLENRGLDVKIIYSNSIALDVLPQAAGKGQALSFLLGKLKAGGIRPLNTLVCGDSGNDAELFSVPEVYGVMVGNAQEELVEWYAENARDNAQIIHATERCAAGIMQAIGNFTLGPNMSPRDVRDSTLNIKILSPSHEVVMFYLLYERWLRGEVDNSEQYIQNIKSVFHSTGNVVHPSGVERPMQQIIDTLPKLFGEKRGLDFRVWIDRVSFAEVSLGSWLVKFDKWELSGTELRCCLTKVLMNSKVEAPNEFTWMHLHQTWLDGSEGKDDNSWFI >RHN82134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51478395:51480410:1 gene:gene6260 transcript:rna6260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MSKHSIIRLTVSLSTINMSTLSLSPLLFFFLVIILFTSKAQAYKNYRKFHYFCDQRNDRGDYITGSTYHNNLKIAFIYLTFNSKIDYGFYNTNYGQNNDKVNVIGICRGDINPQDCRKCLIGSRFNLTQACPNKKEAIGWYEDEKCMLRYSDRSILGLNEIGPAYTMWNSNNATMADQLSILVTQLLNDLRSKAINGDSHSKYVVGTSPGPSNNQSPSQQSSGETIYGLVQCTPDLSGPQCDDCLLQSIAGISRFSNRSSARMIRPSCYLRFATSYQFYQPKPKLASQLP >RHN65094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64135048:64135762:-1 gene:gene27991 transcript:rna27991 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLDCHLSEFYVGVKGVLPHGVVHVSPLFPKICGSALSSVKQVNIGARAIYDWVKPPMVLLSWLLDLASVRSLKVSLTTLQILSLVPNLLELKLPSLCNLKSTEIELEPPKDDMELPHLMKEAMLKKDAAESCEEATKLQKAFEAGLKPPSIPGIVDFLLQNSPSAKVDITTNFKPVNKAINISQFFFVFFS >RHN67022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22949686:22952294:1 gene:gene15153 transcript:rna15153 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSSQSTAFRSTYYCPSCFSFSSSSSRPFRSSLSSLGFFANYGSSQHSRRKSKSIQIASNFLRHRNLSGSSLQFREGSYCPKRISFGLKKCNDMEYHRNSPVHISVMKFSTASFSTLKLQIIQNTS >RHN59465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10985972:10988768:1 gene:gene21496 transcript:rna21496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAAIEELPSSMPQASSEEKVIPSTDEMMERGLGRFGWVEFVQCILVSFAMFFDAQQSFITIYTDEYQTWHCTNPTTCAWDSNICKIPRSSWSWDGPAHKTVISQWSLECASSFVTGLPQSSFFIGCLFGSFLLATLADTSLGRKNMLVMSCLSMSIASILIVFSTNVWIYSVFKFLIGFLRSSIGTCVLVLLTEKVSTEWRFTVGIVEYFCFTLGYVSLPGIAYLNRYNSWKSVYIWTSVPAIIYSVIAYIFVTESPRWLLMQGRHQEAMAMLKGVSSVENSDDMIVSLIEAPVNKQKASIFQLYSSIAELFARSWALKRMMAVMVLGIGIGMVYFGMPLAVGNLGFDIYLAVVFNGLMEIPSCVATYFLENRQRKPSILVFSVASGICCIMCVIVGSGQQGIKVGLAMTSFFSACTAYNVFLIYIIEVFPTSVRNTTTSLVRQAIVFGNIFSPFLISAGRKNDIFSYGVFGVVIMLSCVTLLGLPESRGLALSDTMDQQEKKDDMS >RHN72135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5234805:5235530:-1 gene:gene7812 transcript:rna7812 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRTRNRSKKNPNFSWFSAYEVTCNKLLINFNRVTSLAWCRVWNFAFRE >RHN58997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6490107:6496039:1 gene:gene20975 transcript:rna20975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MTRFMFSLAWPFVLLLASSLASAAIVEHTFNVEDFTIQRLCLPQVITAVNGTLPGPTINAREGDTVIIHVFNKSPYNLTLHWHGIIQFLTPWSDGPEYVTQCPIPSGGSYTYQFNLTGQEGTLWWHAHSSFLRATVHGALIIKPRLGRSYPFPKVYQEVPILLGEWWNANVEEVERNATDTGNPPEESNASTINGLPSDLYNCSQDQTYKVKVKQGKTYLLRIINAALNEQHFFKIANHSFTVVAMDAIYTEHYNTDVVVLAPGQTVDVLLKTNQVVDSYYMVFTPYRSSNVGTSNITTRGVVVYDGASQTKAPIMPIMPDAHDTPTAHKFYTNVTGLTTGPHWVPVPRKVDEHMFITFGIGLEQCINPGPGRCVVLNSRLSANMNNESFVLPKGRGFSLLEAFYKNISGVYTKDFPNQPPFEFNYTDPSLANVNPSEPLAFAPKSTKVKTLKFNSTVEIVLQNTAILGTENHPIHIHGFNFHVLAQGFGNYNATRDEPKFNFVNPQMRNTISVPVGGWAVVRFQANNPGIWLVHCHLETHLPWGLAMAFEVENGPTLGTTVPPPPANLPKC >RHN49378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54401341:54402850:-1 gene:gene44200 transcript:rna44200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MNSSSWRSIPTVDRISDMPDSILCHILSFHPTKFAATTSVLSKRWKLVWIAVVALYFDQETFNSFASFRNFVWLSMFTLRDKKSSIYSFTLKCGESSRFTQRDWVKIFKFVMERGIEYLNFDMSGKKCQIKLPLCFLRYNKTLEVLKLSNVQMRDFDQVNFPRLKILELNYVFFKSRANSVKFLFGCPILEDLQTELALPILLKTISDSNAEISRDCEEEMLLTSQTRLPMFHNLTHMKLSIHTRSWGWECSSLLGILTHFPKLQHFKIQDCATATLSCKCWIDPVTTFPATVPECLSSQLKTCYIRGYRDSKWYCVFAKYIVQHSKVLETMTIKTSFLAKNQKFLRILSSSTRGSPNCKLLFD >RHN41074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26728705:26729025:1 gene:gene47355 transcript:rna47355 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFMSRQYTTKNSRFSCGFSCDQISTGIPGDIAAFSDEVFCGYSSSRNVRRKFRRYCCGFSYGFFIWLFQRISLQFVYFVKI >RHN67902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31284058:31284544:1 gene:gene16151 transcript:rna16151 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPRGGANLYPGDAAEPPHPFNLLPITKCFSIMNLLENKICTISPVTVKVAHILHHVVQ >RHN72400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7312991:7316264:-1 gene:gene8106 transcript:rna8106 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKICVDGEGGGSIHLEVGELRRLSETTSKCATMFEPRRGLSSLEKRDSGEGDKEDSNESSTTVRAPEKKLTLFALRLAVLEKAATGLGTLGFIWATVVLLGGFAITLDKTDFWFITIILLIEGTRIFSRSHELEWQHQATWSITESGMHSFRMLRSSSNSILQSIKNLCRPINAAVKKHRKDTVEANVIVPRFLDNRITRTTTRTWISSDVPLLPYAKWFFISGHISKVLYWLQLLSATACVVLSSTKLIRHNYGVIAKGDTDKRNRESALDIFYALALAEALLFLTEKAYWEWKLSYCELLDEVNKECELGPSGMVSIRRFFYDAYSRCVNGSIFDGLKMDMVSFAMDLLASNSPDEKLIGARILRQFANSERFSDDTLQKIGISISLVERLVEMLNWTDHKEEEIRMSAAEILSKLAGKKQNSLRIAGIPGAMESISSLLQTNRNCMHAADEVAEKKLIFDHPNYGFWTFNHLGLLILKKLAHDHDNCGKIGNTRGLLPKIVDFTHAEESLLKNENVTPSQILTVKRSLQLVKMLASTTGTYGKHLRKEISEVVFTISNIRDILRHGEKHPLLQKLSIEILTSLALEDEASERIGGTGGVLKELFNIFFRQNIPENQKDVTTVAGEALSMLALESKSNCHRILKLRVLERLIEALKVPLIRVSAARILRNLCNYSGSECFNQLKGVTAAAPTILQAIMSQENKLQEVMVGLAANVFTFMASSESRTVFKEAGITEVELAKKLVQILKKHQYPATKVPRIRRFVIELAIWMMIDKEENISNFKDLQMEEVLEGVLETTSELESFNVFSGTVGLNRHSLTIHSLVETALMLLEDK >RHN74465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33639013:33641379:-1 gene:gene10544 transcript:rna10544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIIFLIFIIVTNGASNPCVSTRDCTTHTCNPPLVARCINLRCYCGYK >RHN80542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38919448:38920092:1 gene:gene4480 transcript:rna4480 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTRGLIISLMILSIFSPNVNEVNGAADTMQMMMMNEGRRKLGAFQICAMCTCCGGAKGLCLASPCCYVFTCNLPNKPFGFCSFIPKTCNCFGCHH >RHN62413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43243957:43245929:-1 gene:gene24986 transcript:rna24986 gene_biotype:protein_coding transcript_biotype:protein_coding MESNKNNMKSPRNNTSLPSPRYSHSSCSSSNSNNNNHHHNPPSPYPPKPVTRSEPGNPYPTTFVQADPSSFKQVVQMLTGSTETTTKQTSSTSSNNNLRNHIPPKKSGFKLYERRNSLKNLHLNPLLPVFSSNSNNPSSFSPRKPEILSPSILDFPALVLSPVTPLIPDPFNRTTVSGHRCGNGSPKPVLNIEAEEKAIKEKKFFLHPSPSTTPRDSEQPRLLPLFPTTSPRARLGPSSSSTST >RHN81615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47568003:47576752:-1 gene:gene5686 transcript:rna5686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pseudouridylate synthase, Isomerase MPQQTTRSMATQLSDVDYITTLQARVKELEAENANLLSRLAHCQCSEVGKKVYAPDDKTAEVTEPKISNGKSEETTKKKPGYKTRYLSHHSKRYVALKVMYFGKRFYGFSSEAQMEPSVESELFKALETTRLLVGDKKESQYSRCGRTDKGVSSVGQVIALFLRSKLKISGVNNGSSGEFVSEEQLEGELDYVRVINRELPSDIRILGWCPVPVDFHARFSCSGREYRYFFWKENLNILAMENAGTKLVGEHDFRNFCKMDAANVHTYMRRITMFEIYATDVRYEDNQLWVIKIRGRAFLWHQVRCMVAVLFSIGKGLESPDVIDMLLDTNRIPRKPQYVMASEAPLVLQSCEFEDLKFICSSDSGKALHAHLVNECKIFQLQTAIFHEAILNCVPLLHDQSLPPIQESKPPIQGSKKKGSYIPLMSRPTEPSYEERRAKLSSCT >RHN66979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22377630:22380017:1 gene:gene15106 transcript:rna15106 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MMTILTTQISLLLLLLLSITTFYKSSCSNHTVVGCNEKDRETLLTFKKGINDTLGRILTWSTEIDCCAWEGVHCENITGRVTKLDLTGKSNFDNEPFLKGEMNLCILELEFLSYLDLSSNDFDVIRFPSIQHNLTHSSNLFHLDLSPFRYHDHGPLHMDNLGWLSPHSSLKYLDLSGIHLHKETNWLQIVNTLPSLLELQLSHCNLNNFPSVEYLNLSLLVTLDLSLNNFTSHLPDGFFNLTKDLTYLDLSQSNIYGEIPSSLLNLQNLRDLYLSYNQLQESIPEEIGQLAHIQQLDLSENQLQGSIPSTLGNLSSLNYLSIGSNNFSGEISNLHFSKLSSLDHLDLRNSDFVIQIDLDWVPPFQLSHLSLRNTYQGPNFPSWIYTQKTLQYLDISSAGISLVDRKKFLNLIERIPVELYLSNNSIAEDISNLSLIGYVVRLDHNNFTGGLPNILSFAYGIDLSYNSFSGSIPHSWKNLEYLFYINLWSNRLSGEVLVNLSDWRQLQFMNLGENEFSGTIPLNIPQYLEVVILRGNQFEGSIPTQLFNLTNLFHLDLAHNKLSGSITECIYNLTHMVTSNFVDEWNNAPIELFTKGQDYVYEIEPDRRTIDFSANNLSGKVPLELFRLVKVQTLNLSHNNFIGTIPKTIGGMKNMESLDFSNNKLCGEIPQSMSLLTFLGYLNLSYNNFDGKIPIATQLQSFNASSYIGNPKLCGAPLNNCTTEEENPGNTENEDDESIRESLYLGMGVGFAVGFWGICGSMFLIRKWRHAYFRLVDRVGDYLYVTLIVKLNSFR >RHN71038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55996391:56001278:-1 gene:gene19680 transcript:rna19680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha,alpha-trehalose synthase MAKHSVALAKKRWPLMLLAFISISTVMILFMRPNNSDSCNTTKTFEAQQSQIHPSSVGNAPAGPSPLDFMKSKLVLMVSHELSLSGGPLLLMELAFLLRGVGSDVVWITNQYPAEHDQVIYSLESKMLDRGVQVLPAKGEKAVDTALKADLVILNTAVAGKWLDAVLKEKVTLVLPKVLWWIHEMRGHYFKEAYVKHLPFVAGAMIDSHTTAEYWKNRTKESLGIKMPETYVVHLGNSKELMEVAEDSVAKRVLREHVRESLGVRNDDLLFAIINSVSRGKGQDLFLYAFHKSLQLIQEKKLKLPSLHAIVVGSDMNAHTKVEMELRKFVTEKKIQDRVHFVNKTLAVAPYLASIDVLVQNSQGRGECFGRITIEAMAFRLPVLGTAAGGTVEIVVNGTTGLLHPEGKDGVTPLAHNIVKLASHVEKRLTMGKKGYEKVKERFLERHMSHRIAVVLKEILRKARHN >RHN41271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28768322:28769462:1 gene:gene47575 transcript:rna47575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MERKITLLILMVALLFCMTKVLCADSSVHIQDQFTHFEVVKGPNRRLLAFVDCGTRCNVRCSVHSRPNVCMRACGTCCLRCKCVPPGTYGNREMCGRCYTDMITRGNKPKCP >RHN66808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19119778:19126229:-1 gene:gene14898 transcript:rna14898 gene_biotype:protein_coding transcript_biotype:protein_coding MYEKQGDAQLRKEDEKKKAKTTVTWYDIECEGSGKKKRKQCKCVNVQFQQDGKE >RHN80590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39244967:39245751:-1 gene:gene4530 transcript:rna4530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MGMGPMGCCIPIELPLWSNNGDCDVELVSAASLYDRQFVEMIKELNTEIGADVFIAITAHKLFMDFVNNPQAFGFVTSKKACCEYGPYNGIKLCTPLANLCQNRDLYAFWDSIHPSEKACRIIVQQILNGSNEYMYPMNLSTVLAMDPMV >RHN66951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22104084:22104521:-1 gene:gene15075 transcript:rna15075 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAPESTSNQQQETPAYEIKGRTMSLEEWELKIQSECPVDFISLAAHNCDIRRFYVNQGLVRYFNLLNGPTYQTLIRHFWVRASIFDRAAAKIEEDEKVLLHPELKGKSREEMGLEPYSGIQIRSRIMKNLNNLKPPHITT >RHN44078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1469703:1471293:1 gene:gene38135 transcript:rna38135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBCS MASSMISSSAITTVNRASPVQSGAVAPFVGLKSMAGFPITKVNKDITSITSNGGRVNCMQVWPPIGKKKFETLSYLPPLTREQLAKEVEYLIRKGWVACLEFETEKGFVYRENHSSPGYYDGRYWTMWKLPLFGATDASQVLKELDEVVAAYPTAFVRIIGFDNVRQVQCISFIAHTPDVY >RHN47004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36382564:36385896:1 gene:gene41549 transcript:rna41549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MEETEEREENVEPEPNNPENENEEECNAVVVVEEIQGLIESVVQFGEYRRTQRKESHNLARRFKHMLPLMEDLRDLCHPAPRKGVVWLKNLRDALFFARELLKLCSQGSKIHLAWEGEMVMIKFQKVYEKLSQAFDGVPCDELGISDEVKEQLELMHVQLRRARRRTDTQDIELAMDMMVVFSDEDDRNADSAIIERLAKKLELRSVEDLEVETVAVGNLVRERKGKQSESTKKIIDLLNRFKRTAGMEETDVVFDDDHAMPNKMLGRSTSLVIPHEFLCPITLEIMSDPVIIASGQTYERESIEKWFKSNHKTCPKTRQPLEHLQLAPNCALRNLIAEWCENNNFKLPKICSSCQETTPTENQEEIPCLVESLSSINLEHQRKAVERIRLLSKENSENRILVAENGGIPPLVQILSYPDSKIKEHAVTALLNLSIDEANKKLISKEGAIPAIIEVLENGSIVAKENSAAALFSLSMIDENKEVVGMSNGIPALVNLLQNGTVRGKKDAATALFSLSLTHANKERAIKAGIVTALLQLLKDKNLGMIDEALSILLLLVLTPEGRHEVGQLSFIETLVEFTREGTPKNKECAASVLLELCSSNSSFTLAALQFGVYEHLIEIKESGTNRAQRKANAILDLIKRSEQI >RHN39581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8409986:8410576:-1 gene:gene45619 transcript:rna45619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MTEIWNKEVLLKTSLFAWCLLRDRLPTTDNLIKRRILHLNVHLCMGGWGMIEDAKHLFLSCDFFLQTLV >RHN49962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2719901:2725038:-1 gene:gene34201 transcript:rna34201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative procollagen-proline dioxygenase MFQPRFLPLLLCFFFFVSFVSSIRLPGLEEGNKITRGSVFGAKVKFDPTRVTQLSWSPRAFLYKNFLTDEECDHLIELSKDKLEKSMVADNESGKSIQSEVRTSSGMFLNKQQDEIVSGIEARIAAWTFLPVENGESMQVLHYMNGEKYEPHFDFFHDKANQRLGGHRVATVLMYLSNVEKGGETIFPHAEGKLSQPKDESWSECAHKGYAVKPRKGDALLFFSLHLDATTDSKSLHGSCPVIEGEKWSATKWIHVADFEKPVRQALEDRVCADENENCARWAKVGECEKNPLYMVGKGGNGKCMKSCNVCSS >RHN39493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7536693:7539045:-1 gene:gene45520 transcript:rna45520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MENLASKYDGILQSGNLTKYILETSVYPREPESLKELRNATASYPMHFMGTHPDAGKLMGILLKLLNAKKTIEIGVFTGYSLLLTALNIPDDGKIIALDPDRKAYEVGLPFIKKAGVEHKIDFTESPALPVLDKLLEDPSNDENFDFAFVDADKHNYWNYHERLIKLIKIGGLIIYDNTLWGGTVAWPEEALLDPRRKKVRQDAIAFNNAIASDTRIEICLASIGDGFTICRRAH >RHN61647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37169314:37176701:1 gene:gene24133 transcript:rna24133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxynorspermidine synthase MAPTSLPLNLNFKVTASATNLPELPDKIRNSKVLVLGGTGRVGGSTATALSNFCPDLRIIVAGRNREKGEALVAKLGGNSGFARVDIDDVNSLETALKGVDLVIHAAGPFQQTEKCSVLEAAINTKTAYIDVCDDTDYSQRAKSFMSKALAANIPAITTAGIYPGVSNVMAAELVRAAESETESEDKPEKLRFYYYTAGTGGAGPTILATSFLLLGEEVVAYNKGEKIKLNPYSGMLKIDFGKGINKRDVYLLNLPEVRSAHEILGVPSVSARFGTAPFFWNWGMEAMTKLFPAEYLRDRSKVQRLVELFDPVVRAVDGFAGERVSMRVDLECSSGRHTIGIFSHKRLSVSVGISTAAFALAVLEGSTQPGVWFPEEPQGIPIEAREVLLKRASQGTINFALNKSPWMIETNPKEVGLGIYV >RHN80564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39096452:39097531:-1 gene:gene4504 transcript:rna4504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MLIISFGNIEMQSFQLDRKSGKKCYMLSARSLSIACGDDGRSCNWIVVPDYRFHEVAYLRHMWLLGIGWMINILALSPNTQYATYLVFKMIDAEGFQNCPLELSVGVGGSHNSTEIVCYDPNVEGRLHNRVSQRRDGWLEIEIREFFNSGQEDEVLMNVKQRDYWKKDLLLAGIEVRPK >RHN59139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7826339:7827226:1 gene:gene21130 transcript:rna21130 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSELFHIKDDKEECSNNNLKGLKRPISLMFKEEQNIVLSKQKQDLEVDTTTSSRELENEEDEDDGFKTPTHFDNRIQIPKQCPLAPRKTKPHMKRRKAQCKQLLDVSREVELLFQIKNKTFSSSQQSTKKARRK >RHN69319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42583839:42586780:1 gene:gene17769 transcript:rna17769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif 2, nucleotide-binding alpha-beta plait domain-containing protein MGETLNPTAPEFNPNSYIPIPVAVGIPYPYPPYAVTPPPHLSTIPTRSILLSPAPPTPETDLRKDLSAFGEVRAVQTDSFRNGVITAHYYDLRHAETAFAAIRTHHVLCAAYFNPLSYSQIFPTPLPPPPPGLVAGAPLWAHYVLSDAQNQGTLVVFNLDDDVSSDQLQQVFGAFGAIKEVRDTPWKKRNQSFVEFFDIRDAEKALKELNGKEINGKPIAIEFSKPKLFHSEPNAYISNKPFNYNLNPPPSPRRHFASQPHSPQLSHKSLTFNRVNHNRIGSMGSLKGEERYPFVRVQSRESFGESAWSWKGKLAKRHENRFLIKEDAIVESDPRTTLMIKNIPNKYSQKLLLNMLDNHCVHCNEQLGDGEPLSSYDFVYLPIDFKNKCNVGYGFVNMTSPEATLRFYKAFQHQHWEVFNSRKICQLTYARVQGLESLKEHFKNSKFPCEMEHYLPVVFSPPRDGKQLTEPIPVAGNMLQIGAAPSVADEMEGQDHRVGSGGCDVISRKSGGIGDDDDDKTDVK >RHN72608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9123339:9126907:1 gene:gene8350 transcript:rna8350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial pyruvate carrier MVSSKLQAFWNHPIGPKTIHFWAPAFKWGITVANVADFTKPTEKISYPHQITVMGSGFIWARYSTQIIPKNWNLVCVNLTMAGTALYQISRKYQHDHSSKEIVAKE >RHN62754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45651345:45652215:1 gene:gene25359 transcript:rna25359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ccc1 family protein MASQIGARTNGISPNNFEVEIPIHANSSLKVEKESNNIDYFQRAQWLRAAMLGANDGLITVASLMIGVGAIKEDIKVMLLAGFAGLVAGACSMAIGEFVSVYTQYDIEIAQIKREREANNNNNDDESSEREKLPNPFQAALASALAFSIGAVMPLLAAAFIKNHKTRMGVVAAVVSFALLVFGGVGALVGKTPLMRSCVRVLIGGWMAMAITFGFTKLIGNTGL >RHN38711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1426516:1428270:1 gene:gene44672 transcript:rna44672 gene_biotype:protein_coding transcript_biotype:protein_coding MYHALSIGVTIILFNCFTISFRVYNKRIPIKIVHNMSILIARSNKTNIVTRSYFVEHYHQSKLYRATRR >RHN65178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64736732:64740563:1 gene:gene28086 transcript:rna28086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope pore protein 37 MDSATGNPNNYTLQKIQSSPAVADPPPPSSTNHILSFPSRPALRITTEFDSESANFFHKVSCKFLDSLAKLKLSFHNNSKGDISEPQISFVSKHLSLHYDLEDHSALVKSSVDVGPRFKLTGAHDVKAQQGEVTMVANIADPGYALQLSTPLPSAGLPKATFRFPLGEVSLQEKEEEQQEEEQVKIKNSLLVSGILKGQFLKGVCTAHYKDDELKLRYRYKDDEMSFLPILSLPSNDLSFAFKRRFGLSDKLSYWYNCDSNYWSAVYKHTYGEDFKLKAGYDSEVRLGWASLWVGDEGGKAKTAPMKMKVQFMLQVPQDDIKSSVLMFRIKKRWDI >RHN67077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23565804:23570589:-1 gene:gene15217 transcript:rna15217 gene_biotype:protein_coding transcript_biotype:protein_coding MMKISGESHRQTPSFTASIASNNSPDSDLRPHRRSSRRKFKTPGSRLRRSGTSSGRRSRPETPSSKWKIPEDGEGSVVDGGGDPVEVCRKSEQQQQKKPVVTVSARKLGAGLWRLQLPEVAVGGDCGTRGGLRLQNGIGYADHPCLSNQNAVMHGSDIKNPSQTRHSISRTKDGHFCELDPSFQFSSAAMEGMTKWDPVSLKTSNEGQHIYSQMELLDQKDSTVSALEAELEQARVRIQELETERHSSKKKLEHFLKKVSEERASWRSKEHEKIRVYIDDIKTDLNRERKSRQRAEIVNSRLVNELADAKLLAKRCMQDYEKERKARELIEEVCDELAKEIGEDKAEVEELKRESMNLREEMDEERRMLQMAEVWREERVHMKLIDAKVALDEKYSQMNELVAYLETFLKSVNMNSDAKEIREAQSLQQAAASVNIQDLKGFSYEPSNPDDIFAIFEDVNSGEPNEREAESCIAYSPVSHASKIHTVSPEAKSINKNSFQRRSDIYIDNNCDIEEDESGWETASHVEDQGSSCSLEGSAPSVTKKDRKSNVSRMSVIEWEGNAGEETPLTEISEVYSVPTKQSKRISSIARLWRSGPTNEDNYKIISMDGMNGRLSNGKVSNGGIMSPEWGVDKGGLSPQDILCQLSSPDSGSVHNRGMKGCIPRTGPKGSLKARLMEARIESNKVQLRHVLKQKI >RHN42958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42179788:42183573:1 gene:gene49469 transcript:rna49469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol-3-phosphate synthase MFIENFKVESPNVKYTETEIQSVYSYETTELVHENRNNTYEWVVKPKTVKYEFKTQTNVPKLGVMLVGWGGNNGSTLTGGVIANREGISWATKDKIQQANYFGSLTQASAIRVGSFQGEEIHAPFKSLLPMVNPEDIVWGGWDISNLNLADAMGRARVFDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGDRANNIIKGTKKEQLQQVIKDIKEFKETTKVDKVVVLWTANTERYSNIVVGLNDTTENLLASVDKNEAEISPSTLYALACVLENVPFINGSPQNTFVPGLIDLAIKRNSLIGGDDFKSGQTKIKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVNSNAILYGPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVMHNTCEDSLLAAPIILDLVLLAELSTRIQFKSEQEDKFHSFHAVATILSYLSKAPLVPPGTPVVNALSKQRSMLENILRACVGLAPENNMILEYK >RHN46746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34509005:34510707:-1 gene:gene41268 transcript:rna41268 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYNHMSNNAYNPESISTLSRKINERERDIEGGRRGSRPGPEAVLAVESSTAVITHQQKYKPKHEDSFPSFTKPVKKHESHFFFSISWTIHEQNHVYYQYRSRSGLHHKRGQLCLPKPLQPSSLIEMNPCVCSCKYYCNRPPWRCNLQH >RHN76013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46996629:46996862:-1 gene:gene12283 transcript:rna12283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSDGEHFTCDDKAFIIAKKMHGLLHLVLHGDPLSDVGLLAILDGCPRLESLDIFGCYNLDFEGSLWKRLHTQIKDLY >RHN68998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40174273:40176385:-1 gene:gene17424 transcript:rna17424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MASRVLISLISLVLLCITTTQGRKTLYTTNDFSTFSPVTGDGICKTLVEPQGYPCEEHKVTTEDGYILSLQRIPTGRSDKKADKPPVLIQHGLFCDAAIWLFNSPEESLGFILADIGFDVWLVNGRGTKYSTMHTSLNPNEMVCVLYNIQNICNISISSNFQYLIAYWNWSWDELASYDLPASVQYVYNNTGKKIHYTGHSQGTLIAFVALSQGKLLNMLRSAALLSPIAHMNLVPPGITKVAADLFLANECCTKTCGEHLRQSIPQLCKQPIAIIHRYLDVNNVMMRKLKLIYMYKYLLPQLLIIFLGPNCCINSSKIHFYLDHEPNPTSTKNLIHFSQMIRTGKIAKYDYVNAAQNIQHYGQRVPPTYDMTKISSEFPLFLGYGGNDFLSDVQDVKVLLNDLSDQDANKRVVLFKDDYAHADFIAGDNAKQVVYDPIIAFFNSH >RHN47112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37207716:37211122:1 gene:gene41669 transcript:rna41669 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMLCDIILPVIFTGGRTQPGTTKPDEGERHPYSIIEYEATREVILPSVIYIQKVLRRRPFLIKNLENVMRRFLQSLELFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGLVLTFITDFFKEYLIDNSLDDLIAILKRGKVEDNLLVFFPPAKRTNESFSEHFSKEGLTALVEYNEKKIFEVTLKEMKSALTTQITEEADISEVIETVKLRVRDAKLPETEIVRILWDVLMDAVQWSGKNQQQNANAALRKVKTWAELLNTFCTSGKLELELMYKVQMQCYEDAKLMKLFPEIIRSLYEQDVLAEDTILHWFRKGTNPKGRQTFVKALEPFVNWLEEAEEEE >RHN63947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55265008:55268934:1 gene:gene26712 transcript:rna26712 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMITAWITDLFACMGGCFGCCVKPTPIIAVDEPAKGLRIQGQTMRKPTTSDGFWSSSPCDLDNSTIQSQRSISSVSTLNQILYQSNGASTPGTEPEFVNQGLHLWNESRLQWVGRGLSEKQNQQKQESRLNRNATYESLLGTRQPFPKSVPLSEMVEFLVDVWEREGMYG >RHN47297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38593968:38596684:-1 gene:gene41876 transcript:rna41876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase MARCH MDDQIDSYSPNTSVQCRICHDEDEDLNMDTPCSCCGTLKYAHRICVQRWCNEKGDTTCEICQQQLKGYTAPPPAPLFRYGGNWEIPNIGLNNHRFIALFPTNHEFLDLDFEYSAPSTRSLMFFRIVTVIFVVLLVLRHTLPIILMLNGVGEYSLTVFMLLVWGIVGLIIPVYMMVKALSAIQVQQLQHQDHNSFVQSREENDMGQSQLRAIHIQ >RHN69907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47261006:47265094:1 gene:gene18440 transcript:rna18440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase transcription regulator PHD family MAPATAPASPSPSSIKLSSLIRRTHAPHKIQKKLSYSSSSSTFEEEEGNKLLSLVNIYKRAEYTVGEEEDYGDLLCEQCGSGEQPEELLLCDKCDNGFHMKCVRPIVVRVPIGPWICPKCSDVKVKKLKKLSQKKILDFFGLRRDSLFGNNRASSQDAMKRRRRPRPLVVQKKRRRLLPFVPTEDPDRRLKQMASLATALTALDIEFSNKLTYFPGMAPRSANRSILENGGMQGLTKEDTQTLKRCIAMTKQGQFPPLMVVYDSCQGYTVEADGPIKDMTFIAEYTGDVDYIKKRESDDCDSMMTLLIATEAADSLVICADKRGNIARFISGINNHTQEGRKKQNCKCVRYDVKGESRVLLVATRDISKGERLYYDYNGYVHEYPTHHFV >RHN59170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8148417:8149857:-1 gene:gene21163 transcript:rna21163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MGDVRVLSSDTIKAPKSSDQTIHLTPWDLRFLLVSTNKKGLLYRHPVVATQIQRLRHSLSSALSFFQPLAGRLEITEHKDNTVSCSVICNNAGVLFIHAAAENTCVADILEPVYVPQIVDSLFAFIGDKSYEGTSKPLLAVQVTELVDGVFIGCTFNHVVVDGKSVWHFINSWAEISRSCCHHQISKPPTSERWFPNGIQLPIRFPFFLELEKNHSDRLTTSSSNDEKLCLSNRLFHFTKEKIVQLKSKINKEIGNIKISSLQALLTHVWCYVTRFKQFDPQEEVFNRVAIGVRPRLIPPLPEDYFGNALISCMVKMKAEELLEEGGLCKGACEMNKLIASHTDEMLKNHYESWLKNPSFLRITNIAKNNFLVVVDIK >RHN71051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56097076:56098623:1 gene:gene19694 transcript:rna19694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase La MAFPIPQLIHSPTSPLLNLTPLSLNPKIFQSSTPTPSPPHLNVLHHSRRRNSTSLLRCSASSFPEKHHTNSPNSDDVTELPLFPLPLVLFPGAILPLQIFEFRYRVMMHTLLQTDLRFGVIYNDAVTGLSEVGCVGEVIKHERLVDDRFFLICKGQERFRITKVVRTKPYLVARVAWLEDRPSPSADVDVDGLANEVETYMKDVIRLSNRLGGKAEKEVGDLRRNLFPTPFSFFVGSTFEGAPREQQALLELEDTGARLMREKETLKNTLNYLSAASAVKDVFPSSSSPSSPSS >RHN73669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18711347:18712827:1 gene:gene9515 transcript:rna9515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MAKHNVVLSFLVSLYLTSLIRLVCDATKTGDESSKLHIVYMGSLPKEASYSPRSHHLSLLQHVMDGSDIENLLVRSYKRSFNGFAAVWSQFFQAKSFTFKPQGLGLPQSFKRDQTIDSSLVIVVMDTRIWLESESFNYKGLGSIPKKWRGVCVGGGNFSCNKKIFGARFYGVGDVSARDKSGHGIHTTSIAGGVDVITISLDAPNVTDFLSDSIAIGSFHAMEKGILTVQSARNASPISSSVCSASPWLFTVAATTIDRKFIDKIILGNGQTFIGKSINTIPSNGTKFPIDVHNAQACPAGGNASPEKCDCMDKKMVNGKLVLCGSPIGEMLTYTSGAIGVILYASQSDFDASFVTKNPTLRLESKDFVHVQYYKNSINYL >RHN69948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47516168:47517502:1 gene:gene18481 transcript:rna18481 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLLLPLHHRLFVVYEMERLLEIRACLLLNCRYLRALWSKGKLLTRAVP >RHN39858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11112435:11117223:-1 gene:gene45936 transcript:rna45936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galacturan 1,4-alpha-galacturonidase MELLWKSHMKIHAMKLVYALLLVTLMSSKVTECRKGKIISTSFEYSALNCRAHSVSLKDFGGVGDGKTSNTKAFKSAISHLSQNASEGGSQLYVPAGKWLTGSFSLISHFTLYLHKDAVLLASQDINEWPVIKPLPSYGRGRDAAAGRHTSLIFGTNLTDVIVTGDNGTIDGQGSFWWQQFHNKKLKYTRPYLIELMFSDNIQISNLTLLDSPSWNIHPVYSSNIIIKGITIIAPIRSPNTDGINPDSCTNTKIEDCYIVSGDDCVAVKSGWDEYGIKFGWPTKQLVIRRLTCISPYSATIALGSEMSGGIQDVRAEDITAVHTESGIRIKTAVGRGGYVKDIYVKKMTMHTMKWAFWITGNYGSHADKNYNHSALPEIKNIHYRDMVADNVSMAGNLEGISNDPFTGICISNVTITMAAKAKKQPWTCNDIEGITSGVTPKPCDLLPEQGKENIRDCDFPSDYLPIDMLELKQCTYSI >RHN76626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51765967:51770095:1 gene:gene12977 transcript:rna12977 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase 1 MPKFDINSFLNLVNKHGVTVAPVVPPIVLAIAKSPDLNKYDLSSIRILKSGGAPLGKELEDTVRTKFPNAILGQGYGMTEAGPVLTMSLAFAKEPLNVKAGACGTVVRNAEMKIVDPDTGKSLPRNQSGEICIRGDQIMKGYLNDLEATERTIDKEGWLYTGDIGYIDEDDELFIVDRLKELIKYKGFQVAPAELEALLLSHPKISDAAVVPMKDEAAGEVPVAFVVRSNGYTDLTQDEIKHFISKQVVFYKRINQVFFIDAIPKSPSGKILRKDLRAKLAAGVPH >RHN51664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21712157:21716828:1 gene:gene36146 transcript:rna36146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MMNSITLLGHRMVHKISHCLNFTRMLCDQPFRGDDDVVRNGFDFVEEEPLKKMFVGSCENFDLNHNEEKGFGYNNQRRVFGRDCLLEDFRRIDFERENSDLNNDDDDKHGVGYKQRRFFVRDCLLGNVRKNVERVLEVLRRDGLELDFDFRVVLDELGIRPTWILVREVLYAVLREVNCGNKATIGRLAYKFFVWCGQKEGYCHTVNSYHLIMQIFAECEEFKAMWRLVDEMIEKGFPVTARTFNILICTSGEAGFARNLVVKFIKSRSFNYRPYRHSYNAILHCLLVLNRYKLIEWVYDQMLFEGFLSDVFTYNIVMVAKYRLGKLNQLYRLFHEMGGNGLSPDFHTYNIILHVLGRGGELCKAVELLNHMRERGIEPTVVHFTTLIDGLSRSGHLDDCEHIFDEMVRIGIIPDVVAYTVMITGYVVALEFEKAQKMFDKMISRGQIPNVFTYNSMIRGFCMAGKFDEACSMLKEMETKGCSPNFVVYSTLVTSLRKAGKLSRARKVLRQMMEKGRNVHHLPKLRARRYRK >RHN72941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12020948:12021707:1 gene:gene8708 transcript:rna8708 gene_biotype:protein_coding transcript_biotype:protein_coding MILINSYFTIVTHGVDLRFTRPKPALVKKVQDANLSNLPIHK >RHN42826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41298881:41303496:1 gene:gene49319 transcript:rna49319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CAAX amino terminal protease MAMLYLSHTLNSWSCCSTFAHTTYFTTLPHRSRYNTCSFAKKSKREGPEAFVDLSDDKFVQNDNLLDTSLNPPVENANPIPSRSAVLQACILTSALIAAFGTVIRQVSHVASIEGLPVLDCSTEVSFGFEMWHLELITGIVVLISSSRYLLLKTWPDFAESSKAANRQVLSSLQPLDYIAVAVLPGISEELLFRGAVLPLLGMNWTSIAVAALIFGVLHIGNGRNYSFAIWATFVGLAYGYATVLSSSLAVPMASHAVNNLIGGLLWRYTSKAEMK >RHN72533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8448169:8448638:-1 gene:gene8259 transcript:rna8259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative petal formation-expressed MHHNICELRNNWNTLLLNSINMITLTATTMSGLAATVPTTCSDSSLLALKLSSALLFSAATGLLLIMNKIQPSQLAEEQ >RHN73115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13572872:13574180:1 gene:gene8898 transcript:rna8898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain, Bet v I type allergen MGVINFEEETTSVVAPATLHKAFVTDADNLIPKVIDVIKSIDIVEGNGGAGTIKKLTFVEDGETKYDLHKVELVDDANWAYNYSIVGGDSLPDTVEKISFEAKLSAGPNGGSIAKLSVKYFTKGDVTPSEEELKSGKAKGDGLFKALEGYCLANPDYN >RHN74289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31524802:31525484:1 gene:gene10327 transcript:rna10327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding pseudobarrel domain-containing protein MIKGGQPLMIPAHVVQTKLNERSSHIKVSIMGGVIENWSLIWNDKRKSQCHIGLGWYRFFYKALTCIGDKIQFWYQGPGIFRVTII >RHN44643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7206504:7206974:1 gene:gene38787 transcript:rna38787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSSTTKKITLKSSDNETFEVPEAVALELQTIKHMIKDDCTDNGILVPNVTGQILAKVIEYCKKHIDAASSDEKPSEDDLKNWDAEFVKVHQTTLFDLILAANDLNIKSLLDLTCQYVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAVE >RHN65592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3527494:3533745:-1 gene:gene13446 transcript:rna13446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative development/cell death domain-containing protein MEQEDGNNGAENPPQESSNPQTSGKDDSNKVTNAPEGSIKPESSDKKTPKQLKAKSKIGKKSKAGKLKTVNKGSQQIGGKTKKKNVVGNIDELSKEKSENAENNKGKEIQNGNSNGKSPIGQSEKAENSKEKKIQKESTSGKSPIRKSEKDENDKEKEIQGSTSRSARRRWVRRNRMVNLDKTEQKPDQTEQKPDKTEQKLKNEENHRELDKGERSRRNKTKRSGNEKPESSEKNREKVGGFIFMCNAKTKPDCFRYRVMALPVGKKEVVLGIKPGTKLFLYDFDLKLLYGIYKASSSGGMKLEPRAFGGNFPAQVRFSVASDCIPLPESIFKNAIKENYNDKNKFKTELTVRQVRRLTALFRPVDIHSAVKPVRSAPKAKTRDRETRDGGRGSRSHLHREIPNVLSHERGRRSEQREEAPRDLFLTEKSYRAYGLLGVSQANPIPDPYEREHERERHHNLDHSIYRKDKRDYERERHHHLDHPIYRTDERDYERERHQHLEAPIYRNDAPSRGADPLRFIEDERQTYYRDAASDRVDDPYHRYRYGASPRDPYLPSVSREERPSRSYLVGGRTENLRRGETTADGRLYSTHSAANALSEYNRMQRYHGAELETTTIPVSSRYSFAGPSYSIR >RHN79986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34139624:34146101:1 gene:gene3850 transcript:rna3850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MAPRPNPKIAAALQAMTAFGIPEAKVKRVLKKLLKLFDKNWQLIEEENYRALLDAIFEEGDDFEEPEPQKKNKRVNEEETEAEEAPLNVESARPLKRLRLRGQENSSPSSASSPLKKSNIEKATALEGGSSQQPRNNVVSSDGNGRVAARPMRDASFDRGKQPASPHASYEFTVPKSEPVDEEDYERRPLAMVPPEPSSLRRYSRKNGVTEKQGAHVSMTPSHHNTHKEVVSDVVIASSAQGEVKLSLSCSSALQGPDFRMPTQEQLLKMIEDKCLRSYKIIDPNFSVAKMLRDVCDCMLEFSTDSNDNSQEGSMPKSSIDVSKESEVDGTPAIGGSKDLDTGSHSSNGSIQVNSFSALVSPRGPFSPAHQSSLDDAVLVSEMNRTNDIPQSDVRMQPEDPVSPNSLSLVVVPHHQSTEDRIRSYHDVSDLTKGEENNQISWVNESSTNDFPPPFNYIPQNLVFRDAYVNISLSRIGAEDSCSCIGSCVLLSDTHCACTCKTGGEFAYTAQGLIKEEFLEQCIAISHNPQQHCFYCKDCPLERSKSDGCLEPCKGHLKRKFIKECWSKCGCGKQCGNRVIQRGITYNLQVFFTSEGKGWGLRTLEELPKGAFVCEFAGEILTIKELHERNIKCAENGKSTYPVLLDADWDSTFVKDEEALCLDAASFGNIARFINHRCSDANLVEIPIQIECPDRYYYHFALFTTRNIASHEELTWDYGIDFDDHDQPVKLFQCKCGSKFCRNMKRSNRSTRSALIAG >RHN69669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45413901:45414934:-1 gene:gene18170 transcript:rna18170 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPEPPPQNNQTVVTIFSLILNPKTMFQALPLMVAPPPSWLAPTRPPLEPPPISSTTVKFIVPPDPDLCCPPPKPPWLICCDFVFYVCCIVFWILYSCLVCIKCVCQLSFDVWYNIRRVVLYEAAPDFNSFAF >RHN45130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12034705:12036907:-1 gene:gene39340 transcript:rna39340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGF29 tudor-like domain-containing protein MFVFIFSSATEGNEKKRKRVKIEHISRLTPSMRNQLKACANLKGEKVAARVRDDWFVVEVINFDKKLMEFEVLDEEPGDDEDNSGQSWEIVKYCTTFEWFVLIFSVLFASFRQYKLPMGNIIPFPKSNDPSSAQDFPPGKHVLAVYPGTTALYKATVVQGHRRRKTDDYVLEFDDDEEDGSLPQRTVPFHTVVPLPEGHRQ >RHN57541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39932459:39933127:1 gene:gene33100 transcript:rna33100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MEAFRRVCDITNIQLVDLSENNPSGRIFKCLKNFSVMSQNVSPNRTIVFVFVYYKGTLVYEGYDFFALLMWKGNIPEEIGNLIELVSLNLSNNNLNGEITSKIGRLTSLEFLDLSRNHFSGLIPPSLAKIDCLSLLNLLDNNRSGRIPIGTQLQSFNASNYEGNVDLCEKPLDKKCLGGPRNQKHQKKVVQKIKNQFI >RHN81563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47053843:47055578:1 gene:gene5629 transcript:rna5629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MVFTKNTLILVLLCFILIQEVQVEMLEIYSGNQHIVAAQIDCNGKCGYRCSKASRQKICMRACTSCCRKCNCVPPGTSGNSDMCPCYASLKTHGGKLKCP >RHN72497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8085799:8086335:1 gene:gene8216 transcript:rna8216 gene_biotype:protein_coding transcript_biotype:protein_coding MWNILASECGSQKFGFPPKPYRINNHAIRKKLKIVFSTSIIRCLPHLLKMRIKECKELKNIIELDDLEDKKSSKTCFPKLGTLVVVKCIKLNHVFPISICKELPELNYLIIREAYELEEIFVSEGDDHKVEIPNLKVVIFENLPKLSLASASTADFIFDINGLYASKFGYGTHSIQYF >RHN63452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51398308:51406829:1 gene:gene26151 transcript:rna26151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MTFLSAVFRSIYPQNKTHRSTLNPSKNTTFVSAQQFHLRNKHNTFTMNRMKAITSSLRYLKSSSLATRVLATRNQVSHFSSSSFSLPLLNRSKDLLCFHNNHQKHYFSSKPNMILERVFTNDWSEELEKELEACRESLTHETVVYVLKRLDKNPIKVFTFFNWVSEKEWFMASSSVYSLVLRVLASNKEMKEFWIVLRAMKEKGFYLDEETYLTISTGLKRENLKSDIVALSHFYKGMLEQNAMQNVVKKVVGIISGSDWDDKVENELEKVKIQLSDNFVIRVMKELRDSPLKAYKFFHWVGKQSGYQQNTVTYNAVARVLPRMESIEEFWSILEEMKSVGHELDLDTYIKISRQLQKNKMMEDAVKLYEHMMDSSYKPSVLDCIMLLKSISASDKPNLDLVFRVAKKFESSGYTLSKAVYDGIHRSLTSAGKFDEAEKIVETMKNAGYEPDNITYSQLIFGLCKTGRFEEALKVIDDMKANNIWVDIKTWTILIQGYCDAGKLDDALFSLYKMIETKDADAELLEVLVEGFLKQKRIDGAYKLLLEISTKCRTCPRQATFKKLIDSLLGVRKFEEALDLLRLMKSKQYPPYHEPFVSHISKFGTMEDAAEFLRVLSLKNYPSHTVYLQIFESLFQEGRLSEAKDLLYKCPHHIRKHSKISELFGSSKSQTAETQATDSQIAAT >RHN54101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6932416:6935332:-1 gene:gene29085 transcript:rna29085 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDSDAPEEFTAEQGIQLDEEIRKTKRENTIRVNIEEKERRRKWAQNLTPRPSKATKKSRDTSNTESEQEPNTESQQKPNTAVEFLPKSIVEMLAAKEKKNLLTETDEEEDKLKATTSRKRKSKDSGSEPIIVSKPAPPQCLKTALGFLKERKMSVPRSSAVLKNSNQALRLLSNSGIIRQK >RHN78727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17884216:17886302:1 gene:gene2374 transcript:rna2374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-pyruvate monooxygenase MTSHATLKPLPHHKFIPPLHSIYTPTHLLSLSTLLNSNNKTSPHSHSHSLFFSFLKHNLISLTSSIITQTKMHNISLNMPPMSQTFDPEDILKNRCILVNGPVIVGAGPSGLAVAACLKDQNVPFVVLERANCIASLWQNRTYDRLKLHLPKQLCQLPNFPFPDHFPEYPSKFQFISYLESYAKNFNITPNFNETVLSAKYDETFGLWRIKSNRIKSDKVVEVEYICRWLVVATGENSEKVVPEFEGLSDFGGNVMHACDYKSGVNYSGKNVLVVGCGNSGMEVSLDLCNHNANPSMVVRSSVHVLPREIFGKSTYEVAVMLMKRLPIWMVDKILLGLTRVILGNVEKYGLKRPCMGPLELKNTSGKTPVLDIGALEKVRSGEIKVVSGIKRFSQGKVELVDGKVLDIDSVVLATGYRSNVPSWLKENEFFSKDGMPKDPFPNGWKGNAGLYAVGFTRRGLSGASLDAISVSQDIAKSWKEENKQRKKTVAARHRRCISHF >RHN62053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40336314:40338531:-1 gene:gene24583 transcript:rna24583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate oxidase MERTTFLFMLCLLIGTTSMVMGEDPYFYFTWHVTYGTLSPAGVPQQVILINNEFPGPNINSTSNNNLVVNVFNELDEPLLFTWAGVQQRKNCWQDGVPGTNCPIAPGTNYTYRFQVKDQIGSYFYYPSLGMHRAAGGFGGLRINSRLLIPVPYADPEDDYTVLIGDWFTKSHSTLSKLLDSGRALGRPQAVLVNGQNAKGDGSDKPLFTMKPGKTYKYRICNVGLKNSLNFGIQNHPMKLVEFEGSHTVQNSYDSLDVHVGQCFGVLVTADKEPKDYYMVASTRFTKTVITGKGIMRYANGKGPASPELPEAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTVKLINTVSREGGKLRYALNGVSHVDTETPLKLAEYYNVAEKVFKYDTIPDMPENLGTSVTLAPNVLKFKHRTFIEIVFENHEKSVQSYNLDGYSFFAVAIEPGLWTPEKRKTYNLLDAVSRHTIQVFPKSWAAIMLSFDNVGVWNLRSEIAENRYLGQQLYISVPTPERSLRDEYNLPENSLLCGIVKDLPKPPSYV >RHN58811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5121843:5129703:-1 gene:gene20760 transcript:rna20760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKLGLIISFLLYFVTLMLMLTQGCNGCLEKERIGLLEIKHYILSQQDEGDSYNDKELGSWVDDRDSNCCVWDRVECSSGHITELFFDRLLFWTSDPKMLNVSLFCPFKELRLLDLSDNDIQGWIGNEDFPRLTKLETLGLSSNNLNSSILSSLNGLTALTTLYLDFNNIDNNFFPQGFPRLKRLESLDLSGNDYLNSSILPSLNGLTALTTLNLGFNSMKNFYVQGFSRSKELEVLDLSYNELNCNIITSLHGFISLRSLILNDNEFNCSLSTLDFAKFSQLELLDLGGNQFIGSLHVEDVQHLKNLKMLRLSDNQMKGSIEGLCNLKDLEELDISKNMFGAKLPECLSNLTNLRILDLSHNLFGGNFPSFTTNLTSLTFLSLYENYMQGSFSLINLANHSNLQHLYISSKNSIGVHIETEKTKWFPKFQLKSLILRNCNLNMKKGSVIPTFLSYQYNLIVMDLSSNNIGSLPSWLINNVGIQYLDLSNNNFSGLLPEDIGIFLPSVTYMNFSSNNFEGNIPSSICKMKKLKYLDLSQNHFSGELPKQLAADCNNLQYLILSNNSLCGNIPKFVNMVVLFLNNNNFSGTLDDVLGKGNNRRLILLSISNNSITGKIPSSIGMFSHMQFLFMGQNQLEGQIPIEISNMPWLHILDLSQNKLIGAIPKLSSFKYLRFLYLQQNDLSGSKPSELSEGSKLQLLDLRENKLSGKIPNWMDKLSELRVLLLGGNNFEGEIPIQLCHLKNITIMDLSRNMLNASIPSCFQNMSFGMRQHVHNDDDDGSIFEFSMYKAPTAISFNASLLIRHPWIGNSLKNLQFEVEFRTKHNEYFYKGKVLEIMTGLDLSCNNLTGVIPSQIGDLQQIRALNLSHNHLSGPIPITFSNLTQIESLDLSYNNLSGKIPNELTQLNFLEIFNVSYNNLSGTPPSTGQFGGFVEENYIGNPGLCGPFLNRKCEHVESSASSQSNDDGEKETMVDMITFYWSFTASYITILLAFITVLCINPRWRMAWFYYIRNDKQGPKEGSS >RHN65546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3122315:3125113:-1 gene:gene13393 transcript:rna13393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MNLCDFCSTRSLCNHFNFRQPPPAVTTWNHCRHPPPEATSFSLVIPPPVSDMKTSIQHHTKTPIKNIISRFSTPHFPFCTYQTKTHHSHDKTNFLHTGFQPNGSDSITFDVACNMFDEMPELLTVGLVTEIITSFSKQSRHEDAIYLFSRMLASTIRPNEFTFGTVLNTSTRLGKVGVGKQIHGCAIKTSLCSNVFVGSALVDLYVKLSSIEEAQKAFEDTEYPNVVSYTTLIGGYLKSGRFEDALRVFNEMPERNVISWNAMVGGCSKIGHNEEAVKFFIDMLREGFIPNESTFPCAISAASNIASLGFGRSFHACAIKFLGKLNDFVGNSLISFYAKCGSMKDSLLIFDKICKRNVVSWNAVICGYAHNGRGAEAISLFERMCSEGIKPNKVSLLGLLLACNHAGLVDEGFLYFNKARIESPNLLKPEHYACMVDLLARSGRFTEAQNFIRRMPFNPGIGFWKAILGGCQIHHNIELGELAAKNILALDPDDVSSYVMMSNAHSAAGRWSDVARLRTEIQEKGMKRIPGSSWIEVKGKVHAFLKADENNNNKNDEIDVLLRIFLLHSRENESSDWLNNYCDFSAIFIIHLGINSDKVTSLAEIEVFDEDSRSTIALALPTENAGRVGLGRVTVSPASM >RHN51821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25972326:25974104:1 gene:gene36357 transcript:rna36357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKLTISKGKLTIYSISVEALTINQSPTTTACVFFYRLPSKQVPLTHAQMSSCCARFRSSTNPISLFPFLRIRLYSHSSFNSNNLDNVVSSFNHMLHMNPTPSIIEFNKILGSLVKSNNNHYPTAISLFHQLEFHGITPDIVTFNILINCYCHLWEMDFAFSLFGKILKVGFQPNTITFNTLINGLCVNGKVKEALHFHDHVISLGFHLDQVSYGTLINGLCKLGKTTKALQVLRKIDGKLVNTNVVMYSTIIDSLCKEKLVTEAYELYSQMIVKKVSPDVVTLSSLIYGFCIVGQLKEAFCLFHEMLLTNIHPDVYTFNILVDALCKEGKIKEAKNVIAVMMKEGVEPDAVTYNTLMDGYCLANEVNKAKNVFNVIGKRRMTPNVCSYNIIINGLCKIKMVDEALNLFNDMCCRGIAPDKVTYSSLIDALCKSGRISHAWELLDQMHDRGQPADVITYNSFLHALCKNHQVDKAIALVKKIKDQGIQPNINTYNILIDGLCKEGRLENAQVIFQDLLIKGYKVTLWTYTIMINGLCLEGLFDEAVTLLSKMEDNGCIPDAVTYETIIRALFKNDENDKAEKILREMIARGLL >RHN50412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6902008:6909759:1 gene:gene34694 transcript:rna34694 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLEASKLTLPTPSLSSTNPTTSSILFEPSSLSLSITHSNSSISLYPSLSPLSLSSSLQFPQTLIPKPTSSSTFLILQQNSSNPNSTNPNSVIFIVCGPHKSGSQILLRFYVLNRKNNAFSKVNRVLCGGSGNGLLRFERELGVLMNVKHGVSVKVVGDVNYFAVYSVSGFKVWVFAVRMVEEGEDGGGFGLRLMKCAVIRCLRPVWSLSISFGFLILGEENGVRVFGLRRLVKGNVVVRRVGNSKSSLKQLANGDRGGKCRGGGDKVLEGNCNGGFEGKIEKHGVAVRQSNVKSKHGNRDGGACFLALKGNEVETKSMSKVSKSVKAISIKALSQKMFMILDSHGDLHLLCLYNSGFGVDITGHVRQLPHVMKVKSLAVHPDISATSQTIWISDGCHSVHMFTMDMENALNVADVNDDGDEKLMHLQVTQVLFSSEKIQDIIPIASNSIMILGQVKKFICICYFLKLLQIFIFFHLEINHLGSLDVLMHTCVVPGIYSIFHSALVASLQCILKILVISKDYRIHGTG >RHN57822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41944408:41948059:1 gene:gene33411 transcript:rna33411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative B-cell receptor-associated protein 29/31 MVQLLFALLSIELTVILILSFANPIRKLMVKVLDLLKRGRGPLIIKTIATTVFIVFGSTIYTILKIHKRSMDAGMVNPTEEVLMAHHLLEASLMDGKRMR >RHN42852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41448072:41450688:-1 gene:gene49349 transcript:rna49349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-galactosidase MWCVICWNSRTMRGFTLCLVSFLVLFGSWFQSVSSQNVTKSDIQQAAFPPRGWNSYDAFSWIISEEEFLQNAELVSQRLLAHGYEYVVVDYLWYRKKVPGAHSNSLGFDVIDQWGRMAPDPVRWPSSKSGNGFTEIAKKVHSLGLKFGIHIMAGISTQAVNANTPILDTTTGAAYQESGRVWHAKDIAIQERRCGWMTNGFMSVNTTLGAGKAFLRSLHEQYAAWGVDLVKHDCVFGEDLDLNEITYVSEVLSKVNRPLLYSLSPGVKATPDMAKQVSGLVNMYRIAGDDWDVWGDVKSHFNVSRDMAAANLIGAKGLKGNSWPDLDMLPFGWLTDAAVNDGPHRSCRLTLEEQRTQMTLWAMAKSPLMYGGDVRKIDNATYEIITNPTLLEINHFSSNNKEA >RHN46953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36003641:36004873:1 gene:gene41495 transcript:rna41495 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSILFAGNWSILPQRLPSVTPPVSSTLSSQGSYLAMRKVDWANLNELPLSLVLEKLEVQTDYVRFGVVCKNWLSAAKLNHKNHQFRNVLPMLMILSKKLSRIHAISQTKKISLYNILSKKDYPIQFSHPSINTMKLCLGCSHGWLALVDDNNAITLVNPFKCSIAPISLPPLKSLKKVTLSADPFTSPSDYVVAVIYNFGSLAFKRPSQSFWIRVNTNEFSFTDVVFYKGLIFVDSTDTIVSFKLNNPSSDDSFDPNFSYYEKMASTPYFFPPELYSYGRTYNGRAYFVKSLTRDIWMMKRFLIGRDLIKPCIFKLELDAQSGKLEHMNKLESLEDSILFVGSVGDSISVPASAFSKLEKDSIYFVNGLETDIYIFNVKDGSVQRPPSVLSFNCMQQFWVLPQLHWD >RHN75636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43968313:43968684:-1 gene:gene11869 transcript:rna11869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II protein D1 MTAILERGDSENLWGRFCNWITSTENRLYIGWFCVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNDDRYNIFFFFLNHMS >RHN52966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40738733:40739351:-1 gene:gene37708 transcript:rna37708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MYATCVGVGCGQKFGEKKWKCLMQVVNCLMLCYKQSTVVVSDSLDKQLREETGAGMMDCKKAHAETEGDLEKVKAYLRKKGLSFADKKSRRLAAEGRIGTYIHDSCIGKIQGVQFVSIEDILKTIVAKEKELKMQREDLALKPENIREKIVEGRISKRLGGLSLIEQPFIKDDSVSLKDLERQSIAVRLLI >RHN82297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52647156:52647756:1 gene:gene6452 transcript:rna6452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MDPDVNTLRLCVGDRCLIIQLYRADSIPQSLRKLFLDWVYKFGGFSNDWHRERITRSKHGLKMYKDPMELRLLKDGLENLSVEEMVHELLGFEVELKEEIRKSDWSQKDLSDDQVLYAYVEAYAAFAIGVKLRMWDLCF >RHN68892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39403184:39405798:-1 gene:gene17308 transcript:rna17308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MEKVSLYACLILNLSLLVIFPYSKASQADKLNEFILSRKSQNPPKTLSWEEGDALKTLFSSAAYVAPPQEELRLADKIVTLPGQPYGVNFDQYSGYVTVDPETGRELFYYFVESPCNSSTKPLVLWLNGGPGCSSLGYGAFQELGPFRVNSDGKTLYRNPYAWNEVANVLFLESPAGIGFSYSNTTSDYDKSGDKSTAKDSYVFLINWLERFPQYKTRDFYISGESYAGHYVPQLASTILHNNKLYKNTIINLKGISLGNAWIDDATSLKGLYDNLWTHALNSDQTHELIEKYCDFTKQNYSAICTNAMNMSMIEKGKIDSFNIYAPLCHDSTLKNGSTGYVSNDLDPCSDYYGTAYLNRPEVQKALHAKPTNWSHCSGDTDAVGVTISRYPINTLKLPIDSTWRPWYSGKEIGGYVVGYKGLTFVTVRGAGHLVPSWQPERALTLISSFLYGILPASVSPSN >RHN69528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44161718:44163658:1 gene:gene18008 transcript:rna18008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-pyruvate monooxygenase MEVEIPVVIVGAGPGGLATSACLNKHSISNIILEKDDCHASLWRKRAYDRLKLHLGKDFCNLPHMSFSSDFPTFVPRVDFLRYLDDYVTHMKICIRYNRYVNDASFDATHGKWRVCVHDTTLNLDEIYVADYLVVATGENCDPYIPMINGLESFEGEYLHCSKYLNGRPWYDKNVLVVGSGNSGMEIAYDVSTWGANTSMVIRSPVHYLTKEMVYIGMSLLKYISIEKIDKLMVFMSKMVYGDMSKYGLVRPKDGPFAMKKKGGRTPTIDVGCVKQIKKGKVKVYPAISSIKKGKIIEFADGKSGQFDVIVFATGYRSSVQKWLKDYKELFNENGMPKARFPDHWKGENGIYCAGFSQNGLQGIHFDALKIANDISFTVNAMKHHDADANAGIKLLDE >RHN65889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6259913:6262420:1 gene:gene13782 transcript:rna13782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSSLTGLQEMEIDNLPNLQSFVIDDLPISLQELTVGSVGGIIWKNEPTWEHLPYLSVLRINSNDTVNKLMVPLLPVSLVRLCICGLNGTRIDGKWLQHLTSLQNLEIVNAPKLKSLPKKGLPSSLSVLSMTHCPLLDASLRRKQGKEWRKIAHIPSIIINDEIIT >RHN65187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:98318:101964:1 gene:gene13004 transcript:rna13004 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CAD2 MSSSNLGNVVCVTGASGYIASWLVRLLLHRGYTVKATVRDPNDPKKVDHLVKLDGAKERLQLFKANLLEEGAFDSVVQGCHGVFHTASPFYHDVKDPQAELIDPALKGTLNVLNSCAKSPSLKRVVLTSSIAAVAYNGKPRTPDVVVDETWFTDADFCAKSNLWYVVSKTLAEEAAWKFVKENNIDMVTINPAMVIGPLLQPVLNTSAAAILNLINGAQTFPNASFGWVNVKDVANAHILAYENASASGRHCLVERVAHYSEVVRILRELYPSLQLPEKCADDKPYVPIYQVSKEKAKSLGLEYTPLEVSIKETVESLKEKKFANL >RHN75906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46071169:46072127:1 gene:gene12165 transcript:rna12165 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMLNIRHGLPLCLSGIMHPLPLSHEMVDHDRRRKSMMMMRKQRSVGREYFDGDLNDILYDLILYHTLTAKAA >RHN38900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2728172:2728513:-1 gene:gene44877 transcript:rna44877 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFLPDSESLPWYLFAGMSLVAIPWLSWFLILIYRCIRPINVQFDEHHTNNYGNTGAIWTPKSPQTAATAVRSPRGTKSPSHSPVGGGGEECRVQFGTVVEMGDEFGGGSEE >RHN38645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:969952:975647:-1 gene:gene44603 transcript:rna44603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDYEEDEEEVGEEVEAMKAVYETDCVILRSIPPHFHLSLKPRTADVSYDQFVEIVLEVKATPKYPKEPPSVALVDCKGLDQQRQKHLLNHIESKANELSPGLMLVALCEEAVEKLSAMNHPDGDCPLCLFPLVTEGHQSETLPFMKLMSCFHCFHSECIIRWWNWIQSSKETGSANADNATVHRNQDNLEKLEEGVGNCPVCRKPFHAKDLDHVLDLVGSHSSRVSLNNDEVNNDEKILQSENEIIRKQRFEAILSLQKENNGLIEPKKDIVILPGMYLQQPVAAPTSTKEPDEMEQQERDPPAVGSGRHVGGTSNGPSSSGNRNIGARRNRPRNGHHSSSTARHPRKPVQQQWVRRDNPSNKQ >RHN57536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39909140:39914235:-1 gene:gene33095 transcript:rna33095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MLLYFITCVSFFLFLKPLLLLKPLPSWTNEVRLISLLFHKDFCLKSFCKLFRKTLVSRMSLVKKTLVSKVENVEEEVDSLQQNLSVLDLPELVLECILEKLPPSSLCQMAGVCHSLRERCVSDHLWERHMKQKWGRVIGSVAYREWKWHVATKKNIGSLRHGKPRSLIKFMSLYWPFSWMRMKVDAAYSNSANKQNSYLPPDSFMTWYLALETGNFSFPAQVYNRENGHVGFMLSCYDAELSYDPRTDTFQARYPPHGRRAAAVEHGIPWERLRAPPVDTPPHDLHITECLHDLHAGDHIEIQWRRNKDFPYGWWYGIVGHLESCDGNENYCRCHNSDTVVLEFNQYTSDSRWRRTTINRKDHREEGNEADGFYGGVRKIKNEKEISIWKGLWPSEVLD >RHN43542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46665506:46674242:-1 gene:gene50141 transcript:rna50141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ligase MEATMSAACNSLTSPSTVTGLFATRGGGLNKNSHSQCTFLTGTNKLKFPRQTTQPCKQRQTRHCGALRATCRLEKILVANRGEIAVRVIRTAHELGIPCVAVYSTIDKDALHVKLADESVCIGEAPSSQSYLVIPNVLAAATSRQCTMLHPGYGFLAENAVFVEMCRDHGVNFIGPNPDSIRVMGDKATARETMKKANVPTVPGSEGLLQTTEEAIRLAHEIGFPVMIKATAGGGGRGMRLAKEPKEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVQAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYKQEDIVLRGHSIECRINAEDAFKGFRPGPGRITAYLPSGGPFVRMDSHIYPDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALDDTIITGVPTTIDYHKLILDIEDFKNGKVDTAFIPKHEEELAVPPQQKMVLVNKVVTEPAGSTA >RHN59126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7730009:7731076:-1 gene:gene21116 transcript:rna21116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction METKTLLYLPHELIIQILMRLPVKSLICFKCVCKSWFSLISDPHFANSHFQHTSATHTRRFLCISPLSHEIRSIDPEAFLNDDPGSQNRNFLFSESYFPVEIRGSCRGFILLYQPPNIYVWNPSTGFKKQIPVSPFDSKLLASCHGFGYDQSRDDYLVVVFSHVSSHLEVFSFRDNMWKEIDCIHFPYVLIVVPSQGKGFLFNGVIHWLAYRRDLDLDVIVALDLMEKKTFEMPVPSDFDHRFLYNMWVFGEFLSLWAQDYDNDTIVIWVMKEYKMHSFWTKTLVLPLHRIPGHYFHPIHSTKNGDIIGRSHDTRLVKYNDKGQLLADRPFCSSQSEVVMYTESLLSLLGDNEHA >RHN69523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44124361:44126246:1 gene:gene18002 transcript:rna18002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-PERK-2 family MWDLIVIQGISIKGEHRHLMTSQIGQKQNQCVFLPKSSGAPILCVGCGIRTELSIKESNRFSYSEIQLATNDFSKDNLIGEGGYGHVYKGVLKDGQQIAAKVRKEESAQGFSEFHSEVYVLSFARHKNIVMLLGYCCKENKNILIYEYICNKSLHWHLFENSEAVLDWSQRYGIALGIAKGLRFLHEECRGGPIIHRDMRPSNILLTHDFVPMLADFGLAKWNTGDDSHQTRIMGTFGYLAPEYAEDGIVSEGTDVYSYGVTMLQLISGRQVANLNNPDQQQQSLRQWAEPIMEKLALHELIDPRLGESYDTYELYLMAKAANFCMQRNPEMRPSMREVVRFLEGESYHFHTLDGQFQPNHNT >RHN57496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39527588:39534318:-1 gene:gene33045 transcript:rna33045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MSQPKIKRRVGIYEVGRTIGEGTFAKVKFARNSETGEAVALKILDKEKVLKHKMAEQIKREIATMKLIKHPNVVRLYEVMGSRTKIYIVLEFVTGGELFDKIVNHGRMGEPEARRYFQQLINVVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLVAGYLPFDDPNLMELYKKISSADFTCPPWLSFSARKLITRILDPNPMTRITMAEILDDEWFKKDYKPPVFEESGETNLDDVEAVFKDSEEHHVTEKKEEQPTSMNAFELISMSRGLNLENLFDVEQGFKRETRFTSQSPADEIINKIEEAAKPLGFDVQKKNFKMRLANSKAGRKGNLNVATEVFQVAPSLHMVEVRKAKGDTLEFHKFYKKLSTSLQGVVWKTEDDMQKQEAK >RHN47771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42415471:42419610:1 gene:gene42410 transcript:rna42410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative V-ATPase proteolipid subunit MARPVLTALVVCEGGARVRKCGVWKTQILYLGLYKTTTRLKHKNKRQTSNKLTNKNDSVFLFLFSPTTQNLQDPIPIPNPLSPHATTITMSSSAIIAAHSSSWAAALVRISPYTFSAIGIAVSIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPKSSIYEPESLRAGYAIFASGLIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPSKI >RHN47336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38987765:38988289:1 gene:gene41924 transcript:rna41924 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEGEGKKKVVVQKTEACGFMAGVEDELGFVNVKGDNNNGSGQRIHHDHGFVAAAFGTVHRKKRMARQRRSSSSTITIHLKNLPSSTTTTTTTTTSHVPISPIPPLFHSLPPARLDLQKLVFLCLVILTIILIYVFSQQAFPMLNV >RHN46832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35183159:35202759:-1 gene:gene41363 transcript:rna41363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFSSSCMQDTIERYRRNTRSAQPMQRSDEQNMQNLKHETASLMKKIELLEASKRKLMGEGLGSCSLDELQQIEQQLEKSVSVVRARKNQAYKHQIDQLKEKEKNLVAENARLSKQPPQPQPQPTTKDHQREDQQPYAESSPSSDVVTELFIGLHRSS >RHN42421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38227787:38229067:-1 gene:gene48859 transcript:rna48859 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIYVELMTPTMEEIRYAANKGMPYMGSCNLSGSLCLVLEVQLGYGILDVQARPR >RHN60260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24326152:24343614:-1 gene:gene22536 transcript:rna22536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MGDGGVACMVLQKEEKQKQNGVEKLENAAAGTEGVSCGGKSENGFGGELVTVNEKKKKKVVKVVKKIVKKVVKKKVKKGGNVKGELALGGVGSNGVVVKSGEICGQVDDEVEEGELGTLSWPGSGLENGEFEFEKPPLPLPPQSNEIENGEFVSVKPSLPPRNNEIEKGEFVSEKPSQQINEIENGEIVSEKLGPPPSPSLSPPRRSNEVENGDIVDERWKRRQVEKGRVDNWRKGEIVSDKGWKGENEKGAYGWWRGGVKGDDVEKGEFIPDRWHRGDTGKDDYGYDKINRYESYRDKGWKTERDREYDREGWKTEYDREYDNEGWKTERDRECTSSSGRHAGNDFYRKKEFNRSGSQHVKSAPRWENGQERNIRISSKIVDEERNEYDGRIHARDYSSGSRMKRHGNDSDGWERKQYGDYAGFKSRRLSDDGSRQVYSEHHVYSEHHSRHSVERSYRNSSSKLSVDKYSSRHHESSLPTRLDYDKRGCSPGFSERSPRDRARYYDNKDHAPRRSPYGRDRSPYSREKSPYDKRGCSPGYSERSPHDRARYYDNKDHDPRRSPYGRDRSPYSREKSPYDKRGCSPGHSERSPRDRARYYDNKDHNPARCSPYGRDRSPYSCERSPYDNRGCSPGHSERSPRDRARYYDNKDHAPARRSPYGRDKSQYSREKSPYGRDRSPYSSHEKSPHDHERSPDDRNWDRSRHRDHKLRSPTHAERSPQSRGRHNGCRDQTPNLIEQSPLDRTRQNIDRETSIKTLSSEKRNSQYSCKNPENKNIQKEPNLSGIESQGERYVNDANGSVERGVCNELKKEQKSCSPAANCKGSPCLQLPPEEQPFMEEDMEEDMDICDTPPHVPVVSDSSSGKWFYLDYFGVEHGPAKLSDIKVLVDDGVLMPDHFIKHIDSDRWLTVENAASPLPAQSFPLIVSDSITQLVNPPEAPGNILSDSGDILQSGPENYQKGQTPSLQSTLSSDDSVLAPERSEDLHFDERVGVLLEGYDIIPGRELEAITEALQMNFEYAKWDDMGDYKGFFGSETCLGMDLDSKIDFAASEHEIMPSDKDNDITVGVPDDWFSARWSCKGGDWKRNDDSQDRYWKKKLVLNDGFPLCQMPKSGCEDPRWSRNKELYYPSHSRKLDIPLWAFCTDELVESSGAVSRPVQSKFASVRGVKGNVHSVVRINSCVVKDQGSLVSESHRKTQGKDRYHSRLARPFSSTRDSKRSSSEEGSYSKTVNDQDSQAYCKSVEFVNISQDHLCTVQDLQLHLGDWYYLDGSGRERGPSSFSDLQSLVDQGIIKKYSSVFRKCDKLWVPVTSSTETYDVSVKSHQESSSVSGEFSGHQSGQSQDVSFVEPRSKSNMFNILYPQFVGYTRGKLHELVIKSYKSREFAAVINEVLDPWINARQPKKEIEKQIYWKSEGDTHASKRARVLVDDSDEEGDFEDGSFIIENDESTLEALSGDVTFSSEESGVTVYKEGRWGLLDGRMLARVFHFLRSDLKSLVFASMTCKHWKASVRFYKEVSRNVNFSSLGHSCTDSILWNIVNAYEKDKIKSMILMGCTNITPDMLEKVLLSFPGLSTVDIRGCNQFEELTPKYTNVKWIKSRNSRITKITDEPHKTRTLKQITDQSLSISKASSLNNKDDFGELKVYFDSVDKRDSSKQFFRQNLYKRSKLYDARKSSSILSRDARTRRWSIKKSESGYKRMEQLLVSRLREIMKANACDFFVPKVAEIEAKMKRGYYSGHGLSSVKEDISRMCRDAMKAKNRGEANEMNHVISLFIQLATRLEESSKYVNDKDALLKLWGNDLPLVFCSTSSKYKKNRLVTERKYRSDEIHVGLDNGDFASDREIRRRLSKLNKKSMDSDSETSDDLDVSSEDGNTDDDTSSSNTDSDQEVHLESRTRESKGNGYCPPNDGLDFISDEREWGARMTKASLVPPVTRKYDVIDQYVIVADEDDVQRKMRVSLPDDYAEKLTAQKNGTDESDMELPEVKGYKPRKNLENEVIEQEVYGIDPYTHNLLLDSMPAELDWSLQEKHVFIEDTLLRTLNMQVRHFTGTGSTPMSYPLQPVIQEIERCAEEHSDTRMISMCQGILKAMDKRPDDKYVAYRKGLGVVCNKEEGFGEDDFVVEFLGEVYPVWKWFEKQDGIRSLQKNSKDPAPEFYNIYLERPKGDADGYDLVVVDAMHKANYASRICHSCRPNCEAKVTAVGGQYQIGIYCVRKIQHGEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFQKVLKEWHGILDCHYLMLEACEVNSVSEEDYNDLGRAGLGSCLLGGLPDWLVAYAARLVRFINFERTKLPEAILKHNLEEKRKYFSEICLEVERSDAEVQAEGVYNQRLQNLAVTLDKVRYVMRCIFGDPIKAPPPLEKLSPEAVASFLWKGEDSFVEELLQCMTPHVDESTLNDLKSKIRARDPSSSKDIQKAVHKSLLWLRDEVRNLPCTYKCRHDAAADLIHIYAYTKHFFRVLDYKTITSPPVYISPLDLGPKCADKLGAGFQEYRKIYGQNYCLGQLIFWHNQSDVEPDCTLSKASRGSLLLPDISSFYAKTHKPSRQRVYGPKTVRSMLARMEKHAQRPWPKDLIWSFKSSPKFFGSPMLDAVINNTSLDREMVHWLKHRPAIFQAMWDQ >RHN46438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31714197:31717655:1 gene:gene40922 transcript:rna40922 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNGRNRGNRNNNNNNRKNKKPRNTNNEFQISRSEESQGSETIIDPPPPNYVLGSDFPPQDAQLLVEFSSRHAGFLLSEQIHTWNMRRQAYEYFATFLKFLRSHSTLDLLTSSKSSVSNDLDVLKMYGFRGEWFDRLVLRLNHHTSLDISDKEMQNLTQDEDDYYRRIVALDEEYAALTRKLYENRSKHLVEREAVDYISNKKKKIMDERARLNVPFII >RHN72171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5524053:5524793:1 gene:gene7854 transcript:rna7854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MMASTKIISVHIVIPLFFLFASTQCEVKSLNVSTLCIKEERMALLNVKKDLNDPYNCLSSWVGKDCCRWIGIECDYQTGYILKLDLGSANICTDALSFISGMVLPHLGNLSNLHYLDISNSFSSLWVRDLSWLSTLSSLQYLGMDFAKFINSPHELFRSVNKMSSMLELHLSSCCSPFIFTVFKYDITFCP >RHN64058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56243067:56245249:-1 gene:gene26836 transcript:rna26836 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFISIPSFLIFFFSLFLILYSTHGISFPFNSSLQPDGVKFIVDKQVDEELSWMAWRSLVVEGVQENKTFVLAQERTLRKDVFNHFKRYTGGWNISNPEYISSVLSTAVPFFVVAATWLVVFGLVLHIICVCYCCCPRDPHGFSQLVCYATFIFLILCTIVAIGGCVVLYTSEQQFHGTTSNTMKYIVSQAEFTIENLKNVTNYLDSAKMMAIEFGLPDDVDEEIDSVKKKIIDVAADISIKTHNNSQMLHNAIHGMRLALIIIVTSMLIVLVLGFFTSILGLKYTLYSLVFAGWILVAGTFILCGAFVFLHK >RHN66150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9076486:9080620:1 gene:gene14077 transcript:rna14077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAELIAGAFLSSVFQVTIQRLASRDFRGCFRKGLVEELEITLNSINQLLDDAETKQYQNTYVKNWLHKLKHEVYEVEQLLDIIATNAQRKGKTQHFLSGFTNRFESRIKDLLDTLKLLAHQKDVLGLNQRACTSEGAVRLKSSKRLPTASLVDESCIYGRDDDKNKIINYLLLDNDGGNHVSVISIVGLGGMGKTTLARLVYNDHKIEKQFELKAWVHVSESFDVVGLTKTILRSFHSSSDGEDLDPLKCQLQQILTGKKFLLVLDDIWNGNEEFWEQLLLPFNHGSSGSKIIVTTRDKHVALVMKSEQQLHLKQLEEKDCWSLFVKHAFQGKNVFEYPNLESIGKKIVEKCGGLPLAVKTLGNLLQRKFSQGEWSNILETDMWHLSKGDDEINPVLRLSYHNLPSNLKRCFAYCSIFPKGYEFEKDELIKLWMAEGLLKCCKRDKSEEELGNEFFDDLESISFFQQSINPLYSRTILVMHDLVNDLAKSESREFCLQIEGDRLQDISERTRHIWCGSLDLKDGARILRHIYKIKGLRGLLVEAQGYYDECLKISNNVQHEIFSKLKYLRMLSFCDCDLTELSDEICNLKLLRYLDLTRTEIKRLPDSICKLYNLQTLILEECSELTKLPSYFYKLANLRHLNLKGTDIKKMPKQIRKLNDLQTLTDFVVGVQSGSDIKELDNLNHLRGKLCISGLENVIDPADAAEVNLKDKKHLEELSMEYSIIFNYIGREVDVLDALQPNSNLKRLTITYYNGSSFPNWLMGFLLPNLVSLKLHQCRLCSMLPPLGQLPYLKELSISYCYGIEIIGKEFYGNSSTIIPFRSLEVLEFAWMNNWEEWFCIEGFPLLKKLSIRYCHRLKRALPRHLPSLQKLEISDCKKLEASIPKADNIEELYLDECDSILVNELPSSLKTFVLRRNWYTEFSLEEILFNNIFLEMLVLDVSRFIECPSLDLRCYSLRTLSLSGWHSSSLPFTPHLFTNLHYLELSDCPQLESFPRGGLPSNLSKLVIQNCPKLIGSREDWGLFQLNSLKSFRVVDDFKNVESFPEESLLPPTLHTLCLYNCSKLRIMNYKGLLHLKSLQSLNILSCPCLESLPEEGLPISLSTLAINRCSLLKEKYQKKEGERWHTIRHIPSIKIDYIEQQG >RHN81741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48618424:48620764:1 gene:gene5826 transcript:rna5826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MENQPSPLPPKTYKLTATSISYSKNLQTNNTIIAPFLFFKHCTPTPPNYILKDVSLTAYPSEILAIVGPSGAGKSTLLDILSARRLPLSGTLSLNSSPITNPSTFRKLSAYVPQHDACLPMLTVSETFAFSASLLKPKTIDIAAIVSSLLNELRLTHLANTRLNHGLSGGERRRVSIGLSLLHDPAVLLLDEPTSGLDSSSAFKVMQTLKSTCVSYNRTIVLSIHQPSFKILSCIDRILLLSKGTVVHHGSLASLQSFLLSKGFTVPHQLNALEYAMEILNQLNEIEPMITPPSLPESPENSESSIACTSASDNIGRTKGKSREIRYKSSRIHEICTLFRRFWKIIYRTKQLLLTNTAEALLVGLVLGTIYINIGFDKEGIEKRFGLFAFTLTFLLSSTTETLPIFINERPILLRETSSGVYRLSSYLIANTLVFLPYLLVVAVIYSIPVYFLVGLCSSWLSFAYFVLVIWVIVLMANSFVLFLSSLAPNYIAGTSLMTVLLAAFFLFSGYFISKDCLPKYWLFMHFFSMYKYALDALLINEYSCLATRCMIWFQENDQECMITGGDVLQKRGLHEGQRWTNVYFLIGFFVFYRVLCFLVLLRRVSRSKT >RHN39873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11254112:11260256:1 gene:gene45953 transcript:rna45953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGGCCCSARKTHLHGTPVYYYCPPILEEHDPLASNDAANDSLTAGLLVGLNVEESTPDTYQPPPAPLPYDVVLGVPVSTDSESGKDTVSGSSFETLVTCEDIEESDLKAQAKSAPISPTKAELWKSNELHALVIEEEDGCPICLEEYDVENPKTLSKCEHHFHLACILEWMERSDSCPICDQEMIFVQELD >RHN68419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35331811:35345818:1 gene:gene16770 transcript:rna16770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative clathrin, heavy chain MAAAANAPILMREALTLPSIGINPQHITFTHVTMESDKYICVRETAPQNSVVIVDMNMPNQPLRRPITADSALMNPNSRILALKAQLQGTTQDHLQIFNIELKAKMKSYQMPEQVVFWKWISPKLLGLVTQTSVYHWSIEGDSEPVKMFERTANLANNQIINYRCDPTEKWLVLIGIAPGSPERPQLVKGNMQLFSVEQQRSQALEAHAASFAQFKVPGNENPSTLISFATKTVNAGQVISKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVSMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEATSVGGFYAINRRGQVLLATVNEQTIVNFVSGQLNNLELAVNLAKRGNLPGAEKLVVERFHELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAEKREFDKILIYSKQVGYTPDYLFLLQSILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYTELPDIKRVIVNTHAIEPQALVEFFGTLSKEWALECMKDLLLVNLRGNLQIIVQVAKEYCEQLGVDACIKIFEQFRSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESSFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTSNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVAECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGVCDDELINVTNKNSLFKLQARYVVERMDADLWEKVLNPDNTYRRQLIDQVVSTALPESKSPEQVSASVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADSSRVMDYVNRLDNFDGPQVGEVAVEAELYEEAFAIFKKFNLNVQAVNVLLDNIHSIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLDVIRAAQDGNAYQDLVRYLLMVRQKTKEPKVDSELIYAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIFAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDEEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFATRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVIAKVANVELYYKAVHFYLQEHPDLINDVLNVLALRVDHARVVDIMRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGERELAEELLVYFIDQGKKECFASCLFVCYDLIRVDVALELAWMHNMIDFAFPYLLQFIREYTGKVDELVKHKIESQNEVKAKEQEEKEVIAQQNMYAQLLPLALPAPPMPGMGGGMGGGYAPPPPMGGGMGMPPMPPFGMPMGGY >RHN75802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45227732:45228418:1 gene:gene12055 transcript:rna12055 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEMSYVERIYESHKKMQGKTEEFVKDFLVKQAQLSLMDDMIGERKKELKTKETELCQIMDNIDKVRKGMEWELKAFSNRTAECTLELKTKEKLIKAMKKQIDEQAERLESERMKFLSVMQLSKNDQRAQMMDYESTNKQFEEQVMEIKLKEKSCRERMVELESKEKLFKGCVNKLKLKEKHLEGQVKEFKSKVERFLCEMKELDSEKKHVDSRMKELKLKEMQLEG >RHN79760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31918183:31918974:-1 gene:gene3594 transcript:rna3594 gene_biotype:protein_coding transcript_biotype:protein_coding MELDGNSPLEIGDGEVTTYKKYFGQKHGIQFHFEHQCLLKERHIFPVKNYCHGYRQAKDRGLSFKLYHTNI >RHN61367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35196166:35197018:-1 gene:gene23827 transcript:rna23827 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTGGGAVFLMFFLFFFLANINFFSDRTMLKFTVGKIKQVVDRKIFSDNNVVCIQTQNLFANCLLENRFCPQTWFQRFRYNNFHFFFLPTKHKLLLLFSN >RHN58937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6061307:6070513:-1 gene:gene20894 transcript:rna20894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MSSSKFGSHMQTLVQTQKMDQLEQEVHELRGEVTTLRAEVEKLTSLVSSLMATNDPPLVQQRPQSPYQPMCPQKPRQQAPRQSIPQNQVPQKFIPQNQVQKASQCDPIPVKYADLLPILLKKNLIQTLPLPRVPNSLPPWYRPDLNCVFHQGAPGHDTEQCYPLREEVQKLIENNVWSFDDQDIKVLLQQQHLAPHSVAAVRPITNVVQDPGYQPQFQQYQQQPRQQAPRIKFDPIPMKYGELFPYLLERNLVQTRPPPPIPKKLPARWRPDLFCVFHQGAQGHDVERCFSLKIEVQKLIEDDLIPFEEFGSECAS >RHN72490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8051887:8053658:-1 gene:gene8209 transcript:rna8209 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGCCGGGCWYCCGGCWPMVGGAMPCPGCIISLGESEISRERKREQLCEERERKLCLGLYLKKNFQNPNSMMMVRLIFFGFLDIIIFIFNFELINWLILSICDSLECYDWLMIKQPCFVDTCKSLCSLALLVQKNHG >RHN70064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48413855:48417780:1 gene:gene18607 transcript:rna18607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavinator of succinate dehydrogenase MATIRKAVINVHRLINSNKSIITSSTKPFLHFRPPFAPFTSQSQSPVVINLSNEESKRRLFNRLLYRSKQRGFLELDLVLGKWVEDNIHKLDENRIKALIHVLDLENPDLWKWISGQEQPPESVDVNPVFAALRGKVMKNLDSHSAPETRATLGQPWVRGWDDIKKGRDAPASGNQ >RHN51900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27971215:27972632:1 gene:gene36464 transcript:rna36464 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRTNIGSIESWSKRKGLGADLVRKYEDSETKICLPKVRSLARPCHPPESLLLLLLRF >RHN59521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11645596:11646090:1 gene:gene21563 transcript:rna21563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSDGVESSTATKKITLKSSDNETFEIEKAVALESQTIKHLIDDNCADDSGIPLPNVTGKILAMVIEHCKKHVDATSSDEKPSEDEINKWDTEFVKVDQDTLFDLILAANYLNIKSLLDLTCKTVADMIKGRTPEEIRKTFNIINDYTPEEEEEVRSETQWAFDE >RHN74195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30083031:30083940:1 gene:gene10210 transcript:rna10210 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPTSIILLPLYDNHFNAMASAASSALLQPQQQQSGETISSPSSSAWKSSGSIGPFFAVIIVLTILAVLSCYLTRMCNRREPTPLESIKGRGCFGWVKRRCRRCMSRDVEVGGVGAKVMVCDEEVENDCKVKDGDVQV >RHN70732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53572231:53572374:1 gene:gene19345 transcript:rna19345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MSARKQIGRITYKLGKSWDWDKYKGGHIYGVPLGGTIVQQPFAIGGI >RHN50407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6864420:6865415:1 gene:gene34687 transcript:rna34687 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIFLHIQLFDDGGMIEFLGAIFGCTGACVILYFLAILWYKKMCSLE >RHN57027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35847403:35847794:1 gene:gene32510 transcript:rna32510 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTEKQEALVNSSWESLKQNPGYSVLFYTIIFEKAPATKDMLSFLKGSTGVQDSPELQAHAEQVFEMVRGIKHQLTFYFIHFFRKLFFYS >RHN44928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10053874:10057351:-1 gene:gene39108 transcript:rna39108 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGIVLSAVLLCGCYEGIFGMILLKEKRCLCFLNWACQVEHPVLNG >RHN46694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34113867:34116724:-1 gene:gene41213 transcript:rna41213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MKNENLAHGSGRGKVIDELRRGRELANQLKNILNESGDFDDINGSTTPFAEDLLKEVLTTFTNSLLFLNNNPTSEGSDMQLTKSEDSLESNCKSTSIVKERRGCYKRRKVSQTWEKESDRPVEDGHQWRKYGQKKILHTDFPRNYYRCTHKHDQGCKATKQVQQIQEDPPLYKTTYYAHHTCRILQSSEIIMDSPCDQSSMFLSFDNSFPTPAKQDCPFLSSSYPSTSSSSVKREDCKEEIVHPPPSSNDYLSGLTFDDPEKDVTLSSTLDSHDHLGVNIPDIMYDDVLNWPLS >RHN73553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17629387:17633050:1 gene:gene9378 transcript:rna9378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MEHENDIAKFMKGQLNTPKEEIACFVNRIDNPGPIWNSENVLEYYLKELVKQILAVAVANRVTFWILRPLNQPQFVAELLAAFVINIYIPLKKNPNTIFGYILRIKGLLSFESIAQLGIIFYVFVTGLEMNLDSVLRARKKASSIAIVGTIIPILFGLGTYFLVGKTKGYDENSYMNRNAYLLWSLVVTITSFPVVAHILSDLKILYTGLGKVALTAATMNDFINWAMFICLIPFIINGIIGIVSVILTILFALFCYFVLRPPLNKIIVKKTDEDKWDAYQLTYVLVGVIACATVTEFLGTHSVVGALIFGLILPRGKFTDMLIEQTEDIASGYLAPLFFASIGLRSNTYFLYLSLSQNMLLVCVIMILLISSKIVSTVVATSIYGMSLRDSMALGVLMNTKGVLSLIVLNIGWDRKVLGPQAFTIMVYSIFFMTFVVAPIINAMYKPRATFEQNKLRTIENLRAASEIRIMACVHNARHANGMINILEACNGTTVSPLRVFALQLVELKGRSTALLVAQMDQQEQQLSTVSQTLDQSSETNNYSSNHITNVFEEYASNNANTHVENLVAMSSFSTIHKDIYNLALEKQASLVLLPFHKQNSMEGSLEVMNTVIKDINQNVMQDVPCSVGIFVDRGQHSALIKTKMRILMIFIGGSDDREALAIAWRMSKHPWTMLTVVRIILCGKTAEVDSSVNNEALGLLTAVLDSGKQRELDEDRVGSFRLKAVNNEDTITYEEREVHTGEDIPIVLEELDKLGFDLYILGHGKGRNSLVLTELLEWADCPELGVIGDMLASNSFGSNSSILVVQQYGFGGVDFKTDPSNKENSSNGDLESMFRKAV >RHN56359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30295604:30304184:1 gene:gene31744 transcript:rna31744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family METLGGNSSPSPSLSSASSSSSFGGINTDDNNGSVSWYGMRLPVNPFLSPISFLLDYSGILRSGNDSEGMIVNNGVSGSELRPQVDAGGAVAGSSAGEVAIRIIGAGENIHNQVGEVGYDDCGEELIAERSGMPGLGENDAEGRGGIEASEGVPLVSSSSSSSLAGSGQVDGEAAGNGTENNRDSSSYQRYDIQLVAKWIEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNEIVKKQTALKGDRKVSLLAGIAFAFILHVICIYWWYRNDDILYPLAMLPPNPTPFWHAIFTILVNDILMRQVAMAFKCILLIYYKKGKGHNFRRQAQMLTLVEYTLLLYRALLPTPVWYRFFLNREYGSLFSSLTTGLYLTFKLTSVVEKVQCFISALKALSRKEVHYGVYATAEQVTAAGDLCAICQEKMHSPILLRCKHIFCEDCVSEWFERERTCPLCRALVKAADLRTFGDGSTSLFFQLF >RHN52735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38261762:38262429:1 gene:gene37437 transcript:rna37437 gene_biotype:protein_coding transcript_biotype:protein_coding MYKICIIFFFFLSFLSLLSLPCFLPFSVIYLLPTQQTTIASSLRLRNHHSLTPSPSASLRRPPPPASLRLPPPPEMGQFSVQPPCPLTPCCNRHVLNVTTPSEPPPSIVNYDASSGWISGCLRSGRLVVSDPFFTSLWKRSFYSDSIFMVEM >RHN48311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46528263:46529026:1 gene:gene43013 transcript:rna43013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dDENN domain-containing protein MLYHVVKMCHLPRLPKQRELVSQLSPIHARLSSESSIARKHPVHRCNEVQAEAATQFLNIMWYYLDSLCSDLKSHTITSVQSNNDRVSLLLKDSFIDSFPLRDQPFIKLFVDTQLFTVLSDSCLSSFESGNL >RHN66002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7445555:7446420:1 gene:gene13911 transcript:rna13911 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMQAEIDSLKDKASQVDILKEQVAFLMQMQNSREKQPTNAESPRDGVRSSESSYPP >RHN41840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33490409:33494061:1 gene:gene48202 transcript:rna48202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MNVLKNNTIDSISINSKLIHYKQIYTKTYLLTSKREKNMSKFLKFIYVIIILSFLFYVERGVSSASPFYCVDDDYFCFGLCLPPMIDHCTLRGQCICITISTEVES >RHN81960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50132488:50133582:1 gene:gene6066 transcript:rna6066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein MAVSSYQSIMSSSITSSISSRPRVNQFNNIPSVYMPSFKRNASLKVRSMAEEGQKEQPKVTPQPASTTRSPKISTKFSDLMAFGGAAPERINGRLAMIGFVSAMGVELAKGQGLFDQISGGGIPWFLYTSVLLTVASLVPLFEGVSVESKSKGIMSSNAELWNGRIAMLGLIALAVTEYVKGTALV >RHN75609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43712521:43714723:1 gene:gene11840 transcript:rna11840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MHVHMKAELKATSISFQNPTLFNTHQNTPPLPEPEELKGCLGSLDGACIEKLLLHCASALESNDITLAQQVMWVLNNVASPLGDTNQRLTSWFLRALISRASRICPTSMNFKGSNYTIQRRLMSVTELAGEDEALVINCQNWLRYLSDDRKGQNISLRDAFMNLVKGLNPQIVLLVDEDCDLSASSLTSRITASFNHLWIPFDALDTFLPKDSCQRTEFESDIGQKIENIISFEGHQRIERLESGMQMSQRMKNAGYFSVPFCEETVLEVKGLLDEHASGWGMKREESMLVLTWKGNSCVFATAWVPSEIRDHIGMDAHVS >RHN72938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12010638:12013299:-1 gene:gene8704 transcript:rna8704 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLFTNPLVTGRCWRILVPDWVERGDAKLTKHKNRLVIYFTIMINVDNFFLLPHYTQQVKEC >RHN45922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26791171:26791600:1 gene:gene40349 transcript:rna40349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSWTRNLIDIQLESGGLDLYVRVAHAEPDRGRNKTIIITIEVIVGTLVIVLCAYIMWRRTSKNSGNIPEMSSNYVFHLI >RHN50569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8147520:8152649:1 gene:gene34871 transcript:rna34871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MPTVAVKLYSVFFKFLLKNRLQTRIQTPPNPSNLFGITTRPDESLSSPNPSFTDGVATKDIHIDSFTSLSVRIFLPDTALRDPEKKKGSGKRREKILSLSSLSQPPQRRNSYDPSSPDFPVKEDVRRKSVSGDSDNLNSGGGYGGYLPSMVEDDRRKRKLPVMVQFHGGGWVSGSNETVANDFFCRRIAKLCDVVVVAVGYRLAPESRYPAAFEDGFKVLSWLAKQANLAECSKSNLGVKKTGDGGKRHIVDSFGASSLVEPWLAAHGNLSRCVLLGVSCGANIADYVARKAVETGKLLDPVKVVAQILMYPFFVGNVPTHSEIKLANSYFYDKAMCMLAWKLFLPEDQFSLDHPAANPLVPGHGPPLKRMPPTLTVVAEHDWMRDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDVLLRSTQAQVCAEDIAIWVKKYISLRGHEFSY >RHN81584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47279479:47280089:1 gene:gene5653 transcript:rna5653 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQKKSSVDMKQRPSRFVSMASLTVQLVFGVLTFCYLEITGLGDNFVEKNLIVMRLLLVFTSFNFLIVIFSTLLEHPPIVIESYVPSFICLWLCTVIPVSLFISAVTAIVLSIVWVISFYIARCSVTEQTINYVGVGDRNKFYLCMFLLSYGLMSLAVAVCNNVADTHSSRHIYILMLLTEFCLLTVFAFCIS >RHN53002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41013606:41015051:1 gene:gene37745 transcript:rna37745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MHNWFAYPLTYFRFFSSSSGFQAPMDIHPINNRCPLNNPSSLLMFMPNELIAEILSFLSVKTITQFKCVSKSWNSLISDPTFVKMHFKKSSQNPRLILPILEDPMKDDHCQVVSSPVHRILENLSITVAGDTYNSLKDDHCQVVSLPVHRILDNLSIAVAADTYHSLKDNHFQVVGSCNGLLCLLFNSLSATHSNYWFCLWNPATGTISENLGFFRDSKPISSGPFFTFTFGCDYLSGIYKVVAFRQEGKEVKKNEGLWRNEVRVFSLGDSCWRNIQSFPMVPHICNEGVHFRGTVNWLDLCDDVGSISVGDILKVYIPHVKLFVIVSLDLSPETYTQFLLPKGFNEVPCVVPSVQVLMDCFCFSHDFKRTEFVIWKMNEFGVHESWSQLFRIEYVNLQMHDPPIYDNLDLLGFTRCDTPLFPLYLSKNGDKLILTSDEDARVVTIYDHRDKRVKRTRISNEISLFNAIHYAESLVSTPWK >RHN58905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5839486:5842668:-1 gene:gene20861 transcript:rna20861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MRAMRIILVSFLLCYYFIYITHASTKCLEDQQSLLHQLKNNLTFNPEYSTKLILWNQSTACCNWRGVTCDIEGHVIGLDLSDEDIHGGFNDSSSLFSLQHLQKLNLADNYFNSSIPSGFNKLEKLTYLNLSYASFVGQIPVEISQLKRLVTLDLSSLSYLTGQGLKLENPNLQNLVRNFTSIRQLYLDGVIITAKGHEWSNALLPLRGLEELTMSNCNLTGPLDSSLSRLKNLSIIILDGNNFSSPVPETFSNFRNLTTLSLAACRLTDTFPHKIFQIGTLSFIDLTLNKNLHSSFPEFPLSVSIHTFRAGNTIFSGAIPHTISNMRNLSELDLSNSTLNGPLPNSLSILTELRYIDLSFNSFTGPMPSFCKAKSLIHLDLSHNQLSGTIPSSSHSEKLHHLVSIDLSYNFIIGSIPSSLFTLALLQKIQLSCNRFSKFDEFINVSSSVINTLDLSRNNLAGSVPTSIFLLRSLSVLDLSSNRLNGSLHLDKLLELRNLTALNLSYNNISINVSDANVDHTFFPKFTRLELATCNLKTFPNFLMNQSMLFHLDLSANQIHGVVPNWIWTLSLEQLNISHNFLTELEGPLENLASSYLYVVDLHNNQLQGPIPFFSKHAAYLDYSRNKFSSTIPQDIGNYLSSTYFLSLSQNNLQGSIPDSLCDALQLLVLDISYNNISGTISPCLMTMTNTLEALNLRKNNLTGLIPDMFPTSCGISTLNFHGNLLHGPIPKSLFHCSLIKVLDIGSNQIFGGFPCFLKNIPTLSVLVLRNNILHGSIECSHSLENKPWKMIQIVDIAFNNFNGKLPEKYFTSWERMKREENDNVSDFVHTGDSLLSYYQDSATVSNKGRQMELVKILTIFTTIDFSSNHFEGPIPDVLMDFKAIHVLNFSNNALSGEIPSTIGNLKQLESLDLSNNSLVGEIPVQLASMSFLSYLNLSFNHLVGMIPTGTQLQSFEASSFEGNDGLYGPPLTVRLDGKRHDLHPQPACGRLTCSIDWNFISVELGFVFGFGIVICPIMFWKQWRVNYLKLVDKILCWIFSRMYLEYVTDRGQTYTVLRL >RHN39830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10891631:10902296:1 gene:gene45904 transcript:rna45904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MEYASKFVERAVDVVLDLTVRHVGYIFYYKDNVSELNNLVEKLSLERKRLEHRVDEAEDNLGITESNVAAWLQKVDKTRTETEEFQDDEGHTKTRFSSGLFHYLRNRHRLGRKAKKMAVDVKLLIDESKFDGVSYQQKPTSMHAALFNDGYVEFGSRTCTIERIMEKLEDSTARMIGVHGPGGVGKSTLIKEIVKKAQVKKLFNVAVIVEINDNPNLRKIQEEIAYVLGLNLEGEGETVRADRLRRRLKKERENTLVVMDDLWDRIDLNKIGIPFDDDMDDDSSRLKIEDMKDPNFTMVKKGKSPGDYKGCKVLLTSRDRKVLSDKMDVKSVFCVRELDDKESLMLFKEEAGIHAEMSTFKQEIVKKYCAGIPMAIVTVARALRNKSESVWEATLEKLKKEELSGVQKSMEISVKMSYDHLEILLWIGYT >RHN58523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2472936:2473873:1 gene:gene20444 transcript:rna20444 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSMEGWKSVQTTQQPIKLPSPAVKDLSQGERPSTKASPSKPLLCNCSNFNRSDFDPATAKTTVVLLSFVAQKLFVKMPQWCWTFWTRVMCAYLKVVANNLYLFSTKQMDSKFVVSLTNFETTYSRYVLVKVKEMILN >RHN51207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15068312:15071784:1 gene:gene35600 transcript:rna35600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MSTLSNSNPETKQRNLADYHPNIWGEYFIQYASESMELDQNIVTQIDTLKSHVRNMLVAKSEKPFEKVKLIDSICRLGLSYHFEKEIDEVLQHIHKSYVENGEIILEDNLFSLAVLFRVLRQHGFYVSPNVFTKFKDEQGNFNETLIMDVEGMLSLYEASHLIVHGEDILEEALAFTSTHLEFIATESSHSLAAQVKYALRQALHKSLPRLEARRYISIYEQDPSHDEILLTFSKLDFNLLQSLHQKEFGNISKWWKELDFSSKLPYARDRIVECCFWTLTVYFEPQYSRARKMLPKINVMLSLIDDTYDSYGTIDELERFTEAIERWDVIVSDDLPDYMKLLYKSFWNVYEEIEQAMIEEGREYILNYYKKEFKKAVQAYMTEARWLNENYIPTTEEYMRVSRTSCCYSLLILASYIGMGDKVTENIFKWVTNEPKIVNGAANICRLMDEIVSTEFEQKRGHVCSLLDCYKKHHGMSREAGIQECQKGVAIAWKDINRDCLRPTEVPMDFLTRALNFSRFMDVFYTDKDNYTHAEGLMKTYIKDVMVDPIPI >RHN44428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4528698:4533442:-1 gene:gene38547 transcript:rna38547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone-7-O-beta-glucoside 6''-O-malonyltransferase MTKIIEIFNVEPSSQVELPSETSLPLTFFDILWLRLPPVQRIFFYEFPHQTSLFFNTLLPKLKQSLSLTLSLILKARINYTFIHLTIFHFSCTKKMTKIIEIFNVAPSSQVELPSETSLPLTFFDILWLRLPPVQRIFFYEFPHQTSLFFNTLLPKLKQSLSLTLSHFYPLLGHLIWPNDSHKPIIKFIRGNTLSLTIAESHADFNHLSGKNLSEATQIHDLLPNLNISHDQASVLALQVTIFPNYGFSIGITSHHAVLDGKTSTSFIKSWAYLCRKLEIEVSELVSPLCLPHEFCPFYDRKVIKDPNELEAKYLSDWLKQGGTNNRSLMVWDLQVPEDSFRGLFQLSRLDIEKLKEFVVSKQKGNRNEKKNLHLSTFVVSIAYAWVCRVKAEEIENKNAMMVLNIDCRNRLDQPIPATYFGNCIGARLAIVKTNELLGEDGLIVAVEVLSEALETIKDGVLNGAENWSSLLLEGLAMTDVKMIGAAGSPKFEVYSTDFGCGKPKKVEMVSIDRTGAFCLSDCRKGDGVEIGFVSNKKAMESFASLFVKGIAS >RHN78066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11608577:11612847:1 gene:gene1581 transcript:rna1581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed RNA polymerase MCKTIQIHGFPSNVSAEEVMKFLEQHAGFQTVLAVEIKHHKDGDSMTHVNVQFTDKKSVETILNLVAKHLSYSGNVLNATLIKPDILSKPRIFAYNVDGVGIHFGCQTSKRKLSVLWEHSNASVKFGARLRKMYIFFCYLSKDYKMQINSESISRIEMHRSHCRTKKLLLFQLRSAPRIYEKDVSEIKYFKEAYDNHWFRSVDFTPSCCIGQSSSLCFELPQNTEVPNFCQYYRNFYKVDNTFDLEKQLGFSSNLNFVPMVIPPEGFNLPYKILFKINSLVQHSCLPLQAIDTNLFHMVDPQRIKFEYVESALNKLYQMKECCYDPVQWLEKQYQRYSTNSSIPISSSISLDDGLVYVHRVKVTPSKIYFCGPEVNLSNRVLRNYPEDTDNFLRVSFVDENMDKLRSSDLLSGSSRIDVDGESKVHQRVLSTLTNGIEIGDKKFEFLAFSSSQLRDNSAWMFAPRTGLTTGDIRKWMGDFHEIKNVAKYAARLGQSFSSSRETVSVGRHEIEIIPDIELKRGKIKYCFSDGIGKISYELAQEVAEKCGCKDHIIPSAFQIRYGGYKGVVAVDPNSSTKLSLRNSMCKFKSENTKLDVLSWSKNKPCFLNRQVIVLLSTLGVKDRIFKRKQREIVNKLKMISRKPFNALDMMSQGTITDMLREMIICGFNPTNEPFLSMMLRTICATKLQELQLKTRIFVRKGRSMLGCLDETRTLKYGEVFVQISLPRKNVMSSISSKDIGAKKGKYIVKGKVVVAKNPCLHPGDVRILRAIDVPSLHHMVDCVVFPQKGRRPHPNECSGSDLDGDIYFVCWDPALIPPHQENPMDHSPPKVMHVDHDVTLQDVEEHFTHYIVKDTLGVIATAHTVFADKEPEKAMSHSCIELAKLHSIAVDFAKSGVPAEVPQHLHVKQYPDFMEKQDKPTYQSNSIIGKLYREVKNVAQQKSLKKSFTKKAANKFYDHDMKIDGFEKYTKIACEYKQMYDTKLMNLMDYYGIETEAEIISGNILKMSKSFNDRKDKEGINHAVMSLRNEARSWFSEMIRKSNSQGGGGDDDSYAIASAWYHVTYHPSYWGCYNEGLNKDHFLSFPWCVHDTLIQIKKDKTNSRIYGMKVWRMLKMFFITLMFCILLWIVVHYHMNLYS >RHN47262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38357841:38361459:-1 gene:gene41838 transcript:rna41838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MKPLIHHSSSIVIFFYSIILMISIINIPKCLCVDNNQYTNCSKTFNCESNITNLEYPFWGENRGNYCGVSDPNMKLTCEGKVPKITINFVKYRILEWNNATLRLRVARDDYWSNICALSDNHKNSTFNNTFFQRYGNTSSKVTLLYNYNTSLPRSVVEIPILDTQAAKIATVNDVNQALEKGFELKWTGNYSECQKCVDSGGVCGNDGGIGFKCFCKNGTSTTTCSSPLPLSSRSKRDRRLIVIGASVAGFGVTMFFIIFISCYFKKGIRRPQMTIFRKRRKHVDNNVEVFMQSYNLSIARRYSYAEVKRITNSFRDKLGHGGYGVVYKASLTDGRQVAVKVINESKGNGEEFINEVASISRTSHMNIVSLLGYCYEANKRALIYEFMPKGSLDKFIYKSGFPDAVCDFDSNTLFQIAIGIARGLEYLHQGCSSRILHLDIKPQNILLDENFCPKISDFGLAKICQMNDSIVSIPGTRGTIGYMAPEVFSRAFGGVSYKSDVYSYGMLILEMIGGRKNYQTGGSCTSEMYFPDWIYKDLEQGNDLLNSLTISEEENDMVKKITMVSLWCIQTNPLDRPPMNKVIEMLQGPLSSVTFPPKPVLFSPKRPPLQLSNMSSSDWQETNSITTETELEEEPIETTVLPNS >RHN72114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5106752:5107081:1 gene:gene7787 transcript:rna7787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGLEGTISPQLGNLSFLIVLDLQGNSFHGELPHELLQLKRLKLLNLSNNDFVGEIPSRIGELSKLQQLDISYNNIVGVIPQSIFNLSMLEYLRLTSKEPFHMQLVNFVS >RHN80454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38237705:38238605:-1 gene:gene4383 transcript:rna4383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ZF-HD family MEKKIVVKYKECLKNHAATIGGNAIDGCGEFMPSGENDTLEALKCCACNCHRNFHRKEIESDFNSPSQHYANLSLIPDHNINAPFLAHFSPNNKSESTSPSDQSYYEKDFIKDVENRTEKMILKKRSRTKFSKEQKEKMLCFAEKAEWRIQKLEESVVQKFCQEIGIKRRILKVWMHNNKNTFAKRNLSTS >RHN43964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:375506:376994:-1 gene:gene38006 transcript:rna38006 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIGFFLLLTSLLFSYPFVLIAQSPTTTISVEGIVYCDACSTGTFSKHSYLLPGVDVHIECRFRASSPRTNEQINFSVNRTTDREGAYKLDIPSVDGTNCKIAMDGNSQIVSLCQASLIGTSSSSYSCNVPFLKSTGSSQILKQENNLCVYSLGALSYKPPQNMINTTLCSN >RHN79410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28582501:28585010:1 gene:gene3198 transcript:rna3198 gene_biotype:protein_coding transcript_biotype:protein_coding MAVITLLTAALTAAFLASAYAGDTNKVFSPCTDTRVQRSDGFTLGFAFASKDKFFYNNNNSIQLSPCDSRLSLSNSNSQISLFRPKVDEISLLTVNSSSFVADSYGYMVAFAGRKYAARSPPAFIANGSYTVTSFTLVLEFKKGRLQNLFWKRDGCSKCPKNSKAVCLNGQDCALPTSSCKTHSGTVDCSLGIQLAFSGTDKHLSALNSWYEVKNLRQYSLYGLYSNLRSSLTSQYDKFF >RHN68793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38648443:38651636:-1 gene:gene17192 transcript:rna17192 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLELIKDAAVNSKSLDLHSDYPVVLNPDPILPNLKSELKDESSSYSIKPLSGWKISQTDTEIIEINKKFAEELTTKVKGTKDLKKSKFIVCLVSYLENIREKVGVSVEIGDSSGSGHCKILINKLGSFMGKDVAGLILDGCVSLEIWELVEALIVNGLIVNSCYANLVAKLVEKKRSDLICLCCKHAFDLGSSEIFTILRYFLSPSKDAHNSMAYVKKEWENQATLAIEKASDSNLKQKNSLVAKEASILFMMAYDGFSAPELCLHYLIASPNINNAMLSPAFNKLNGKELLNLIRYLAKWVKKYERFPQAGPCPKASSVLGLKACDWIPKLEDVVKCLGVVLDENFSSLVLHPQFHEELRSIEGLVSSLTAEAKTCYMMTVVTDKLKIDGTK >RHN75928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46195121:46197010:1 gene:gene12189 transcript:rna12189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gag-polypeptide of LTR copia-type MANGSDKSDSGDKSDSAQKSNSAPHTHDMYSSLTPERLDGTNYTEWALNAENKIRGRKHWGYISGKKVTPATKTSDEYETWEDENCLVKSWLLDAMTKDVRSLFIRLPTAKKIWESVKETYSVSQDASKAYQLYCEVISIKQDGGSVVTYFAKLQKLWQEIDAIEDCTMVCTKDVETYTNKLNAQRVYIFLAGLDSHLDGVRGRILATIPLPGIQTVYANVCVEANRQEAMLCTTQSEGAAMAMKKPFNSNKGNRKCTHCNGNNHTADTCFKIHGYPQWHPKGKKEDALNNNTTGASGFVAKSECSYKGEDWQW >RHN44150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2007832:2008093:-1 gene:gene38228 transcript:rna38228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTETLKFVYILILFIFIFLVLIVCDSAFIQLSKPCITDKDCSPMKNYKARCRKGYCVSRRIR >RHN75360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41609882:41611166:1 gene:gene11545 transcript:rna11545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLSKMDMEGIIGSEEHGNDAGHLIKGKRTKRLRQLSPVTVATATMTSSCSSATGGGGSFSSTTFDDTEHEEEADMANCLILLAQGRTGRDEENQNLRPQNHHLHNNSQQVDGYNKTTTEKETKNGFDSYECKTCNRFFHSFQALGGHRASHKKPKMKEDKQSSPPRPRQLITATGEFEQENKQIHPKNINPLVPPVSLELRCGVNINFKPNKVHGCSICGAEFTSGQALGGHMRRHRACINNNNNNNKNNNVGVVTGFEDVHVRSRNVLELDLNLPAPEEDLRDSTFQFPALVGCHY >RHN41045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26378717:26384045:1 gene:gene47318 transcript:rna47318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MAKPSAADNRTRSSVQIFIVVGLCCFFYILGAWQRSGFGKGDSIALEITKNNAECDVVPNLSFDSHHAGEVSQIDESNSNTKVFKPCEARYTDYTPCQDQRRAMTFPRENMNYRERHCPPEEEKLHCMIPAPKGYVTPFPWPKSRDYVPYANAPYKSLTVEKAIQNWIQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPINDGTVRTALDTGCGVASWGAYLWSRNVVAMSFAPRDSHEAQVQFALERGVPAVIGVFGTIKLPYPSRAFDMAHCSRCLIPWGANDGMYMMEVDRVLRPGGYWVLSGPPINWKVNYKPWQRPKEELEEEQRKIEEVAKKLCWEKKSEKAEIAIWQKMTDTESCRSRQDDSSVEFCESSDPDDVWYKKLKACVTPTPKVSGGDLKPFPDRLYAIPPRVSSGSIPGVSSETYQNDNKMWKKHVNAYKKINSLLDSGRYRNIMDMNAGLGSFAAAIHSSKSWVMNVVPTIAEKSTLGVIYERGLIGIYHDWCEGFSTYPRTYDLIHANGLFSLYQDKCNTEDILLEMDRILRPEGAVIIRDEVDVLIKVKKLIGGMRWNMKLVDHEDGPLVPEKVLIAVKQYWVTDGNSTSTQ >RHN62837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46142642:46144314:-1 gene:gene25456 transcript:rna25456 gene_biotype:protein_coding transcript_biotype:protein_coding MCDWRMAQFVKVIACYNERSNRWDALFSVAGYSQTITSVSTRW >RHN50977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12583129:12586864:-1 gene:gene35336 transcript:rna35336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MSDGKIQQASTYHDLLTFSQEFKDLVNAHKKIGNPNHLLDLTSTPIHSKSSREMKQYSIENSSNAKNGDQLIEQEEREKGDTGLKPYLQYLNQKSGYIYYFVGSLSYVIFVICQISQNSWMAANVDNPQVSTLQLITVYLLIGVSSMVFIIIRALLAAALGIQSSKVLFGQLINSLFHAPMSFYDTTPLGRILSRVSLDLSIVDLDISFNLTYCISSNITYYSGLIVLTSVAWQVLFVCIPMAYVIIRLQKHYYACAKELMRMNGTTKSSVANHVAETVAGAMTIRAFEEEDRFFNKNLDLIDVNATAFFHSFASNEWLIQRVETAYAVVLASAALSIAMLPLGTLSSGFIGMALSYGLALNSALVYSTQYRCILENYIVSVERINQYSHIPSEAQEVSEGNHPPINWPDVGKVEIKDLKIQYRPNAPLVLHGINCTFEGGHKIGIVGRTGSGKSTLIGALFRLVEPTGGKIIVDGIDISSIGLHDLRSSFGIIPQDPTLFIGTVRYNLDPLSQHSDQEIWEVLRKCQLRESVKDKGGLDSSVVEDGSNWSIGQRQLFCLGRALLRRSRILVLDEATASIDNATDLILQNTIRKEFADCTVITVAHRIPTVMDCNMVLSISDGKLAEYDEPMKLMKKETSLFGQLVKEYWSHFQ >RHN39941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11851510:11855791:1 gene:gene46025 transcript:rna46025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein xylosyltransferase MKKTYLKHFYGGSNQQKLCMFSKKAKVTCLVATFCAFAVWTYIFAGVSFPFIHKDRLQNQEDFLLKCIQGKSFKQKCPRDNPTTHNPTNLNNHVCPSYFRWIHEDLKPWREKGITRNMLKKAKKTAHFKLVIVDGKMYVEKYRKSIQTRDVFTLWGILQLLRLYPGKLPDLELMFDCEDKPVVPLDKFQGPNASPPPLFRYCSDQWSLDIVFPDWSFWGWAETNIKPWNNILKDIKEGNKKTKWKDRVPYAYWKGNPYVAPTRQNLLQCNVTLENDWNTLIYIQDWIQESNQGFNKSNLGDQCTHRYKIYIEGWAWSVSEKYIMACDSMTLYVKSNYHDFFIRGMVPLQHYWPIRNNSKCTSLKFAVEWGNNHTHKAQSIGEAGSKFIQEDLDMKNVYNYMFHLLNEYAKLLKFKPTIPRGAVEICPEKLMACDVIGGNKMRFMEESMVKVPSDSNPCTIPPPYEPLALEEFLGRKANSVMQVEIWEDEYWQSKNKGQ >RHN56374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30414961:30419112:1 gene:gene31764 transcript:rna31764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyl-tRNA synthetase, class I MDLQNNMQPELGKLEQIVYQFLLKCLHIILDSRVPLLRQHDRSGELSMGGSRVKRSDKWFNFALGDRPSALDNLNFWHRNLMDPMIIDIILVHQENGSSVETVIERWNVQYECPRGMAPQTSDTTVSYKKTYQKSIILFRALYSQMRLLPTHKIFRQLSATNHSCNFDIVYKVSSFSDPFSREEGGMMGEYIFTPIDALPGRLSLSVTYRTTLSDFNLQCLTLPTKIIADYVGSPNTDPLRYFPSSVKGVRAPPSSSPLERPHSWTPGFHKAAPFVQNHQYVGSPPAHRGSHKPFDFPSPPTDNFNNRYHNRRIQSRSRSTSYDEYQLSPPFSSSPSPSPPIHIGNTLHTRMRSETAPVTIPHPMMGKSSRNLSPNFLDPNRNSLPPLSPRRNDTSSHESPSGIRSFRKIESLKIGQKIVRDSKDDSGRFSGLLSSSDSPRIGASRTSSSRLSFQDDLDDGDFSCPFDVDDVDPPNVLSSHNVDGRKSALESPSTSLPIGRKSQDAAVGVLVHMLRTAPPLRQDSSCYSSHSLKAELDGGVATASGFFMPRKTTDALEELRSYREMRDLLLSKSGTRILKRDET >RHN81662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47894575:47896483:-1 gene:gene5734 transcript:rna5734 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKSFPEYSCSYTEFGYSERSNSYNFNGPSDKGSGFSASNDSELKRKKRIKSYNVLAVEGKLKSSVRNSFKWIKNKFSDVRWYQGW >RHN67161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24459510:24461626:1 gene:gene15310 transcript:rna15310 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKITLVQTVATASVFSAISFWYGFMFGRESSRKELSHLIEELRSGNPPPPPHS >RHN82258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52357388:52362420:-1 gene:gene6405 transcript:rna6405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MLNGLVDADVPPRLPHSIFSCKTLEVLKLSWFRVEGFCVSSVQFGFPSLKTLHLKRINFDNYRDMLLLLAGCPILEDFKAFNLFVYNDVWEESFCQVIQRLNLSKLIRAYITDSCYFPLEALFHLEFLKIQLMEMYTPYDFATYHNLTHLVINNIWDSVLQALHHCPKLQNLELYQKTHSWWDEHIEGQEQESWVDPKFPPQCLQLSLRTCTIRDFTFSDLQHNIMLARYILNNAKVLQTMTIWSDKDQPQIERELSSCPRASATCQLSVY >RHN81598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47463606:47468822:-1 gene:gene5668 transcript:rna5668 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SERK1 MEETKFCALAFICAFFLLLLHPLWLVSANMEGDALHNLRTNLQDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGTLVPQLGQLKNLQYLELYSNNITGPIPSDLGNLTNLVSLDLYLNRFNGPIPDSLGKLSKLRFLRLNNNSLMGPIPMSLTNISALQVLDLSNNQLSGVVPDNGSFSLFTPISFANNLNLCGPVTGHPCPGSPPFSPPPPFVPPPPISAPGSGGATGAIAGGVAAGAALLFAAPAIAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPHQEPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLKTNYIEAEVEQLIQVALLCTQGSPMDRPKMSDVVRMLEGDGLAERWDEWQKGEVLRQEVELAPHPNSDWIVDSTENLHAVELSGPR >RHN38685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1297347:1304260:1 gene:gene44645 transcript:rna44645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tryptophan--tRNA ligase MEASTETNNKFSEEEQVVTPWEVAGNGGKIDYDKLIDRFGCQRIDQSLVQRVEKLTSQPAHVFLRRNVFFAHRDFAEILDAYEKGENFYLYTGRGPSSEALHLGHLIPFMFTKYLQEAFKVPLVIQLTDDEKFLWKNLTIEESRRLARENAKDIIACGFDVSKTFIFSDFDYVGGAFYRNMVQIGKRVTYNQVVGIFGFIGEDHIGKVSFPPVQAAPSFPSSFPHLFEGKGNLRCLIPCAIDQDPYFRMTRDVAPKIGYNKPALIESSFFPALQGETGKMSASDPNSAIYVTDSAKDIKNKVNRYAFSGGQDSIEKHRQLGANLEVDIPIKYLSFFLEDDAELEHIKKEYGAGRMLTGEVKQRLIQVLTEIVERHRTARANVTEKMVDAFMAVRPLPHMFD >RHN42295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37193722:37197306:1 gene:gene48719 transcript:rna48719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MGSVHDHEQPLLQDETNEQEEYTGDGSVDHKQRPALKANTGNWRACPFILGNECCERLAFFGIATNLVTYLTTKLHESNASAARNVSIWQGTCYLTPLIAAILADGYLGRYWTIAVFSMIYFVGMSILTLSVSVPPMKPAECLGSVCPPAAPMQYFVFYLGLYVIALGTGGVKACVLSFGADQFDDTDSKESAKKASYFNWYYFSIYLGAIASCSLIVWVQDNAGWGLGFGIPALFMGLSVGSFFLGTPLYRFQKPKGSPITRLCQVVLASVRKQNLVLPEDSSLLYETPDKKSGVEKSRKLKHHDDLRYFDRAAVVSDSEKRSGDYSNPWRLCTVTQVEELKILIRMFPIWATGIIFSSVYAQMSTLFVEQGTMMNTSIGSFKLSPASLSTFEVASVVMWVPVYDKILVPIVKKFTGKKRGISVFQRIGIGLFISGLCMLAAAAVEIKRLQLARELDLVDKPVGVPLSVLWQIPQYLILGAAEIFTFVGQLEFFYEESPDAMRTICGALPLLNFSLGNYLSSFILTIVTYFTTKGGRLGWIPDNLNKGHLDYYFLLLSGLSLLNMLVFIVAAKIYKPKNAS >RHN70562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52307076:52307722:-1 gene:gene19155 transcript:rna19155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MAVFSRTASASLLFLFLLFGFSAAKELLVGGKIDAWKVPSSETDSLNQWAEKSRFKVDDHLVWKYDGGKDSVLQVNKEDYANCNSSNPIEQYNDGNTKVKPDRPGPFYFISGAKGHCEQGQKLIVVVMSPKKRSIGVSPAPSPAELEEGPAVAPTSSAPVLRTGLVTVLGLLAIYVGFLI >RHN44785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8447291:8449754:-1 gene:gene38947 transcript:rna38947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endo-1,4-beta-xylanase MIICLAHPQKPQYNGGIIKNPELNDGLQGWTTFGDAIIEHRKSLGNKFVVTHSRNQPHDSVSQKIYLRKGLHYSLSAWIQVSEETVPVTAVVKTTKGFKFGGAIFAEPNCWSMLKGGLIADTTGVAELYFESNNTSVEIWVDNVSLQPFTEKQWRSHQELSIEKDRKRKVVVRAVNEQGHPLPNASISLTMKRPGFPFGSAINKNILNNNAYQDWFASRFTVTTFENEMKWYTNEYAQGKDNYFDADAMLGFAEKQGIAVRGHNIFWDDPQYQPNWVSSLSPDQLNDAVEKRVNSIVSRYKGQLIGWDVVNENLHFSFFESKLGQNFSARMFNEVHNIDGQTTLFMNEYNTIEDSRDGLSTPPTYIEKIKEIQSVNSQLPLGIGLESHFPNSPPNLPYMRASLDTLRATGLPIWITELDVASQPNQALYFEQVLREAHSHPGIQGIVMWTAWSPQGCYRICLTDNNFKNLPAGDVVDQLINEWGRAEKSGTTDQNGYFEASLFHGDYEIEINHPIKKKSNFTHHIKVLSKDEFKKTKQFIQLSI >RHN56174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28502048:28504404:-1 gene:gene31534 transcript:rna31534 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLRLQRTFTKENDTIGQIKPKGRCKNHPKHNQSPGVCSLCLNEKLIQLSSFGSHRRTRTFVANINDGSNSSSYVSSLSSSCYSSSSVSSCASPLHCFCFNSEGKRSTSLSIFLLSGQHEIIKSKSFSRRKDVMHGNKRSCGFWFKFFGDKRKKVEGT >RHN56753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33408193:33412900:-1 gene:gene32194 transcript:rna32194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation initiation factor IF2/IF5 MADETPSGLKEEVPDIAPFDPTKKKKKKKVTVIDPADDESADKLAEKIENLSVSDGTDSAFSGLKKKKKKPVEISNLNDIDESGPSAEAVEDLDDHAEDDEEEAVALQPRYPWEGSDRDYTYEELLGRVFNILREHNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKSMHRPPDHVMAFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYINEYVICIGCKSPDTLLSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRNAGA >RHN68283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34247818:34252046:-1 gene:gene16617 transcript:rna16617 gene_biotype:protein_coding transcript_biotype:protein_coding MVESLATFDHSSTVILILQFCKQRKYMGVMGVANSFYGIKVILNGNLPEIDEYKKKMKSAAIQLTQGVSQVSMHSSMSLSDDLLKTKRMMIENLIESSELCHVPNGVEWYFRSCTNCASLVTIFEVKLCCKKCSTCKSDVPMYIHHLIFKGMKILVTDEEETTVMRYIDVAINCNEVEETTNHLFLHCTKTWKVWSKIQIWLDVNCIIPANLFVHWRC >RHN48760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50020064:50021449:1 gene:gene43511 transcript:rna43511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived nuclease domain-containing protein MEQNLFNQLCEDLQSKYGLKASKRMSVHQKVAIFLYILARGASNRDARERFQHSGETISRAFHEVLEAISGRSKGYMGLARDVIKPKDPTFQFVPPQIADDEQYMPYFKDCIGCIDGTHIPACIPEADQMRYRGRKGIPTFNVMASCDFDMCFTFISVGWRDQHITHVFSFMQSTHQH >RHN67330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26094333:26096502:-1 gene:gene15510 transcript:rna15510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tabersonine 16-hydroxylase MELNTKNIVSPMFLSFFLFIVFILFFKSKKPKPSNSKLPPGPPTLPIIGNLHQIGSMPHHSLTKLSQKYGPIMHIKLGEISTIVVSSPEIAKQIMKTHDNKFSDRPHLLAADIITYGSKGMTFSPYGSYWRQMRKICTFELLTPKRVESFQSIREQEVSNIVKEIGLSEGSCINLSKMINLFSFGLTSRIALGGKSEDQEAFMVAMKDVLKLVGGFSMVDLFPSFQVLHFLTGVKAKAEEVHKEIDRILEKILRYHQLDTSLETKKINRKDGEDLVDVLLRLQKQNNLEHPLSDSIIKANMLDIFSAGSGTSAKTSEWAMSELIKNPRVMEKAQAEVRRVFDAKGHVDEANIHELKYLKSVIKETFRLHGPVPLLLPRECSESCEINGYEIPAKTKVIVNASAIGMDPNYWNEPKKFYPERFIDSSVDYKGVDFQFIPFGAGRRMCPGITFGIANVEILLANLLFHFDWKMVDGNKAEELDMTESFGLSVRRKHDLCLIPIMYHSSPKL >RHN46979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36197975:36199336:-1 gene:gene41523 transcript:rna41523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MRPILVSSSTPPPHCVSTIMTPVTPVVLPDDLIAELLSFLPVKSLVRLKCVSKSWKSLISDPSFVKLHLNRSSTRNPLFTIGTLHIAAIPIAAIPIDDVDDRGLEVGYSVVPYSLNCLIQNPLFTLSVDPYHHLGDKECSLMIGSCNGLILLAGGDSQLGYFRLWNPATMTISPNFGYFVRFHGSATHPFPFLGYYNFTFGCDNSTGTYKIVASNYNPDRQHRMNVRILSFGDNVWREIQSFPVVPIHSYFGENDVHNAVYLSSTLNWLAIHNDFDYDIKNLRVEQFVIVSLDLGTETYNQYRLPRDFDEMPSALPIVAVLGGFLCCSYFYKETDFLIWQMKELGNDNSWTQFLKISYQNLQINHDYFGDEEFNHEKIKYHFQLVPLLLSEDADTLVFKNSQESHPILYNWRENRVEQTKVTTSSTIINDNGSSNSTFWCSTKVYFESLVSIF >RHN76719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:687014:688344:1 gene:gene89 transcript:rna89 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDDLSDKGRTITPIEFQINCVNLAEIQGGEVATTNLHSCNGPEFVLQIQMSYNQDHGSRESKEANAHLRFVYFPFTKGKESIERVLETLKDDGHIIKENFQNFSRVSVRRLGRLLPDARWVNF >RHN54153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7363938:7367977:1 gene:gene29144 transcript:rna29144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MIKLFKVKEKQREQAENASNGVPVKKQSAGELRLHKDISELNLPKSCTMQFPNGKDDLMNFEVLIRPDDGYYLGGAFLFSFNVSPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLYHLFTEPNYEDPLNHDAAAVLRDNPKMFESNVRRAMSGGYVGQTFFPRCM >RHN51432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17720942:17722531:1 gene:gene35865 transcript:rna35865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MDEYNLFAEESNLNFLDTDVVEFLSHDIGNVFQEQNKQQCFTSGSTPKSLSNSSCYETNMELKTIDHSNKVNETFSPKLSPSNSSLQFQIPSFDNSPNSPTTKSSQLCGFDPTLNSKQNLETKTSKSKRSRTHQGQDHIMAERKRREKLTQNFIALAALVPNLKKVDKYSVLVDTIKYLKELKKRLIILEEQNEKTKIESPIILTKPGLCNNDNSSSCDESIDCVVDLPFQVEARILGKYMLIRIQCQEHIGLLVKIMVEIQRFKLFVVNNSVLPFGDSILDITIIAQLDEGYNMCIKELVKNLRMEALKFMSS >RHN48118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45155462:45156992:-1 gene:gene42795 transcript:rna42795 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRDVESGERILYPTILENPELRLSFIRKVYSILTFQLLLTIVITSIVVFVRPVANFFINTAEGYALYHVLRITPWISASFYPLIYYNDKHTLNHFLLFQFFTVTSSFTIGLTCAFVSGKVILEAVILTNVVVLSLTLYTSWAAKRCYDFSFLAPFLIGALLVHILFNLIKVWFLLDKLSHIIHGCFASIAFCGCIVYHTYKIIKRFSYDRSISSSVLLYLEIICFFLYLVTTILIFGAAA >RHN75403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42024146:42033560:1 gene:gene11598 transcript:rna11598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MKFKIEEVTVYFPYDNIYPEQYAYMIELKRTLDAKGHCLLEMPTGTGKTIALLSLITSYTLSKPQSPIKLIYCTRTVHEMEKTLAELRLLHDYMVKCIGPSAKMLALGLSSRKNLCVNNKVLAAENRDSVDAGCRKLTASWVRALAAENPDVPSCEFFEQYERAGSAAVLPPGVYTLQDLRTFGKEKGWCPYFLARHMVQFANVVVYSYQYLLDPKVAAIISKEMQKESVVVFDEAHNIDNVCIEALSVSVRRQTVDGARRNINRMRQEIDKFKATDAGRLRAEYNRLVEGLALRGDLPAADGWLANPALPDDILKEAVPGNIRKAEHFIQVLRRLVQYLEGRLETENVEKENPVSFVFSILNHAGIDQKTLKFCYDRLHSLMMTLEITDTDEFLHVQTICDFATLVGTYARGFSIIIEPFDERMPHIPDPVLQLCCHDASLAIKPVFERFQSVVITSGTLSPIDLYPRLLNFNPVVSRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDLGVVRNYGRLLLEMASVVPDGIVCFFVSYSYMDGIVNSWNENGILKEIMQHKLVFIETQDVVETTLALDNYRKACDCGRGAIFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSKILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLRDAHLNLSTDMALHIAREFLRKMAQPYDKTGGTGKKTLLSQEDLDKIVLEGSMNDMLY >RHN60361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25807945:25808560:-1 gene:gene22666 transcript:rna22666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MAVVLNCMISLVIFSSLMLNPCYCLNRKLFKNVSEIQNDNDQWQTAIATWYGAPNGAGSDGGACGYVESVENPPLSKMISAGGPSIFLGGRGCGACYQVHAMGLLFVFFFFFIYNIDWC >RHN57253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37555638:37556566:-1 gene:gene32774 transcript:rna32774 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNLIILLCFSAFILISVVANESYEEEEPLAEIEDEELNCYGWWVRWKDGVKSSDACTGGNDKTYPDGGGRSGNFVKFLKNAKRKGKGESDGNNIGFLIGRKMGGGGKEKDN >RHN80624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39548526:39552771:1 gene:gene4570 transcript:rna4570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat domain-containing protein MEDISKYKHSPAHVAVLNRDHASLRRLISTIPTLPKPGEVTTESESLSAEIQADQISSVIDRRDVPGRETPLHLTVRLRDPIAAEILMSAGADWSLQNEQGWSSLQEAVCNREESIALVIARYYQPLAWSKWCRRLPRVIGSASRIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGANLRADMTLAGFDGFRIQRSDQSFLFLGEGYASEDGNVSLPRGSLIALAHKEKEITNALEGAGTQPTESEIANEVSMMFQTNMYRPGIDVTQAELVPNLNWRRQEKTEVVGDWKAKVYDMLNVMVSVKSRRVPGALNDEEVFANGEDYDDVLTAEERVQLDSALRMGNSDGVCQDEEPGAGGGGFDGRGNLYENCEVNGVVKEKKGWFGWNKNPNQRSSDQQKLQPEFPNDDHGEMKSKKGKDQNLKKKKKKGASNESKSESEFKKGVRPVLWLTQDFPLKTDELLPLLDILANKVKAIRRLRELLTTKLPPGTFPVKVAIPIVPTIRVIVTFTKFEELQTPEEFSTPLSSPVSFQDAKYKESEGSTSWVSWMKGSRGMPSSDSDSHIHSHRYKDEVDPFIIPSDYKWVDANERKRRMKAKRAKIKKNKKQTVAKGRDGAHQGSDDFGELY >RHN74276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31363234:31371652:-1 gene:gene10312 transcript:rna10312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGITSIGVRGNDSVCVVTQKKVPDKLLDQTSVTHLFPITKYLGLLATGMTADARTLVQQARNEAAEFRHKFGYEMPVDVLAKWIADKSQVYTQHAYMRPLGVVAMVLGIDDENGPQLYKCDPAGHYFGHKATSAGSKDQEAINFLEKKMKNDPSFTYDETVQTAIAALQSVLQEDFKATEIEVGVVQKDKPEFRVLSTEEIDEHLTAISERD >RHN54617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10788664:10795797:-1 gene:gene29685 transcript:rna29685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amylase transcription factor BES/BZR family MKNTTEDATSTQDLDPQSDHSSDYLPQPQPRRLRGFAATAAGTNSTGKGKKEREKEKERTKLRERHRRAITSRMLAGLRQYGNFPLPARADMNDVLAALAREAGWIVDADGTTYRQCLPPSNMGSFAARSVESQPSGGTLRTCSVKETLENQSPGLRIDECVSPASIDSVLIAERDSKNENYASVSPINSTDCLEADQLMQDIHSGVHQNDFNCTPYVPVYIKLPAGIINKFCQLMDPEGIRQELIHIKSLNIDGVVVDCWWGIVEGWNSQKYEWSGYRELFSIIREFKLNIQVVMAFHECGGNDSSDALISLPQWVLDIGKDNQDIFFTDREGRRNTECLSWGIDKERVLKGRTGIEVYFDMMRSFRTEFDDLFAEGMIDAVEIGLGASGELKYPSFSERMGWRYPGIGEFQCYDKYLQHSLRRAAKLRGHSFWARGPDNAGHYNSMPHETGFFCERGDYDNYYGRFFLHWYSQTLVDHADNVLSLANLAFEGTKIIVKVPAVYWWYKTPSHAAELTAGYHNPTNQDGYSPVFEVLKKHAVTMKFVCLGFNPSNQEANESLVDPDGLSWQVLNSAWERGLITSGENAIFCYDRERYERLIEMAKPRNDPDHRHFSFFVYQQPSLLQGNVCLSELDFFIKCMHGEMTGNL >RHN71632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1539422:1540171:-1 gene:gene7252 transcript:rna7252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MDVLLSLNSGLISISKPYQHHILPLSSRQPRMQFSVSCRATKNVPIHNELNDDGNLYKILCLSPKSATMDDIKRAYRTMALQYHPDVCHDRLKKEESTKMFVQLNAAYETLSNPKLKEEYDFELSLGLRRSRLMEQMVELNRRSHTRMAAGSSSSWGSRMRAKNNITKDDHTN >RHN68086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32712596:32715281:-1 gene:gene16383 transcript:rna16383 gene_biotype:protein_coding transcript_biotype:protein_coding MERANTKSTLKFVKNGSQSSSQWSSTDSKGMTKDVLKERFYDKNKGSRKSPTKENTKPQEFKLHTQERAVKRAMFNYEVTTKLYLMELRKRQEEKLMKMIEEEEIRLLRKEMVPRAQLMPYFDKPFFPQRSNRTVPRESCIHMMSSKCWSCTSGNGFYNMHQCGHQALNNPIK >RHN70017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48057341:48063762:1 gene:gene18556 transcript:rna18556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target of Myb protein MAAELVNAATSEKLAETDWAKNIEICELVARDKRQARDVVKAIKKRLGSKHPDAQLYAVTLLEMLMNNTGEHIHEQVIDIGIIPILVKIVKKKSDLPVRERIFLLLDATQSSLGGASGKFPQYYNAYYDLVNAGVQFPQSAQAVQSNHASSQPSRAGSVPNREQASPRQEVVVPQAETVPESSIIQKAGNALEVLKEVLDAVDAQHPQGASDEFTLDLVEQCSFQKQRVMHLVMASRDERIVSRAIELNEQLQKVLARHDDLVSGRATKPANEHVPKVQPRRDDVVSSRATTTANEQFPKFPKVPPRRNDVVSGRATTTVITRFDHDESEEEEEPEQLVRRLRKGKACARPEDEDLETNISRMRLNGERLNRPLIRPLSSEPSREAHSPVPPPAVIPPPRPKQNGELPPVAIPPPPAKHMERERFFQENKDASNMSGHRRGLSLHSRNGSSSHSHTGSFDFSD >RHN55074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14940189:14940617:1 gene:gene30202 transcript:rna30202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MTVVGTYSSQTILRCSDNNSDNNVNNVDSEETSSELKEIKSPQVNQQKKKVRFLVPESPKVNTEAKEQLEEVMEAREAGIAYIIGHSYMTSNMIIFWKSKDDKR >RHN73267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14970702:14973083:1 gene:gene9064 transcript:rna9064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MSNSYLRLLFLLVLYIISANSSQPYEENYEDAEFTLQVPTLEDTDLDNLLSFSYYRKSCPQFESILHSKVKEWIKKDYTLAASLLRLHFHDCSVRGCDGSILLNHEGSERKSQVSKTLRGYEIIDDIKAEVEKQCPKTVSCADILTTASRDATVELGGPYWSVPYGRKDGKVSIDKEAEMVPMGHENITSLIEYFQSKGLNVLDLVVLSGAHTIGRTSCGSIQYRLYNYKGTGKPDPSLDTKYLNFLQRKCRWASEYVDLDATTPKKFDRMYYINLEKKMGLLTTDQLLYSDPRTSQLVSALTTSSVFEHQFAVSMSKFGVIDVLTGDDEGEIRTNCNFVNAY >RHN73066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13171313:13171546:1 gene:gene8844 transcript:rna8844 gene_biotype:protein_coding transcript_biotype:protein_coding METKYVKIWTTYKIGMKTRKLFPGAVVASSGVVVTGEGCPFRIFLNGFCREDGGDSFYGDGFSKMKMVEQCNDRRRN >RHN75367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41647589:41648075:-1 gene:gene11554 transcript:rna11554 gene_biotype:protein_coding transcript_biotype:protein_coding MGWHFIHTINITPSAHANQIHLSLSLSLSLSLSLSLSLSSCDQTMEGGSGKRFPAKLKDLLATGILEGLKVRYVKGQKARKPGEKDLQGVIKDAGILCFCGSCKGNQV >RHN78836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18880922:18883486:1 gene:gene2493 transcript:rna2493 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSRRIKNFVKVSYESSSHGASFPNLTSPRHPQEEVLSSHRGAKEKWSDMPADYFETQWKDLFEAYAIISKASSSTNQKLKESIKLGK >RHN60616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28876164:28883893:-1 gene:gene22956 transcript:rna22956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAIAGLHSVSVLDSSFLRDSQSQASRRRGDGRSGGNRSSSLLQMWREIEDEHAVSQVQGRAGEVVLERRNNGSIADVSLENAPDSPEIGDRRGLEDVVLRESESDTWSQSQSQNESHDDNEDLNNSSCENSSVFGEVERERVRRVFREWMNSGSNVSRRSESPRGEWLGETEQERVRVIREWVQTSSEQRSVSSRDNREQPHAEIGTQIERVRDGFVANHSEGQNEYTRRSMRKLRGRQVMLDMLKKAERERQREIHELLDHQAVSRFPHRNRIQALLRGRFLRNDRSVDHNRSTSVAESELGLLRQRQTVSGLREGFFSRMDSTGCSQATSNLSDTSSNIDIDFNTNEQTGESSSCMFIEPTNVRDNRVGISGDHCLEGITSESLDCQESTAHVEGNQLQCLQIESVDRHSSFSDGCERRDYTGQNVEVVAIRDREHNNNQVSSEVHNEQSELGDISNGENNSSNQSDYTESNVVDDVNGSGALEEQLEQIVENEGSGWHQSNTEWRNSTDENVDDNQLRNTANEWPENSWVNEDVENPHLQEASEVWQEDSGFQEAVENWLGGPSDQETAPSSRVHSYYFPDDDNVHNVELRELHSRRRVSNLLGSSFRASLDQLIQSYVERQGHANMEWDPEEAAPSANLEQQSQDQIVDQEGTVNSPLDLPSLPTPPTPPLWDQHPHGDNWSHNDDLEIINDLRLDMARLQQRMNTMQRMLEACMDMQLELQRSIKQEVSAALNRSAGSSGIRECDSLDDNSKWECVRKGLCCICCESNIDSLLYRCGHLCTCSGCANELLQSRRNCPMCHAPVLEVIRTYSIL >RHN64804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62038492:62040612:-1 gene:gene27663 transcript:rna27663 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPIEAKRLVAKQMERIKEKEKLEKRHQIEATNGAWAMIGLTVGLAIEGQTG >RHN55122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15316941:15319090:-1 gene:gene30253 transcript:rna30253 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVDAAVLVIQHPCGKIDRLYWPVTASEVMKTNPGHYVSLIMPLPPQPQEQNQEQKTVRFTRVKLLRPNETLNLGHAYRLITNQEVMKVLKAKKHAKSMKTEGEAVKVEKEGSGCETKKGEESDQGKTYQGGRAERNKQRSGGSTNPAAVQRSKSWRPSLQSISESPKV >RHN60038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17395479:17395835:-1 gene:gene22233 transcript:rna22233 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGVDCLHEVPEYLGVNFEKQIVPRYNVIEYLKGKGAIGFEVGLKDIIKPTRLRFYNLYVKPYPESEKIYGRFSGKVEVKSKHLAWTLEAVPTTEVPSNRSRCEEHEGFHGFNVVMV >RHN52041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30745824:30751460:1 gene:gene36655 transcript:rna36655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-associated protein, MAP65/Ase1/PRC1 MHKPHNDHPLPQAETTCGSLLQELQIIWHEVGESESEKDRMLYELEQECLEVYRRKVDKANCSRAQLRQEIADSEAELTAICSEMGERPVHSRQSGQKARSLKEELAMILPELEEMQKRKYERRNQFKEVQEQIHSISNEISGPSENVPLVVDETDLSLRKLEELHRQLHTLQKEKSDRLKKVQDNLHTLSSLCSVLGLDFKQTVSEVHPSLGNSEGSRSVNNDTISQLALSIQELRGVKLQRMQKLQDLATSLLELWNLMDTPIEEQQVFQNVTCNIAASEHEVTEPNTLSEEFINHVEAEVSRLEDLKSSKMKELVWKKRAELEEICRKTHLVQESDAAIEKAIESGSVDPAYVLEQIERQISQVKEEAFSRIEILENVEKWLSACDEESWLEEYSRDENRYNAGRGTHLNLKRAEKARILVNKIPAMTDKLTSKAVAWEKDKSTEFVYDGVRLVSMLEDYILLRQEKEQERRRQRDLKKLQVQMIAEQEVLYGSKSPSKAQSARKAPRTPNGSAANRRGTFGGSVLKPDSKATQSSSTRKTDKVNQIEQTNNLDDAISCFSSARRGFDIADFPTRKYSFGAGIVRDIESPLTRQPFTTISSTISSLENVENAADEFSMQKQNLQKTLAANNLPLTTTTTISKTDAVVDEENRTPKENPIPVSTTTTTTPKTVSIPMNTAMTPAHFGGDLIQDIEYSFEEIRLGFLLA >RHN72840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11193189:11196114:1 gene:gene8601 transcript:rna8601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MNSFRVIVTALCCVVVVFGGLPFSSNAQLDPYFYGKTCPKLHSIAFKVLRKVAKTDPRMPASIIRLHFHDCFVQGCDASVLLNNTATIVSEQDAFPNINSLRGLDVINQIKTKVEKACPNRVSCADILTLASGISSVLTGGPGWEVPLGRRDSLTANQSLANQNLPGPNFSLDRLKSAFAAQGLNTVDLVALSGAHTFGRARCLFILDRLYNFNNTGKPDPTLDTTYLQQLRNQCPQNGTGNNRVNFDPTTPDTLDKNFYNNLQGKKGLLQSDQELFSTPGADTISIVNSFANSQNVFFQNFINSMIKMGNIDVLTGKKGEIRKQCNFINKKSSELDLGIVASAESMEGDMVSSI >RHN44354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3794568:3798907:-1 gene:gene38464 transcript:rna38464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane-anchored protein MTGNQEQFEIKFRLTDGSDIGPKSFPAATSIATLKESILAQWPKDKENVPRTIKDLKLISAGKILENNKTVGECQSQSPLCDTPGTVTTMHVVVQPPTTDKDKKAANDAAHHKCGCVIL >RHN74770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36555164:36555697:-1 gene:gene10883 transcript:rna10883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine permease, plant MADTENETSSHYHPTTQDEQFVFYLSHWKWWFAVILNTFLSLMGQSSAVMLGRFYFDQGGKSLWMNTLLQTIAFPILFIPLFLFPQAKNTFETTMHSSIHTLIIIYFSLGILLACDYFMYSIGLLYLPVSTYSLICASQLAFNALFSFFYQFSKTHFFYTEHCVSLNLISFTYCTSF >RHN62907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46674160:46692547:1 gene:gene25543 transcript:rna25543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MKLKRKRALEVPPKIRCFINCVTAVPLENIQEPLNNFAWEFDKGDFHHWADLFNHFDSFFEKYVKPRKDLQVDDGFLDSDPPFPREAVLQILRVIRIILDNCPNKHFYSSYEQHLSLLLASTDPDVVEATLDTFATFLKKTVGKYSIRDTFLNSKLFALAQGWGGKEEGLGLIASVLPNGCDPIVHEMGCALHFEFYAVNEPKSDIKGAEPLVEGLQIIHLSDVNKCVETDLGLLHKLVTEYKVPSSLRFSLLTRLRFARAFGSLGSRQKYTCIRLYAFIILIQACGDADDLASFFNAEPEFINELVSLLSYEDAVLEKIRVLCLHSLAALCHDRSRQPSVLTAVTSGGHRGILSSLMQKSIDSVVHNTSNWSVHFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTNPEHLLLVEKAVRILEAFMDYSNPAAALFRDLGGLDDTISRLKIEVSHVENGGEQPDENSKSSRSVHMVGDSSVLLNDMQPLYSEPLISYHRKLLMKALLRAISLGTYAPGNTARIYESEDNVLPQCLCIIFRRAKYFGGGVFSLAATVLSDLIQKDPTCFPVLDAAGLPSAFLDAIMDDVLYSSEAITCIPQCLDALCLNSNGLQAVKDRNSLRCFVKVFTSRTYLRALTGDTPASLSSGLDELMRHASSLRGPGADMLVEILETISKIGSPVDPSSLCPDPCSSNSVPMEMDGGDKNVILPDNKESLKADDTELINEESYLPDCVNNVARLLETILQNADTCRIFVEKKGIEAILQLFTLPLMPPVSVGQSISVAFKNFSQQHYASLARALCSFLREHLKSTNELLYSVGGTQLALVEPTKQTKVLKCISSLEGVLALSVFLLKGTTAVASELSTSDADVLKDIGRTYKEIIWQISLCNDSKTGEKKNNDQEHDVSQAPSSTVVERESDDDTNMQTVRYTNPVFGRTGSRSLWSGGRDFVSVVRSEEGLHRRTRQGIARMRGGRTARRLEALNIDFESSSSVLEASLSQDLKKKSPDVLALEILNKLASTMRSFFTALVKGFTTPNRRRADSGSLSSASKSLGTVLATNFLEALSFSGHSTYIGLETSLSVKCRYLGKVVDDMASLTFDSRRKSCYTAMVNNLYVHGTFKELLTTFEATSQLLWTLPCSITSSEIDLGKIGGAKLSHNTWLLDTLQSYCHLLEYFVNSSLLLSPTSASQAELLVQPVTVGLSIGLFPVPRDPKVFVRTLQSQVQDVILPVWNHPMFCSCSPGFIASIISLVTHVYSGVGDVKQNRNNILGSTNQRFMPPPPDEATISTIVEMGFSRARAEEALRRVETNSVELAMEWLFSHADDPIQEDDELAQALALSLGSSSETTKVDSAEKTIDVPVEEGDIKKPSVDDILAASAKLFQSSDLVAFKLTDLLVTLCNQNKGEVRPKVISYLLQQLKLCPLDFSVDNCALGVLAHILALLLFEDGSAREIASQNGIISITIDILTNLKDSLKLRKEISVSKCISALLLILDQMLQSRPKIENMERGTQTGSMPDSSGEHGSLQFTDTVAQEEKKIDGREKEPGMDFENVLGKPTGFATIDESHKLLDITCDLIKQDVPAVVMQAVLQLCSRLTKTHALAMKFLENGGLAALFSLPRNCSFPGYDTVVSTIVWHLLEDPQTLQTAMELEIRQTLSGNRHSGRVSPRSFLTSLAPVISRDPTVFMKAAAAVCQLETSGGRTVVMLSKEKEKEKSKSSSTEATNECLRISESKSNDGSGKCLKSQKKVPVNLTLVVDQLLEIVLKYPPMEGREDFECDSTLMDIDEPTTMIKGKSKVDETVILKPESERSAELVKVTFVLKLLSDVLLMYGHTVGVILRRDSEMCQFRGSNQQSRHNGIIHHVSHRLLPLSVDKSAGPDDQRGKLSEKASWFLVVLCGRSGEGRKRVTNELIKEMTSFSNFESNSLKSSLSPDKRLFTFVDLVYSILSKNSSSGSLPGSGYSPDIAKSLIDGGMIKCLTSILQVVDLDHPDAPKFVTLVLKGLECLTRAANASEQISKSDGIEKRRSDDQIATPSAVETVAHDQNASSQEALREMIVNAHNQRTSQGDHHADNSNQLVERDMSVEEQETIAQNTLVELRMDLMREEIGEGSVLQNPEQIEMTFSVENRADDNMGDEDDEMGDDEDDDGEDEDEDIAEDGGGMMSLADTDVEDHDDAGLGNEYIDQMIDEDDDDFHENHIIEVRWREALDGLDHFQILGQPGTGGGLMDVVAEPFERVTVDDFFRLQSFERRRQTGRSSFERSVSEINGFQHPLLVRPPQSGDFVSMWSSGGISASRDSETQSSGNHHDMTHFDMFDAPIFPYDHMPSSLFGDRLGGVAPPPLADYSVGMGSLHLPGRRVLGNGRWADDGQPQGGTQAAAIAIAVEEQFLAQMGSIAPASSPTEQQVHNSEEQEKQSDAIPSRDAPVLTVGTDSTCQQFAGQDQENGIETIPQQINLSVDGAPCEEEINVDSSVQDPGEGLQTNEPMSVQPLSLNLMTNNLDCPENEINAAPSENVEIPQEFMDSSIESCTDVRCGRDPDVPTNNHNVPVVPMGCNGTSNVDGQPTNIELPDSGFETTNPSDCRASSIYASVDVDMGGVDAEGNQSGQPTVSEDIRDELLSTQNTEVALDDTQAEQTSANTIDPTFLEALPEDLRAEVLASQQAQSVQPPVYAPPSADDIDPEFLAALPPDIQAEVLAQQRAQRIVQQAEGQPVDMDNASIIATFPTDLREEVLLTSSEAVLSALPSSLLAEAQILRDRAMSHYQAHSLFGNSHRLNNRRNGLGFVRRPVMDRGVGVAIDRSSALTDTLKVKEIEGETLVDANALKALIRLLRLAQPLGKGLLQRLLLNLCAHSVTRATLLYLLLDMIKPEAEGSASRPATLNDQRLYGCHSNTIYGRSQLLDGLPPLVFRRILEILTYLATNHSAVAKMLFHFDQSITDFSNSSTIPLNGKGKEKVNEGGSSPKSFGDHAGAVPLVLFLKLLNRPLFLRSTAHLEQVMGLIQVVVDTAASKLESQSQSEKAIADTQNLSVNEAEKDPPLVEMDSNRQDNHPDPKTCPSNGKKNVDMYNTFLQLPQSDLRNVCSLLGHEGLSDKMYMLVGEVLKKLAFIVPAHRKFFILELSESSQALTGSAVSDLVTLKQTNMLGLSAGSMAGASILRVLQALSSLISFNTSGDKDMESDVDQHDDEAIIWNLNTVLEPLWQELSNCISAAEMQLGQSSFCPNMSNINVAENLHGSSTSPPLPPGTQRLLPFIEAFFVLSEKLQANESIMHQDHDNATAREVKECAGGSASVSGKFCGDLQRKLDGAVTFTRFAEKHRRLANAFIRQNPGLLEKSLSMMLKAPRLIDFDNKRSYFRSRIRQQHDHQLSGPLRISVRRAYILEDSYNQLRMRPTQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKLILYEKNEVTDYELKPGGRNIRVTEETKHEYVDLVAEHLLTNAIRPQINSFLEGFSEMVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTVASNVVQWFWEVVKSFSKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPDRLPSAHTCFNQLDLPEYTSKEQLQDRLLLAIHEASEGFGFG >RHN61226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33990633:33992560:1 gene:gene23666 transcript:rna23666 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVRDVVYNLLVVMNHWFVVAPDASMNSSINDIRALIQLGKERE >RHN50889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11498406:11499616:-1 gene:gene35238 transcript:rna35238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant organelle RNA recognition domain-containing protein MVFTLINVLEICILGKRGVTAMNLPKKLKEFLLQHLGICYVSTRENHGKLHTVFLREAYRKGELVEPNDLYLARRKLVELVLLSPRKARVDRELIGYRRSKLDDEMGQVTRAYVEDACEDFKGGDRVEQGVDEEDGLSSDIGSDVDSANEDDDFDDCVSNEKEIS >RHN44312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3454712:3456670:-1 gene:gene38415 transcript:rna38415 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEMQHATISEYLIPCVHFKQWDHSQHLCVSSTSRSSQSKQ >RHN53633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2947522:2948135:1 gene:gene28560 transcript:rna28560 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQAKQNIHKRYVSLVFDHLRLLKCSWKLCHLSAGVEETLMPDWKQMMPFVGVEANESFLNFEFQAGHSYEIGKFFACRNP >RHN73998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23343082:23343989:1 gene:gene9919 transcript:rna9919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTKVHKFIYIMIVFLSIFHVVNSYVVMCEKDSDCVDSFCVPPNVPKCRVVCKCLPK >RHN80285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36823046:36827914:1 gene:gene4190 transcript:rna4190 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFPGTPGTVLGLILRMSQFIFATLSIGYMVTTTSFMDITAFCYLVAAMGLQAIWSFLLALMDAYAVVRKKVLHNPALVSLYLVGDWVSATLSLAAACSSAGIIVLYFHDLGHCYFGEKCRSYQISVGFAFLSWIPTSISSLIMLWLLAGG >RHN62937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46876701:46879084:-1 gene:gene25575 transcript:rna25575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MDIISILSYSFFYLALFFIFNLLFKSRKFNNLPPGPFSLPIIGNLHHLKRPLHHTFKGLSKKYGDVISLWFGSRLVVVVSSPSLVQECFTKNDVVLANRPRFLSGKYIFYNYTTLGSTTYGEHWRNLRRITAIDVLSNHRINSSSGIRRDETQRLVKKLAEESSSKEFVEVELRSRFFDMTFNNIMRMISGKRYYGDDCDMTDAEEAKEFRAMVTDLLKLSGANNKNDFLPILRLIDFENLEKRLKKISSKTDTFLRGLIQEQRSKNQHTNTMIDHLLSLQESQPEYYTDQIIKGLALGMLLAGTDSSAVTLEWALSCVLTHPEVLETARYEIESNVGQDRLLDESDLPKLPYLKNIIYETLRLYTPAPLSLPHSSSDECIIGGYKVPRDTILLVNAWAIHRDPKSWSEATSFKPERFEKEGELDKLIAFGLGRRACPGEVLAMRAISLTLGLLIQCFDWKRMNENEIDMREESGFTLSRLVPLKAMYKARPVIKKFVE >RHN46418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31532448:31533856:1 gene:gene40901 transcript:rna40901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MLVLFLVSFTQTVNRLIFSNQSKMQVSSGDEEEQLHQEVSLPPRSQMAPGTTATKRRRRLSSSIGNPPLPTLPFDLVLEILYRLPVKSLMQFKCVCKSWKSFISHPKFAKKHFCVSTKTHHLFFHCKPKGSFEYIIKAFPLSTIFTKKVTPTATTTQQLDYPLSNPNCLNCDRIRGSCHGILCIVLYTGYESYQELFLPDYRSTYVFRKSLCVLKDCLCILSSHIGCSSEVWLMKEYINSESWTKLFHVPPLIEGVGSVIYERALYVYENDLVLLVVYNSRDGTFKSLKIKKQHGGSKWMIPEVFQESLIYVMLCNMGFRHIKNSSELQL >RHN76867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1937118:1943960:-1 gene:gene251 transcript:rna251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase MDGREDNSNGAVVPKKSRSLDLKSLYNSKLTEGAPKENLKRKGSGSHGGGDEKGSKRKKVRKEVALSSLDNADGGGKQVADEEDLGEPELGVSEGFHSISGLNGVLVGVASDVCIPKRKRTLVGRKKSDDGQSSNPVGHPRQEIGSDDLGPKVGSDDSGRAVQSSKVNLKKRFDEFKENRNSDSNSISAQHLKQNGDHAPDSVVNSGRSSSKKSKKRDRKHKVLASDKPRVSKEAEPLINSCTISVELHEDDEENLEENAARMLSSRFDPSCTGFSSSSRSSPLPSANGLSFLLSSSRNIVNHGSKSRSGSESASVDTAGRHLRPRKQYNDKEKKSRKRRHFYEILPGHVDAYWALNRRIKVFWPLDQSWYYGLVNDYDEAQRLHHIKYDDRDEEWINLQTERFKLLLLRNEVPGRAKGGRASTKSRRSDQQNGSKSRKEKQRGEEIKEDDSCGGSSMDSEPIISWLARSSHRLKSSSFHGIKKQKTSVTHPSTTSSLLYDEPVSAQGNGTKSSSRGVANNLSCGSLAQDKLGDNLREKSALQSTTQIKDRKQPTVYFRKRFRRPAPMSPPVPKEKHIIVSTPCSISGDHVVGGIQSVKEPSGRRFEGPLWFNYNEGILKMIWDMESASFKFDLHFPIKLILNEAFQSENLWLLNAVLLLRYGTVVTKWPRVCLEMLFVDNVAGLRFLLFEGCLKMAATLLFFVLRVFHQPAPLGNNDLHLQLPFTSIGFKLSSLHAIKQPLVFAIYNFSRLKNSNWVYLDSKLKRHCILSKQLHLSECTYDNIQALQHGSSKFTAASISEPSSVKVVRKRSRPGINIMGVSKVSTQVDTHQSSDAGERKLPPFALSFAAAPSFFLCLHLKLLMEQSTAHLDLCNHVPEDGQEDAALGTGGYSSIDDCSNPNSEIILRKDTTLSNDAAGDGLSCVALDQLTGPSTCGDRVVSQNDQNTGLLGTGTSISHGSERFGNIHSPELQSHHSAQKPGSLPSSSLIHKDKADNGSPFNGDLRVQIPSVDEFEKPNAQQSPVLSWNMNGSVIPSSNRTAPRSSYRRNNSLSLGFQSPAWSDSKTDSLYNDFSNGPKKPRTQVSYSVPFAGYELSSRHKSHNQKGLPKTRIRKASEKKSSDVARVPEKDFECLSCDANVLITVGDKGWREYGSHVVLELFEQNEWKLSVKISGVTRYSYKAHQFMQLGSTNRFTHAMMWKGGKDWILEFPDRSQWALFKEMHEECYNRNLRAASVKNIPIPGVHLIEENDDDVSEATFVRGTMYFRQLKTDFEMALDSSRVLYDMDSEDEQWFSNIRSSENYNGDLNGITEELFEKTMDLFEKAAFAKLRDQFTPNEIEELALHVGPLCIVKIIYDHWHQRRQKKGMALIRHFQPPMWERYQQQLKEWEVAVTKNNLSSNGSLDKGATLEKPSMFAFCLKPRGLEVQNKGLKHRSQKKISVSGHTNRFRYHDGFHTTGRRPNGLAFTDERFVYPGHSYDSLDDSPLPLTSPRVFSPQDAASMKYYSMSNDAYYRNHMQKLHRSKSKKHGSFMYNNGSQPSGSNSQRMPVSEKRNGVRMINHDLPGHRQYAPDCPQKHGVEQLDGSDCVPQQLDEEFRLREAQNASLRVRNTAKFKRHRANNLHSMAEVAIHRAMVALMTADAIKASNEVVGGGSKTNS >RHN53485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1973903:1976612:-1 gene:gene28395 transcript:rna28395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MDFLNFLLNLFVPPASLITLAFSWPALCFLNACEWLFNYNYGEDMDSKVVIITGASSAIGEQIAYEYAIRRANLMLVARREHRLIGIAENARRMGARHVMIMAADVVKEDDCRRFVNETINVFGRVDHLVNTVSLGHTFYFEEVTDTSVFPVLLDINFWGNVYPTLVALPYLHQSNGRVIINASVESWLPLPRMSLFGAAKAALVNFYETLRFELKDEVGVTIATHGWIGSEMTRGKFMLEEGADMQWKEEREMHVSGEAVEEFARLIVSGACRGDAYVKFPSWYDVFLLYRVFAPNVLNWAFRLLISPQGTRRFSSYLGTGRSLDTVTGGMGRPMLEGTSPRHHTGMVPLTFSGQLSHQMQQKMD >RHN75791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45091406:45095207:1 gene:gene12041 transcript:rna12041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MARRRQCKRQRKDDFISDLTDSVLLHILSFLNAIQAVQTCVLSKRWIILWKSLSTITLRSSYSRPRKRFDEFVSRIFSLRDGSTAIHTLDLYRRHSMKHSLLRKIIEYAVSHNVQHLRIDYTCHIENFPSCLFSCHTLKSLNLSGFLYNTFVHHKPVFRNSLNLPSLTNLSLKYFAFARSDNGCVEPFSTFKMLNSLIIDCCIVLDAQNLCISSTKLVNLSILMWASVPETYIGIYFGIELYAPSLHNFAFTGRYTPKLFGSKSVLSSIKLVSVDLRCRLISESRESSSFLLNWLVELANIESLTFYSNTLEVLSLFPDLLKAELHPLYNLKSLKIETDQTTSICNDVVEFLIQNSPSAKVDIIRL >RHN59317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9490949:9491554:1 gene:gene21327 transcript:rna21327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative costunolide synthase MLVAATDTTSATSVWAMTALIKNPRVMRKVQEEIRNLGGKKDFLDEDDIQNSLYLKAVIKETLRLYLPAPLLVPRESRETCIINGYNIPAQTILYVNVWAIQRDPNTWKNPEEFYPERFIESSINFIGHDFELIPFGAGRRICPGMSMAVASLELILANLLYSFDWKLPQGLEKEDIDTEMLPGITQHKKNPLYLVPNIPI >RHN43614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47249252:47250828:1 gene:gene50221 transcript:rna50221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MVDFQSDSTFDEEDLTQGLDSLSLFKDDSDREDVINENESEIPKETIEGSRNDGDNRCRFDESYVVNCLEDISSINFKELSSEDVRRYHFVDVAVAFTFYNWYASCHGFAARKSKVMRSKSGELTQQTLVCYRQGFTEKKSCSSSTRKRVPKARVRCGCEAKCRVHIDSKSGRWYMKFMNDVHNHALLDDQFTSMQWRSPSWWPTRKN >RHN67708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29541043:29541925:1 gene:gene15926 transcript:rna15926 gene_biotype:protein_coding transcript_biotype:protein_coding MICFNSLHSSTSSLTNCGSHLKTDVEKTISNFSHPSILIVVRLLRTNEYLRPTKRHLIIGRSINIMSSKLKFICCPFSSLPLNSYLYAHKIY >RHN45982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27522402:27525510:1 gene:gene40413 transcript:rna40413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPPDE putative peptidase domain-containing protein MAEEGYRVTLNVYDLSQGLARQLSTSFLGKAIEGIWHTGIVVYGNEYFFGGGIQHLPAGSTPYGTPLKVVELGVTHVPKDVFEMYLQEINPRYLPETYSLLTHNCNNFSNEVAQFLVGATIPDYILQLPNEVMSSPMGSLFLPMIQNLETTLKSGGVPQVPQFRPTTVSPASNFASVTTQKSSTAPNSSTEKKAIPSKEVAKGKEEDKKTENAVSASEKAAAASNGVVKDPLGDARSKVQDEIIKEFAAIMATGTMRASEAAALATRRVMERHGQMSVSQS >RHN69161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41476185:41476463:-1 gene:gene17594 transcript:rna17594 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPAAIRRASFSSSQTSKVLNVPKGYLAVYVGEQMKRFVIPTSYLNQASFQNLLSQAEEEFGYDHPMGGLTIPCTEDVFLHITSHFNGL >RHN47886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43320575:43324916:1 gene:gene42537 transcript:rna42537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MCCESEGLKPVPSSSPSLSPTLTHNSQLRNHTMNHLTISTEDSFASLLELAANNDVEGFKRLIEYDPMSVDEVGLWYGRRKGSKQMVNEQRTPLMVAATYGSIDVMKLIFSLSDVDINRPCGLDKSTALHCAASGGAENAVDAVKLLLAAGADPNSVDANGDRPIDVIVYSPKLELVKNSLEELLQIDGPSAGSNLRVITNSLNSYSPPLSASPENGSPSPPPDLLLKLKSIDVPLSPAGSEKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTNCSRRVCFFAHTPEELRPLYVSTGSAVPSPRSSTSSAMDFAAAMSMLPGSPSSMSVMSPSPFTPPMSPSGNGISHNSVAWPQPNIPALHLPGSNLQSSRLRSSLNARDIHMDDFELLSDYDQQQQLLNELACLSPRHINSNSLSRSGRMKPLNPSNLDDLFSAESSSPRYADPNLTSTVFSPTHKSAVFNQFQQQQNMLSPVNTNFSSKNVDHHLLQAASYGVQPSGRMSPRNVEPISPMGSRMSMLAQRDKQQQFRSLSFREHGSNSMLATAGSVNNSWSKWESPNGKLDWAHNADEVGKLRRSSSFELGNNGEEPDLSWVQSLVKESPTEIKEKLATSISGAAPGGSSGEGMNMSTQLESVDHAVLGTWLEQMQLDHLVAQQN >RHN76220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48706937:48711602:1 gene:gene12512 transcript:rna12512 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPQPSASNPPPKPWERAGSSSGPAPFRPPSGGSTSDVVEASGTAKPGEIVTAADRSAAVNRNTLARPVPTRPWEQNYGTNSYGGGALGGYGSTMNYNSGYGSGMYGSSYGGGLGGGLGGGMYGGGGMYGNSMYRGGYGSGLYGSSGMYGGGGMYNSGLGGPMGGMGGYGMGVGPYGGEQDPNNPYGAPPSPPGFWISVMRVMQGVVNFFGRISILIDQNTQAFHLFMTAMLQLFDRSGMLYGELARFVLRLLGIKTKANKVNPPGPNGYPHQGPNGYPHQGQHNSSGNMNYIEAPKAAPSGSWDNVWGNDTSQ >RHN59246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8762240:8762791:1 gene:gene21249 transcript:rna21249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MWSNPVHCNDLVNFLYGEELEQLSLAFFKLCMVNLTDHYYVNGKFLFQLFKSCKLLEEAILVKCIHLTEAGVASALLEKSTFWFLSFTLYERQQDYAMLFACIRNCPSLREIIFDYKRWVSRIRSVENYNSLMDIVISPQIKSLSLQGSGALKDKNIKMFSEPPEGLLPQLQADTRREMYHRD >RHN44440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4768892:4777084:1 gene:gene38561 transcript:rna38561 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGQSLFLKFIMKLWPCCINHEPYPSYFHSHLQNTFAIVSLNSFNVTSDLFDQILKYRNDGRGNLLLCWLKLLWKHNYSLIFGCIDHVELSAVTLQLNTFNLFNLKTGCLWFPAKVHKE >RHN44771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8318739:8322076:1 gene:gene38932 transcript:rna38932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MPAGLDMSLDQITTHRRTTSNRPNRHLGVRSNSVRITPYSISHSQMLEREALRRSNVDDVPQEMVLDQPHQKPITKLYLSNLDDRVSNEDIHLLFSEEGELESYSIHYDQFGRSKGTAEVVFTRQSEALAALKRYNNMKLDGKTLQIELVGTSLVTPAAAPLGQSSLLGKPNDVFARCKIPSPGLMSSGTNLVTPADMPLGRSSLLGKPNDVFARCQIPFPGFISYGTTVDMPLGRSSLHGRPNDVFAREERKFGGSKYNNSSTATHGYLPRKDHTRKAKRQIPMVSAKDLDEDLERYRSEALKENKGKGKGNHD >RHN80557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39058486:39061181:-1 gene:gene4496 transcript:rna4496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MAKSFQLDKVDGKKCYMLSARSLFIVWGDTLRYWKWTSVPDSRFSEVAELVSVCWFEIRGWINTIMLSPKTLYGAYLVFKSSASGTYGFEYQPCEASIVIAGGDTVERNVFLDVERGRRLRYQIVHRFRTTGIFTRLRPLVEPIESVDDMQKHPKERADGWLEMELGEFFNEGGNDKEVEIGVCEVKGGGWKGGLVVQGIEIRSKPKSC >RHN53366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1213895:1214632:1 gene:gene28267 transcript:rna28267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MESDRTRSGMCLKNAEIANANAVKEAEEDIFHTKIPEPVITHILSFLPTKDAVRTSVLSKTWEHRWTFLTKLSLHDHRQNDLINDQLVRLRRTRNFVRFVDRALIHTDGIILDSFSLFLFGRYETSLLDAWFSNIFNRRRVKTLRIHSHFQFSLSGLVTRSLLKNSMLVEELELHTDLISTIKVPIMPMTIKELEILTHSIPLYNVPTPAKSFCFENLKLLKLCGINFKNDSPKYPSSFCLVSHS >RHN70786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53998142:54003325:1 gene:gene19403 transcript:rna19403 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKKCYLDVILVPLAILLSIGYHVWLWYNVRTHPHTTIIAINASGRRNWVAAMMKDNEKKNILAVQTLRNTIMGATLMATTSILLCSGLAAIISSTYSVKKPLNDSVYGAHGEFMEALKYVTLLTIFLFSFFCHSLSIRFINQVNILINTPQDSMSLVTPSYVSELLERGFTLNTVGNRLFYSALPLLLWIFGPVLVFLCSLTMVPLLYNLDFVITKGKMDPNQNTDFV >RHN69052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40613564:40614688:1 gene:gene17479 transcript:rna17479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclear hormone receptor, ligand-binding domain-containing protein MKWKIQQYKPVQVWDWFFKSCEVNGRIVLRDGLISVKEIEECIFKGNCKKLSIKLPAWSLLQCLLTSAKSNSDGLVISDDIELTRMNGPKDRVFEWFIGPLLIMKEQLKNLELEESEETCLKELVMRSKNDIPEDWDSTGFPSKDNVRRAQLQAIIRRLQGIVSSMSRMPTFRRKFRNLVKILYIEALQASASAKEGNNIDEP >RHN51188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14925551:14926775:-1 gene:gene35576 transcript:rna35576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MEDSKKMDRISNLPDELLCHILSFLPTKIAFTTTVLSKRWTPLFHSLTILRFDDETVHNYAAFNSVCGFIDTFMLPPRLPNQFIKTFSLKCRFVFSDSNCHILDAWVEAAKQRCIEEFHLSMIARISNDTIFTCQTLVVLKLEWLQVEVENLCRDLPSLKTLQLRFVRFKNKNVLQQLLNASPNLEDLNAYGTSKHDKNSAPVGVKSLSLAKLVRAEMRAIDVPYNVVNNVEFLCVYQAEDIIFKSFPVFRNLIHIKLQFYCFFHGWDGIVQLLQQCPKLQIVFIRKWRSSSSKEWKWPNSVLECVSSHLRSCTILNFEGSANNLRFATYILQNARLLQDMTIDVTTRSSNEMLLERSEIIEELSSCPRISPACKLTFEYK >RHN75595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43612622:43613068:1 gene:gene11825 transcript:rna11825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MFACINQFQALYLLHQMKSYKVVLDMYTYTTLIQSLCKEGKLQVAKSIIPRMLRLGIKPDIVSYNSLIYGYCLIGEMDAVRKLFDNMHSIGIELDLSSYITLFNGYFNRRMVDDVSLLLLKMRSTGLMPDLHVYNCLILGCAEFDRDN >RHN40952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24940688:24942625:-1 gene:gene47202 transcript:rna47202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MLIGLMIHLLFYPTSQVQRRPELNFVLLETPPHLDNDSSPLTKNFGNIVSNNGDQIIDSFLLLIVTVLWLDLYICQFTSKWMDVFIDNGNEFEHEAFLATWLSIFVFPHKNMSVKSSLFTISIHLARGNTIALAPAVLASIYKDLSLFKKQIVDFKKCPVDVNLQSPFYLVQVWVWERFKNLQPRPMLINSEDHVLLKWHLVNALKIDNVRLTLDSTVDNFIWRPYVRYADKCGVFYPKDEICVPSMKDLADKQMLSFVICLRVSELVGFESIEQYLPHRVARQFGMDQDVPGYVSRFRKTNAIAWENYCRPFSDTSLYYFPSRFFKAGVTTCYAKWWKKSVLGPQGFVKNDVPQNKIASSSKYRPHAEIPKLVGCGTVTFGKSSDDGLKTSNGDSIVGEDVPSDFIPKLVGCTITIRKSSDDGLRTNKGDNIIDVPSDYLRKLVGSTVTIGKSSDDGSKSSKGVGGNIDPDWRNIVDDAHRGNFIHDDDPSGSIPKLLKTISSENFVEDGLIAEKDVDSDAHSILPPKDNTLTPLISVEDCKHVSENVQFEEDANGSKEARLSSDTIGKSETQMERYGYLSEVNVAELEQRIKQLERVQRKRKRARLGLS >RHN62949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46987974:46990799:1 gene:gene25590 transcript:rna25590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative caffeoyl-CoA O-methyltransferase MAVNNEEKQQLIPHTDLVHKSLLQSDALYEYILETSVFPREHPCLKELRELTENHSQKIMATPADEGQLISMLIKLINAKNTLEIGVYTGYSLLTTALALPSDGKVLALDMSREYYEMGLPIIEKAGVAHKIDFRQGPALPLLDQLLQDENNKGAFDFIFVDADKNNYINYHKRVLDLVKVGGLIGYDNTLWVGSVAAPDDAPMHSFIMNLRGYVIEFNKYLAQDLRIEICQLSVGDGITLCRRIY >RHN46989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36311904:36312919:-1 gene:gene41533 transcript:rna41533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGFPVGYPEVSVPNIFLYTLSLLSFLRSLTISFLSLLHLSDLLDTDFSTTTLPDSHIHRPTLSAILIRQFLPIITFNDLAEGDSSPPVGCAVCLNEFAGEEEIRCMANCRHMFHRTCVDRWIDHDQKTCPLCRTHFVPYHKMEDYNQRLWNDAASEDDIDDDVSLFSHRHDYYYIANASL >RHN71366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58539624:58540858:-1 gene:gene20041 transcript:rna20041 gene_biotype:protein_coding transcript_biotype:protein_coding MESKRRGTELKQGWKGKSMASVSAPPFHLFMVFGIVISLLCFSQYKNFKAQLHNTAINFQLLIFFFPFLLMFFIGSYSTGGKLNFHTLGA >RHN51344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16520361:16521072:1 gene:gene35769 transcript:rna35769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MRKNNMAKTLKFVYGLVLFLYLFLIEKGVDGKTFLMAEYIKCDTDADCPIVIHHSFYKCIDNLCKRFRRQKHLV >RHN79859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32913550:32915615:-1 gene:gene3707 transcript:rna3707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKPARIIVLRNAHGEGRRIRNPLALNEQFQTQSTLKTNLLKTHGGGLDIAAYGSAIQHCTNHRLIRQGKQLHARFFPFAITPDNFIATKLITFYAKSNLIRNARNVFDKIPHKNSFSWNSMIIAYTSKSLFNDALSLFASFVSSTDNNVSPDNFTMTSILKTLALSSSVCYKSAKQIHCSALLRGFYSDVCVLNALVTCYCRCGRIEIARKVFDEMTERDIVTWNAMIGGYSQSGFYEECKRLYLEMLGLEGKGILPNAVTIGSVMQACGQSKDLSFGMEVHRFMKDDGIETDVFLCNAIIAMYAKCGSLNYARELFDEMGEKDEVSYRSIISGYMINGFVDEALDVLKGIENPGLSTWNDVIPGMVQNNQFERALDLVREMPGFGLNLKPNVVTLSSIIPLFSYFSNLRGLKEVHGYAIRRSYDQNIYVATAIVDSYAKLGFIHLARRVFDQSQSRSLIIWTSIIYAYASHGDASLALGLYNQMLDRGIQPDPVTLTSVLTACAHSGLVNEAWDVFNAMPSKHGIQPVVEHYACMVGVLSRAGKLSEAEKFISKMPFEPTAKVWGALLNGASIYDDVEIGKFACDHLFEIEPEHTGNYIIMANLYSRAGRWEEARKIRERMEKTGSPKIRGSSWIETSGKLLGFIAKDMSNEMSDEIYALLKGLLGLMREEGYILQEELDYEM >RHN59434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10706729:10708148:-1 gene:gene21463 transcript:rna21463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MASLRVTIVVAIMCMVVASAPIAEAATCGQLIDSLIPCITYLQGGPGPSAACCGGVKKLNAAANTGPARKAACNCLKSAAGTIARLNNNQAAALPGKCGVKIPYKFSTSTNCNSIRF >RHN40783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21070306:21071052:-1 gene:gene46990 transcript:rna46990 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDNIAAATLQKVLELAQEIEVHASNIVREDVGADAQEVIKAAVVEENAGCSEAVFPEASKGNPDSLHSTEIINIKSSTTSTSHSTSISTSSTSSDLDNVPLGRIYTTINKGPSPTTKIHKEPADKIPYDPVYPFILNKIGEMFEMRNKVCERLHADHPFQPPMIKPLSFVRADADVVNESEVPKHVNIIESSSHPSSTNQTSETSVLENLVNHCSGELPGVGQT >RHN47716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41923133:41929388:1 gene:gene42347 transcript:rna42347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGLCHGKPIETSRRERERERENSTNEEILQLPPPPSSSHHHHAPTKSSSKFPFFSPSPLPSFFKNSPANSTVSSNSTPLRTPLRIFKRPFPPPSPAKHIRALLARRHGSVKPNEATIPEGSECEVGLDKSFGFSKHFVTNFELGQEVGRGHFGYTCSAKGKKGSFKGLDVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHKNLVQFYEAYEDEENVYVVMELCKGGELLDKILSRGGKYPEEDARVVLIQILSVVAYCHLQGVVHRDLKPENFLFSSEDENSTLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPSLSADAKDFVKRLLNKDYRKRLTAAQALSHPWLVNHLEDIKIPFDMIIHKLIKTYICSSSLRKSALGALAKTLTLVQLAYLREQFTLLGPNKSGLISMQNFKTAVLRSATDASKDSRVLDYANMVSSIQYRKLDFEEFCAAAISVHQLEGMDTWEQHARRAYELFEKDGNRPIMIEELASELGLSPSVPIHVVLQDWIRHSDGKLSFLGFVRLLHGVSSRTFHKA >RHN65685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4362510:4363121:1 gene:gene13557 transcript:rna13557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MSIRPLTIFIIAHVWLFMITTSVAQIVIDTSGEPVEDDEEYFIRPAITGNGGGSILVTRNGPCPLHVGLGNSEGTLGMAVKFTPFAPRHDDDDDDVRLNRDLRVTFQGFTGCGQSTDWRLGEKDATSGRRLIVTGRDNGAGSHGNFFRIVQTQTGGIYNIQWCPTEACPSCKVQCGTVGVIRENGKILLALDGGALPVVFQKE >RHN51493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18874629:18878287:1 gene:gene35937 transcript:rna35937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein Hsp90 family MADAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDGQPELFIRLVPDKANNTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTVTRDTDGEQLGRGTKITLFLKEDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDDEPKKEEEGVVEEVDEDKEKDAKKKKKIKEVSHEWELINKQKPIWLRKPEEITKDEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEYLGFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIEMFNEIAENKEDYNKFYDAFSKNLKLGVHEDSQNRAKLADLLRYHSTKSGDEMTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLERLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDDETEEEKKKKEEKKKSFEDLCRVMKEILGDKVEKVVVSDRIVDSPCCLVTGEYGWSANMERIMKAQALRDSSMAGYMSSKKTMEINPDNGIMEELRKRSEADKNDKSVKDLVLLLFETALLTSGFSLDEPNTFAGRIHRMLKLGLSIDEDETGADDVDMPPLEEDGAEESKMEEVD >RHN74792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36708785:36709259:1 gene:gene10909 transcript:rna10909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MTVLPKFAMLSHLDVGIVSGEVLLGLLQKTPVLTILDFKGISEFNEELLNSAVVPDCLTSSLQVVKFGTVHGSENELRLAKFFMENGVVLERTSFSLYGKSTVIEEFKEKLYSFKKGVSFAILEFKEKMY >RHN51386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17052333:17052799:-1 gene:gene35816 transcript:rna35816 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDKTKEEALIRTYLITFSSSYESECGSVMNYEHHARWDQPSGCIVFRNVEPSMVQALAFELTEKLSILAKSSERATEAWLGSVGWIALPLLQMVGVKTCRGSLLLILIINILFLSLFFSNLLCTPFTICLF >RHN62342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42767929:42776421:1 gene:gene24904 transcript:rna24904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanylate-binding protein MFNLFNRNKENPADASPQSPAPARPSSSSSQFTGPPRAIRLVYCDEKGKFRMDPEAVAILQLVKEPIGVVSVCGRARQGKSYILNQLLGSSGGFKVASTHRPCTKGLWMWSAPLKRTALDGTEYNLLLLDSEGIDAYDQTGTYSTQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTQMAKHIRVRASGEKTSASEIGQFSPIFVWLLRDFYLDLTEDNRKITPRDYLELALRSVQGNRNDVAAKNEIRESIRALFPDRECFTLVRPLNNENDLQRLDQISSDKLRPEFRRDIQALIKFVFERTRPKQVGATMMTGPVLIGITESYLDALNHGAVPTISSSWQSVEEAECRRAYDFATEVYMASFDRSKPPEEVALMEAHEQAVQKSMSAFNASAVGVGAARKKYEGQLQKFLKKAFEDYKRNAFMEADIQCSNAIHSMEKRLRAACNASDARVDNVAKVLDALLSEYEKSIQGPGKWKKLAVFLQQSFEGPVLDLFKRVIDKVESEKSSLALQRRLNEDKMTLLTKRLEASEGEKSEYIKRYEDAINDKKKLTDEYMNRITELQANRRSLDERYSSLLKTLDSSKQESMDWKRKYEHVLSRQKAEEGQTSSEIAALKSRSSAAEARLAAAREQAQSAQEEADEWKRKYDVAVREAKSALEKAAIVQERTNKQTQLREDVLREEFSGTLAEKDEEIKEKTAKIEHAEMCLTTLKLELKAAESKIRSYDTEISSLRNEIKDLTDRMKSENAKAQSYEREAIVYQQEKNHLEQKYQSEFKRFEEVQERCKTAEKEAARATEVADRARAEAGMAQKEKSEMQRLAMERLAQIERAERRIETLGREKDNLEGELQRATDSEKDARLTVAKLEEKVQQREKDLEALLDKDKTHRRNNAQILEQLLETEREAHTQANNRAETLSLQLQSAQAKIDSLHQELTKFRMNETLDSKLKTTSDGKRLRAENDTDSVQDMDASPRILRGAKRARSTTSPKYTQPEDGGSIYEGAEDNLSQQANEEDHTKFTIPKLKRELTKHNFGDQLLQLKNPMKKDLVALYEKCILQR >RHN64534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59861471:59862785:-1 gene:gene27357 transcript:rna27357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin-containing monooxygenase MSMNRENNHPKIISKIGIIGGGVSGIAIAKQLLHHNPIVFEATDSIGGVWKHCTYNSTKLQSHRRDYEFTDFPWPNRDNPDFPTHTEVLDYLRSYAKHFDLVKNIRFNSKVVELKFTGNPKFGGVGLPHDLDYGSLLSGQSMWDVAVQTNDSDIIQWYSFEFVVVCVGKYGDIPKIPVFPCKKGPEVFNGNVLHTLDYCKLDQQAANQLLNNKKVVVVGFKKSAIDLAVECAQANQGN >RHN63420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51149814:51151568:-1 gene:gene26117 transcript:rna26117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chymopapain protein MEMKKLLFVSLSLALVLGIAKSFDFEENDLASEKSLWDLYERWRSHHTVTRSLDEKNNRFNVFKANVMHVHNTNKLDKPYKLKLNKFADMTNYEFRSIYADSKVNHHRMFRGMSHDNGPFMYENVEGVPSSIDWRKIGAVTGVKDQGQCGSCWAFSTIVAVEGINQIKTQKLVSLSEQELVDCDTEVNQGCNGGLMEYAFEFIKQNGITTETNYPYAAKDGTCNIQKENKPAVSIDGHENVPANNEKALLKAAANQPISVAIDAGGSDFQFYSEGVFTGHCGTELNHGVAIVGYGATQDGTKYWTVKNSWGSEWGEQGYIRMQRAISHKQGLCGIAMEASYPIKKSSKNPTKSSLPKDEL >RHN50833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10921430:10923112:1 gene:gene35169 transcript:rna35169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative breast cancer type 2 susceptibility protein MRGSYGLSKLSSGASGCKRKVSTRYPSRHARIHIKEFFAVPPLKKKVHFPNPVRQVTSGNAGEYIFHDGSDDNGIGGEAFIHLLAQHGASLHLWIVWKLACYERYYPARCAGKFLTVSNVLEELKYRYEREVNHGHRSIIKKVLEEGDILPSSMMILCISSIHSNHVMESGTLFQAQTGNKSREAVKVELTDGWYSINAILDAPLSKQLDAGRLFVGQKLRIWGAELCGWNGPVSPFEVSSTISLFLHINGTYRVHWSARLGFCKVAGPPLAFKCIKNNGGLIPQTLAGITRIYPILYKERLSSERSVVLSERMENKMMELHNQR >RHN47075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36934684:36935743:-1 gene:gene41630 transcript:rna41630 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTLITSLPKFGSAAATIARARPWNSRVFAAASPRLIQVPKSHNEDGSITTDGIKQGAGVSETVSNSLNESAHEKAYSTGHHVANQTKDAANKASAATQNIAEKAKQTMQEAWDSTKNKANNAADSVKQTCADGKAEVEAKADRAAENVKQTCADGKAEAEARADSVSDKVSEKTKQSAEYVKDNAEAVRRNMNKKN >RHN47221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38052916:38054040:1 gene:gene41789 transcript:rna41789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-pyruvate monooxygenase MLEDFPEYPSKKQFINYLVNYANKFEISPQRVCMMKSLGNT >RHN82586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54973504:54977648:-1 gene:gene6760 transcript:rna6760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MYKRARNSVHKPLRDLSNRELGHLPARIFPNRLAASEDLVLRLDVFKKLDKHRGCVNTVCFNADGDILLSGSDDRRIILWDWETGRVKLSFHSGHSNNVFQAKFMSHSYDRSIVTCAADGQARHAQLLEDGHVETKLLGKHQGRAHKLAIEPGSPHIFYTCGEDGLVQHFDLRTGTATELFTCKPLKDSWNYMPVIHLNAIAIDPRNPNLFAVAGSDEYTRLFDIRKYKWDASTDFGQPTDYFCPPHLIGDERVGITGLAFSEQRELLVSYNDEFIYLFTQDMGLGLDPVPGSPISVDSDTSEAGGSHGSARSPSNTDADVKIAPQVFKGHRNCETVKGVNFFGPNCEYVVSGSDCGRIFTWKKKGGELIRVMEADKHVVNCIESHPHSTVLASSGIEHDIKIWTPKALEKATLPKNIEQKPKARGWMYQIASQEDLMLQLFSLPRRRARTENTVEHSTADQELLDLILTFNTISDDSTDDDGDDDGDATNQDDLFS >RHN53049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41504713:41505790:-1 gene:gene37798 transcript:rna37798 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTGKSHKSTTGKSSSASESRKDRKSSSGMSGSPKKGGHGGKFTWIGSDHLQIGAENEAALDSKDPNFEDHEEIIVADTDTVAAAVTV >RHN81845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49305303:49309004:1 gene:gene5937 transcript:rna5937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b5-like heme/steroid binding domain-containing protein MHHTSTTPVCSLQIPPSSVSLSLSLSLSNTKQRAMAGVQLWETLKESIVAYTGLSPTTFFTILALLFAVYYVLSGLFGYSSSDLHPQTRNFQEEELPPLRPPVQLGEITEEELKAYDGNDHDKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFDEKDLTGDISGLGPFELDALQDWEYKFMEKYVKVGTIKKEATEAESTGEPSGSTPRDVDVINPTEDGRSKPAAVKSEETPSNVEADKE >RHN73257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14868582:14872579:-1 gene:gene9054 transcript:rna9054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Glycosyltransferase family 92 MAKEREKKLFTTLFGSYAAELKLLITTLLLLCTVATFLQFIPSRFTISASDLRFCISRVSTSQTLLNTTTNTNTNTNNLTVTEKLSTVTSTPPPNLPPPPPSPPLVQTEHVTENGIIKRAFNPYGSAAYNFITMGAYRGGLNTFAIIGIASKPLHLYSKPTYECEWISDTDADTNVGVRDPVTTVGYKILPDWGYGRVYTVVIVNCTFPKPLNTDNSGGKLILNAATSGGGDTSLNITDRIEALTEPKNSVDFNIFTSKPKYDYFYCGSSLYGNLNPQRVREWIAYHVKFFGPSSHFVIHDAGGVHEEVYEVLKPWIELGYVTLQDIRDQERFDGYYHNQFMVVNDCLHRYKFMAKWMFFFDVDEYIYVPPKSTIKSVLDSLSEYNQFTIEQMPMNAKLCHSADYGKTYRKWGIEKLVYKDVKKGIRRDRKYAVQPRSLFATGVHMSQNLDGKTTHKTEGRIKYFHYHGTIAQRRESCKMFLNSTATTYDKTPYELDTTLRDLAGVIKKFEQKMIGNKVQKTRL >RHN69418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43286483:43286858:-1 gene:gene17887 transcript:rna17887 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQIQSALVLKNQFMLLSKFRFIYAMPLYSTCNHITLLHRLYYVIFKIFFILRYSTMNQLD >RHN82218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52059116:52061728:-1 gene:gene6363 transcript:rna6363 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFFSALKEEVVRGLSPSRTRSRSRSRSTSPARTGSPMTGLFTRKKNHPNPNLDSSLARSGGLRPLGETLTPLIEGPDSENGDLKRIGSGLGNWMKDQLSRAPSVSYKRSDLRLLLGVMGAPLAPFHVCSTDPLPHLSIKDTPIETSSAQYILQQYIAASGGQKLQNSIRNAYAMGKVRMVASEFETATRVVKNRNASRCAESGGFVLWQMNPDMWYVELSVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRTTASMFADARCIGEKNINGEDCFILKHCTDPETLKARSEGPAEIIRHVLFGYFSQKTGLLVHIEDSHLTRIQSNGGDAVYWETTINSFLDDYRPVEGIMIAHSGHSVVTLFRFGEMAMSHTKTKMEEAWTIEEVAFNIPGLSLDCFIPPADLKTASVSEACELPQDERGKNSLGVHRTKVVALEKSHDCSIDKMIWKMEI >RHN74185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29995210:29996502:1 gene:gene10199 transcript:rna10199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MNILVTYFCCIILLTIQLSHSASPSPSPSRSIISIPLYHKKMLNSTYITTRGIQHPQMETTSDIYPLNDAYAIFLWIGTPVQVVFVMIDIGSPISWSQCDLCNNCYPMQRPPFNTRASSTFRELGCYTDTCLITMMREVFGNCTGWTCRYNVKYGTKDESHSFGAMVTDTLNFEHSNTEIKNFIIGCGDSYEGSFRTQFSGVLGLGRGPLSVQSQLNAKAFSICPVSQGSENPSSLDFYDTPPEINQHGVVGDNSSIMVPLSENSGYPFYYFLQFVGISINGFMLDIQSRVWGYGLNYDGGVIIDIGTVLTYLPSDAYSVFRSEMLRTNHNLTVKPRYEGLEFCYKDDSSNVFPTIELHFQNGNIAGENFVSYKLNKKQLLLTPEEGTVCLSFAEGKSSALTVIGSNQLQGTLLTYDLVNEILVLTFNKC >RHN57529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39817502:39820725:-1 gene:gene33086 transcript:rna33086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MASRAVIAPTEQNQPRGENKQKNMGVEGRNRKVLQDIQNLVINPADLGANANVTKRLTRAQLAALAQAAAEKNKNFVPATRVEQAQKPAESEVIVISSDEESEEVKEKQAVRERKIRERSTKKIVKTFSSVLSARSKAACRVVPKDFVENIDASDKENELAATEYIDDIYKYYKLSEDDVRVHDYMASQPDINVKMRAILIDWLIEVHRKFELMPETFYLTLNIVDRFLSTKAVPRKELQLVGISSMLIASKYEEIWAPEVNDFVCISDNAYVREQVLVMEKTILRNLEWYLTVPTPYVFLVRYIKASTPSDKEMESMVNFLAELSMMHYATVSSYCPSMIAASAVYAARSTLERSPFWTDTLKHYTGYSEEQLRDCAKLMASFHSAAPESRLRAIYKKFCSSDRCAVALMTPAKNLSSES >RHN75708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44458259:44460781:1 gene:gene11946 transcript:rna11946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MDNIQHSHVEVKGLKLHVAEIGTGEKAVVFIHGFPEIWYTWRYQMIAVANAGYRAIAFDSRGYGLSDHPAEPEKSTIMDLVDEVKDLLDTLGINKAFLIGKDSGAIVANLVPAVYPEKVASLITLGIPFMNPGPSAIQNHLLPKGFYITRWQEPGRAEADFGRFDVKSVIRNIYILFSKTEVPVASDDQEIMDLFNPSTPLPPWFSEEDLTAYASLYEKSGFRFALQVPYRSLTVESGLIDPKVNVPALLIMGEKDYCFNFPGMEDYIRSGVVKNFVPDLEIIYIPEGSHFVHEQFPDEVNKLIIDFLDKQSI >RHN45215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13104290:13108879:-1 gene:gene39446 transcript:rna39446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrapyrrole methylase MAILHKITSFSSPNPPLTPQNQRNPISSINCTTSSTSSPFTEKYSTQRYQRDQWVYQNTTSCNNNHTPFGDSIREHDIALQLPELKKLVQVLKKKRESEGKCSDGKCVSGDVFLVGTGPGDPELLTLKAVRVIKSADLLLYDRLVSNDVLDLVGPDAKLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFLQQQGIHVKVIPGITAASGIAAELGIPLTHRGIANSVRFLTGHSRKGGSDPLFVSENAADPDSTLVVYMGLSTFPSLSQKLMHHGLSPQTPAVAIERGTTLQQRTVFAELKDLPEKIVSTGLESPTLLIIGKVVELSPFWPIPTKQESCLMQTS >RHN78072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11669008:11673225:-1 gene:gene1587 transcript:rna1587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed RNA polymerase MGKSKTIELSGFPCYETVYDVKSFVEHYTGEGSVVAMKIREGKGHVRRAFAIIQFTTAKHATYMMTLSNISLPTWRYGGYDLKVREMERDIDPRPIAFLESLDHVKLHFGCPISKERFSALWRDVDVSVEFGIGMRKWQFSMRHDDKMFKLELSYENIWKIELHRPRGKTAKYLLIQLIGAPRVFELDIPNSINVYDPFMDYYKDFPDEQWIRSIDFTPCNCIGQSSALCLELPSDRDFPNFWENFAHYEEIEGQYTLERELPFSCNLDVVPIVAPPQGIHIPFEILFKVNSLVQNGCLSGSALDNAFYHLVDPLRINVEFIEHALEKMYYSKDFCYEPARWLKDQYRMYLQSNNPPQSPTINLDNGLVYVRRVQITPCKVYFCGPEINVSNRVLRRFRKHINNFLRVSFVDEELDKLYSADLSSRISESGRTEIYYRILSIIKNGIVIGNKKFEFLAFSSSQLRENSLWMFAPTTTGLRLTADSIRFWMGDFSQIKNVAKYAARLGLSFGSSTEALDISRDEYEIIPDVKVKHGAIEYVFSDGIGKISLELARTVAKACDYDSMPSAFQIRYRGFKGVVAVDPTSSVKLSLRMSMRKYDSVNADLDVLACSKFQPCYLNRQLITLLSTLDVKDSVFEKKQRKVVNQLNTILTDSMKAHEVLDLMFSGEVANILKDMLICGYKPNIEPFLSMMLQTFRASKLLELRQRTRIFIPKGRAMMGVLDETRTLEYGEVFVQYSHKRHSCLSHVVKGEVVVAKNPCLHPGDVRVLKAVDVPALRHMVDCVVFPQKGHRPHPNECSGSDLDGDIYFVCWDPELIPPRTIEPMEYNSAQSTLLNHDVEIEEVEEYFTNYMLNDSLGIISNAHTVFADKEPAKAMSEPCIELAKLFSIAVDFPKTGIPAVIPPHLFAKEYPDFMEKPDKTTYKSKNVIGKLFREIQGISTEGGSLTSFTLEVAKESYDSDMEFEGFMDYVDDAFYHKTNYDYKLGNLMDYYGIKTESEILSGNIMKMSKSFTKRRDADAITMAVRSLRKEARSWFNDGAADVDAGSDDAYAKASAWYYVSYHHSYYGLYNEGMKRDHFLSFPWCVYHLLVQIKKEKARMRMHSSMEQSFSRRLRLD >RHN79091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22432900:22435191:-1 gene:gene2792 transcript:rna2792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIB MGVIVMCFSDRANEIYKRVEDQKSSRGRNQDALLAACIYIACRQEEKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLDNGQSMEMGTINAGDFMRRFCSTIDLNNQARKAAMEAVKKSEEFDIRRSPITIAAAVIYIISQLSGDKKPLKTISIVTGVAEGTIKGSYKDLYPYITKIIPNWYAKEEDLKSLSGP >RHN56907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34633808:34634805:1 gene:gene32372 transcript:rna32372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MAETILGYPFKRFFLDHTPIFRGYSGSTALLDWIESPTSHILKINVPGFNKDEIKVQIEEGNILHVRGEGVKEENLGKDIVWHAAERGIGKRDFSRMIELPENVKLDQIKAHVENGVLTVLVPKDASPKSHKVRNINITSKL >RHN43477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46180996:46184624:1 gene:gene50061 transcript:rna50061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MASERESFDLSGPLHLTYVLWDNPYHRMSVAACLVQAVYILERDRQENREGSDALAPPWWTFFHFQLLRPLVDDVDSSIFGAIYEFKPPSSQSNDTLYRSPRYVIAFRGTLTKAHSVSRDVELDIHFIRQGLHQTSRSNIAIQAVRNTVATVGDSNVWLAGHSLGSAMALLTGKTMAKNGNFIESFLFNPPFVSAPLEKIKDERVKHGIRFAGSVITAGLTLAMKAKQQPKDLIIDPFTALSAWVPCLFVNPSDHICSEYIGYFEHRRKMEDIGAGVIERLATQNSLGGLLMGAFGKESEPLHLIPSASVTVNVSPASDFKEAHGIHQWWQPDLRLEYKLYNYK >RHN58760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4580238:4580576:-1 gene:gene20702 transcript:rna20702 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKNKEEFWIFYMNHHSNPSTRKWHFIGTLFSIIFLLFSIILTWWFLFLVPLSFYGFALYSHLFIEENFPVTIGYPFWSLYCDLKLFLFMVSGKMDREIKRLGKRPVLQFL >RHN78750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18032525:18036773:-1 gene:gene2398 transcript:rna2398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calycin MDTNNDSPAPGSSPPVCHPAVAPLSYLLGTWRGQGEGGYPTINSFSYGEELHFYHPPNKPVIGYTQKTWKLSSGEPMHAESGYWRPKPDGIIEVVIAQSNGLVEVQKGTYSIEEKVIQLQSELVGNASKVTGIKRCFRLVEGDLCYEVDMSTNAVTLQPHLKAKLKKL >RHN38956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3043511:3045360:-1 gene:gene44934 transcript:rna44934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAHEIEKLQTKFNDVVKDMPGLNLNSNVVVVEQSDIVRRETSSFVLESEIIGREDDKKKIISLLRQSHENQNVSLVAIVGIGGLGKTALAQLVYNDAQVTKSFEKRMWVCVSDNFDVKTILKKMLESLTNKKIDDKLSLENLQSMLRDTLTAMRYLLVLDDIWNDSFEKWAQLKTYLMCGAQGSKVVVTTRSKVVAQTMGVSVPYTLNGLTPEKSWSLLKNIVTYGDETKGVLNQTLETIGKKIAVKCSGVPLAIRTLGGLLQGKSDETEWVGVLQDDFWKLCEEEESIMPVLKLSYHNLSPQLRQCFAYCAIYPKDWKIHKHELIHLWMAQGYLECSAKKKLMEDIGNQFVNIFLMKSFLQDVETDSCGDIHSFKMHDLIHDLAMEVAGNDCCYLDSETKNLVESPMHIMMKMDDIGLLESVDASRLRTLILMPNLKTFRNEEDMSIISKFKYLRVLKLSHCSLCKLCDSIVKLKHLRYLDLWYCRGVGSVFKSITNMVCLQTLKLVGQKNVPISIKDVYNLINLRQLDLDIVMSYEKKNTVCRFGKLCGVGGLYKRLVFSDWHSSLTNLVEISIKKFYTLKYLPPMERLPAYFA >RHN72667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9576034:9576859:1 gene:gene8412 transcript:rna8412 gene_biotype:protein_coding transcript_biotype:protein_coding MGRENHDPTIVVHSSIALLQERFRQLERVKEMREERELKKMLNEPKQFNSNTIPSYDYDQSTRLFSSNHELIIPSKSSPPHVSLSLWPTTSQQDDYYTSMKSPVSMNLCTTNYTKNLQTSWKNGHDCDSPSDSGVDTSLHL >RHN46691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34086435:34091930:1 gene:gene41210 transcript:rna41210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TMEM14 family protein MASMSFTMDSVSVLNPKLSLNHTHLSHSPNFHPLLKHRHTTFKLSLPRYALNQRTKPLTLVFAASTPQHDSDHGEIEVEKGNDVSSEEESQEAWKQALDTFKEQALKLQGVSQEAYEVYSKKAIVVLQDTSEQLKIQADKARHDLSVVAKEITEEGKEYFSSAAENSPEVKEIVETFTSPDDDLSKVSGVRDFYVGIPYGLLLSAGGFLSFMITGSIAAIRFGVILGGVLLALSISSLKSYKKGQPSSLALKGQTAIAGILFLREISVLGRGSTYFTALISGAVAAFYVYRLVLEGKPQKGSNFEGEAGN >RHN73266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14937781:14938283:-1 gene:gene9063 transcript:rna9063 gene_biotype:protein_coding transcript_biotype:protein_coding MMVREFELLEQFRDMSLVCEWSPQSVKLGMLKIDSEFLKSIKEAQKVDVKFVDLLIARDQTEDSDFKIDDQGVLRFRGRICIPDNEEIKKMILEESHRSSLSIHPGATKMYHDLKKIFWWSVESRASETRWDDGTFRCARMEMG >RHN54530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10277765:10282073:-1 gene:gene29594 transcript:rna29594 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative apyrase MVFAKIASLVSFKFPTQQSSLSHKNNLRISSSLQDFSSYTHLKQPLETVTTITAPSSSRKKCIKAFRLVILLTLFLLLSYIVFMFVYSFWNIGSGKYYVVLDCGSTGTRVYVYNAYVQYKRHSSLPIAVKSLRDGLHRKKPTGRAYDRMETEPGIDKLVYNVSGLRGALKPLVRWAKKQIPVHSHKSTSVFLYATAGVRRLPRKESKWLLDNAWNVIKDSPFLCRKDWIKIISGTEEAYFGWISLNYHSGILGVSPRKATYGALDLGGSSLQVTFESDQQINTETSLYVRIGSVNHHLTAYSLEGYGLNEAFGKSVAHLFKKEFGSSASADMTGKNIELKHPCLQSGYKSQYTCSHCDRGGSLGVNEKQLSKQGRSGTPLVIVGAPDWQQCSALAKVVVNLSEWSNLSPGLDCGVTPCALRDNLPRPYGHFYVISGFYVVYRFFNLSSDATLDDVLKKGEDFCEKRWDVAKKSVAPQPFIEQYCFRAPYIASLLREGLHINDNQISVGSGSITWTLGVALLEAGKAYSTGFGLRNFELLQTKINPPILIAIVLFSLIVLLCALSCVGNWKPRFFRRQYLPIFRHNSGSGASVLNIQSPFRFQRWSPMSSEDGRIKMPLSPTVAGSQGSPFGLGRGFDDNNGGIQLAGSSLHPSSSGVSHSYSSNSLGQMQFDSSNINMGAFWSPHRSQMRLQSRRSQSREDLNSSVAEAHLVKV >RHN43609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47201782:47204874:1 gene:gene50215 transcript:rna50215 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKGIKDGNSNKNWIVKQDVDDDDVTDSISNGSITEDSMNSMCSSSSSELDDDQEASSSTSSLSSSSSSHSNGPLYELSELMNHLPLKRGLSMFYQGKAQSFGSLARVQSVEDLSKKLERPNYRNKKKSCKSFGRYLCTPKATISKKSFKRSLYNN >RHN71599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1269673:1273449:-1 gene:gene7215 transcript:rna7215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Actin family MADAEDIQPLVVDNGTGMVKAGFAGDDAPRAVFPSIIGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTEYLVKILTERGYSFSTSAEKEIVRDVKEKLAYVALDFEQEMETTKSSSAVEKSYELPDGQVITIGSERFRCPEVLFQPSLIGMEATGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >RHN61999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39955774:39957922:1 gene:gene24519 transcript:rna24519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRSPCCEKVGLKKGPWTAEEDQKLIAYIEEFGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIAAQLPRRTDNEIKNYWNTHLKKRLTRMGIDPTTHKPKQDHSPGSSTSQYTKDSANLSHMAQWESARLEAEARLVRETNKLKVQRQLEFESSKPQPPARLFLNKITPLIQPSLPPCLDILKAWQSSWSKPTTKDDSNNNNNKMHSMYAMMLSNDDLESPTSTLNFPGTTLLPLPLSVPMTNNNIEPFNQSLLPLTNSTNNDDDDHDDDDEIWKQFNLTKHNTEGGHGHDNNNTMLNFQQDDDIMAAVEAFRASGGFDNNINTPPISTNVLQVLEGGNQENLDGSMMCNVNLEENKHYWNSILGLVNESDDMNSIL >RHN55435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18269138:18273220:-1 gene:gene30615 transcript:rna30615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidyl-N-methylethanolamine N-methyltransferase MSTPVMNLLNSPILGIKLSLHSFTPKNKAINNTHNHNHNHKSHIMMHKRVNSDKLSSTMESLLPDDDNLVEPTTKPCLCGRRHFIEAAATTTLTATQFPVQPATATNFDSDYTALVNKFHPPKPDWYQKFMAWALNSCTKSYEAEVAQYKSQIFSNLKEKKANKILEIGIGTGPNLSYYTSNSDVQVVGIDPNPEMEKYARSAAVSAGLPLSNFEFIHAVGEVIPLSDASVDAVVGTLVLCSVKDVDLTLKEVRRVLRPGGVYLFVEHVAAKDGTLLRFLQRVLDPLQQTLADGCHLSRETGDSISKAGFSSVEFDTAILSNATFINPHVYGIAYQ >RHN48846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50666743:50671394:-1 gene:gene43606 transcript:rna43606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKFGYTFKEYLQVEREWLLDENCAHIEYNRLKNVLKSCQNCKDNNNTSSHDKDQLCQCQSCPVCDQKFFSELMKEASDIAGYFSSKVQHLLHLHIAKGLQRYVLRMRQCFKNDREALAQEGRILIEYITMNAIAMRKILKKYDKVHSSVNGENFKSKMQSEHIELLHSPWLVELGAFYLNSSGQDGCELDGVRGHFSCDLNVTDAVMTLILPDSIKLEYDLNCAICLDFVFNPYALSCGHFFCKSCACSAASVMTFQGLKAASPESKCPICREGGVYSKAVRMLELDLLMKRRCKDYWKERLARERVDQLKQSREFWNMQSTYTVGLL >RHN74779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36659287:36662894:1 gene:gene10893 transcript:rna10893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MNFFMMFLLCFASQLLAYFMPMSTIALSLNSKTDKLALLALKEKLTNGVSDYLPSWNESLHFCEWEGITCGRRHMRVSALHLENQTFGGTLGSSLGNLTFLRMLNLSNVNLHGEIPTQVGLLKGLRVLDLGNNNLQGEIPIELTNCTNIKVIRLALNKLIGRVPAYFGSMMQLTELSLGHNNLVGTIPSSLGNLSSLEKLSFLQNHLEGSIPYSLGRLSVLTWLSLSLNNLSGEIPHSLYNLSNIQIFSISGNNLFGSIPSNIDLVFPNLEQFFIGSNQISATFPSSISNLTRLQVFDIAYNNINGALPLTLGRLNKLEFFNIGGNYHGSGGSHDLDFLSSLTNCTQLSKIYLFGNNFGGVLPNFIGNFSTNLHFLHMESNKIYGVIPKTIGQLIGLVALDFDNNFLEGTIPDSIGKLKNLGGMALAGNKFTGNIPLVIGNLTLLYLIDLSNNKLEGSIPFTIRNCTKLQELHFYSNKLSGDILNQTFGYLDALLYLDLSNNFLTGPIPSEFGNLKQLSQLNLSLNKLSGEIPKDLASCIALTELWLGGNFFHGAIPLFFGSSLRSLKKLNLSENNFSGIIPSELENLTYLNSLDLSFNNLYGEVPKGGVFSNVSAILLTGNKNLCGGISPLKLPPCFKVPSKKHKNPFKRKLIIGSVVGGVLISFAVLIILYFLARKSKRLPTLPSLKNGNFRVTYGEIHEATNGFSSSNLVGTGSFASVYKGSLLYFERPIVVKVLNLQARGATKSFTAECKALGKMKHRNLVKILTCCSSVDYKGDEFKAIVFEFMPKGSLEKLLHDNEESGIHNLSLTQRVDIALDVAHALDYLHNGTENVVVHCDVKPNNVLLDDDMVAHLGDFGLARLIHGATAYSSVDQVNSSTIKGTIGYVPPEYGAGGQVSPHGDIYSYGILLLEMLTGKRPTNSMFSGSFSLHAFCKMKVPEGIFEIVDSHLLLPFAEDETGIVENKIRNCLVMFAIIGVACSEEFPSYRMPIKDVIAKLNEIKSMFPC >RHN54285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8321147:8324537:-1 gene:gene29295 transcript:rna29295 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSFPSILISCYPGDGTVSDLVMFIFFCIIFFPTTGSGLGRSKMALRPWRVGSEVKAIRKNHNHGISLFISIFTMLPSYLSYDLCARANSFLNIV >RHN80165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35768772:35771260:-1 gene:gene4055 transcript:rna4055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MAMKTKLSLAIFLFFLLALLCSNLAIAIGREETDPELKTCIHQCKQQRQYDEEDKGICMDKCEDYHRMKQEREKRQHQHQREHEHQHEREHEHEEDENPYVFEDRDFETKIDTDDGRVMALNMFDQKSKLLRNFENYGLTILEAKGHAFVSPHHFDSEVIFFNVKGRGIIGLVMEDKTERFNLEAGDIMRVPAGTPMYLVNRDENEKLFVAALHMPPSSASAPVNLEAFFGPAGRDPESVLTAFSSKVLQAAFKSPKGKLESFLDEQNKGRIFKIQKEDLSGLAPKKSIWPFGGQFKNPFNIFSNNPAFSNQFGSLFEVGPSEITSGLDGLNLMLTFANITKGSMSTIYYNTNANKIALVIDGEGEFEMACPHMSSSSSHSKQRRSSSTSYQKINARLRPGTVFVVPAGHPFVTIASKNNNLKIVCFEVNAQRNKKLAFAGKNNIVSALDKTAKKLAFDKSAEKVDEIFKRDEEFFFPYDVEDESKEEHGRADE >RHN57787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41728339:41729970:-1 gene:gene33374 transcript:rna33374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eukaryotic translation initiation factor SUI1 MVDIQIPSTFDPFAEAKESDAPGAKEYVHIRIQQRNGKKSLTTVQGLKKEFSYEKILKDLKKEFCCNGNVVNCKDLGKIIQLQGDQRKNVSHFLIHAGLVRKDNIKIHGF >RHN45214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13101967:13103120:-1 gene:gene39445 transcript:rna39445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-25/LEA-D113 MQAVKDKLQDMNAMRKARAEAKAQEKAEKEIAQARVDIAHEVRLAKEAEAQMDLHVTKAGERAEKEIEKHSSTNPNAANPDVNANPNANATAMPSKDSTYTAM >RHN66433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12221123:12221431:1 gene:gene14419 transcript:rna14419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MFLLYEYDIFWTFLIISIFIPILAFLISGILAPIRKGPEKLSSYESGIEPMGDAWLQFQIRYYMFALVFVIIIIIIIIIIIIQRDNLYNYYFFCDETKSLFL >RHN75014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38759451:38760520:-1 gene:gene11161 transcript:rna11161 gene_biotype:protein_coding transcript_biotype:protein_coding MYDAHAALYVSNCKLPVLIFDSNLLLLKYFVSHHRQRRFQDFADIPSVNIFGVW >RHN51290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15863568:15868031:1 gene:gene35706 transcript:rna35706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MMFRLFLVPFIFLLVGLVVQETNGQYYKKVGNKIFPFSTIVVDQSGNGHFSTIQSAIDSIPFYNTNWVAIRVKAGIYREKVVIPQNKSYIILKGAGKRKTIVEWYDPDGPERSPTFSILADNIHVRCMSFRNSYNNPINGNRKLRAVATTVSGDKVNFFRVAFYGYQDTLYDANGRHYYKLCTIQGAVDFIFGAGQSLFERCSISVIGGGFITAQGRESPNDTNGFVFKDCHIFGNANTYLGRPWRPYARVLFYKTNMTKIVEPSGWDSWSPDGREDLSTYAEYGNFGPGADTSKRVSWAKKLDLSTVENMANLNFINTPEEWINYQPF >RHN71253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57616808:57620772:1 gene:gene19910 transcript:rna19910 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MGGGGGGGDSRQLDVTPTWAVAAVCAIIVIISILLEKLIHKFASVFEERKKHALLEALEKIKAELMVLGFISLLLTFGQNYISKVCIPVKYSNTMLPCQPLAERTADHPGEPALEPQGTEHEPTPNIPAGEGESKGEHHRRLLSYERRFLGGGGGGPGCKPGFTPLISVDGLHQLHIFIFFLAVFHVIFSAITMTLGRAKIKGWKEWEQDHLVDEDALNDPRRFRLTHETSFVRDHNSFWTKTPVTFYFVCFFRQFLRSVRRADYLTMRHGFVSVHLAPGSKFDFQKYIKRSLEDDFKVVVGISPILWASVVLFLLVNVHGLYFNLTNSQLVLFCSTQILIDCEYLYNLFSGWHASFWVSFLPLAVILAVGTKLQAIITRMALDIQERHAVVQGIPLVQVSDKYFWFEWPQLVLYLLHYVLFQNAFELTFFWWTWYEFGWASCFYEDDSLMIVRVALGVGAQFVCSYITLPLYALVTQMGSTMKRSIFDEQTSKALQNWRKNAMHKKPSKGRSKETRTLGGGEAGSPVHSPLHDDGSETELQEHNTATIVTSVDRNDHYDNHDLLSGP >RHN39527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7906645:7907016:1 gene:gene45557 transcript:rna45557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I MMKAAEDCLGICSPFEMPPCPSSSCRCIPVILIGGNCVDPSSPTITKMVEKHANLCQSHADCTKKGSGSFCARYPNPDIEYGWCFSSSSRAQEVFFEISSNPQFIKDLKMRPDTCRSSFNLLM >RHN62041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40252379:40255925:1 gene:gene24570 transcript:rna24570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MRSSLLNKLWMIFGPKPKLNWLILSVISILAFITLFGSSSSNAIDTSPRRQASLIYTNYRRIKERVAVDYLELKSVSSGGLKQKELGLCGKERENFVPCHNVTANLLSGFEQGEELDRHCQVSREEDRCLVRPPKEYKIPLRWPRGRDIIWSGNVKITKDQFLSSGSMTKRLMLLEENQIAFHSQDGLIFDGVKDYSRQIAEMIGLGSDTELPQAGVRTMLDINCGFGSFGAHLLSLKIMAVCVAAYEATGSQVQLSLERGLPAMIGNFIARQLPYPSLSYDMVHCAQCGISWDEKDGMFLIEVDRVLKPGGYFVLTSPTSKLQGSSREKKSITLNPMEEHTQQLCWTLLAQQDETFIWQKTADLDCYASRKQRAIQLCKDGDDTQSYYQPLVPCISGTSSKRWIAIQNRSFDSELSSAELEIHGKSSA >RHN79290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27160701:27160901:-1 gene:gene3060 transcript:rna3060 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMIYASTLQIRRHEFSSHFNFIILFVGILLLYAFNLDVVSLFADSSSIFLLMLNDLLYQFKLKK >RHN60311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25094675:25095220:-1 gene:gene22608 transcript:rna22608 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLVNNNLELKLSPNENMDATHSTIPFQVSSNENQTFQISSHETRIEFDFFKDNNNDHHHVETQVDDHIHTDTPSLLELKMSIGPNPVTTNTSSDQSLMDDDMPPNLEDKKFKREVMLLTPS >RHN66222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9869071:9871068:-1 gene:gene14165 transcript:rna14165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MADWSHLPSELLQLISQKLDTVLCLLRFRSVCSTWRSSSIPNHKHKNSSPLNIPQFPEPNFDPFNIRNIIKSKYITSRLITHTIFLIKPPQHQPSLNPWLIRIGPDFKGKTQLWHPFSSGQNLSSDFNNVLDFNKLSFRVLGCMSYFYLHHLIGGYQSSFIHCVAAVCRGEQPPVVVTCGEFTHCDINQGMMMFRCGDDSWTTIPNMSNSHGGNVCVFKGRPCVVDKTGRTFMIGPDLTTHLIAEPVFGGKSKFLVESSEFELLLVDRYENYRVPVWIDVFRLDEKEKRWVKLANLGDKVLFLGNGYSFSASASELGFANGNCVIYSKSYGFHDLNIRKCKMSVFHLDQGQASPLSDYPEYFKLFWPPPEWIAKLCS >RHN40043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12604003:12604887:-1 gene:gene46135 transcript:rna46135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSHLIIGDNAVDPFIFREYIGVKPYPASLNNFPYEIIIAKHFHFILGFANDSYNEEGKGTGNFNANWNSDFFGPQNVMALKRKYPHVKVVISIGGRDANFPFFPAAREEWCGNAVDSLKEIIRSYNDCSVEDNILIDGIDIFYDYINTNEKDFSNYVGDVINRLKKEVRIDVVSIAPSHETHKHYKELYLACTDDINWVNYQFYMQPIPSKNDFLNLFLNLAKEYDSNKLLVGGSSDPSDANNFKREVFIEGCKELVKKRLIRGIFIWNANDSATEVPPFSLEKKAQEILTKKD >RHN66126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8812897:8814478:1 gene:gene14045 transcript:rna14045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MQRQKRRRRSSKASLTVADKMSRLPDNVLHYILSLVSTKEAVATSILSKRWNNLWLSLPNIDFNNIKIDNIESNSRFNDSVYSVLVSRDTAIGGSHFINRFCLDVQFCNPHLEYKRSYPNVVKWINLVVQRRLKYLRLNLRLSYDDDDLHLDVDDYDNSYLPKLPITIFTCRTLVTIKFATKRDFILLLFGCPVLEDLKLFRIYLRRGDDSVAIQHFKTLSSCLPKLIRADITQRVCYRFLLKALSTSNSLRLDTFKLYRSVYQVGQPQPPYDDIPIFQNLTNLELCNRWRLVVQVLHHCPKLQNLKLYTGSYAAKRNEDDQENWVEPEFVPQCLLSHLRTCTLQFFVIRRKRMIAKYILKNANFLQCMTILSECEKSILSEFPKASATCQLLVNANI >RHN81637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47721107:47722393:-1 gene:gene5708 transcript:rna5708 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILKVEEPSESTVLNIQPLNSIGINGLGIQKMKTEIESEDMRLYSQDTKQDLPQKNAMISRIRSNTAGGKSISTKRSKGGKA >RHN75497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42737087:42737933:1 gene:gene11715 transcript:rna11715 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFIFSSVFLLVLFCLLFIKETSAGCNTAYACSYANGESLKMFQNRKMLSGLKVSLEGSSTKIKYGEKTVVGELRKVPTGPDPLHHHNIGNPIKPENP >RHN65196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:172315:180998:1 gene:gene13014 transcript:rna13014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MHGYSRLGRSKSGGVIGGGKSSSSSSFSSKSSSSWSKFVYLFMSAIFRRRGLLLFAPLLYIAGMLLYMGSLSFDIVLVSKHHRLPPPPPGSLYTSPQLFHNLWPFMLNSSNNSTSLNVLMKAWTVKEDRQWKPCANKTLPQIEFPKSNGYLIIEANGGLNQQRLSICDAVAVAGLLNATLVIPIFHLNSVWRDSSHFGDIFDENFFIQSLGKHVQVVRELPDDILQQFDNNISNIVNLRVKAWSSPAHYLKKVLPQLLEMRAVRIAPFSNRLAQSVPSKIQGLRCFANFGALRFSEPIRTLAESMVDRMVKHSSQSGGKYVSVHLRFEEDMVAFSCCEYDGGAEEKREMDIARERSWRGKFKRKHRIIKPGANRVDGRCPLTPLEVGMMLRGMGFDNTTLVYVAAGKIYKEQKYMAPLKQMFPRLQTKDTLATPEELAQFKGHSSRLAALDYTVCLHSEVFVTTQGGNFPHFLMGHRRYMYGGHSKTIKPDKRRLALLFDNPNIRWEVFKQQMKDMLRHSDQKGTEVKKASESLYTFPMPDCMCKQVEPKNENANIGKTMKL >RHN78999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20728305:20728832:1 gene:gene2675 transcript:rna2675 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGCCFMLRYVPIRIRRVRLLLVPIGFRCLLVRLVCLICLVVLKTGPDVGMILNSGRFRLETYVYWVCEVVCVDSVLELVVLRIDDDLGVLGFDEFLAFCCFYSVGF >RHN70353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50817241:50819716:1 gene:gene18923 transcript:rna18923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanidinoacetate N-methyltransferase MKEEELCEAAIKGETEKVKSLIDSGADVTYFDGDGLTPLMHAAKHGHAPILDILLSAGAPWNALSPSNLSAGDFAMQEGHQEAYELLLNAGIRSELVLGTIARKEKKSTDSGYDYLEDRVSFSEDKVMDSESKAVMMAWEKPLMEAHAKAVCSGGGHVLNIGFGMGLVDTAIQQYSPVKHTIVEAHPEVYERMLRTGWGEKENVKIIFGRWQDVLSQLETYDGIFFDTYGEYYDDLREFHQHLPVLLKLGGIYSFFNGLCGGNAFFHVVYCHLVSLELENMGYSTQLIPLPVKDCLGEEVWEGVKHRYWQLDTYYLPVCQSADDSE >RHN60060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17887645:17888713:-1 gene:gene22259 transcript:rna22259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative riboflavin synthase MTTTQMAASSCSRLTLTHRFHNSQITPHLRFKPSPLRFFLPSSSSTRKSIHRPIQCLFTGLVEEMGTVKKLGAASNGGFDLKVEAKTVLDGVSLGDSIAVNGTCLTVTEFDTKASDFTVGLAPETLRKTSLIELEPGSPVNLERSVTPVTRMGGHFVQGHVDGTGEIVSMVPERDSLWIKVRVNKVDLLKYIVPKGFIAVDGTSLTVVDVFDDEACFNFMLVAYTQSKIVIPLKNVGQKVNLEVDILGKYVERLLRTNAYAS >RHN41657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31839172:31840368:1 gene:gene48000 transcript:rna48000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MQIYPKAYWDNRTRFFIYWTADAYQNTGCYNVRCLGFVQTNKNVVIGVTLTPTSTYNGGQVDIALSIWRHPVSQNWWLEFGTGNYLGYWPSSIFTSMKDSAQETHWGGEIVNSKFPQGYFHTNGKWTLT >RHN66882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20769399:20772910:1 gene:gene14996 transcript:rna14996 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFDKVISSCCIRNLSTYLKGTPTVLLLQSKLWTLADFVNKHLLFP >RHN54097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6911275:6911874:1 gene:gene29081 transcript:rna29081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MVTLTWALSLLLNHQMELNKVQDELNTHIGKDRKVDESDIKNLVYLQAVVKETLRLYPPSPIITLHAAMNDCTFSCGYHIPAGTQLIVNVWKIHRDARVWTDPHDFKPGRFLTSHRDVDVRSPNYELVPFGSGRRVCPGASLALRVVQLTLARLLHSFNVVSPSNQSVDMTGSPGLTNLKATPLEVVLTPRLDTNLYEN >RHN39573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8345280:8351138:-1 gene:gene45610 transcript:rna45610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MNKIKQSLAGISNSGNKKKLLISLFTTLLIVASIVAIVATTTKNSNKSKNNSIASSSLSLSHHSHAILKSACTTTLYPELCFSAISSEPNITHKITNHKDVISLSLNITTRAVEHNYFTVEKLLLRKSLTKREKIALHDCLETIDETLDELKEAQNDLVLYPSKKTLYQHADDLKTLISSAITNQVTCLDGFSHDDADKEVRKVLQEGQIHVEHMCSNALAMTKNMTDKDIAEFEQTNMVLGSNKNRKLLEEENGVGWPEWISAGDRRLLQGSTVKADVVVAADGSGNFKTVSEAVAAAPLKSSKRYVIKIKAGVYKENVEVPKKKTNIMFLGDGRTNTIITGSRNVVDGSTTFHSATVAIVGGNFLARDITFQNTAGPAKHQAVALRVGADLSAFYNCDIIAYQDTLYVHNNRQFFVNCFISGTVDFIFGNSAVVFQNCDIHARRPNSGQKNMVTAQGRVDPNQNTGIVIQKCRIGATKDLEGVKGNFPTYLGRPWKEYSRTVFMQSSISDVIDPVGWHEWNGNFALNTLVYREYQNTGPGAGTSKRVTWKGFKVITSAAEAQSFTPGNFIGGSSWLGSTGFPFSLGL >RHN64664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60848949:60850481:1 gene:gene27504 transcript:rna27504 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGREEKKREKKIRLEKRKRESPLNIFYFIFKKKTLTKQISIGSVFSLLFCLEKG >RHN65248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:552296:552799:-1 gene:gene13068 transcript:rna13068 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MKKRTRGDALALFAAVKQKSMEKYESFAQIVLDFKGENIDTRVVKLRVYELFKGHEDLILRFNTFVTTEYEIKLPSDHDNDESRRLEIKDALSFLKKVKDTFLGKNRKKYAEFLKLMKDFKACRIDTSGVAERVKDLFKGHTDLILGFNNFLPKTYGNTLRPQLDTG >RHN80896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41661732:41663565:-1 gene:gene4875 transcript:rna4875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isopenicillin-N epimerase MAFHDQNNNHTNGEVSKKPKLSFSAITDTEIQSEFSHHDPTVARLNNGAFGCCPSSVLAAQRQWQLKSLRQPDHFYFNHLQKGILHSRTIIKDLVNAQHVNEISIVDNASTATAIVLQQASWAFQEGKFNKGDSVVMLHYAYGSVKKAIEAYVTRAGGRVIEVPLTFPVTSEDDIIREFRHVLEKTKSEGSSNKVRLAVIDHVTSMPSMVIPVKELVKICREEGVDQVFVDAAHAVGCTPRVDMQEIGADFYTSNLHKWFFCPPSVAFIYTRKSVNSVDLHHPVVSHEYGNGLAIESSWIGNRDYSAQLVVPTVMEFVNRFEGGIEGIKERNHDCVVEMGEMLVEAWGTHLGTPHHMSASMVMVGLPPSLGIMNECDAMNLRTHLREFFGVEVPIYFRDGGEVGCVSGYARISYQVYNKVDDYYKFRDAINQLVSDGLTCAFLSS >RHN44024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1073559:1077337:-1 gene:gene38068 transcript:rna38068 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAVSEVGNKQVIFKGYIDGIPKETDMELNFSNNIQFNQQLPSQALLVKNLYLSCDPYMRGRMRDFHGSYIPPFLPSKALEGFGVSKVIQSDNPNFKAGHYISGFTGWEEYSIITKTDQLRKIEPDDHIPLSFHLGLLGMPGFTAYAGFYEVCSPKSGEYVFVSAASGAVGQLVGQLAKLHGCYVVGSAGSKEKVELLKSKLGFDEAFNYKEELDLDAALKRYFPEGIDIYFDNVGGDMLDAALLNMKIHGRIAVCGMISQQSISDPKGIHNLSSLIYKRIRMQGFLQSDYLNLYPKFLEQVSSFYKQGKIVYFEDMNEGLESAPAAFVGLFLGKNVGKQVIRVAHE >RHN44343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3730518:3732163:-1 gene:gene38452 transcript:rna38452 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIETQSVEQVDKVSLRVLVDKERNRVLYAEAGKDFVDVLFSFLTLPLGTIARLVSKDSNIEAVKFGSISSLYQSVSKFDQQYLWSHTCKEMLLNPRNSMEDYCQKLKLNIDDTSMQYFVCENWDCRRKNSGCRLSIFRNKICYCGKVMNREVFPEYPNLENGFVKKNVVDLLKLSLTSKAALTSFILKKNRFVVNPSRRNNLEFLIGELPSDEDRQISVKVTVQKSDEQILFVEAGDDFVDFVYSFLTFPLGRVLHMLQGFSSLSCIDNLYKSLCDLSPDIYLMSQGLKDKLTKPLIATQFELIKQLLPIGVATLPVNYCHTYYDHVSGKCVLNFTKEADYTHDKVGFPEKFVPLELADHDPSIAKSSFVKGPSTYMVTDSLFVSLMSSISTMLFLKRSKVRLSDLEERVIKIGVKEGLSILKASLTSTSALQCGLKKFIKTVKVEK >RHN80545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38970548:38972181:1 gene:gene4484 transcript:rna4484 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTLKGKPFDHAPVASVSSSALTPTSSNASLVVDTPSTAPICVNSTPDFSEHHASTSTDDWGELENGIDEEPENDKGAWEDLEPLEETKPTPALTNIQAAQRPPVSQPVSQTIGTSLRPKTTPKMCKDEDDDLCGASAAPAPKTSKPLNLKSTAADAAAAQDTTAAPAPTTRAKPLLAGRCRGAKPAAPKLGAQRIIRTPSGV >RHN40187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14016326:14019710:1 gene:gene46306 transcript:rna46306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTEIRKFFYMLIHVFFLFIVRKYGSECISDTDCNVLYPMYINRRLRCIQGICHTTTARRR >RHN61773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38258429:38261042:-1 gene:gene24268 transcript:rna24268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MDNFEDVTEPVSPSGQFFNTPPLCSYVFGFLELEIPMDDSQTMYLFQNFLPINPRFSSIMVRDQDGKMMWKKIEAKPEDHMKVPIFPKSESIELYDQYFDDYVSKIMMERTPQDKPLWEMHLIKYPTSNAKGTLIFKLHHALGDGYSLMGALLSCLQRVDDPSLPLSFPSRKPSQLLSPKKGFFKWFPSTIFPFFNSFSDFGWSIAKSSMLKDDKTPIWNGEEGVESQPCVLSNLSFSLDEIKTIKSKLGVTINDVITGVIFYGIRLYMQEIDNKATTSNSTGLVLLSTRNIGSYQSIQDMTKADSKSPWGNHISFLHIPIPKLCQVSLSNPLEFVWKAQNIIKRKRNAFTVFLIEWLLDMELKLRGHEAVAKHIYGTLRNSSVVISNLIGPVEPMALANHPVKGLYFTMTGGPEVTNHHLSWITL >RHN49103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52452873:52454879:1 gene:gene43895 transcript:rna43895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRHSCCYKQKLRKGLWSPEEDEKLLNHITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQEEENLIIELHSVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKKLRQKGIDPVTHKPLSEVENVDEEEGKTRSQEKTAEISESDELNIVRSESTSKSDAVSYEPKQSSIVLKGYATEMEVEGSCSNYLMGNYPIQMNYTSTDNIPNNPNSSHWFNQTGLKPFDMNSEFTSTSNIMSILPQTNTSFLPNAFCYKPSEDVSMSSSSFPMKNSILSSWGITDCDTSIKENQTEEEEEEAKWNEYLHNPMLMLQNQASESLCNEIKPDTNLGPDGLGDILPHSKQQESSQSYNIAAFGQV >RHN72409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7405493:7406156:-1 gene:gene8116 transcript:rna8116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTAEETAESPISVVPEELLIEIFYRIDNTLQLRCVCKLWKSLVVDHEFAGKLLEIHGYSLSICKGDEEGGGGGGGGGDDDDDDEAEVADEEEDDDDDEDGEEEEDDDEEEEEEEEEEKKEKQLPMNELTQLDNLDEEEKEKQLMMMTVAKADQILVDVQFLKGKLETINNLSQMQILEDKTKCLKSFLKVYLKSTTSSSS >RHN68473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35851474:35852291:1 gene:gene16835 transcript:rna16835 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTGISRHNLTGVFPGEVCDGKGSVEILPNLSDYPIHNSQRVNPGNSA >RHN66203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9694042:9695172:-1 gene:gene14143 transcript:rna14143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MRFMLGLVVFFVLLIYTCRRRHTSAYENIEVFLRGSTLMPIRYSYKDIKKMTKSFKDKLGEGGFGSVYKGKLRSGAFVAIKMLGKSKGNGQDFISEVATIGRIHHANVVRLIGFCVERSKCTLVYEFMPNGSLDKYISSKDDVINLTYKKMYEISIGVARGIAYLHQGCDMQILHFDIKPHNILLDENFIPKVSDFGLAKLYPNDNSIVNLTAARGTIGYMAPELFYKNIGGVSYKADVYSFGMLLMEMASKRRNLNPHADRSSQLFFPYWIYNQLIEENEIEMDEITDEESSNVKKMFIIALWCIQLKPSDRPAMNKVIEMLEGNIENIEMPPKPSFCPNEIIEEDLEVKSNEIESDSVGFIEEDTTEPLLMNSA >RHN48925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51194304:51200015:-1 gene:gene43694 transcript:rna43694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MALEEEAVVVNMESIQQNHVSDHPRVPIQEKKQLSLQKYRRNDSLEMESRTISHARYSKGPSTAIILQLAFQSIGIVYGDIGTSPLYVFSSTFTDGIKHNDDILGVLSLIFYTLTLIPLLKYVFFVLRATDNGDGGTFALYSLICRYARVGLIPNQQLEDADVSNYQLQLPNNRREKRASKVKSILENSHFIKLFLLFATMLGTSMVIGDGVLTPCISVLSAVGGIKQADSQITDDQIVLISVAILIGLFMVQRFGTDKVGYSFAPIICIWFTFIGGIGIYNFITHDASVIKAINPKYIVDYFIRNKKDAWISLGGVVLSITGTEALFADVGHFTVRSIQISMCSVTYPALILAYAGQASFLRKNNDLVGETFYKSIPDSLYWPMFVIAVLAAIIASQAMISGTFSIIQQSLSLGCFPRVQIVHTSAKYEGQVYIPEVNYILMIACIAITVGFKTTAKIGNAYGIAVVFVMTLTSAFLILIMIMIWKTHILLIISYVLVIGSVELLYLSSVLYKFDQGGYLPLAFAAILMFVMYVWNNVYRKKYYYELDHKISPEKLREVVCDTSLCRLPGLAMFYSELVQGIPPIFKHYVANVPALHSVLVFVSIKSLPISKVPVEERFLFRRVQPKELNVFRCVVRYGYTDTRNEQEPFEKIMVERLKEFIVKEYYWSQKVIQDGKNDENLNVDEAQEVIDEERVQEEIEKEIEAVEKASRAGVVHLIGENEVIAGKGADIGKRILIDYAYHFLKKNLRQSEKLFDIPHKRMVKVGMTYEL >RHN67402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26874144:26875239:-1 gene:gene15591 transcript:rna15591 gene_biotype:protein_coding transcript_biotype:protein_coding MHHNTTTTTITTTLIRTISNTTRTLGRVFITRPHGLLTFHLPKPLKITFQRLNILLESQRRHRPKQIIAVDRLPLLTLTLIGGFAGDEADEL >RHN60879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31291971:31294369:-1 gene:gene23270 transcript:rna23270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MPRKGMRSIFFHPTPPSSSTLPSPSSPHHTFTFSDSLMEENILTAESLITKWDYSNTNQIINPLFSGNTRFEAKHYLNAVKGLQSAMQYFVTHDSTSNTLVRAQFLMQLAMKTLQKEFYNILSTNREHLDPESVSNRSSTDRRSSFSVSDYDDEVSDDEKFVVGNQISETERVSMLAMADLKAIADCMINCGYGKECVKVYIVMRKSIVDEALYHLGIERLTFSQIQKMDWEVIELKIKTWLKAVKVAVRTLFHGERILCDDVFAAAGKRIAESCFAEITKEGATSLFTFPDMVAKCKKTPEKMFRTLDLYEAISDHFQQIQSIFSFESTSNVRLQAINSMEKLAEAVKTMLKEFESAIQKDSSKKQVSGGGVHPLTRYVMNYLTFLADYGGILADIVFDMPQSPLPESYYRSPMRSENSSSSSSSSSSSEISEKIAWLILVLLCKLDTKAEFYKDVALSYLFLANNMQYVVVKVRRSNLGFLLGEEWLTNHELKVKEYVNKFVQIGWNKVLSTLPENENSTAEKTVEQVKAIFVKFNAAFDEECKKQTSWIVSDPRLRDEIKALIGSKLVAKYGGFYEKNRVGSGVRYEPEYIESYLGNILYGVVGGDSGSVSSYSYSTTSSSVSSIRP >RHN62383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43049493:43050735:1 gene:gene24952 transcript:rna24952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MSLIPSFFGGRGRRSNVFDPFSLDVWDPFKDFPFTNSSLSASSFPQENSAFVSTRIDWKETPEAHVFKADLPGLKKEEVKVEIEDDRVLQISGERNFEKEDKNDQWHRVERSSGKFMRRFRLPENAKMDQVKAAMENGVLAVTVPKEEIKKPEVKAIEISG >RHN68945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39784863:39785742:-1 gene:gene17368 transcript:rna17368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MEEESESTTGPNWLDLPSDLTENILQRLGTFEIVTSACRVCPQWLNICKDPLMWCIIHMSYVRKSPYAFQNLVKICCNVIKRSCGHLENIDIEYYCTNDTLKCIADNGSHLRCMWLVSCLGITNKGFSEAVRKLPRLGEVNIIFCIISEVSLEALGRSCPLLKLLKYHAWYPTRSCNPEKMALAIAETMPGLCHLDMKGHNLSELGVRAIIDKCPVLESLDISDCHYLSEDLTKRCIDQIKDLQLSYRYKCKQMRQLL >RHN55886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25137271:25138692:-1 gene:gene31165 transcript:rna31165 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRGIEEEEENEETEPISPISKKRKPLHNFTLPILKWGTQRSLRCSNAAIYDGNGSSTSGGADRGSVQRRRVFIGFGGDDDDDEEGIAVVRKKLLHDLKIDTDRLKDTILRKGIIEEESDEERETLKSWSMRTRRKTEIKQRDSPSPAKVESTVTVAVAGGEYVSSRLRSNINVKSNNNKIERRKFSVQLLTKEIEEDFVAFMGRGPRKRPTKRPRNVQRQINNIFPGLWLREVNEEMYEVQDTNQNGKSGKRKGLRNWLDDDDDDESD >RHN52206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32699228:32701291:-1 gene:gene36852 transcript:rna36852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MTWTNPKTKIMPSTFAKFQRPLYLWNLMIRDSTNNGFFTKTLKIYSFMHHSSVHGNTFTYPLLFKSCANLLSIPHGTMLHGHVFRLGFQADTFVQTSLVDMYSKCSVIESARKVFDEMPERSVVSWNSLISAYCHESMMEKALSLIKEMLVLGFKPSSSTFVSILSGYSSNLNSFEFLWQGMSMHCFVIKLGLVCFEVSLDNSLMGMYAQFGQMDEARKVFDFMDEKTIVSWTTIMGGYVKVGSSVEAVKLFNEMQHQNIGLDFIVFVNLVSGCIQLREQLLASSVHSLVLKCGCHEEDSIKNLLLTMYARCGNLTSARIIFDLIVRKSVLSWTSMIAGYAHSRRPKEALDLFRRMVMTEIKPNRATLATVLSACADLGSLCIGEEIEQYAFENGFETDLQVQTSLVHMYSKCGNINKAREVFERVENKDLTLWSSMINSYGIHGMGNEAISLFEKMTTAERIKPDAIVYTSLLFACSHSGLIEDGLKYFKSMQTDFGITPTKEHYTCLVDLLARVGQLDLALDTIEAMPTDVQAEALSPLLSACRIHGNIELGELVAAKLLDVSPKSSSSYVGVANLYNSVGKWKEANTMRNMIDGKGMVKECGWSQVQ >RHN82493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54252498:54255366:1 gene:gene6662 transcript:rna6662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MYRESVAMATTTAATRWPTQPTQWTRDHDKLFERALLMVPEDLPDRWEKIAEQVPGKSAAEIRDHYEALVHDILEIDSGRVEVPSYSDESAVSGGGLAEWDSSNQISFGSKPRHGGDNERKKGTPWTEEEHRLFLIGLTKFGKGDWRSISRNVVVTRTPTQVASHAQKYFLRQNSVKKERKRSSIHDITSVDSNSAPVPIDQNWVPPPGGGSMQQQSPEMHHYPSNNLQDQMSAYGYSNYGFQM >RHN43799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48579780:48582362:1 gene:gene50426 transcript:rna50426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S5 MAERGGDRGGFGSGFGEVVAFVVVGDNNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPEFWKETRFSKSPFQEYTDLLAKPTAKALILEEERVEA >RHN79449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29053554:29053949:-1 gene:gene3240 transcript:rna3240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MTMFTQKILMLCVLSLLSLQNVLGVHVTIGNNLADNLDLTVHCKSADDDIGIHLLHQRDIFGWHFGNNFIGETRFYCSFQWNDELKWFDIYVERRDLHKCNSHCNWYVTQSGPCRMVDANEKNAHCSSWNK >RHN74484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33896658:33897343:-1 gene:gene10569 transcript:rna10569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MRRYKLPRLIYINKLDHNGANPWEVLDQARSKLKHHTAAVQVPIGLKKDFKELLICFSSVAYCREKEVAVDEVFEEVPADMKALVSEKRRELIETVSKVDEKLAEAFCSDKPISATDLEVCC >RHN63753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53774594:53776105:1 gene:gene26496 transcript:rna26496 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDKNGVPTVNKFDESSRSFFPDDDYIVFCFDKDGPFDVVKDDKGCVHKFARPLKLQVEGIEDYEMSFAKSRDSVQSEGSTDSFAFPVLDWEGIGTPTQMPKPEGQHHLKKQKDTAAFPNFMKKSLHVDPTSEQLKQKVHKKIETSNNYTKSCDKKRFVLFNKVWGNNGPNEAEENGKINGKTPKSSKKDATIRNRGSAKKELVTKKKSGKVEDDVDNSSLALSEMVCCDNSVGLAGLNKDAAKRGFELMGESKRVVLEDRRRKLSILSC >RHN78256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13306448:13308071:-1 gene:gene1790 transcript:rna1790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MHFCPSTMTLFKYIAPFVAILFIIFYNIWRRNKNELVPNWPIIGMLPSILQNISNIHDFVTLGSKHYGGTFHFKGPWFTNIANFTLTCDPMNVHHITSKNFSNYGKGSDFPEICDVLGVSIVNLDSNEWKQERTILHSLLKAKTFETSLRQNIQKKLESCLLPFLDHASKGVHVLDLQDIIERFTFDITCNFLFGFDPNCLPYKFNELREIAYVKAISVIEDTLLHRHSVPKCVWKLQKWLHIGQEKKNSIAQKNIHQFLFKMIMHSKYDENKSRLKTSEDVAEIHPVGVLKPLMKEGLGKEETNENEKYIRDTAFTLLAAGNGTVSSGLSWFFWLVSTHPNVEAKLIQEIKDHCLTQEENLINNLNVENIDKLIYLHAAICETLRLYPPIPFDHKCAVNSDILPSGHHVGPNTKLIYSLYAMGRMEHVWGGDCLEFKPERWISDKGQIIHVPSYKFIAFNAGPRSCLGKDISFIQMKMVAASVLWKFHIHVVEGQSVTPRVSIVLRVEGLKVNVSKRFI >RHN45734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25110978:25111259:-1 gene:gene40124 transcript:rna40124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bulb-type lectin domain-containing protein MAYTISHILVVMLLFPFQCSSSVSSLIKGSSLSVEKHTEDVIVSSKGTFSAGFYQIGDNAFSFAIWFTEMTNQSPDPANIVWMANREQPVNGT >RHN72346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6968105:6968419:-1 gene:gene8048 transcript:rna8048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin-containing monooxygenase MAFVGYVESVSNLYTSEIRSMWLAGLIDNKFKLPSAEKMLLQTMKDMEAMKKSTKFYKKNCITTFSINHNDEICEDLGWHTWRKKNLIKEVFTPYTAVDYKKED >RHN78989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20597307:20599989:-1 gene:gene2665 transcript:rna2665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructokinase MGKGKRRSKTAAAAIYQSLPPQHKKVPSKTVEISGDSDDHSLHIPLPPSPSTSDCPIYKQLIKLGFYLKREKVDDCLRQLGDSINGLNDLEKADICYRKFLFTDLNSCGSGGLVDDDNTHTVQAPPVSMPYSCSFDTHIQASNGFAGNLRNTKANKDGVPPMSRPYKLPDFPVTEGYSKTSGLVVCFGELLIYYIYIHEPSGPCRRDLGGAIANVAVGISKLGGSSAFMSKVGADKAGYLLVDLLKENNVDTSGMRVDFDYNVSTKLAYVSLRADDDVREWSFSSTPVAANMLLYESELDRKLIKKAKIFHYGSIGLIYEQCKTSFLAALRFAKTCDCILSYDPKLKLALWPSAEVAREGIMSIWNLADVIKISKDEITHLIDAGDPCDDDDVIKKLFHPTLKLLIVTGGSEGCRYYTNDFKGKVRGLNVEPVDTTGAGDAFVSGILYYIASDPSIFKDEKRLRKALYFASVCGAIMVTQRGAISALPTKDDVLQSVLSIAAEHGAKRPKTTKRDAALQHGAFGESV >RHN44140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1953021:1954649:1 gene:gene38210 transcript:rna38210 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEANFAAASIPKFDGDYDHWSMVMENLLRSKEYRVAVESGYTEPTSREGISYMHIS >RHN46226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29809767:29811533:-1 gene:gene40680 transcript:rna40680 gene_biotype:protein_coding transcript_biotype:protein_coding MNFYFYLLVATMAAIKKEEQKAAIKKEEQVAAPDTEEQVLLKLLVNKETNKVLIAEAGKDFVDVLFSFLTLPLGTIARLIEKDSKKGPVSIGCLNKLYQGAIDLDEEYMQTETSKEMILHPKNSSEDYCINLKLNIDDIKPTKYFICCRFDCQCIQQKNLYTSTDKNCVYGKPLSRSTFLTHFGRGFVKERAAFVITDDLIVMPNSEFTGLSMLQNSGIKNTSSITEMTINLTKEKVLDLLKCALLSKSTLTDLFLKKKPLIQRSSVFSCDAVIKKNIKIILKLVFRKSNGKILFAQGEKDFVDLLLSFLTFPLGGVVRVLGGNCSLGNIDFLYKSIVDLHANTFLTKEAKKRLVDPHLAPQFNKLSKQILPIQKLRSHYYYYNRFLGLGNSIIQNQFFITDENMNNEYADYVRTDREVNLVSSNPKSPKASDNGYVKAEGHRKYVVTDDLHIATSSPISNLFLINNFKVPLHDVKEKLVTIGCNECLSILKASLSSSSALTNGLRHLLTNVKGEN >RHN65264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:668311:672770:-1 gene:gene13084 transcript:rna13084 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTNDEEIEAGDWEFIHNDNENENPIVELKNSDSDSSIIRSDHFSINPIQIHNIPNSISSSCSSNSEIDRNFDDSYVANQIYPNNLRRWNDSDSDSDVVSANNVAVAVIDDKEVVDEVVESGIDSAVVEEERKEDEKRLVWWKVPLEVLKHWVSPFSVVPIPLSVAAAAAFFGLLILGRRLYKMKRKTQTLKLNLALDDKKVSQLKDRVARLNEAFSVVRRIPVVRPSLPAASTITLRPVMSMR >RHN50604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8500398:8501916:-1 gene:gene34910 transcript:rna34910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAEAPSSNTNLRLSGKVAIVTGGASGIGEATARVFANEGVRVVVIADIQDELGNQVAASIGIQRCTYIHCDVADEDQVKNLVRSTVDTYGQVDIMFSNAGIVSPTDQTVMELDMSQLDRLFGVNVRGMALCVKHAARAMVEGSVRGSIVCTGSVSGSVGSSRSTDYTMSKHAVLGLMRAASVQLATHGIRVNCVSPNGLATPLTCKLSGMSEEKAQATYQKYARLEGVVLTPKHVADAVLFLVSDQAEFITDLDLRVDGGFAYGK >RHN64733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61376846:61381056:-1 gene:gene27583 transcript:rna27583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MDKSSSSPPTNTNNTSLAFSLSNNNFPNPSHSSSSHLSLFHSFTPYPSSIIPPSLTLTGSNNPVEASPEATDGGTTNLSIFTGGHKFEDFLGSSVAPTRTAAATCAPTQLQQFSTDNDVYNSELKKTIAACFPGGYPTEPNSEPQKPSPKKTVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTTTNFPISNYEKEIDDMKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSIANCSLPIGGLSNKNNKNSTDCVSETKINEPIQSDEIDHPSSTSSATTLSFALPIKQDPSTDYWSNILGFHNNPSAVTTTTIPFNMDFSAHVPSNTNSDNPHNAAFFSGSGIFVQQQNMNGSSGSNSSSSSSASTSSIPFATPIFSLNSNSSSYGNGNNWIGHTFQTHAKPSLFQTPIFGME >RHN74491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33945537:33948455:-1 gene:gene10576 transcript:rna10576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MELKQQLVRATRVDGNYVKVKNCVLTPKFRGRAVSAFLSHHFSEVTDYSSTAAMEFELDNVSAGTTNWKSLVRDYSTRFKTCCERTSNVHIRQVEEMLQNKFADYLFGSLPDQSRLCPSCMEGTLTFKVRRLSAAGYCIGCDQHPRCT >RHN81160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43729667:43733724:1 gene:gene5164 transcript:rna5164 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSIHINGEVVKTINQLNVANHPPQFLCLLDVEEVGDEGMSKKPIISNG >RHN80115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35220901:35228356:1 gene:gene4002 transcript:rna4002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione reductase MKQAAMATSLSLSCSSPLLTRNTLFFTKTFSFSRSFSLSPPLSTKTLISQSPPRRTFAVRAESQNGVDPTGHYDFDLFTIGAGSGGVRASRFAANFGANAAICELPFSTISSDTTGGVGGTCVIRGCVPKKLLVYASKFSHEFEESNGFGWRYDSEPKHDWSSLIANKNAELQRLTGIYKNILKNSNVKLIEGRGKIVDPHTVDVDGKLYTAKHILVSVGGRPFIPDIPGKEYAIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKQVLRGFDEEIRDFVAENMALRGIEFHTEESPVAITKAADGSLSLKTSKGTVDGFSHIMFATGRKPNTKNLGLESVGVKIAKDGSIEVDEYSQTSVPSIWAIGDATNRINLTPVALMEGVALTKTLFQNEPTKPDYRAVPAAVFSQPPIGGVGFTEEQAVEQDGDVDIYTANFRPLKATLSGLPDRVFMKLIVSAKTNEVLGLHMCGDDAPEIVQGFAVAIKAGLTKADFDATVGIHPTAAEEFVTMRTPTRKIRKSLDSQGKPDSEAKAVAGT >RHN41373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29610275:29613697:-1 gene:gene47690 transcript:rna47690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MAEAKPGLRKPTFTKVEQLRPGTSGHTLTVKVVNTKMVMQKVDLLKDGSTIILRNAKIDMFKGSMRLAVDKWGRVEVTDPADFTVKEDNNLSLIEYELVNVVE >RHN43469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46128338:46128894:1 gene:gene50051 transcript:rna50051 gene_biotype:protein_coding transcript_biotype:protein_coding MISTMFACLKKTSHKKVKVEQIMKATKDVCELTKKQPTCKTMKKRVRFVDSEPTILGEENEKDQFEKRRCISNELGEKEGIRVKIRLTKEQATQLLSKCNGSALEFNDLAHELLSIPGNRVSIVETINF >RHN70725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53476269:53478983:1 gene:gene19337 transcript:rna19337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L10P MAVKPTKAEKKQNYDAKLCQLIDEYTQILVVNADNVGSKQLQNIRQGLRGDSVVLMGKNTMMKRSVRMHAEKTGNNAFLNLIPLLVGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLVVLSVYDNGSVFSPEVLDLTEDDLVAKFAIGVSMVTSLSLAISYPTLAAAPHMFVNAYKNVLAFAVATEYSFPEADKVKEYLKDPSKFAVAVAAPAAAASGGAPAAAAKEEAKKEEPEEESDEDIGFGLFGDD >RHN69665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45367559:45370663:-1 gene:gene18164 transcript:rna18164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CTAG/Pcc1 family protein MDATPDAQSQWDFSCDLVVDFGSEENASIVYATLMVDKELQPDKVKRLTTVSNGKLSVHFEATEARFLRASFSAFVDVLTLATKTIEEFGQGMEL >RHN58143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44047887:44048249:-1 gene:gene33755 transcript:rna33755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MTLFILQTHKKYKTEEWLQFIFKSDEIFHKCDLVTRPENPKFFAKCINELDSHCGEEIFNSIVNENNISKKCCGKLVKMGEECHTNMAKALIRTPEMRNIDAIEFLKKNKILFDDCRTME >RHN75576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43465862:43466431:-1 gene:gene11805 transcript:rna11805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MAKRGLKICLIVSTLFLIIISIVTLTLILTIFKPKDPTIRANFAQFNFLSANITMNMTLGMVITIVNPNYAGFKYQNSISYINYHDTIVGNVPIESQLVPARSEINVTTSADLMVGKLLQNPQFWKDMVHNRMIINFTSTTELPGKAILLKYIKLKAITYCSCDISVNITSNGADSNCKSRIKLFYFGS >RHN57791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41744869:41745903:1 gene:gene33378 transcript:rna33378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MEESVFVSRILNEYGGDDYRMMENLLSLRSKFLANQFKNDVSYILRSNNREYYAADSECNDDFKKVTVKEKRSNRSKKNHEDVVCGCNEGFDKIITKKKRRNQSATRDFSILKYGMLESKNRGVTDCRRDEGVGKKLIKTRRSDGPRVRIAFGNPDTKNNEGAHEGSSSNEGSKKEIKKRKDKNERSSKPSKKRKNQVQEEKPELPLAFKEKIEQMEGCEVKLVIQKELTMSDVDKDQSRLSIPIGKIEENFLTPTEELSLDYVPQNKKGRKKIVGMPVFVLDPNLILYKDMCFKKWKMVTGEVYIITNKWNELVAENRFKAKKKVQLWSFRCRGELYFALVKL >RHN53208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:67135:68265:-1 gene:gene28093 transcript:rna28093 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKECFLFVPKWVSSKRFMVGINPKQNLKLSFLICEKMGTNKPNQKSPSPYQLKSKLHPNSKPA >RHN67640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28903723:28910802:1 gene:gene15848 transcript:rna15848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MWGGSCPISMSPPTEKYDVFLSFRGEDTRDNFISHLSAELCRKNIKTFIDYRLDRGKEISPALYREIEQSMIYVIILSEHYASSTWCMDELTKILECKQIYGRDVIPVFYKVDPSNVRNQRESFEEAFIEHQQRFRDKVDTWKDALTQVAGLSGWDSQVTGPEHTLVTDIVEEILKKKKNRHRFLSNCEGTIGMDKHIEQIKSLLHLESPAVRIIGIWGMGGIGKTEIARAIYHRFATQFNSSSMILNVEQEIKRVGLSNIHNKYISELLEEDKLSSKLQCSYVQRLRQTKVLLVLDDVNTSNLTIKHSNFGQGSRIIMTSRDKHVLKNAISDEIYEVKEMCFQDSVQLFSLNAFKQNYPIKAYVDLSEKLVLNHAKRVPLVLKNLGLLLHGRTRDAWESELQKLQEFPDEDTFKVLKLSYDSLDDRQKNMFLDIACFYTGHLVNDVARTLDSCGFSADIGMDVLKDKGLIFISEGRIGMHYLIQKMGHEIVRWECVDDPRNRTRLWNPEEIYHVLKNKGTNAIRCIFLDVCKINKVQVHGKTFKKMSNLRIIQFYKPSGFWNDDSNVILPESLKSLPHTLVFLRWDNFSLKSLPLKFCPKNLVKLDMRGSNLEQLWEGDQDQVLPKLKRLDLSYSRKLTRVPDLSLCPNIEQIILSGCVRLVDIYSSSFLNKLNFLCLHDCVELRSLNLRRDGLSRSSGLVVLYGCVRLELVVLVESLYFLF >RHN66795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18883985:18892393:-1 gene:gene14881 transcript:rna14881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MGGVEDEEPALKRTKLLSKGLNSLSNGSSSVERVVGSSSELMARPLSSEGDNEVVGSKGIIKKGEFVRIITKALYSLGYKKTGENLEEESGIPLNSSVVNVFMQQVLDGDWEESVSTLNKIGLEDERIVRAASFLILEQKFFELLDGDKVMDALKTLRTEITELCVDSARIRELSSCLLSPSDQCGSSGRDFIRAKTRLKLLEELQKLIPPTVMIPENRLEHLVEQALILQRDACSFHNSLDKEMSLYSDHHCGKTQIPSRTLQILDAHDDEVWFVQFSHDGKYLATASNDRTAIIWEVDTNDGLSMKHKLSGHQKSVSSVSWSPNGQELLTCGVEEAVRRWDVSTGKCLQVYEKNGSGLISCAWFPCGKYILSGLSDKSICMWELDGKEVESWKGQKTLKISDLEITGDGEHILSICKENAILLFNKETKVERFIEEDQTITSFSLSKDSRFLLVNLLNQEIHLWNIEGDLKLVGKYKSHRRSRFVIRSCFGGLKQSFIASGSEDSQVYIWHRSSGDLVDALPGHSGAVNCVSWNPANPHMLASASDDRTVRIWGLKCLDAKYPNAYSNGNSHHCNCGGT >RHN46245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29940904:29941366:-1 gene:gene40709 transcript:rna40709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family MEEMKNENESNERQDPLANFSHSSSTKNKKGGWKSVKYILGNETFEKLASMSLIANLVVYMHTQYNMDTTFSVEVFNIWSGLVNFIPLVAAYIADAYVGKFHMLIFGGIASLLVNTNKLS >RHN73014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12659273:12660081:1 gene:gene8784 transcript:rna8784 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVCEEAPRLDVRNVATPLVPLHIKLCKASLFSHDHAKCLGCLRDPLGCHAVRDDIQSLMNDNLLTVSDVCVIVPVFHDPPVKSVPLKKNAEPLVIRLPGPIPYVSDKAVPYKYNATIIENGVEVPLASFATVSNIAEGTSAALRSGKVRPPLFQKKVATPTIPPVEEATPTVVSPIATDVNQPGKSIEDSNLDEILRIIKRSDYKIVDQLLQTPSKISVLSLLLSSEAHRNTLLKVLEQAYVDHEVT >RHN74807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36812351:36813751:-1 gene:gene10927 transcript:rna10927 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVHKVQEWENRLGISSNNNELLLKTENADIYAPRKELYLGKKCEVLDTPRPWQFWMIMLKSGNMDTLAAICPKNGFKAEPFPQHDFPCFGEGCMNMPSMYHNYTQVYGMEKIMRGIFYGSWDLNATNDANLGYMNDTSYYSVTWEKEVGNGSWIFHHVLKTSSKYPWLMLYLRSDATTGFSGGYHYETRGMTKIVPKSPHFKVRFTLDIKKGGGPRSQFYLMDMGSCWKNNGKPCDGDVTSDVTRYSEMDINPEAEPSCKPNHLEQCPPYHTFSNGTRVHRTDATKFPYDAYLLYRAPGNAMYLEKPVIPSDPYSNPQPQEILQILPHPVWGEYGYPTKKGEGWIGDPRTWELDDPGTEPVERHWPSIDLGTEIYISGGQLAEWTVSNFDIIIPKEYAY >RHN50397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6784017:6784808:-1 gene:gene34675 transcript:rna34675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I MAYVRLIPLVVFLLAIFSTFSMKKLVIAADCSGICSPFEMPPCRSSDCRCIPIALIGGFCINPISSIMKMVEEHPNLCQSHVDCTKKKSGSFCARYPNPNIEYGWYFASNSEARDVFFNISSNSELTKDLLKMHSTTYY >RHN63546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52110017:52110679:1 gene:gene26258 transcript:rna26258 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKTRIWVVLALGLCFDSRSLPLVRICWFGGSSWFCLDTVRVCREVSCNFRRLLDLLCWLVVCFARFSAVCSVFVSEIRELRFWLRGWFGFNNPKGPALVSITRKGLVMSTRKGLVTDVLDSSLDPSSYACMLERASLLAGVFRELLALGFCYRVGGQSIRLFLTLTVHLQSSFFIFFCNLVSRHYVVSLGCTHDK >RHN57042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36003981:36009783:1 gene:gene32531 transcript:rna32531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydrofolate synthase MSEQEGGNGSPKSSSLTPYEEALEALSSLIIRRTRADGTNFGDQFDVLFEYLKMLDLEEQISNMKIIHVAGTKGKGSTCTFTESILRNCGFRTGLFTSPHLIDIRERFRLNGIEICQEKFLAYFWWCYDRLKEKTDDNIPMPNYFRFLALLAFKIFAAEQVDVAIMEVGLGGKYDATNVVQAPIVCGITSLGYDHMEILGNTLGEIAGEKAGIFKDRIPAFTVPQPEEAMHVLEEKASQLKVPLQVVAPLDAKLLNGLTLGLEGEHQYLNAGLAIALCSTWLKRTGHLGDIYLERTETLSEQFIKGLTSASLQGRAQIVADTHFKSEQSNKLVFFLDGAHSPESMEVCARWFSLAIKDNSPDQTLFHRQLDNPKSSHDVVKMQHGEKGVQRKSTPILLFNCLTVRDPQLLLPRLVETCADHGVYFKKALFVPSLSVYNKVGSQASTTSTDSEVDLSWQITLQRVWENLMQGNKGKITDIVSEELKDDVEMSASNCEHSAVFSSLPVAIKWLRDRSQQNQSVRFQVLVTGSLHLVGDVLKLVKK >RHN40856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23284585:23285056:-1 gene:gene47092 transcript:rna47092 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMMIEYVIIVYQICKVVIVLSVKFAVVSGLASLCRLGRSDT >RHN39805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10658053:10658535:-1 gene:gene45873 transcript:rna45873 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVRPRPHEVVFNVLNNVQLFTMVVENNTPQCPHHTLVPFTYFVLIGFLQLKYPQNPTPFQIHSNTTIVSIASFLAYCLLFWVRLKFAIRVNTLMEIFGSLSLISLVLMLLPNHTWGESLKCITYTIWFLIHVVAFIIKTLRGEHMRKRRVVPPFLPY >RHN66123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8755668:8758281:-1 gene:gene14041 transcript:rna14041 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYLIAKVLRADTYMKKVVQLDRPRTLNEFLAIAKTYIRYEEELHTDSLNKSRKEEHAFESSKKPFQEKKKEGKPAREGEGPVGRFTEYMSLALSREKKS >RHN58269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:453513:463936:-1 gene:gene20161 transcript:rna20161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin repeat-like-containing domain, exocyst complex component Exo84 MAATMMKSSSRSRATAASVKENGVKLEEGLNPFKSDRFDAEFYVQSTSSLNDKEIKQLCTYLVDLKRASAEEMRRSVYANYAAFIRTSKEISDLEGELSSIRNLLSTQATLIHGLADGVHIDSLSISDSDGFSVNGALDSEHKEISDLDKWLVEFPDLLDVLLAERRVEEALAALDEGERVVSEAKEMKSLNPSLLLSLQSSITERRQKLADQLAEAACQPSTRGAELRASVSALKKLGDGPHAHSLLLNAHLQRYQYNMQSLRPSNTSYGGAYTAALAQLVFSAVAQAASDSLAIFGEEPAYSSELVMWATKQTEAFALLVKRHALASSAAAGGLRAAAECVQIALGHCSLLEARGLALCPVLLKLFRPSVEQALDANLKRIQESTAAMAAADDWVLTYPPNVNRQTGSTTAFQLKLTSSAHRFNLMVQDFFEDVGPLLSMQLGGQALEGLFQVFNSYVNMLIKALPESMEEEESFEDSGNKIVRMAETEAQQIALLANASLLADELLPRAAMKLSSLNQDPYKDDNRRRTTERQNRHPEQREWRRRLVGSVDRLKDSFCRQHALSLIFTEDGDSHLTADMYISMERNADEVEWIPSLIFQELFIKLNRMANIAADMFVGRERFATLLLMRLTETVILWISEDQSFWDDIEEGPRPLGPLGLQQFYLDMKFVVCFASNGRYLSRNLQRIVNEIIRKAMSAFSATGMDPYSDLPEDEWFNEICQDAMERLSGKPKEINGERELSSPTASVSAQSISSVRSHNSS >RHN58009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43092352:43097328:1 gene:gene33614 transcript:rna33614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKRKRASLRQLAASLKTTMESTQSKTKITMESSNAKKRRKSISSGSYLPDECWECVFKFLKDDNHYLKSLSLVSKQFLSITNTLRFSLTICDQTLPFLPTLFHRFTNLTSLNLSRFYGNLNKLLCQISHFPLKLTSLKLSDQSVIPAFGFRAFSKKITTLTSLTCYEMHYINSSDLLLISDCFPLLEVLDLRYPTQCNYDELEELALFKLRKVNLSGHYHVDKLIFQLFKNCKFLEEAILLTCFDTTFDGLASALRQRPTLRSLSFSNTFGPVDQTYESTYITSHFRSTLASFKYLTSLDLLSSNISDVFLISIAIQGLPLTRLVLQNCTGYSYSGIICLLSKCQHLKHLDLENAVFLKDEHVVEMSSFLGDLVSINLASCPMVTVSAFFVLLRNCPSLGDINMEDTGIGKESLESSRSLMNFVAYPQLKYLRLAHNPWLFDEDITMFASIFPNLQLLDLSNCCRIFEEGIVQVLRMCCNIRHLNLSKCSIVRLEIDFEVPKLEVLNLSYTKVDDEALYMISKSCCGLLKLSLQDCNDVTKKGVKHVVENCTQLRKISLNGCFKVHANVVSLMVFSRPSLRRIRAPPAPMGAFSGRKVNYFLRHGCLVR >RHN49377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54398432:54401028:1 gene:gene44199 transcript:rna44199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding protein MAKMGWFSMVKKLFTRDIHSTQEKVGVIRRKEKKCVFGRLKNKRFPSIEAPPPLKETRLCEPEALTVAIASAAAAEAAFTAAQVAVEVVRFQSAYQCKGKPEVKLVKTKHNASQSTHSCKLKIEESSAIKIQTTFRGYIARKALKALKGIVKLQAIIRGRAVRRQAMSTLKCLQSIVSIQSQVISRKLQIVERKLNCGEHEKMQGSRDKIIRMDENSERKWDDSILMKTEVDSSSISKKEAIIRKERVKEYSYNHRKSAESERKIGRWKYWMEQWVDTQHSKSKELEDLDSVFGSRCREVEDCGRRQLKFRQIQRQNEVERFDSPLLSSRKYLHHRSKNLEGEDHSFQRSHTIPTYMVATKSTQAKVRSTSTPKTRIGRNWDMSSDCYSPSKRMINNNQQQGSPSHICTRSCIQYYKKEVHPIVVRK >RHN47445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39805155:39818786:1 gene:gene42049 transcript:rna42049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CCR4-NOT complex, subunit 3/ 5 protein MGASRKLQGEIDRVLKKVQEGVEVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPREKAKSETRDWLNNVVGELESQIDNFEAELEGLTVKKGKNRPSRLTHLETSITRHKAHIKKCELVLRLLDNDELSPEEVNDVKDFLDDYVERNQDDFDEFDDVDELYSSLPLDKVDTLEDLVTIPTSVAVAKTISSLPLDEGKTLEDLVTIPTGLAKVAPGLSLKTPLAASASQSASSQTSEQADETASQDSNSDIVAKTPPPKSGGISSSTSTPTGNHATPASVNVSGLNLSSAPAAAILPGSNSVRNILENAIVNQSTSPKEEEINNFPTRRPSPSLSDAALVRGRNSLSNQATASIPLGSGNTVSSIGALGVVPSASEITKRNILGADDRLGSSGMVQPLVSPLSNRLILPQIGKANDGAASVDSSIVNEAAAVSGRVFSPSVVPGMQWRPGSPFQNQNDAGQLRGRTEIAPDQREKFLQKFQQVQQQGPSTLLNMPSLVGGNHKQFSSQQQSPLLQQFNSQGSSVSSQSSMGLGAQSPSLGGISSVSLQQLNSVHSPSGQHPFAGVAKDADKFEEHQQHQNFPDESTTESTSSTGIGKNLTVEDDLKSAYALDSPAGLSASLPEAAQTFRDIDLSPGQPLQSNQSTGNLGVIGRRNGVELGAIGDSFGASSVNSGGVRDQLYNLQMLEAAHFRMPQPRDSERPRTYTPRHPAITPSSYPQVQAPIVNNPAFWERLGLEPFGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKVATDDYEQGTYVYFDFHIANDDLQHGWCQRIKNDFTFEYNYLEDELV >RHN62395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43105133:43105750:1 gene:gene24966 transcript:rna24966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MLPCNRVPVGGFKIPKFKFSYGLETSRVLKDLGVILPFSSGGLTKMVDSLEGQNLLVSNIFHKSFIEVNEKGTVAAAASCALMAKCIPTGVDFIADHPFLFLIREDSTQTILFAGQVLKVIITSQTAINCIIRR >RHN77892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10260745:10268775:-1 gene:gene1396 transcript:rna1396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(+) kinase MVACIDMAFSVVHSTYSYPCLFSNSSSFFTNNRFLGLGLGFEYQRKGRRILRRHVKFVISAQLSKSFSFTFGLDSPNLNSFQSHDLSKLSWRGPVPGDIAEVEAYCRIFRNSERLHSALMDALCNPLTGECSVSYEVSSDEKPQLEDKIVSVLGCMVSLVNKGRDDVLTGRSSIINPFHDGEISEIEDKLPPLAVFRSEMKRCSESLHVALQNYLTPDDDRSLNVWRKLQKLKNVCYDSGFPRGEGYPCPTLFANWCPVYFSSSKEDTESEELETAFWTGGQVTEEGLTWLLDKGYKTIIDIRAETVRDNFYQVAVNDAISSGKIDLVKIPVEVMTAPTMEQVARFASYVSDSSKRPIYLHSKEGVWRSSAMVSRWRQYMTRSSSQNVSSPPITPSNRLSHSTNSSAKLLDSSATAERSSLDKDVTSLQDSFDATCSSVTSDRSVSEKGYDENTQGNGAVNGISPDKEGSFPSFSSKINPLKAQVPPPDIFSKKVMSKFLGSRKISPPDYVNYQIKRAKFLPQFKDMAIGRRERDVVVSNGTIVGTDSLNGSAHVDHPSGEPEITVDDNQKSVNGNTSSSSRKTVNNLSQGELHYMANADVSAVANNNNNNNNNVSTKSPRVENGKVKAGLALRDEELGSLEGDMCASSTGVVRVQSRKKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSTPKNVLLLKKLGDELLEEAKMVATFLHHQEKMNVIVEPDVHDVLARIPGFGFVQTFYSHDTSDLHEKVDFVACLGGDGVILHASNLFRDAVPPIVSFNLGSLGFLTSHSFDDYRQDLRQVIHGNTSRDGVYITLRMRLRCEIFRKGKAIPGKVFDILNEVVVDRGSNPYLSKIECYEHEHLITKVQGDGVIVGTPTGSTAYSTAAGGSMVHPNVPCILFTPICPHSLSFRPVILPDSARLELKIPEDARSNAWVSFDGKRRQQLSRGDSVRIHMSEHPLPTVNKFDQTGDWFRSLIRCLNWNERLDQKAL >RHN52626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37211056:37212225:1 gene:gene37320 transcript:rna37320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MGFHLDQVSYGTLINGLCKVGETKAALELLRRNDGKLVQPDVVMYNTIIDGMCKDKHVNDAFDLYSEKVSKRIFPDVFTYNALISGFCIVGKLKDAIDLFNKMTSKNIIPDVYTFSILVDGFCKDGNIKEAKNVLAMMMKQSIKPDVVTYSSLMDGYCLVNEVNKAESIFNTMSHRGVTANVQSYNIMINGFCKIKMVDEAMKLFKEMHHKQIFPDVITYSSLIDGLCKSGRISYALELVDEMHYRGQQPDIITYNSILDALCKKHHVDKAITLLTKLKGQGIRPDMNTYTILVKGLCQSGKLEDARKVFEDLLVKGYNLDVYAYTVMIQGFCDKGLFDEALALLSKMEENGCIPDAKTYEIIILSLFEKDENDMAEKLLREMIMRGLL >RHN41712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32265080:32268054:-1 gene:gene48062 transcript:rna48062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative defensin, plant MARSVPLVSTIFVFLLLLVATGPSMVAEARTCESQSHKFKGPCASDHNCASVCQTERFSGGHCRGFRRRCFCTTHC >RHN73441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16448830:16449483:1 gene:gene9255 transcript:rna9255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MAYVHEYLHLLPLLLLSFTTCFLSAISTTDKTSTFSGNSLAPSTSKPRRFVSKLIHPHSIHHPHYNPNETVEDWIKLDIEYSHTRLSFFKARIEGSLDSNNDYRTHLSPSPKGASILVNLSIGQPPIPQLLIMDTASSIFWTMCTPCPNCIQHPGQIFDPSKSSTYVPTCKEPCYSKDCECDQLTYTVTYADESSSKKLKFQKNVYTNTTLMEYQLN >RHN55532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19267568:19271610:-1 gene:gene30729 transcript:rna30729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MKYEGAVKEDGRGPSIWDTFSHIPGKIHGNNNSDVAVDQYHRYEGDIQLMKDMGMDAYRFSISWTRIFPNGSGVVNQAGIDHYNKLIDALLAKGIEPYVTLYHWDLPQALEDKYTGWLSPLIIKDFATYAETCFEKFGDRVKHWITFNEPHTFAWMGYDIGQQPPGRCSILLHHLCRSGNSATEPYIVAHNVLLSHAIVADVYRKKYQKIQGGSVGISLDVIWVESATNSKEDIEATQRALDFTLGWFLDPLIFGDYPKSMKIRVGKRLPKFSKSEANLVKGSLDFVGINHYTTFYAMHNATDSLRELVHDYISDIGAVTVPFNGTEIIGDKASLLEL >RHN49553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55605671:55607674:-1 gene:gene44395 transcript:rna44395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MEGNNNNTLLFLLLAISFSFSFTLSSTTTTTHPQTQTQTQTLSIRSLPNPSTLTWPESEQESLSIPESVDPIEQESLSLQLHHIDTLSSDKTPQQLFHLRLQRDAARVESFATALNKTRPHRSATGFSSSIVSGLSQGSGEYFTRIGVGTPARYVFMVLDTGSDVVWLQCAPCRKCYSQADPVFDPTKSRSYAGIPCGAPLCRRLDTAGCNTKTKVCQYQVSYGDGSFTFGDFSTETLTFRKTRVARVALGCGHDNEGLFVGAAGLLGLGRGRLSFPVQTGRRFNQKFSYCLVDRSATSKPSSVVFGDSAVSRTARFTPLLKNPKLDTFYYVGLLGISVGGAPVRGVSASLFKLDTAGNGGVIIDSGTSVTRLTRPAYIALRDAFRLGATHLKKAPEFSLFDTCFDLSGLTEVKVPTLVLHFQGADVSLPAQNYLIPVDNSGSFCFAFAGTMSGLSIIGNIQQQGFRVSYDLATSRVGFAPKGCE >RHN39547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8113968:8114855:-1 gene:gene45578 transcript:rna45578 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MEIGKFLSKDLVGHSLSFLLVWTLISRALKQAQKEILKQEQEEPLILRAFKQAQKKISQLKPCGICMENKPIEKMFKSRNCSHSFCEDCVARFLAVKIQEKKATIKCPDPNCNSNFDTQQCISIIPKDVFERWGDALVDSMFGSKKIYCPFKDCSAMLVNDGNEVVRITECPHCHRLFCAQCQVPWHTEVDCREFQILKKGGPRKDLDLMALELAKKKKWKRCPRCNFYVEKKGGCNHIRCRYRQYFLMLRILLFLTSLNSLLNVYIVRKLNFISLGCICLEFNWTYTFNVFNIS >RHN63109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48537247:48540241:-1 gene:gene25768 transcript:rna25768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MATTPYPQPPSRAFLDTIQEITQIYSSLPPRPSIEEIEAATSTLDTLNNVEQTKLQEIAVQEKPQDVPEDLFYVLQQLKNTMVLFQSHQQRKEALHLLQLDKMFQTFGDLIQRASELVSPDEDRKIKKLPTLYEDVVNYEKEEEEEPQKSQDFEGEKGSLVHKPFLLTGDGSIEKLSLMKVATVIENCANNKDTVLELRGKLVDQMEWLPLSIGKLSDVTQIDLSENRIMALPTTIVGLKALTKLDLHSNQLINLPNSFGELINLIELDLHANKLKSLPDTFGKLTNLIDLDLSTNDFTHLHESIGSLISLKRLNVETNKLEELPFTIGNCTSLTVMKLDFNELKALPEAIGKLECLEILTVHYNRIKMLPTTIGNLSNLKELDVSFNELEFVPENFCFAVSLKKLNLGKNFADLRALPRSIGNLEMLEELDISGDQIKALPDSFRFLSKLRVFRADETPLEVPPKEVVKLGAQEVVQYMADYVYKRDAKLLPLKKKRKGFWSWFCSIFCPQQE >RHN42795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41050392:41051576:1 gene:gene49283 transcript:rna49283 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLDGGNQGGHEVSVNLDWKIGIAAKDWDSVAKKEKIEGVELELRKLEGAVEAIHENLLYLKGREAEMRIVSERTNGRVAWFSIMSLGICIGVSALQLWHLKRFFQKKKLI >RHN78743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18000963:18002404:1 gene:gene2391 transcript:rna2391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MYLPSQTKLLSKPILFVTIAMMAISTNITAIDPIAATGKEPIIELFMHDILGGSNPTARPVTGLLGNIYSGQVPFATPVGFNIPTDSMPIPNANGANPTVNGVTGIPLGTGLAGTSFAPNNNNNNQNNVQLTLGPDGLGLGFGTITVIDDILTSQPELGSQIVGKSQGVYVASSADGSRQMMVFTALFEGGEYGDSLNFYGLYKIGSTMSRLSVVGGTGKFKYAKGFAELRPLIPPGQIATDGAETLLRITVHLKY >RHN41083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26831706:26832573:-1 gene:gene47367 transcript:rna47367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA ligase (ATP) MSGAKKNQPPLKKTKTHHLQSTPSKSIRTFFQPLNSPIPPKNPDTESPIKLPHQTSTSSTSSPSSSSSSTNVVEVKKRISLLKKTPSEFDHSSVAWWKKGEPVPFLFLSLAFDMIKEEKGRNAMSDIGCNVLRTVMHTTPGDLLPVVYLFSNRIAPPYQGLEMGVGKAAVIKTLAEACGRTEKDVKEHYKMDKSDLNVK >RHN70363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50889988:50891697:-1 gene:gene18933 transcript:rna18933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAMEALNSPTTATPFTPFEEPNLSYLETPWTKGKRSKRSRMDQSSCTEEEYLALCLIMLARSGNNNDNKTESVPVPAPLTTVKLSHKCSVCNKAFSSYQALGGHKASHRKAVMSATTVEDQTTTTSSAVTTSSASNGKNKTHECSICHKSFPTGQALGGHKRCHYEGSVGAGAGSSAVTAASEGVGSSHSHHRDFDLNLPAFPDFSKKFFVDDEVSSPLPAAKKPCLFKLEIPSHY >RHN43993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:576920:578078:1 gene:gene38037 transcript:rna38037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNRCKIPSMEVDWSQLPSELLQLISQKLSNIELYLIRFRSVCSTWRSSSIPPNYHQNHLRLKFPRLFSKQLFNHDIDPNIDYVTYLIKHNLFIIKPPTTQHQHRPWLIMIGPDFQGKTQLWHPFSLRNEPLSSHFDGNVLDFNKLSVLHIGHMFYFHRSTLPQNSQNTRTDCKFGVASCQEEQPFVIVTTEKNQKPVMFRCGDDHLTTIPNMSTPCKVMNCAFKGRPCVVDSTNQTVMIGPDMSIHLMAQADPWYGGRRKFLVASSEFQLLDEKEKKWVKLRNLGDTILFLGDLYSFSASASASDLGLTNGNGVIFTTDYFASRGLSCMDRNMSVFHLDQGRVSPLSDYPDYFKLFWPPPDWITELNS >RHN41642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31699002:31701191:1 gene:gene47984 transcript:rna47984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MDFSSSIISLLLHFLLISSLVCPINSSETIQQINKPAIKTIQISDGDIIDCILTHKQPAFDHPLLKGQKPLDPPERSKGHNQISNLSDIFQLWSLSGESCPEGTIPIRRTTEEDISRAGSIDSFGRKANGFRTDTIQNGHLHSVGFVRGDIYYGAQAIINVWAPHVESPNEFSLGQIWIVSGSGKDLNTIEVSAGRYGDNRPRLFTFWTADGYDQTGCYDLLCPGFVQTNNKFAIGTPISPISTYNGGQYEITLFIFKVIFYI >RHN53217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:170766:171738:-1 gene:gene28105 transcript:rna28105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MLRPVAFLRDINEKKDFWKVAVKVTDKWSVVKDGMEHMEMVIVDAKGTDIQVIIPTAYRAEYEKLIKVNSTYTLSNFQVFPNDLVFKASDNKYKLKWTGGTTAVDANVHDIPLPNMKFKPLAEIIAGKWRADLLVRYVHEIGYCQVMAGTGKKLQSSDISLNCTLWEDYAAKFIKFNNENKETGPIIVMLKYGKIKEEGWCLIFVVAFYYWSHYFFR >RHN64133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56835527:56842819:1 gene:gene26919 transcript:rna26919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MEDHEPDKGFEASQPIIEALKSKGVSAIGAAGYCWGAKTVCELGKSKLIQAVVLAHPSLITVEDINGVNIPIAILGAELDPITPPEVIKQFEQVLAAKPEVDSFVKIFPNVSHGWTIRYDTEDPEAVKAAEEAHQILLDWFVKYVK >RHN82112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51320140:51323665:1 gene:gene6238 transcript:rna6238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MYIINSSTTTQLILLLLLLASLLTSLTFSLSVSPIYNSHACTDTSYYQPNSNFQTTLKTLLSSLVNTTIHNTFYTSHIPLFNSPNDLKGIFLCRADVTPSICHNCVTAAANNITTLCKNQTESIIWYDQCFLRYSNDSFLNHMVPAITLESDENVPDSESTLFSDFLANFLKGLAYETVNSPSGQKFAAKEEIFLGSVKVYSLAQCTPDLSTFDCNTCLQSAISSIGNCCNGKRGARSLLPSCNIRYEFYPFYNVSAVSTQPKLTSPSSGKSTVPLIIAIFVPTVVVMALLIVGFYFLRKRAIKKYEYSNTFVQDPSSIRREDLTDIDCLQFDFSTIEAATNCFSDENKIGQGGFGVVYKGVLPNGLEIAVKRLSITSLQGAIEFRNEASLVAKLQHRNLVRMFGFCLEGREKMLVYEYIPNKSLDHFLFDSAKQRELDWSSRHKIIVGIARGILYLHEDSQLRIIHRDLKASNVLLDENMNPKISDFGMAKIFQPDQTQVNTGRIVGTYGYMSPEYAMRGQFSVKSDVFSFGVLVLEIVSGKKNTDLNQRNHTDDLLSYAWKKWSEQTPLELLDPTLRDSYSRNEVMRCIHIGLLCVQESPYDRPSMETIALMLNSYSVTLSLPRQPPSLMRGRTPDRIKQGLDSDQSTTCSIPWSVNEVSITEVYPR >RHN47245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38283196:38284021:-1 gene:gene41820 transcript:rna41820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAYVDYHENSIATPTSTNTLKLFGINIQEACLNQSPTQSESSEPLNGRKLYECQYCCREFANSQALGGHQNAHKKERQLLKRAQMQAARAFVPSHFHNRFISSPQWLPQQNHFLANAPPSQTRSSAWLCTTHAAGSGVFLAPTAAFDGSAPRHSSKFGGFNGGDCSEQGFGLNLHLGL >RHN66879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20730433:20738984:-1 gene:gene14992 transcript:rna14992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif 2, nucleotide-binding alpha-beta plait domain-containing protein MDKLSGDSLPAHGAAGLSGISSLNIPNKGGSSAWRIPHTTDIFHESNDVSLFSTSLPVLPHEKLNLTDSEQDSEQSGQPVDDNLLTLGKVHKEDEGNDLFDDFETMLPDDEDELLAGIMDDFDLRRLPNQLEDLDENDLFVNGGGFEMDFEPQEGLSFGISKMSISDGIASNGIGPYAIPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNDDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAADAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQELEQDEARTFRSQVGSPIANSPPGSWAHFGSPVDPNPLGSYSKSPGLGHASPTNHLSGLAAILSPHTSTSPKIAPIGKDPGRVSNQMFTNSANSGSTQGVAFQHSISFPEKNVNVSPRPISAFGESNSSSSSVGTLSGPQFLWGSPTPYSENSNTSAWSSSSLGHPFTSSAQRQGFPYTGHRNPFLGSQHHHHVGSAPSGLPLERNFRYFPESPDASLMSPIGFGNSNRGDGNFMMNMGSRSSAGHGIGLSATTSEIGSPNFGMMSLPGHGSLFLGNSLYAGPGVSSIEGFGERGRSRRPDNIVNQVESKKLYQLDLDKIVNGEDTRTTLMIKNIPNKYTSKMLLAAIDENHQGTYDFLYLPIDFKNKCNVGYAFINMVSPSHIVAFFKAFNGKKWEKFNSEKVASLAYARIQGKAALVMHFQNSSLMNEDKRCRPILFHSEGQDTSDQEHFLSSNLNICIRQPDGSYSGDMLESPKGNSDDNLERE >RHN38824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2257780:2285641:-1 gene:gene44793 transcript:rna44793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Galactose-binding domain-containing protein MEVELEPRVKPLQFKVKAMSRESPSQKALNVLDSDLRSHWSTATNTKEWILLELNEPCLLSHIRIYNKSVLEWEIAVGLRYKPETFQKVRPRCEAPRRDMTYPTNYTPCQYVRISCLRGNPIAIFFVQLIGVSVAGLEAEFQPVVNYLLPHILSHKQDPHDTHLQLLQDMTNRLLVFLSQLETDLASFPDNPESNLRFLAMLAGPFYPILHVANERTTSKHPGNITDPEVYRSSQLSPALTVSSNFEPRRSRSAPSFNLSAYRSMVFRPDTIFMLLRKAYKDSDLGSVCRMSSRIILKLIDPGPEQVSYPQNEVITPLEEKSKLELTSPCTSVDYSSLFGEEFKMPDEQWDCSYLNVLDFGAVEEGILHVLYSCAAQPVLCSKMAERVLEFWAVLPLVQALLPALRPLLSNSFDAVDDSFSQWNQPIVQQALSQIVATATSSTYRSLLHACAGYLSSYSPSHARAACVLIDLCSGVLAPWVTQVIAKVDLALELLEDLLGIIQDAHNSLIRARAALKYIVLALSGHVDDILGKFKEVKHRILFLVEMLEPFLDPAIAVSKSKIAFGDLSSSFPEKQEHNCMIALNIIRAAVHKPAVLPSLESEWRHGSVAPSVLLSILEPHMLLPPDVDLCKSVLRPNEHETASVSPLSSGVNGGGAFSKFNSQDESDGKTEVPETAGRSDFVEDRNLLFAPPELQGISLRNNSDVPNHNSSVSHTLEFKHVVDKHSTHRFLSNVVMDSGLGFEYFNLQADYFQLLNYHDCDLRASEFRRLALDLHSQNDITLETHDAAIDAFLLAAECHVNPYFMLSIGASSKLTDLLNIKEGKTIHSHAIVDAKGTFGKNKPNLETIAHIERKRDKLVFQILLEAAELDKKYHLRVSDGEGGPYCAEGFGEKVIKISSPDEQHADALTLVRQNQALICNFLIQRLQRDQISMHEILLQSLVYYLHTGTKLFCPPESVIDIILKYAEDLNKMLTSFHHEPKEGNLHLVQERTHRVERRWLLLQQLVIASSNGGEEEIFGNSIRNSYLCGNLIPPSAWMQRISHFSCSVYPLVRFLGWMAVSRNAKQYMKDQIFLASDLSQLTYLLSIFADDLAVVDNVINKKYEEVKIDDSRGEHSSSTKKESELGNQNHAEQSFSAVYPELWKFFPNLKGKFESFGEAILEAVGLQLRSVSSALVPDVLCWLSELCSWPFSFTSSSSSDNLKGYNAKNARTIILYILEAIIVEHMEAMVPETPKLVHVLVSLSSSSYCDVPFLDSVLHLLKPIVSYSLSKVSHDEKLLEGDSCLNFEELCFNVLFSKIKQKNDTERNPEDKEYNVALGIFILASIFPDLSIQFRRDFLKSLLSLVNFVDSERTTSLYDYLSAFQCVMDNCKLLLVNALTEFGVIPLQLPPFPRVNVGGLSDDDLPNPWFLSDICHLSFENDVHNVEHNNNNSDVADDDHCRLPSEDLEGFSKDIEVLISELTPAIERCWNLHHQISRKLTISSAECFVFSKCLTSVSSKFHKCEDDDQDSSLAKLSDPFSLHWRIGVQGLSELITVLQESSCWEVSCLILDCLVGIPYSFSLDNVVGIICSAIKKVACNAPKISWRLRSDKWLSYLIARGIYNSRESEVPLTDLFCTFLGHAEPEQRIIAVKHLGRLLGQCINGDRSLINSRICTDLVPNKLVLSVPDYVLSQLVSNTWDEVVVLASSDTSLQIRVHAMALLSNYIPFAERHHIQSFLVAADSICCLRNAQPSHDGSILQLSLALIAYACLCSPPEDISLIPQNVWGSVETLASTKYDGKLGDLEKRTCQVLCRLRDGDETKEALKEVLSSNSSKQYDPDFASTRESVLQVLGNLTAVHSYFDVFSTKINQDDMDLEEAELELDIVQKERAIPGRKEDSKNWNQIPSLPSSGKDVSRLQQIRECIRTLEKSKLKEDILARRQKKLLMRHDRQKYLEEAALREAEILQELDREKAAEMEKEMERQKLLEIERAKTRELRHNLDMEKERQTQRDLQREIEQAESGIRPSRRDFSSSTHNSRPRDRFRERDNGRSGHEGSNRVGPGSLQPEIPSTNSSMDDTGSINEENVDGSRDSGDTGSIGDPELVSAFEGQSGGYGSQRHSSRGNKSRQLGERRERENRREGKWERKH >RHN48036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44448731:44449249:1 gene:gene42705 transcript:rna42705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSSATKKMITLKSYDSKTFEVSEAVAHESHTIKHMIEENCAENVITIPNVNSKILAKVIEYCKKHVEAAAKASSDDLNCWDADFVKVDKAMLLESASSNDLEVQKNVEAGWDAAVSLDDLKAWDAEFMKHVDQAMLFELIQAANYLNIKSLLKLTCGGHDQGEDAGGDSQDV >RHN57273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37742858:37746922:1 gene:gene32795 transcript:rna32795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease Z MAILPLRHFTTQMQIPLTNPTFKPPQIFPFHHTIPSPKQQLSFPTNSLKGSGYLSEISKAIDYEEQYRVAKSQVQRKGLDLEGYSIEGVSIGGHETCIIIPEFKCAFDIGRCPTRAIHQNFVFITHAHLDHIGGLPMYIGSRGLYNLKPPTVFVPPCIKDDVQKLLDVHKTLGQVELNCELVALDVGETYEIRNDLVVRPFRTQHVIPSQGYIVYSIRKKLRKQYSHLNGKQIEKLKKSGVEITDTILSPEVAFTGDTTSDFMLDPLNADALRAKVLITEATFLDDSTTVEHARQHGHTHISELIENAQWIRNKTVLLTHFSSRYNIEDIRQAASELQSKTSAKVVPLTEGFKSQYT >RHN43210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44056082:44062910:-1 gene:gene49750 transcript:rna49750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MGESGESAECSASASASAKPSDEIWAKLVQSDSRYPDVEIRSDKGQIRSEISTASCDKHRWCKIVRNSDLCSATLKNKSSNTILVDGAEVGKGDTVVIKDRSEIIPGPAKEGFVSYKFQIVSSPEICQTLLKICVDVDHAKCSICLNIWHDVVTVAPCLHNFCNGCFSEWLRRSQEKRSTVLCPQCRAVVQFVGKNHFLRTIAEDMVKADSSLRRSHDEVALLDTYASVRSNLVIGSGKKSRKRERANTTMVNQSDGPDHHCSQCVTVVGGFQCDPSTIHLQCQECGGMMPSRTGLGVPQYCLGCDRPFCGAYWSALGVTGSGSYPVCSPDTLRPISEHTISGIPLVAHEKNLHEQNITESCIRQMGRTLQDVISEWIAKLNNREIDTTRLMLNHAEMMTAGTLVCSDCYQKLVSFFLYWFRISTPKHLLPPEASTREDCWYGYACRTQHRSEEHARKRNHVCRPTRGSHS >RHN76122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47850937:47852316:1 gene:gene12402 transcript:rna12402 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPERTLKHVDTKPRSPTIATMEQFLRGDSSRMSPTYSPTSPSPSSPFFERMKNHDPEEDQSLYQRKSVLAKVKERARKLVLRNSLSRKRQDDDNITPSWGVSLEDEEGEEEEDAEYLGAPIYESELAPDEYKENARQHPRANPVISEKRVLKIVKPGEQDQENALSPIKSPTTRTTFPQLAPITTNSSNQNMTMTNQKPTLSNVEGSNASSHSAPSKYGVCSITPVAPLSSSMMQNSSSSSSFSSAPSSAKNTISPKSSYPSQALMSPRRSSSNGIGVIEKVKGAVNSFLRNDVQPQQKNVVKNPITHTNSSQRNNTTQEGK >RHN67960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31829022:31830716:1 gene:gene16225 transcript:rna16225 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta' MRGNPHVRFSKGEIHLIGSYPNFSFARPVVKKPTFLRLRGSFEYEIQSWKYSIPLFFATQGFDTFRNREISSGAGAIREQLVDLDLRIIMDSSLVEWKELGEEGSADNENENEWEDRKVGRRKNFLVRRMELVKHFIRTNIEPEWMVLSLLPVLPPELRPIIQIDGGKLMSSDINELYRRVIYRNNTLIDLLTTSRSTPGELVMCQEKLVQEAVDTLLDNGIRGQPMRDGHNKVYKSFSDIIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHRCGLPREIAIELFQTFLIRGLIRKHFASNIGVAKSKIREKEPIVWEILQEVMRGHPVLLNRAPTLHRLGIQAFQPILVEGRAICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEARLLMFSHTNLLSPAIGDPISVPTQDMLIGLYVLTSGNRRGICANRYNPFNCRNSKNEKISNNNSKYMKKKEPFFCNSYDAIGAYRQKRINLDSPFWLRWRIDQCIMSSREVPIEVHYESFGTYYEIYGHYLVIRSIKKEIRCIYIRTTVGHISFYREIEEAIQGFSRAYSYGI >RHN76910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2293861:2297893:1 gene:gene299 transcript:rna299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b-c1 complex subunit 7 MASLLQAIVDPKKNWFAAQHMKAVSKRLRKYGLRYDDLYDPYYDLDIKEALNRLPKEVVDARHARLKRAIDLSMKHEYLPEDLQAMQTPFRSYLQDMLTFVKRERAEREALGGLPLYQRTLP >RHN72005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4326788:4334864:-1 gene:gene7672 transcript:rna7672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAAAVVGEAFLSAFIEVVLDKLSSPEVVDLIRGKKVAVNLIQRLKNTLYAVEAVLNDTEQKQFKDSAVNKWLDDLKDAVYFADDLLDHISTKAATQKNKQVSTAVNYFSSFFNFEERDMVCKLEDIVAKLEYILKFKDILGLQHIATHHHSSWRTPSTSLDAGESNLFGRDQDKMAMLKLLLDDDHVDDKTRVSVIPIVGMGGVGKTTLAQSVYNHDNIKQKFDVQAWACVSDHFNELKVTKAIMEAITRSACHINNIELLHLDLKEKLAGKKFLIVLDDVWTEDYDAWNSLLRPLHDGTRGSKILVTTRSKKVACMVQTFQGYSLEQLSDEDCWSVFGNHACLSPKEYTENMDLQIIGKEIARKCKGLPLAAQSLGGLLRSKRDINDWNNILNSNIWENESNIIPALRISYHYLSPYLKRCFVYCSLYPKDYTFRKDNLILLWMAEDLLKSPKNGKTLEEVGNEYFNDLVSRSFFQCSGSENKSFVMHDLVHDLATLLGGEFYYRVEELGNETNIGTKTRHLSFTTFIDPILGNYDIFGRAKHLRTFLTTNFFCPPFNNEMASCIILSNLKCLRVLSFSHFSHFDALPDSIGELIHLRYLDISYTAIKTLPESLCNLYNLQTLKLCYCYRLSRLPNDVQNLVNLRHLSFIGTSLEEMTKEMRKLKNLQHLSSFVVGKHQEKGIKELGALSNLHGSLSITKLENITNNFEASEAKIMDKKYLERLLLSWSQDVNDHFTDSQSEMDILGKLQPVKYLKMLDINGYIGTRFPKWVGDPSYHNLTELYVSGCPNCCILPPLGLLHSLKDLKIGKMSMLETIGSEYGDSFSGTIFPSLESLKFFDMPCWKMWHHSHKSDDSFPVLKSLEIRDCPRLQGDFPPHLSVLENVWIDRCNLLGSSFPRAPCIRSLNILESKVSLHELSLSLEVLTIQGREATKSVLEVIAITPLISLKKLDIKDCWSLISFPGDFLPLSSLVSLYIVNSRNVDFPKQSHLHESLTYLHIDSCDSLRTLSLESLPNLCLLQIKNCENIECISASKSLQNLYLITIDNCPKFVSFGREGLSAPNLKSLYVSDCVKLKSLPCHVNTLLPKLNNVQMSNCPKIETFPEEGMPHSLRSLLVGNCEKLLRNPSLTLMDMLTRLTIDGPCDGVDSFPKKGFALLPPSITSLALWSFSSLHTLECMGLLHLTSLEKLTIEYCPKLETLEGERLPASLIELQIARCPLLEERCRMKHPQIWPKISHIRGIKVDGKWI >RHN65877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6148314:6149456:-1 gene:gene13769 transcript:rna13769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative defensin, plant MSSSVSIFYTIFMLLSLALLLIFTCEVKAKICDSPSRTWSGPCIRDSVCNTTCIDAEYSNYGACGGYGFDCICFFKC >RHN43458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46061496:46067078:-1 gene:gene50040 transcript:rna50040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-fatty-acid--CoA ligase MLGRREIVNGDPGKFNWQTYKEVYDLVIKIGKSIRACGYGEGVKCGIYGANCPEWIMSMEACNAHGLYCVPLYDTLGAGAVEFVISHAEVSIAFVEEKKIPELLKTFPKAAEYLKTIVSFGKVSEEQKQEVEKLGVKIYSWDEFLEVGHDKNFDLPVKKKSDICTIMYTSGTTGDPKGVLISNENIITLLSGMKKILGSCNEQMHEKDVYISYLPLAHIFDRIIEEGIIWSGAALGFWRGDIKLLMEDIAELKPTIFCAVPRVLDRVYTGLTEKINNGGFVKQTMFNWAYTYKLHSMERGQKHETAAPLMDKLVFSKVKQALGGNVRIVLSGAAPLSKHVEGFLRVVTCAHILQGYGLTETCAGSFVTIPNEINMLGMVGPPLPYIEVCLESVPEMGYDALATKPRGEICIKGGTVFAGYHKREDLTKEVMIDGWFHTGDIGEWQPNGSMKIIDRKKNIFKLSQGEYVAVENLENIYVQVNAIESIWIYGNSFEHFLVAVVNPSKKAVEDWAQANDMKMDFNSLCEDSKAKHYILGELLKIAKEKKLKGFEFVKAVHLDPVPFDMERDLLTPTFKKKRPQLLKYYQNIIDEMYKTAK >RHN69712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45722305:45727857:-1 gene:gene18218 transcript:rna18218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ataxin-2, nucleotide-binding alpha-beta plait domain-containing protein MAVAENVGAKIGSSSQNLDNNNNHAVSSDSTEVEKSKPRTDQDVNNNSVFNHQHQNGNYSFKTHQMGQMHANGVQNHQFVVNNDGYVMNGLRNGENGGESFKREMRDLEELLSKLNPMAEEFVPPSLTNNHGYLAAGPAAGFGYPNNFILLNNYANANGQTNRRRKNGYTTNGKRRANHKVDMEKREEMIRRTVYVSDIDQLVTEEQLASLFLNCGQVVDCRVCGDPNSILRFAFIEFTDEESARAAVSLSGTMLGYYPLRVLPSKTAIAPVNPTFLPRSEDEREMCSRTIYCTNIDKKLTQADVKHFFESICGEVHRLRLLGDYQHSTRIAFVEFAVAESAIAALSCSGVILGALPIRVSPSKTPVRARSSPRSP >RHN46978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36184360:36187445:1 gene:gene41522 transcript:rna41522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MVVENSNQLKESSDFTYDREAEVKAFDDSKVGVKGLVDSGVSKIPRMFYTRKLEISESTASDSKLSIPIVDLKDIHINPAQRVEVIDQIRSACHEWGFFQVINHEIPIIVLDEMIDGICRFHEQDADVRKEFYTRDLKKKVSYYSNVRLFNGQGANWRDTFGFAIAPDPFNPDEVPQICRDIVIEYSQKIKNLGFTIFELLSEALGLNPSYLKEFGCAEGLFTLGHFYPTCPEPELTMGSTAHTDSTFMTLLLQDQLGGLQVLHEDKWVNVPPVHGALVVNIGDLLQLISNDKFVSVLHRVLSQNIGPRISVASFFLNSRDPIEGTSKIYGPIKELISEENPAIYKDITIKDFLAHFHTEGRNVKFLLEPFKL >RHN41670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31951978:31974027:-1 gene:gene48015 transcript:rna48015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-succinyl-5-enolpyruvyl-6-hydroxy-3-cyclohexene-1-carboxylic-acid synthase MNLPVPALRSSPFYNASLFLIFPHSHSHSHSSTSSSSPFAIGVTRTVLPKLHVVSGGVRFDGSLPLNDDDEVVFEHCVTRTLPPALTLEDGLHKLKDALEILKLAPPSCETGFLRFQVLVPPSSKALSWFCCQPESSGVFPLIFVSKNMDDPTCKSLYVNGSRGVFGIGTAVSFVHSSPGNKSLIKRYISTDSTDIVAYGFMDINLDNDSVSINHEDGSFSFFIPQIELDEMESVSILTMTLAWDNFSLSNFGKALHLLEVSLNQVIYHVWSTSSAEKSKCVRAALRKLNLVEDRSIPRVYINTVARGGTESVGDIMELKESPSSSQFCARLSATLTVSNNMLDHSIEFSHSLNESANINAVWASLLVEECTRLGLTYFCVAPGSRSSPLAVAAASHQLITCISCFDERSLAFHAVGYGRGSHIPAVVITSSGTAVSNLLPAVVEASQDFVPLILLTADRPPELLDCGANQAIDQVNHFGSFVRFFFNLPAPTDQIPAKIVLTTLDSAVHRATTSPCGPVHINCPFREPLESSPLRWLSSCLKGLNFWMTNADPFTKYIHMQLSHPCINAPGEMIEVLNLIQRANSGLLLFGAIHSEDEMWAALLLAKHLQWPVVADILSGLRLRKLLTSFSDIERNFIFVDNLDHALLSDSVKSWLKIDVVIQIGSRITSKRICQILEECVPSSYIMVDRHPFRHDPSHIVTHRIQTTIFYFVGCLLEVTFPHSRSIWSTSLRLLSKMVEWQIQFQITAESSLTEPYVAHVMSKALYPESALFLGNSMPIRDVDMYGHSGPIHDHSVASLMLNSDLPLTLMRVAANRGASGIDGLLSTAIGFAVGCNKKVFCVIGDISLLHDTNGLAILNQRKLRKPMTILVVNNHGGAIFSVLPLADKVERSILHQYFYTSHNISIRELCLAHSIKHLHVKTKAELDEALCVAHHEQMDCMVEIESSIDANANFHSILKKNAFQTAQDTIRFLSVPFNQCSINDEFCIHKIHKIECSKYRFALSAPSTAASVGDNCKEFYREGFILSVILEDGSVGFGEVAPLEIHKENLVDAEYQLRFLTHVMEQVDISSFLSLLKGSFSYWIWNELGILPSSIFPSVRCGLEMAILNAIADSKGSNLLDILHPSTNENNKYERSSEIQICALVDSNQSPAEVAKVAAALVKEGFSAIKLKVARGRDPVQDATLIQEVRKEVGCHIMIRADANRNWTFEEAMKFGSLVKDCNLQYIEEPVQDEDDILKFCEESGLPVALDETIDKIQEHPLEKLVKFTHSGIVAVVIKPSVVGGFENAALIAQWAHQLGKMAVVSSAFESSLSLSAYTQFSSYLDIQRSNTFKLFDVKEAPSVAHGLGTYRWLKEDITLDPLLIGRNPRSGLVEASVENASRLLSNFQVDQNVICNIIAEEKVCRYQLNVEHNNLSCSFEVCETGLKTNDNILVFLHGFLGSGEDWITIMKTFSESARCISIDLPGHGKSILHGVKSASEEPSLSLEIIADILHKLTHHVAPAKITLVGYSMGARIALYMALRFSSKIKGAVLISASPGLKDKLARKIRAAKDDSRACSVIAHGLQLFLSSWYAGELWKSLRSHPHFNRITASRLQHNDVHNLAQILSGLSIGRHPPLWEDLPNCRVPLLIIHGEKDKKFKKIAQAMMNAINSCLGSKLEEVNDIHEVVEIPNCGHAAHLENPLPLIAALRQFLTRL >RHN51582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20184536:20188117:1 gene:gene36046 transcript:rna36046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MHKPAAAGRFAGTVAWTDGFRRDFCKFFAGVAGANVFTSMSIVGLIIAATFNKIWKLWMKRLFFYDSQESLGLLALFGYMLFLFYIGVKTDMSVVHKTRSGTTIIGCSAIVVPFLCGIAVLMFISQRFIHPGRTKIFTIIIGLFCMTPFPVISSTLSDLKILNSELGRIGQSASLVSEIFSVFIASLMTFEKLYAERGLADALLCIASAIFFILLVIFIIRPAMFWIIKETPEGSHVNDNYVYSALIFALLSSYASYKFGFFSLFGPFVLGLAIPEGPPLGTAIIKKIDTFVNEILMPLFVTTSVMRVDFKELLRWRNENDGSIDDFMVQTLVLIVVIFVTKFIACMIVPLRSKMPLKDAVSLALIMSSKGVVEISAFAVVRDSHELPDNVFALLMMSIIVNFTLIPMLLGYIYDPAKKYTGYTKRNIADLKSNSELRVLACIHRTDNIPATINLLEATYPTKDEPLFTYALQLIELIGRASPIFICHQLQKKKKSNSNISMAEKLLESFQSFEREFNDCLVVNTFTAISPAEMMYDDICTLALDKFTSLIILPFHRKWSSDGNSIELEDESLRDLNFRVMERAPCSVGILIERAQMTHIFSPETPYNVCLMFIGGKDDREALFFTKRMTKNPHVKLTIVRFLAFHVDESKDYWEGLLENELLSEIKTNNKVGDVSVKYVEETVKDGPETALIIRSLVTEFDLIIVGRQAGIETPQTCGLLQWSEYPELGVLGDLLASTDAADKASVFVIQQQKTAMDV >RHN80282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36806987:36809629:1 gene:gene4187 transcript:rna4187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MEKKVFSPLHVYKEFKPHLVMVLAQVGYTFLYFISESSFNHGMSPYVYVTYRHVLAGAVMFPFAYFLERSLRPKLTLVLFMEIFVLSLLGITMALNLYFASLKYTSPTFLASMFNTIASITFIIAVALRIEVIDLRNPRSIAKILGTLISLAGVLTMTLYKGPVMRNLWHPLIHIIQRKSSSINESGLKGSLLTISCCATFSIWYIMQASTLKRYPAQLSLTTWMCFMGAIQSAVFTLIVEHNNTSAWIIGLNIDLWSIIYGGIVGGGLLIYIQLWCTEKKGPVFVTVFNPLCTIFVAILAYFVLGEKLYLGSIIGAFIVIMGLYLLLWGKEGDKEVDFKTKVKLQYKNGEGGLEEC >RHN44383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4095033:4096039:1 gene:gene38500 transcript:rna38500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MVPQSLCDISYLDISNNCLKGPIPHWRKRINIVKGVASALSYLHHDFTFPIVHRDVSTSNIFLNSEWQPSVSDFGIARLLQCDSSNRTIVAGTIGYIAPELAYTMVVSEKCDVYSFGVMALEILLGRHPEEILSSLQLTSTQDIKLREVLDKRLQRPNNEMVSLHIIQVAVVAFACLNLSLSSRPTMKCVSQSFSTQIIPLSIPLSEFSAHQHTSQELQTLFHVI >RHN75835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45449546:45449893:1 gene:gene12089 transcript:rna12089 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYKWVWISLESNLIQENCSLGTLFWCQHLKLTILHLTAIDCHSKLIIYQTWFICTALFTHLFHPKPKKFDFCFLQKNVYQIIKERLL >RHN47219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38006676:38011934:-1 gene:gene41785 transcript:rna41785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hyaluronan/mRNA-binding protein MATTNPFDLLGDDAEDPSQLIVSEQLKAAAAPPAAKKGAVKEQGKPNKPALLPSKPTPPAQAVRESRNEGGRGGRGFGGRGGDRDRGFGGRGGDRGFGGGRGGRGFGRDYSNDDNSFPASGAPENQGPVEEGDRNSERRSYGGPRGPYRGGRRGGFNNGDAGEEGRPRRTFERHSGTGRGNDFKREGAGRGNWGTETDEIAQVTEEVMNEGEKNLGDEKPAVEADVAEGNKDSPANEAEEKEPEDKEMTLEEYEKVLEEKRKALQTLKTEERKVDTKAFETMQALSCKKDNTEIFAKLGADKDKRKEAYDKEEKAKKSVSINEFLKPAEGESHYNSGGRGRGRGGRGARGGGFRGNSYSNVPAPSIEDPGHFPTLGAK >RHN53544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2415888:2423964:-1 gene:gene28460 transcript:rna28460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactosylxylosylprotein 3-beta-galactosyltransferase MRSRGSQNRLNGNSFGSRVSALIFSMIATMATIYVAGRLWQDAESRVYLIEQLEKRTSQGQSAISVDDTLKIITCREQQKKLSALEMELSAARKEGFVPKQLSVNNEKQPTKKILSVIGVMTTFGRKKNRDAIRKAWMPTGASIKNLAEQKGIIVRFVIGRSANRGDSLDKEIETENSQTNDFIILDDQVEAVEESAKKTKSFFIYAVDNWDAEFYAKVNDDVYVNLDAFGGVITSHLEKPRVYIGCMKSGEVFSDPTHKWHEPDWWKFGDGKSYFRHASGEVYAISKALAQFISINRFILRTYAHDDVSTGSWFIGLDVMHIDENKFCCSSWSTGAICASV >RHN69777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46199430:46207199:1 gene:gene18291 transcript:rna18291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MEEVIIFGMKLAFSLALVGILSWIFYIYGTLWYNSQRVRRKLRMQGIRGPPPSSFLHGNLPDMQRITSQASNISKDNDQFLAYDYSAALFPYFQHWRKQYGLVYTYSTGMKQHLYVNEPDLVREMNQCITLNLGKPSYITNKLAPMLGNGILRANGHSWAQQRKLVAAEFFMDKVKGMVGLMIEAAQPLLLKWEQIIEDQGGAKAEVKVDADLRGFSADVISRVCFGHSYSKGKEVFLKLRSIQKIMSNHGFLFRQTGFLEKLKFRTKKQDEISSLESEIESLIWELVEERKRECSSEKDLMQLLLEAAMSDQSLGKDFSKQFIVDNCKNIYFAGHETTAVAASWSLMLLALYPEWQDRIRTEVAQHCPNGIPDADSLPLLKTVSMVIQEVLRLYPPAAFVSREAYEDIQIGSLNVPKGVCLWTLIPTLHRDPEIWGPDSNEFKPERFSEGVSKAIKFPQAYVPFGIGTRLCVGKNFAMVELKVVLALIVSKFSFSLSPSYKHSPAYNMIVEPGHGVYLLIQKN >RHN75635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43952190:43953872:-1 gene:gene11868 transcript:rna11868 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLAFLLAFFIFFTSISNISHSNTLPSYPTPTESQICKLDLSNELFGGVNDACGNNLDRSRCCPVLAAWLFAAHARRALEISPPPRENSVDLPMMPDDSQKCVNSLQDSLRDRNIIIPTPNASCDAILCFCGIRLHQISSLNCPNAFNVSVSTMVHNVSGSHKATPTAAVRDLEKNCRNSSYAGCTNCLSALQKLKVHKKDTKESDRERKMYNRDCQLMALTWLLGKNKTLYIPTVSAVLRAVMYSAHPHDIKCSPDQENMPLAVDSMQFDHTSSSEAPSSPLIKFFWSMIVIMIGTQTV >RHN46452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31822457:31826733:-1 gene:gene40938 transcript:rna40938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium/proton exchanger MGSRQIQQEQPWLLENGNPKVLTKEARHGHGRSRTAHGMSSSSLRKKSDHALVSRIRCASLRNVLVNIQEVILGTKLSILFPAIPAAIVAHYLGLGRPWVFILSLLGLTPLAERVSFLTEQIAFFTGPTVGGLLNATCGNITELIIAIFALSSNQIAVVKYSLLGSILSNLLLVLGTSLLCGGIANLGVEQKYDRRQADVNSLMLLLALLCHLLPLLFTFSAASPELTVEPSLYLSRSASIVMLAAYFAYLIFQLWTHRQLFEAEDEGEGESNEAEEEAVIGFWSSFGWLAGMTVFIALLSEYVVDTIQDASDSWGLSVSFLSIILLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQISMFAVPLCVIVAWIMGVKMDLNFNLLETGSLALAIIVTGFTLQDGTSHYLKGLILLLCYFVIGACFFVQRTPNDPNGVNNITIKSVTDSVLSA >RHN71016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55822374:55823578:-1 gene:gene19657 transcript:rna19657 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRERTLIPTFVFWAFLTLITPTLILLSENSKADLELNGNITETMKVRRMIGHTQSNIIRTAPLPAKSVVEDELAPAPAATVSLLPLPTSSHSHHNQTDLILSNRNSTDYDASKVQVQVKQMSNSQLLELSETTPKSNGKNM >RHN40744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20172365:20172721:1 gene:gene46940 transcript:rna46940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MHDRNHSIDLNSAVLSLDYSGVLKIESQNRKPIIIYSSPQPINNTLATILDTGNFVLQQFHPNGSKTVLWQSFDYPSDVLIPTMKLGVNQKTGHNWSLVSWLTPSLPTTTTIMTFSNT >RHN70105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48802973:48806606:-1 gene:gene18654 transcript:rna18654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative omega-hydroxypalmitate O-feruloyl transferase MASTNISTIDELHVTQGEPIRVQPAEETEKGLYFLSNLDQNIAVPMRTIYCFKSESRGNEEAAHVIKDALSKILVPYYPMAGKLIISTEGKLIVDNTGEGAVFVEAESDCDIDKVGDLTKPDPHRLGKLVYDTPGAKNILEMPLMTVQVTKFKCGGFTLGLNMIHCMKDGLCAMEFVNAWAETARGLDLKTPPFLDRTILKSRDPPKVEFQHHEFDEIEDLSNTEKIYEGENIIHKSFLFNPEKLDSLKKKATEDGVLKKCSTFEALSAFVWRTRTSALKMHPNQQTKLLFAVDGRPRFIPPIPKGYFGNAIVLTNSLCKANELLENPFSFSVGLVHKAIDMVTDSYMRSAIDYFEVTRARPSLTGTLLITTWTKLSFDTTDFGWGEPLCSGPVTLPNTEVILFLSHGQGRKGISVLLGLPASAMERFEELVMIE >RHN51642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21521201:21527173:1 gene:gene36123 transcript:rna36123 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALEKIYSLKGFSTKENDIQDLDISLQALTEIDHRLAYSSEKLVNLHILYIYLLARENDLEEIDSKNTCDLENSFEKAMMFDLLSGILDFEATELDNFMDTLHEEIVDARHKILSCRHLTEVFFIMDEKLQDSEESEKQLQQQLLELKIQSSQLQKSLVAFQHENWEMGKALNLLENSHVSNVKVKTKDQRMVEQQRYILRMLEKSLARELDLEKKLAESRNNEELKMKLRYTEQVAFYMEETAEVVWGRFLEADNATEVLMGISKSIMGRLQVTEFNLNGYMQRENELKLNIQILIEQLKAKDAALEKNGRCNVNVDDIQENTEVLDLREKMKILEEEQKNFEVQINSLSEENEACHEQLIEIENFAESLKESIDIAENRAESAEAKVTQLTETNLELTEELNFLKGSASTAEKKVGSLEKQLRELDIQLQNAKASSEAGQEQQNMLYTAIWDMEILIEELKSKVSKAESNKDSAEEQCIVLSENNLELHKELDLFKSRMLCMKTSLDHASSTKLSSAKEIDTKTKFIMDLVMQLATERERINKQLDALKQENKSLVGKLKETKIGACNNGLINRNEDQASNIDSSNDSSTKSFDGEGMEHFNKTFQVGEQSEVATSSVSANKPTNWRNIFLFWTIFTPLVSVLVFCLLDKSKFSFLKDFVG >RHN42625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39788614:39796278:1 gene:gene49089 transcript:rna49089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetate--CoA ligase MGKKSIMELGVDDFVKAGLSPTEANELKEVLLSLPLSSLSSADTWRHLVSRKVLKPSYPHPLHQLLYYTIYNHHHHSSSSPPPLYWFPSLEQARRGNLGCFMEAHGSELLGASSYKDPITSFPLFHNFSVQHPDVYWSLVLKELSISFIEPPKCILDTSSDPSKHGGKWLSGSVLNIADCCLQPSSHPNKPDDSIAIVWRDESSDNSEVNRITLKQLRQQVMLVANAIDANFLKGDAIAIDMQMTANAVIIYLAIVLAGCVVVSIADSFAPKEIATRLRVSNAKGVFTQDFISGGGRKIPLYSRVIEAAASKVIVLPVIGDDVAVQLREQDLSWKDFLSSGSQNSRSDHYSPVYQSIDSVTNILFSSGTTGDPKAIPWTQLAPIRSAADGWAAIDVQVGDVYCWPTNLGWVIGPTLLYHCFLNGATLALYHGSPQGHGFGKFVQDAGVTILGTVPSLVKSWKSTRCMEGLDWAKIKLFCSTGETSNVDDDLWLSSKSYYNPIIELCGGTELASSYITGSPLQPQAFGAFSTASMTTGFVILDDNGVPYPENVPCVGEVGLFPVSLGASDRLLNGDHDEVYFKGMPLYKGKVLRRHGDIIKRMVGGYIIVQGRADDTMNLGGIKTSSIEIERVCDRADECILETAAVGVATANRGPEQLVIFVVLKEGYNSNAENLRMKFSKAIQSNLNPLFKVSVVKIVPEFPRTSSNKILRRVMRDQMKHELSVQSRL >RHN69141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41306690:41307507:1 gene:gene17573 transcript:rna17573 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVLIKNLQLHPHQRMMFQRLIQTLGMLTGVTLMTLMTQFNRY >RHN46823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35147663:35148052:1 gene:gene41354 transcript:rna41354 gene_biotype:protein_coding transcript_biotype:protein_coding MFPISTRLEISNCNCPYFFLCRTLLKFYYFLECYLTPSLGQLNTLINYDLETCLGMIICLGARYLLSRIFEVVTPAMCVEYFSAICFLPVVAPLLVLQNCAFSNMLGAFLVRSILFLQNKISQPKLWLR >RHN74364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32219609:32225818:1 gene:gene10415 transcript:rna10415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MANSEWSQIFAITLATIFLCNNNFTFATDPFVQQEQDRIDRPLPGQNFNISFEHYSGYITVNEDAGRNLFYWFIQADHVDPTSMPLLLWLNGGPGCSSIAFGEAEEIGPFHINSDSKTLYLNPYSWNQVANILYIDSPVGVGFSYSKNSSDILTNGDKRTAEDNLIFLLKWFERFPQYKNTDFFISGESYAGHYVPQLSQVIAKYNLETKQDSINLKGYMVGNALTDDFSDQLGMFQFMWSSGMISDQTFKLLNLLCDFQPVEHPSDSCDKIWDIAYEEMGDIDPYSIFTPPCHVNDNQLDKRKHSFGRLRSVYDPCTEKHSIIYFNRPEVQRALHVDPDHKPDKWQTCSDVVGTNWKDSPTSVLNIYRELIPTGLRIWIFSGNTDAVIPVASTRYSINALKLPTLSPWRAWYDDGEVGGWTQEYAGLTFVNVRGAGHEVPLHRPKLALTLIKAFLEGTSMPTLEPRTLTAAI >RHN52662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37491170:37496455:1 gene:gene37357 transcript:rna37357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exodeoxyribonuclease I MGIKDLLRFMKPYVEPIHIKNYAGKRVGIDAYSWLHKGAYSCSMELCLDSNSERKLRYIEYFMHRVNLLRYYNVTPVVVFDGGNVPCKAATEKERNKKRNTNRELAMAKLKEGNVNAASELFQRAVNITPVMAHKLIQTLKSENIEFVVAPYEADAQLAYLSNLETEKGGIAAVITEDSDLLTYGCPAVIFKMDREGNGERIELEKVFSAESCKPSFQSFNMKLFTGMCVLAGCDFLPSVPGIGVARAHALVSKYRNIDRILSVLKLEKGDQMPEDYAKSFKDALAVFEHARIYDINTKELKHMKPLPESFLESLDEDLNFLGPEIPSTIVKAIAEGNLNPSTKEAFDKSECSRLPLHPIDPHTIGQLKKSEVPTIKQDNCFSIFGSQNTNKNCTVTRLSDKDKYSNEVTALQKLIMPLETNETMTKPFTFDETHLKVPNNNPFRIRKHEEEISLVQKEETMEEISIVSSGEYIDLNDYMSPINFQEEGSINLLKKRKFENVCLDKVEVTDEQVSGVTEVENCDGLCLNMESQESVKSKIRNSSDLKGSGGSEKKSKKKKESNIRTILSFFSKV >RHN76601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51570486:51574442:-1 gene:gene12951 transcript:rna12951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-ketoacyl-[acyl-carrier-protein] synthase III MANASGLFSTPYTPNFRVTLPSLSTTHRFQAFKVFCSGTIEGAAASTLPPSKSPKPRFLIQGCKLVGCGSALPSLQITNEDLSKVVDTSDEWIYARTGIRKRRVLSGEESLTTIAGEAAKKALEMAKVHPDDIDLILMCTSTPDDLFGSAPQIQQQLGCTKNPWCYDITAACSGFVSGLLSAACHIRGGGFQNVLVIGADALSRYVDWTDRGTCILFGDAAGAVVVQACNIEEDGLFGFDVHSDGSGVRHLNAGIKVDESNNAFDSNGSLRGFPPKKSSYSCIQMKGKEVFRFAVRCVPQTIESALEKAGLPVSSIDWLLLHQANQRIIDAAATRLEIPSEKVISNLADYGNTSAASIPLALDEAVRSGMVKPGQTIAAAGFGAGLTWGSAIVRWG >RHN39074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3946481:3950222:-1 gene:gene45067 transcript:rna45067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PUB domain-containing protein MAVPKVNEKLIEDLEEMGFPHARATRALYYSGNTSLEDAISWMVDHENDTDIDEIPLVDVDIDIDTSPSFPITEEMRMKAQYLREQMRERKKNEEKIMEREREKERIQSGKKLLEAKRIAEENERKRNLSLRKAEKEEEQRARSDILKKLEQDKLNRRSILGLPLESQANVRSPAIVIKQEKISKPVYTTTKVEHLRECLRSLKREHKGEDARIRRAFETLLVYVGNVVKNPNEEKYRKIRLSNPLFQDRVGSLYGGVEFLELCGFERTGDFLYLPSEKVDMELLSSAGFVLNSAMTNPYFGLLSTC >RHN69365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42929859:42932284:-1 gene:gene17821 transcript:rna17821 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDVIVIWTSVYCCSYCMMGFMSIVEDCLKVVNTPSTKSSGKRREKGI >RHN56843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34146331:34147392:1 gene:gene32302 transcript:rna32302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSSSEPKYDTPPAPTYKTPPALVAFTLTVLILCFVAFSVVYVCKYCFAGFFHTWALQRTTSGSLVRLSPDRSPSRGLDNTLLEKFPTFLYSSVKDLRKEKSYSLECAICLLEFDDDSMLRLLTICCHVFHQECIDLWLESHKTCPVCRTDLDSPPNQMSKHGEGNHNNNNNNLNVQEGMTSLPCDDIHIDVRGEESDNVGEITRAQVHEGDQHDHHVGMSMQQQEDHRFSRSHSTGHSIVTIRGEEKDHEKYTLRLPEHVIRGGHNYTRSCTNYNEMKLTIPTPCSNCGFVKPVIGSSSLACAQEA >RHN55080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14995288:14995950:-1 gene:gene30208 transcript:rna30208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAEAVLELALDNLTSLIQKNIGLFLGFEQDFKNLSSLITTIKATLEDAEEKQFTDKAVKVWLLKLKDAAYVLDDILDECATNARELEYRGSMGGLHGKLQSSCVSSLHPKQVAFRYKIAKKMKSIRERLDEIAEEKTKFHLTEIVREKRSGVLDWCQTTSIISQPQVYGRDEDKDKIVDFLVREASGLEDLCVCPIVGLGGLGKTTLAQLIFNHERVVQH >RHN55751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22559643:22562036:1 gene:gene30993 transcript:rna30993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L12 family MKIIAAYMLAVLGGNSAPSADDIKTILSSVGVEAEDSNIELLLSEVKGKDFAELIASGREKLASVPSGGGAVAVAASGGGAAAAAAPAAEAKEEKKVEEKEESDDDMGFSLFD >RHN52217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32773730:32778353:-1 gene:gene36865 transcript:rna36865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol dehydrogenase MASSSTPQVITCKAAVAWGAGEALVMEEVEVSPPQPLEIRIKVVSTSLCRSDLSAWETHAIFPRIFGHEASGIVESVGLGVTEFKEGDQVLTVFIGECMSCKLCKSGKTNICQVLGLERKGLMHSDQKTRFTVKGKPVYHYCGVSSFSEYTVVHSGCAVKVSPHVPLEKICILSCGVSAGLGAAWNVADVSKGSTVVIFGLGTVGLSVAQGAKLRGASRIIGVDNNPQKCENAKSFGITEVVDPSLYKEPIAQVIKRITDGGADFCFECAGDTDMITTALQSCCDGWGLTVTLGVPKVKPEMSAHYGLFLTGRTLKGSLFGGWKPKTDLPSLVEKYVNKEIQIDDYITHNLSFDEINKAFNLMKEGKCLRCVIHMSR >RHN58954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6210874:6211401:1 gene:gene20919 transcript:rna20919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQVGKNMAKTLKMVYVLVLFLFIFLSIIACNSSFITFRDSRCKTDKDCPNIPMATGRCRKGHCVLV >RHN72159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5465494:5469802:-1 gene:gene7840 transcript:rna7840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MERLQRMFAGAGGALGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRRFDTHSKTNEQTVQEMLSLATKYNKAVQEEDELTPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGMMLDTVVF >RHN53095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41973110:41974025:1 gene:gene37857 transcript:rna37857 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTVTIIRISFIHFLLLINGQALFRFYVFYLSDEFVEFYCLSGVKLHLLSHGFVRVRPYLRGLVHSPPRIEWQPYFGVSTQVSVYGRQSKIHFRARGTCRSYSLIRIAINEGH >RHN81932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49891109:49894939:-1 gene:gene6030 transcript:rna6030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MTTTNGDDNENSLELDKEKKNKKKKAKSEEEFVVMENKVEDPNGISKFKISEPLREKLKEKGIESLFPIQAMTFDIILQGCDLVGRARTGQGKTLAFVLPILESVTNGKAKETNAKTKETNGNARESRKGGYGGPPKPSVLVLLPTRELACQVNADFEVYGGAMGLTSCCLYGGAPYKAQEIKLERGVDIVIGTPGRIKDHIVRGSIDLSQLKFRVLDEADEMLRMGFVEDVELILGKVKNVDQVQTLLFSATLPEWVKNIAKRFLKEDKQTADLVGNTKMKASTSVRHYILPCTGAARSQLIPDIIRCYSSEGRTIIFTETKESASQLAELLPGARALHGDIQQAQREVTLSGFRYGKFMTLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYDPRRSNIPKIERESGVKFEHISAPQANDIAKAVGREAAEMIMQVSDSVIPAFKSAAEELLNNSGLSVVDLFAKALAKAVGYTEIKKRSLLSSMENYVTLLLENEKPIFTPTFAYKILRRFLPEEKVEAVKGLTITADGNGVVFDVAAEDLDTYLAGREFRLNHHF >RHN53307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:866805:867378:-1 gene:gene28198 transcript:rna28198 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLHPVAVKQVDIHGTVASFAVSGWLVTLLPFPFLHAQDQVSTTIFGSLQVLQLIALLSEFPEHYFLQHLIW >RHN50465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7312477:7316568:-1 gene:gene34748 transcript:rna34748 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPNHLSDDRGSPPHHFRHTPLQIIHVAANFLRIWSIYSMFRYLSQTGASVVLFLFACLAPAAILFLILQKPWKGRPLSNTQVVPSIINGFITALYLVLWGKGLKSCGPVRAILGEYSGAVLGVLSGVLYGRRSNLWKKIGGLIAMCASFYLLSEGWATATYFPFYILTQCYFLS >RHN57370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38435127:38439943:1 gene:gene32903 transcript:rna32903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LYK3 MNLKNGLLLFILFLDCVFFKVESKCVKGCDVALASYYIIPSIQLRNISNFMQSKIVLTNSFDVIMSYNRDVVFDKSGLISYTRINVPFPCECIGGEFLGHVFEYTTKEGDDYDLIANTYYASLTTVELLKKFNSYDPNHIPVKAKINVTVICSCGNSQISKDYGLFVTYPLRSDDTLAKIATKAGLDEGLIQNFNQDANFSIGSGIVFIPGRDQNGHFFPLYSRTGIAKGSAVGIAMAGIFGLLLFVIYIYAKYFQKKEEEKTKLPQTSRAFSTQDASGSAEYETSGSSGHATGSAAGLTGIMVAKSTEFTYQELAKATNNFSLDNKIGQGGFGAVYYAELRGEKTAIKKMDVQASSEFLCELKVLTHVHHLNLVRLIGYCVEGSLFLVYEHIDNGNLGQYLHGIGTEPLPWSSRVQIALDSARGLEYIHEHTVPVYIHRDVKSANILIDKNLRGKVADFGLTKLIEVGNSTLHTRLVGTFGYMPPEYAQYGDVSPKIDVYAFGVVLYELITAKNAVLKTGESVAESKGLVQLFEEALHRMDPLEGLRKLVDPRLKENYPIDSVLKMAQLGRACTRDNPLLRPSMRSIVVALMTLSSPTEDCDDDSSYENQSLINLLSTR >RHN66043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7917732:7918934:-1 gene:gene13954 transcript:rna13954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGLCFSSTRVVSGSNCKQTNRKPNSTTKTETVTLTETLTLLSLQAAPLPTLPFDLVAEILCRIPVKLLIQLRCLCKCFNSLISDPKFAEKHLRLSTKHRHLMLCSWNNPYYKFFVYDFPIHSVFSTSSVTQTQLTYPISLKSTYGAPLAVCSCAGILCLTMRQGSAVLWNPFIGMFKILPTLNNRVPFYPLYSFGYDHFINNYKIIAISFFNEKYETRVYTLGTDSWRKIQDFPHLSLYHPSGVFVSGAVNWLVYDGFRSFIIVSLDLEKESYQYISQPVSEMSLYTLGVLKDCLFFSASNIGDMFLGIWVMMEYGNKESWAKLYHVPFMVDPGLGVFIEVLHVYEDDQLLLDFYELGSNEKKLVVYGSKTGTFKIHKIQNADRRTDSEVFLGSLISPCS >RHN75269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40773779:40775164:1 gene:gene11444 transcript:rna11444 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSYLYTIHSQSTKISNPSSCIHAHTKTKFTSIPFHTHYQCKHYHISCIPQNPTVPLKATSTSIQSSLSSPNPPISKEDAILQAKTSLSTTLEKPLNNPKLIGKIKKLKQPRFRVEIPVIDDSPDSVSKLALDIFGDIALKRKGSPIKILIIWPNPSLKKAADVAFESQARNQVEHIDIVSVDKTDPRILSSSDVAVFLVPESSKLSVVKMVSEAFNPKPVVLLNPKWAFEEESNFGDLSGFVSSFEVVYSFMGLEVRGILSKRKGVIFKCVRDGVVSGEKWNVLVEEGEEMKVVSSFKVRPTIGEVEIVLYNLMAVNSPITKSAKFIKGLVSNVTGKK >RHN74245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31040776:31041057:1 gene:gene10277 transcript:rna10277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MQPKMFIFKVFMVIYLLTLQILLANSYCTKSLVPALYVFGDSTVDAGNNNNLNTPAKVNIFPYGIDFNNCSTGRFSNGKTFADIIGKFEFSYV >RHN54647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11019833:11021024:1 gene:gene29717 transcript:rna29717 gene_biotype:protein_coding transcript_biotype:protein_coding MEILVFHIIFYVEPAHTSQAYPCSQYMPSVSPSLPLWDRT >RHN70242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49954568:49956238:1 gene:gene18803 transcript:rna18803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MASARTQLKESMALTKKEVSNHIPRDISFLILSKLPVKSLKRFTCVCKFWANFFEKPQFMSMYLSKYDDHHNSRLLLKQTPSFFDYDDHDYLFLLLGETFENSVKLDWPPPIDVDREGILIAGSIVNGILCLCQGNGRGDTTWIAQKVVLWNPSTEEFKVIPNGSFEHAILKAFPPGTVFEDLPVINTIVNIHGFGYDPVSDDYKLIRCFCFFDNPEKRDPNDEILWQIYDLRSNCWRDLQVEMPSHLWTDMWQETGYAVYLQGMCHWWGYEDYFGDEVLVSFNLSNEVFITTPFNHNYGRFAKHMVVLKESIAMIEYQDSSCLYISILGEFGVADSWTRLLKIGPLPGVLEPIGVGKNGDIFYINYDEEVARFDLNAEVIEDMGVNGRHRCSQMVIYNESLLPIGGMRG >RHN59072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7299766:7303512:1 gene:gene21059 transcript:rna21059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MFFINPNPSMSSFTTDSKRKSYIVYFSFCDKDAASFVSHLYTALRSEDGIVVFWVDYEMRGNGEIPIPISMLKVIEHCQVAIIVFWVNSVFHPCNICYFRFSSL >RHN54326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8702787:8706001:1 gene:gene29339 transcript:rna29339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthine/uracil/vitamin C permease MAQNGGGDDNKTANEGGGKNAGGGSKVEEVKPAPQPVKEQLPGIQYCINSPPPWRQAVILGFQHYLLTLGITVLIPTIIVPQMGGGDAEKTRVIQTLLFVSGLSTFFQSLFGTRLPIVIVGSYSYIIPIISIVQASRYNAYTDPYERFTMTMRGIQGALIISSSFQMAIGFFGFWRNAVRFLSPLSVVPYVTFAGLGLYQLGFPMLAKCVEIGLPALIVMVFISQASSYFCGIANSMLQKYLHRYIPAVKSINDRFAVLFTVTVIWLFAQLLTSSTAYNHKSESTQTSCRTDRAGILTTAPWVYFPYPFQWGSPTFNVLEAFAMMAASLVSLFEYTGTSYAAARYGSATPVPPSIISRGAGWVGVGALFSGMFGCVTGTTASVENAGLLALTKVGSRRVIQISAGFMIFFSVFGKFGAFFASVPLPIIAALYCILFGYVSSAGLGFIQFCNLNSFRTKFVLGFSFFLGISLPKYFSQYFHVKHEQESPRWLYDIISVIFMSHITVAALVALILDLTLTREDDAAKNDSGLKWWEKFTLYNGDVRNDEFYSLPCRLNELFPAL >RHN46524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32473653:32479036:1 gene:gene41017 transcript:rna41017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 43kDa postsynaptic protein MASLSRPFMTMLYGGFVESRREKIIFSLNDFSVEVMRAVEVFSRTKRLSQFGNDLVLGMLSFANRFCCTDMKAACDAHLAALVLDMDDALLLIEYGLEEMAYLLVAACLQVFLRELPSSMQRLSVMRLFCSVEGRDRLAAVGHVSFSLYCFLSQVAMEEDMKSNMTVMLLERLGECAENGWQKQLAYHQLGVVMFERKEYKDAQNWFEAALKEGHIYSSVGVARAKYKRGHTYSSYKMINSLISDLKPVGWMYQERSLYCIGKEKAMDLISATELDPTLSFPYKHRAVFFLEENKIGAAISEINKIIGFKISPDCLELRAWFLIAMKDYERALRDVRAILTLDPNYMMFYGNMKGDRLVELLRPVAQKWNQADCWMQLYDRWSSVDDIGSLAVVHQMLENNPGKSILRFRQSLLLLRLNSQKAAMRSLRLARNHSSSAHERLVYEGWILYDTGHREEAIAKAEESISIQRSFEAFFLKAYALADSCIDSESSKSVIDLLEEALKCPSDGLRKGQALNNLGSIYVDCDQLDLAADCYKHALNIKHTRAHQGLARVYHLQRQPKAAYDEMSKLIEKAQNNASAYEKRSEYCDRELAKNDLSLATQLDPLRTYPYRYRAAVLMDDHKETEAIAELSRAINFKPELQLLHLRAAFYESMDDYVSTVQDCEAALCLDPSHAEVLEICNRARQKIIDGK >RHN49140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52765069:52766396:-1 gene:gene43937 transcript:rna43937 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPETDNVYGEALQIDLNTESPSAGKLAAKQTIDLAFPIDVCPVSDTDSEVPIPSGSRPAATVITSASVHQALGLADVSRWAAMPVLSPVPMHVPQNKEQLVCNITKQADTNILQANNKLFSTVVYPSSTVLLDKVICDQAAKVNGPGKPIEDSKQEELTLEGIPIEGSNNATQIEALDHNKFEVTQRDKGWEAREKSLSSHNDMCDSLVTCTAMAMPITSYSALTLDKRPFAAHTAMANAPSKKAMESVRVLKKFWGDLSSDDQESEVGSDRYVVVITEKDEEYTPYTSKRQKKKEKLQMTRVNSNEEIQTRSKKGAKKDIQ >RHN65281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:824415:825302:-1 gene:gene13103 transcript:rna13103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MQTKVRYPPILNVGYFKAVGSCHGIICVLIQHYVVFWNPSFGKFKISRILLDFVRNYGFGYDHLSDNYKVVAAYFYDGESDSTDSDDDEEEEEEEVFKKTKVVVHTLGTNCWRRIKMFPCASGVPVGESSGTFVSGAINWVTSKDGSEFNSLFFVVSLNLANESYQEIFQPDYGDLNVVCLTLGVLRDCLCIFAHGELFIDVWIMKEYGKEESWSKLFTIPFANAEDLGLYPCNKATYIYEDEQVLLVSRAKYISKPKLVVYDSKRDTFKLLNIKNIEKRMVPTVYVESLITTCS >RHN60608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28749178:28755543:-1 gene:gene22948 transcript:rna22948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative post-transcriptional gene silencing PAZ-Argonaute family protein MSRRGGANHPDPRRNQPSPAISQSSVNAAGSGRGGRGSRGGHTVPSPSSVPSPVPVTAASSTAAPVVAGSSSAPTRPSPALASATVSTEVLSSEVEKLTLESTPAAASAPSSQKAVRFPNRPGIGRLGRKIQVRANHFQLQVVDKDLHHYDVSFAPEITSKKVSRDVINQLVKMHQESMLGNRIPAYDGRKSLFTAGPLPFTSKVFVINLVDENKGSSSGSDKKKREREFKVTIKFASQTDLNHLTQFLRRLQLDCPYETIQALDIALRATPSEMYTVSGRSFFSPSLGAQGPLGGGTEYWRGYYQSLRPTQMGLSLNIDVSSRAFYEPIRVTDFISKNFKLNFSRPLSDQDRVKIKKALRGIRVRTSHGGNIRSYKVTGVSKEPLRDLMFKLDDQVTRKKISDYFYEKYEIVLQYPLLPALQAGSDTRPMFIPMELCQIESGQRYSKRLNEEQVTNLLRATCQRPQQRENDIKKIVTQHRFNADKVAKEFGINVREELALVDARVLPPPMLKYHGNGGDSKIEPRMGQWNMIRHKVVNGGTVQFWSCLSFSRLNPTQFCAELVNMCQAKGIIFNRDPLVPIAPANSNQIEKELEKLDKNCKAKLNSTNPKDRLQLLIVILPDSKGRFYDDIKRVCETRLGIVTQCVKPNQAAKLSKQYLENVALKINVKVGGRNTVLYDAIERRIPLLTDVPTIIFGADVTHPQPGEDSSPSIAAVVASMDWPQVTNYRGLISAQSHREEIIQDLYKTTEHPQRGIVHSGMIRELLLAFYRKNGSKPARIIFYRDGVSEGQFSQVLLYEMDAIRKACVSLESNYLPRVTFVVVQKRHHTRLFPTSNDQTDRSGNIMPGTVVDTTICHPREFDFYLNSHAGIQGTSRPSHYHVLFDENGFSADQLQSLTNNLCYTYARCTRSVSIVPPAYYAHLLAFRARYYLNEVDTSDSGSASGNRSTTNFVSTLPSIMENIKDVMFYC >RHN56948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35166367:35166813:1 gene:gene32418 transcript:rna32418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MAEDPQDVADRERIFKRFDANGDGKISSAELGEALETLGSVTGDEVKRMMEEIDTDGDGFISYDEFTEFAKANRGLVKDVAKIF >RHN43334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44998328:45001425:-1 gene:gene49891 transcript:rna49891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ammonia-lyase, Serine racemase MEEESQIPKGRYAADISSINEAHARIKSLILKTPVLSSTSLNAISGRQLYFKCESFQKGGAFKFRGACNAVFSLNDEDASKGVITHSSGNHAAALALAAKLRGIPAYIVIPKNAPTCKIENVKRYGGQVIWSEANMRSREETANKVWQETGAIFIHPYNDGRILSGQGTISLELLEQAPQLDTLVVPISGGGLISGVALAAKSINPAIRILAAEPKGADDAAQSKAAGRIITLPETNTIADGLRAFLGDFTWPVVRDLVDDIITVEDSEIVKAMQLCYEILKIVVEPSGAIGLAAVLSESFQKNPAWKDCKHIGIVVSGGNVDMAVLWDSLNK >RHN75237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40472978:40473310:-1 gene:gene11410 transcript:rna11410 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFFFIFCCVDMFENPHRCRLGKETMTSRARRERVDVNPITKSGHDRRSFMEGTSQKDAPQIVEPPQDEYYVTIEQYFNATKEHCSYKEVEHPEKHGLLVAPQHLVLFS >RHN67175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24596389:24600166:1 gene:gene15329 transcript:rna15329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MSHFFIVSLVTLFFLCFLEKRFANETTWEERYHLVSISSLLPSSSCSFTKGPKRKASLDVVPKHGPCSQLNNNGKASILPTHSDILSLDKERVNYIHSKLSSDNLPAIYGNLIGTGNYFVVVGLGTPKRNLSLVFDTGSDLTWTQCQPCARPCYTQQDEIFDPSKSTSYCNISCTSSDCTQLISSTACKYGMVYGDGSFSEGYFSRERLTVTPTDAIDGFFLGCGENNQGLFGMSAGILGLGRHPISFVQQTAKKYNKIFSYCLPSTSSVVGHLTFGATDNYKVANNTVKYTPLSTISRSNSFYGLDIVGISIAKTKLSISSSIFSSGGAIIDSGTVITRLPPSTYASLRNAFRKEMAKYPAASGFSILDTCYDLRGRNNVVVPKISFVFGGGVTVELGAHGVLFTVSSRQVCLAFAANRDDSDITIFGNVQQRTLEVVYDVGGGKIGFGPNGCK >RHN56035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27042617:27043852:1 gene:gene31354 transcript:rna31354 gene_biotype:protein_coding transcript_biotype:protein_coding MCERGEKRFTFWHVALSFPEPLIHFALVCGTRSGPALRCYSPRDIDSELMDATRSFLRNGGISIDFNAKVAHTSKILKWFSVDFGKNEVEVMKHVSIYLDSSQSEILFDLLATSELKVIYQPYDWDLNC >RHN61164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33576022:33578834:-1 gene:gene23600 transcript:rna23600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein ENHANCED DISEASE RESISTANCE 2 MMAGPKDQNKSEWIDRIRSGGAIPFLDPGKCSNGWGSPPGDAFMVRGPEYFTTKVKIPGSDYLLKPLGFDWITSSTKIGEILKHPNSRVRKVIENEFPDGDRPFVWAFNLQLPTKDNYSAVAYFTTKEPIAEGSVMDRFLKGDDAFRTSRLKMIANIVNGPWIVRKAVGEQAICIIGRALACKYCVTENFMEVDIDIGSSMVASAIVHLAFGYVTTLTVDMAFLIEGQTESELPEKLLGAFRFSNVDPASARPIEPSSVSGTVSLQKSFPTRLWNSIGQILGSQEDGSTSNSQNSNKLV >RHN50274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5735836:5738819:1 gene:gene34546 transcript:rna34546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLCFGYKFLFCLVSFLCFNVLCAESFHTNKCVETERRALLKFRDAINLNRDGISSWKGEECCKWEGILCDNFTHHVTSLHLILLGFGGKLDSSICELQHLTSLNLFGNQFEGKIPKCIGSLDKLIELNLGFNYFVGVIPPSLGNLSNLQTFDLGLFNYLTANDLEWLSHLSNLRCLDLSYVNLTLAVDWLSSISKIRYLYELNLNICGLHQVNPKSIPLLNTSISLKSLDLSYNELQSSILKSFRNMSQLQNLDLNSNQLSGKLSDNIQQLCTTKNDLRNLDLSNNPFKVMSLPDFSCFPFLETLSLRNTNVVSPFPKSFVHLSSLSLLDLGFNQLNGSQPLFEITKLVSLKTLYLSHNNLSGPFPHTIGQLSDLNELRLSSNKLNGTINETHLSNLSELKYFDVTQNSLSFNLSSNWVPPFKLETLHASSCPLGPKFPTWLKHQRGLADLNISNCGISDSFPKWFWNLSSSLRYLNVSHNKLNGPLPKSLPSLNVNYDHFRVWDFSFNNLNGLLPPFPKLDALFLSNNMFTGSLSSLCTSSSHSLRYLDLSCNLLAGKLSDCWKKFQSLEVLNLANNNLSGKLPNSLGALRQIESLHLNNNKFSGEIPSLILCQNLKLIDVGDNNLQGSLPMWLGHHLHQLIVLRLRANKFQGSIPTSMCNLSLLQILDLSQNNITGGIPECFSHIVALSNLKFPRYIFHYWSVQVSDDGEVYEIGSFNDKEILTLKGYSREYETNLGYWTTIDLSCNHLTGEIPEGITKLVALAAFNLSWNNLKGFIPSNIGHMESLQSLDLSRNHLSEGNIPISTQLQTFGPSSYVGNSRLCGPPITNLCPGDVTRSHDKHVTSEEDEDKLITFGFYVSLVIGFFIGFGGVCGTLVIKTSWRHAYFKFFNNINDWIHVTLEVFVNRLKKRFQVED >RHN65191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:129463:142843:-1 gene:gene13008 transcript:rna13008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative B-block binding subunit of TFIIIC, winged helix-turn-helix DNA-binding protein MDSVLNAALEEICSAQIEQGITLESLFSKLNSSFPLSPSFKQSIFTNLLNIPTLRFEPPNPNNFNDPNITIFPQQTLTENFFGIYDSQSLQQSQLRVLHLLANAKHNGITQTQLAKQLRIDPNNFFYVLRSLECKGLIVKRSALEKKKQIARVSSSVPLNITTHLVYLRRYAVKPLAEHQRFDIQITQNEEDCQELQTDVRLTDYEPQIKAITDKLATANAKILLVSDIKKDLGYCGSRPKQRAWRQIVARLKTHNIVEQFDAKVNGKIEACLRLLDPITTGSGNEDKNSDSGNICQATDQFVELPIEHQIFDIIDTAGSDGITVKEICDRLQIDLKKNHIRLVNLCYRFGMKVQEEQCLKAKTIRVWTSRNFNPELEVPFIHKLDENKNLDQHVPDSSSKIRTESEASTFKGGLAGPDKLEDTGAGTKLLCASRKNIESNSVETPANLQESALDQRGTSSHSKPDSSPMGANIALSEASPSDVLAQFSAGSYPRNTSLTADSTKRAIRILERLKDERFVLRPELNRWLNTFEKGKSKKVDRKTIDRILTKLQEQGQCKCIKVHSPVIAEYSRTTDCVVVVHPSISLSPELFDEIRDKVRSFNNYIRSKSIRPQKNDELIPVMEDIQNTKSPIVPSRQADKAEAMRANGYILAKMIRAKLLHCFLWDYLHRSEDRSDDISSNWLADNPHSSSKRFSLDAAIKAIPVELFLQVVGSTKKYEEMIDKCKMGLCLSDLPPNEYKCLMDTLATGRLSLVIDILRRLKLIRMITSQSRDGDKTPQTLTHMMELRPYIEEPLSNDAASLNFISLDLRPRIRHDFILSNRYAVDEYWQTLEYCYAAANKKTALYAFPGSVVHEVFRFRAWASNRLMTAEQRAELLKHVTKQDLSEKISYRDCEKIAKDLNLTLEQVLSMYYSKRRHDLNQLNDEESENNSLEPKGYSSCRRKKDSPELRPAKHARIDAATDVMHNQIGEQHNMGIHSGEQVVHNQEFEEGNYEIEGSQDCSPCISQSILTAMTPKPPRQTRFIWSDKTDRQLVIQYVRHRAVLGANYHRIDWASLSDLPAPPRSCMRRMAFLNGNLRFRKAVNRLCSMLSERYAKQLEKSQNLSSNKDDCRLFVQSQSSKGAIPDVDIQMSSLNGEAWDDFENKSMKTALDEILRCKMMAKLDAASQNVQSQYEDWNRYESQESEKTTSASPSEIIQSNHGKPNAFSSQRSHLDMKFSRFLDNRPSIYGQVYESLAVSNAVELFKLVFLSTATSPQAPNLLADILRHYSEHDLLAAFNYLRERKIMVGGNSSDERFELSLRFLQSVSKSPFPFDTGKQAVKFSVWLNERDKDLTGMKTDLPEDLQCGDTFHLFASISSGEFSICPRLPDNGVGEADDLRSGKRKSDASGSSFRDKAKKLKSSFGAEGEIISRREKGFPGIVISVSRSTVSKADILDLFKDNDNNIKDQHFDGNYQLNMGQSSNYPLTDHMLETFNSCDPVTEERNHIESPWEAMAGYTRRLMTVPSDQEQECPVCAQVFVVVYAAIQKAGDQGLSMGEISQVINLPGADVDELIVDALQAFGKALKVNGYDSVRIVDALYRHKYFLTAVSGLHPVVQPSSNKTIKKSDNTCSVSASADVLRERNSGLDNVHKVTILNFPHEDVDPENKACDRNEGCMQDRPGSSRGDLEKEMVKFPSDELCMPILPWINGDGTVNSIVFKGLRRRVLGIVMQNPGMLEDDILRQMHVLNPQSCKTLLELMVLDKHLTVRKMYHSRFAGSPSMLQNLIGSKSCQQKGICAEHFFANPMSTSLL >RHN60086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:19477696:19478992:-1 gene:gene22305 transcript:rna22305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-l-methionine decarboxylase leader peptide MESKGGKKKSSSSSKSSSLFYEAPLGYSIEDIRPNGGIKKFRSAAYSNCARKPS >RHN40009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12250176:12253707:-1 gene:gene46098 transcript:rna46098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MASSSSSSPHKKHDVFISFRGEDTRANFTSFLHAALCKNHIETYIDYRIKKGEEVWEELEKAIKASALFLVVFSENYASSTWCLNELVEIMKCKKNDEDNVVVIPVFYRIEPSHVRKHTGSYQTALAKQKKQGKDMIQRWKNALFEVANLSGFDSSTYRTENDLIEDIIKVVSQNLNQKYTNELRCLFIPDENYSSIESLLKNDSSEVRTIGIWGMGGIGKTTLAATIFQKVSSIYEGSCFLENVTEESKRHGLSYTYNRLFSKLLGEDHGIDTTKVISSIVMKRLKRMKAFIVLDDVRTLELLENLIGVGHDCLGAGSRVIVTTRDKHVLTGGGIDEIHQVKEMNSQNSMRLFSLNAFKKILPNEGYEQISNNVVSYAKGNPLALKVLGSFLRTKSKREWDSAINKLKEIPNAEIQKILG >RHN52951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40674078:40675175:1 gene:gene37693 transcript:rna37693 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVGTNVSIKLEHAVSVEGKTYTVSHYIDGKSVGILRLWITYREDGLVKDLDFIFYEPARSIFTFVINKAENNLLNYYHVLPINQLYETNFNNETSNLWGGITKTDVAYRGVENEGNLLVVQQKRKPGVEKPCAIRVAHYYAYSKQFCFSRQKSEAGLSITVDIRVLNNDLDVSMKDPYEHPSLVFLQMFEQVSRTWIWKWTAWSHCAAQTHMQKFETENNGEEMEWFRQIRGNSISNQGMTIGNQNGNLVYLNSFIFIC >RHN68378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34967044:34967600:1 gene:gene16721 transcript:rna16721 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVIEGSCNDGKELRGDDFSEIDSFGDLEDMSELGMFSFQFEMDKGREKDIYMKRDRRYGLFNLKQQGNEQKRRVDCEDFRVHGI >RHN65937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6710096:6710965:1 gene:gene13835 transcript:rna13835 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVIARAFLLSFFQVIHERLDSHLGDLIHQNDEGLLKKLEIKLNSINEELDVAETKQCQSPNMRNWLDHSIHEVYEVEQLLDVMAT >RHN47961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43848925:43850332:-1 gene:gene42620 transcript:rna42620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-25/LEA-D113 MLGGAKKTGESIKETAANIGASAKSGMEKTKATLQEKTEKMTAHDPLQKEMATQKKEERVNQAELDKEAAREHNAAATTGHQLGQGGHHTSGTGGAAQTRRQFGL >RHN46203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29592524:29598833:-1 gene:gene40653 transcript:rna40653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEATSITQLLKGFCDTMQWHYAVFWKLNHLSPMTLTWENAYQKSNQPLGSMWGDISFESPDDVYSSREGTDDSGDYSVRLHMIEMSRRKYSLGEGAVGKLALAKDHFWVSCEDIFTGKFDTSLIPECPDEWLLQFASGIKTIVLVPVLPQGVLQFASFNSVAEDLEFITNIKEKFNFIHRLEANCTPLNLGTDSQDWSFSTLSHYLMDSFDESSSASTSFNINASTRFPQNDYGLSREIQLKSLKRANENEMVSSSFETKHIGYVETKSNHLVEEIWPWSHFVDNDGKFGDTSNGLSSYSDSIMTEQLFGSTETNHVDVKNFNFNDFSIVPSMSELDKAIGSVAYRQTGKCIPKYISEEDTYSSSTLMSNKKNLNRIKSFEIPEGIHPEYLLDAVVGNLYSASDETSCRSNSVRSHITMPSEFTGSIQPQINSEESTAFMKNSNVGSDLMPELTAMGNEKFSNQFLSSFDGNSGLLICEAPQEKVNNMKPISGPKLSSTNKKRAKVGNNKNSRPRDRQLIMDRMKELRELIPDGGRCSIDNLLERTVKHMMYLRMVTSQAEKLKRFADREVPERKRQKINGSHPGRSCAFDLESELSWPIVIEDLESAGNMLIEMVCNEHGLFLEIAQVIRRLDITILKGILENRSSTSWACFIVEVPRGFHRMDILCPLLHLLQLRRNPVS >RHN76733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:819126:822449:1 gene:gene103 transcript:rna103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MAIISDYQEETQTSSSSQPKPSKPIPFSSTFDPSNPTAFLENVFDFIAKESTDFFDNDSAEKVVLSAVRAVKVKKAKAVAAEKAKIAAEEKAKVDKAASVAAAEKKAKEVDEKEDDKKDGESGLAAPNQGNGMDLEKYSWTQTLQELNVNVPVPNGTKSRFVTCEIKKNHLKVGIKGQPPIIDGELYKSIKPDDCYWSIEDQNTLSILLTKHDQMDWWKCLVKGDPEINTQKVEPESSKLGDLDPETRQTVEKMMFDQRQKSMGLPTSEELQKQEIMKKFMSQHPEMDFSNAKLS >RHN42802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41087117:41088221:-1 gene:gene49291 transcript:rna49291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MALGRGSALVLLVCFFVLNSELAHAATYTVGGPGGWTFNTVGWPNGKRFRAGDTLVFNYSPSAHNVVAVNKGGYDSCKTPRGAKVYRSGKDQIRLARGQNYFICNFVGHCESGMKIAINAA >RHN49749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:844369:854283:-1 gene:gene33957 transcript:rna33957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MGDYSGLDSDIDWNTDDELEIESFQPSCSTVVPSGQTITAGSVEPSSFAGPSNTKVFDHFISMGFPGEVVSKVIQEHGEENEEKLLNEILTYSVLESSPQQQQPAELDPTSSECAGSSWEDLSEDDFFSDEELPKFDSTNDDTLTKLVKMGFEEEEALVAIDRIGSDSLEALVDFIGAAQVAKAENALLLPEDKPGCSGNPKLKKRSLYEYEVLGKKRPKLEKRTLCEDEEEAQTLNLPNPMMGFGIPNEPSSMITHRRLPENAVGPPYFYYENVALAPKGVWQTISRFLYDVEPEYVDSKYFCAAARKRGYIHNLPIVNRFPLLPLPPRTIHDAFPLLRRWWPTWDPRTKLNCLQTVHASAKLTDRIRKAVESCDDFEEPSETVKKYVLDQCRKWNLVWVGKNKVAPLEPDEVEMLLGFPRNHTRGGGISRTDRFKSLGNSFQVDTVAYHLSVLKEMYPKGVNLLSLFSGIGGAEVALHRLGIPLRNVVSVEKSEVNRNIVRSWWEQTNQRGNLVDFDDVQQLDADRLERLMGAFGGFDLIVGGSPCNNLAGSNRVSRNGLEGSESILFYEYFRILDLVKVMAPRFR >RHN56516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31531147:31531856:1 gene:gene31924 transcript:rna31924 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSRICAILSQFSPVPSLHRSFNIGQPKIVSKSSEVRCLIPSSKHFNLSQPPIVIFRRDCRPPQISSGKGSSSLQQEIFRCSKARLKGSFGNSFNFRKPLTLKYSRQVRFLNIPFGKEVIPSLLSRSRELKFRVKGDIQLKKTRKHLLSFHFQVSTTPLSLVNHLIPPNMGKSSPPYHCEIHHHHHRSKILTSIPELNNITDSGC >RHN63655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52976710:52981017:-1 gene:gene26380 transcript:rna26380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, C2HC5-type METAGQWLEKALVELCAKIETGLGLGLDEEIIKGLVSYCDLAQPRDAKEYLDNIIGQEVGKSVIEEYLRRRGHSEFSTKSNVPTTTLHAYVKPPSVETSTSGSKKRTPKTVTVRGDHAEPNKIAVGSSQGSEIPATSSESRTSHKVNQVSSKKKKAGKTISLAEAAKGSIVFQQGRPCACQARRHNLVSNCLSCGKIVCEQEGEGPCNFCGALVLKEGSSYAGLEESLPPLSETEAAAEAYAKRLVDYDRNAAARTTVIDDQSDYYELDSNTWLSKEEKDLLKKKQEEMEEAERAKRNKVVVTFDLVGRKVLLNQDEVSESQPDNRILRAPDVREVNRIIPNPTLKIQPVFVDLGFSKKSANDRQASKGKQSNKGLSKGLCLEITGRVQHDSKDLKFLQQSQFATDSNGKIWQGPSGNGELHVEDDGECLLDI >RHN78062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11584913:11586104:1 gene:gene1577 transcript:rna1577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTPase effector domain, Dynamin superfamily MQELKRFPTLKNEIATAANDSLERFRDESRKTVTRLVDMESSYLTVEFFRKINLEQDQPNQNPNRNTPNPNMENFTDNHLRKIGSNVNAYINMICDTLKNSIPKAVVHCQVREAKRSLLNRFYVQVGRKEKEQLGNMLDEDPALMEKRLQLAKRLELYKQARDDIDSVAWK >RHN79847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32797208:32809671:1 gene:gene3694 transcript:rna3694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MTRGIQMSVNGDCKELGQRLRDGKNNLNEGVAGEDAEVKCNGVTDGVKVGEGGNGESSSVQQQVPQQQSQGAIICWERFLHIRSLKVLLVEYDDCTRHIVTALLRNCSYEVIEAANGLQAWKVLEDLTNHIDLILTEVAMPGLSGIALLYKIMGHPTRKNVPVIMMSSHDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSRSLEKFDNNSGSNDEQDNGSLGLNNGDGSDNGSGTQSSWTKRAVEVDSPKSGSQWDQIAECPDSTCAQVVHSNAEICREKMVPPVLKECLEKKEQIVKIAGSKHINRFDVGPSKFNEQINRGQMDPNCENQSGKLRCKVLSLSDAVTSPSDSQMQSGEIEALNRRPNFSDIDNKGTNNDEELPSLELSLKRPREVEEAGAAIQDERNVLRRSDQSAFSRYNATFNAKKSPTGCVGSNSPPNNSLEVTKKDSSRDIQSHSSGNPPNQNSNDASNNIDMGSTTNNAFTKSAVIREPAEASTTKFLYQTSAFQPIKNSLMCTSQQVVSHNNEDTTTILAPPKIDKGSATQDFHHHYENHSNVANMQHQLPPEHDAESSKEMTVVAPHCGSSNMVKGPVEGNTGNYSINRSASGSNHGSNGQNGSSTAINAGGTNIDNNNGLAGNSGSGDASGNGSGNRVDQSKNFQREAALIKFRQKRKERKERCFHKQVRYQSRQKLAEQRPRYRGQFVRQSSNGNASEATDS >RHN62079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40565596:40571885:-1 gene:gene24611 transcript:rna24611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain-containing protein MGQNQKSLIYAFVSRGSVILSEYTEFSGNFNSIAFQCLQKLPASNNKFTYNCDGHTFNYLVDNGYTYCVVADETVGRQVPVAFLERVKDDFVAKYGGGKASTAAPNSLNKEFGPKLKEHMQYCVDHPEEVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLHHQAQDFRNSGTKIRRKMWLQNMKIKLIVLAILIALILIIVLPIVLKNK >RHN76545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51128903:51131408:1 gene:gene12888 transcript:rna12888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MGSASSMLTQYDIEEVQEHCNHLFSQQEIVCLYERFCQLDRNAKGFISSDEFLSIPEFVMNPLSQRLLKMVDGLNFKDFVAFLSAFSTKAGTHQKVELIFKVYDSDRNGKVSFKDILEVLKDLSGSYMSDDQREEVLGQVLKEAGYSKDCYLTLDDFIKVLGHSGVKMDVEVPVD >RHN44474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5148313:5150425:-1 gene:gene38597 transcript:rna38597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MGNMPVKYSNHKSSSRKQQCPTSIKELCHQFSLEDLKKSSNNFDETRKLGQSLYSIVYKGYLKHNGENEYPVALERMTLRLGDWMFKKVIELHSQLHHPNLISLIGFCDEKDEKIIVYEYMFNGSLYDHLCSRDLESLSWKKRLEICIGVARGLHYLHTGAKRAIFHCDIKPQTILLDNKMVPKLAQLGISLQEKRSKSKPTMIKLDNDELHNIIGSTGHGYMAPECVRTNTFTDKCDVYSFGMVLIEVAGTNYKNTIFDKMVMLENKDRFLEKSSNPANFLERFPADKIIDPILMRLIAPQCLAVFMDIMKRCLNIEPNERPAMGEVEVELEHALALQEEADCGKSNGDF >RHN55199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16011345:16011868:1 gene:gene30341 transcript:rna30341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MAKLVCAFLFMLVTSFVIQDVYVKCSAACDVRCSATMYKKACLTYCNYCCAKCLCVPSGTYGHKEECPCYANLKTHEGGPKCP >RHN69748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46002313:46007128:-1 gene:gene18261 transcript:rna18261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MGSLDCVPSVDDGMAVLSLNSLPLGFRFRPTDEELIDYYLRSKINGNGDEVWVIREIDVCKWEPWDMPDLSVVRNKDPEWFFFCPQDRKYPNGHRLNRATNHGYWKATGKDRKIKSGTILIGMKKTLVFYSGRAPKGKRTNWVMHEYRPTLKELDGTNPGQNPYVLCRLFKKNDESVEVSNYGEVEQTTSAPLAANYSPEEIQSDPAPITVSTSQVTEEDKQLAVIPDISEETISNVITSVDYHSDGYDAHDVQNQIAKLAAEDDQALNFDMYYNPKDGLLLDDRLFSPPLVHMPQEFHYQANNESDGRCGLQYGTNEINISDFLNYDLNWDQIPCEVSVSHQPNFPMLNVKDSGSGSNSDVEVANMTGMQAAYPHEAIDRRIPFATTPSFSHTFDYSGDDQKSNVVLLQNNFQTAFPSDVNNTGEVYNAVNGYEQPRTCNTIMSSESGIVRRARPTRDEQFKTNPMQGTAQRRIRLSKIEHVSCGMVKVKDESCTQEQHNSKPIIAVEKAAENHASDDESAICTNHACKQRKVPKSIANASKGLRNFLLLGRAPFRSKTSSNCALWSSVFVVSASVLVSLAAFTNIWGYLKF >RHN52627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37215557:37230054:1 gene:gene37321 transcript:rna37321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MGFHLDKVGYGTLINGLCKVGETKAALELLRRVDGKLVQPDVVMYNTIIDGMCKDKHVNDAFDLYSEMASKRIFPDVFTYNALISGFCIGISPNVVTYSALISGFFTVGQLKDAIDLFNKMILENIKPDVYTFNILVDGFCKDGKMKEGKTVFAMMMKQGIKPNVVTYCSLMDGYCLVKEVNKAKSILYTMSQRGVNPDIQSYNILIDGFCKIKKVDEAMNLFKEMHHKHIIPDVVTYNSLIDGLCKLGKISYALKLVDEMHDRGVPPDIITYSSILDALCKNHQVDKAIALLTKLKDQGIRPNMYTYTILIDGLCKGGRLEDAHNIFEDLLVKGYNITVNTYTVMIHGFCNKGLFDEALTLLSKMKDNSCIPDAVTYEIIIRSLFDKDENDKAEKLREMITRGLL >RHN54242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8016125:8016958:1 gene:gene29245 transcript:rna29245 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSNSTRRGHEKYEKLDKEFGGHNETSNEEYLKRSTSVPSGPSNIKTNMAMASTLGDINLQRNPTKKASSDHKEKSTTHPLLNFFDFRRKKKATSKPEFARYVEYLKEGGMWDSNSNKPVIYYK >RHN78786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18389499:18394905:1 gene:gene2438 transcript:rna2438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MEFSSSKWVFNVCFFLLLFVFIVHDEAMASSQISQFSNVETETHRLPSFNDSSMAAREKQAEKLNERAAVANPKEVASMVEMHIQNSTERRNLGFFSCGTGNPIDDCWRCDRNWQQNRKRLADCGIGFGRNAIGGRDGKYYVVTDPRDDDPVNPRPGTLRHAVIQDRPLWIVFKRDMVIQFKQELIVNSFKTIDGRGANVHIANGGCITIQYVTNVIIHGLHIHDCKPTGNAMVRSSETHFGWRTMADGDAVSIFGSSHIWVDHNSLSHCADGLVDAVMGSTAITISNNHFTHHNEVILLGHSDSYTRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRYNAPVNPFAKEVTKRVETAETQWKGWNWRSEGDLYLNGAYFTASGAGASASYARASSLGAKSSAMVGTMTSNAGALGCKRGRQC >RHN77822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9624749:9627567:1 gene:gene1315 transcript:rna1315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyketide cyclase/dehydrase, START-like domain-containing protein MNGIGSGNGRFSNMEMEYIRRHHRQQPGHNQCASALVKHIRAPVPQVWSLVRRFDQPQKYKPFVRRCVVRGNLEIGSLREVDVKSGLPATTSTERLEVLDDNEHVLSIRIIGGDHRLTNYSSVMSLHPEIIDGRPGTLVIESFVVDIPDGNTKDETCYFVEAVIKCNLKSLADVSEGIALQDRTEPIDRV >RHN64901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62802334:62807144:1 gene:gene27774 transcript:rna27774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative importin subunit alpha MVADIWSDDNSQQLEATTEFRKRLSVDKPPIDDVIQSGVVPRFVQFLDKGDFPQLQLEAAWALTNIAAGTSENTKVVVDHGAVPMFVKLLSSPCDDVRGQAAWALGNIAGDSPRGRDLVLSHGALILLLSQLNEQEGLYRLRNAVWTLSNFCRGKPQPALEQVRSALPALKCLVFSKDEVVLTEACWALSYLSDGTSDNIQAVIEAGVCGRLVQILLEPSLSALFPALRTVGNIVSGDDMQTQAIVNHGLLPCLLSLLTHPKKSIKKEACRTLSNITAGNREQIQAVIEAGLIAPLVNLLQNAEFDITKEVAQALTNVTSGGTHEHIKYLVSQGCIKPLCDLLVCPDPRIVTVCLEGLENFLKVGEAEKSISNTGDVNLYAQTIKDVEGLEKGLEKFLKVGEAEKRFGNTGDVNLYAQMIEDVKGLEKIENLDSHEDREIYEKAAKILERYWLEDEDETLTSWCLV >RHN60687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29438034:29441205:1 gene:gene23038 transcript:rna23038 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVQRPISLHCLPQNAKSQLQTRRSFAVSPALPETAVSVAVAATFVGAAATLLVQRTKTSESTQIEFKECEACGGSGICPACKGEGYVLKKRSEESAEKARKLSKNMATRFTDGLPKKWSYCTKCSSGRNCSTCGGSGKLNL >RHN69219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41865863:41866686:-1 gene:gene17659 transcript:rna17659 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVIAVVLFVLLSPGLLFQMPGRNKVVEFGNMQTSGVSILVHTILFFGLITIFLIAIGVHINTG >RHN41445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30081201:30081389:-1 gene:gene47768 transcript:rna47768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 3-sulfotransferase MPEKVMFLKYEEAKMKPSFYLKKIAEFLGCGFSIEEESNGMVDDLLNLCSFENLGNLEVDKT >RHN51171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14773980:14780696:1 gene:gene35557 transcript:rna35557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein Rab7 MALRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDTLDNWHEEFLKQANPSNPSTFPFILLGNKVDIDGGNSRVVSDKKAKDWCASKGNVPYFETSAKEDLNVDAAFLRIAKTALANEREQDIYFQPIPEPVVPENEQRGGCAC >RHN77406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6641556:6645904:-1 gene:gene853 transcript:rna853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MGSALETLCGQAFGAGHIRMMGIYMQRSWIILVATGLLLLPFYIWATPLLKLVGQTDEIAEAAGTFALWMIPQIFAYALNFPIQKFLQAQRKVLVMLWISLGVLVLHVPLSWLLILKLNWGLVGAAIILNTSWWLIVIGQLLYIFITKSDGAWSGFSWLAFADLFNFLKLSLASAVMLCLEFWYLMILVVITGHLANPLVPLDAVSICMNINGWDMMIALGFNVAISVRVSNELGAGDFRAAKFSVIVVSLTSISIGVVAMIIVLTTRDYFPQLFTSSYEVAEETTKLAALLSITVLLNSLQPVLSGVAIGAGWQSLVAYINLGSYYAVGLPAGILLGFTFGFGAEGIWSGLIGGIAVQTIILIIVTSLTNWKKEADEAESRVRKWGGTISIEN >RHN39892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11451403:11453378:1 gene:gene45974 transcript:rna45974 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWNNIFLLLQNLSVYGENIMDNKVVEKSLCNMLMKVDHVVTTIMELHDIDTLQGSIKSHINRILQKTEKAKEEALKSQVNFNNINESNQMGEDMKTSIKRENNFNPKGGNNFGSINYIWQRKKLLQPREEKLCFHREKFERKADD >RHN67129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24159862:24169241:-1 gene:gene15278 transcript:rna15278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine biosynthesis, imidazole glycerol phosphate synthase, subunit H MEAPPLTPSCSPTSLLLRHKNPLSFNTFPRSRNVKTPTNFSIRASSSTSNDSVVTLLDYGAGNVRSVRNAIRFLGFEIKDVQTPEDILNASRLVFPGVGAFAPAMEVLSKTGMGEALCSYIEKDRPFLGICLGLQLLFESSEENGPIKGLGLIPGTVGRFDSSNGFRVPHIGWNALQITKDSEILDDVGNHHVYFVHSYRAMPSDDNKDWVSSTCDYGDKFIASIRRGNVHAVQFHPEKSGDVGLSILRRFLYPNSSVAKKTGEGKASKLAKRVIACLDVRANDNGDLVVTKGDQYDVRENTKENEVRNLGKPVELAQQYYKDGADEVSFLNITGFRDFPLGDLPMLQVLRYTSENVFVPLTVGGGIRDFTDSKGRHYSSLEVAAEYFRSGADKISIGSDAVYAAEEYLRTGVKTGKTSLEQISRVYGNQAVVVSIDPRRVYINNPNDVQFQTIKVSSPGPNGEEYAWYQCTVNGGREGRPIGAYELAKAVEELGAGEILLNCIDCDGQGKGFDVGLIKLISDAVNIPVIASSGAGIPNHFSDVFTKTNASAALAAGIFHRKEVPIQSVKEHLLNEGIEVRI >RHN70758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53795928:53797916:1 gene:gene19373 transcript:rna19373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller MAILESEKEHNKVHEELIPGLPNEIAEICLLHVPYPYQPLVRSVSSSWNRAITNPPSFLLSKKTKTLSHPHLFVLAVNTVTSKIQWQSLDPSSNRWFMLPSMPLVCPTAFASASLPHNGKIFFIGGKSSSTLVYRTAVNKWSTVPEMITGKSFSAAEEVKGKIVTVGESGTGIYDPESDTWKRGAQFTGELRRYETVVNGGKMYLTEGWWWPFAVRPRGWVYELESDTWSKMREGMKDGWTGVSVTVCGRVLMIPEVDLPVKVYDEMTDTWRCVGGERLPRNGMKKPFIAKGLEDQIYVVWHGLKVVIGNVVVVDDDHVKVTWQVLEGPAEAFGELSPSSCQVVYA >RHN46371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31088126:31089342:1 gene:gene40853 transcript:rna40853 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVMFPPKEFLSLGNGFAKETATFIISDDLTVMPNVFGTVIPLLQKLEITNIGAIVIDILKMPLVSKTPLTDFIFKKKRFCDEFVKINQPEYKNGEVTSKEGRQMSVKVLQRKSTGEILFVEGGVDFIDFIFSFLTFPLGGVLHMLQGFSSLSCIDNLYKSVTELSPDTYLTSQELKDKLTKPPIAAQFELSNPTSPISADTLPVYYYHTYYNSQWIRSLRITYYCCVSDDRCVLLNFVDPKYSASKSSSCGEFAKGPSVYMVTDDLVVTPTSSFNAISHLKSLNVPLSDVEEKVVRIGVNEVIHNLRLFALLLQLIFISIHYYNALFVYMLQGLSILKASLTSTSALTNGLNSQPILNNNH >RHN73618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18204238:18205379:1 gene:gene9451 transcript:rna9451 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLRTCSPLSLTFSPFKQAKKLSTSFKPYHQSPPSRSQSSIKMSSTSQGVEHIVLFKVKENTESSKVNTMINELQNLVTIEQVRHLTFGPILNNNEHTTSTIPFSDLRYTHLLHSRYDSKEDLQIYNAHPTHLNGVRNFALPILDDLLVIDWIAGEVALPPHPSPGTAFRVSFLKLKDEKNGEVKEEVFRAIQGIKESVGGVSYVSYGENISPERAKGFTIASLVVFPGREELDRVDPSEGLIKVKEHLESVLVVDHVVPLWK >RHN58542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2693186:2693773:-1 gene:gene20464 transcript:rna20464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MAATSSKSNIPKNPKKRTRRTMTVATCPYLPDELWEHIFKFLNVYEDALKSLSIVSKQFLSITNRLRFSVTISSHIILSLPHFFQRFPNITSLDLTHLSRDIDLNTLLTLISTLPINVKSLYLSNSTIIPANGLRALSEKMKNLTSLICSKITFISKNDLFFIADCFPLLEELTLMEEYTPHRSIAIFSSSDFVC >RHN69099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41026051:41033825:1 gene:gene17528 transcript:rna17528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative breast cancer type 2 susceptibility protein MSSWQILPDADNNYRWQINSDDTSNVIPPSPPPFSIPTPPLPSMYDLLIHASASHLFQPQEEENAIDDSFGFANSLFKTGSGRKVTISSKGLVRAKTLLGLGLHEETVGANIQTPQNAEKLHAFDEELPHVQLMDSCKMMSSASFQSPLVGRLKNGFESKFVQPDSGGGAKQAPIKFQTASGRSLSISGDALKRARRLLGDPDLGDFFDGGDSLFSFLDQGQTSTITSSAERSESKNTHTPLVHQMTPENHPNHMSKSFTYPLQPSKQMEFSNKLRNEGNGNNLIVKFDDVVNESDCGCKSSITPGQKPLYNKNEVADTTIKSSSLNGFSSRMDSREKPLGRALVDISNTIKTVHTNHKQPASGKRRIGLHATVSSFKKPRISNISASGGQDVQYFSNDLAQLSSGASGFKRKVSTRYPFHHPRMYIKDYFAVPPLEQKVNFPNPARQVTSCNAGAYIFHDGSDSNDMGAEAFIHLLTQHGASLRFASKEWVLNHYKWIVWKLACYERCYPARCAGKFLTVSNVLEELKYRYEREVNHGHRSTIKKILEGDGLPSSMMTLCISSIHSDHVLDSGALSEPQTGNQSNEALKVELTDGWYSINAILDVPLSKQLAAGRLFVGQKLRIWGAGLCGWNGPVSPLEVSSTVSLLLHINGTYRAHWADRLGLCKVAGPPLAFKCIKRNGGLVPQTLAGITRIYPILYKERLSSGRSVVISERMENKMMELHNQRRSAVVDNIVSEYQKEMTGSHIYDYGNSEGAKIYKMLETAAEPEFLMADMTPEQLSSFAAYKAKLNANRQSQMESSIEKALKDSGLGDREVTPFMRLRVVGLTYKNQRDKPTEGIVTIWNPTQKQRQELSEGEAYAIAELIPSGSDSDVLHLQTRGSTTKWLPLSSNAKEQFKPFSNNRNSIPLSSLSDIPLSNEFDVVAFVVHVGKVYTSSQQKKQWVFVTDGSIMNGLQSQKVTDTLLAICFCSPLFDHDSFPPINHNLAGSTVGFCNLIKKEKDHTNHIWVADANEISTYYLKFDSPQCSHLRNAASSVRRWASNSSLIIEKLKEKVLGIVGDCKA >RHN45050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11154953:11155396:1 gene:gene39246 transcript:rna39246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MANSKQVTLIFSILLVLIFEATYVEPKVMICFHDVEVTIINDLATQSTPTNLTLHCKSKDDDLGFHTLTIGEKYTFSFKPSYVFWKSTLFFCSFTWPGNPDRHYIEVYKQRRDGCENREWKMIKTGGYLWGQFYPWNSVEINSISKM >RHN69477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43686437:43689983:-1 gene:gene17953 transcript:rna17953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative keratinocyte-associated protein MAGSGSSMMYSFLLFIVILSLQEMYRGKLASSELFTILGGFTSSLLFLVLLTFIGNFQETAGAKSGWGAVIIAEVVALIAASTVHRVCITTCFLFSAVLLYEVNKLSGSAVSTSDSRTKKQSGRA >RHN39521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7858378:7858590:1 gene:gene45549 transcript:rna45549 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLVHKLHQISQSPESIPSPHFPNLFPLNSNSSLSLSSSPVVVNCRLTPVTTLLFQMNYQKNSAPKLVV >RHN39256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5339613:5347668:1 gene:gene45262 transcript:rna45262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MVHIELDSESCSFKGIAIDDFDSGSSGSGIRRELSFSRWCDDDDNDGGDGRVILDQKLGDEDVIVEEDAVYELPFVEKNEVQGRVLDRERFSFDKFRKERSVPMNGASAMDDDSVYRRGNGSEKYVPFDIEDSPERGTVGGDSYDSGGGVGSLHKSSENTVPVANILKTMFFIFVWYTFSLFLTLYNKSLLGDHMGKFPAPFLMNTVHFVMQAVLSKFITYFWSHKFETNVVMSWRDYFLRVVPTALGTAMDVNLSNASLVFISVTFATMCKSAAPIFLLLFAFAFRLEVPSFKLSGIILVISIGILLTVSKETEFEIWGFVLVMLAAVMSGFRWCMTQILLQKESYGLKNPLTLMSYVAPVMAVASALLSLALDPWEEFRENEYFDSSWHITRSCFLMFFGGTLAFFMVLTEYILVSVTSAVTVTIAGVVKEAVTILVAVLYFHDAFTWLKGFGLFTIMVGVSLFNVYKYQKLHAGDNVADHHTKDSAAKYVILEEMDEQDGGI >RHN72085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4852900:4854544:1 gene:gene7758 transcript:rna7758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MSRYDRAITIFSPDGHLFQVKYALEAVRKGNAAVGVRGTDSIVLGVEKKSTAKLQDSRLVRKIVKLDDHIALACAGLKADARVLVTRARVECQSHRLTVGDPCTVEYITHYIAGLQQKYTQSSGVRPFGLSTLIVGFDPYTGSLSLYQTDPSGTFSAWKANATGRNSNSIREFLEKNYKDTSGQETVKLAIRSLLEVVESGGKNIEVAVMTKEYGLCQLEEAEIDAIVAEIEAEKAAAEAAKKVLPKDT >RHN68628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37260079:37267481:-1 gene:gene17003 transcript:rna17003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MSGPLYRGVSGIRIPDNSMDSWDSQSKDKTEKDGLDRRGSSDQSPLHLSSPFRLLFADNSSHSKFGVTENGFSPDPFILGTPRSRHKLILLFMKFSIVLIVILALAGSFWWTVSISTTSRGQIYHGYRRLQEKLVSDLLDIGEISYAPSRLKELEFCSQEFENYVPCFNVSDNLAQGYSDGSEFDRQCGRELRQDCLLLSPMNYKIPLRWPTGRDVIWISNVKITAQEVLSSGSLTKRMMMLDEEQISFRSASLMFDGVEDYSHQIAEMIGLRNESNFIQAGIRTILDIGCGYGSFGAHLFHSQLLTMCIANYEPSGSQVQLTLERGLPAMVASFATKQLPYASLSFDMLHCARCGIDWDQKDGILLIEADRLLKPGGYFVWTSPLTNARNKDSQKRWKLIHDFAENLCWDMLSQQDETVVWKKISKRKCYSSRKNSSPPPPLCSRGYDVESPYYRELQNCIGGTHSSRWISIEERATWPSRDYPNKNELEIYGLQPDEFAEDAESWKAAVQNYWSLLSPLIFSDHPKRPGDEDPPPPFNMLRNVLDMNAHFGGFNSALLQSKKSVWVMNVVPTSGLNYLPMIQDRGYVGVLHDWCEAFPTYPRTYDLVHAAGFLSLQTSQQYRCTMLDIFIEIDRLLRPEGWIIIRDTVPLIESARTLTTRLKWDARVIEIESDSDQRLLICQKPFFKRQAN >RHN49621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:56000904:56003475:1 gene:gene44470 transcript:rna44470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MEQVKGYCSDSRDEEEEEEGADDIIAITGGGGGEEISSSSSRLQLQTSQQEEEEEEEEEEEDVGVGKLNEEEVDLMELRLGGGGISKSKGSDEGLGIGCCSNSKDEEEAVVVEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSTNEKGLLLNFEDRNGKMWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFHRGRAELHRHRLYIDWRPRAHSQYSHHHHQYLIPQYPPPPPSLPFYLPPNHHYHYSSYAATARSLYTSPQYSHLPNPNYAAPSPTISHPQYYNTNFYTTTTTTTTLHQQQQQQQYHHPAPAADHLLVDNNNNMNPLYYLTSSPITPPSTTTATGDQHLQGSVVQGHQQDQRNVNVPMNMIIDSVPVAHHHLGGNVNTGGKRLRLFGVNMECDSSSSSEPPQVTTTSGDHDHHHRGGTSLHFDLDPSNSQYRRHH >RHN64037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56098718:56099471:-1 gene:gene26813 transcript:rna26813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MLFLNFDNSTTVQATVASNYATKPSPSLVVESQRRDHLTAKDGDLHSQIMLLNGNILSVNSAGDIPPLNPIYVDSSKPITLDPLSIVFVQIQDTAITICS >RHN60272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24487988:24488335:1 gene:gene22556 transcript:rna22556 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPTAPATVKCNVKLEHIDEVYDASYLVPVETLSELSRYFNDYFMRYHEPTRRVKKFCYITPYYPLDTVHNDWKLSYDIKYLETDRDVQDMFQWRTNTGDPLYLHVATQLIDW >RHN57377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38509763:38512774:-1 gene:gene32912 transcript:rna32912 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVDPSILSRKRKRNEEVAELEETIFIVFSVVTVLLGAIAWYHNKCIDLEEPSSATKKKVLCTRAKKRDEERTINSIMRDVAESLKDLLQVTKKRMEGNSQEMVQEVLNEMKMIIDIDDTIRYKAINWLIENPNRLAILKALPLIKKKDFLLASMS >RHN60684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29400960:29401325:-1 gene:gene23035 transcript:rna23035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MVVVGGILKLKNNVFKKLQKTLLLGRKDNKSRYEAKDVKKGHFVVIAKDEDEAKRFIVPLSCLTNPIFVSLLEEAAEKYGFNGDGAITVPCRPNEFQMILEQQMHDEAIDDIRKIKISDKK >RHN53582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2676112:2681394:-1 gene:gene28505 transcript:rna28505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BAH domain, transcription elongation factor S-II, central domain-containing protein MVNRRFTQVATSDDEEDEAPPPPQHSKLRKRKKMKLIDEEDDEESNDNSNNDSDAKEEEKKDSPQTPEDAKPIGEPLRVSGKGRGRKRHYESFEFDGNQYSLEDPVMLVPEDKEQKPYVAIIKDIIQYFSGSIMVAGQWFYRPEEAEKKGGGSWKSCDTRELFYSFHRDEVPAESVMHKCVVHFVPLNKQFPKRKQHPGFIVQRVYDTLERKLWKLTDKDYEDVNQQEIDELVQKTIKRIGDLLDIEPEEAPPAVQEDMTKNKRSLRRKSISPIDVSREEEGVSKSDQHSKPETPGSCVNNDSEHHRILVNFNALTGNIHRDKWLERLLQHIQYMCNSDDSTEKEKGSGNAESDEIKNKSNDRTSEIANDSQNKGQKSSESFVWPDAAVSAIVALEKASHEAFSTDFQKYNQKLRQLDFNLKNNALLARRLLNGELKPSKILNMTPIELKEGLTAEEKTKKEPDEKQHMQMTDARCSRCTDSKVGLREIIHAGHDDRYQLECVACGNSWYASRNEVSALTIDALDSKRSMSTTPSSTAKFEDVQKKLVSPRGSENSADDLSKRTGEPSMPDLAAQKSFGKPKKDDNVEANRQADKE >RHN43195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43923267:43927179:1 gene:gene49735 transcript:rna49735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane attack complex component/perforin (MACPF) domain-containing protein MAQSPSVSGFEAAQKAINILGLGFDLTQDINFDNCKTGSRLILIDKEQCRRLEIPGGVSIPDVSNSIRCVGGESIRINSDVLSLQQMLQHFNHEMRLDGKTASGHFCASFGLHFHGTKELDSIIHLAYDGWFIKRYAVELEKYHGQLHDHVKEVVPSLWDAGALTRFIERFGTHVIVGVSMGGKDVLYVRQDDTSNHHDPASIQKLLTETANMKFMDSANNHCLASQNLSNKKKNIFEIHIRRGGSSQNMNHSEWLDTIDSEPDIISMHLLPLTTLLSGIPGYGFAARAINLYLQYKPPIEDLLQFLEFQLPRVWAPIHGKIHLDYNWKHQVNQSSLRFSILGPKLYINTNLVDVGIRPVTGLRLQLEGKRSNRLAINLQHLVSLPKSLPLADNAPAYSSCDSYSCKYHKKLKWNCFSYVCTAPIESDDSLSIVTGAQLQVEKKCLLLRLHFSKVIGATLQKPPEWDQPSNLGKSKEGYMDYPIPGEETVHPLLYSGALSRPVRTPKLQRYVDRMERIRGPKNTPGYWAVSGAKLYVHNGKIYLLVKYSLLSFGTV >RHN44665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7361149:7361715:1 gene:gene38809 transcript:rna38809 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 1 MIIDTTEVQDINYFSGLESFKEVYGILWILVPILILVLGITISVLAIVWLEREISAGIQQRIGPEYAGPFGILQALADGTKLLFKENLIPSRGDIRLFSIGPSISVISILISYSVIPFGYNFVLSDFNIGVFLWIAISSIAPIGLLMSGYGSNNKYSFLGGLRAAAQSISYEIPLTLCVLSISLRAIR >RHN61547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36303293:36311176:1 gene:gene24021 transcript:rna24021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MILQQASPTFHFSLCPCNNNYASNSLLSFPLSKTMKKRLFSNHYSYRKFRRKKWTLYSSSIQKQSATTSNPKFANSSKRGAKSFVFDGRISNSNDELEVEGGEVELRDSQVQLGSNFTTFQEDPIVDKLRTQLGVIHPIPSPPINRHVAGLFVFFFFVGVVFDKLWTFRRRRNKVSSEDSFRGVWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKVYRGGLENWIIGLLQPVIDDLKKPDYVERVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLSLSLKFGIIPIVVPVGVRDFDIDGELWVKLRLIPTAPWVGAASWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTKLLTVDLPRLFVRPNKIVLDFEKGKAVGPVTVGPVVDGVKSGEMQEGNMDSVGELSVTLVDARKLPYFFGKTDPYVILSLGDQTIRSKKNSQTTVIGAPGMPIWNQDFHMLVSNPKKQKLSIQVKDALGFADLTIGTGEVDLGSLQDTVPTDRIVALQGGWVFLRKGSSGEILLRLTYKAYVEDEEDDKTEEDSIDIDVSDDELSDTEEANVTDKMGVRESAYPTDKESFMDVLAAIIVSEEFQGIVASETGFTKGLDNGSNTGSKASKSPVANAESIPPSADNSEGSSGGSALFWLAVITSIAVLIAVNISGSSIFNP >RHN72347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6968775:6969402:-1 gene:gene8049 transcript:rna8049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin-containing monooxygenase MCCYALCCVVLHYTVLRVISKFIESYLLWKLPLEKYGLKPDHSFEEDYASCQVAVLPKSFYNEADKGKIIFKRASKWWFWSNGIEFDDNTKMDADVVLLATGYDGQKEAQNTFARAFF >RHN68399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35157372:35161050:1 gene:gene16745 transcript:rna16745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEILGSFLSSLLASKVDRISVQDFKDFFKGNGIDERHLQDLRLLLLSVATVLNDAEEKQFIEPWVKEWTDKVKDVAYDADDLMDELVTKEMYSRDFASSLNPFAERPQSRVLEILERLRSLVELKDILIIKEGSASKLPSFTSETTSLVDERRVYGRNVDKEKIIEFLLSNNSQDVEVPVVAIVGMAGVGKTTLAQILYNDSRVMDHFQSRSWASVSGNSKMQEITKQVLDSFTLCQSDVVDFNGLQIRLKKELTGKRFLLVLDGFENENYLDWDILQMPFVSENNGSRIIVTTRNKRVATAIRANLTHFPPFLSQEASWELFSSHAFKSQNSNERSRVLTEIGKKIVQRCGGLPLATITLGSLLNSKEDSEEWENVCTSKLWDLSRGGNNIFSALISSYIRLPPYLKRCFSFCAIFPKGHKIEKGNLIYLWMAEGLLPRSTMGKRAEDIGEECFEELVTKTFFHHTSNDFLMHNIMHELAECVAGKFCYKLTDSDPSTIGVSRVRRISYFQGIYDDPEHFAMYAGFEKLRTFMPFKFYPVVPSLGEISTSVSILLKKPKPLRVFSLSEYPITLLPSSIGHLLHLRYLDLSWTPITSLPDSICNLYNLEALLLVGCADLTLLPTKTSKLINLRQLDISGSGIKKMPTNLGKLKSLQSLPRFVVNNDGGSNVGELGEMLELRGSLSIVNLENVLLKEEASNAGLKRKKYLHEVEFKWTTPTHSQESENIIFDMLEPHRNLKRLKINNFGGEKFPNWLGSNSSSTMMSL >RHN64913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62921815:62927919:-1 gene:gene27790 transcript:rna27790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pseudouridylate synthase, tRNA pseudouridine(38-40) synthase MENSDKTPSISSSPALPSAVAEEPATKKVKMSTTTSDDEGCTTAEGSKVRYKRRKVAIFFAYCGVGYQGMQKNPGAKTIEGELEEALYVSGAVPEQDRGLSKRYDWARSARTDKGVSAVGQVVSGRFYIDPPGFVDRLNSNLPSQIRIFGFKRVTASFSAKKFCDRRRYVYLIPVFALDPCCHRDRETVLASLGSENELVKCLPCSERGRKVEGVVGNSKRNLELEAVDVENGSSNKNDVVDSGVTKDVEVSLSKGDDNHLNKESINDNEGKVSVEEVNSKTVVSDEDEVAPINGGSENNLGILEEEKVNREDTAANGSGFCYGEKERERFNNILKCYVGTHNFHNFTTRIKPEDPSAKRFIISFDASTTVVVEGMEFVKCEIVGQSFMLHQIRKMMGLAVAIMRNCAPESLIEKALQKDVSITVPTAPEVGLYLDECFFTSYNQKWKDTHEEVSMKAYEKEAEDFKMQYIYPHIASTEYKEGTVGLWLHSLNHRNYPDLRILDGEGVTNDKKVEVDMNDKKTEIEVVTE >RHN46029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27905655:27909689:1 gene:gene40466 transcript:rna40466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MSSQPFITNHHLLLLLLFTLLLPFSFASTNEVTILLSWTHTASTKFPSSFSNWNPLDSNPCKWSFITCSSQNFVTEINIQNVQLALPFPSNISSLSSLQKLVISGANLTGTIPHEIGNCLNLITIDLSSNSLVGEIPSSIGNLKNLQNLILNSNQLTGSIPIELGDCVNLKNLDIFDNNLSGNLPIELGKLSNLEVIRAGGNKDIVGKIPEELGECKNLTVLGLADTKISGSLPNSLGKLTMLQTISIYSTSISGEIPHEIGNCSELVNLFLYENDLSGEIPFEIGKLVKLEKILLWQNSFVGSIPEEIGNCSSLEILDFSLNYFSGGIPKSLGKLSNLEELMLSNNNISGSIPASISNLTNLIQLQLDTNEISGLIPVEIGKLTKLTVFFAWQNKLEGRIPSELGDCVSLEALDLSYNSLSDSLPSGLFKLQNLTKLLLISNDISGSIPHEIGNCSSLIRLRLLDNRISGEIPREIGFLNNLNFLDLSENHLSGSVPLEIGNCKELQMLNLSNNSLSGDLHSFLSSLTMLEVLDVSMNNFSGEVPMSIGQLTSLLRVILSKNSFSGSIPSSLGKCSGIQLLDLSSNMLSGSIPRELFQIEALDIALNLSHNALSGVIPEEISALNKLSVLDLSHNNLGGDLMVFSGLENLVALNISYNKFTGYLPDSKLFHQLAATDLVGNQGLCPNGHDSCFIGNAAMTRMLNGSNSKRSEIIKVAIGLLSSLTVVMAIFGVVTVFRARKLVRDDNDSEMGGGGGDSWPWQFTPFQKVNFCVEQILKCLVESNVIGKGCSGIVYRAEMENGDVIAVKRLWPTTTAATATAARYNHSQSDKLAVNGGVRDSFSAEVKTLGSIRHKNIVRFLGCCWNRNTRLLMYDYMPNGSLGSLLHEGSGNCLEWHIRFKIILGAAQGVAYLHHDCAPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDDGDFARSSSTLAGSYGYIAPEYGYMMKITEKSDVYSYGIVVLEVLTGKQPIDPTIPDGLHIVDWVRQKRGGVEVLDESLRARPESEIEEMLQTLGVALLCVTPSPDDRPTMKDVVAMMKEIKQERDECVKVFDGSSTNDQHESNRSNEEQVEGMKHSCPTSSSSNTNMKLHYSSNSSTTLK >RHN54865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13051496:13054185:1 gene:gene29961 transcript:rna29961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Homeobox domain-containing protein MLLSSLIISQNQVSRNQFLAFLKNNKAIFFASAESIVPTHQLFVKMGNRKPTVVYPPGTPRRSSRLLLLHENSNPTTPKPKSSTQSRSKTVRLLRRSPRFLKKNDVKVSEFGSCDSTRKTSPEGKWKKCSGVIDKGVVGEGGRKRRRKRGGDGIDEGGKKEEIEGGVEGGEIVEELMKEEIEGGVKRKRKRVGGVVAEGWSKEQELALSTAYFTAKPSPHFWKNVSKLVPGKSKQDCFDRVHHDFHTPPQCQPRSRAKAINSSPLHQFSISASKLLIPTGKKAGKSNILKPKSIVTQKSIENLLQRHLKVDQNHKGDIFSVLEPNIDFSTNAILPGQELCTPKQQKENQGFLQSSSIHKHKKSLSRFSGSSDVKDLASPPVLKQVKNKVQHEKYVNQLRFRDLRRRAASTRAKKSVGGEGKGIQKTDVVKAAKVALLSEARDAIKNFQQSQINFMGNTCSSDEDNDDDIGVEDESP >RHN74887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37546774:37550208:-1 gene:gene11020 transcript:rna11020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor BES/BZR family MTSGTRLPTWKERENNKRRERRRRAIAAKIFSGLRMYGNFRLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKGCKPLENMDMVGGSSAASPCSSYHPSPGSSSFPSPSSSPYAANRNADGNSLIPWLKNLSTASSSGSSPKLPHPYFHSGSISAPVTPPLSSPTSRTPRLNADFDDQSARPGWTGQHYSFLPSSGPPSPARQIVDPEWFAGIKLPHASPTSPTFNLVSRSPFAFKEDGFSGGGSRMWTPGQSGACSPAIAAGFDQTADIPMSEAISDEFAFGSNTFGIVKPWEGERIHEEFVADDLELTLGNSKTR >RHN42099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35392180:35392637:1 gene:gene48494 transcript:rna48494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MQVLISCVLILGFASPCLCGRWINVAKTNNNIFNVIAYGARGDGISDDTQAFLRAWNHTCGAEGTSTLVIPPKKLYFVNNLEFRGACKATSILIQVHIYFKSIHIVFFRTI >RHN47329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38926565:38927452:1 gene:gene41917 transcript:rna41917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MGIRYLGFAILVLATSILVLGITASDVDKKGCSFRTRAAILLECAEYIQILGPEIPPSYACCAVMKTADIPCLCKHIPRNIEVIISMKKFVDAAHTCGSEIPPPGGMCGSYIIPPSSPEEPPVRPSPPPPESESPPSPEEPSPPEEPPVQHSPPPPESESPSSPVEPSPPEAPVVQHSPPPPVHNSPPSPVRRSPPTSPVRSPISRSPPKSPIRRPPRSPRRSPHMP >RHN81680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48059824:48068744:1 gene:gene5755 transcript:rna5755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterol 3-beta-glucosyltransferase MGCNGIEHLCTEVVEDEKGLRKMDDDKLVSVRGEIMSQEDDGSPSSKERGSQSSEVTSLPHRGLEHCITAPIGTERNPLIAEDEIMISRSMTEKRGLRRHDLLLDRLSEDEKQKLIANLVKIQKDGTVQVDIETSASVASELLEFQSFEESVASGNFIISDSNKSVPRLQIVILVVGTRGDVQPFVAIAKRLQEYGHRVRLAAHANFKTFVRSAGVNFYPLGGDPRVLAGYMARNKGLIPSGPTEISIQRKQLKVIIDSLLPACTAPDLETGIPFTAQAIIANPPAYGHVHLAEALGVPIHIFFTMPWTPTYAFPHPLARVSQGAGYWLSYIIVDLLIWWGMRGIINDFRKRTLKLAPIAYFSMYRGSISHLPTAYMWSPHLVPKPSDWGSLVDVVGYCFLRHESNYQPREDFLHWIKKGPPPLYFGFGSMPLEDPKITTDVILKALKETEQRGIIDRGWGNLGNLTEVSDNVFLLEECPHDWLFPQCSAVVHHGGAGTTATGLKSGCPTTIVPFFGDQFFWGDRIHQKELGPAPIPISELNVENLSNAIKFMLQPEVKSRTMEVAKLIESEDGVAAAVDAFHRHLPDELPLPTPSHVEDEDHLSPLNWFFDQLAKWCCLPCGGV >RHN61562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36390673:36394879:-1 gene:gene24038 transcript:rna24038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MHSSTEELIPTTVGIIATPSNIFTASSTQRHVTTATKNYETTCWGCGLHLLLPSRAPVFKCGWCGAITDQSKQKCDKNGLRWRLLRDRCIVTIVIMFMLFIIFGGVWAIYPVVYSISLSGIFHSIITVTLAVATISSFSLSAFRCAGTPPNLVWGSYPTIGNGDLENYTFCHYCSRPKSPRTHHCRSCGKCILNMDHHCPFIGNCVGAANHRSFIAFLISGLFSTIYISIVSVHAGFHMWPPLTYSIGRIHGTTIENLAWRIVKETIFAFMRSVQLLSARGFILIYLFVASFSMMIGLSVLLWQQLRFIYEGETYLSHLSSQGHNGDGMKDCQNLVRFFGFSFSVKRYLPKFLVSSYSEETHKGKYT >RHN60862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31099992:31101685:1 gene:gene23249 transcript:rna23249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MQQYYHQSDSPLSTESNMIIEEMSRPASPYSPEEKKVRIERYRSKKNQRNYNKKIKYVCRKTLADSRPRIRGRFAKNDEIVRNPPNQWSHISNGEELEDEEEENWDSLFDSLVPTSNLAPEEHHSSSYSVLY >RHN50518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7883337:7889158:1 gene:gene34814 transcript:rna34814 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHNEVKFEFLVLFSVMEPPHDFWSSIWSLFCFLPYFISLFILGNIKGIIFCPVICLIMTIGNSAIILGLWCIHTTWTYYCVVRCKQLGPLLKFVMCTILLPVLLILWPVLGIVGSIVGGAAYGFLSPLFATFEAIEGEKENKTFHCFIDGTWSTILKTFDIVMDVRDACFHTYFSVMDDLRQDGLPNGKYYEIRPHYFPGAVVAAILGIIVDVPIISIVALCKVPYMLFKGWNRLFRDLIGREGPFLETICVPFAGLAILLWPLAVVGAFIASVIASIFLGARAGVVAYQESSFLFGLRYIVATLSLYDEYSNDVLDMPEGSCFPRPKYRRKKIEISRTMSHSNSFSRTKSLTKTISRTISLTNNIAELKPFELLDGLCKECLHLGETLVSQGLITHDDIQEAMFGKESKVISIGLPAYCLLQALLRSIKADSLGILITDDTELTTTNRPKEKFFEWFLNPLLIIKDQIKAENLSVSEEDYLCKLVLFNGDLDRVKNLTFGPPPESDRKLAELDALARRLQGITKFITRFPTYKRRFDVLVKTLSEELADKHGTSTIIRSKSAFPRIFSMKSFKVNKSNGSDEESELERDSESSL >RHN39732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10077932:10080868:1 gene:gene45793 transcript:rna45793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKKRLNDATSSDAGELPPAKRIRRNKTICSCNSPRPRFLSAHSTFSWFDDDIWTEIAKFLDGKSLVMLAATNRWFRRVIMDDVIWKFVCLRDLQVPSPPPVAFKWSNLYTSAFDGSHSYKFRQQEKHIDWMRIGAFSFDSSVALLTERLNFPGELKKEESMEMMLKTHGCCFLDNIKPGIWIADLQLVRCPVCDLNTCDGTMQTLDARHIELFLREDYENGNWDYEVVGSHDIKKRADGAAGSIFDVKHLSSSSTSAVFDYKSWIGKDNDWQPKAMIAFHAVAVNTNLQENEG >RHN78438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15091302:15091886:1 gene:gene2001 transcript:rna2001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MLRGAKGLLYLHTGAKQSIIHRDVKTANILLDENLVPKVADFGISKKGPILDKSHVTTNVKGSFGYVDPEYFRTTFLTKKSDVFSFGVVLIEVICGKPALDDALPTQQMNLAMWALSCDKKGTFHEMMDPFLIGKVNMDSLNKVLELAWKCLEERPENRPSMGYVLCQLEEALHLELASHVSNENEDSSIRSSV >RHN71624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1453736:1459379:1 gene:gene7243 transcript:rna7243 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SERK5 MNINMEQVASSSTVSFLFWAILVLHLLLKASSNDESDALFAFRNNLNDPNNALQSWDATLVNPCTWFHITCSGGRVIRVDLANENLSGNLVSNLGVLSNLEYLELYNNKITGTIPEELGNLTNLESLDLYLNNISGTIPNTLGNLQKLRFLRLNNNSLTGVIPISLTNVTTLQVLDVSNNNLEGDFPVNGSFSLFTPISYHNNPRIKQPKNIPVPLSPPSPASSGSSNTGAIAGGVAAAAALLFAAPAIALAYWKKRKPQDHFFDVPAEEDPEVHLGQLKRFSLHELLVATDHFSNENIIGKGGFAKVYKGRLADGTLVAVKRLKEERSKGGELQFQTEVEMIGMAVHRNLLRLRGFCVTSTERLLVYPLMANGSVASCLRERNDSQPPLDWPMRKNIALGAARGLAYLHDHCDPKIIHRDVKAANILLDDEFVAVVGDFGLARLMAYKDTHVTTAVQGTLGHIPPEYLSTGKSSEKTDVFGYGTMLLELTTGQRAFDLARLAGDDDVMLLDWVKGLLQDKKLETLVDAELKGNYDHEEIEKLIQVALLCTQGSPMERPKMSEVVRMLEGDGLSEKWEQWQKEETNRRDFNNNHMHHFNTNWIVVDSTSHIQADELSGPR >RHN53166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42482736:42482963:-1 gene:gene37939 transcript:rna37939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative double-stranded RNA-binding domain-containing protein MYTNKLQELCQKNSYPLPEYQTTHEGPLHNPLFSSTVTVKSISFTSPEPASTLKASQEFVAMVAFHHFLQNENTS >RHN41679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32014140:32014801:-1 gene:gene48029 transcript:rna48029 gene_biotype:protein_coding transcript_biotype:protein_coding MNCILKDIDVVEKSIFFQRTFTYLIIKMENVLIHFHTTTFPCFSN >RHN38635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:877914:883235:1 gene:gene44593 transcript:rna44593 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTVSKLDNEETVRRCKERRRLMKEALYARHYLAAAHSDYCNSLRLTGSALSTFAAGEPLSVSDDIPAVFINTVKTCQTPPPPPTPSAVTNTKLRTVSEIRRRKIPVKLPHILSDSSVCSTPRSDFPNWFIPTAHQNESTASETSSVWNWENFQPPPSPPNSEYFRQRSQPPNHKLENPESDTSSESFYNMFHSKIHLQNHKTETEQELDRLETEREEVRCSDWEDCYRSTSSSDRDEVIAGLKSSEKSPEFVAGVEVPVRKDEEVNVEKVKMKHKDLKEIVEVIRDNFEKAAVAGDRLSEILQISRAQLDRSFSQLKKIVYHSSNILSKVSSTWTSKPPLAVKYRFDAGSLEGPGGPKSLCSTLDRLLAWENKLFQEVKAREGVKIEHERKLSTLQSEECKGGDDETKIDKTKATITRLQSLIIVTSQAVSTTSAAINGLRDSDLVPQLVELCHGIIYMWRSMHQYHEMQSNIVQQVRGLVNRSTKGHSTSESHKQATRDLESALSAWHSSFIRIIKFQRDFILSLHGWFKLNLIPVNNDNNNSSISNKMEPSDALSFCDGWKLALDRVPDTVASEAIKSFINVVHVISVKQCQELKIKRKTDTAYKELVKKSSSLKNIEKKFYNSYSMVGIGKIPNSVEDIGQGLDARDPLAEKKLELEACQRRVDDEKLKHSKAIEVTRAMTLNNLQTGLPGMFQALTSFSSLFIEALESVCDRSYAIK >RHN41870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33735831:33737592:-1 gene:gene48234 transcript:rna48234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MEYFIVIDQLSTSLWCLILMLLVYSIKHKRSTQKSEEPKLPPGPTPWPIVGNLPEMLANRPTFRWIQKMMNDLNTDIACIRLGNVHVITISDPEIARELCIKQDAIFASRPSSWSNEYVTNGYLTTALTPFGEQWKKVKKVISNELVSPLRHKWLHDKRVEEADNIVRYVYNKCTKIGGDGIVNVSVAAQYYSGNVIRRLLLNKRYFGNGSEDYGPGLEEIEYVEAIFTVLQYLFAFSVSDFMPCLRGLDLDGHERIIKKACKIMKKYHDPIIEDRIQQWKNGKKIEKEDLLDVLISLKDGENNAILTEHEIKSNILELILAAVDNPSNVVEWGLAELINQPELLKKATEELDSVVGKGRLVQEYDFPKLNYVKACAKEAFRRHPICDFNLPHVAMKDTTLANYFIPKGSHVYLRRQGLGTNPRIWEEPLKFNPERHLKIDGSNLNLADPSLDLITFGTGRRGCSGVMLGTSMTIMLFARLIHGFTWSLPPNQPSIDLSESHAGTTKAKPLVAVAEPRLEPKVYGLY >RHN80021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34382922:34389412:1 gene:gene3894 transcript:rna3894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MEEGEKDTLQNSRRMENVSESSSKGTKRRRTENSDAGNTSSSLENDLTFTDTLLALQIMRAQFPQIPNVSVEPFMLKSQLYSSVKDRTQVDRELESLRRDKVLRLFKLNTGQDDHAVMFFDDYLKQIDRVVKRMEGKTGAECEVFEWFKIHVLDSKLDTGIKHEELCSLLSLGGKAKDSHISLLINAGILTRQLIDPNMYWIAIPNIGSLLKGLVQGRKEIISLLSRRQYKEMMLAVLEKKRLRMSPLDMRFHLRDLIGSGHLRSDQTPAGINIRISKD >RHN78122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12078137:12080635:1 gene:gene1643 transcript:rna1643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-ketoglutarate-dependent dioxygenase AlkB MQNENQTKNDSKMGKTFISNEIFDGKKENVVDGLKLYEHLLDSTEVSELVSLVNDLRVSGRRGQFQGSQTYVASRRPVGGHGREMIQLGVPIADAPPDMDNLTTSTKGKSYITQFIINIESIPSLLQDIIERMTASQVMTVKPDASIVDFYNEGDYSMPNSWPSWFGRPVYTLFLTECDITFGRTIVSRHHPGDYRGSLKLSLIPGSIIVMQGNAADCAKHAIPSIHKQRILITFAKSQPKQSLPIDAQRLGSPATSYSLAAPIRTTNHISHHQHQLAPKHYSAVEITGVPPAPSLRTPPNSTQPLFVPVPVAFPMQCSTPVPIQPGSTGWSVSPPRHPPPRILVSGTGVFLPPPGSANSSQHLQGTVTPTTIKQNGKSNHNNTNGSSKGKMDGNMQMQECSGNADGTKVEKVIEEEGKNNGEIVSSH >RHN70259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50066061:50067173:-1 gene:gene18821 transcript:rna18821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein MIZU-KUSSEI 1-like, plant MPSVHSSPCYPMENPAIASLLRHTTGDQKRNKFSAGGLLKMFKLFPMLTSGCKMVALLGRPRKPMLKDSATTGTIFGYRKGRVSIAIQEDTRQMPIFLIELPMLTSALNKEMSSDIVRIALESETKTNKKKLLEEFVWAVYCNGRKVGYSIRRKQMGDEELQVMQHLRGVSMGAGVLPTASDHKESSDGDQMTYMRGRFERVIGSKDSEAFYMINPDNNINNGAQGPEFSIFFVRAH >RHN80009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34299544:34300782:1 gene:gene3882 transcript:rna3882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MNVNHIASKNFGNYGRGSNNFQEIFEFFGGGIVNSDSHVWKEKRAMFHSILKRKSFKNLFQQTSKKKLEKFLLPFINDVSEAGSEVDLQDILNRFTFDSICIIVFGFDSNWLPNKISDLREISYQKSLIVIEEVLFYRNFIPSVLWKLQKWFLVGQEKKYKVAEENLDRFLYESVTFAKREEKSKCSSSEEMDESYFNFVKALMKEGSGNEGVSEKYLRDNALSLLLAGNGTLSSSLSWFFWLVSTHPIVEAKIIQEIKDNCVSKHENWITSMVESLDKLVYLHGAICESMRLYPTVPFELISAIKSDILPSGENVSPNTKLIYSLYAMGRMEKIWGEDCMEFKPERWVSERGHIIHVPSYKFIAFNTGPRSCMGKDLSFVQMKMVAAALLWKFHIQVVEGHPVTPRASVVL >RHN75530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43051123:43053948:-1 gene:gene11751 transcript:rna11751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MAHHISCILLLLISLTIFSSSSSSPTSQINSNSILIALLDSHYTELTYLIEKANLLQTLENTVSKHNITIFAPNNVALERNLDPDFKHFLLQPGNILSLQTLLLSHVIPTRIQSGSTRFKSISDYHLHLETNTTTGEFMVNQENVTHPNDITRPDGVIHGIQKILIPRAVVDDFNNRRSLSSITAVKPEGAPEADTRKLKKSSPEKPGSPPEIPIYEALAPGPSLAPAPAPGPGGPHHHFNGDLQVKDFIKTLLHYGGYKEMADILVNLTSLATEMSRLVSEGYVLTVLAPNDEAMAKLTTEELSEPGSPEEIMYYHIIPEYQTEESMYNAVRRFGKVRYETLRLKYKVVAQEADGSVKFGDGDGSGYLFDPDIYTDGRISVQGIDGVLFPMKEEKEVVPVEQVKPISMMGQPRKGVVEHRRGKLLETACWMLGTFRNHSRFTSCQ >RHN82025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50653657:50659475:-1 gene:gene6141 transcript:rna6141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative imidazoleglycerol-phosphate dehydratase MFPFPGSPMHPPTFKVAILMELTLPQTQLHPKYLPFPSLKSSRVSVFHTITPVPTSPLFQASIFSLNPRNPRNISTAALVDGNGNSTSSTFPIDSGARIGEMKRVTKETNVSVKINLDGTGVADNSSGIPFLDHMLDQLASHGLFDVHVKATGDTHIDDHHTNEDVALAIGTALLQALGDRKGINRFGNFSAPLDEALVHVSLDLSGRPHLGYDLNIPTQRVGKYDTQLVEHFFQSLVNTSGMTLHIRQFSGTNSHHIIEATFKAFARALRQATEYDTRRRGTIPSSKGVLSRS >RHN69605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44843326:44851495:1 gene:gene18095 transcript:rna18095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, ELK MTFHQDKFVRFQDWSSEVNSPGIIHVTQSGRIKTTLKSVSNKFQRGFESSSERIKGFAKKPFKSFSQSSALTRCFSSRNKILDPQGPFLQKWNKIFVLSCLIAVSIDPLFFYIPVIDDGKKCLSRDKKMETTATVLRSFSDIFYIIHIIFQFRTGFIAPSSRVFGRGVLVQDAWAIAKRYMSSYFLVDILAVLPLPQVVILFIIPKMTGSESLNTKNLLKFIVFFQYVPRFIRIAPLYKEVTRTSGILTETAWAGAAFNLFLYMLASHVLGAFWYLFSIERETTCWQRACQKNTTCIKAELYCDDHHGLSTITTFLNASCPIQNPDKKLFDFGIFLDALQSGVVGSMDFPQKFFYCFWWGLKNLSSLGQNLATSTFVWEICFAIFISIAGLVLFAFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPDHLRERIRRHEQYKWQETRGVDEDNLIRNLPKDLRRDIKRHLCLALLMRVPIFEKMDEQLLDAVCDCLKPVLYTKESCIVREGDPVDEMLFIMRGKLLTVTTNGGRTGFFNSEYLKAGDFCGEELLTWALDPRSASNLPISTRTVQTLSEVEAFALKAEDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYCKKKLEESLREEENRLQDALAKEGGSSPSLGATIYASRFAANVLRAIRKNGSRKPRVPERLPPMMLQKPTEPDFTAEEQ >RHN67318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25929456:25935062:-1 gene:gene15498 transcript:rna15498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-1-phosphate adenylyltransferase MMATGKTLVSLRLSIMQISESPNSMYLTSVYTQLRYLPPSKMLDADITDSVIGEGFAIKKIEVCTSLTSLPIQSLERFSLLFRRENQMLFLLEDQSS >RHN74379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32348027:32379844:-1 gene:gene10435 transcript:rna10435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoprotein TPR/MLP1 MPLFISDEDLHRLSGDSTAVAAKADAFIRNLLNELDTIRAKADASDINAEQNCSLVEQKYLSLSAEFSKLESHAVNLQSTLDQHVRDLDDAKAKNHQFHLQLVEKDREVERLRTEVSELHKSKRQLIELNEQKDLELSEKNTTIRSYLDKIVHLTENASHKEARISEVEAELGRCRAACTRLEQEKEIVERQNAWLNEELTAKINSFLEMRRKHTESETDISSKLADVERQFSECSKSLQWNKDKVRELEMKLKSTQEELISAKGDAAANEEQLSAELSTVNKLNELYKESSEEWSRKAADLEGVIKAMESQLKQVEDDYKDRLEKEFSARKQFEKEAADLKEKLEKCEAEIETSKKMNALSNLPLQSFSTEPWMTAIVTDNMDEENNALVSKIPVGVSGTALAASLLRDGWSLAKMYAKYQEAVDALRHEQLGRKESESILQRVLNELEGKAEAIEDERVEHDKMADAYSLMNQKLQHSLNENSNLEKAILELKADLKRHEREYNLAQKEIDDLRKQVTVLLKECQDIQVRCGSFGNNINANATNISSRTNTATDAESIISQHLLTFKDINELVEQNVQLRSLVRSLYEQFENQKVEFKENLEMELKKHTEEAASKVAAVLQRAEEQGQMIESLHTSVAMYKRLYEEEHSLHLSHTHSSEALAAAAEVGRNNVNTSIESSQEIAKKSLEKAAERVRCLEDELAKSRSEIIVLRSERDKIALEGNFVRERLDSFMKEFEYQKAEAKGVLARNVEFSQLVVDYQRKLRESSESMSAAEELSRKLSMELSALKNEKEVLSNAEKRASDEVRNLSERVYRLQATLGTIQSAEEVREEARVAERVKQEEHTKQLEREWAEAKKELQEERENVRRLALDRDQTMKNSLRQVEDMSKELTNALGALASAESRAAVAEAKLSSIQKQMSSTDGQLVNTESVSGSSILSRDEVVAELQTAKEEIEKLKEEVHANKAHMLQYKSIAEVNEDALKQIESAHEDYKIEVDNTKKSLEAELNSLREKISELEKEASLKSDEVVSATAGKEEALTSALAEITHLKEEILTKTSQISEMEVQISGLKEHLDKEHQKWRAAQTNYERQVVLQSETIQELTKTSETLALLQEEASKLRKLADAQKIENNELKARWEEEKARLEKSKCDAEKKYDEINEQNKILHSQLEALHIQWAEKERNAAGISPGSRGDTFGDAGLQNVVNYLRRSKEIAETEVSLLKQEKLRLQSQLESALKSAESAHASLEAQRVKSRSFMFTEEEIKSLQLQVREMNLLRESNMQLREENKHNFEECQKLRELAEQARTARDNLENLVRERESELEGQKKEIETLKTEKEHLNYKVSELLERCKNVDAEDYDRVKKLVQDLQGKLRDRDAQIEETSKILSEKQESFSRLEQDLSNCRLELVEKEKRINEIPKIEANHKQDVDKNRKLLAHFKRRIEALNTEKEELVKENQQLSGQLNKEKEELGKENQQLSRQLDEIKQQGKRSTGDTAGEQAMNQEKDTRIQMLERTLERVRADLNKEKEDKTAERNRRLKNEKAIADSYKNIDLERKQFVNDLERHKEALKRLSDEVEKLKTLVGNLPEGTNAAQLLSRSNVDDFSAPYMAAVENFEKEAHAVCVTLGDPSTITDSSAAATGSLVHTQPPSILPSTAPVTSSLPPKATGESEKRLALTKSSNETRKTGRRLVRPRLVKPDEPQGDTEMSDAEGLGGNKPGPSSDAETQSNFGTSSQPVARKRVAPTSTSELREESSAPGEKSSDVAAPALKKPKGSEFPEDSGEEQPATTPEFTCSHPVAEESFESGELPQGQNEEVGEAQNDDENAVGKDEESEDPPNMDGSGQEELQDDKTGVSEENLDQPTETQMVSDEMQRDHTEIDNQQSTLPLSSETEEGEMLPEAGDPEGGFDGSNMENQESREATPEPSPARVDDDDALEAGEINSPEISTDDKNDEGDLAEDAADGSDKLADVNKATSVESDQVVEPAPVASESNLQSSVAESSSSKLPVSKQGATRSPSKTEDVKPTSPVKPTSPISDMSTTTTINLQERARERAQLRQAGQAGVFSTTARGRGRIPRGRVVRGRGRRPPSSDA >RHN69140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41305363:41306688:1 gene:gene17571 transcript:rna17571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TAFII55 protein region MEEQFILRVPPNVAERIERLLNENNASSSEDKSLDLQFSDDGRSGTFVIGDEHFPASLLDLPAVVESYKTYDDNSLVKTADIGQMIMVRESGDAAPDVIEYRHGLTPPMRDARKRRFRREPDLNPELVSRVEKDLLKIIAGGTAENIDILSSCLF >RHN71608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1337093:1339921:1 gene:gene7224 transcript:rna7224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ANTH domain-containing protein MPPNSLRKAFGAVKDQTSIGLAMVGSSTSLADLDVAIVKATRHDEYPAEEKYIREILSLTCYSRAFISACVNTLSRRLSKTKNWTVALKTLILIQRLLEEGDPAYEQEIFFSTRRGTRLLNMSDFRDSSQSGSWDFSAFVRTYSLYLDERLEYKMQSRRGKRSMFGYDEDEEERERERERERESEREKERDKGREIVVRSTPVREMKLEQIFSKMQHLQLLLERFLACRPTGTAKSHRIVIVALYPIVKESFQSYYDISEILSILIDRFSDMDVADCIKVYDIFCRIGKQFDELDLFYGWSKNIGICRSSEYPEIDKVTPKKLEVMEEFIKDKNMLAQSNKADVQEENNSDEEAKEPEPEPEPEEDMNAVKALPPPEEPAEEVVEEPKEEETKEEKIVQTEGDLLNLGDDRVTTEEHGDKLALALFDGAAPATSEGGIKALPWHAFDESADWETALVQSTSHLGNQQPALGGGFDTLLLDGMYKQGEMNAAMQGVGYGGSGSASSVALGSAGRPAMLALPAPPTSGTGVNSTSGYVDPFAASLAIAPPSYVQMSEMEKKQRLLVEEQLIWQQYERDRVQGHAALKQQPGSNNSYMGGYQQNYGNYHR >RHN66657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15788918:15793518:-1 gene:gene14696 transcript:rna14696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-N-acetylglucosamine--dolichyl-phosphate N-acetylglucosaminephosphotransferase MGTRKRLISPTTQPSSPDQKPQQQITDPPIAPPKWGFLFKLSLFSIPYFYLIFFHFTIDSHLRRSIIINAGLSLVGFFVTVRMIPVASRYVLKRSLFGYDINKKGTPQGNVKVPESLGIVVGIVFLVVTIVFQYFNFTADSNWLVEYNAALACICFMTLLGFVDDVLDVPWRVKLVLPSIAALPLLMAYAGHTTIVIPKPLVPHIGIEILDLGWIYKLYMGLLAVFCTNSINIHAGLNGLEVGQTVVITYAILIHNIMQIGASTDPEYKLAHAFSIYLVQPLLATSLALLSYNWYPSSVFVGDTYTYFAGMTMAVVGILGHFSETLLIFFLPQVLNFLLSLPQLSGYIPCPRHRLPRFDPQTGLLTGTNDGTLVNFFLRKLGRKSEKSLCIYLLIFQGIACCFCFMLRYFLAGWYK >RHN68880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39312255:39319093:-1 gene:gene17295 transcript:rna17295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyanohydrin beta-glucosyltransferase MDQKPHVVLVPFPAQGHVNPFMQLAKLLRCNGFHITFVNTEFNHKRLIKSLGQDFVNGLPDFQFETIPDGLPESDKDATQDIPTLCDATRKNCYAPFKELINKLNTSSPHIPVTCIIADGIMGFAGRVAKDLGIKELQFWTASACGFVGYLQYDELVKRGILPFKDENFIADGTLDTSLDWISGIKDIRLKDLPSFMRVTDLNDIMFDFFCVEPPNCVRSSAIIINTFEELEGEALDTLRAKNPNIYSIGPLHMLGRHFPEKENGFAASGSSFWKNDSECIKWLSKWEPGSVLYINYGSITVMTDHHLKEFAWGIANSKLPFLWIMRPDVVMGAFSSISWGFLTHCGWNSTLETISYGVPTICWPFFAEQQTNCRYLCNTWKIGMEINYDVKREEIRELVMEMMEGEKGKEMRQKSLVWKKKATDATNLGGSSYINFYNLIKELLHHNAI >RHN62656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45001316:45001684:1 gene:gene25252 transcript:rna25252 gene_biotype:protein_coding transcript_biotype:protein_coding MHNNLGFVNKIKKTMFLVKELDRDEDNSPGLSVCVKILTQIWKTYKIGMKTRKLLPGAVVASSGVVVTGEGCPFLIFLNGFCREDGGDSFYGDGFSKMKMVEQCNDRRRHTEGGRRRRLGFV >RHN39467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7304656:7310415:-1 gene:gene45491 transcript:rna45491 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ZFP MSSQEQALVSLLSQLALSFDGAVLGFAVAYVAVRSIRKFTLTSAALRKITAAPSVSVSDLRSLLTETDENSDEGKIVIVRGTVDAKNVVDGSWKALWPGVLVSSESGDKGVVLQRTQTCIYNEWKGLFGWTSDLRALFLRSWRQQESTSLRKIPFVLIDVGRPSNPEYVVVNMDGSSHPLPLTTVYHRLQPVNPPPYTFLQALFGHEYPVGLLDEEKILPLGKDVSAVGLCSLRNGIAEIKACNDLPYYLSDLSKDQMIVDLSFKTKLLFWSGILLGSMSVGIIGYAVVRNWNKWKQWKQQRQLQQRRQQPIEPVPPTDDEIEDVPDGQLCVICLMRRRRSVFIPCGHLVCCQGCAISVESEVAPKCPVCRQEVRDSVRIFES >RHN62363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42949304:42951900:-1 gene:gene24931 transcript:rna24931 gene_biotype:protein_coding transcript_biotype:protein_coding MVISQNDEMPNGWPLGLGFLNMRLRVVESLPAAPVEPYSLSLHIPSTSFSSLSSSNLDTESTASFFKDNSVSLGHLIGIRAGEKRRLYFPKTLRFEERDKKPLQNGSTCSDDTSKCGICIPSLFDPLLIKISKSKKNSRN >RHN59514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11591636:11592331:1 gene:gene21555 transcript:rna21555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MVRGKVKLAFIVNDAARKAAYKKRKKSLFKKVVELSTLCGIEACAILYGPYEPHPEIWPSPEGVQSVLSKFMELHEFQKCKKMMNQETFLAQRVLKAEEKLMKQRKDNREQEMTLLMTQCLSEGRVVQDNMSTMDMSYLAWLIDHNLKDVARRLEACDINDQNQIMAIQNQVQLEMAATVPPPPLAPSRSEEMAIMGHGHVMMMDSMVAGNLQGTVPFGEVNSGVWPHLLP >RHN46523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32472830:32473176:-1 gene:gene41016 transcript:rna41016 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEFFSDGIATADDGVDRRIGGGGVVESVDLIAFTTIRDFRASDRGEDVVLHLRREKLLCVFFGCFFGFFGSTKSGKLTVVV >RHN68358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34850620:34852074:-1 gene:gene16699 transcript:rna16699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MSNRKYPTGQRTNRATNAGYWKAIGKDKEIFKGNALIGMKKTLVFYIGRAPSGEKTNWVMHEYRLEGNTLSEHNLSTHGMISEWVLSRVFEKKNCGKKKDGSKHGRFNSSREGPSNTNESLLPQLLDSSPYNSENQTTLSDFSQNKVKPKSQDDNIVHNNETSILNISSSSKQLDVYPLAEVIAADLNQTSMVGNSSNFFFSQEQLLLRMQLENENIGTTSKNIFSFGRDSDADISSVVYGNGMFQKLSENQELSPASTGHVVNDSLWNF >RHN68831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38918665:38922018:1 gene:gene17241 transcript:rna17241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MATAGDVLRQSLPEVSGAGVGELHVLAVDDSFVDRKVIERLLKFTSCKVTVVESGTRALQYLGLDGDTSSIGFDGVKVNMIMTDYSMPGMTGYELLKKIKESSVFREIPVVVMSSENILTRIDSCLEEGAEEFLLKPVKLADVKRLTDFIMRGEGKKVGGKVSQKRSRPDDCISSLSTACSTCELLPLSQSAMSSKKSRL >RHN55186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15858874:15864549:-1 gene:gene30326 transcript:rna30326 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFPQVPQETNDAVTVVKLVEIIIEVRKKKRVFFLFSYFLSLLKSTCTCFLFFSFTLLIIICYKIIIEFSFCLIVNHSSIFIIFDRLDLYKMINLDHGLFTLSMSFQQFWMGETTASNSALQVSVSFGRFDNDSLSWERWSSFSPNKYLEEVEKCATPGSVAQKKAYFEAHYKKIAARKAELLAQEKEMERESFRSEENNGIDLSGNGNGNSNACETDSEFGISNTQGSCVEERDEQEIEVIPVGEIDRSHVDDLKEEEVAVSVDYQSSSVEVENKEVESGSHGSYKIDEPVKDVCIKLEEILDVEAEDVKEISHVVYKETEKASQVEEKDVKLDHPNKSKVIPVNRENNAAKTKKKPVAAKSKASQISTPVAAKSKASQISTPRYSKPTSAPTKTLASAASTKKGNPQSLPRRQVTSSVENKKAATRSLHLSMSLGPSNPEPVPHTTDPVPHTMRKSLIMDSMGDKDIVKRAFKTFQKNFNQPKTSGEEDKSSVIKQAPSRGIASKVPTSTTLRKENGRPATVERMEKRSGSVVRTLAPKSDIKSEKGKASSRKIEEKPNTKVVERTRLQTKLKEEKEVEMKKLKHDFKATPLPTSYRGQKVSKTRAEKGDAKTESRR >RHN53561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2560657:2565411:-1 gene:gene28480 transcript:rna28480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGS domain, HSP20-like chaperone, acetyltransferase A, auxiliary subunit MAQELENKAKEAFFDDDFTLAVDFYSQAIEIDPTNANLFADRAQSHIKLNAFTEAVSDANKAIQLNPNLSKAYLRKGTACINLEEYHTAKVALEKGASFAPDDSRFTNLIQQCQRFIAAEESESLTSTLPPNGPKSSVASVDDTHMCDKSDETSKEPQRDSPASQTNAVAPVRPKYRHEYYQKPEEVVVTIFAKGIPAENVVVDFGEQILSVTIDVPGQDAYHYQPRLFGKIIPDKCKVVVLSTKIEIRLAKAEAVNWTSLEYSKDVLPQKIIVPSVQSERPAYPSSKSRTKDWDKLEAEVKKEEKEEKLDGDAALNKLFRDIYQNADEDMRRAMSKSFLESNGTVLSTDWKEVGSKKVEGSPPEGMEVKKWEY >RHN67222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25023037:25030975:-1 gene:gene15382 transcript:rna15382 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:nod25 MVYSNSYMFLGLGVFVLLSSHVLAYNMRADPSIHDSTLDDQMSNDFVKTASIAECPPGPHTYPELSDSTLNDQKSIDYVKDASTVDHNCPPGPHTHPELSVWLQEEQNSIDKVKVLITDEALDNQKGLEYKDTRHNDGSIEISTRTEKDNFIPSDGPIEISSRTEKKNVIPSDESIEISTGTEKENFIPSVGPIDLTTGAQKKNFIPSVDWRTFHAKYPWIKKNDPNTVTGSRKLLNDIASE >RHN73436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16399302:16399820:-1 gene:gene9249 transcript:rna9249 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFVENSIRCIMAKKLDGLHPSLIVAFNLTLEIFNEVPLPAELQGETSLLIDVAVLGGCLCITVNYETTKIDVWVMKEYGYRDSWCKLFTLVKSCFTSHLRYSNPIGYSSDGSKVLFEGIEVLLDVHYKKLFWYDLKSERVSYVEGIPKLFFFKKPMISCFKKQMRFLYKG >RHN79694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31311583:31315150:-1 gene:gene3518 transcript:rna3518 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLSRAVGIFFAAYLSCIGGLFRTFRVPSMTTRKIGPTRSGLWPSLLMRSSGSLRPLR >RHN45754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25292134:25292710:-1 gene:gene40147 transcript:rna40147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant EC metallothionein-like protein, family 15 MADTRETVVRSVAVCDNSCGCTVPCAGDSTCRCTNSEGGANINHSTCPCGEHCECNPCSCPNTVVAGTGCRCGTTCTCASCRS >RHN63115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48576094:48577450:1 gene:gene25774 transcript:rna25774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TLDc domain-containing protein MASCISNSLSFQWRKTSPYCLFGWNIGRKRVDDKPQIKYHDIDLTFSTSLVNKTFLKGKELKCCYRATIDGFSATNFHQCCDFKGPCVIIGYTDNSFKFGAFNPEGYRSTDDYYETFDAFLFYWIENKTEPIILPKVGGSGAALFDYARGGPQFGADGLLIGPPLAPVMGGFAGPDTNSGVGDLRQAKSRLGLSYAKREDGKESIFGDESRATIQEVEVFCSPKIASLY >RHN80897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41664607:41667626:-1 gene:gene4877 transcript:rna4877 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRFLGFSEGDVMRSDCKPCSRLMRHTAGIFSVGGALGFWVLCRMHYGPRIQIPRSLRWAACGAVTVSSSTALLVRLFSPECEPQNIAAYDNKK >RHN70406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51240823:51246327:1 gene:gene18985 transcript:rna18985 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:sqp1 MIDPYGFGWITCTLITLAALYNFLFSRKNHSDSTTTENITTATGECRSFNPNGDVDIIIVGAGVAGSALAYTLGKDGRRVLIIERDLNEPDRIVGELLQPGGYLKLIELGLDDCVEKIDAQKVFGYALFKDGKHTRLSYPLEKFHSDIAGRSFHNGRFILRMREKAASLPNVRLEQGTVTSLLEENGTIKGVQYKTKDAQEFSACAPLTIVCDGCFSNLRRSLCNPKVEVPSCFVGLVLENCELPCADHGHVILGDPSPVLFYPISSTEIRCLVDVPGQKVPSISNGEMAKYLKTVVAPQVPPELHAAFIAAVDKGHIRTMPNRSMPADPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRDLNDASSLCKYLESFYTLRKPVASTINTLAGALYKVFCASPDPARKEMRQACFDYLSLGGLFSEGPVSLLSGLNPCPLSLVLHFFAVAIYGVGRLLLPFPSPKRLWIGIRLIASASGIILPIIKAEGIRQMFFPATVPAYYRAPPDA >RHN61810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38541260:38544240:1 gene:gene24309 transcript:rna24309 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPLVKLGTLVLRTVCKPIANRLKKEAGYHPKFRNFIISIAQANHRFTTRIQRRIYGKATDVLIRPLDQDKAVQAAADLLGELFVFSVAGAAVIYEVQRNARSEARKEELRRQEIQAIKTRSEELSREIELLEQKLHEMEKLARGRGLIGFFNFRLSNAGEDLKSKSN >RHN46984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36269269:36272596:-1 gene:gene41528 transcript:rna41528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MRGSNSLSSAGETLDRTISKGETPLIQGKVFSNSIETTELHHAPEGLIQTNVGDYSGINSFEDLTNVVIVESARLWAIASPICFGILCNYAINTFTNIFVGHIGDLELTSVSISLSVVSNFSFGFMLGMASALETLCGQAYGAGQVDMLGVYMQRSILILFGSCFLILPLYLYATPILKLLGQEADIAELAGVFTVQSIPQLFSLAINFPAQKFLQAQSKVGVLAWLGFIFLIVHILILILFIKVLGWGTAGAAAAYDLSAWGIALAQMFYVVGWCKEGWRGLSWLAFKDLWGFTKLSFASAIMLCLEVWYFMTLIVLTGHLDNPIIAVGSLSICMNVNGWEGILFIGVNAAVSVRVSNALGSGHPRAAKYSVVVTVVESLIIGIVSAGIILATKDHFAVIFTDSVEMQKAVSKLASLLGITMILNSVQPVLSGVAVGGGWQALVAYINLFCYYLMGLPLGFLLGYKGGYRVQGIWIGMICGTVLQTAILVYIIYKTNWNKEVRLSAFLINHQSSLRK >RHN63408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51023311:51025436:-1 gene:gene26105 transcript:rna26105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S21 MAVWSLRPKTCPCPSSPSPLQSSSGFTTTNFGHVSFSTIAYPTLSVKYYVNVQINIVDDDEPEDELINRFRKEVIMAGVFQECRRRRFFETPQDKIKRKAREASKRNRKRRPYIPPARDKYEYDYPNKKKDDDGEEEDNWELLDVDVPYS >RHN44353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3791051:3793504:-1 gene:gene38463 transcript:rna38463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MEGKYLSLIILLTISIFASNSNSFPLSTNKRWVVDESGKRVKLHCINWSSHMNAMVAEGLDTIPLKDCIAQLKGLGFNCVRYTWATHMFTRYSTNKVGEKLDKLNLTGPRLGIGNFNPSMENITVVEAFDFVIDEFGKQGMMVLADNHVSDPKWCCDNNDGNGFFGDQYFNPEEWLQGLSNVANRVKGKPQVVAIGLRNELRGPKQNENNWHKYMSQGATTVHKANPDVLVFVSGLNYDTDLSFLKTKPLNVNIGNKLVYEVHSYAWSSGERSDWDKQPLNKKCANVMNNLNDKAGFLMSGSNPKPLVMSEFGINMENKTDMNEKFLSCMLAYLVGVDLDWALWAAQGAYYIRKNEIIVSETFGIWSYDFRTLRYIEFPQRFQLMHKKLLEPSSNSSKSYIIYHPLSGQCVKVNKRNKLVLGDCDGKSKWNQVGQQIKLVGNDACIEAIKDGSQVKLSNDCRSKQSFWKTLSATNLHLGTLDSQGQNLCLQRKSPTSPKIVTKKCICIDDNPACLEDPQSQWFQLVTTNV >RHN47927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43630665:43632610:1 gene:gene42582 transcript:rna42582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prenylated rab acceptor PRA1 MANLGTTQRILPTSSKPSSPTTDTHEPKSPHEKLYADLKFYCPINIPLTQDAAASRIIRNLGNLGLYYTLFIWIILFITLIPERKVSLILLVIMTYVTTLYCLLLRACPNSVVLHRIIDKRIVLSLLFIATAIQLILTEAGIHFAVTLTCSVPVVLLHAVLWAGSYEYDAYETEEGSGKEELAPLTGSQNDSEPHNVDVV >RHN44451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4888008:4888958:-1 gene:gene38572 transcript:rna38572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MNEIELLSQLRHIHLVSLVGYCNDKTEMILVYEFMQHGTLCEYLYGSNNQPLPWKQRLEILLGAARGLNYLHTEVKNKIIHRDVKSTNILLDEKWIAKVSDFGLSKVGPTGISTTHVSTIVKGSLGYLDPEYYMFQRLTLKSDVYSFGVVLLEVLCARPPLVRDLDKNTASLVCWFKRCYEEGVAIEQMVDPFLRDSITGECFEYFCKLALSCLHDDGIQRPSMSQVVGGLEFALQLVVSEEDCNVGTTQKEMTCIKGLRFSQSMSDEGSGMHFARSQTFKEGTISTSPRTKEHLFSEIGNKKTRSYSCQNFKVYI >RHN62290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42357771:42361279:1 gene:gene24848 transcript:rna24848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MFFFTTPTSVSDLHWSPTTRRNPSFQGIPLFSTNSFHYSIYHLLVKCCYFLHWQVWLLDQFGVLHDGKQPYPGAISTLENIAKTGGKMVIISNSSRRSSVTLEKVKSLGFDASLFLGAITSGELTHQYLQRRDDPWFASLGRSCIHFTWSGRGAISLEGLDLRVVENVEEAEFVLAHGTEALGGANGNARSMKLEDLEQILELCAAKRIPMVVANPDYVTVEARDLRVMPGTLAAKYEKLGGEVKWMGKPDEIIYKSAMAMAGTDVSDCIAVGDSLHHDIKGANAAGIQSIFITGGIHATELGLHGFGEEADSSSVESLVTKYNAYPSYVLPAFTW >RHN51032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13210014:13211410:-1 gene:gene35395 transcript:rna35395 gene_biotype:protein_coding transcript_biotype:protein_coding MISFHNLHRFISSIHDFSPLLRSRPQPFTNFVVHYSFGLLCIPSLFTTLLRQRCDFLWPHLRR >RHN68711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37957998:37958868:-1 gene:gene17097 transcript:rna17097 gene_biotype:protein_coding transcript_biotype:protein_coding MARYVLLWQTIFFPSYLKRKDLHQYDVTVTPEATSRGVNCASMEQFVSSKMPQTIPLQKAFPLEKSMILFLDML >RHN49504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55250042:55251206:-1 gene:gene44343 transcript:rna44343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MGNETRRLSNSIIFLLIFLTLVSSTNGGDIVVYWGQNEREGSLTETCNTGLYEIVNIAFLSIFGSGRKPQLNLAGHCDPTSNNGCKSLSIDIKNCQKKGIKIILSIGGGVNGYSLSSNEDARNVGDYILNNFLGGTSKSRPLGDVVLDGVDFDIEVGGGEVFYSELARRLSQHRGTKKVYLTAAPQCPFPDQHLKGALSTGLFDYVWVQFYNNGPCQIEASNLNKFQKSWNQWVSTIKVSKIYVGVPASPSAATASSGYVPTQVLISKVLPFVKRSRKYGGVMLWDRFADKQNGYSRDIKASV >RHN57123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36674213:36674906:1 gene:gene32623 transcript:rna32623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MFFYSLTFSFSLLFLSNKNREKVITFELTVKQLMSFDPGEWTETLRKEYVLVIEGFFTLPLPLLSSTYRRAIKARTKVAEALTLIVRQRRKESVMGETKTDMLGALLASGDHFSNEQIVDFMLALLVAGYETTSTIMTFAVKFLTEHPLALAQLKVNLRI >RHN48993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51626756:51628897:-1 gene:gene43771 transcript:rna43771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative drought induced 19 type, zinc-binding protein MEDETSKSYQSRLKSHLELLIDFEEVNGDEELMTIYPCPFCEEDFDLLELCFHIDLDHPIEAESGICPVCAMWVGTNIVDHITAQHGDLFKISFLIFIIEMILLRHNK >RHN62444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43470505:43477155:-1 gene:gene25022 transcript:rna25022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TFIIH subunit p52/Tfb2 MPEVRIIAKNFMDMVASMPTIKLDKLYENGFICEAILRSLPPLAKKYVLQLFHIDGPVPAKLLAEWVLPDGLSKHKVAIDRLVQLGVFVEALDSKNGKTYKVNPTYQRSLQKLLVHGGTLPRESMPSNITVRLPTLEDLETYALEQWECFLLQLISPSHVDKTLNISSSLMKVFQRRLLSQRDREAPKLTESGFQFLLMDTNAQLWYIIREYITNSEERGVDAGDLISFMLELSFHVIGEAYNINTLTEFQRNIIKDLADLGLVKLQQGRKESWFIPTKLATNLSVSMTESSSRKEGFVVVETNFRVYAYSTSKLHCEILRLFSRVEYQLPNLIVGAITKESLYNAFDNGITADQIVSFFRQNAHPRVAQRIPAVPENVTDQIRLWESDLNRVEMTEAYYYDEFPSRDVFEGACDCAREWSGLLWEDSKKMHLVVKSEVHTYVRDFLRRQK >RHN81896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49627230:49636445:1 gene:gene5993 transcript:rna5993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase transcription factor bZIP family MAKKKVRINIVVIGHVDSGKSTTTGHLIHKLGCIHKSVIESLEKEAAKMNKRSFKYAWVLDKLKAERERGVTIDITLSKFETNKYNCTVIDAPGHRDFIKNMITGTSQADCAVLVIDSTTGGFEAGMNGQTREHALLAFTLGVNQMICCCNKMDATTPKYSRDRYVEIVRQVLPCLNKVGYKLIPIVPISGFEGDNLIERSTNLDWYKGPTLLEAIDQINEPMRPSDKPLRIPLQDVYKIGGIGTVPVGRVETGSLNPGMVLTFAATGLQAEVKSVEMHHEAIGEALPGDIVGFNVNVAARDLKQGYVASNSEGDPAVEAAHFTSLVIITNHPGQIRNGYTPVLDCHTSHIAVKFAELISKVDRCSGEEIEREPKFLMSGDTGIIKMIPTKPMVVEPFSLYPPLGRFVVRDWHQTVAVGVIKAVKKKDPAAGALAGRDKLESLCMELQRQNKMLMEECKRVSTEVQQFKLDLFAKFQDAIKEDYKLVSTEAQQFKLDLSAKFQDAIEEEYKCVSTEAQQFKLDLSAKFQDAIKKLHPDNAFEECIRQELIPANEIEVTFSDIGALDDIKESLQEAVMLPLRRPYLFKGDGLLKPCKGVLLFGPPGTGKTMLAKAIANESGASFINVSPSTINSKWSGQAEKNVRALFSLAAEVAPTIIFIDEVDSMLGRRSSSYENNSIRRVKNEFMSRWDGLLSKPDEKIIVLAATNMPFDLDEAVIRRFQRRIMVGLPSAENRETILKTLLAKDKHEDIDFKELSTMTEGYSGSDLKNLCTTAAYCALKELTHYEKERKRKRKRKLEEVEILEDASNAAKDDIEDQVISLRPLNMEDMRQAKNKVAASFAAEGSMMNRLREWNDLYGEGGSRKKEEQLSYFL >RHN71895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3427526:3431454:1 gene:gene7543 transcript:rna7543 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVRFCGLKSSIFRRKSSDFESMRSSSSSNKKMRISTPPKKPTKVYNDVKGESMEWSFIGMDQLMLMMKVHKKIFVFRDIMDLAPLNTSASLREMVITSLEDLQRLYPRIISIKKVTNIKDKSIDQGLAYLCEGLKCLGESWLKKNDFMDNKNCELQITSCKDNTNMQQLGKTMLATLDCLIKIASEKFDIMEEDSPKKVFNSSNNSDITPKCVLPSPISSYTSTLWSLRIQAVGKLKPIDLKRLSYHMSPQLIERQENKIEKELTKDKEKDHKVENHENDSIKDLVFDLNTIEKLDKTMPLPPPPPPPLPPSTPQLPPVKIPPPPPPPPLSLGLSSVALPPPPPLSMKPGSTPAPAPPPPMLRGNGGSAPPPPPLGAGRSLRARATTKLKRSTQLGNLYRTLKGKVEGSSLKGKSSSGRNTAIGAKNTGGKQGMADALAEMTKRSSYFQQIEEDVQKYTKHIIELRSSITNFKTKEMTELIKFHKEVESVFEKLTDESQVLSRFEGFPSKKLEAIRMAAALFNKLDSILNELQNVNIVTPVTQVLDKIERYFNKIKTELDALERTKDEELKKFKGHNIEFDFYILVKIKEAMVDVSSNCMELALKERRINNSSDGKRKECGGKLLWRAFQFAFRVYTFAGGHDDRADKLTRELAKEIESDPNQP >RHN54550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10402808:10406119:-1 gene:gene29614 transcript:rna29614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MRTWVVSQLQLNHITRLTFENPVLLRFRLRRSSSSFHSFSSQENYLNGNFSIVHRQKTGALAYLPTSTSLFTLYSKAGDFTSSRVLFEHIHNRDVIAWNAIISASLENKCYRTAVEFFQKMIKDQTRFDSTTLLLVVSTLSHLKNFGQGRVIHCVSIKSGMLVDISLCNALINMYAKCGDVNSSDSECLFEEMEYKDVVSWNSIMRGCLYNGDLEKSLCYFRRMNFSEERADHVSLSCAISACSSLGELAFGECIHGQGIKLGYKDNSFVSVANSLISLYSQCEAVDVAETVFREMAYKDIVSWNAMMEGYASNENIHEAFDLMVEMQTTGCFQPDIVTLTTMLPLCAELMLYREGRTIHGYAIRRHMVPDHLPLRNGLIDMYSKCNVVEKAELLFHSTAQIDLVSWNAMISGYSQNKYYEKAQNLFKELLCCGQNCSSSTVFAILSSCNSANSLNFGKSVHIWQLKSGFLNHTLLVNSLMQMYINSGDLTSGFSILQENSSIADIASWNTIIVGCVRGDQFQEALETFMLMRQGPSFNYDSITLVNVLSAVANIELLNQGKSLHSLALKSPFGSDTRVQNSLITMYDRCRDINSARKVFKFHSISNLCTWNCMISALSHNKESREALELFRHLQFKPNEFTIVSVLSACTRIGVLIHGKQVHGYTFRYGYQQNSFISAALVDLYSTCGRLDNAVKVFRHSQKSESAWNSMIAAYGNHGNGEKAIELFHEMCDLGIKVTKSTFVSLLSACSHSGLVNQGLQYYECMLEKYGIKPEAEHQVYVVNMLARSGRIDEAYQFTKGLQSNASSGVWGMLLSVCNYHGELELGKKVAEKLFEMEPQNVGYYISLANMYVAAGSWKDATDLRQYIHDQGLRKCAGYSLIDVGLG >RHN66726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17715947:17716591:-1 gene:gene14792 transcript:rna14792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S3 MGQKIHPLGFRLGTTQNHDSIWFAQPRNYSENLKEDKIIQDCIKNYIQKTPGVEGIGRIKIKKTIDQIQVIIYMVLPTLLTEGKPRRIEELQTNVQKKLNCVTRKINITSTRIPNAYSDPNILAEFIAGQLKNRISFRKAIKKAIELAEQAGTKGVQVQIAGRIDGKEIARVEWIREGRVPLQTIRAKIDYCSYPVRTIYGVLGIKVWIFLNND >RHN42601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39625598:39629301:-1 gene:gene49058 transcript:rna49058 gene_biotype:protein_coding transcript_biotype:protein_coding MECSVMYDNKMVQWINLPKELWLVIAKNLNTTLDVVRFRSICRLCRSLVPSPSSHTPRIPYLKYFLHQTKIYRIEPASHHLLSTSTSSNKGWLIKLVQDYTSSKLFLFDLFDPKTYPSQEKTSQNVSSQEKTTQKVLNLMNYRFVELFEGYTLSHDFFRYQGGDFQYAPVSGCKAILFRHHIIFALHSDKKVHVCNIGDMKTTVIMDDDDVYMGYDDIILHREQLYVVDENGTIFWINPLTLKLVQFSPKLYCCDRNKKHIWVKSDKKKKQLVEYDGNLYVVDLYINDKSYHKQYLYKVVFVKIYKLDQEWGKWFDVPDLGEALFVLGRDSNFSLIAQDYYGCQRNCIYLFSKGKAYCFNLENSRCKPANSFWPCPTLFNPMIK >RHN48576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48556060:48558130:1 gene:gene43307 transcript:rna43307 gene_biotype:protein_coding transcript_biotype:protein_coding MICHNATWMTSEDQGNKKDHVGCNHSSYALPPSHPKINKATSKVIRRDSHNKSYPKASHFKPRKSPLARCSWLKIIIGKCWIRLYFNTM >RHN49913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2257730:2259104:1 gene:gene34145 transcript:rna34145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase domain-containing protein MGNGVCLFGAFSSGVIDHTLVETLVVLILKIDIAKSFKEFRPIGLCNVLLKTISKVLVRRIIRPFLDDFIGPLHSSFIPNRGTSDNTIIAQEISHCMRRKKGNK >RHN50377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6611385:6611885:1 gene:gene34655 transcript:rna34655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MTTPSTIILKCSILLTTLLAFEARETIAYSFAYNVTIFIYNKVLAPTPADITVHCKSKDDDLGDHTLMPGESYVFSFKPTHLPFKNTLFFCSFTWPGNPHRHYLDIYDEAHDECKHCSWDINVNGGCLNDGKCVPWKSIAFMESYNTSKWPGEKGLHELAHGHPLT >RHN65402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1858921:1859775:1 gene:gene13236 transcript:rna13236 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLSQSFILNLTPQMIRHRQRVEDFVSCSSNSSHFCHSLKHRHSAMRILKRHYFLFYLGILFQLHVCLSKYYVT >RHN67963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31839987:31841786:1 gene:gene16228 transcript:rna16228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKLVKFVYVIIVFYTLFLVGTEIVSGHACTVNADCEQSMCDPFCVGGYHFTPICVIGWCVCVGNRVAPVL >RHN45870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26400594:26402040:1 gene:gene40292 transcript:rna40292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSRSISTEDRISALPDPIIWHILSFVPTKTAAITSILSKRWNPLWLSVLILHFEDETFQNMESFSHFMSSVFLLRDITLPIRSFHLNRSKRYGIETQNINRFVHAIAQRGIENLNLELSGSITLPRSVFSCRTLVVLHLQWITVKDLSQLVLLSGCPILEELHAESLIVRNKEWLVSLNFVRQKFPSLPKLITANITKSSHSLALFLALLCRAKSQLLRAELDFENEEEFEEVVDNWVYPTIIPDCLSTQLKTCLLKGYECTDRELQFAKYIMQNSEVLKTMSIKSASSIDTNTKHQIWMKLASCTRASSTCKLLFD >RHN45705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24702678:24711781:-1 gene:gene40088 transcript:rna40088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAD-binding domain, ubiquinone biosynthesis hydroxylase UbiH/COQ6 MNRVIKKTVSNVFALKIPRKCFCSQVVKVDASTNILPSNGHEKKPIISQIIPEYDVAIVGGGMVGMALACFLAPSSEASMPMTKQLSVAIIDSNPALSSGLCIKKEDPPDPRVSTVTPASISFLQEAGAWKYVEQNRHAYFKKMQVWDYTGFGYARYDAGDVNKDFLGCVAENKVLHSSLLSCIKDSDFKTTFYPSRLTSMTLNTNSMSVVEENTPSKEPSPAQGHASKLELSDGSSIYAKLVVGADGGKSRVRELAGFKTTGWNYSQNAIICTVEHTSANHCAWQRFLPNGPIALLPMGENFSNIVWTMSPTESNDRKSITEEMFLKDVNSALDYGYGPHPTSSSLGARDIFSWLKMDGTLSANEPFEIPPKAIRLASERMVFPLSLRHANSYATKRVVLIGDAAHTIHPLAGQGVNLGFGDAYSLSRVIAEGIALGSDIGEVCYDSDNYIMIFIRLKTSELLSEPILEVYVMSPILLSRCRGLLLRYVWELNFGWRGRS >RHN73522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17332134:17338116:-1 gene:gene9344 transcript:rna9344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative feruloyl esterase MSLPSIEHHQRVIIPNKNGEKLVGILHECSGTTTNDIVILCHGFCCSKDTDLVLNLAVALEKAQVSSFRFDFSGNGESEGSFKFGNQRTEVDDLHAVAQHFRESNRVIRAIVGHSKGGNIVLLYASKYHDVKTVVNVSGRFDLKRGIEELLGKDYLERIRKEGFNDVKKSSGSFDYRVTEESLMDCLSINMHESCLQIDRECRVLTIHGSSDEINTVQDAHEFSKIIPNHKLHITEGADHLYNNHQDELSSVVINFINETIDHDKGTAS >RHN71973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4085339:4087404:-1 gene:gene7638 transcript:rna7638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MAHTYIPIYPFYKIVTFSSPQIPYTFSFSSLPHFSNEKIIKVSYVSIVIISMAPKVVKNGGVNGVKEAHFRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDSAARQFRGPKAKTNFPFPIENIKIHSPSQSSTVESSSRDRDAAADSSPLDLNLAPAFQHRFTPMPAVNQTFCFDPVLRAGMVNSRSCYGYGFEYNPSMEASGFHATVGAQSDSDSSSVIDLNHHGRSFDFDLNYPPLEDML >RHN80662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39853510:39854120:-1 gene:gene4613 transcript:rna4613 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLFSHWCVTRPSPQFSLTQSRETANPSGTTLLRSCRTIQAANQQLPTPATDTK >RHN57651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40802638:40808935:1 gene:gene33229 transcript:rna33229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MSIEKYGEHSSGFTYDVFLSFRGEDVRHNFIGYLRDALQHRGINAFFDDKNLRIGEDISPALSKAIEESKIAVIVFSENYASSRWCLGELVKIIECTKRNKKQISFPIFFHVDPSDVRHQKNSYEKAMVDHEVKFGKDSENVKAWITALSEAADLKGHHINTGSEIDHIKEIVEKVHANIAPKPLLYGDDPVGLEHHTENVMSRLDNTDHTVMLGIHGLGGIGKTELAKSLYNKIVHQFEAASFLANVREKSNKINGLEDLQKTLLSEMFEKPDTDLGSTSKGIKEIKQKLGNKKVLLVLDDVDNKEQLKNLAGGSDWFGPGSRIIITTRDKGLLIGTHSFVVQKIYEMTELNEKDSLELFCRNAFGKSHPETGYEAVSSRAVGYAKGLPLALKVIGSNLGGGKSLRAWEDALKNYDRIPRRGIQEVLQVSYNVLEPNAQSVFLDIACFFKGDRVDYVEEILDDFAAVTGIEELVNKSLLIVKDGCLDMHDLIQEMGRDIVKQESPRNPAKRSRLWSHKDIIKVLSNEKYGSDVLQGIMLDPPQPIKQQDWSDTAFEQMNCLRILIVRNTTFSSEPKHLPDNLTLLDWEEYPSKSFPAMFHPEEIIVFNLPESKLTLEEPFKVFSKLTIMNFSKNESITVIPDVSGVENLRVLRLDNCTNLIMVHESVGFLEHLTHFSASGCAKLRNFQQKMFLPSLEFLDLNLCVELEHFPDILNKMNKPLKIYMINTAIEELPDSIGNLIGLVSIEMTSSWKLKYIPCSLFTLPNAVTFKFGGCSQLALRRFLHDIPSAANGRSTLKALHFGNSGLSDEDLKAILISFLELQELIASDNNFVSLPVCIKDSAHLTKLDVSGCNMLREIPVCINLRILNVYGCVMLEHISELPCTIQKVDARYCIRLNRETSEMLWYQVKSERRGLQIVMPQKKTGVPNWFDYSCKGGNPRFWVRKKFPNVALALVFEGVTGRARKSRRLLVELHLVINGLCVRRKGYYNFRIEQNHVLVCDLQLLFSDEEWLGLDALLLEDEWNQVQVSYEAPSSVTLSDWGVFVYKQGTNMEEYVQFMCPDLKYSQLVTDISPTMTIVPPKDDKLEQMKLIDQLALDEMLPGMLRETRDMEGRSSNEGNLQDLMAIVSGLNKEVQDALEGKPLDKKSPLAWILQIVNESNDDNDEQANFDHTDEMKPAIGVGEASTSGHQGRSEAQDSNAEQDGIMTGIFLNGMGTGLREAQGIFPPLDIDTIMIAALNRGEWIRLSLPESEAEMTIYMEGIINGLLEAKLSFPTLKEWEILNTVLRKEGHNTMFQQIDWTGIVIPSYEDPLLQTFMMMKQGSCESERAKSKLFCKLLEEQNALRKKFEEIDNEIATMDNSKKGHILNEKLADVLKGRAEELQRFYDAGIEGFKNSKEIQDLMTATYSNGMRNGVLEARAILLALDMDIITHVFEGNENEISAQDKDSRLLPSSENQYYNFVEKLNTQFAWYSSNKDQSPDDCHTKESSISDSKSSLGSTKICANIGKESCKDVIKHKKLAAVLKERGEELTSLYDAEIEQLQNSEEIQDLMSAIYLSGLKDGVLEAQATLLSLNMDKPTPMFEENEEHITHMASNDEAVIENDDDKTIGKEDNPSPTGPEVDKTRDTPYPYGCFWRILHTYFCKCFS >RHN52682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37702117:37708625:1 gene:gene37380 transcript:rna37380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CDK7 family MAELDPAKKVADRYLKREVLGEGTYGVVYKAIDTQTGQTVAIKKIRIGKQKEGVNFTALREIKLLKELKDPNIIELIDCFPHKGNLHLVFEFMETDLEAVIRDRNIFLAPGDIKSYLQMTLKGLAHCHKKWILHRDMKPNNLLIGPNGQLKLADFGLARIFGSPDRRFTHQVFARWYRAPELLFGTKQYGPGVDVWAAACIFAELLLRRPFLQGSSDIDQLGKIFAAFGTPSPTQWPDMVYLPDYVEYQFVPAPPLRSLFPMATDDALDLLSKMFTYDPKDRISVQQALEHRYFTCPPQPTDPVKLPRPAPKKEIKPSDLNPPEGPTVLSPPRKTRRVMPGRDGLEGNSLQGDRGDDNHGNLKQTAGDNTGKNEPAPMSLDFSVFGLKPPNRPTINSADRSHLKRKLDLEFQ >RHN74880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37430253:37430594:-1 gene:gene11012 transcript:rna11012 gene_biotype:protein_coding transcript_biotype:protein_coding MATRINCLLFFIAILCIASVMTPGGADGICSGYCNATDDNVWEYCSQYCVKKGFSSDAWCKATLCCCHDENHLL >RHN54390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9192134:9196795:1 gene:gene29415 transcript:rna29415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MGSFATTTMFNFSTLVHNPQPQTLIFSPFPSSTPRKFQSFQPLKSPTNRNLYKILSSSYQNDNNDNDEEHIIGDCLVFEEGIFEDPIFPTSDNNLVNNKKPKPISKKKKQTVIKSENLVPGKWKEVQAGINITKKEQPKIAQEIEFNSKEERRKIAQEFEFNSKEERRKIAQEFEFNSNFMKKKSGLVHLRDIDSNEYKAYKEAKLAQLTPLVLDKVPSFCFAEKKKERELDELSDERVEARNPRWAVYGKGLEDVKEFLNSESYDPAAKKIGGLPFLFTWEERDSLKKKTPDLSVATSDKWIPLHTFAASGESFLLDTLLQHDVDINAMDKDGLSALYKAIIGRKLAITHLLVRNLANPFVQDNDGATLMHYAVQTASARAIKTLLFYNVDINLRDNDGWTPLHLAVQTQRPDIVELLLIKGADRTLKNKDGLTPLDLCLYSGQNVSTYELIKLLKQLPYIDTPERRVNQVVKTALNRHARRKWIRK >RHN72884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11539080:11541276:1 gene:gene8648 transcript:rna8648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MRFLSQDWMLSWAILVLVLVSCTPCLSATNRNTKNNVKSAVFMSPKIELSPGSISNKFYYDVEFPRGHIALKSFNAELVDESGNSVPLQETYLHHWIVLKYQQPKNVTNNNQTDIIMVRNNGLCQDDILGQYYGLGAETRGTDTYIPDPYGVEIGNPSQIPKGYDEKWMINVHAIDTRGVEDIMGCIECKCDLYNVTINALTGKPLSPNYKGGLGCCPDNGQCRLRKGFLGTKHVLYLKYTIMWVDWDDFVVPVKIYLLDVTDDLKISYNPNGMSIKHNCKVEYQVKPCTKSHVNGSGCVNIKRTSVPIKTGGYIIYSAGHQHVGAIRSTLYGQDGRVICNSVPKYGTGMEAGNEKGYVVGMSTCYPKPGSIKLFDGEILTLAIVYNNSIMHTGVMGHMYFLVAEKLPHHHM >RHN74059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:25660547:25660930:1 gene:gene10007 transcript:rna10007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTQRRTAASHSSTSQAPRSTIQILQNRTVLILKPVNHEGDDVILGTATNSRATTVLNAKTLPPSPPLSEGVPPPPLEANKVLTNRVDLLRQQNDIKMKKKNDKKRVNYPCDMAQGQSTWRCHMYC >RHN46849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35294560:35301895:-1 gene:gene41383 transcript:rna41383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & lipid binding HD-SAD family MPSSAISQPRLSTPTLVKSMFNSSGLSLALQTNLEAQGGDMKRLMAENFHQTNNGLRRNREEEEHESCRSGSDNMDGISGDDFDAADNPPRKKRYHRHTPQQIQELESMFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQANDKLRAENMSIREAMRNPMCSNCGGPAIISEISLEEQHLRIENARLKDELDRVCTLAGKFLGRPITSLPNSSLEIGFVGLNNTLPSTMPLGQDFGMVSMSPPSITRGTSMVTNTNSNGFDRSMERSMFLELALAAMDELVKMAQTNEPLWIRSVESGKEIFNHEEYTRIISTPCIGLKPNGFVSEASRESGVVIINSLALVETLMDSNRWSEMFPCVIARSSTTEVISSGINGTRNGALQLMQAELQVLSPLVPVREVSFLRFCKQHAEGVWAVVDVSIDTIRETSAGAPTFLTCRRLPSGCVVQDMPNGYSKVTWVEHAEYEESQVHQLYRPLLSLGMGFGAQRWVATLQRQCECLAILMSSSLPSREHSAISAGGRRSMLKLAHRMTNNFCAGVCASTVHKWNKLNAGNVGEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPASPQKVFDFLRNEKLRSEWDILSNGGPMQEMAHIAKGHDHGNCVSLLRASAINSSQSSMLILQETSTDASGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAVLPDGHSNGSGNHEDASQPRVSGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTIQKIKVALQCES >RHN81743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48630613:48633638:1 gene:gene5828 transcript:rna5828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MQMLCTKTFSLSRFQETCLRVLSFCNSNSLKEGICIHSPIIKLGLQHDLYLTNNLLSLYAKTFGVHRARHLFDEMPNRDVVSWTTILSSHTKTKHHSDALQLFDMMIGSGEYPNEFTLSSALRSCFALGEFERGMQIHCSAVKLGLEMNRFVGTSLVEFYTKCGCCSVEAWKLLSLVKDGGDVVSWTTMLSSLVENGKWGEAFEIYVKMIESGVYPNEFTFVKLLGAVSSFLGLSYGKLLHAHLIMFGAELNLVLKTAVVDMYSKCRRMVDAIKVSNLTPEYDVYLWTTLISGFTQNLQVREAISVFRDMELSGLLPNNFTYSSLLNASSSILSLDLGEQFHSRVIIVGLEDDLYIGNALVDMYMKCSHITTNAVKVFREITSPNVMCWTSLIAGFAEKRLEDSFQLFAEMQAAGVRPNSFTMSAILGACSKTRSLVPTMMLHGHIIKTKVDIDIAVANALVDTYAGVGMIDEAWSVIGTMNLRDSITYTCLAARLNQKGHHGMALKVLIHMCNDGIKMDEFSLASFLSAAAGLGTMETGKQLHCYSVKSGFQRCHSVSNSLVHLYSKCGSIHDANRAFKDISEPDAFSWNGLISGFSWNGLISHALSTFDDMRLAGVKPDSITLLSLISACSHGGLLELGLEYFHSMQKEYHITPKLDHYMCLVDLLGRGGRLEEAMGVIEKMSFKPDSLICKTLLNACNLHGNVALGEDMARRCLELDPSDPAIYLLLANLYDNAGLSDFGEKTRRLMRERGLRRSPGQCWMEIRSRVHHFSAGEKINEDEITEKLEFLITEFRNRRYQYQENEDKFYHPEQLAVAFGVLNAPSTSPIRIYKNSLICSHCHTFIMLSTQVIGREIIMRDRKRFHFFKDGQCSCRGHL >RHN44239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2692302:2694792:1 gene:gene38333 transcript:rna38333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRPPCCDKEGVKKGPWTPEEDIILVTYIQEHGPGNWRAVPTKTGLSRCSKSCRLRWTNYLRPGIKRGNFTEQEEKMIIHLQDLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKSQTGNNAECINFEEEKFSASQRIPRGQWERRLQTDIQMAKKALNEALSPQKLSPNFSTSNPTNSNSFSSTKEPGQSFCYASSADNIARLLKGWMKNPIKSSSLSPDATILQDESKPDVGSETMPFSLLEKWLLDEASCPEKVLGCGGDHAQVF >RHN54633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10914673:10916790:-1 gene:gene29702 transcript:rna29702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxymethylglutaryl-CoA reductase (NADPH) MGVQQKISKNEKKNQKKQEDSQSILYLTNVVFFGLFFSVAYFLLNRWREKIRTSTPLHVLTISEILALVSLIASFVYLITFFGIAFILHYDEEEEDIAAKTTQGVLPKKLPTLPPPKISDQKVMSMEDEEVVSAVVSGSIPSYSLESKLGDCRRAANIRNQAVERVTGRSLEGLPMEGFDYDSILGQCCEMPIGFVQIPVGVAGPLLLDGNEYTVPMATTEGCLVASTNRGCKAIYVSGGASAVVLRDGMTRAPVVRFNSAKRASQLKFFLEDPQNFDSLSHTFNKSSRFARLQSIKATMAGKNLYTRFTCSTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVIGISGNFCSDKKAAAVNWIEGRGKSVVCEAVIKEDVVKKVLKTSVESLVELNMLKNLTGSAMAGALGGFNAHASNIVSAIYLATGQDPAQNVESSHCMTMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKETPGANSRQLATIVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSNKDVTKVAS >RHN52964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40714986:40719701:-1 gene:gene37706 transcript:rna37706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, toll-like receptor MASTSNSSSVLGTSSRRNYYDVFVTFRGEDTRNNFTDFLFDALQTKGIIVFSDDTNLPKGESIGPELLRAIEGSQVFVAVFSINYASSTWCLQELEKICECVKGSGKHVLPVFYDVDPSDVRKQSGIYGEAFIKHEQRFQQEFQKVSKWRDALKQVGSISGWDLRDKPQAGEIKKIVQTILNILKYKSSCFSKDLVGIDSRLDGLQNHLLLDSVDSVRAIGICGMGGIGKTTLAMALYDQISHRFSASCFIDDVSKIYKLHDGPLDAQKQILLQTLGIEHHQICNHYSVTNLIRSRLCRERVLLILDNVDQVAQLEKIGVHREWLGAGSRIIIISRDEHILKYYGVDAVYKVPLLNWTDSHKLFCQKAFKFEKVIMSNYENLAYEILDYANGLPLAIIVLGSFLFGRNVTEWKSALARLRESPNNDIMDVLQLSFDGLEHTEKEIFLHIACFFSYSSKEYVKNILNCCGFHADIGLSVLNDKSLISLGESTIIMHSLLEELGRKIVQENSSKERRKWSRVWSEKQLNNVTMEKMEKHVEAIELWSYEEVVVEHLAKMSNLRLLIIKCGRNIPGSLSSLSNALRYVEWDGYPFKCLPTSFHPNDLIELILMNSDIKQLWKNKKYLPNLRRLGLSYSRKLLKIVDFGEFPNLEWLNLEGCKNLVELDPSIGLLRKLVYLNLKNCKNLVSIPNNIFDLCSLEDLNMRGCSKVFNNPMHLKKSGLSSTKKKNKKQHDTRESESHSSFPTPTTNTYLLPFSHSLRSIDISFCHLRQVPDAIECLHWLERLDLGGNNFVTLPSLRKLSKLVYLNLEHCKLLESLPRLPSPPTSGRDQQENNNTFIGLYDFGIVRKITGLVIFNCPKLADCERERCSSLTFSWMIQFIMANPQSYLNEFHIITPGSEIPSWINNQSMGDSIPIEFSSAMHDNTIGFVCCVVFSVAPQVSTVWFRIMCIDLDIPVTIKGSLITTKSSHLWMIFLPRGSYDKFENICCYDVLGEGLGMEVKSCGYRWICKQDLQEFNITMLNHENSLAPKYSYIHDSRIGTLVEVNCETNFVSQSEIFNEFVNDIEYVVTKDVPEEFVKKETEIEMQKEDLASKSEQIRSRIV >RHN65263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:657233:662473:-1 gene:gene13083 transcript:rna13083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Galactose-binding domain, SUN domain-containing protein MQRSRKALQQRRSLEKAASGRNCLYKVSLSLVFILWGLVFLFSLWISCGNGYGDVSGEFPVAVSNWNKDEQRKCKNAKSDEEYLTKELEACIPSETFYSDGSKTDDLIGNSLFGEENIDGFEHSDREKYIPHNRKEHEVVERFESSAKSENDVQKFDRLSAAVPLGLDEFKSRAVSSKISWSTSPSGSVIHRVEPGGDEYNYASSSKGAKVLASNKEAKGASNILTRNKDKYLRNPCSSEEKFVVIELSEETLVDTIEIANFEHYSSNLKDFDLHGSLVYPTDNWIFLGNFTASNVKQAQRFVLQEPKWVRYLKLNLQSHHGSEFYCTLSMVEVYGVDAVEKMLEDLIHAQENGEKKVEIATSDRKSFEDNDDDVWKINSYTTEISSSKDETVNRNVPEPIEETRQQVGRMPGDTVLKILMQKVRYLDLNLSVLEQYLEDLNSRYVNIFKEYSKDIGDKDIVLQMIKEDIRSFIDRQNNMMKDVSDLDSWKSHFSMQLDHILRDNAVLRYEVEKVLENQVSLETKGVLVFCVCVIFSLFAILKLSLNMIMSIYGVLSSNKAIKSRKFCQGSSSWFILLLSCNIIIFILTT >RHN43176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43759726:43760139:1 gene:gene49712 transcript:rna49712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MMMNVLTIVVILVITTAGHVHCRLGVDVESTTTVHVENDLKVDTVLILHCRSTNNDVGEKTLHSGQTVEWSFQTNPGGTTLYSCDIKWNNEQHKFVIYDSKKDEAMCTSKCMREISPDGVYFFNEFKNTWVKRVTWN >RHN55392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17899706:17901831:-1 gene:gene30565 transcript:rna30565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MSSQQRRVDQKIWQCCAGSSVKIPKLYSHVYYFPLGHLEHICPSPNPNTLSHLDRSRPFILCTVSAVDLLADLCTDEVFVKLLLTPVTNKGVHEPHSLEVREDKDDDKKVVSYSKTLTPSDANNGGAFSVPVECAKLIFPPLDLNTEKPFQELSISDIHGKVWKFRHVYRGTPLRHLLTTDWSEFVDKKRLVGGDSLIFMKDSDGNISVGVRRQTKFGGAAKITEKSFTEAVELADKNLAFEVVYYPTAKGWCNFVVDAKVVEDAMNISWSLGVRIELSSKNYDSSKRCSKFEGTISALSAPNCPWRMLEVKWDEPKVSQVPERVSPWEVETISDIFALHPQFHPTKKLKKSDPDSAAFSDKKGDSFIPNIEAFLKMVPNIEFKHFVMTSSNQTLLNNDAFLDSMQGARHGLFSTSTSSNFGNDKSNGFLGNNSMAVSSNVSSEPNTAAPISLDLSPHSHDISNSRGTKFAGTDSSTEKVSPGSFMLFGKIIKPVRSDFQEFDIKGNDDCEGSNEIEGIKLAQK >RHN39669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9451850:9454555:-1 gene:gene45715 transcript:rna45715 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNEPSINNTDNQALLKQVALTDMLGDWKDGILTIGTLGYDPLKSMNNHKEYFALEAEKQHDIVVDDDDVENFYDVEQEELNPLINNTFDENNFEVVICENHDVVDDDARKEEEMIIRNFKEIVEVPPMICHEIMEANDVEADQKKRITLADLFLADSDVKTKLDYSAAKVLVESSEKPKLKAKNGFSFAKKIIPLVKENARPMKDIKKLMKKMLKRKIHPDIDLKNHKAEENIINNHMNEGNGSRSFIAV >RHN58539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2633930:2636797:1 gene:gene20461 transcript:rna20461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase chromatin remodeling SET family MENGDSTIVDRPKLKSWKISADGTSVEDKSGEDSGEADSTNEENYPQNFELNEDLLVTNTVEQTSDCSLKKEDPMFLSDQVDGDSLANDEPAKVELVGMETMDTELAPEDCRLKKDVTVVSSHQFDVIILETLDVEFATENCSLKNENAVISSHQMDESTLANDEPEKLGFAGMETLDMEFATEGAVKQDLSYISKASYPDGEAATPDDSKSSLSTINIGGAGACMKEALTKRYAPRKKVAVFRDFPRLCGGNAPCLSQDECLKELSSLKEVEATDVNEAENNKRKFDNIVQADSEGNTSLPEKYNHLLVNINSKVVVKAENINTVKLESSSMVSSGRKLVHGLMATSEWKARESKGKKVDFCAQLDKSKTATKSKGVLNHSGHQPLKKKRENSSSDDMGQLVTVEKSSLDPNENNKHFKSVPKSRGSSVNVFPLGHSNFSGHENDSVARNKVRKALRLFQAFYRKILQEAEAKPKSNVKEVKRFDLQAAKKLKEEGSHVNEGENILGSVPGVEVGDEFQFRIELNIIGLHRQIQGGIDYVRQKDKILATSIVASGGYADDLDNSDLLIYTGQGGNVTSSDKEPEDQKLERGNLALKNSNEEKNSVRVIRGYESMDGKRKIYVYDGLYVVESCWQDIGPRGKMVYKFSLRRIPGQPELALKEVKKSKKSKTREGLCVEDISYGKEKIPICAVNTIDNEKPPTFKYITEMIYPECCNLVPPKGCNCTNGCSDHKKCSCVVKNGGEIPFNHNGDIVEVKPLVYECGPKCKCPSTCHNRVSQLGINIQLEIFKTNSMGWGVRSLNSIPSGSFICEYIGEVLEEKEAEQRTGNDEYLFDIGNNKNNNNLWDGLSNLFPDSSSSEVVEDSDFTTDAAQFGNVGRFVNHSCSPNLYAQNVLYDHQDSRVPHIMLFAAENIPPLQELTYDYNYTIDTVRDSDGNIKKKYCFCGSVECTGRLY >RHN73923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21679348:21679973:1 gene:gene9823 transcript:rna9823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MSSSRGYSNSRGSHSFSISRNGGSCNIGGGSRSSGRNPNSGGRNPKQMMSMLPVCGCNLPMRMYIANTFENQGRRFWRCTRWNDEDQHTCALFIWDDELVPGMTHMMDDNAAMEASRVEGRNDEGCRKCTNIDEIMKRFDGRECVQWKKKFEDERKKVKWLVLKLILSWLVFVLYVKN >RHN58006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43081318:43081719:1 gene:gene33611 transcript:rna33611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFVTSHFVSCLVSLKYLTCLDLFSLNISDEMLSSIAMQGFLLTRWFSLQNCTGFSYAGIFCLLSKCEHIQLLDLQNIVFLNHKHVVELSSFLGDLVSINLNHCSILTESAFFALLKNCPSLCVIKMEHTCIGK >RHN48968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51452285:51456165:-1 gene:gene43745 transcript:rna43745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MEKIEHTTVQTNGIKMHVASIGSGPVIIFLHGFPELWYSWRHQLLSLSALGYRAVAPDLRGYGDTDSPSSPSSYTAHHIVGDLVGLLDALNVDRVFLVGHDWGAAMAWYFCLLKPDRVKALVNMSVVFRSRNPVRKPVQTMRALMGDDYYMCRFQKPGEAEEEFARAGATRIIKSFLTLRDPRALCVPKEIGFGGSPNTHITLPKWLSEEDVNYYATKFEQKGFTGGLNYYRALDLTWELMAPWTGEQIKVPAKFIVGDLDLTYNTPGVKEYIHNGGFKREVPYLQEMVVMEGVAHFINQERPEEISAHIYDFIKKF >RHN77703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8831212:8835599:-1 gene:gene1185 transcript:rna1185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MASFGILPNMILYLTRHYGMETPVATNVILLWSAATNFTPILGAFLADSYFGRYPTIVFGLLLNLLGMLLFWLTTMIPNLSPCDPITMSCNSPTTSQLAFLYSSLCLISIGAGGVRASSLAFGIDQLNKEKDAGIIEGYFNWTYALTAAAVLTGMTVLAYIQEIFGWMVGFGVPVVFMFISMVSFFLGSSLYVKVEPKGNVISEFARVVIASYRNRNLKSPSPDVFNDGMYFSDKDSAKLMPTDKFRFLNKACLIKNPQDYNQDGELQNQWSHCTIDQVEAFKAMIKIIPIWITGMIMYINMSQGTFYVLEASSMNRHITSNFEIPAASVATFMVLFTVLWLVLYDCVLIPSASKLRRSPTRLRVKQKMGIGLLAICFSTASLAIVEGKRRKLAIDEGFIEFPQGVVNMSVMWLLPRQILDGFAEAFNGVGQNEFYICELPQSMSSVASTLSGLGMSIGNVLASLILSVVDNVTKGEGKESWVSSNINKGHYDYYYWLLFVLMLANFLGFLWFSKAYGPCKGEENEGQQKMFTKNFTNTKKMLMKNLIKPKDPDNFFTKIKK >RHN67523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27949378:27950015:-1 gene:gene15719 transcript:rna15719 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPATIYIFHISGVVGCETLLWIILSQIWRNWFIINLFVSVVTLVCHTNCIELVYQLSRRMHSNSATIAPLPPNLEPPQAAQV >RHN72764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10526152:10528013:-1 gene:gene8518 transcript:rna8518 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKGEFITNINTGKTFKVPHFSEAVRKYKIGVPHSIEAQEDERKVPYLIEPQKDDLGVPYLVCERTGEAFQEAHAGEIIAVHDVFNAPGSTFLFSQRICYIS >RHN63413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51044753:51049285:-1 gene:gene26110 transcript:rna26110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MEHSEEIDLAATVSNAEEPQLGSEVQQSSPVDHHSPPSDLNQTVQKNDDELAVELHEKLDLKVEDEGVVETDDKVSNFNDGGVVSDERGSETEDKVLNFDDDERVGDERGAEIEDKVSNFDDGERVGDEESLKGEKVDEEESVKGENEEFVEGEERVDVDGDSKGWDTNSWNENVNEELGGGRDADDWGYGVGNGGGAGYGYGAGYGDGYGDGYGYGDEKEEKSSDGTHQFPLRPEAEDCSFYMKTGSCKFGFNCKFNHPIRRKNQNQAVREKVREREEPEENAGQTECKYYQRSGGCKFGKACKYNHSRGFTAPISELNFLGLPIRLGERECPYYMRTGSCKFGSNCRFNHPDPTTVGGSDPQSGYGNGGSVSLRGVSQQPVASWSSRKLNETPFAPLMPTPTQGLAPQTSDWNGYQAPAYLSERIMHPSSTYVMNNPTIDTNVYMHHQKQMPFEVFPERPGEPECSFFIKTGDCKFKSNCKFHHPKNRVAKLPPCNLSDKGLPLRPDQSVCSHYSRYGICKFGPACRFDHPESALPLMMPGLGQQSFANSANAQVAGMGGSASDATIQQSV >RHN48419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47346449:47348128:-1 gene:gene43133 transcript:rna43133 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHTHISIPTTFISHRFSSPTQTLSSSSFHSYFPFKFVVCSKRDDQSQTNADNNGDKSSTDWDKAWSNFKKQGKKTLFSNFSPNKYVSWNPRRSDFPLSEEVDPIKRTERSNLKFWTSPTFTLGGALIILIVLLLYTLQAPINK >RHN62181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41490880:41495335:-1 gene:gene24729 transcript:rna24729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLC-like phosphodiesterase, TIM beta/alpha-barrel domain-containing protein MKNSTLVVTTLFAILLFLHSSLALKQGQICLADKNCNSGLHCETCVANGNVRPRCTRIQPTNPTSKVKGLPFNRYSWLTTHNSFALLGQKSATGSVILAPTNQQDTITAQLNNGVRGLMLDLYDFENDVWLCHSFGGQCYNYTAFQPAINVLKEIQVFLEANPSEIVTIIIEDYVTSPKGLTKVFNAAGLRKYWFPVSRMPKNGGDWPTVDDMVQKNQRLVVFTSKAAKEASEGIAYEWRYLVENQYGNSNGGMKAGSCPNRAESPSMNTTSRSLVLVNFFKDLPDVTQSCKDNSAPLLDMVNTCYQAAGKRWPNFIAVDFYKRSDGGGAPEAVDVANGHLVCGCGNIASCKANMTFGASCELPEAEVTPFREATLESSFGILNCKPAILLLSFATTILTMLLAL >RHN64329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58201230:58202262:-1 gene:gene27136 transcript:rna27136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain, Bet v I type allergen MGVFTFEQETTSTVAPAKLYKALVHDSDDIIPKAVDAIKSVETVEGNGGAGTIKKLTFVEGGQTLYVLHQIDAIDEANLGYNYSIVGGVGLPETVEKISFEAKLVEGPNGGSIGKTTVKYQTKGDAKPIEKEVEEGKAKGDALFKAIEGYVLANPNYN >RHN58030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43217882:43219282:1 gene:gene33635 transcript:rna33635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MKNIFTLFFILFLCLSINLIHCEYFYSESIVPHELPNKVTHLHFYYFDIHTGNNPSAVVVARANQTSDIPKKHSLFGTVYAIDNPLREGPEETSNVVGNAQGLYVASSQSEDVTLTMYVDYAFTSGELNGSSFSVLSRNPVREPTRELAVVGGRGKFRMATGFAQIRAHFLNATTGDGIVEYNVTVFHY >RHN54286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8324539:8326876:-1 gene:gene29296 transcript:rna29296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MKFQMYTLTPIWIHCMSLMKMLENGNIPIFSRIWDGVLNGIGGRTRDLTTASDPIENTCLLKKHINPNETPIDDSADVVTKSEVSSVAVDISSPLISTGSAQPFDDFMNDRSWHYQDPSGKVQGPFSMLQLYKWNASGHFPPDLKVWRVDEKQANSILLTDALNGKCSKNVPLRNNSLLLSLGANVALDDKESSQDGGRNSTTNEISADSRIIEQIREQKVDDISTQSNGKDESVRSNGWHDQSHVHPSIQPTAFSENLNENHTNKLREDHGIEKNSEDNGNHDSNRTSGGQSNSGQNHQKQSDSEDNSGQSSAQSWRNHNVNTSSNCLDTTSAHVSETRTSPLKLGFDLHQPPSHPACNATTWQAIIGEPDDFDESVSDLLAEVEAMESLGGLESPTSIMKRSEELTDGSKNLCLSFAELSPMLDAGKSDALSSTCDLQLLSQSTAAEEPLQQADVNHHHQRISEELSSRNSKVDVGSKNICVSTNQWESGSENSSIFPATATLGMTVDTTWRLGLESTHLEWGGMDQRNANTSWGVGNTAVNENRSSNSCTSVVTPSFGDSQTRYGSDRFSVPRDRGFPGHGREPGLVRGRAAWNRQPLFGVGNGISYRPLPKGQRVCKFYESGYCRKGASCEYFHP >RHN75499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42746583:42747550:1 gene:gene11717 transcript:rna11717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MAKPHELKPLYATLLNKCFSSKNINSLKIIHARTIRLGISHDDFIRTKLVSCYASCSKLHQANILFSFTNRKPTFLYNSLIRAYLSLNLFKETLSLFREMRLSYKPFDCHTLPLVLKSCAGLSALRLGKQVHGAVLVNGFALDLKNSNALINMYAKCGELEFARKVFDGMCERNEVTWSTMMADFGMHGRFEEVFEMFNRMVEVGERMDSVSFTVVLTACSHGGFVEKGREIFEIMKVRFGVKPDVRHYTCMVDMLGRVGLVEEAEKLTLKMDVRPDEALVGALFGACKTHGRVDVAERVAEKFYGTELSVVASSI >RHN81611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47556295:47557086:1 gene:gene5682 transcript:rna5682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MQWDAVSVSSRAILCQFVESFYQFEIKRSPTIVSSERKVMRFRGFGYDQLNDKYKVVVGVSSLNDYANTVTRIYTFGENSWKTLHNFPYYPHTSSYVSYTYGKSVTGTLNWIVNKDGVYFNKVILSFHLDLVKETYREVLLPQHDGYNECYHGLFVLSNCLCVCFDNFNESRKSVWMMKECGVFESWTKFMIIPHEKLHPSYGLQPFVEPLFISENGVVILLTKSTYYTVTSQFILYNINNDAVDYSSTFGKVDLHIYHESLI >RHN43798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48572378:48576616:1 gene:gene50425 transcript:rna50425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSTPNYAHAPLLRPRRVGRTPVLALLLGRRGPSVLVRETAARELEERRADWGYSKPVVLLDVTWNTVFVVVAAVMLGCSVDENPNTPIRLWIFGYAVQCLVHVALVLLEYRRRNVIGGGRERDEESLDDVNDSEEDDDVEFLNSSSSGFAKRCSSLNTMLSLLWWMVGFYWVVNGGDILIQDAPRLYWLAVVFLAFDVFFAVFCVALACLIGIALCCCLPCIIGILYAVAGQEGASESDLSTLPKYRFQVPGNEETPSPKGGSMVPIENSSGANERVLSPEDAECCICISPYEDEAELHALPCNHHFHSTCIVKWLKMNATCPLCKFNILKGNEQV >RHN42476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38641915:38649384:-1 gene:gene48923 transcript:rna48923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M28 MAFGFGSSEDVSAFKFLFIMAVGYGLVSMLTYSVIHMKFIVPLHNDAPLDRFSEGRAIQHVRVLSHEIDGRHEGRPGLRKAAEYIKSELELIKERATSNVRIEIEESTVSGSFNMNFLRHNIALGYRNHTNIVMRISSIDSKDTDPSVLVNGHFDGPLGSPGAGDCGSCVASMLEIARLIVDSSWVPHHPVIFLFNGAEELFMLGSHGFMKTHKWHDMIGAFINVEASGTGGPDLVCQSGPSSWPSNIYAEAAIYPMATSAAQDVFPIIPGDTDYRIFSEDYGSIPGLDIIFLLGGYFYHTSYDTVEQLLPGSIQARGENLFSIIKAFTDSSKLQNRYQTNYSEVRASLFNEERAIFFDYLSWFMIFYSKRVAKILHSVPIFLFFVMSFTRGWSNSCVATLCDFVKGFLFHAVGIILAVIVPVAFSLLRLPFSSQTMNWFAHPFLAFIMFIPCALVGLIIPRIIWKCFPLSQDAAIVKRSKEALSDEARFWGAFAFYAVLTLAYLVAGLSGGFVTFVASACMLPSWISYCLSVKSFGRRSFRSTIFYLLPLVPCLAYAVYFGGFLAQFLIEKMGMMGSLPLPYGHYVPDIIVAAIIGLVTGWSVGPIMPICGHWLARSSIVRFLLHLSVLGLAISSQFFPYSMSAPKRVVFQHTFRTAGSSQITESTYDLSVLDSNSLLFIFKHSPEVAKILNITPEFLFESAPLSERHDWMVIFPVSSLFSNSLKFPAKEDEILKQYEFFPTLTVQNTSSNSEKGQRRVHLELYLGSLEEIWVAVLNITGPLSSWSFADNVLPGTETYGGGPQSYILRLSGPSEGNWSFWLEASSSEALRVDLSVLDQKLVGPAKTLKNAFPNWVDVVGYSNFLSTYTL >RHN81730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48520981:48522542:-1 gene:gene5815 transcript:rna5815 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIHYAEELVREFLVFRGFTTTLQSYETELRTDVGKSFHVDKILDLIFSIYITNFHIDKLISLLSFFKHYLSSSSQSTLLSSFSKLEASILRFYVVRALQSNNRDKVVEFFHVYGPDLLQSSSQEDWTQWFVIPYVKNPQLDPQFRVFFGKEWSQALHLSVRNFFSEIFNATHILQNIFFQVKNKV >RHN60044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17604178:17605181:-1 gene:gene22241 transcript:rna22241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructokinase MLIHLACGLILILMSAPNWLMFHLELMACASARFPVLLVLICFCMSQSLIVNSSSKAKIFHYGSIGLIYEQCKTSFLAALRFAKTCDCILSYDPKLKLALWPSAEAAREGIMSIWNLADAIKISKDEITHLIDAGDPCDDDDVIKKLFHPTLKLLIVTSGSEGCRYYTNDFKGKVRGLNVEPVDTTGAGCNIPFPIIQLIII >RHN55866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24843552:24844766:-1 gene:gene31144 transcript:rna31144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MSNTLMFVITFIVLVTLFLGPKNVYAFQPCVTTADCMKTLKTDENIWYECINDFCIPFPIPKGRK >RHN75477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42565493:42565888:-1 gene:gene11693 transcript:rna11693 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTARLITLLFVGCLMVYFISSIGKSVHYGKDKILPSGKALSSIIPSISWLFGFLFSYYVVVASVWPLRERFILAAIYTVGLCWGLYVWVYKTTTYRVVIGTANHEVNGFWVVLCTIFLVLVFCRVVVLS >RHN65214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:289634:294977:1 gene:gene13033 transcript:rna13033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MTTFTLHKETVQSCLPLSRLHIFFHFICVLFLFYYRINNFIISYPWILMTLAELILSVLWFFNQAYRWRPVSRSVMVEKLPADEKLPGLDIFVCTIDPEKEPTVEVMNTVVSAIAMDYPSNKLSIYLSDDGASAITLFGIKEATQFAKVWVPFCKKYGVKSRCPKVFFSPMAEDEHVLRTQEFEAERDQIKVKYEKMEKNIEKFGSDPKNLRMVTDRPSRIEIINEEPEIPRVVYVSRERRPSLPHKFKGGALNTLLRVSGLISNGPYVLAVDCDMYCNDPSSAKQAMCFFLDPETSKYIAFVQFPQMFHNLSKKDIYDNQSRTAFKTMWQGMDGLRGPGLSGTGNYLNRSALLFGSPVQKDDYLLDAQNYFGKSTTYIESLKAIRGQQTIKKNLSKEEILREAQVVASSSYESNTKWGTEIGFSYGILLESTITGYLLHSRGWKSAYLYPKTPCFLGCAPTDIKEGMLQLVKWLSELCLFAVSKYSPFTYGFSRMSAIHNFTYCFMSISSIYAIGFILYGIVPQVCFLKGIPVFPKVTDPWFAVFAFLYVATQIQHLIEVISGDGSVSMWWDEQRIWILKSVTSLFAMTEAVKKWFGLNKKKFNLSNKAIDTDKEKIKKYEQGRFDFQGAALYMSPMVVLLIVNTICFFGGLWRLFNTRDIEDMFGQLFLVSYVMALSYPIFEGIITMKSKSG >RHN72689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9777687:9779058:1 gene:gene8435 transcript:rna8435 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YB13 MAESDNESGGGQTGCREQDRFLPIANVSRIMKKALPANAKISKEAKETVQECVSEFISFITGEASDKCQKEKRKTINGDDLLWAMTTLGFEDYVEPLKIYLSKYREMEGEKSAMIGRSDQRDGNVGEGSGGVYGHGVGVPSSMMMMMGHNMYGSGSGSPSSGRSTR >RHN50296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5905345:5910269:1 gene:gene34568 transcript:rna34568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MTIETEKKKTRKNKEVVDENVPLLPKSQESDTELHEFNGASFSGAVFNLSTTVIGAGIMGLPACVKKLGMVPGLIAIVLTAFLTEKSVEFMLRFSRAGNLSSYGSLMGDAFGRYGKAVLEICVVINNVGVLIVYMIIIGDVVSGTTSSGIHHSGILEGWFGVHWWTGRTFVLTFTTLAVFAPLVSFKQIDSLRFTSALSVGLAVVFLIIAVGISIIKIISGGIGMPRLFPVITDAASVFDLFTVVPVLVTAYICHYNVHNIDNELEDSSWMHGVVRASLTLCSSVYLLTSFFGFLLFGDDTLPDVLANFDTNLGIPFGSALNDAVRFSYAAHLVLVFPVVFYALRVNIDGLIFTSSRRPLVVDNLRFASITIALVGIIFMGANFIPSIWDLFQFTGATAAVCLGFIFPAAITLKDRHNIATKTDKILSVFMIVLAVLSNAVAIYSDAYALINKNKHNVNDFLIR >RHN59413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10407356:10415489:-1 gene:gene21439 transcript:rna21439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane attack complex component/perforin (MACPF) domain-containing protein MEEHVAALHTATNALQALGRGFDVNFDTRLLYCKGGSGSRVVEIDEQYQRDLFLYDDVVVPNVSRDIRSFPEPMGRLSSGVCSFQEMVDYFNHKASISGSFPLGSFNSAFSFTGSKHVDAAATKTLSSDGFYIPLAKVQLQKSNLMLQENVKRAIPVNWDPPSLASFIENFGTHVITSITIGGKDVIYVKQHHTSPLSKLEIKNYIQDIGNHRFSDINSHTSSGQTKSKDKGVEPFSFNSQGIYPQPTTATYPTGKEDVTVIFRRRGGDDLEQNHSIWLETVKSSPDIIEMTFCPITDLLEEIPAKEHLTRAIGLYLEYKPPIEELRYFLEFQIPRVWAPLHDRVPGQQRKEPVCPSLQFSIMGQKLYVSQEQITVGRRPVTGIRLCLEGNKQNRLSVHLQHLVSLPKILQPYWDSHVAIGAPKWQGPEEQDSRWFEPVKWKNFSHVSTAPIENPETFIGDFSGVYIVTGAQLGVWDFGSRNVLYMKLLYSRLPGCTIRRSLWDHIPNTSPKSSTAGNTSNTDNSTNLGSRENNITNKLVKYVDLSELSKGPEDPPGHWLVTGGKLGVEKGKIVLRLKYSLLNY >RHN38601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:645774:646352:-1 gene:gene44558 transcript:rna44558 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDKEVAMLVNGLCKHVVVNSTCYYEIINELNKHYQNIWNRTMAALWLVYFRDAWRASSTLVGIAFLVYTAFNFVRLAKILF >RHN55197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15989837:15990440:1 gene:gene30338 transcript:rna30338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MKPHKMKRIYADRPVVDYRYISNYVREVKNRFQHADHRHVYLSFLEMLSKYIEREKTVGNVISEVAVLFEGHDDLIEGFTNFIPLRR >RHN55783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23742708:23744034:-1 gene:gene31037 transcript:rna31037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MSQNASQFSQGSQLSTPTDIMSKATFLSLSEINDITNEIICVTVAEITKLNATRYGWTYDGCKECTKVVKMDDGQLKCKNAHVNQKPVPRYKVEVQVEHKGSKARFLFWDELTVSILGISATDLREQMIQAGHTNSKTYPKLLDKLVFKKKKVFKVKAHPGSNPCSIIQFSESEQLLGNLEKQFGLEEESQIKNVAGEAVLALEAVSETKDLSLIPSLSLCGENEPSFAMSTPPAKRLSQDESDSATKPGAEDIQPTQLSSTKFANNRKYPKTPKLEKK >RHN52453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35486010:35489236:-1 gene:gene37135 transcript:rna37135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MPKPILQHYKRSFNGFVANLTKKEADRMAGLDGVVSVFPNKKRKLLTTKSWDFIGFPQNVQRENYESDVIVGVIDSGIWPESESFNDKGFSPPPSKWKGTCQTSDVPCNNKLIGAKYYISFYDEPSSEEYLDSPRDSNGHGTHTASIADGNLVSMVSMLGLAQGTIRGGVPSARVAVYKVCWSKHCYDANILAAFDDAIADGVDILSVSLSSNENEDSIYFRDGLSIGSFHAMKHGVLTIFAAGNAGPHPSSLRNFSPWAVVVAASTLDRKFVTKIKLGDNRTYEGVSLNTFDLEGKLYPIIYGGDAPNKLAGYNRHQSRNPIATIFKSNELLDTLAPVVASFSSRGPSNATLEILKPDLIAPGVDIIASWPARSPISENLGENRKLEFNIMSGTSMSCPHVSGAAAYLKSFHPTWSPAALRSALMTTAKQMSPKNNHCAEFAYGAGQIDPVKAVNPGLIYETNEGDYIRLLCGQGFNETVLQLITEETISCSEIGYATARDLNYPSFALKAPHPKHYLSGSFKRTVTNVGLAMSTYRSIVTSHEGLNISVNPSVLTFTSLGEKQTFVLAVNGRMKNYLESAYLIWDDGRFQVRSPIVIFDERAEKGKGAKSYCIHYIYIVILNLLFYIIIV >RHN80492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38581083:38583314:1 gene:gene4427 transcript:rna4427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L6 MEAKYFRFLKIVGVGYKARAESAGRLLYLKLGYSHEVELSAPPAVRVFCFKNNVICCTGIDKQRVHQFAATVRNCKPPEVYKGKGIMYTDEVIKKKQGKKSK >RHN60797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30508519:30509249:1 gene:gene23177 transcript:rna23177 gene_biotype:protein_coding transcript_biotype:protein_coding MEANQETPRITNTSEMPIETTPRPLERCRSNNSLRGNIEERSYQPEFTKTKLKLIYGQRHIFLGSRGCVFDPHTPSFLPFERKLFVFFFRGFPYHFKILSFSTLN >RHN58925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5941943:5945521:1 gene:gene20881 transcript:rna20881 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQAREEPKTIPLKTLIDRENNKVVAVEATKDFIDTLFSFLSLPLATIIRLLSNNDQQQESSESSPFLGSIKNLYKTVQTLTPNDVWNNPVYKQMLLNPKNPCESLCMNLFMNIDDTESSSKFFVCDTCNKFTTLQNLDCTCEKPTNKQPKNLDSEGQENNAQNGVFVKENGSLFLVFDDLKIMPCSLMTSVERLKELGYSDLSNLEEVTHNIGKQEMLNLLKYTLTSHEPLTNTILKSSSKNRENPPKEFASAVRARPCTSDVKMDVKLARSKSQKKIIFAEASENFVDFIFTFLAIPLGSVVKLLDGNSFVGCVDNLYKTVETLDSSLCTDSRSVLLNPGLSPQFGCPKQLLNIPDVRPQPPTTRYYGTGKPKLVFSDYNGSHQIVEEKIEGGVISKKKVSIYNRIVLTELDPRSSNKSKEGVMGFVKNATLYAIGDDLTVKPLSGSSCISYLKELSLPLDDLEMKVISIGEAEALSLLAASLTSKFTLTSGLENFFIVPKQESNLTSKYIQSSRLDELAKEPIAEA >RHN49645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33294:39572:-1 gene:gene33847 transcript:rna33847 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MQHTFSFFEKVQARRGGLNILASQDAAFRCFIESFPRILLLSLNNHISPTLDILQNIGIPADRIPNIILAFPPILLWNVELLQTRVLALNQIDGVDKDYAKLMLKYPWVLSTSIQENYKEVLAFLHSVKVPKTWTDRAIKRQPQLLGCSTSKLKLMVDQFAELGVQRKKLYQVITRSPQLLLQKPEDFLQVVMFFENMGFDKENIGRILARCPEIFATSISKTLQSKIEFLSRIEVSKAYIPLVIRKYPELLVSDINKTLPQRIVYFMKVGLSEKEIALMVRKFSPLLGYSIKEVLMPKLEFLVNSMKRPVRDVVRYPRYFSYSLEKKIKPRYRVLKGRNIECSLKDMLAKNDEEFAAAFMGVGKMLVCPPLSSHDKL >RHN53755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3864786:3866345:1 gene:gene28698 transcript:rna28698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MTKNALALVDLIRTATDLCFHKFGQQIHSYAIRSGYFHANIYVSTTLIKFYVKMHLFTHAHNLFVEIPQPNVVSWNTLISGYVHAGQFKKALSLFTKLERSQICADAFSFTSAMVACAQLSLLKLGSSIHSKTVKLGMDNNTVVANCLIDMYGKCGSVERAVRIFSDIADKDVISWNSVIAACANNGNIGLGFKFLQLMPNPDVVSYNGLINGIAQAGKIEDAVRILSTMPCPNSSSWNSVITGFVNRSRVPEALEMFGKMHLKNLQIDEFTFSIILNGIASLSALTWGMLIHCCTIKYGLDSSIVVGSSLIDMYSKCGQVNDAESIFNVLSNRNLVSWNAMIYGYARNGDSAQAISLFELLKMERDTKPDGITFLNVISACSHSQIPFEMGIQYFDAMINEYGIAPSIKHCCSMIRLMGQKGELSRAQKMIHELGFESCGVVWRSLLAACGTQEDLHVAEIAAAKVIGLERDEDYVYVMLSNMYASFGRWEDVNVIRSLMSKKRVRKEAGSSWIEVNR >RHN48478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47761966:47762280:-1 gene:gene43198 transcript:rna43198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NRAMP family protein MIFSNIDWAGDLRWNVGNGVSISYLVLLIAGFVSICLMLRSATTPLRSAIIQLNHVLNWDMIEVVPNPLVDIKESYVIETMDHEGASFQTVEPKAALARSLEYP >RHN77941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10668374:10675456:-1 gene:gene1451 transcript:rna1451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylenetetrahydrofolate dehydrogenase (NADP(+)), Methenyltetrahydrofolate cyclohydrolase MGLVAVTWLNCLRRRLPQNTRLLHTLKGHQSDQILMSPALASLDLPDIWTPNSSCQSVPDIHKSFNEQTATVIDGKLMATEIRSKIADQVRQMKKGLGKVPGLAVILVGQRRDSLTYVRNKIIACEEVGMKSVVTELPTDCADADVQNAIMRFNKDPSIHGILVQLPLPQHLDEEKILDAVCLEKDVDGFHPVNMGNLALRGREPLFIPCTTKACIELLIRSGVEIMGKKAVVIGRSNIVGLPTSLLLQRHHATVTVVHAFTENPEQITSEADIVVSAAGVPNLVCGNWIKSGATVIDVGTIPVEDPSCEDGYRLVGDVCFEEVIKVASVITPVPGGVGPMTVTMLLVNTLDSAKRLFNFT >RHN75002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38643048:38645843:1 gene:gene11148 transcript:rna11148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MRTILLLPLLPFCLINLIINIVFVTSHSIGDQQSLLLQLKNNLTFNSTISKKLVHWNISKPCCEWNGVTCNNKGHVIALDLSHEFINGKFPIEIFQIPSLQVLDVSYNLGLHGSLPNFPHQGSLHNLNLSHTNFSGPIPDSIHNLRQLSTLDLSNCQFNGTLPSSMSHLTNLVHLDLSFNNFIGPLPSFNRSKSLKVLSLNHNDFNGTIPSTHFEGLVNLMSIDLGDNSFEGRVPSTLFRLQSLQQLMLYYNKFEGVLEEFPNASMSLLEMLDLSGNNFEGSIPMSTFKLKRLRLLQLTKNKFNGTIQLDVFGKLQNLTTLDLGHNNLFVDANIKDGSEASSFPSLKTLWLPSCNLKAFPDFLKYKSSMLYLDLANNQISGKVPNWIWRFDSMVILNISYNSLTYFEGPLHNLSSNLFKLDLHSNQFQGLAPTFLKNAIYLDYSSNRFNSINLRDIESHMPFLYYLSLSNNSFHGTIHESFCNISGLKALDLSYNSFNGNIPMCLLRRSSTLRLLNLGGNKLNGPISDTFSKSCDLRLLDLSGNLLKGTLPKSLANCKHLQVLNLGKNQLIDEFPCFLRKISSLRVMILRTNKLHGNIECPKTNGNWETLQIVDLAKNNFSGSLPPSLLQSWKALMIDEDKGGKFGHLFFNLYDNFNPTNVQTSIVDLNSELQMKLAKVIAAEPPYLLDHIVSHIFEEGVGLRTYEDSVTIVNKGTTMNLVKILIAFTSLDFSSNNFEGPIPKELMNLSALHALNLSQNAFSGKIPSSLSNLRYLESLDLSMNSLSGEIPTELASLSFLAVMNLSYNHLVGRIPTGTQIQSFQADSFIGNEGLFGPPLTPISNGQKGYSPEPEASETHDESSNIDWNFLSAELGFTFGFGILILPLILWKRWRMWYSKKVDDMLYRIIPQLDFVLEHHGGKKYRTLRWKPY >RHN57511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39650150:39653815:1 gene:gene33060 transcript:rna33060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor EF1B/ribosomal protein S6 MAVTFSDLHTEEGLKSLNEFLSGKKYISGDQLTKDDIKVYGYVSEKPSDAFANVAGWYDVVSSHLAASFPGKAQGVKFSGKVAPAAEAPAKAAAPAAEDDDDLDLFGDETEEDKKAAEEREASKKPAKKKESGKSSILLDVKPWDDETDMKKLEEAVRTVEIPGLTWGASKLVAVGYGIKKLQIMLTIIDDLVSVDDLIEERLTVEPINEYVQSCDIVAFNKI >RHN41968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34387192:34394521:1 gene:gene48348 transcript:rna48348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calmodulin-lysine N-methyltransferase MENRTNEKASSLRWKILRQALLSNPSSSSCSSSTNSDEQSQTSIKRISRKTSHGFNLIQSHVIHDERGTSNNYKDARVCYTLPIPDTPQLFLRQRVDNHADLNDFQTCNKYNIDNTGLVCNWPSEDVLAHYCLSHRDIFRSKKVIELGSGYGLAGFVIAAITEASEVVISDGNPQVVDYTQRNIEANSGAFGDTVVKSMKLHWNQEDTSSVADAFDIIVASDCTFFKDFHRDLARIVKHLLSKTESSEAIFLSPKRGNSLDLFLEVAEEHGLHFSVTENYDKEVWKRHEGFLNGADRDPWPSYETGHCYPLLIKITL >RHN65151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64552383:64558501:-1 gene:gene28057 transcript:rna28057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Root UVB sensitive family MVMMGPPKQTPNISSSSPSSSSSSSSSSSSSQDILIRETLRISAELASSSTPLLPSDTTFIGSSRFICCEQIDGRRWNYVADTDASGKFNNNSFRSLSLQTPKPPLDEFISFVRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTQTLLTSVGVSRNRATPAAAAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFAGDLLMELGAGVELATSAVPHLFLPLACAANVLKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNIADLLGTGLSIMIAKRNPSLVTTFSLLSCGYILSSYKEVKSVVLHTLNSARFSVAVELFLKTGKVPTLREGNLNEDIFSFPWKDRPVVLGSRIKDAFQDPSAYVAIEPLFDKERYIVTYNPSKSKVHAVLKDQAKSDDILKAAFHAHVLSSFIKSLNESKVSSWKQREDLNSNMMLTVADLEACIADSCKVVTNAYWLFKTKAHEQGWTMSESLLNPGRARLCQVDNR >RHN61173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33645060:33647281:-1 gene:gene23609 transcript:rna23609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L38e MPKQINEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDTEKADKLKQSLPPGLNVQDL >RHN51486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18739406:18740457:1 gene:gene35927 transcript:rna35927 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFQTRTAHWTYSSKSLLLIRTTDQTIDEAFDFQKNLY >RHN62950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46993675:46997037:1 gene:gene25591 transcript:rna25591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative caffeoyl-CoA O-methyltransferase MAINNGEEQQNQIIGHTDLAHKTLLQSDALYQYILDTSVFPNEHPCLKELREMTEKHPRNLMATPADEGQLIRMLIKLINAKNTMEIGVYTGYSLLSTALALPSDGKVLALDVSREYYELGLPIIEKAGIAHKIDFREGPALPLLDQLIQDENNKGAFDFVFVDADKDNYLNYHERIIDLVKVGGLIGYDNTLWFGSVAVPDDAPMLDFVKRLRGHVIELNKYLAKDSRVEICQLPVGDGITLCRRIS >RHN51219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15156622:15156866:1 gene:gene35616 transcript:rna35616 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCSLSVCILYYQIQGNEVCLLFRIMLLELLPRVCDRIRKWCQK >RHN42450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38465924:38467008:-1 gene:gene48893 transcript:rna48893 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLITPVPFGSLKKVNLLLQGNIQIPTSLLQMREKEAEKKTKQNYALKKDTSKASTTN >RHN58742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4427094:4428969:1 gene:gene20682 transcript:rna20682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma interferon inducible lysosomal thiol reductase GILT MGSPKLPITIVIPLILLFFTYDSKGASYDTSGSQIKPVDYEKVNLSVYYESLSNSSAVFIVKNLREIFNNDLIDIVNLQLVPWANSHVNQTNNAISCQNGPDECELNSLAACALNIWPNVDEHYSLINCFEFLAIERNIKMWQDDCLKQLGLPLEPFMNCFNRGNGTEFGQKYIDETAKLDPPHSFVPWVVVNNQPIEKDYENFTDYVCKAYKGAAIPAVCNVH >RHN65415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1966252:1974953:-1 gene:gene13250 transcript:rna13250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative JAB1/MPN/MOV34 metalloenzyme domain, COP9 signalosome subunit 6 protein MASSSSSGLTFKLHPLVIVNISDHYTRVKSQMNPTLAPHNNATNNGGDGVVSSLPPPRVFGCVIGVQKGRTVEIFNSFELLYDPSTHSLDRPFLEKKQELYKKVFPHFYILGWYSTGSDAEESDMHIHKALMDINESPVYVLLNPAINHSQKDLPVSIFESELHVIDGIPQLIFVRSSYTIETVEAERISVDHVAHLKPSDGGSAATQLAANLTGIHSAIKMLHSRIKVLHHYLLAMEKGDVPCENSLLRQVSSLLRRLPAIESGKFQDDFLMEYNDTLLISYLAMLTNCSSAMNDLVDKFSTAYDRHTRRGGRTAFM >RHN64026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56008330:56010313:-1 gene:gene26801 transcript:rna26801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acting on peptide bonds (peptidase) MAVSTQSHLPILSVVDLPSLLQAVAIDTLVSAAQSLALIGYLLINAANSVAPKLTAMDSRFPLEHLLGRKPAYKENKSDTEDDEDDDDDDDVQDEDDDGEEEDYSGDEGEEEGDPEDDPEANGAGGSDDGEDDDDDGDEEDEEDGEDEEDEEDEEEDDETPQPPAKKRK >RHN79359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28031442:28036025:1 gene:gene3138 transcript:rna3138 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SPP1 MDRLKSSARLMIVSDLDHTMVDHHDAENSSLLRFNALWEASYRHDSLLVFSTGRSPLLYKQLRKEKPMITPDITIMSVGTEITYGKSMVPDDGWVQVLNQKWDKDIVIEEASKFPELKPQAETEQRAHKVSFYVEKDNAKQVTEALSKILEQRGLDVKIIYSGGVDLDILPQGAGKGQALAYLLKKFETEGKLPGNTLVCGDSGNDAELFSIPGVYGVMVSNAQEELLQWHAENAKDNPKILHASERCASGIIQAIGHFNLGPNLSPRDVSDIGQEQSVENVSAVQEIVNFSLLIEKWRRAEVENSELFIAAIKASTDPSGVYIHPSGADHNLNEYINILRKEYGKKQGKQFRIWLDNVLATQISSDIWLVKFDKWELHDEERHGCVVTTILRKDSDWFTWMHVHQSWLEQSGQNEWII >RHN61454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35562397:35562771:1 gene:gene23924 transcript:rna23924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MSHNEPHIIYFCKTNPVKFAYQNFAVPASLRVFRLPGIIRRSSSFTSSRSVSKVVDVPKGYLAVCVGDKQKRFVIPVSYLNQPLFQDLMSQAEEEFGYDHPMGGLTIPCTEDAFKHITYRLNEI >RHN42143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35806735:35812476:-1 gene:gene48547 transcript:rna48547 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTIGVRLQNSTISNATRQTLVPFSTSSGFGGGGGDGRGGGRGRGGSGTVTFNFGEKAAPGNPNPTPNVNESKPDATDSPIPPGAGRGHGRGGTVPDFPSFSFSSFMSSIQQPGTGRGRGRGRGFDPLPPQFENDSVPKKPVFIKREDNVSQTDANDFSPPKNPVFTRSEDVRPVEPIDLSGDSESDNRFVMTVPKVLPGGGRGRGKPLEEAAQEAPQAPVVNRHIRVRQTPADAESDNVPRRQPMNRFVRDDGDGSGRGRGRGRGRDVYARGRGDRGRGGRGGRGDGRGGFKRYGDDRTSHQDIARSNADGLYVGDNADGEKLAKKLGPEIMDQITEAYEEIIERVLPSPLQDEYVEAMDINCAIEFEPEYAVEFDNPDIDEKEPIALRDALEKMKPFLMTYEGIRSQEEWEEVIEELMQRVPLLKKIVDHYSGPDRVTAKKQQEELERVAKTLPTSAPSSVKEFTNRAVVSLQSNPGWGFDKKCQFMDKLVFEVSQHHK >RHN81257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44383452:44386164:1 gene:gene5273 transcript:rna5273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannosylfructose-phosphate synthase MNSFESNNHHVLPDPPNHPIKSKFKKLAFQTIPLLILFTAILLGFTTTNYYKILYFKFSLKSKTLFTPPKTLSTPSHCLLWMAPFLSGGGYSSEGWSYILSLHGHTKIQSFRLAIEHHGDLESLDFWEGLPQDMKNLAVELYQTKCNMNETVVICHSEPGAWYPPLFDTFPCPPSFYRHFKAVIGRTMFETDRVNVEHVERCNRMDYVWVPTDFHKATFIESGVNASKVVKIVQPIDVKFFDPDKYKALDLDSTGKLILGSEVKTGFVFLSIFKWEYRKGWDVLLKSYLKEFSKDDSVVLYLLTNPYHTERDFGNKILDFVENSGFEEPVSGWASVYVIDTHIAQSELPRVYKAADAFVLPSRGEGWGRPLVEAMSMSLPVIATNWSGPTEFLTEDNSYPLPVDRMSELMEGPFKGHLWAEPSEDKLQVLMRQVMDNPAEAKAKGRKAREDMIRQFSPEIVADIVADHIQNILGST >RHN80113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35210385:35217228:1 gene:gene4000 transcript:rna4000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MKLFRQRQRILILSLLFLSLVAPLIFLGRREFLEDLYRVTYRTDSLKLNAAEQIQQDAEELEEPNQVVYKQKDVSTISYGSEKNNDAEEPRIAAVERNGFNHDERQDKDAQGKDVSSIDEDTNVNDVVHGTSKENIKVTNRTSGRIADHHHILNSNSREVKNQKVQEIKDKILMAKAYLKFAPPSTNSHLKELELQMKEMERAVEGATRDSDLSRSVLQAMRHMEASLSTVSRSVSDCSVASKLQAMKRKTEEQVRFQRSRATYLVNLAVRTAQKNFHCLSMRLTAEYFALRPEERKLPNENKIHHPELYHYVVFSDNVLACAVVVNSTVSTAKEPGKLVFHIVTDSLNLPAISMWFLINSPGNATVHIQSIDNIEWLSKYNTFGKNGNNDPRYTSELIYLLFYLPDIFPALNKIVIFDHDVVVQQDLSGLWNVNMNGNVNGAVGTCQEGKTPFHRINGFINFSDPLIGESFDANSCTWAFGMNLFDLQQWRRHNLTAVYHKYSQMGSEKPLWNWNVARMPLGWLTFYNKTEVLDRLWHILGLGHNSGVDRNDIEQAAVVHYDGIRKPWLDIAMGRYKGYWTKFLNFDHPFLQQCNLQP >RHN82086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51161611:51164285:-1 gene:gene6205 transcript:rna6205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiazole biosynthetic enzyme Thi4 family, FAD/NAD(P)-binding domain-containing protein MASMATASLATSLSSTPKSSFFNGRPIATRTSTIIKSTPQKITMSLTTPPYDINTFKFAPIKESIVSREMTRRYMTDMITYADTDVVIVGAGSAGLSCAYELSKNPNISIAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDEIGVEYDEQEDYVVIKHAALFTSTIMSKLLAKPNVKLFNAVAAEDLIVKENRVAGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLKSIGMIDHVPGMKALDMNTAEDAIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGRNNAIDGTCESASEPELILASAESQDTVDA >RHN78524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15944049:15946297:-1 gene:gene2101 transcript:rna2101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MEKNAIGIDLGTTYSCVAVWLDEQNRVEIIHNDQGNRITPSFVSFTNDQRLIGDAAKSQAASDPKNTSLVIGVNDKPMIIVKYKGQEKRFCAEEISSMILKKMRELTAAAIAYGLDKRINCVGERNIFVFDLGGGTFDVSILTIKDKVFQVKATGGNTHLGGEDFDNRMVNYFAQEFKTKNKVDITGNPRALRRLRTACERAKRVLSFTTVTTIEVDCLFNGIDFFSSVTRSKFEEINMDFFKDCMKIVESCLDDAKMNKSSVNDIVIVGGSSRIPKVQQLLKDFFEGKELCMSINPDEAVAYGAAVQAALLSEGFKNVPNLVIQDVAPLSLGVYEGERARASDNNLLGMLTLSCTSGPRGQTFEGCFAIDENAILTVSVKETSTGNTNEITITNDKERLSAYEIKKMIQEAEEYRAEDEKFLRKAEVMNALDDCVYKLRNALKNKDVKLKLSSKEVTKINGAITVATNLLDKKNNQHNEVDVLEGNLKELESMFENLVVKTG >RHN74831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36981048:36985643:-1 gene:gene10955 transcript:rna10955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MSVFASLAICKVAKDAAGVAGNIFAFGLFVSPIPTFRRIIRNGSTEMFSGLPYIYSLMNCLICMWYGTPLISHDNILVTTVNSIGAVFQFVYIILFMMSAEKEKKVKMLAWLMGVLGIFAIILIGSLQIDDIVMRRLFVGILSCASLISMFASPLFIIKLVIQTKSVEFMPFYLSLSTFLMSTSFLVYGLLSDDIFIYVPNGIGTILGMTQLILYFYYESKSRRMDAEEPLIVSYA >RHN72942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12022658:12026300:1 gene:gene8709 transcript:rna8709 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQFLMKVHAYKFFNPYFESNLYGREKRKKKKREKEEIRMILFLLQQKDDGDDAEKIKSI >RHN60906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31527007:31531965:1 gene:gene23301 transcript:rna23301 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQNKGFWMVKGSGHVSDREPAFDNPSKIEPKRPHQWLIDATEGDFLPNKKQAIEDANERSSSGFSNVNFTPWENNHNFHSDPSHQNQLIDRLFGSETRPVNFTEKNTYVSGDGSDVRSKMIANHYGDGASFGLSISHSTEDSEPCMNFGGIKKVKVNQVNPSDVQAPEQHNFDRQSTGDLHHVYHGEVETRSGSIGLAYGSGDARIRPFGTPYGKVDNTVLSIAESYNKDETNIISFGGFPDERGVISVGRAATDYEQLYNQSSVHVSTTAHEKELEASNSDVVASTPLVTTKKPESVSKNKQDIKSTRKESPNTFPTNVRSLISTGMLDGVPVKYVSVAREELRGIIKGTTYLCGCQSCNYAKGLNAFEFEKHAGCKSKHPNNHIYFENGKTIYQIVQELRSTPESSLFDTIQTIFGAPINQKAFRIWKESFQAATRELQRIYGNEKRNL >RHN43999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:658819:659608:1 gene:gene38043 transcript:rna38043 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQQNSSAPTFDQLSPSFSNSSGPFSEPTGQLTPRQGFSCATNQPAQTLPSGQFSQPGFSASNPSFFQPLPVHMHNNPFLLILVNLSCLGFRHLLAILCSHLFLLKVVNLLHKQVIIPTMDCTPWIHLNHKMDITDL >RHN75026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38839729:38840654:-1 gene:gene11173 transcript:rna11173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Bile acid:sodium symporter MFAVEVNSSKENFIEAFNRPVELVTAYVGQFVMKPLGYLLCIISVNVFGIPTAIGEDGPFFRYANISSYLSL >RHN76784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1270457:1273097:-1 gene:gene161 transcript:rna161 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSTESTKDTTIDIDNSSSKRVSFSDQQQQHQHNVPLLLQPSYARSKSMIFDELRNFRICLKWCALDHSSCVGKLISYVSFIFLTFVVPLFTTLFVQVSASSPEVDPISLNKLVQIPESALAIISFFTLYRFFRRYGLRQLLFLDALQDDTTYVRRGYARELEKAFRYLAYIILPSFFVELAHKIIFFSAVKISAPNLSAGFPLHSIVFVFMLLSWLYRTGVYLLVCVIFKLTCQLQILRFEGVHKLFEGCGSEAGVIFKEHVRIRRQLWIISHRYRFFIICCVVTMSVSQLGSLLLVLASKSEKTFFNSGDLVICSAVQLSGFFLCILGAAKITHRAQGIVSIATRWHMLVTNAFAESEQCKDNMSEALASDSSDSDSSDIHISIIPQRLSSFQTRQSLVTYLQHNHGGITLYGFALDRGMLHTLFAFEFSIVLWILSKVVVLE >RHN77596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7990517:7993559:1 gene:gene1066 transcript:rna1066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb/SANT-like domain-containing protein MELESNNQPKQERSRTRWTASLDKIFADLVVKQIQLGNRQNDTFDKKTWNHIRDEFNRKTDLNFNNNQLRKHLDVLRTRFYNLKSTNDQSNGYVIDDPLYIGLEQWEDIGAQPRNETVKGKDCPIYEQLCAIFTDLPADGKYAQSSHYGELDKSFGINAAYLTSYPEIGVSHENPSSSKSIPRNISTVEKVTKNTLDRKRKRPNETQTTSLDQDTCNAMAEAMLEMISASRLRAVVSSVSDDKFSITNCIKALDEIKGIDQNLYFSALDLFENPSFRETFISLKSVKIRLTWLQGKCSKSSFR >RHN75760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44835242:44841233:1 gene:gene12005 transcript:rna12005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MPAQKRSLPESMEDEEETSQLLQQSRHVKHHREPHFIDQLEQEEDEEDDDDDQQEQDEEEEEQGEEEEDDEEEAEEDDDEDDDEEGQHHDDEKEKSQDSDESSDSEEKPEYVYVELSDIRKDVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIATLYPNIEKYEEEELEFREEEKNRNKQIQASIAKVFKRQSEALSKKRKDTPSSSSFVTRSQRNQRNLQSRRQNQASDIQGSEENEENGNNERDSSSGDEGGTELRQRKRKRWTRVRSSQPSSSMASPDGGSIESDLDINRENRGTSRLVTKARKLTWGRGGFRSNTRYGSGGGSNGKSSRSGRMSRLVDYLKNLNENTDEFDVHLMFVSLDKETTQRLEKLHLCCRPNVSVKNLYEYIASQTPLTVEEVEILAVKGCSSANCDKSADEDSVLDFDELSSLVIDPQKDELEILQAHETVAEIRSKSIFKRGHLILAYKRKV >RHN40240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14532598:14535286:-1 gene:gene46363 transcript:rna46363 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ann1 MATLSAPSNHSPNEDAEALRKAFEGWGTDEKTVITILGHRNSNQIQQIRKAYEGIYNEDLIKRLESEIKGDFEKAVYRWILEPAERDAVLANVAIKSGKNYNVIVEISAVLSPEELLNVRRAYVKRYKHSLEEDLAAHTSGHLRQLLVGLVTAFRYVGDEINPKLAQTEAGILHESVKEKKGSHEEAIRILTTRSKTQLIATFNRYRETHGTSITKKLLDEGSDEFQKALYTTIRSFNDHVKYYEKVVRDAIKKVGTDEDALTRVIVSRAQHDLKVISDVYYKRNSVLLEHVVAKETSGDYKKFLLTLLGKEE >RHN43315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44863659:44864823:-1 gene:gene49866 transcript:rna49866 gene_biotype:protein_coding transcript_biotype:protein_coding MFEPKEPYGNWIMSQVYKESSYTSLHFINMADKLQIKEVNFCLFMMTMMHKVCRERGMLHAWTPKQYKLAKLTPTEAGCCQPPSECGYPAVNASYYDLTFHPVSPNHDCKRYKNSQNAIIVTCK >RHN79956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33814552:33839151:-1 gene:gene3818 transcript:rna3818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myosin ATPase MATAATPVVGAQVWVEDSDVAWIDGEVLEVNGEDIKVLCTSGKTVTVKASSVYHKDTEAPPCGVDDMTKLAYLHEPGVLDNLRSRYDINEIYTYTGNILIAVNPFIKLPHLYDSHMMAQYKGAGFGELSPHPFAIADAAYRLMINEGISQSILVSGESGAGKTESTKLLMRYLAYMGGRAANAAEGRTVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAIRTYLLERSRVCQLSDPERNYHCFYMLCAAPPEVVQKYKLGNPRTFHYLNQTNCYELEGVDEFKEYCDTRRAMDVVGISFEEQEAIFQVVAAILHLGNIEFSKGKEMDSSTPKDEKSRFHLQTAAELFMCDAKALEDSLCKRVIVTRDETIIKCLDPEAATLSRDALAKIVYTRLFDWLVDKINNSIGQDPDSKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEEIDWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTNETFAQKLYQTFKNHKRFSKPKLSRSDFTIGHYAGDVTYQTDLFLDKNKDYVVAEHQALLYASTCPFVSGLFVPSPEESSNKSKFSSIGSRFKQQLQALLEILSSTEPHYIRCVKPNNLLKPAIFEHKNVLQQLRCGGVMEAIRISCAGYPTRKTFDEFVDRFGLLAPEALDRSSDEVTACKRILEKVGLKGYQIGKTKVFLRAGQMAELDTCRSEILGKSASIIQRKVRSYLARRSFVSIRLSAIQLQAACRGQLARQVYEGLRQEASSLIIQRCFRMHIARKAYTELYSSAISIQTGMRGMAARCELRFRKQTSAAIIIQSHCRRYLAYHHFKNLKKAAIATQCAWRGKVARRELKNLKMAARETGALQAAKNKLEKQVEDLTLRLQLEKRIRVDMEEAKRRENEKLQSALQEMQLQFKETKSLLQKEREASKREAERVPVIQEVPVVDHGLMEKLTSENEKLKTLVSSLEMKIDETEKRYEEANKISEERLKQALDAESKIIQLKTSMQRLEDKFLDMETENQVLRQQSLLNSSVKTMSEHLSTHVYEKLENGHHMVEDQKAAETQSVTPVKKFGTESDSKLRRSYIERQHENVDALINCVMKNIGFHHGKPVAAFTIYKCLLHWKSFEAERTSVFDRLIQMIGSAIENQDDNDLMAYWLSNTSALLFLLQQSLKSGGSTDSTPVRKPPNPTSLFGRMTMGFRSSPSSANLPAPLEVVRKVDAKYPALLFKQQLTAYVEKIYGILRDNLKKELQSFISLCIQAPRTSKGVLRSGRSFSKDSPMGHWQSIIESLNTLLCTMKENFVPPVLIQKIFSQTFSYINVQLFNSLLLRRDCCTFSNGEYVKAGLAELELWCCQAKEEYAGTSWDELKHIRQAVGFLVIHQKYRISYDEIINDLCPIMSVQQLYRVCTLYWDANYNTRTVSQDVLSSMKVLMAEDSNNAQSDSFLLDDTSSIPFSVDDLSTSLQERDFSEMKPADELLENPAFQFLNE >RHN59261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8878624:8880240:-1 gene:gene21265 transcript:rna21265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation CHROMO-DOMAIN family MVRKFEFHPRYKVEVSMYGSWFPATIIRRVSSNKFFVKYDHLNVRPAVVGVHQLRPVPRTVRDWEVKIGDKVEAFGKQRWREGHVSEVIGSTGKLFSVRFNDWKEMIVSKEKLRVHRKWINHNWVPRITNQQLKNNSKEFCKELKRARRANKRNMISKLPDCILLHIMSFLKARDAVRTCILSKRWKDLCKRLPTLTYIPSSAQSFKNFSSWVRSSRDHSCSLLNLTIENYYINGSESDLYTLLQYVLSHNLQHLNIMINPSITPKYEFLPLIFGSHSLTFLELSLVNGYAKCPKSLHLPALRTLHLKCFNFVTTHYHCADPFSNCHVLNTLQLKYCSLIDDAQILCISNQTLSNLTISYVLADQFSLSTPNLSFFTISECAIFRQLLSSTCNLSFLQQVNIDYFSGGDGKASIFLKWLQVLANVEILKVDNGVIQEILRVSYLAYFHLSSLSE >RHN50609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8553255:8557846:-1 gene:gene34917 transcript:rna34917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAEAPSTNTTLRLSGKVAIVTGGASGIGEATARVFANEGTRVVVIADIQDELGNQVAASIGNQRCTYIHCDVTDEDQVKNLVQSTVNTYGQLDIMFSNAGIISSTAQTIMELDMSQLDRLFAVNVRGMSLCVKHAARAMVEGHVRGSIVCTGSVGGSRGGSRSTDYTMSKHAVLGLMRAASVQLAAHGIRVNSVSPNGLATPLTCKLLGMSNEEAQENYKNYARLEGVVLTPKHVADAVLFLVSNQAEFVTGLDLIVDGGFAKV >RHN50552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8063883:8064636:-1 gene:gene34851 transcript:rna34851 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLYRRGRKRREMLPNLKLSISKAVTPRQPKKKVKGTHQTYYHLKDVSFLYREPLLEEHRTIRVHDRKIKKAETKKNYERTDRLREKTPKPKIYMIFDCVNARIIFPIETYMVGVTPPPYLSPFVNYDEEGYIPDNAKTISHL >RHN50065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3784184:3784810:-1 gene:gene34315 transcript:rna34315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MLYQAELNQAISMVSYYASSSEPAQVRGKTVYIQYSNRHHIVNSNSPGDIPGNVLLVTIEGVEAGDVSIDVIHLVFSAFGFVHKLATFEKTAGFQALIQYTDAETAASAKDSLDGRSIPRQALLLHFLLS >RHN56233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29086341:29094395:1 gene:gene31601 transcript:rna31601 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDKNALRWVREKEVPFSNTAMRSRDPISGMKSGGGRGFGLPPPSKFRSGHLPANKLPVSAVETFDSRSNSDMDASVDSEEEVYGGRYSLDSSPQDSRVPNGAAKRYGNVAQMPRSRYASDYTFSDVSSSRETLTGRQGMARDPVMRGAANGRQNGFTEDESSDSAASSEFSTTQVGSSINGTLPKRRAYMSAGYASSVPSRMNVQSSAEKSGRLSDDEDEDFPSAPPFCGSTQEIRQTNEEIPTSAARSTPNKAESSTLKSVSRDKLENHGDASSEKFVRTATGSEGAASSNSQPPRLPTFHASALGPWYAVIAYDACARLCLHAWAMQCMEAPMFLENECSLLRDAFGLRQVLLQPEEELMVKCNGELSSEGVAPKLKKLIGKMKVQVRKVKVGVDPPTGCSMSSIVTHKIKMDSMQYHFSNLQSKLSSGWHALRKVRFVPHLPANGSLTHKSLAYVHASTRYIQQVSGLLKVGVTTLRNSSSSYEAVQETFTCFLRLKSVVEEDAIRLQPGSSEVHMFFPDSLGDDLLIEVQDSKGKHFGRVLVQVAAIADNPSDKVRWWNVYREPDHELVGKIQLNILYSTSADDNSHLKCGSVAETVAYDLVLEVAMKVQGFQQRNLELHGPWKWLLTEFASYYGVSEIYTKLRYLSYVMDVATPTADCLNLVYNLLAPVIMKGNSKTSLSHQENRLLGETKDEIEQILTLTFENYKSLDESSFSGIVEVFRPASSHAAPALEPAVKLYKLLHDILSPEAQTSFCHYFQVAAKKRARRHLSDTDEYIAQNNESCLMDPLTMSTAYQKMKTLCINLRNEIYSDIQIHNQNILPSFVDLPNLSASIYSTELCNRLRAFLISCPPTGPSSPVAELVIATSDFQRDLSGWNINPIKGGVDAKELFHLYILVWIQDKRLSLLESCKLDKVKWSGVRTQHSTTPFVDDMYERLKETLTDYEVIICRWPEYTLVLENAIADIEKAIVEALDKQYADVLAPLKDSMAPKKFGLKYVQKLAKRSTCAYVVPEEVGILLNSLKRMLDILRPRIESQFKSWASCLPNAGNTAPGERLSEVTVMLRAKFRNYLQAIVEKLVENTKLQNATKLKKILQDSKETVVESDLKSRMQPLKEQLASTISYLHSICETHVFIAICRGYWDRMGQEILSFLENRKENRSWYKGSRVAVSVLDDTFASQMQQLLGNAIQEKDMEAPRCIMEVRSMLCKDAAPNHKDNSFYY >RHN58098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43743799:43744518:1 gene:gene33706 transcript:rna33706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MSSYVIEVSQEIIKAGDKDFIGEDELFYSSVREVQSVKTIISKCFVHTFKDYLNLCDLQNVGYLDFYCRYKYDPDTGTIFVPNSTTQRVVAHCKCELPRNPDYFMIQCEGRCKKWYHPPCLEMTEEQARLMKPFLCTADCSLE >RHN69494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43859370:43861151:-1 gene:gene17973 transcript:rna17973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative naringenin-chalcone synthase MAPRGKGVATILAIGTANPPNVILQPDYPDLYFKEVNNDDEHLQRLKLKFKSICENSKIEERHVALTEEFLKQNTEDGKYESLPLENLPTEQVVNLAKEASLKALQEWGQSISEITHLILCTTSCFGSVPGPDTHLARLLNLKPTVNRLMIFGHGCHAGGTILRIAKDMAENNVGSRVLAVCSETMLASFQRPTDDFAPATDVLIGHALFADGAAAMIIAADPNPSIEHPLFEIVSASQTTVPDTQNSIRAQIPVENGRLVYHFVKEIPNIVSNNVKKCVIDALCSIGFDEDIEWNKLFYVVHPGGPLVLSKVEEKLGLSEGKLKESWNVLRQYGNMWSSTVIFILNEMRKRSKIEGKGTTGEGLEWGVFLGFGPGVVTETVLLRSVACVEK >RHN64611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60417851:60424140:1 gene:gene27441 transcript:rna27441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative XS domain-containing protein MDYSSEEESDISESEIEEYSDKPYKELKDGKYKVKNLNGTLRCPYCAGKKKQEFKYKDLMQHATGVSKGSANRNAKQKANHLALAKFLETDLANEADQIPPPPLTEAVNQPAPQVENYVWPWTGIIVNIKSKLNDSGYWLKEFAKYRPLDVHVFFMDGDTQAVVDFNNDWNGFMNASEFEKSFETKHHGKKDWNSMDMQASSDIYGWVAREDDYNCGGPIGEYLRNKGRLRTISDIVQEASESRNSIVENLANEIDITNENLNKMQYKYNEKTMSLSRMLEEKDRLHNAFVEESRSMQRKAREEVRRILEEQEKLRNELDEKMRKLDTWSRDLNKREVLTDQERQKLEEDKKKKDSRNESLMLASKEQKIADENVFRLVEEQKREKEEALNKILQLEKQLDAKQKLEMEIEELKGKLQVMKHLGDQDDEAVKKKMEEMSTELADKIESLEDMESMNQTLIAKERQSNDELQEARKELIAGLNEMLTGNNKTNIGTKRMGDLDQKVFVNVCKKRFLSEEEAGMKAMELCSFWQENVKNSAWHPFKVVRENDSHVSVMNEEDEKLKKLKQEWGDEIYSAVETALKEVNEYNPSGGYAVYELWNFKEQRKATLKEVITYIVEHMKQLKRKRG >RHN69184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41594295:41601878:1 gene:gene17618 transcript:rna17618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative homoserine dehydrogenase, Aspartate kinase MVLFCFCPVNVNVIHQSFFSINSLPHFPRHNFTFQSSHSLSLPWWKDSPRTKIHSSLTDFSVDVAVEEKKLPKGETWSVHKFGGTCVGSSARINNVADVIINDDSERKLVVVSAMSKVTDMMYDLIHKAEAQDKSYISALDAVEEKHSLTASELFDGDDLATFLSNLHQDVGNLKAMLQAIDIAGHATESFTDLVVGHGELWSAQILSYVVKKKGTPCKWMDTREVLIVNPTHADQVDPDYLETEQRLNKWYSLNPSKVIIATGFIASTPQNIPTTLKRDGSDFSAAIMGAIFRARQVTIWTDVDGVYSADPRKVSDAVILKTLSYQEAWEMSYFGANVLHPRTISPVIRYGIPIIIRNIFNTSASGTKICHPSIIENEDKKILKDYVKGFTTIDNLALVNVEGTGMAGVPGTASTIFSAVKEVGANVIMISQASSEHSVCFAVPEKEVKAVAEVLESIFDSALFAGRISQVAVISNCSILAAVGQKMASTPGVSATLFNALAQANINILAIAQGCSEYNVTVVLKREDSIKALRAVHSRFYNSQTTMAMGIIGPGLIGSTLLDQLRDQAATLKEESNIDLRVMGIMGSKSMLLDDLGIDLVKWREIREEKGEVADLEKFVQHVHGNHVIPNTVLVDCTADSVIASHYDDWLRKGIHVITPNKKANSGPLSEYLRLRALQRQSYTHYFYEATVGAGLPIIGTLRGLLETGDKILQIEGIFSGTLSYIFNNFKDGQVFSEVVAEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVENLVPEPLRVSASTQEFMQKLPKFDQEFSKKQEDADTAGEVLRYVGVVDATNQKGMVELRRYKKDHPFAQLSGSDNIIAFTTRRYRYQPLIVRGPGAGAQVTAGGIFSDILRLASYLGAPS >RHN56269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29375590:29379408:1 gene:gene31641 transcript:rna31641 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWEMDSSADLLYLNAATKTPEQNLEEEEEEEANTRTQAGHQQHPFYQRMHSLDQYSN >RHN72901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11661627:11664664:1 gene:gene8667 transcript:rna8667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MEMKERLKNLLCQSSCNGSNSLKQLQVENARLKERYEMMQKKEVSLELKLGLPKSSTRGSTSLNLDRGSSSKSSLEIQNPMMLVAGLAATEELLKLFRDESLWVKSQLNRRLVLEKNYEDVFPRVDHFNGAKTHVESSKDSQMLKIGATHLVEMFLDSEKWANLFPTIVNKAETIKVLERDSSQNQSGALLLMHGEMHVLSPLVKPRQFYFLRYCVQVEAGIWVIADVSYDYLKEDGPHSSFWRFPSGCMIQQISNETSKVSWIEHVEVDLKIQTHSMYRDIVNNAIAFGAERWLMELRRIGERCVITLPEGKRCVMKLAHQVLKEFSKNLTMSSKSDLPQYIADTDDSGVRFSIRKNRNLFLSNDPFIVIVASSVSLPLPSHTVFDFLRDPARRFEWDKFCDGNPWHEIAHISTGTHPNHYVSIIQPLVSPPKDGVKIIQECFIDPLGSYVVYSPLNTQELNMAINGHDLSNVSLIIPSGFLISEDSKSLSKDSKSRGSLLTVAFQMHMPAPLITNFESAADASNALMTTVVQSIKHALLSGSELK >RHN46971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36145219:36147598:-1 gene:gene41515 transcript:rna41515 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSTLFLLSLLLLISLNSHFTLAGKRKVHITDELDDVFDDEEDDDWKEWGKKPSPSFAPADLTKMDESKIKEEMMKRHTGPVIGFVKLRFGVRRTPDSVAELAMKWTHVLRTGAVGVRFTGVDLNTIMFNMDSIKDLEELKEFVFDQSEAYEIKMGEQLFQRPGDPSLDELIQKHNSEKDKADNASQEEVDGNSKTEL >RHN66200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9651522:9652763:1 gene:gene14138 transcript:rna14138 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMKFFAFFFVVIFAASLVSAQDLSPSLAPASGPDVGAAGSVTSSVAMIGASIVLSMLALLKN >RHN53642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3015487:3016828:-1 gene:gene28569 transcript:rna28569 gene_biotype:protein_coding transcript_biotype:protein_coding MYMVLKFLRKSSMQSNRSFKSNTDKLIVNTIQRSKPNINFINQTLIHISYIHQRQA >RHN72728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10221297:10223334:1 gene:gene8479 transcript:rna8479 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEKNKRAREYDDIEAKIWFSFENNNKKAKKRDVCGTSFTKEKNEVEEKGCLAFGVFDFPWLKDGVIYKLEDCLIDFEDNFLSSLQDQDNTCFKVSSGIDFFEENDRLCEAPKASMEDLWLPFEVNRLELEAEDLDCICSSLLNN >RHN55543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19363179:19367288:1 gene:gene30743 transcript:rna30743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triose phosphate/phosphoenolpyruvate translocator, sugar phosphate transporter MSCVTNPVLISVQRPQISSVFLLPKRVSSSTSYGLLKSRTLQHESINSSLVFSPLVKKAFGVNQRRFPVVTALAADADDSEIEISNGSVQSSKSFGEKFPALVTGFFFFMWYFLNVIFNILNKKVYNYFPYPYFVSVVHLLVGVVYCLFSWGLGLPKRAPMNKELLLLLTPVAFCHALGHVMSNVSFAAVAVSFTHTIKALEPFFNASASQFVLGQHIPLSLWLSLTPVVLGVSMASLTELSFNWTGFISAMISNIAFTYRSLYSKKAMTGMDSTNVYAYISVIALAFCIPPAILIEGPQLMEFGFRNAISKVGLTKFLSDLFWIGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNKISTQTGIGTAIAIAGVAIYSVIKANIEEQKRKAAAALAS >RHN42622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39764977:39765665:1 gene:gene49081 transcript:rna49081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase MVRRICILTEILGRKPIFPGTDRLNQLKLIVSVLGSPYESELDFINDLRAKRFIESFPYTRGIHFSQLFPQADPLAIDLLQKMLVFDPTRRITVLEALQHHTYMVGLYDPGCNPPAEVPVNLDIDEIWGEEMIREMMLNEMLHYHPEAAYANA >RHN78998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20708681:20711129:1 gene:gene2674 transcript:rna2674 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFCTLAHCHMVLVSPFFFYINEGHFISIVFIILQQVYSLH >RHN41954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34309036:34311720:-1 gene:gene48330 transcript:rna48330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type-7 MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGIDNVVLGVEKKSTAKLQDSRSVRKIVNLDDHIALACAGLKADARVLINRARVECQSHRLTVEDPCTVEYITRHIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTGSPSLYQTDPSGTFSAWKANATGRNSNSIREFLEKNFKETSGQETVKLAIRALLEVVESGGKNIEVAVMTKEHGLRQLEEAEIDAIVAEIEAEKAAAEAAKKAPLKDT >RHN66645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15614355:15615178:1 gene:gene14683 transcript:rna14683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase (putative), gypsy type MYVVVLEEFGVKVPFTRFEMDVLKFLNVAPSQIQPNSWAFIKCFEILCEALGVESCKLQRTQLLSWRVVMMNLYERGIVEFLHSMSLTDIHQLWNKEGDSESLELYLLPMLPMTRAERRKYFAYLKAKKEITGYVTSDPAGAIASYGHNSHVNCALSKLGFWSFIWLLKVSRS >RHN41788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32981685:32985674:-1 gene:gene48148 transcript:rna48148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (+)-abscisic acid 8'-hydroxylase MEITTLFFYFASFLFIVLFKSLIKNFLFPSNGKQLPLPPGSMGYPYIGETFQMYSQDPSLFFANKIKRYGAMFKSHILGCPCVMISSPEAAKFVLNKSQLFKPTFPASKERMLGKQAIFFHQGNYHANLRRLVLRSFMPEAIKSIVPNIESIAQTCLKSWDGNLITTYLEMKTFTFNVALLSIFGKDEILYREDLKRCYYTLEKGYNSMPINLPGTLFHKAMKARKELAQILEQIISTRRCKKQVYNDLLASFMDEKAGLSDEQISDNIIGVIFAARDTTASVLTWIVKYLGENPSVLESVTEEQMSIIKGKQENGEEIGLNWEDTKNMPITSRVIQETLRVASILSFTFREATEDVEYQGYLIPKGWKVLPLFRNIHHSPENFKEPEKFDPSRFEVAPKPNTFMPFGNGVHACPGNELAKLEILVLVHHLTTKYRWSVVGEKNGIQYGPFALPQNGLPINLYSKK >RHN54896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13318855:13321276:1 gene:gene29994 transcript:rna29994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamyl-alcohol dehydrogenase MATQPEFEHPKKAFGWAARDPSGVLSTFNFSRRETGEKDVAFKVLYCGICHTDLHMAKNGWGTSTYPLVPGKLPTLLTFCLMHANCFSHLIMSLAQLVKTMHNICKVQGSRVNFLLY >RHN44971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10383293:10384647:1 gene:gene39161 transcript:rna39161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine--tRNA ligase MYEQFSVQFLHKTTSLELFCKVLNCFIEFSVLFARRRRRNPENMLDINLFRVEKGHNPEIIRESQRRRGASIEVVDEVINLDKEWRKHQFELKNLRKEFNKINTEVSKLKRGGEDAAEFISKSKEIKKKVAVKEVEAQETVNLLNSKLETIGNLVHDSVPISNDDVSDVAGGRGYCLKGAGFCITTPNDNDSWDKHEEMLKKAEHFYEALNIPYQIVSIVSGALNSAAAKKYHDYQARKLEIRYGQTKKSSELLKQYVHLLNSTLTATQRTICCILENNQKEDGVVILKVLRPFMGGKTFLPFKNQPNKEAKGALKHVQFNRAGHVPFNRVGHILIKFNYEFHWKEECSLENWD >RHN39103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4120214:4124319:-1 gene:gene45097 transcript:rna45097 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGAFGGNRGLRPVPPEKGVFPLDHMHLCDLDKKEYLNCLKTAGNKSEICRGFSKKYLQCRMEKNLMAKQDLAELGFKESNAESPAGKTTERIGDQEQ >RHN73147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13812283:13813324:1 gene:gene8933 transcript:rna8933 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPMISHLLPLMAILLATLTQISYAQNSPQDYLKIHNKARSDVGVGPISWDAKVASYAETYVNKLKANCKMVHSKGPYGENLAWSSGDMTGTAAVTMWIGEKKYYNYNSNSCAVGYQCGHYTQVVWRDSVRVGCAKVKCNDGRSTIISCNYDPPGNYIGQRPFDISPFEVPLSFNHGSFDDK >RHN44605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6753375:6754377:1 gene:gene38744 transcript:rna38744 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTQCHLNFKSSIFVFDPKAFTWSSFVATPESNTRNNEIEKKNWNHNQLRIKGKTRSFKKRFWMNSLLFVMIRIIAIFQSFVVWQRIRFWLKLVSIVRFKESQSVLGNAIHGPNFPLESRFGKSHFG >RHN77730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9013333:9014570:1 gene:gene1216 transcript:rna1216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MLSNTEGDGREFINEVGTMGKIHHVNVVRLLGFCADGFYRALVYDFFPNGSLQNFISSPDNKDVFLGWDLLQQIALGIANGIEYLHQGCDHRILHFDINPHNVLLDDNFVPKISDFGLAKLCSKNQSTVSMTAARGTLGYMAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGRKNTKTVTGEENFQVLYPDWIHGLFEGGDVYIPIDEEGDFRIAKKLAIVGLWCIQWHSVHRPSMKSVVQMLQGEGDKLKVPTNPFNASSSTNRTVNTVAGCLNSELDVIQELD >RHN69201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41765458:41777752:1 gene:gene17639 transcript:rna17639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene dehydrogenase, chloroplastic/chromoplastic MALYGSISSPNLNWQIGPKTISKSTSSSMNSYTTISLSFSSSVSMGLNLRFNLASPSTRAAKINRLRNHGSPLKVVCIDYPRPELDDTVNFIEASYLSSTFRASPRPTKPLKVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKVAAWKDEDGDWYETGLHIFFGAYPNVQNLFGELGINDRLQWKEHSMIFAMPSKPGEFSRFDFPEVLPSPLNGIWAILRNNEMLTWPEKIKFAIGLLPAMLGGQAYVEAQDGVSVKEWMRKQGIPERVTDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHIQSLGGEVHLNSRIKNIELNDDNTVKSFLLTNGKVIEGDAYVSAAPVDILKLLLPENWKGVPYFQRLDKLVGVPVINVHIWFDRKLKNTYDHLLFSRSPLLSVYADMSVTCKEYYNPNQSMLELVFAPAEEWISRSDEDIIGATMSELAKLFPDEISADQSKAKIIKYHVVKTPRSVYKTVPNCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQAIVQDSELLAARGQKRIAQVSIV >RHN44240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2725802:2727460:-1 gene:gene38334 transcript:rna38334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ZF-HD family MDITLTPTPTPTPAPTSTTSIAPTILTASTTTLTKSPEQQHETETPTTKFTTSTTPKISPFSNGVLKRFHHHHHHFNHHNNHQPTITYKECLKNHAANLGGHALDGCGEFMTSPTATPTDPTSLKCAACGCHRNFHRREPEEPPLTTTHVIEYQPHHRHQPLPPPPFSHRSPNSSSPPPISSSYYPSAPHMLLALSAALPENVAAPNQTMLMNSHSNNSRKRFRTKFTQDQKDKMLKFAEKVGWKMQKKDDEFVHEFCNEIGVDRSVLKVWMHNNKNTLAKRENINTNNDINDGVKSFQLPLEDEEHKNNVEIHGLNHNHYQNEGGVVGVTVRANGSSSSS >RHN56937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35023580:35023959:-1 gene:gene32407 transcript:rna32407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MEVDMILRLHKLLGNRWSLIAARLPGRTANDVKNFWHTHLRKKVVSETKEKKEKEKPKETMKAHEVIKPQP >RHN68615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37112984:37115940:1 gene:gene16987 transcript:rna16987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAGGGFSMDTQVTATNVGSKLTFLIIITCIVAASSGLLFGYDIGISGGVTTMKPFLKKFFPDILRKAVGTKVNMYCVYDSQILTLFTSSLYLAGFMSSLVASKATTMFGRRNVIIMGGIVFLAGGAINGGSENISMLILGRVLLGLGVGFTNQAAPLYLSEIAPPKWRGALSTSFPFFLGFGIIVAGCINYGTAKHTWGWRLSLGLAVVPAAVITIGAFLITDTPNSLVERGKIEQAKKALHKIRGSSIDIEPELEELIRSTKIAKSLQQEPFKTIFKREYRPHLVMAIAIPFFQQVTGINIIAFYSPNLFRSLGLGHNASLLSAIILGVVNLASILVSSTVIDRFGRRFLFITGGIIMFVCLLAVSIVLAVVTGVDGTNDISKGNAILVLVLMCFYAAGFGLSWSPLTWLIPTEIFPVNIRSTGQSIAVAVHFIMIFVLSQTFLTMLCHLKFGAFLFYAGWVVVMTLFIIFFLPETKGIALDSMHIIWGKHWFWYRFVKGEDGEGNHP >RHN65271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:725574:732535:1 gene:gene13093 transcript:rna13093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyl-CoA C-acetyltransferase MAPAAASSDSSIQPRDVCIVGVARTPMGAFLGTLSSVPATKLGSIAIEAALKRANVDPAIVEEVFFGNVLSANLGQAPARQAALGAGLSKSVVCTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMENMSSVPKYLAEARKGSRLGHDSLVDGMLKDGLWDVYKDVGMGVCAELCADNHSITREDQDNYAVQSFERGISAQENGYFGWEITPVEVSGGRGRPSTIVDKDEGLGKFDAAKLRKLRPSFKETGGSVTAGNASSISDGAAALVLVSGEKALKLGLQVIAKITGYADAAQDPELFTTAPAIAIPKAIANAGLETSKIDFYEINEAFAVVALANQKLLGLDSAKVNVHGGAVSLGHPLGCSGARILVTLLGVLKQKNGKYGVGGVCNGGGGASALVVELL >RHN47378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39306230:39306691:1 gene:gene41971 transcript:rna41971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MQVHTILEENMISIVSNKSLTKSCWKIAELGCSSEPNSLMSISNILNIINKTSLKLNNGISPVFQIYLNDLFENDFNTIFKLLPDFYQQKKGENVEECFIGATPGNFYGRLFSNNYIDFFHSSYSLHWLSQVSSLKPQSETFKSYYDTRSVPY >RHN64009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55891298:55897347:1 gene:gene26782 transcript:rna26782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IV family MDVTVVFLVLLLQLSTIFGSEVVLQTKGCGDNLVANSYSSHGEELFYINGNVVNRVDFCKALQLYIANGCDLKDYFGSNNCVLDLNVSFVNLPSTAGRKLLQKDLNNNSTSQGDPKQVSSSQVGIFAGGALLVCCAVVCPCFYGKRRKATSHAVLEKDPNSMELGSSFEPSVSDKIPASPLRVPPSPSRFSMSPKLSRLQSLHLNLSQVSKATRNFSETLQIGEGGFGTVYKAHLDDGLVVAVKRAKREHFESLRTEFSSEVELLAKIDHRNLVKLLGYIDKGNERILITEFVANGTLREHLDGLRGKILDFNQRLEIAIDVAHGLTYLHLYAEKQIIHRDVKSSNILLTESMRAKVADFGFAKLGPVNNDHTHISTKVKGTVGYLDPEYMKTYHLTPKSDVYSFGILLLEILTGRRPVELKKSAEERVTLRWAFRKYNEGSVVALLDPLMQEAVKTDVAVKMFDLAFNCAAPVRSDRPDMKTVGEQLWAIRADYLKSSSTTARRE >RHN57256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37602489:37604110:-1 gene:gene32778 transcript:rna32778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif domain-containing protein MNGSAFLLFGVIFLTGWVANGSAEVVYNRRSDAFAALKRYNNVLLDGKPMKIEIVGTNSELPVTARVNVSAMNRQRKRTFVMTYCSASAFGVAEFVVTIGLFVSVTRLDCLQSVQFFQLLA >RHN53594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2756333:2757526:1 gene:gene28518 transcript:rna28518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MGWMGLAFLYEQLSLTSDSSMASCGGYMTFLVGWTLTHFSNIIPRIDDDAYDPAVSPLVIQWKPPRGFSNPGHYRSAIDSLDHSHVTWRPYERRRHITPFQDICWYSGWIMTGSDRMVHHLSERVLRKYGYVQTILRAPTDIELIAADDVAQDFTEFALHVLSHQDRGYMRWFIRVSHPIVNPPTTIPDYAAAAPPRPVPPYEEDIVEQQWARHPLDPYQIISNIRARVDGAMGHPVVFHNSEEVMRLMQGIQSEWSMLEQVPAPRRRSRNPRDGPV >RHN71216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57284004:57291892:-1 gene:gene19869 transcript:rna19869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MAVQRRRHHFYQRFRLMIPMISAVAAALLFLFAMLSFLAPSPIDSDHMHRRRQHITYNEKGSEVVEKLEFRVPKDGGKLSHDVWSSRNSEHFYGCSNASSKFPNAEAATKPNRYLLIATSGGLNQQRTGITDAVVVARILNATLVVPKLDQRSYWKDSSNFSEIFDVDWFASYLSNDVKIIKQLPSSKGRKALSAYSLRVPRKCSERCYINRILPVLVKKRAVQLNKFDYRLANKLDTEYQKLRCRVNYHALRFTDPILKMGQQLVQRMRMRKKHYIALHLRFEPDMLAFSGCDYGGGEKEHKELGAIRRRWKTLHKSNPDRARRQGRCPLTPEEVGLMLRALGYGSDVHIYVASGEVYRGDETLAPLKALFPNFHSKETIATKEELEPFSSFSSRMAALDFIVCDESDVFVTNNNGNMAKILAGRRRYFGHKPTIRPNAKKLYRLFLNRSNSTWDVFASSVRTFQKGFMGEPKEVRPGRGGFHENPSTCICEDSVAKLDKNSGSRKFSRDKSTKKFVANDEVDVDDNDEDNDNDDDDDQNDLTDRDMFNETMSDYESLNFEDPELEEIISD >RHN77740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9104181:9106081:1 gene:gene1227 transcript:rna1227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 3-dioxygenase MPDDVLNNVLKQVSNYFDPTTIEERMIYSKKVPSDKILWGLSADDGENREYLKVIAHPKDQASSNLTSLSKIIEDYNKEMRKIVVGLARSMSENLGFDENYIEEAFNMKSGFDVMAMNLYPPNSKSKGDIGLPNHTDPGFVVTLVQDVNGGLQILSHKGTWINVYIPHHAILIQLGDHLEILTNGKYKSHVHRVIVNKNKVQRISVVTLHGPSLDKFIVPGTEFVDDENPHNYIGMTYKESLKANGGNEIGVQSSLEQIKLV >RHN45632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23964087:23969887:-1 gene:gene40013 transcript:rna40013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative elongation complex protein MEQKELNLLNESLGFNNNNNNLYGQFVLVEDTVDTSAAFVLHHIFKRSFSSHPSSSVIFLALSHPFSHYDRVLRKIGCNLAAQRDNNKFFFIDMLMLQFQDEGKPNHNGFAAVFEKIESVIKALPQDNMKFATIMIDDISFLQVAANGSSNDVLDFLHYCYTLTSEYGCAFIALDHKDIYLNEEKPAIILEMEYLADILVKAEPLATGLAKDVHGQLMVLHKQTQHGIAPVKIHNFHYKIKENSIECFYPGTKI >RHN71617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1408004:1409656:1 gene:gene7235 transcript:rna7235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MDRIGSLPDDILTRILSSVPTKQAVATSILSKQWIHLWRYVPVLDFTETNLEDLESIRRFKEFVSSVLLSRKAAGNHSINTFILGIQRYSSRTHERHSSPITPTYYNNMSRKLTLAPSLPISILTCTTLVVLKLRWFWFFMDANSHYNFPSLKTLHLKDIYLHHQHEFTFLLDACPLLEDLQLSNIHFGPSARFSSLYRNQQLSGSSLKRLNKADITDHDCYFMVKSLSNVEFLRIQLCKGYCPPNDFSTFHNLTHLVLNYSCDIIVQVLHHCPKLQNLEFYEDFSTTRGLQNWVDPESVPSCLSLNLTTCNMRDFDEGQQRNRIMLARFILQNARVLETMPIWCYMRWPKAERVLFSCPRASVTCQLSIDCGCKFTFIRKGKRTKKNRRAKNGR >RHN65771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5211852:5213172:-1 gene:gene13659 transcript:rna13659 gene_biotype:protein_coding transcript_biotype:protein_coding MRATKLNSLPEEGIAYQRLPIARSKLEEEAKKIVVQDCSHFLHSYQQQNDRMSVVSRCVTEIFFLLYYIVINEY >RHN38720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1500235:1501647:1 gene:gene44684 transcript:rna44684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasaponin III rhamnosyltransferase MTEDTIHVVMLPWSAFGHLIPFFKLSIALAKAGVHVSYISTPKNIQRLPKIPSSLSHLIDFVEIPLPSLNEDLLPEGAEATMDIPFDKIQYLEQAYDKLKNPVKQLVSNWLPNWIICDYNPHWIVDIAQEFHINLIYYSVVSAATLAFLGPPSNMNGRFSPDSLTLPPKWMTFPSSVAYNRTEAVAFSKYVHQNNASEVSGIERLVKVIDAAKSIICCSCYEIEGEYLNLYKKLVGKPVIPIGLLPVEMPQRGLLDGLGSVTGLRTAIFQWLDKQATKSVVFVGFGSECKLSKEQVFEIAYGLEDSKLSFLWGLRKTNWAYNDEDFLPIGFSERSCDRGLVCMGWIPQQEILAHSSIGGSLFHSGWGSTIEALQFENKLVVLPFNVDQPLNARLLVDKGLAIEVKRNEDGTFTRYEIAKSLRQAMVLEEGKELRTKTREAVGIVGNLKLHQDHYIAAFVQFLKDGIRKAI >RHN69191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41670319:41677712:-1 gene:gene17626 transcript:rna17626 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESIDIEDEGDSRWCCSQGHRSSLNLQTNQGGTICLVCFSNLISNPSSPTIHVSYALSQLSRSLSIPQFLHSIVTFHPHFLVSPLVAALSSFDDEPIAEQLVHLILNLSASSDPSVCREFVARVSDRVSSGALGWSSRQLHSLHCLGVLLNCEKEDDLHVHIKDVYSLISVLVTGLQLPSEEIRGEVLFVLYKLFALHSTSDEGDGSDMLIPFCPKLLYLLGDVLLKTQNDDVRLNCIGSLSIFISISCSLKRMLVIFCFVFGCLFVFPFWTALLTMLAQRQLLREEPAYDTGNMSLSGEVNFKETEDVPEGASLVNLFAEAIKGPLLSSVSQVQIGALDLLFHYLSSVGTSGNQIQVLVEENIADYLFEILRLSENKDPAVKMCLQVLDLLSNSEEAFRPRLVVGFSTLVPVLRYVTEVPFHPVQYETLKLIYECISECPGAVSTSQMEELVLVLIKMLTKHSDGEMGMIPETFIMACSVFVALMRSPSCNGALDLSKSIEEAVKQAILACLYVSERNINQILQCFYLLKEAYAYSHDENSTHISKLELRSGILDICRTHLLPWLATGINEMEEEIILGLLEIFHSILLLHCSINSREFAETLMSFCWFSFSYGCLGLFAGDRMKHRIYLLLGSLIDSLIGNDTGQPIRDAALHLPSDPVDLLFMLGQRSTDSLDFSSCQSAALLIMYTSSLYDERLADDNMALASLEQYVLLNSSDSHNWTTDSLTVTRLVNLYSLLRGLGNMNYQIHYSREAEEIIFQLINNGEWDLLSARIHTVSLKWLFQQENIINSLCHQILKFCRNNNLEGDDMIIGNSNQTVNVQTLAELVSSEDNYGARLFICLLAQLAEEEGQEHDIISVLNLMATMIHICPAASDQLSLHGIGTTIRTCCFSTATFMSILVLVFNTLSSVHPKTLSTDQSWVAVTMEMMEYSIPSKEADILSQESLFVIGILSLILHLSTNKVLKETSKTILFNTCIISVVNTIVCAASSKGPALVDHDEGTSTGETLIFVLLLHIFAVKSLHAILPGFVDWQKFLVSMNSSEPLAFIGIRCHDLCRLLHFGSPVVKIIASYSLLELFNRISDQINTKQEELKCTVGYLMSIRSILEGMVFYNDLRVATNCALCLSILLRWENLAKETEQLGKSSWCRLIMEEMTVSLAAPALASQSFMNNQTPAVLVASALLKLHKIPQWMRSVFNNSCISGILENLAANNLSPEILVLFRELLKSDFLSTEQIATISQMLQECRKQMYTNNAQDGLPSEPIKKVLATSYDLGDVCWFLIDLMSPDTLDMDSWGIHMDGKRLLEEIELFFSTLTVDDNSCR >RHN61945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39559627:39562831:1 gene:gene24461 transcript:rna24461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MSVSVTSSLVAPTPNANSDFSRRSANYHPNIWGDLFIQYVLEPMEFDEIMKQIIMLKEKVRQMLVPNVNVTNPSREANLIDSIQRLGLYHHFEQEIGELLRHIDNNHVENGTITLNEDLHSIALVFRLLRQQGYHILPDVFKKFKNEQGNFKETLVGDVEGMLSLYEATHMRIHGEEILDDALSFTSLHLEMMTTQLSPSVATKINHSLKRPLFKNLPRLVARHYISTYEEDPSHDATLLLLAKLDFNLLQKQHQKEVGDISKWWKDLDFATKLPFARNRIVEAYFWILGVYFEPQYSFGRRIMTKVISLASVIDDIYDVYGTIEELQLFTQAIERWDISCMDFLPQYMKFCYKAVLDVYEEMEQEMVKEGRAFCVFYAKNEMKRLVQAYFTEAKWFSRNYIPTVDEYMALGIVNSGYYLITATSFIGMGCIATEDVFQWLTNNPKIVNASSKIARLMDDIVSNEFEQERGHGASAIECYMNEHGVSREDAVNELSRQVTNAWKDTNEELLDPTEVPKPLLIRVLNLSRVIDVLYKDGDCYTNSQGSTKNDIISILLNPCPV >RHN68323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34558217:34558861:-1 gene:gene16659 transcript:rna16659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MNFNVAFSLCLALISSCSNQGLILLTMSQYIPSLKTCNTKKCLQPRKLHEVVFFLALYCISLGTGGHKPCLESFGADQFDEDHVEERKKKMSFFNWWNFALCFALLLGATVIVYVQDNVSWGVSCLILTILMALCIIAFYLGKPFYRYRRPEGNTLKPIIQVLVAAIRKRKLSCPSNPDLFYEIPKSDKSQGRLLCNTSNLRYETYLSFQINAY >RHN77388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6511082:6515149:1 gene:gene835 transcript:rna835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MHTLFSPLLEPDLSLNISLPSNISDSEPKGITNICSISTTSDSASSGSELSHENPFIYPHQRDPTLRLGFGNSDLMNPHHHNHHLHRHQVQGVSRNFNQHFQPHIYGRDFKRNTRVVNGVKRSVRAPRMRWTTTLHSHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKTTDKSGAGHGILQTQGINIVPLHGANSSADERPNLPQPLQNSLRTSWQPSIETNTNNTEEKSEIGLTYSQLKENDTMVKRLDSAQLIDLEFTLGRPNWGKDHAESSRELSLLKC >RHN81338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45009629:45016004:-1 gene:gene5359 transcript:rna5359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate kinase MQSSPLLLEEPIRMASILEPSKPTFFPAMTKIIGTLGSKSRSVDTITRCLDAGMSVARFDFSWGDPEYHQETLENLRAAIKSTKKLCAVMLDTVGPELQVVNKTDRPITLEADTSLVLTPDQNKEATSNLLPVNFSGLSKAVKKGDTIFIGKYLFTGSETTSVWLEVSEVNGDDVTCLIKNDATLSGSLYTLHVSQIRIDLPTLTDKDKEVIRTWGVRNKIDFLSLYTRHAEDVRHAREYISKFEDLKQTHIFAKIENIEGLKHFDEILREADGIIVSRGNLGIDLPPEKVFLFQKAAIYKCNMAGKPVVVTRVVDTMTNNLRPTRAEATDVANAVLDGSDAILLGAETLTGLYPVETISTVGKICAEAEKVYNQDLYFKKTVKFVGEPMSHMESIASSAVRAAVKVKASVIICFTSSGRAARLLAKYRPTMPVISVVIPQLKTNQLRWTFTGAFQARQSLIVRGLFPMLADPRHPSESNTGSNESILKVALDHGKAFGIIKPHDRVVVCQKVGDSSVVKIIELED >RHN77076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3811318:3816591:-1 gene:gene487 transcript:rna487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MDVVGAPYNPRTVEEVFRDFKGRRAALIKALTTDVDVFYQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKADRKRLFNMINDLPTIFEAVTGSGRKQGKEKSVSNNSSTKSKSNSKRSSEPQPKFSKPMAMAMQMQSKDEVEDEDGVGVDEEDEEEHGETLCGACGDSYAADEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPACSNKRARP >RHN49604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55885297:55888744:-1 gene:gene44453 transcript:rna44453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif 2, nucleotide-binding alpha-beta plait domain-containing protein MSLYASVRTVRLSVNNALHGHSVDAIASHYEEEKLCVSLEELEAQTIGNLLQDEDEDELDLISSNGGLDLEDFDSSSFREKNCEILDKARNTSFAVENPSGEHPSRTLFVRNIDSEVEDSELKALFEQFGDIDTFDRDCKHQGNAMISYYDMRAAQKAMRALQNQLFSCRKFDIHYSIPKDSPSRKGVNQGTLAVFLYDSSISNTEIHNIFNVHGDIKEIHENPHSWHHKLIEFYNFEAAEKALHDLNRNDTSMKRLKVEPSQSTDSESMIQLIHQKSSPIKPPTSFQGLHGISSSVPNTLPSLIKLKSVGIQCEITESSCSGQLNIDRQATLAFNPHSLPECRHSFTNAIPHNPLEVAADINLKTQDRIDKMQFCQVNSNGPFMDFDECVSKSSSNGSSSFPLPGHHGKWSNSYPPPRRMWPNSPSYLNGICAAPTLQKLNQLPMSPSHMISTALPINNNHVQSHPVESITPHYVDFVPHNMFPHSGLNFHNQRGMSFPGRNHMVNSFDTNRHIRSRRNVGATNLADMKRYELDIDCIIRGEDNRTTLMIKNIPNKYTSEMLLAAIDERH >RHN76569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51308177:51308857:-1 gene:gene12917 transcript:rna12917 gene_biotype:protein_coding transcript_biotype:protein_coding MILRRHVLNLLEVMFHIETLYGLNAAMISILFGFMLKFSYFLIC >RHN52738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38297405:38298916:-1 gene:gene37440 transcript:rna37440 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEPALLSWWVIRLVWFRSFVQLCGLSESFGSAVGAVFVLLLDRVEVMCATDVADLLGECWLVWCRGFWCFSWCCCCCYLWEFWFLFLLVIGL >RHN67197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24811469:24821490:1 gene:gene15355 transcript:rna15355 gene_biotype:protein_coding transcript_biotype:protein_coding METMVRTSSRHPNCSPIKSILASGGSSGNSTIFQPSSVNLPALLRAPNIHNWYIELRILSCGGGSMKSKSSKFSTLRDFSNKTTLPRLVL >RHN79852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32852898:32855953:-1 gene:gene3699 transcript:rna3699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MATIGVKPVLPPPLTSTSQPPPLFDGTTRLYVSYSCPFAQRTWITRNYKGLQNNIHLVPIDLQNRPAWYKEKVYPENKVPSLEHNGKVLGESLDLIKYIDANFDGPPLFPNDPAKKEFAEQLLSHVDTFTKELFVSLKGDTVQQSSPTFEFLENALGKFDDGPFLLGQLSLVDIAYIPFVERFHIVLAEVFKHDITEGRPKLATWIEELNKIDAYTQTRVDPQEIVDLFKNRFLPKQ >RHN44134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1933013:1934559:1 gene:gene38202 transcript:rna38202 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSRKRIAISFMPEYLRSCHLVFVHAPNNCIVFQISWTLKL >RHN80031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34503990:34505954:1 gene:gene3906 transcript:rna3906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L21e MPAGHGLRSRTRDSFSRPFRKKGTIALATYLRTYHIGDYVDIRVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEVNKQVRNKILRKRIHVRVEHVMPSRCTEEFRLRKIKNDQLKAEAKAKGEVISTKRKPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >RHN69869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46984309:46987564:1 gene:gene18397 transcript:rna18397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA/RNA-binding protein Alba MRNYITYATTLFQEKGTEEIVLKAMGRAINKTVTITELIKRRIVGLHQNTIIGSTDITDTWEPLEEGLLPLETTRHVSMITITLSKKELDTSSTGYQPPLPADQVKPLNEYEEEGEGSPRMRGRGRGRGRGRGRGRGMYNGGMEYGDGYDGGRGYGGRGRGRAWGRAFRGRGRGYGAQPVGYYDNGEYDAPPAPRGQGRGRGRGRGRGRDAGRVAAA >RHN40261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14662518:14667729:1 gene:gene46386 transcript:rna46386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAVEFIGSALLSASLQVAFDRLASPEVVDYFQGRKFNEKLLKKLNIMFLSINVVIDDAEQKQIRNQQVKAWLDAVKDVVFEAEDLLDEIDIQAFQCKLEGESQSSPNKVWSFLNVSANSFDKEIESKMQEVLENLEYLASKKDILGLKEASSSTSSAFGVGSCSQVSRKLPSTSLLGETVLYGRDVDKDIILNWLISHTDNEKQFSIVSIVGMGGLGKTLLAQHLYNDSKMVDEFDVKAWVCISDEFDVFKVTRAILEDITRSTDDSRDLNMVQERLKEKLSGRRFLLVLDDVWNEKCDEWECLQTPFNYGARGSKIIVTTRSMRVASSTMRSTKIHQLERLKEEHCWLLFSKHAFQDENPQLNPELGDIGKKIVGKCTGLPLALKTVGSLLYTKSSLAEWKTTLESEIWDLPEEVSNIIPALRLSYHHLPSHLKRCFGYCSLFPKDYVFDKKHLILLWMAENFLQCPQQSKSMEEIGEEYFDDLLLRSFFQQSSQDKTCFVMHDLLNDLAKYVCGAFCFRLEVEEAQNLSKVTRHFSFLRNRYESSKRFEALCKAERLRTFLPFSRNRKVPSFLNEFWMSGPLLHELLPKFKLLRALSLSCYVNMIEVPDTIGNLKHLRYLDLSDTNIKKLPDSICFLFNLQTLKLKNCQFLKELPLKFHKLINLRYLDFSGTKVRNMPMHFGKLKNLQVLNSFCVEKGSDCESNIQQLGELNLHGTLSISELQNTVNPFDALATNLKNKIHIVKLELEWNANNENSVQEREVLEKLQPSEHLKELSIRSYGGTRFPYWFGDDSLSNLVSLKLSNCEKCLLLPPLGILPSLKKLSIIGLSSVVFIGTEFNGSSSSTVPFPSLETLQFEDMYEWEEWECKTMTNAFPHLQKLSLKNCPNLREYLPEKLLGLIMLEVSHCEQLVASVPRTPFIHELHLNDCGKLQFDYHPATLKILTISGYCMEASLLESIEPIISNISLERMNINSCPMMNVPVHCCYNFLVGLYIWSSCDSLITFHLDLFPKLKELQFRDCNNLEMVSQEKTHNLKLFQISNCPKFVSFPKGGLNAPELVMCQFYKSENLKSLPECMHILLPSMYHLIVQDCLQLELFSDGGLPSNLKQLHLRNCSKLLASLKCALATTTSLLSLYIGEADMESFPDQGFFPHSLTSLSITWCPNLKRLNYSGLSHLSSLTRLYLSSSPLLECLPKEGLPKSISTLQIWGNCPLLKHRFQKPNGEDWEKIRHIQCIIIDNEIIT >RHN56828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34051444:34051734:1 gene:gene32285 transcript:rna32285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin MMGRGPPDGYKFRHDTNVKKKNYEMEAIPVHQQRLFFDGKLIEERQILANYSIQEKSTIETTLRSPQFPWLGRLDDHHTSLSATVGDPINREIKHY >RHN47814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42727948:42739893:1 gene:gene42458 transcript:rna42458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain, acetyltransferase A, auxiliary subunit MSPAPMHFPSPINSDDTTPHSPLPFAPFNADAVNPPAAATARVRSKPRLVKLRKQSASQTARSRTRTAATAVENEGSGSGFNPFRSDQVSEGFVFSARKVDSDSVRDLNSEQKESAGVEFVFSAKTNSVSGDESKKLNSEEEKGKVNFTEFVFGAVRNDLHSSFNVEKEKSSVPMWNSGFADYGERECVNEFVFGKQESVDRMRNSGNGPGVFHAETETNGDFDKGGDKCGDLGDDVKSKSGNGSANGFSTIFTDFSDSKLVDEINKLNINDHEGVRIARDSTSSHVSSSNVFVFGGSHKVSSVSSGTNTGGEQLYTNDDSDSDKASGTHGVQNGTAQGIDQDATGIPCSKTTTSQDGIRDFHVSEDAQVNGVEESCTGFKPPAWDPSYFKDNLFCKLNKKFEPTHKSKSSKEKGSKYMRRKLKSHSLNKKQTRLDHLPKENSSLETPESSGGFSPMDLSPYQETTADDEDLKASEESNVLHPTIATDCKDSQRGGDLDNGKSCYGSSSVGDVHFSGPDTVLPKMQTEVFGSSFSGISADVGVDLTSNSEEKKADVFFVAGLGDSKEKDFAFSAGSSVEVTSLYKRKQKKKFRRKIGSDSFVISPNVNGKPVSSVQFSPLTTANMSSHSDVRDKSQINDLFEEGGDASSATIEAACHQWRLRGNRAHKAKEFSKAEELYTQGINSVPSSERLGCGIKPFLLCYSNRAATRMSLGRIREALEDCTMASSLDPTFLKVQMRTANCHLLLGDVENAQRCYNKCLESGKVVCLDRRVTVEAAEGLQKAQEVVKCMNAAAELLKERTLDAAGSALELLTKALSKSLYSERLLQMKAEALYLLQKYDAAVQLCEQSLNLAEKNFRMANSADNSNNPIHDSYSSVKLWRWSLISKCYFRLGRLDASLNVIEKLQQTASVNDKCVIDIKDLLSLAATIQELLNHRHAGNENFKLGNYSEAVENYTAALSSNIKSRPFAAICFGNRAAAHQASGQIADAIADCSMAMALDENYAKAISRRATLHEMVRDYEQAACDLRRLISVLGSQSNEKAKHSESPNGSSGGKESRQAKQRLLTVEDQAKMETSLDFYLILGIKPSDTAADIKKAYHKAALRHHPDKAGQLLARSEVGDEGSVWKEISQEVHKDADRLFKMIGEAYAVLSDPAKRSEYDLEEDIRKLYKQRNGGGACRRSSDVYGNGRSPDGYRNSYDRTSNRRYGHYYKTYGDSYSRW >RHN71986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4181179:4181553:-1 gene:gene7651 transcript:rna7651 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCTKIVCDRDCSVIDGCSFFTINPPSQLQQLQDRRRRKDRGGIVVVLPVVVVCAGLFRFSLAFCLFGCQTPTFSKIVVFWWCVWWLVVVVMSVLCLDSRRFLGNWCWGGDVLEAFRHVCREW >RHN80082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34900270:34900833:-1 gene:gene3961 transcript:rna3961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEGNYYLNRNTRDHDHHHHNLGFEEHSWGTSWPARNYSCSFCKREFRSAQALGGHMNVHRRDRARLRSSLISSWVNSDQCPNNNKPNPTPSSTTPCSSPLYLSLSSPPSPSLPHTIHDDKKPRLTPSLSLPLLNPQRSEIMMSKSIKSYEEVKKHNVLMNNEQNITLELGIGLFKHQEEKLDLELHL >RHN58917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5915897:5917884:-1 gene:gene20873 transcript:rna20873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MASNTSFSGALPSSIGNLRHLSELYLSSCQFNGTLSNSLSNLTQLRDLDLSNNNFTGPMPPFGMVKNLIFLDLSYNNMSINGNDANVDQISFPNIKLLYLASCNIQSFPRFLMNQSTLISLDLSDNNIQGVVPNWIWKLQSLQWLNISHNFLTELEGSLQNLTSIWVVDLHNNQLQGCIPVFPESIGFLDYSTNNFSVIPHDIGNYLSSAFFISLSNNNLQGSIPHSLCKASNLQVLDISFNNISGTISPCLITMTSTLEALNLKKNNLNGSLPDMFPTSCVVNTLNFHGNLLHGPIPKSLSHCSSLNVLDIGSNQMVDRFPCFLTKIPTLSVLVLWNNKFYGSIECSHLVENKPNWKMIQIVDIASNNFNGKLLEKIFTSWDRMKHDEDVVSGNNYIPGSIESYYQDSVTITCKGQQMELVKILTIYTVIDFSSNSFEGPIPMVVMDFKALYVLNFSNNGLYGEIPSTVGNLKQLESLDLSNNFLVGGIPVQIASLSFLSSLNLSSNRLAVKISTGTQIQSFEESSFEGNDGLYGPPWTKKPNDKRQEMHPQLACRRLACSIDWNFISVELGFIFGLGIVIYPIMFWKHWRVKYWKLVDKTLCWIFSRMYMEYVTDRGQTYIVLRW >RHN60641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29042295:29044459:1 gene:gene22988 transcript:rna22988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-Xb-1 family MESRVLDFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSFGVVMLELLNGKRPGDKEDFGDTNLVGWAKIKVREGKQMEVINTDLLLETQGGTNEAKLKEVIGTYRQLI >RHN53542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2398290:2401463:-1 gene:gene28458 transcript:rna28458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MGNFVPNIFLFSVYLIGLLVYLGNAEPFEDKKALLEFVQKLPPFKPLNWNVNSSICTSWNGVICSEDRSQIIAIRLPGFGFNGTIPANTISKIKGLQKLSLRSNNIIGPLPDFAVWKNLSVVNLSNNRFIGEIPLSLSNLSHLVYLNLANNSLSGEIPDISLPLLKQLNLANNNLQGVVPVSFQRFPKSAFVGNNVSIGTLSPVTLPCSKHCSKSEKHGRIGGTVMLGIIVVGSFLCLAAFIVFIFVLCSKKKNGDVFVGKLEKGGKMSPEKVVSRNQDANNKLFFFEGCNYAFDLEDLLRASAEVLGKGTFGAAYKAVLEDATTVVVKRLKEVAVGKKDFEQHMDIVGSLKHENVVELKAYYYSKDEKLVVYDYFSQGSISALLHGKRGEDRVALDWNTRIKLALGAARGLAHIHSKNGGKLVHGNVKSSNIFLNTKQYGCVSDLGLATIMSSVVQPISRASGYRAPEVTDTRKATQPSDVYSFGVVLLELLTGKSPIHTTRGDEIVHLVRWVHSVVREEWTAEVFDLELMRCPNIEEEMVEMLQIAMSCATRMPDQRPMMSEIVKMIENVRQLDIENRPSSENQAESAAQHQISQPESPPPTQEGGDL >RHN43971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:417669:418313:-1 gene:gene38014 transcript:rna38014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium/calmodulin-dependent protein kinase chromatin remodeling SNF2 family MNGVLAYEKIEENIDKVLYLLSYLQQLRKINGPHLILTPKLMMDHWIDKINKIVPELNIVKYLDLKEASLSHLHICIASFKDVAKESKLSKIKWRCTIVDDIHLVTKEKSVLSKMLMSIESRSSMVITRTLPKLDGDLSELPIFLNFWLPKVFITNRKYKFGLAQKPVFIEMMKAVREAIKSIFF >RHN49192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53193712:53196370:-1 gene:gene43998 transcript:rna43998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MDQEGGGSNGGSRSSCYYSVLGIRSDASSSDIRTAYRKLAMRWHPDKFARNPTTAGEAKRRFQQIQEAYSVLSDESKRSMYDAGLYDPLEEEDQEFCDFMSEMISMMNNVKDEGDSLEDLQRMFVEMVGGDGMNFDMNQNQTAGKRGRSSGSRGNAAKRSNHCR >RHN77007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3144394:3144832:-1 gene:gene414 transcript:rna414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubby-like domain-containing protein MLWTKFDVFLKNNNTGVCDFTVKGNLFGGSLNVYIGKSNNVVAQINKKFDTVFSRQKFMVTVCPNMDYAFIAALIVTLDY >RHN41722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32399259:32405803:1 gene:gene48074 transcript:rna48074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CTP synthase (glutamine hydrolyzing) MKYVLVTGGVVSGLGKGVTASSIGLLLKACGLRVTAIKIDPYLNTDAGTMSPIEHGEVYVLDDGGEVDLDLGNYERFMDVKLTRDNNITTGKIYQSVIDKERRGDYLGKTVQVVPHITDAIQDWIERVAHIPVDGKEGPADVCVIELGGTIGDIESMPFIQALGHFSYRVGANNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRSQGLTPQILACRSTMVLDENAKAKLSQFCLLPGENIITLYDVPNIWHIPLLLRDQKAHEAIFKVLNIKGMTQEPNLEEWTCRAESCDLLHEPVRIALVGKYTCLSDSYLSVTKALVHASVSCQKKLTVDWISATNLEDATAKENPDAYKAAWKLLKGADGVLVPGGFGDRGVQGKIIAAKYARENRIPYLGICLGMQIAVIEFARSVLGLKDANSTEFDPNTKSPCVIFMPEGSKTHMGGTMRLGSRRTYFQTKECKSAKLYGCKSFIDERHRHRYEVNPDLVTSLENSGLSFTGKDETGQRMEIVEIPNHPYFIGVQFHPEFKSRPARPSPLFLGFIAAACGKLDAVLQHSSRGVGSDIRAVKTYQNGSATKPHAYWPEHVYGNGNINGNGNGNINGNGFHY >RHN81032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42755970:42756790:1 gene:gene5026 transcript:rna5026 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMAGVSLSSPRVIFKGPESLQKSQAIRSGPVFMLNQRWTGAVSSGRMVSIRPVQASPDITGKVEESIKSAEEACAGDATSGECVAAWDEVEELSAAASHARDKKKTSDPLEEYCKDNPETDECRTYDN >RHN42124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35575833:35578565:-1 gene:gene48524 transcript:rna48524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cholesterol monooxygenase (side-chain-cleaving) MSNSYLTCSFLSSIFVLSLIFIFIKRKKTRYNLPPGKMGWPFIGETIGYLKPYTATTMGEFMENHIARYGTIYKSNLFGGPAIVSADAELNRFILQNDGKLFECSYPKSIGGILGKWSMLVLVGDMHREMRNISLNFMSYARLKTHFLKDMEKHTLFVLSSWKENCTFSAQDEAKKFTFNLMAKQIMSLDPGNLETEQLKKEYVCFMKGVVSAPLNLPGTAYRKALKSRNNILKFIEGKMEERVKRNQEGKKGMEENDLLNWVLKHSNLSTEQILDLILSLLFAGHETSSVAIALAIYFLPSCPQAIQQLREEHREIARSKKKAGEVELTWDDYKRMEFTHCVVNETLRLGNVVRFLHRKAIKDVHYKGYDIPCGWKVLPVISAVHLDPSNFDQPQHFNPWRWQVISTYNHSCTFLFLIKANV >RHN48081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44830365:44834856:1 gene:gene42755 transcript:rna42755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-mRNA processing factor 4 (PRP4) MDLLKQELLKKRQSLAEETGGKKFFKRSEIQQKQIQKLREEEKRELEAKSKKRNSTTSDTVSTVTTSSTNASSSVTSAAASSSASLPDEQNIDSLVLPKQEVIRRLRFLKQPVTLFGEDDDVRLDRLKYVLKAGLFEVDSDMTEGQTNDFLRDIAELRKRQKTGILSDRKRQKAEDGAAEDGDGGAGDDDLSDCGGSSGGDNDKDLKRMKANFEELCDEDKILVFFKKLLNEWKQELREMAETEKRTAKGKSMVATFKQCARYLNPLFKFCRKKVLQDDIRQALMLMVDCCMRRDYLAAMDHYIRLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSVKRLMTFCQRRYPTLPSKAVEFNSLANGSDLQSLLAEERFSGGNQSSEERLRIMPAPRES >RHN43701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47833611:47838384:1 gene:gene50317 transcript:rna50317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-hydroxyisobutyryl-CoA hydrolase MLLLRTVVANRFVLSSFASSSSSSLSKTKPFFSFRPISSSSMAEEFVKGTVNSNGVALLTLDRPKALNAMNLDMDIKYKSYLDEWESDPNVKCVLVDSSSSRAFCAGMDIKGVVAEIQKDKSTPLVQKVFTTEYSLICKISEYKKPYISFMDGITMGFGIGLSGHGRYRIITERTVLAMPENGIGLFPDVGFAHIAAQSPGEGAVGAYLGLTGKRVSTPADAIYVGLGTHYVPSGKLGSFKEALLATNFSQDPHQDIKVLLARYESNPDSEAQLKLLLPEIISSFGGNKSVIEIIEELKKHQSSTDSNVTEWANEALQGLAKGAPFSLFLTNKYFSAVASALGKNHSELSTLTSVMKTEYRIALRSSLRHDFVEGVRAVLVDKDQNPKWKPSRLEDVDPSEVEAVFKPLGAEVGELPV >RHN50655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8882287:8882811:-1 gene:gene34965 transcript:rna34965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MIHFPSVTIVFLLCVTFLYATKIVDVETIFKEAEEPLFCSTFLKSRPHGVSGDLVSLDKYSIEYVHANITYTVDLIKKLNAQSRDINEEDYYCRCWTHFDLIVYYIVEIQEKTKTGDYTDVQWNADSIITNINNCIYGDSPGDPIFHDTSLLPKYLDVIKKIANIIAIVTIHLS >RHN71789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2619940:2623148:-1 gene:gene7424 transcript:rna7424 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQTCLPSLKMATISSFTISSKTLTLNLPYHTKTPNFNFNPLSIKSKPSSRNPIRIQAVLDEDYSSKRSGSNEQRETIMLPGCDYNHWLIVMEFPKDPAPSRDQMIDTYLQTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEATSEKFKGLPGVLWVLPDSYIDVKNMDYGGDKYINGEIIPCKYPTYQPKRSGSKNDGKRYERRRDGPPAAGRQKPRQETAASDSSST >RHN72798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10785608:10787941:1 gene:gene8556 transcript:rna8556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAVDASYMNLLPSSHLLANREIIKSNQHHYQQQQQQQMLNSELYNVQMDSASAVPLPTTMHESMLPFYQSNVCDPNRADSGLTYNNPLQRKRSRDFSTELVSLPPHQKNRVISSESSSSFVDQVLYQFQNQQSDIDRILAHHNEKVRMELEEQKLRQSRMLACMIQETIAKKLKEKDEEIQRIGKLNWMLQEKVKSLSAENQVWRELAQTNETTANYLRNNLEQVMAHVNEGHHHAAVAEDDAESSCGSNAPADEGEDTAATVGGGGLVRMCKNCGVRESVVLLLPCRHLCLCNVCGSTVRKCPVCDSGMDASVHVNLS >RHN60456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27009585:27013250:1 gene:gene22768 transcript:rna22768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyanoalanine nitrilase, 3-cyanoalanine hydratase MALATKTPTFNGWPVFPNDDIGSDFKAVTVRATVVQASTIYYDTPATLVKAERLVAEAAGNGSQLVVFPEAFVGGYPRGFVYGVSMAYHTTNGREHFRKYHSAAIDVPGPEVDRLAAMAGKYKIHLVMGVIERNGYTLYCTVLFFDSQGHYLGKHWKLMPTEAERLFWGFGDGSTIQVFETQIGKLGAVICWENKMPLLRTAMYA >RHN54875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13142126:13146251:1 gene:gene29972 transcript:rna29972 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:STR2 MKTQGLELETVIDIKHKPVSFTGGLEFESLTYTVTKKKKVDGKWSNEDVDLLHDITGYAPKGCITAVMGPSGAGKSTLLDGLAGRIASGSLKGKVSLDGNSVNASLIKRTSAYIMQEDRLFPMLTVYETLMFAADFRLGPLSAVDKRQRVEKLIEQLGLSSSRNTYIGDEGTRGVSGGERRRVSIGVDIIHGPSLLFLDEPTSGLDSTSALSVIEKLHDIARNGSTVILTIHQPSSRIQLLLDHLIILARGQLMFQGSLKDVGHHLNRMGRKIPKGENPIENLIDVIQEYDQCDFVGVEVLAEFARTGMKPPLLSDMEEIISYTNSIAPSPSPLHRGSKYEEKSQDFSYSSQISRRSLNDEFDHSIRSPYNNTPMSWSASNSAAFLKFTPSRLKNENKVQKPPSHASPGIYTYSSEILPATPTPHSSDYVVDENDYLTPTNSSQEHLGPKFANSYIGETWILMRRNFTNIRRTPELFLSRLMVLTFMGVMMATMFHNPKNTLQGITNRLSFFIFTVCLFFFSSNDAVPAFIQERFIFIRETSHNAYRASCYTIASLITHMPFLALQALAYAAIVWFALELRGPFIYFFLVLFISLLSTNSFVVFVSSIVPNYILGYAAVIAFTALFFLFCGYFLSSEDIPLYWRWMNKVSTMTYPYEGLLMNEYQTNETFGSNDGVSITGFDILKSLHIGTEEIKKRNNVLIMLGWAVLYRILFYIILRFASKNQRS >RHN81033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42758684:42760454:-1 gene:gene5027 transcript:rna5027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MASIQRPVSSCSASGGSDGMDLQIDERKRKRMLSNRESARRSRLRKQQQVEDLTGEAGKLKIENDRLARSIKATEEAYLKMEAANDVIRAQTRELEAQFRFLNSVIDAAAAEEANSFSVDDVPLIDDPLLKPWFIPYPNYSMASHEMMLR >RHN66935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21862293:21862793:-1 gene:gene15057 transcript:rna15057 gene_biotype:protein_coding transcript_biotype:protein_coding MENTEAMTKEQKFAMTKEKINEYMEIAKTAPFNPHHNFAWQCVIATLAPQFYTQEELAQIKKLYQRVLQETKERDHVRQETMEKDVVQEMMERDVLQETIEEDVLQKTMEGDVVQEKLEGDVPQETIEEDVVQETMEGDVLQETMKEDVCQETMEEDVCQESWKKM >RHN42678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40227026:40228323:1 gene:gene49156 transcript:rna49156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MNQIFLFSLLLLASIFSSTTLAQSPAAAPKAPEKPAPTKPAPAKPTPSTPAPAPAKPLVPALPQSPTTNPDASGNQDIIKILRKAKSFNTLIRLLKTTQIINQVNAQLVTTKNGGLTILAPDDGAFSELKAGYFNSLGERQQKELIQYHVLPEYVSSSNFDSLSNPVLTLASDSPQGFQINVTAYGNSVNISTGVVDTTITGIVYADKTLAIYHVNKVLIPLDFIKPKAKAPAPAIAKAPKAEKENSSADDDDQTQAHKDSSDAISLNNMHGISVLVSIGVSLLAAGVTTMLTRMMLLLWTSAYLAFFLACLLCRN >RHN81082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43184100:43185797:-1 gene:gene5079 transcript:rna5079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTTTPSPLFFSDDLLTEILSLLPVKSLLRFKCVSNPWKTLISDPNFVKFHFKKLKSRNPQHFTLITEYPDNDYSIIPYPIPRILDNPSFTLVADPHFLLSQKDCSRLVGSCNGLVCLVGDRYAYGSGLASCYEYWFRLWNPATRKTSQKIGCFCDSGIFVFDFGCDNSTETFKVVASRYLGVGEELTTDVRVFSLGDNVWRNIESFPVVPLYCDVEQFHHTGVFLNGTLNWLAIQDEDPITHYCDLEWNNIKVEQIVIVSLDLGTETYNQYRLPWGFDEVPSAEPSFGVLGDCLCFSYCYRKTDFIIWQMKEFGVEESWTQFLKISYHDLQLNYDSGFGTLQKILEPLFLSNDGDTLSRSFEERESIIYNWRDHRVERTGVTVHKTSIDDGNKGRVWWSFAKGFVESLISIS >RHN77516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7355508:7356871:-1 gene:gene980 transcript:rna980 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRHTPPSTRKNQHIAHTNSRARLNTIGHVVLVGWNSFAYKCSSCMIF >RHN38884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2620882:2624375:-1 gene:gene44859 transcript:rna44859 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MLLHGLLPLFAASSVAVSFAAECSLHCLGNFLKKKNQKPLYQALQKIKEELMLLGFISLFLTITQNGITKICVPDEWTYHMLPCSLEEIEEEEMKKNSHFQTFFSSDLVSGTARRLLGGGGGGDDPFGFTDEKVGYCTAKGKVPLLSVEALHKLHIFIFVLAIVHVTSCVLTIIFGGLNIRRWKPWENSIVADENHESQHAPEWTDTVTHVHQHSFIKNRFTGFGKNYAIMGWVKSFFKQFYGSVTKLDYVTLRRGFIMTHCRGNPKFNFHKYMIRALEDDFQNVVGISWYLWIFVVIFMLLNINGWHTYFWVAFIPVILLLAVGTKLEHVIIQLAHEVAEKHSAIQGKLVVQPSDDHFWFHRPRIVLFLIHLILFQNSFEIAFFFWILVTFGFNSCMMGKLHYTIPRLVIGLLIQVICSYSTLPLYAIVTQMGTYYKKAIFDEQIQTCLLGWAQKAKKKGLRGNNNQSGEGSTHSGASSNIQLGSVFRRAPAPEDNTIVPKDQQSV >RHN73337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15489147:15489520:-1 gene:gene9138 transcript:rna9138 gene_biotype:protein_coding transcript_biotype:protein_coding MADKDRMCRHFPERVLRQYDYIQTILRPPATILESEYVVIAFTDFSIHVLSQQERDHSVPEDEVWKYVMRYIKWFYCVSHHMFREPAPIVEYTTPIPPYKEVIVKQQ >RHN47435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39730385:39732560:1 gene:gene42036 transcript:rna42036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MGTPQTNLPPGFRFHPTDAELILHYLRKKIASIPLPVSIIAEVDIYKLDPWDLPAKASFGEKEWYFFSPRDRKYPNGARPNRAAASGYWKATGTDKTIVASLPCGGGRSQENIIGVKKALVFYKGKPPKGIKTNWIMHEYRLVDNNKPIKLKDSSMRLDDWVLCRIYKKSKCALTSTESSETMVGEVEHAEETQFQETLFPITKNTTSPLQNTLMSQKSVSFSNLLDAMDYSMLSSFLSENSNNPSGIGTSSGFNTENFNQQQSSHINTCNNYMSQKNPQSNTLKHQLSNVDEDMLYPSKKYLSSSCNFPNINSQYENYLMKQSLMNQQLLLGPHHQYQG >RHN52212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32744977:32748063:1 gene:gene36859 transcript:rna36859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative complex 1 LYR protein MRTEAFQTVNIYRHLLKAVKKHIGKEENKKHFLEFVTSEFHKNRNLSDGVAVQQKIKLARDYTYMLNGVHHHKDLLFSYNIAIDRSNEVQRTLGKSAASVGLQLPEVYQS >RHN79965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33952422:33954696:-1 gene:gene3829 transcript:rna3829 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYEEFKEILKIQKLRRCVSFAGFYGFTTLIIYAYVNNTTRAGYSRADQYYASYPAGTELLTDTSKLYKAALGNCFEAEEWGPFEFCVMTKHFERQGKSPYAYHAQYMAHLLSHGQLDGSG >RHN39278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5510103:5511791:-1 gene:gene45287 transcript:rna45287 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVLVIIEGSMNSSNPYFSSSWRRNFTVRVAQQFNLVFSQHYLVPYVKVSFFLSGKETSFLI >RHN50705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9656191:9657273:-1 gene:gene35027 transcript:rna35027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MDHYKVLGLEKTATKEEIKAAFKKLALQCHPDKHSQSLKYVQHNAKLRFKQVSEAYEVLMDDRKRAKYNYQSHAGGGGLCRYQCTEYYSKYGYGKSGSGYGDKTRSSGLNGGGGGGGGGFGDKFGTAIWNLTTRSSLLNLGYAAVVSNVRLLHRLYYKRLYYKLMSQCCLQRVYSDGIDLTENVEQSPNLDESCVFKILLSATLVSLHVLYLLNLPTWSS >RHN62578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44471129:44473813:-1 gene:gene25170 transcript:rna25170 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BAN MASIKQIEIEKKKACVIGGTGFVASLLIKQLLEKGYAVNTTVRDLDSANKTSHLIALQSLGELNLFKAELTIEEDFDAPISGCELVFQLATPVNFASQDPENDMIKPAIKGVLNVLKACVRAKEVKRVILTSSAAAVTINELEGTGHVMDETNWSDVEFLNTAKPPTWGYPVSKVLAEKAAWKFAEENNIDLITVIPTLTIGPSLTQDIPSSVAMGMSLLTGNDFLINALKGMQFLSGSISITHVEDICRAHIFVAEKESTSGRYICCAHNTSVPELAKFLSKRYPQYKVPTEFDDFPSKAKLIISSGKLIKEGFSFKHSIAETFDQTVEYLKTQGIK >RHN51577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20147599:20148298:1 gene:gene36041 transcript:rna36041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MASSACDKNNMTLCVRCFICGNYRIGMSNTEFKRVEISEETKKEWTEEETLNLLEAITNFGDDWKRVSHQVVGRTDKECVARFLELPFGDQLKPPVDAECESETDWKRQDASVTSDGGNASDSIQGSLLDANLQLEKEESDVEKAISEVIEVQMKNIQDKLINFEDLDVLMEKERQQLEQTKSLFFLDQLNLLFRKTSAPTTEEGNHVKSN >RHN69929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47396385:47401581:1 gene:gene18462 transcript:rna18462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MAETKLMIPGFRFHPTDVELVMYFLKRKILGRKFPFNVIDELDIYKYAPWDLPEKSLLKSGDLQWYFFTPVGKKYCTGGRMNRATEVGYWKTTGKDRSIEHRNQVVGMIRTLVFHTGKAPKGDRTDWVMHEYRLENKDLADNGVPQNSYVICRVFQKEGPGPRNGAQYGKPFNEKDWDSEEEIDYVQAVPVAAVSAPALILPSSSHISEENDMHTSARGCTGQTSLSGLSRLMPSGTTHPSAPGNQADDDILSMLAIFDDENALAGNENNGSEKVDNPGQANNAEDVPYLIPNEIFEDLGDLNSLVGLDEGGGFSYGQKDEYERLSTGNVSLFCNPPDFFELLDLEVPLSWQTKHDG >RHN60061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17887906:17888714:1 gene:gene22260 transcript:rna22260 gene_biotype:protein_coding transcript_biotype:protein_coding MISINHLASISISSEKPLHILAKNINFKINLLSNILQRNNNLALSVSNQHEIETSFIIKNINHSQTSPIHSNEPFRYNILKQIHLINPNLNPQRIPFRNHRNNLPRAIHVTLYEMPTHSSNRCY >RHN51368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16806306:16806986:-1 gene:gene35795 transcript:rna35795 gene_biotype:protein_coding transcript_biotype:protein_coding MIIWIAFRDFSFHRHTLQSNQLSYFRGPRILLFIPLEPTIVFRIKLPI >RHN77369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6402043:6402450:-1 gene:gene815 transcript:rna815 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIGITNTKERDSSTRQNQPSSCRFPSSSCLCTCHSDYCNSLRLTGSALSTFAASEPLVVSDDIPAVFVNTVKTHQQQPPPPATVTTTKLCTFSETCRLKLPHIFSDSSICSMSRSEFPNWFIPTAHQNEKGGE >RHN75069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39167489:39172633:1 gene:gene11221 transcript:rna11221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MAASIISDGTEAPLLADDHAQIEKWWNKILDIEEAKIQLMFSLPMIFTNLFYYLITLVSVMLVGHLGELQLAGATLANSWFSVTGVAVMVGLSGALETLCGQGFGAKEYHMLGIYLQGSCIISFIFSIFISIIWFYTEHILVLLHQSQDIARTAALYMKFLIPGLFAYSILQNLLRFLQTQSVVMPLVILSAIPTLIHVGIAYGFVQWTGLNFIGGPVATSISLWISMIMLGFYVMYAKKFKNTWRGFSMQSFDYLFANMKLALPSAAMVCLEYWAFEVLVFLAGLMPDSQITTSLIAICANTEFIAYMITYGLSAAASTRVSNELGAGQQERAKHAMGVTLKLSLLLGLCFVLMLVFGHDIWIQLFSDSPIIKKEFASVTPLLAISILLDSVQGVLSGVARGCGWQHLAVYVNLATFYLIGLPISCLLGFKTNLQYKGLWIGLICGLVCQTGALLLLTRHVKWTKLNLSGDKDKGQPIVV >RHN81056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42955279:42959369:1 gene:gene5050 transcript:rna5050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MSNSAAGTSSKQRAASSQPPDTSSKRRRGVFQRELQHMMYGFGDDPNPLPESVALMDDIVVEYITELVHKAQDIGSQRGKLSVEDFLYLIRKDMPKLNRCTELLSMNEELKQARKLFEHDEENLRKVFEVDEPAEG >RHN41626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31615347:31625140:-1 gene:gene47968 transcript:rna47968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyrimidine-nucleoside phosphorylase, bacterial MKSLLLNPKPINSIIFPSKTQNSPRFNPHPFPNLHIQSRHRWIRRRNLSTAVKAVLDSATVEQFGIPEFDFRNPSLSSSYRSSKLTRPNQTVLDAQTRVCTGPTQTKPLDEEQAFKVFDTILRSARGEIKDEEEVSKAQMGAFFAAMTIRANAFPEATQWSEGEMRAMKTFWPLLVRVLPPDIVFIADPEGLMMGLGSSIGPQFVGNGTSEMRLVGALREVLAGGHLGFEEVQGVLKEVLPFQEEGEKPHGASEALLAAFLIGQRMNRETDRELKAYCLAFDEEFGPPPIADVKSLTHYGEPYDGNTRFFRSTLFVAAVRSCYGESCLLHGVDWMPPKGGITEEQMLKFMGANISLSPFNAKKLLEDEEVGFAYVSQREARPSLYSLIGIREHIKKRPPLATTEKVQQYVKASGKEAIVTGFYHGGYEESLLMLMKRRGVHSGLVVKGEEGALSMTTRLRSSMTKGIPVNYCSGFRSLDISSTSEPGGVTRQGFSLEVNAKDYGFQPTDTPRTDRSVSRNIELGLAALSGEKGPAYDRIVLNAGMVDHLLGAEGAEDISTALDRARDAIDSGNALKRLLNYIKLSHKVS >RHN47745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42213370:42214313:1 gene:gene42380 transcript:rna42380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine-rich transmembrane CYSTM domain-containing protein MQKPEIQRNQPPPGYPTDQDPPTKRKLFISTKKKGDRGFIEGCLFALCCCWLCEECC >RHN43836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48865625:48865864:1 gene:gene50466 transcript:rna50466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperonin ATPase MTVCYLGSLHSVSMLWFFKSYNYQIHIAILYLISDSLLKASVKALDILTAMAVPVELFDRDSLMKSTNTSILAPRSYRS >RHN53615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2867723:2875048:1 gene:gene28541 transcript:rna28541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNRNKDSITPASDTMQRCNKAKGEGKVAWPTIRSCNKVKGKGKFDDASHELCPYFDNFPSQLTTHILLKLPIKSLLICRCVCKIWNTLISEPHFAKLQFERAPVSFVIRNLDNIGVSRNLYLLECEAEKFEIGSKNHVKLDPIFELPLCKDISSRDKNDAKFYKVIKKKKSKIRYFTLTSSRDKFGIVNSCNGLLCLSETSIGSPLVICNPVTREFTILPELTTTSDWFNSARVQAGFGFQPKTNEYKVIIMWNKYVRRDNRLVFERVVLEIHTLGTSSWRNVEVDPQISFLKLLNPTCVNGALHWIIFEAWQQKSILCFNFESERLQSFPSPPHVFGNHDNGFPHSMPIRLGELKGFLYICHRSSLENVTMWVMNEYGIGESWTIVYNIDTSLLYIPGTCVGYPYPWRCSFYWLSKHHEPEKHGFKVFRIQGTTEVEVIEYIPSLISLNDVVKGDNVEALNTHSWWENDITCGENEVLSISQHIV >RHN68480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35899126:35901628:-1 gene:gene16842 transcript:rna16842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MATFTPTKPRTIGHVDHGKTTLTAATTKVLAAEGNAKAIAFDEIDKAPEEKERGITISRSHVELPIVSGSGLYALQGTNEELGEKAILKLMDADPVRQLYRPFVMPIEDIFYIQGRGTVATGHVEQGTIKVGEEVEILGLTQGEPLKTTVTGVEMFKKLVDRGEAGCKVGLLLPGLKHGDVQRGMVIAKHGTLKTYKKFEAQIVYVLSKFYLRTADITEKVQLPDDVKMVMPGDNVTATFELTLPYPLDHGQIFSLREGDRTVAAGVVSKVLS >RHN76031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47132871:47133851:1 gene:gene12303 transcript:rna12303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >RHN76677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:337460:341318:-1 gene:gene40 transcript:rna40 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative early nodulin 93 ENOD93 protein MGIPSELRDAWVSKRNSFIIASPDEERKILRTKKCTNEGVRAGFKAAAVACVVSTVPTLAAVRMVPWAKANLNYTAQALIISAASIAAYFITADKTILECARKNAQLENSLRHHRDTVSS >RHN49432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54761220:54763622:-1 gene:gene44261 transcript:rna44261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant seed peroxygenase MTSVKAASNEAMATVARKAPITIQRKVPDDLDTKLPKAYMPRALVAPDAENVNGTWGHRHNDMSVLQQHAAFFDIDNDGIIYPWETFKGFRALGFNVVSSFIFAIILHAAMSYSTLPTWLPSPLLPIYIQNIHRAKHGSDSGSYDTEGRFIPANLELMFSKYAREVPDKLTMWELWHMTQANSVAYDFFGWAASKLEWGALYILAKDEQGFLSKEAVRRCFDGSLFEYCAKMRNGPVEKMA >RHN67587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28421376:28421921:-1 gene:gene15789 transcript:rna15789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thylakoid soluble phosphoprotein TSP9 MTSLFMSFTPTTTRTRVFAPTATKGTTSAPIKEEKGFLDWILGGMQKEDQFFETDPILKKVEEKSAGTTSNGRKNTVVVPPQKKKGGGFGGLFAK >RHN81936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49919586:49924473:1 gene:gene6036 transcript:rna6036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CHORD domain-containing protein MENTVVKVRCQRIGCNAMFSDDDNLDGSCQYHDLPTFHDGMKEWSCCKKRSHDFSLFLEIPGCKTGKHTTEKQVIAPAKKKPVPPPSVASSTNASTKDSCSRCRQGFFCSDHASQSKPVTIVGDKSENLAGDASAESNSKVEVVKPPKKIVGINEPQICKNKGCGQTFKEKDNHDTACSYHPGPAVFHDRMRGWKCCDIHVKEFDEFMSIPPCTKGWHNADPEP >RHN55914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25387270:25388083:1 gene:gene31199 transcript:rna31199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MEEVGRPNVVDFFTFFKYFDPQGVRKRMRSYFGKLLDFFDEVMEERIHSRASNQSKKYNDVLDSFLDLVNQESSELCRQP >RHN53022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41299901:41305287:1 gene:gene37766 transcript:rna37766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA 6-desaturase MVLLIALALLLGIFIAFLLFNPKLHKSGQKGKTGSSSNTDKTSKSYSKTEVTLHNTRTDCWIIIKNKVYDVTSYVEEHPGGDAILAHAGDDSTEGFFGPQHATRVFDMIEDFYIGDLEQ >RHN59469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11056216:11056669:-1 gene:gene21500 transcript:rna21500 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGELGCIYATLILHDDGIPITAEKIGTLLKAANVTVESYWPGLFAKFAQSKNVDDLILKSGAVGGVVVAVSAPASVGGATAAAEPAAVEKKEEAKEESDDDMGFSLFD >RHN73513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17244406:17245321:1 gene:gene9333 transcript:rna9333 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNQFILIFFHCALIFIFIVEIKSSKDENEFGVIEESKPKIGIDGWRFWRDKEDGQNGGAQGKSEQNGHGRDNESGRDNESGRRGGGRRSWGDWGRWRDWGPGIGGLKGGRWGRK >RHN56168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28455921:28457590:-1 gene:gene31526 transcript:rna31526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteamine dioxygenase MEMNKIQVLYDTCHVLFSQGRLPNFQQIHYLKNLLDKIEAIDVGIDEFGFCDSPTSDAIFDGARRGLLYGQSFSEITYIHIHECDDFSIGVFCLPAGKEFPLHDHPEMTVLSKLLYGSVHVKAYDWINFDSTKEQTIGLAGKVIDEVMKAPHEPSILFPRSGGNIHSFRALTPCAILDVLSPPYCEDFGRPSTYYYDIPIPYLNGYSMLEEKPLPDDLVVHGAPYFGPSIVTLYDDYDFSDQTSDENHV >RHN43073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42983348:42984109:-1 gene:gene49596 transcript:rna49596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >RHN68084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32704132:32705332:1 gene:gene16381 transcript:rna16381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MGIASRTLEITVITGENIHITEDAYVVVRGESLNCYTTKTVKNKDDCGKNSSFLSWNEKFLLNMPLHARSITFEVQCKKFKSVRPIGVTRIAVLDILNGAELENCSRILSYKLRNWEGRQNGVIHFGVRVVMPEKRSVTVVKNKTTADKKSYGDRLTGIDVGTKNSNSVVIGIPVWWNYPSVI >RHN82167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51643064:51659500:1 gene:gene6294 transcript:rna6294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WW domain, FF domain-containing protein MSNNNPQYPGLQPIRPPIPGSMDPQRNFGQLMPVQFRPVVPAPPPPQQQQFVPMPAQHYHQQFNVGMPPQNQQPQFSQPIQQFQPRVGQQLPLPSQMIPMPVPRPNMQTSSESMMPQPDSQAPNGYTPGLGGPGMSISSSFMFASSSYGQAPQNNFNSTGQYQPVPQIQPPTGSSSQSITPGTAPQSNGEQPTVTTVMPSATIIQPHLAKGSSSDWIEHTSATGRRFYYNKRTKLSSWEKPFELMTPIERVDASTNWKEYTSPDGRKYYYNKITKESKWLIPEELKFAREQVGKAMVNGTLPEPLLTPCTQPSANSVTEAMPSADNSSVPAQGEQTSPISVAPVVTTSPSNLQSEITSGSRDSPTAITITGTEVDEPEVPVNIITPSDSSLGSDKAFVSDINTAATPMNDVSNVSAQDTVGSADGVLGEDKEDGKIDSIGENVNDVASETKSVEPESFVYANKMEAKDAFKALLESVNVGSDWNWERAMRLIINDKRYGALKSLGERKQAFNEYLSQRKKQEAEEKRMKHKKAREDFRKMLEESTELTSSIRYSKAIAIFENDDRFKAVERERDRKDMIESFLEELLNKERAKVLEERKRNTVEYRKFLESCDFIKANTQYRKVQDRLEADERCSQLEKIDRLEIFQDYLRDLEKEEEEQKKIQKEELRKTERKNRDEFRKLMDEHSTSGILTAKTHWRDYHSQVKDLPAYLAVASNTSGSTPKELFEDVVEELEKQYQEEKSQIKDAVKSAKITLSSTWTFEDFKSALSEHISSPPISDSNLKLVFDEVLERAREKEEKEAKKRKRLADAFFHLLYSTKDITESSKWEDFRQLLEDSQEFRSVGDVSLSKQMFEVYVAQLKEEAKENERKRKEERAKKEKDREERERRKSKQRREKEGGRERWKEETHKRDRTDSDSVDLNEVHISKENKRKQHQSPDHVSLETDKERTKKSHGHSSDRKKSRRHGSGHESDEGRHKRHRRDHRDSHREGGQLEDGEFGNDVVMDRW >RHN77220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5158205:5161056:-1 gene:gene649 transcript:rna649 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EX70I MHKKQLMALLMVPQTSDSQDATITKLESAYSDLESLLRSSKQMEQNIETMETRFDLLHGSITTASRRVHPLQSLSMSRKALDTRINRAISPALALLETFKLAESLQNNLLNLSSKLSTEKTHQKRLSKLLDYMDCVDQLNEAINSISEVVEPVIMRLQEVVEFISRTKAADQYRTQRLREALITLKALYETEVDEMRFEGLLDQALLHMQDEFEVLLLKLKHRKLGDMSHMQNGGEDCDDHFEVSFELGSELEIEVLRRISNTLAANDCLDICIDIYVKVRYKRAAKALMKLNPDYLRTYTPEGIDEMEWENLETSITLWTQHFEVATKKVLLSEKKLCESVLGEIIDGLIHPECFVKISDKIMAVFFRFGEGVARSNKEPQKLFKLLDMFESLEKLKPYVLEIFDGESGEDICARFRELEKLIIDASSKVFWEFGLQIEGNVDGFLPPPQDGSVPKIVRYAVNYLKYLSTENYRTTMAKVLRTELTWKTELMLSSKQSETDEDLLKHAICNVMEALQRNIESKRLSCKDKILVNIFMMNTYWYMYMRTKNTELGDLLGEKYIKESYKAVAEESAYLYQKQAWLVLVKILDQDDDDIKEQKQGKEKSIGRLVNEKIETFFKCLSEICDRHRSFYSIPDVDLREQMRDSTVKLLVPVYAEFLESYSGFLQRKVYPSPQRLQGLLGKAFGSTNDWNLNGGRNSGSLETDIRRSR >RHN76955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2685455:2692301:1 gene:gene351 transcript:rna351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactosylxylosylprotein 3-beta-galactosyltransferase MDTLPTTSSSKRGGGGGRSKIVQTSKPSLLLAFFSCVAWLYVAGRLWQDAENRNLLASLLKKNSSQRPKVLTVEDKLMVLGCRDLERRIVEAEMELSLAKSQGYLKGQRQQTGSSDRRLLAVIGVYTGFGSKLKRNVFRGSWMPRGDALKKLEERGVVIRFVIGRSPNRGDSLDRNINEENRSTKDFLILESHEEAQEELPKKAKIFFSTAVQNWDADFYVKVDDSIDIDLEGLIALLDRRRGQDGAYIGCMKSGDVISEEGKLWYEPDWWKFGDEKSYFRHASGSLVILSKNLAQYININSVSLKTYAYDDTTLGSWMMGVQSTYIDDSRLCCSSISKDKVCSLA >RHN71340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58382907:58387282:1 gene:gene20011 transcript:rna20011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MEVLVEKKGFRSVQELALNSENVTPDEYIYEDGVELYDALPFSESNLHITVVDIANLTSPSTAIAQQELQKLQSALDSCGFFMVINHGMTSLFLDKVREVSRQFFELPKEEKQKCARGLGTTDTEGYGNDNYSDLKRNDWADRVYLKVHPEDERNLKLWPQKLNDFRNTTQQYTECVLQLYEVILRAMSKLVNLEEDCFQKECGERAATYMRINYYPPCPKADHVLGLKVHSDPSTITILLQDKEVEGLQVLKDNKWFKVPIVPDTLLINVGDQMEIMSNGIFQSPVHRAVVDSEKERLTVVMTCRPNSEKEVKPIDKLVNESRPVLYKTVKDYAILYPKISPYGRPIDQCKI >RHN43280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44568810:44578664:-1 gene:gene49828 transcript:rna49828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase-like ATPase domain-containing protein MEQVSVKQEQQQFDTTSERRRQRRNSNSPAPIPDSVIELSDSDSDVDLDSVVATATAESPSKKRKVNDKGGAILPAGFLSPLAPSPSPASTPVNNGVLSLPAPEWASTSNRLNKSVSFSLKGCKQFWKAGDYGGSPARAFESSTVGMDHVRVHPKFLHSNATSHKWALGAFAELLDNALDEVCNGATYVNVDMLASKKDGSRMLLVEDNGGGMDPDKIRQCMSLGYSEKSKMANTIGQYGNGFKTSTMRLGADVIVFSCCQGKDGKRPTRSIGLLSYTFLRNTGKEDIVVPMLDYEKDGQGWKKMLRTSLDDWNNNVETVVQWSPFSDEADLLCQFNLLKNQGTRVIIYNLWEDDQGQLELNFDEDPHDIQIQGVNRDEKNIKMAQDYPNSTHFLTYRHSLRSYASILYLRIPQGFRIILRGKDVLHHNIVNDMMYSQEVTYRPQSGVADGLLKDSNMVATVTIGFVKDAKHHIDVSGFNVYHKNRLIKPFWRIWNPAGSGGRGVIGVLEANFVEPAHDKQGFERTLVLSRLEQRLIQMQKTYWGANCDKIGYTSHRNRKQNGSSAGKEASPDSVPESSQLKRKSSATNGKATTFTSDDSHLKEKRLRTETKRYSEHRNGHSSVSPISRNQSSSEQSSYADDESDQDCNVSSKNQAKGDSQKISSAGKSFGKESTQGSNPKGKDVREQPMADLSSLEQLRKENHELKERLEKKEEEIGEVLQALDAEKDKCKSLETQLRESEQKLEDLNTEQETLIDVFSEERDRRNAEEKSLRKKLQEASNTIQELLEKVRSLERKSSSQMAK >RHN40807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21457856:21458879:1 gene:gene47021 transcript:rna47021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MTLNHTLQVEISTLIGKCRICIGIASGLAFLHEEVQPHIVHGELTSTNILLDKDLTPKISDVGLSKLISVTRVIITASVYAPEVIMTHESDVYSYGILLVEIVGGRYIQSIVEQTWEHYKQKKLVELIDISLNKELCVEEACKYLKIALLCIQD >RHN79238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26361674:26362438:-1 gene:gene2992 transcript:rna2992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MSSGFVPSPSSPPNSSTEFLDGLKFGQKIYFEDATSTVVVPASQTKPNAIGVGGSSSSSSSSGMKRGNNHPPRCQVEGCKVDLSGAKAYYSRHKVCCMHSKSPSVVVSGLEQRFCQQCSRNVDTCFYANDGTLHA >RHN55736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22076344:22079844:-1 gene:gene30967 transcript:rna30967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 9S-lipoxygenase MKRECDEKREVYEYMITQPEGMGNSNSGKGEHITSRPLQAAHNEYEERSTLDFFVPRDEASTKAKQTQFNTYAVSLGLTTLIVTDINPCMIHGLKEIPPKSNLEPTIYSDQTSKITPDALELDGCTADEALAIGRLSAVDNYGFSQRLTVFPLSEPPTANNRDLTTCENHLQLHPKLTPTCKMKLSRFWVRELRITDRILDWEKLKRTMFEELLPNTLLNNNSNATVRKSLLDSSWETNLSEEKFLLATRIQFEQCRNMIPTPPPKPPYQYLQRTKAIFQTDMEHLTKGYSIIQVETFNSKKKRSGVLLRRNVDSKTTVHWKGEAEMVLRMCSRYYIGCGILKDLDNGSMLKFEDIVKHSRERLKNEQEKEHKKKKEAQEISNLHDALQVEEANAIKEREAAQKAIQEAPLVIKETPVIIEDTKKINSLMAEVNSLKKPLDRSGVLAQLKLHNLNTYVEKNELRPMFNLPHRPPAKPPHHSCHIELKELHVDWVQFRYASNANSTGLCRTSLKVADFSYNFLVGSIPKCLEYLPRTSFQGNCLRVRVVKQQTKVQCAGVSPDHSHLVVKPKTASEVALSKTGGCCSSQSCEISNLNGNHEAVVSKTASADVTIFAVVADYCNETEKASVEQNRSVPVLVESVEEKNMSSFSSDISENINRSQEIPSETRENFNTITNTVEKQSGYEEPVEDYKYLWHNNCYSINDVVLNEEVTNDEVYHETVVPLVPNNRPTTVKESKSEAKFQEQNIDRVESTDIDQTMFNESNKSEAQLCQETRCLLETPLRPPPQNFSTTVQPSPTKSKSPDQSSKLLALLITLSQPSLVHALPPALSPPMKPPDRSVAAANSEVNFNSAVDADSNFVVLLKLVCSEIDVGVMSQRQGAFGSIVTPSMLKLNTYMVIVEYEHWLINLSMLYSPMNFAYDRGKIWVMQKVFKGFLVLAVIGRNREKDISSMEDIAHGFVTLLDNNIKKVYVMLLGITEVTTLDKSYGSIKILFPSTQAEIFQIGKGEMEAMDSCVTLSYHFAIPLNSHNMIFSSWTSESMQITMKGKYSMKFDSGGTGYSFLLPTKTLQFQQWDPGGFLFIGGVNMHGKLFIRMRTRGRVLQRWNRLMQEGFLSNFIVFII >RHN79079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22182824:22184230:1 gene:gene2776 transcript:rna2776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSTTPSPVFFIGTIPITTTGLPWYWKKPAMSTIPSPVFFSDDLLVEILSLLSVKSLLRFRCVSKSWNALISDPFFVKFHLKRSKSQNQHLTLVTFHVKFIKVVTTHNRYSERYYTYDSYDRLEVDENDYSFIPYPISCLLDNLSITLVADPHSLLKLNDFANIVGSCNGLICLTNKHPIDASCYEYRFRLWNPATRKISQIIGSFRDVNGFIFNFGCDNSTGTFKVVASCDNVWRNIESFPVIPLRVNFRDSEYADVFLNGTLNWLAVQNDVPITRYSHLAVKDITVEQIVIVSLNLGTETYNQYRLPQGFAEVPLIEPTVGVLGDCLCFSYSYKRTNLIIWQMKEFGVDESWTQFLKYSYYDLQLKNDFSSPKSNLRFMPLFLSKDGDTVILKSNLETEAIIYNWRDCRVERTRIIVHKTSIDDGTKNSFIESCLYLDMAKGYVESLISIC >RHN58847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5410175:5411908:1 gene:gene20801 transcript:rna20801 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHHHDYEFEACFLENGGNIKGIVSKETMKHGRTAHNMSSSSLRKKSDLTLVSKVRYGFLRKVLANFQEVILGTKLSILFPTIPFAIIAQCYGFSRPWVFAWSLLGLIPLAERVSFLTE >RHN54947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13839062:13843509:-1 gene:gene30054 transcript:rna30054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyanobacterial aminoacyl-tRNA synthetase, CAAD domain, protein CURVATURE THYLAKOID 1 MGHCTVQPLTLSKLPNSFAFHHKPSFPPKHALLSRSAFLRNVRATASEENPSGAGKLFNEKRDGVITLEADKNGYNETVENEDPKEVLPDGEGLPLELLDKLNVKFDINDTTSLAVYGGGAIVALWLTSAIVGAVDSIPVIPKLFEVVGLGYSLWFTYRYLLFKRNREELANKIEELKEQVLGQ >RHN45137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12156008:12160573:1 gene:gene39350 transcript:rna39350 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMFTSIKIQIWLLMEVWKKSELLKEKAVSTTLGVHMLKPSRSFTVISYTSVERPPPEPPPQVVVSIWKGQLLLRLPPTLQKTEFCFSSLELGTERPPWKPPWVIYGLHDKVNKFETKCSRNGRDVVEEMIRNWIGKKTQDSHISFVSYRRWKLLIDGVLGYVIWLKFSYLSPKFRCIANHNLVGFFSCIGSSKLLGNTPSMLTLAVCNILCFMKC >RHN79770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31994355:31999145:-1 gene:gene3606 transcript:rna3606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MNCFPFNIYYGEKKGDAKGLQMQSLSGLSNNSTYGGSDVRRTGSELNSLDASDNSSDSLRRNAFPNLSQRPSNLRVFTVSELKSATKNFGRSVMLGEGGFGCVYKGLIKSVDDPAKKIEIAVKQLGKRGIQGHKEWVTEVNVLGIVEHPNLVKLVGYCADDDERGIQRLLVYEYMPNRSLEHHLSPRSETPLPWSRRLKIAQDAARGLTYLHEEMDFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSDGLTHVSTAVVGTMGYAAPEYIQTGRLTSKIDVWSYGVFLYELITGRRPLDRNRPKGEQQLLEWIRPYLSDVKKFQIILDPRLERKHLLKSAQKLAIVANRCLVRNPKNRPKMSEVLEMVDRIVESSVSTNQQPPLNSVASADDSQDTEINNKKRIMDPKPDCNWFRLWRPKLLRTC >RHN74109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28081321:28084232:1 gene:gene10092 transcript:rna10092 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFQEHSTREEIKARENMTDIKEPHEEGGVIIRWCEYKGK >RHN56821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33998237:34000263:1 gene:gene32277 transcript:rna32277 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVSLENQTILMKLIQRDLHLKNMNMILVQVKPIFGSINQGRP >RHN63170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49029031:49032972:-1 gene:gene25841 transcript:rna25841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MVLPFAKYILFQNPFLKKLPPLQIILHGHVNFNQFNTFSQNISTFSDNCERLSWERSTQEILVGKLKFALRNHQLQEALETFRDFRNLYGYPEINLLNQLIVQLCYSSNHVLVRKACDLVLRIVDENSGLIHVDTLTKLALSLARMQMPSPASVILRLMLTKGFVPSMNFLSLIVFHIVKTEIGTHLVSNYLSQVCDFYNGLKDKKAQHAIMLKPDTLVFNLVLDACVRFKLCLKGLCLIELMPLMGTVADAHSIVIISQILEMNGLRDELMELKCHIDGVSASYVRHYRQFYDSLLRLHFKFNDIDAASKLVLDMNSSHNCHNNEEYRNHLQKPSFVAIGSPNLKNALKIHIEPELLQKDTVLKLEGREGLVFYKGGKLTLSNRALAKFIIGYKKDGRISELSKLLLSIHGELYSATGSGLCSDVISACIQMGWLESAHDILDDAEAAGSPMGCDMYILLLSAYQKEEMQREAQALLKQMKKIDFLPELSNDAIDEHVLCRETSNSVGKSDLAVALAQILNAEDQTDIPLVYNFNSSIFFFCKARMIEDALRAYRKMCEMKIRPTNQTFAHLVCGYSSLGMYREITFFWGDIKRFMKNNYLVVNRDLYELLLLNFIRGGYFERVMEVIGHMNDRNMYTDKLLYKSEFLRLHKNLYRSLKASDARTEAQSKRLEHVQEFRKWAGID >RHN43901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49330026:49330241:-1 gene:gene50536 transcript:rna50536 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHRYHIDCCLRNECLRLSPELVTAENFVPPPSAIVHHHHDFSVQFQHSSLVLILLFPSYCCCWCVVDVV >RHN53130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42200091:42204012:-1 gene:gene37900 transcript:rna37900 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVFWLLVVSVVIASWIPLSHCAKKPVGIARKEDVPYIKCQVCEILAKQLYQQVQSKKAEISPKKISEYQIIEIAENVCNLKKVEADWILRIDIVEKADRLELEEHDSEGQCNSE >RHN76446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50322603:50333282:-1 gene:gene12773 transcript:rna12773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MDWLFNDGQPKEAMKAMKCYESLLNHKFSMSSQPSNSLLKVLFRHDKKTMMLDDHRSVHQYCLEKKNKKHKLVLRLLNKAKMEGTDIYRATNSLRARSSTVWRQSGVEVFSKSSREEDDEEALKWAALEKLPTYNRLRKGLLTASHGGAHEVDVGDLAFKEKQKLLERLVRVAEEDNEGFLLKVKERVDRVGLDIPTIEVRYQNLKIDAEAFVGSRALPSFINAATNVVEGVFNFLHIIPTKKRHVAILRDVSGIIKPRRMTLLLGPPGSGKTTLLLALSGKLDSSFQLSGNVTYNGHGLNEFVPQRTAAYISQHDVHIGEMTVRETLAFSARCQGVGSRYDMLSELSRREKVANIKPDPDIDVYMKAIATEGQESSISTDYVLKILGLDICADTMVGDEMLRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVSSLRQYVHIMNGTAVISLLQPAPETYDLFDDIILISDGQVVYHGPREYVLDFFESMGFKCPERKGVADFLQEVTSKKDQAQYWVRRDQPYRYVTVTQFAEAFQSFHIGGKLAEELSIPFDKTKSHPAALTTKEYGLNKTELLKANFSREYLLMKRNSFVYIFKLTQLFIMALIAMTLFFRTEMHRDDQDDAGVYAGALFFTLVTMMFNGMSEISMTIAKLPVYYKQRDLLFYPSWAYAIPSWILKIPVSLVEVSLWVFLTYYVIGFDPNVGRMFKQFVVLFFMSQMASGLFRAIASLGRNMIVANTFGSFAVLTLFALGGFILSRKDIKSWWIWGYWISPMMYGQNALMANEFLANSWHNATSDLGKDYLDTRGFFPHAYWYWIGVGGLAGFVFLFNAAFGVALAVLGPFDKPSATITDNSEDDSSNYMTAQEVELPRIESSGRGDSVTVSSHGKKKGMVLPFEPHSITFDDIVYSVDMPAEMKEQGVTEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGDIKVSGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPSGVDSNTRKMFIEEVMDLVELNSLRDSLVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSTHLIKYFESIDGVSKIKDGYNPATWMLEVTTTAQELNLGVDFTDLYKNSDLYRRNKQLIQELGVPAPGSKDLHFPTQFSQSFLVQCQACLWKQRWSYWRNPPYTAVRFFFTTFIALMFGTMFWDLGGKHSRRQDLLNAVGSMYTAVLFLGVQNSSSVQPVVAVERTVFNREKAAGMYSALPYAFSQILVELPYVFAQAVTYGVIVYAMIGFDWTAEKFLWYLFFMYFTLLYFTFYGMMAVAVTPNHHVASIVAAAFYAIWNLFSGFVVPRPSIPIWWRWYYWACPVAWTIYGLVASQFGDITTVMTTEGGKDVKTFLDDFFGIQHDFIGWCALVVGGIAVAFAFIFAVAIKSFNFQKR >RHN44185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2296369:2299089:-1 gene:gene38275 transcript:rna38275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MVFVRSLVLLLKFLLLHSLFCFTFTTCFPQIQPKCHQYESHALLQFKEGFVINRIASDKLLGFPKTASWNSSTDCCSWDGIKCHEHTDHVIHIDLSSSQLYGTMDANSSLFRLVHLRVLDLSDNDFNYSQIPSKIGKLSQLKFLNLSRSLFSGEIPPQVSQLSKLLSLDLGFMATDNLNLLQLKLSSLKSIIQNSTKLETLFLSYVTISSTLPDTLANLTSLKKLSLHNSELYGEFPVGVFHLPNLEYLDLRFNLNLNGSFPEFQSSSLTKLALDQTGFSGTLPVSIGKLSSLVILTIPDCHFFGYIPSSLGNLTQLMGIYLRNNKFRGDPSASLANLTKLSVLDISRNEFTIETFSWVGKLSSLNVLDISSVNIGSDISLSFANLTQLQFLGATDCNIKGQILPWIMNLANLVYLNLASNFLHGKVELDTFLNLKNLGFLDLSYNKLSLYSGKSSSRMADSLIKYLVLDSCNFVEIPTFIRDLANLEILRLSNNNITSIPKWLWKKESLHGLAVNHNSLRGEISPSICNLKSLTQLDLSFNNLSGNVPSCLGNFSQYLESLDLKGNKLSGLIPQTYMIGNSLQQIDLSNNNLQGQLPRALVNNRRLEFFDVSYNNINDSFPFWMGELPELKVLSLTNNEFHGDIRCSGNMTCTFSKLHIIDLSHNDFSGSFPTEMIQSWKAMNTSNASQLQYESYFRSNYEGQYHTLEEKFYSFTMSNKGLARVYEKLQKFYSLIAIDISSNKISGEIPQMIGELKGLVLLNLSNNMLIGSIPSSLGKLSNLEALDLSLNSLSGKIPQQLAEITFLEFLNVSFNNLTGPIPQNNQFSTFKGDSFEGNQGLCGDQLLKKCIDHAGPSTSDDDDDSESFFELYWTVVLIGYGGGLVAGVSLGSTFFPEVFEWLKRIF >RHN45777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25488399:25492667:-1 gene:gene40175 transcript:rna40175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP cyclohydrolase I MGCLDDGRFNVELENGKNNGKDGSAIEDAVKVLLMGLGEDINREGIRKTPLRVAKALRDGTRGYRQKVKDIVEGALFPEAGLDNRVGHAGGAGGLVIVRDIDLFSYCESCMLPFQVKCHVGYVPSSERVVGLSKLSRVADVFAKRLQEPQRLANEVCSALHHGIKPDGVAIILQCTHIHFPDVESVFLDSNHQGLVKILVSAGSGVFENKNADEWADFFSLLKFRGISMEKINFRGSSDMSWCSSQSAKISSKVGPVNPAMVTAAASIIKSLGEDPLRKELRGTPTRFVKWLMNFQNCNFDMKLNGFLNGGIASLDTNKEVELNDKKICSELNLAFWSQCEHHLLPFHGVVHIGYILSDGFSPIGKSLLQSIVHFYGFKLQVQERLTRQIAETISPLIGGDVIVVVEASHTCMISRGIEKFGSSTATIAVLGQFSTDLTTRASFLQGIPSPTSSDQ >RHN40428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16149771:16150390:1 gene:gene46576 transcript:rna46576 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFNDVTPYFFRNLIAYEMCPDVHYNYECCSFFSFMDSLIDNAEDVKELRPAGVFQNLLGSDEDMAKLFNDLGDDLPTKMYCHIAYTKAVAYSKKYILIKHEIEKHYKNKWKTWLAQAYNTHFNTPWAMIAFSAAVLALVLTFIQTWFTMNPK >RHN74267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31287401:31296211:1 gene:gene10303 transcript:rna10303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MYNQGNHPSNSGQASVPPPPPTLPPPPPLQSGYQQGLPPPPPPPHFQHFAPPPPPHHVYLHGHGHGPPTTPNTAPSFSIPPPIGHSHQQPSWPPQGQMLHNRHLPPPPPPPFRSPSPDGVYFHSYQMPSVAPPPLPAAPPPILPCPPPPTSITTSTSSQAACTDGLNSSKGVDSVDGFVASCPSDIAPVHNSDANQDGGNCDEVAPVSLRYELLPTSNVMDLQPPPPQTAEETTVSTDSDMEMEDDITLSDKDQGSIYATEVVTRQHDRVDEVFSMNENIHQLQNPNETEPAKIILSSGASCFGSTGVGKQNEGPGPSADVDPMKSARSVTKVHSPVNDSIELSESLLGTGSGRLAASLDKDFIRNGTSDHNEATNPNRDSEQPMQIGSPIRLLQDYASDETSDNEDEGCTKDASSVFTVSAGAGPGVPEAHKDCESNLETGIGFRSPSYSQKEIGQLSNTSQNNSKISPCLVQESEETCKRSVSLTGDGCVEPNLENQVSVNLASTVEAFQGKDGLGDTSFDIDSKSGAAEQKREKETTKFEPTVLKVDEFGRHIKEGSTDSGSDESRSHRTRRKNKRDRSRSRSRSRSPLDIRSRRRRRSSPRRRKDKRSHSRSWSPRRRRSRSRSPMLRRSGDVHGENARRDKAQCFDFLRRKCYRGALCRFSHHESDKNATSRRSRNKHDAELYSREKSSRINEEAKNISSKVSDYEHDGVRNQDIDLHQNITGQEVVQSKEDSECRAVLSTTFGIDGQSVNSNPSSEGIREDSPKVQETLEVREKSKTSIQENDSFQNAVNSHQQQLVYDFQPEALTSDDASKPSDGTSKDVIPSEDGSFFQQLQPNVSVDVLEHSGGTISANEVSGSDSLPYKLPSTQLQSAISSFGPCVASEQASLHSQASKELPPQSVSSVGFPPHTCPLPAFVGPHSQGENAVHMPQIPSQYGVMQQNAFFPFQSTARENFEPYPAPLPTPNSHFSVPPNSSWTSLPPPPPPPSQAVYNSSSNLGVVNSFISSEFNQTQLHSRTDYVSQTSMIPGLPTHSQSSKFEHQAYPPMQDNSRAFMRTEPFSPKNLHQGNPAYQPLPNSTSFAGPHHPPKQFSWDSDVNRPQPSYGGRLPPEGHFSTSSHINPLSQQQQSVHNFQYTSSDVNLAGPGGTATVSRYPPDIPDSNHSTSLPNLGASRVSAHHNPYASTFEQPLSSKLSSSFLRQENDINYDNNYGPSRYREGDSAGSRQTASPKPARAVDQNLPGSHVQYDPLFDSIEPSSSSKKFDFEQKQEVTGESNISLRPKSSRKSLDTKEKKHEKVGAVASTSSLNNDEYGETADAEVGAVENESLSNDMDVGNLSPGEDEINQIKSPGKRKKSKDSRSMKLFKVSIANFVKEVLKPSWRQGNMSKVAFKTIVKKTVDKVSGAMKGHRIPKSQEKISQYIDSSQRKLTKLVMGYVDKYVKV >RHN55106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15195645:15196271:1 gene:gene30234 transcript:rna30234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PC-Esterase MWARDMDKMDLIVLSFGHWFLDIPSIYYEGDSVIGCFRCQEFKFNYSDIGFYIPMRKALRTTLNSIIERKMVKGNKIDVIVRTYSPTHFEGGWDKGGTCSKREPYGNEEKKLEGMDAKIRSVEIEEVENARAKAKQFGLNLEVLDITKLALLRPDGHAGAYMNPFPFANGVPKYVQNDCVHWCLPGPIDTWNEILLEMMKKKSGSSRR >RHN67080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23610092:23611265:1 gene:gene15221 transcript:rna15221 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLKNQSSIKYATDDDEWDFQASEGDYTSKSNGAAAKTTTEVKIKITKKQLEELLSKVDIRELRVEQVLAQLMNHSNGYQSLQRSWRPALQSIPEVD >RHN60962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31857625:31861710:1 gene:gene23367 transcript:rna23367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGQGGLSCRGSHEHGLFRAVQHGDLKTVSTLLQTHPSLLNRTTVYDHHSPLHIAAANGQIQVLSRLLDGSTNPDVLNRQKQTPLMLAAMHGKIDCVEKLLEAGANVLMFDVCHGRTCLHYAAYYGHSSCLKAILSAARSSPVAASWGFARFVNIRDGRGATPLHLAARQRRPECVHILLCSGALVCASTSRYGCPGSTPLHLAAKGGSLDCIRELLAWGADRLQRDASGRIPYVVALKYKHGACAALLNLSSAQPLVWPSSLKIISELNPEAKVLLEQALLDANREREKNILKGSPYSLPSPHSDRIDDNISEVSETELCCICFEQVCTIEVQDCGHQMCAQCTLTLCCHNKANTSTSHPIPPICPFCRSAISKLMVIKVETHEDMDLDGADTTCFKPSKSRKFRNMNEGSSSSFKGLSNVNSFGKMSGRSSGMIASENEYVDDKPQ >RHN48838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50601377:50604762:-1 gene:gene43597 transcript:rna43597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zein-binding domain-containing protein MDLDSSDDSINTKNCKCHDCNCECGCSERGSKNWIRSVKRKHHEMEKLGKLNVGGDGVVRVEIGEECVALREAVSSQQKAIQDLCSELEEERNAASSAANETMSMILRLQTEKAELEMEARQFKRFVEERTCHDQQELLALEELLYKREQVIHSLTCEVQAYKHRMLSYGLTESEVEGGDYEFPPYEYPPLKCNVMHVSADAADDDDDVFDVDVEKYVFGETPRDRLRNLENRISQMEKTPTYSQMDGDFNGKNVIEKVIVGHSPRTNKHSRKFSCDSSSFGPEFVSDSPRLNGSFRKRNNNNGSGDFSNFKKVDNLSEAGDNMTDRVYTIDSEFKSGPGAFDEYVTTPREFGNQGDFEDPYVKKLYMRLQALEADRESMRQAIISMRTDKAQLVLLKEIAQHLCKEMPEQKRVNVRSFVGGFTFFTVFKWVASIVSWRKRGHQIKYMFGLPSSNAGLLMLLDKGPHVRSWRYVSRMQLGD >RHN41571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31167051:31168377:-1 gene:gene47905 transcript:rna47905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative caffeate O-methyltransferase MFESVPKADAIFMKWILHDWSDDNCLKLLKNCYDAITDDGKVIVLETFLPIIPDNGYASRSTSQLDVLMMTQYPGGKERNKQEFMDLATKVGFSGIRYECRVCNFWVMEFFK >RHN67350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26353660:26355609:-1 gene:gene15530 transcript:rna15530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MALLVIYLSYIFSFVLLALILQKVEKKFKNTDSTFNLPKGPIKLPIIGNLHNLLSSQPHKKLRDLARKYGPLMHLQLGEISMIVISSPDCAREVMKTHDINFAIRPKVLASDLISYNSTGIISSPYGNYWRQLRKICASELLSLKRVNSYQPIREEEFSNLVKWITSKKGSSINLTQAVLSSIYTILSKSAFGKKCNDQDKFISAVKELIKIAAGFDLEDLFPSITWLHYFTGLRPTLERVHRQVDQIMENIISEHKEAKSKANYDQGESDEDIVDVLIKYEDGNNKEFFLTKDNIKAIILVRISFNWKLRNTSSDIFGAGGETSASTIDWAMVEMIRDPRIMKKVQDEVREVFKMKGRVDENCINELHYLKSIVKETLRLHTPVPLLLPRECGQACEIHGYHIPFKTKVVVNAWAIARDPNYWTEPEKFYPERFMGNTIDYKGSDFEYIPFGAGRRICPGSIFGLRNVELTLATLLFYFDWKLPSGIRSEELDMTEEFGVAVRRKDDLLLLPFVCHPLPVM >RHN74944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38027582:38028142:1 gene:gene11082 transcript:rna11082 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFCSHFKVLIFINMKSFLTHLYVENHVFFFFFWIFFICAFVIFCSTEHSETCENHGPNKFVITKTSTYDVLEHNLA >RHN61249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34151920:34153327:-1 gene:gene23690 transcript:rna23690 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVLSKQIITVIVSLVQLVKTMHNICKVRCSIPRHQKKKNK >RHN57988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42935968:42937713:1 gene:gene33586 transcript:rna33586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isy1-like splicing MARNEEKAQSMLNRFITMKAEEKKKPKERRPFLASECRDLNEADKWRQQIMREIGRKVAEIQNEGLGEHRLRDLNDEINKLIREKSHWERRIVELGGPNYARHSAKMTDLDGNIVDVPNPSGRGPGYRYFGAAKKLPGVRELFEKPPELRKRRTRYDIYKRINAAYYGYRDDEDGILERVEAPAEECMRREAVEEWERLDRIRKEARKAVRSGEVVEVTAATREILHEEEEDVVEEERMKEREMRERLDEKEREFVVHVPLPDEKEIEKMVLQKKKADLLNRYVSDVLMEEQTEAKDLLNIHR >RHN55707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21401203:21404084:-1 gene:gene30933 transcript:rna30933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MGGSSVVLAPSVQELEKQGITKVPEQYLQPNQDSILVSNTTSLPQLPVIDLSKLFGEDATELENLDHACKHWGFFQLINHGVNPLLVENIKIGVQKFFNLPIEEKKKFWQTTEEMQGFGQVYVALEEEKLRWGDMFFIKTLPLQRRHPHLIPCIPQPFRCYSFFKF >RHN48238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46034881:46044145:-1 gene:gene42932 transcript:rna42932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lecithin:cholesterol/phospholipid:diacylglycerol acyltransferase MECGLPTQLSFSAREIADGSFFKAIEDYDPDSKRLLYQLEKSYLGDPVLNPLTPWDRPPIKNVFCIYGSNSKTKVGYYFAPSGKPYPDNWIITDVVYEYEGSLVTRSGNLVEGNPGSISGDETVPYNSLSWCKNWLGPKVNITRAPQSEHDGSDVQIDLNVEHHYGDDIVPNMTRFPRVKYITYYEDSESLPGKRTAVWELDKANHRNIVRSSVLMRELWLEMWRDIHPDAKSEFVTKAKRGPLRDEDCYWDYGKARCAWPEYCEYRYVFGDVHLGQSCRLRYTSADLLLHYL >RHN77237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5306111:5307546:1 gene:gene673 transcript:rna673 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGEKINGQKPLQVDLRDMKVLRGRKERMYSRISGGNLLQIVGSIGSDLRLM >RHN63314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50327345:50327559:-1 gene:gene26000 transcript:rna26000 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHLVCYVGFALTTLGTNCVAFGSLFLVDSIYIVVSFSSAVLKNPYLSFLHILLLAVSLSP >RHN43605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47176439:47181120:1 gene:gene50211 transcript:rna50211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative derlin MSSPGEFYKSLPPISKAYGTACLVATAVYQLGLYNPVHIALLYERVFFRFQVWRLFTNFFFLGPFSINFGIRLLMIVRYGVQLEKGPFDRRTADFLWMMIFGAFALLVLSAIPFFWTPFLAISLVFMLLYVWSREFPNAQINLYGLVALKAFYLPWAMLALDVIFGSALMPDLLGIIAGHLYYFLTVLHPLAGGKNILKTPMWVHKLVARWRIGAPPITRGQPVNNVQQESSSGVFRGRSYRLNG >RHN63051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47947752:47953140:-1 gene:gene25704 transcript:rna25704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILMDPEFSSILRTRSNVDLKDKWRNINVTAIWGSRQKAKLALKNSPPAPKTDNNQLALGKVVQREDFLDIKPLTISGGTFQSPKPLTICSGTLQSPNSKEQVSRLGDNNVLEAIVNMKEPKGSDKAAIASYIEEKYQCPPNLRKLLSAKLQQMVTSGKIVQEKHKYKIMPSSAVSEKRGSSSLMLVEARSKDSPEVEKMCDVNILSKSQIEAELSKVRGMSAQEAAAAAAKAVAEAEVAIAQAEAAAREAEIAEAEAEAAQVFAKAAMKALKCKMLHIW >RHN76788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1290155:1290646:1 gene:gene165 transcript:rna165 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFLANSDDITQSRHEIFNQIALQINDLTHKYNDSMESFDHSSFDLKRLSELQEKKSTFQDFHILVLEAEMQATLRKREIGDRMKALTSQLEIIRKEMEELTSESTQLTTQLTTLSDQRLKLHADTDSLDNELVTLLSQNVGLKKDLRSGIEGSTYWKSGRS >RHN75703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44423549:44424211:1 gene:gene11941 transcript:rna11941 gene_biotype:protein_coding transcript_biotype:protein_coding MANEFYVPKKYDSLNDRRGYHSHRGKKKCGFLRCCCCCICTLFLILIIIILAIALTVYFLDPKVPTYNIDNLDIKDFNIKNGIKLHTNINVVLKATNPNKYIALDYLSNEVSMAYSGSILSSGHFPPTLQHGHATTNLNVILKGDADFGPTMQLQLLKDQKMGYIPLLIMVKVPIQLVIADFFHLKKFVVRVNCSMVIDSLEPNKKPKILKKVLTYDFHL >RHN47468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39976644:39981611:1 gene:gene42078 transcript:rna42078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FMN-binding split barrel MKTMVTPLTRVSTFFRPIPYNALPFSPKPRFSFLPNSFTFTSLTPSLSMASSSQSTAQVVSSGDVNNKKDDVFQLIQAHQEKAARLPPVEEIRTVLDRSLRGTLSTFSKKYDGYPSGSMVDFACDANGCPILAVSDLAVHSKDLAANPKCSVLLARDPEDRTDLVITLHGDAIFVPEKDKEAIRAAYLARHPNAFWVDFGDFRFVRIEPKVVRFVSGVATALLGSGEFTGDEYKSAKVDPIAQFSKPVASHMNKDHGEDTKAIVQHWTSVPVDFADIIDLDRLGFNIKAGYKGDKFKLRVPFPRPAEDRKDVKTLIVEMLQAAKPQVN >RHN43215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44091700:44100005:-1 gene:gene49755 transcript:rna49755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphodiesterase I MIDSNSNSNHNKRPFPHIPLSSVSVVLNHFHLPLFSTSQNGIVSLCTTMHLHADQPYSIGRKRHDCHFVFNDRRVSKRHCQIFFDGSLRKLYILSGILSNTGSAIDSKSRIVHEFRKRVMMFSCGSEGFPILEASNGVFVNGVEIRKGMAVELMEGDRVSLVCGNWNASCGIGNRIGFVVDRIIVENCNGVGGIDGSTFSGHSQSDKRRKRVFAVKANDSKFDGVFVRAKYLIDRCRDILLSHDPLSCILHSDSDLQCGYKFEIGTELAQRAREDTGIDVVQSSSGLLCKSKGIDLEENGENFCRKGDLGVDYVNAFGDKNLNLTVSDSIEKDNVSSDSDNEQGTNQHDFYPPPGKNFYLNRLEYMNHDSSSGLDNSISLTELIHPIESVTRMFIATFTSDITWFLTYCKIPYHLPVTIACQNTEKCWSSKPDERVFVPYQNYPNLVVVHPPFPETIAFGKDHKRHGIACHHPKLIVLQREDSIRVIITSANLVEKQWNSVTNTIWWQDFPRAILVDYASLFRKIDDDEVHRNSKCDFAAQLAGFMASLVIDVPSQAHWITQLTKYDFGSATGHLVASLPGIHLNRTSVLLESFQSSSFLGSVVASVVGLSHLFRAVADSNSAGLRALAAVLGKYCKNVNGRFEIVLRRNHNVPADENAVSVLVPKSDQTSEGDFVQLGFLPRNLAKWVSPLWDAGFFSFSGYVCPKEALAAALGENSQKLQLILNVSEGHHFRDMSKMMQSEQIAAFCSLIASIQRHYGLWRLQEVLNQYRWPESLESEIVYGASSIGSVNSKFLAAFSAAAGKKSLQHFDSEESDPEWGCWNAREELKNPSVKIIFPTIERVKSAYNGILPSRRILCFSERTWQRLKTLDVLHDAVPHPHERVGHPMHTKVVRRCFWSRGEAPSIGWVYCGSHNFSAAAWGRQISNPFGTKADDPHKGDPSVNSGLHICNYELGIIFTFPPSENNECPKVKSTKLDDIVLPYVVPAPKYGSLDKPATKLAMREVMTELAEREGEKQAEEEIMEEILEEEEEIEEINCVGEEKEEEKAYAEILWSQVDSSQNS >RHN71866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3167346:3177575:-1 gene:gene7507 transcript:rna7507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MGGWGTWEELLLGGAVFRYGTRDWNVVAGELRERIDCPIPFTPEVCKAKFEDLQQRYSGSTDFLYEELRKRRVEELKKAIERSGDSIGSLKSKIEDLEAEKNEEKDDCENGLESPASHLPLQKLERVKSSTKETSKDGLSAGSFTHETRTNWSPESQVSAASADNNETKLEVLQPTEQAKVSQSKLEVLEAEKNEKKHDCENGIESPVSHVPSQKLERVKSSTKETSKDGLSTGSFTHETRTNWSPESQVPAASADDNETKPEVLQSTEQAKVPQSKLEILEAEKNEKKHDCENGLESLVSHAPSQKLERVKSCTKETSKDGLSARSFTRKTRTKWSHESQIPAASADDSETKPEVLQSTEQAKVSQSKLEVLKAEKNEKKDDCENGLESPVSHLPSQKLESVKTSIKETSKDGLSSGSFTHETRTNWSPETQVPAASADDNKTKPEVLQSTEQDKVSGSKLEVLKDEKKEKKDDCQNGLESPVSHLPSQKLMRVESSAKETSKEGLSVGSFTQETRTNWSPESQVPAASSDDNETKPEVSQSTEQAKVLNGDNLELTLYEIQVGCRKKRRGKRKRKDCGRNIMEAASLEESDLLDAVDVMSWRKESSTSNCGDVAKSSADVDNKKSKNPKKHSAEDMIKILDSIFETEGASAFRRRLDGQKRGKYKKMIQKHMDFDTIRSRISSRTIESTRELYRDLLLLTNNALVFYSKITHEYKTALLLRGIVAKKMRESLKGSTSSSKKVTEPNESMKLPVHHNLHVKPRSVRPGNRKIIAKAVGGDNSASSQGAKKPIKADSSPSVESLNVTKKAFGRQKKVEPVSSSQKTATPVKGRKRVRTK >RHN39213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5018881:5021147:-1 gene:gene45217 transcript:rna45217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MDGLNWDGSQFQENTPILWSTQHFEEGYNISNSLTHQIQEMHKVQIGNTYSNSERLIPNTNLSQHATNMKVKSKAGSSWRKEPLIPQSFQSLSDSRPYNIMPDFNMAHQQQKLNNSFESFDCLLSGTNSNTDTSVENDNDGISKLFSDCKNLWNFSYVSNTATSSGETDSNVSNSTSYEALTQTQMRTPDTSSIKSVSATEGTGNKNIQCPLNEFDETISQSSSDQYIHPRKAIQSSTIHNSSPVEGGFRLITDKPPKCKKPRCDKGPCSTNINFQQPNSSSMCCSSSIEEADPEAIAQMKEMMYRAAAFRPVNFGMDDIDVIEKKPKRKNVKISNDPQTVAARHRRERISERIRVLQKIVPGGSKMDTASMLDEAANYLKFLRSQVKALENLGNKVNAMDCNPTSIAFSFNPSFPMQMIPSNHNPHSQG >RHN77671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8526130:8526474:-1 gene:gene1148 transcript:rna1148 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVAKIEGRVRYSAFKKTIKLMITPTDSLDDLKAQLNTYFEHLGENQYTRHLFGQMPYIDLGEDRDEYAWKTTSYMSWLIRDDSDVGFMFQNMVEDNILYMYVRSICNCVECK >RHN71386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58644685:58647212:-1 gene:gene20064 transcript:rna20064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase, SCC2/Nipped-B family MMNGSCANASNPYHQCTQACSQKTKGTKTHHAPTAAVTASNSNSNNRKVINGGERRTYASSSSSCPKSSNPYHKCDANCNNSGATPHSKIDHRKKVGSKPQPPVLHSVPPTKLVATKNDEIIPTSGPISAQLHIPDVMPKDQVKDGATEVKVAASHEIVPVTNSNETHEGGSKDFSFSGNPLPLHNKEIDTSSEGEADSVSVVSESRVSIGKYNVKESFGSILQTIVDKYGDIGASCDLESVVMRSYYMECVCFVVQELQSSSDSISKSKVSELLDIVKDVESAHLRVAWLHNTLDEIVENIELISHHQDMEMEKANYDREMESLREQLESELETLAQKEQEVADINIRIPEIRDRLSELEQLMSSGLVDDQTTLPIKSKIDQLL >RHN42283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37100002:37101754:-1 gene:gene48707 transcript:rna48707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MISTIPNSPSFSILSFNPTITNTPSQFPPLRSRHRRITVKAQSSNSPILHHLKTLAGSALFTAATTTAALTFPASPARAEPPPTLTEVIDETDAVVADAGTTSPLSEFLETDNESIEALKSLLQQKLELGEDEEALKILKNLISLQPEETEWKFIAARLTIEMGDTDTARSFYEEILKSNPLSFEALFENALLMDRCGEGEAVIERLEDALRVAVEDNKEKEARDVKLIMAQIQFLRKNVDEALGLYEVLIKEDPDDFRPYFCRGMIYTLLDKNDEAKEQFAKYKELSPKKLEVDGYWRTFLSRIKDFGTDQS >RHN51491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18847149:18848587:-1 gene:gene35933 transcript:rna35933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVKTLKFVYYMILFLSLFLFIKNVDGAFVKCETDDDCPKYNGFRKYECVNNWCRLTGLH >RHN57816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41884652:41892862:1 gene:gene33405 transcript:rna33405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rab3 GTPase-activating protein catalytic subunit MESPTFVSKARTAFNSAAAKAERVLLDFKSDRDQDKQSPNSLTRQSQIESPSSDNESKLRGESKHIKWRPKNIGIKQDWQDKFKNIRLGRKEAEDTDKIGDANMAIPFYDENLYILNVKNDLEAKASEAIPTVEALTAATKDPIPPSSVLKQLAMAVEAGSKTKSMKDVIASSGGSSPAKERGGLSLSAVKALVLREKEDKLTSEFSSNEKVVHLINSLFDPEGDFLRRKINSNPEGNDMTSLPRDIHGAPPESLVVKLAEIVGNYKTVRKMALFWCRVVEELRKFWSEEQYLPGVPQNDIPDLKTCLLYQHFQVINCCISRKRLRIIATESLESMMMQASSNIKESANDDGGAPANPVLYARLNTGEHVLRLGAGRRSGDLTLLETGEPVYSPVTQEGPLLTEDLIRETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTDNEASIEDSDVFDSGESLSSKGQLSRRMQKEGNLWHELWATSKPVPAVKQAPLFDEDLAVEGILHAFEDIHPFELFGQLFVSLLGLGFAIAEPMLSSSKDFSKLFCDCKEYIVATCQSNKWSEKVDDLVQVYETVETMLMNPEEALKMIKQSEESITVTDEPKSRFKRLSLIFSGKDKVLKKPVSKDQINDEEKSSRQSFSSFFENKSSLFSKKPPRSGSPSPSEKPSIETDWAVV >RHN49347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54152951:54153148:1 gene:gene44167 transcript:rna44167 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSAQSNNVNNDQPPSARANSGRPSGLSKVVPLVPASVPITLRPATSGNIPQTESISDGRKDRR >RHN40341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15456827:15470459:1 gene:gene46488 transcript:rna46488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MMALENSENMQITCEASLKCLQGKGPPFTFQCNGSSMEVFPELNNEPGNHPSGNVPEPNHRLGSEFLEPSNEFHNKPTYHHDYSTWTACHFHPHKMQQCQMNAFENHFYPHPVENQFQYAPINMVSQGYPREQYQEFQNFVVIDFEATCDKDKNPHPQEIIEFPSVIVSSVTGQLEACFQTYVRPTCNQHLSDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLEKKGIKNANFAVVTWSNWDCRVMLESECRFKKIRKPPYFNRWINLRVPFSEVFGAVRCNLKEAVEIAGLAWQGRAHCGLDDAKNTARLLALLMHRGFKFSITNSIMWQTADRSLIWKQSPEQPSAYPHFPFKARDMNTPIIQYHHPCCYCGVKSSRGMVRKPGPKQGSLFFGCGNWTATRGARCHYFEWASA >RHN44245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2832895:2833423:1 gene:gene38341 transcript:rna38341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSSNSTSEDVISALCINEALTDDELHSILAKVDSEKDKETFGLVCNKVAGYCLNLEALDIGCCEEVTDTAFHHISNEEPCLSLKILKVSNCPKITVVGIGILVGKCSYLEYLDVRSCPHISPGDVSIHVQHVSWSITVMIGVECIVS >RHN54784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12217365:12217676:-1 gene:gene29870 transcript:rna29870 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQRTKHIEMDIHFVREKVALGQVHVLHVPTRYQYADIFTKGLPKPLFDDFRSSLSIHPPPASTEGVY >RHN46353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30929794:30931775:1 gene:gene40835 transcript:rna40835 gene_biotype:protein_coding transcript_biotype:protein_coding MATKISLRILIDEEKNKVLFAQAGKDFMDIVLSFLTFPLATIAKLVNTESNIEKVRFGSISTLYESVANLGEQQFWTPACKKMLLQPRNSMEEYCQNLNHNIHVAEDLKFLICDDWHCSRQSSGGLLTTFSNLKCSCGKFLSQAISLADKQKIDNEGFVAEAVTFSIADDLSVKPDSFQNFICQPMNLLGLEDFNSIKFMDVNLTRGEILDLLKCSLISESPLTDMFLLKKPSFENIKPIGVLDFHIGTIEENQGKKVEVKLVLRKSTNKILFAFGDADFTDFILSFLTFPLGGVEHMLKGNSCGSSIDNLYKSILELDSDTYLKSSDLKDKLVKPKLAHQFKLRNQLFPFDEMPDPNIFCVTRHNRRRTGFHAYLTALEDPYIDSKALCVPLKFLEPQSSTGEAYGDCGGRGFMKSLAFYMVTDDLVVTPCTSVSVISILSKLGIPSSDLEERIITIGNKEGLSILKASLTSSSALTNGLAPFLKVKVETLLKVKDENISN >RHN58059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43424269:43433466:-1 gene:gene33665 transcript:rna33665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MPQESSLLSSIFASPSSKRILNLKGSVFNNSEVSEEINDHELAYRKAEEAASRRNEAAEWLREMDNVASSSLSKQPSEEEFCLALRNGLILCNVLNKVNPGAILKVVDNPLPAVQSLEGPAHSAIQYFENMKNFLDAVRDMTLLTFEASDLEKGGSSSKVVDCILCLKGYYEWKLSGGVGVWRYGGTVRIMSFPKETPSSSILGSESADESLDEFQSSQYQQLLEFLHMSPEVSIEETRTASALNFLFDHFGLKLLQAFVRETDEAKDLPLNAMVIDTFLSKIVRDFSTLLVSQGTQLAFFLKKILKSGDSGCLSKREFMEAITLYLNQRSSLTSNDLSKFCTCGGKRESTQHNVNYSAKQAEIIDAQQKQLEGMKYLLADIKREVKQIQFEWDQELSRLESHIKSLEGTSSSYHKVLEENRSLYNQVIDLKGSIRVYCRVRPFLPGQSNGQSTVDYIGENGNIMIVNPLKQGKDARKVFSFNKVFPTNATQEQIYVDTRPLVRSVLDGYNACIFAYGQTGSGKTYTMSGPDLMTEETWGVNYRALQDLFHISKDRADAIKYEVGVQMIEIYNEQVRDLLVSDGSNRRYPSQDHICCIYFISLFLYNCTSNSLLFATITFWLISLTIYTLEIRNNSQLNGLNVPDACLVPVSCTQDVLDLMKIGQRNRAVGATALNERSSRSHSVLTVHVRGMDVVSNSVLKGCLHLVDLAGSERVEKSEAVGERLKEAQHINKSLSALGDVISALAQKSQHIPYRNSKLTQVLQDSLGGHAKTLMFVHINPEINALGETISTLKFAERVASIELGAAKSNKETGEIRELKEEISNIKSALERKENELEQWKTGNARNVTESQKPRAVSPFRMPKYSTSGSMKPENSQRSMDDRSSEAKNYSSGKQRRPRFPSTFMDKDSIPKMSLLSEEKSVSTGRGRSPSPPIRRSISTDRGSVIKSKTKIDNLENQPISKNPFTARTVPVNKSIVTMTMTPHPQEPVKHDFVYEPLFNAQKVSFRKVHREHEEQQVKQPFAAVRQSGVRNSKADNKVKAKHHQRSPFRIQKTDLIPKLIPDMDITGEIVEAPQKCDYSEPENDFTFMESAVNGVLSVKKMRHNISRNSQNHESRRIMQEAEPLSASKVENKLLNVQGRNLKEGTNTSMHEFKRSRSTPRGKFFVL >RHN69336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42717585:42718399:1 gene:gene17788 transcript:rna17788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGLSNFPYAAEGVVPVIVMNTVLSMVLLKNMFRSMLQVVGCTSTTNSSYSPNIMEELEEEQVYSQEISNSRERRVSITQYKFLCYNRSNIARSSSSCGWTSPMVECCVCLSGFEANQEVSELPCKHFFHRGCLDKWFDNKHSSCPLCRSMD >RHN49151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52823316:52827045:1 gene:gene43949 transcript:rna43949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L13e MVKHNNVIPNEHFRKHWQNYVKTWFNQPARKTRRRLARQKKAVKIFPRPTAGPLRPIVHGQTQKYNMKLRAGKGFSLEELKSAGIPKKLAPTIGISVDHRRKNRSLEGLQANVQRLKTYKAKLVVFPRRARKVKAGDSTPEELANATQVQGSYLPIVREKPSVELVKITDEMKAFKAYYKLRLERTNKRHLGARLKRAAEAEKEDKK >RHN40492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16829098:16848488:-1 gene:gene46648 transcript:rna46648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MHIPKSAASFLIGCAKNSLQFRHRNYRFLTSTSPLLLLSTRFSKPFISTRFFSGYYNVEQFSDDEYECDFENHQASSTVANVDEWKWKLSMLLRNEKDQEIVSRDKRDRRDYEQIANLAKRMGLYSELFGKVVVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLVQEYLDRLQLNSAKTTDSLDNLNSTNQIRDIDMDENANSFVDESVMEKVLQKRSLRMRNMQRSWQESPEGKKMLEFRKSLPAFREKEGLLQAIARNQVIVISGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMAVSERVSAERGESLGETVGFKVRLEGMRGKNTHLLFCTSGILLRRLLSDRNLSGITHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLVLMSATLNAELFSNYFGGAPTFHIPGFTYPVRAHFLEDVLEMTGYKVTSFNQVDDYGQDKLWKTQKQLAPRKRKNQITALVEDSLSKSSFENYSPKTRDSLSSWAPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLQTCHGSMATSEQKLIFDKPPPNVRKIVLATNMAEASITINDVVFVIDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECYHLYPKCVYEAFSEYQLPELLRTPLNSLCLQIKSLQVESIGEFLSSALQAPKPRTVQNAIDFLTMIGALDEKENLTNLGKFLSILPVDPKLGKMLIMGAIFRCFDPVLTIVAGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFSFILKEAGLVDTDASINNKLSHNQSLVRAVICSGLFPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNAVFIRDSTGVSDSILILFGGALSNGIQAGHLKMLDGYVDFFLDPNLADCYLKLKDELDKLIQKKLEDPGIDIHKEGKYLMLAVQELVSGDQCEGRFVFGRDSRKPKASNDENKFTKDGTNPKSLLQTLLMRAGHSAPKYKTKHLKTNEFRALVEFKGMQFVGKPKRNKQLAERDAAIEALAWLTHTSDNAQPEDDKSPPDVTDNMLKLLGKRRKSSKRRSD >RHN76778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1233464:1235197:1 gene:gene154 transcript:rna154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronosyl-galactosyl-proteoglycan 4-alpha-N-acetylglucosaminyltransferase MQKTKQPSIINIKKHNLKIQNIFNLNTTIMNPPPTTTTLILTILLFINTTTSSKSNQCGPTTNRDPQNIQHNKITVLINGFSESRISILQSNAATYALSPLVSSVLVLWGNPTTPPRVITELAQNLSSFSDTIFLHRNPSSSLNDRFLPRLNDIKTDAVLVCDDDVEVDAESFEFAFRVWGVNRERIVGFFARSHDVDLNRKEWVYTVHPDRFSIVLTKFMLLKSDYLFRYSCEGGHHMAEMRKIVDSVRNCEDILMNFVVADATNVGPILVGAKRVRDYGDARNDEGKVSSGLSGRKGEHRKNRGWCITEFHRILGRMPLRYSYGKVVDSIGEQGLCRKGGRLVFCDQ >RHN48884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50896162:50896966:-1 gene:gene43649 transcript:rna43649 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKDPYEYHKIPSYMLVEATGDSEVDSNDPTFDEFGYEIGCADDDDDDAQSCCHDNAAEFKGYESLNDEDDDEDVKKKKQKGNVHGDSYCEDDEMQEENNYKSFVSDDSSSDQEFVDEKEKNRLFWEACLAS >RHN43632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47357838:47359053:-1 gene:gene50241 transcript:rna50241 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDGEFLSFEETVVGVAALKFEVDIPRKSGCYLLQPFEYRSVVGNSVLPFGPEIQTVGYVFLPLEKVMFGIVDVLPFEKETQKAGCVFHQFEYQSVEGNFEIQTIGYVFLQLEKNVFGISEVLPFEMETQKVGCALQPSEQKAVVGAVVDAHKLGYVFYPIEKGTLPVVDGMQVLDGNCIQFGSYLAASQSLRLSQHF >RHN77135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4357610:4363592:1 gene:gene559 transcript:rna559 gene_biotype:protein_coding transcript_biotype:protein_coding MKTITGHCESSKEISLSKASKILSKFVSADNGASHVINAYLLRASTAFNELNQLHKELKPAQSHRKNRRSHTTDDSGRLVESSVRIDVKLGREVVGSVVGRSEKRDKKDGKKKLEFGESVKKNGSELNQGDEGRTEGKKQKSEKKNKKKEKSVEGENVKVESGGIVPPQELEIRSKRRNEAGSKKEKSLEVGNVKGQEQHKEVEKKLSNGVKSESGGLVGSQDVEIRSKKKHEAGSENKLHAGEEKTEQRKKRKNEDAEERSGEKSKKKMKRKHEG >RHN81475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46248310:46248742:1 gene:gene5524 transcript:rna5524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MKVKFFKSCCLREFSKFRKVIRVSFLKCAIRASFCSSSQQKSNLHIPKDVPKGHLVVYVGEDCKRFVIKVGTLNHPPFKALLDHAEDAFGFTNGSKLLIPCNENVFLNILHNAGEW >RHN66671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16041313:16042150:-1 gene:gene14712 transcript:rna14712 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLGTRVVMDDSFLIHKYGLTSLRSDEIKRPRFDPARLVGICFVFVVWLVLSVGRLRVGVNQRPMMVAIPDQFQINRIYPSKTIYDLIRSPETGLFVVSGRIVGYFQVDQWWFSMCDCGNCMKVRDGLY >RHN38613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:718591:718920:-1 gene:gene44570 transcript:rna44570 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPSFQRLIFEVDTIKSGLGQEMNGRLHSKQEMAYMNGQ >RHN54205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7773208:7775936:-1 gene:gene29205 transcript:rna29205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MSLIREDYEDGNNLKKPFLNTGSWYRMGSKQTNILNSSTQYVMRDSSVSVLLCVLIVALGPLQFGFTCGYTSPTQESIISDLNLSLSEFSLFGSLSNVGAMVGAIASGQMAEYIGRKGSLIIAAIPNIIGWLAISFAQDSSFLFMGRFLEGFGVGIISYVVPVYIAEVSPQNMRGTLGSVNQLSVTIGILLAYLLGLFVNWRILAVLGILPCTILIPGLFFIPESPRWLAKMRMTEEFETSLQVLRGFDTDISLEVHEIKKSVESMGKGVTIQFADLKMKKYWFPLMKIGKNHYFWLRSCR >RHN66384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11681936:11690705:-1 gene:gene14354 transcript:rna14354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MSKPSSSLVHLSILIVTLFVAEIHGSKQIKALNKLQKSKYSTNSQIDTSHFKIHENIALDPMVHSQDGMKEKDKIEKLPGQPNVKFSQYGGYVTIDKIAGSAFYYYFVEAHHSKETLPLLLWLNGGPGCSSLAYGAMQEVGPFRVNSDGKTLYKNNYSWNYAANVLFLESPAGVGFSYSNKSSDYDTSGDRRTAADNYIFLMNWLERFPEYKNRDFYIAGESYAGHYVPQLAHNILHHNKKANRTIINLKGIMIGNAVINDDTDEQGMYDFLATHAIISDQTAYNIKKFCNFSSTSNQTTECSDAASEVDKNTLFLDIYNIYAPVCTNHSLTNRPKKVSDVLDPCSDDYIQAYFNRGDVQEALHANVTKLEHDWEACSTIISNWGDSPTTIIPLLHELLNNGLRVWIFSGDIDGRVPVTGTKYSLKKMKLPIETTWYPWFIKGEIGGYAEVYKGGLTFATVREAGHQVPSYQPARALSLIMHFLNGTPLPITQRLYN >RHN82064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50987477:50988190:-1 gene:gene6181 transcript:rna6181 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFLEILKLFKAVRVGTGEADTERSKKLEQLENNYNNVRNLFMIYVNLLSMCNTVGHALITNREIKVILNLAVVS >RHN50692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9535241:9535624:1 gene:gene35014 transcript:rna35014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MSLFTQSLLLLCVLTFSKQNVLGVHQVNVHNTLEGNLDMTLHCQSGDDDLGVHLLDPYEHFGWHFNISLFYTTLFYCSVKWNDELHHFDAFIANYRDRHRFVLDWYIKKEQPCVVSIGGKDGCYPWK >RHN44197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2356500:2357318:-1 gene:gene38287 transcript:rna38287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MTCTFPKLHIIDLSHNEFSGSFPSEMIQGWKTMKTTNTSQLQYESYSTLNSAGPIHTTQNMFYTFTMSNKGFARVYEKLQNFYSLIAIDISSNKISGEIPHVIGELKGLVLLNLSNNHLIGSIPSSLGNLSNLEALDLSLNSLSGKIPQQLAEITFLEYLNVSFNNLTGPIPQNNQFSTFKDDSFEGNQGLYGDQLLKKCIDHGGPSTSDVDDDDSESFFELDWTVLLIGYGGGLVAGFALGNTYFPQVFEWCRDYLGVSFTLFLNKIFKRH >RHN58575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2959670:2960205:-1 gene:gene20497 transcript:rna20497 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEKTKGNPVHLKLPHTHRSGKGPTIIDVLYAVLPCYETREDTGNKFIVRVLDLKYAIM >RHN53549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2447988:2452030:-1 gene:gene28467 transcript:rna28467 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGEGEAEKKEKLVEKLKREVLVGKSKGPSTPFHSSSKLWLLGPNNSNSNIAVIQDHRYQTLPTTVSARKLAAALWEFNHYFPLFQMHNGGAADSRLLRRHYTLHKDKAHDISNFLVDASPSSPDQPASTSSLRRHVATSLMQHHRAIDRNNHALQPLSPASYGSSMEMTPYNPAATPNSSLDFKGRIGEPHYSLKTSTELLKVLNRIWSLEEQHSSNISLIKALKTELDRTRIKVKELLRDRQADRHEVDDLMKQIAEDKLVRKSKEQDRLHAAVQSVRDELEDERKLRKRSESIHRKLARELSEMKSSFTSALKDLEQERTRRKLLEDLCDEFARGINEYEQEVHTLKQKSEKDWVQRADHDRLVLHISESWLDERMQMQLEAAQNGFMDKNSIVDKLSLEIETFIKAKQNSRSAENQVIRDRRNSLESVPLNDAVSAPQAMGDDDDDDSVGSDSHCFELNKPSHMGAKVHKEEILDKNLDETSKSNVKKKKSVPGEGFKNHIPSNSNKKSQSVDAEEGLTTNIRLVEGTRISEEPEHFEISESGGFERKNNLSELHSTSKNHIIDNLIRGQLLASESGKNNKHAEHNNYGEASCSNAGWRNQASPVRQWMASLDISEASKVHSGSKDNTLKAKLLEARSKGQRSRLRTLKGSF >RHN42059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35136933:35137913:1 gene:gene48450 transcript:rna48450 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLSHLQKKKKKKNTNYPLQRLFSATLNLLKENKHWICDPCRKFSLLHHYFFHSGHFAGEPPPASFRKC >RHN45063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11272094:11274303:-1 gene:gene39262 transcript:rna39262 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKSQNSQKCIKKHRNFLGIFTRKLERTVVQIARKHGVNSEKNSKNAAKPKGKSPSPSLKGLDAQEPQD >RHN63874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54681396:54684053:1 gene:gene26629 transcript:rna26629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase, Very-long-chain 3-oxoacyl-CoA synthase MTNSSRNLPDFKKSVKLKYVKLGYHYLITHGMYLFLSPLVVLIAAQISTFSIQDFYDIWEHLQYNLVSVIFCSTLLVFLSTLYFLTRPKPVYLVDFSCYKPEESRKCTKRKFMDQSRMISTFTEENLEFQRKILERSGLGESTYLPEAVLNFPPNPSMKEARKEAETVMFGAIDELFSKTSVKPKDIGILIVNCSLFNPTPSLSSMIVNHYKLRGNIKSYNLGGMGCSAGLISIDLAKDLLQANPNSYALVISMENITLNWYFGNDRSKLVSNCLFRMGGAVVLLSNKSSDRRRSKYQLITTVRTNKASDDKCFSCVTQEEDANGKIGVTLSKDLMAVAGDALKTNITTLGPLVLPTSEQLLFFTTLVGKKLFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSPWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIKKGDRTWQIAFGSGFKCNSAVWKALRTINPVKEKSPWIDEIGQFPVDVPKVSTI >RHN77718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8930553:8932611:-1 gene:gene1201 transcript:rna1201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MTGAHSLIMLLLLLLLIHKSSSIDECRESSCGPYHNLQNIRFPFQLVEESSQDQCTHPEFCLYCTENKETMMVLSTTSGPIKFRVYYIDYESNRISVMDPDNCIPKKFLKLNNSSFLPYRFFPQPGTTKLSFFNCSSVRKQHLRNHDQTSQESQDMITCPVYVSNSHKSVLSLDLVSCVKMFDMATMVPDLTHNFLSLSWPKHNCTRCEANGMKCKWKNNGTKGETECFDCNKKRKTIQIPKSLIYASTGSILLGLVLIALFKIHLYFRKKEEDQIRVDKFLEDYRAQKPTRFSYADIKRITNGFKEKLGEGAHGTVFKGKLSSEIHVAVKILNGTEGEGKEFINEVGIMGKIHHINVVRLLGFCADGIHRALVYNLFPNGSLQSFIFPPDNKDHFMGWEKLQQIGIGIAKGIEYLHLGCNHPIIHFDINPHNVLLDESFTPKISDFGLAKLCSKNLSVVSMTAAKGTLGYMAPEVLSRNFGNVSLKSDIYSYGMLLLEMVGGRKNVDTSAETFHVLYPEWIHNLLEGDIRIHIEDEGDLKIAKKLAIVGLWCIQWQPINRPSIKNVVQMLETGEDIELIVPPNPFHSMTSITTNDQRTLARRTFQMEVIKE >RHN77571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7776029:7777006:1 gene:gene1038 transcript:rna1038 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDGRRHRHKIYNRIVWTVIFFMIANVMPILLGGFFMIADAIVATAATDDGHVIPQPPVVGDHPGCASSDSFKPPTPTLLQCYLHYLNLALHAQVFEVGSVYGEPCGPAYDHIKNKILFPILPKIRPLLSRG >RHN45380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:18387532:18388406:1 gene:gene39677 transcript:rna39677 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIYLASACGRMLNKQISAINKELDQTDNIDRRTLTDSKESQFARGERGLVAGVGVERKRGEKEGLRENPSRELPFFFFLFIFF >RHN45197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12873992:12874846:1 gene:gene39426 transcript:rna39426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MAEDIISTFPDPILCHILSFLETKQSVATSILSKRWKYLYLSVTTLYFTNTAEQRFTLFDENTLFRFNEFVYSVLLSRDPALPIKTFRLEVIYLFNPNGPSSSVFKWINFVLQRGVESLHLDVTLSINILNCRTLVDLKLSRFLVEEGFSSVLLPSLKILRLENISFTKLRHFMLIIMGCPIVEDLFAWTNLLSLKSGKDFVK >RHN67300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25796979:25801664:1 gene:gene15480 transcript:rna15480 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGENSKTDNLNWEVILRNYENVIASGTKSMKIKAMFMLAKYSNKAPEHVLSTTIPILTEILSHNNIANNSAPGSLQEAAAYCLKCIACRGDGSLAIEMGEHGVARSLIKLLPRAEGKMQKVLIECLLVVLSFCDNSRTFVAANGGLEIIISLLSTCSDDVRLYLLEILSALALRMEVRKELVRLGALRFIVEAAGVGNMISRERACQSIGLVGLSRQVKLMLFELGVIPVLVDLFRDGDNTTKLVAGNTLGVLLNHVDYIRPIAQAGAIPLYAELLRGDDVSGKEIAEDVFCILAVAEANAVEIVGHLVRLLREGDDETKAAAVNVIWDLLRYKHTPSVFRNSGAAFILVELLSHGTEEIKKKISGAFSQMSYQECGRMVLGDAGAIPLLIDLLHDETGELRDNVVEALSNFHEDPLYHDTLSDVVNAPSFRILQNRLTPLRATSEHITRSLRRTGIE >RHN69354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42848191:42852282:1 gene:gene17809 transcript:rna17809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lanthionine synthetase C MTSSVIEGGHDEAIHEPSDLIVANMNPTVGNLSLPNETFLKAAISLKDEVVEVNWNRREVIDPTVYTGLLGTAFTCLRSYEVTGCHKDLVLSSEIIDTCATVARDSLRHVTFLCGRGGLYALGAVVANYMGDLQKRDLFLGLFMEVANERALPVGPEEGGFGMSYDLLYGRAGFLWAALFLNKHLGEDTVSKDILMPIIDAVLAGGRAGASDIKDCPLMYRWHGTRYLGAANGLAGILHVLIHFPLPSEYAEDVKGTLRYLISKRFPHSGNYPSSEGNPRDKLVQWSHGATGMAITLSKAAQVFPNDRELRDAAIEAGEVVWKNGLVKKVGLADGVSGNAYAFLSLYKLTKDSIYEERAKSFSCFLYDNARALAVEGQVVADGNGYSLFHGLAGTACLWFDLIAPDNSRFPGYEL >RHN76518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50908234:50909489:-1 gene:gene12858 transcript:rna12858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MVHSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAAKAYDEAAILMSGRNAKTNFPINVENQTNSISSSSTSSKAFSAVLSAKLRKCCKFPSPSLTCLRLDAENSHIGVWQKGAGPRSESNWIMMVELERKKSASVPEKAKPEELSKNGLDDEQKIALQMIEELLNRN >RHN78991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20620475:20628734:-1 gene:gene2667 transcript:rna2667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative angiotensin-converting enzyme 2 MSSGSVRRVSREDIQLVQNLIERCLQLYMNPKEVVETLLAQAKIEPGFTELVWQKLEEENQEFFKAYYLRLLLKEQITEFNRLLKEQAELSQLQSTAVGLLPNSNGSHIPPLPQNPSCYASEQASAAVKPENMRHGLDSTLHDVFNNGGSSLNTRVRDLAQMSARGNRISSPPSMLSSQNSSLGLIQGINGGMIKSEPGYSGSPPYIFGPDGTVLEACPTIGDAAVTSFNSVDSNSHSMNGALLDPDISSFGVLGQISRNLSLSDLTADFSQSSDIMESYPRCPYLGTTNENFLQNGEQS >RHN81110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43393937:43395483:1 gene:gene5108 transcript:rna5108 gene_biotype:protein_coding transcript_biotype:protein_coding MENASRQRTRSLVFLFTLILSDVEVSQLIDIAVLVGCNNTKPIPHIVLFQVLLGQVLQIPGKEIAVGGNGDLGLFTSNSNGITESTSFTANFNPLLKKLLEGSDIHNLILNWLRAIDHEACSLLLTLHSAHFRVQTSPPCS >RHN69671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45440603:45441859:-1 gene:gene18172 transcript:rna18172 gene_biotype:protein_coding transcript_biotype:protein_coding MILAEANWSELPKKLLNLILERIDDDHDVISFLSVCSTWRSSPISNHHHHPRVPFQLPPHINAESISFECDVYQNSLLLIKPPQQQEQQQNLHPWLIRTQKNFHGQTQLFHPLCPSNSSSSYCFPNVLDFKKFSSDHLGTDFFLDIKKSYFNPSANPLVYSYENPLAEFLPFGRFKKVVAVTCQGEPLALGTLNHDGHPILFRCHEERWTPMPHMSMCFQDICVFKKRFCVVNKGGRTFAIGPDYSVQLVAEYLVYGGGDVKFLVESEGELLLVDIYHSHCVGFPGEDGFNLDVFRLAKKEKKWVKLTSLGDRVLFLGNGCSFFASASNLSVVKGNCIIFVGDAFLPFNKMLCGMCIFHLDQGRVSPLSDYPEYYNLLGPPPEWIVKSHKPVIFVSISNTSNSFKILGFRIEYKEKID >RHN62065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40426392:40428212:1 gene:gene24595 transcript:rna24595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transport and Golgi organization protein MCITVFMWQSHPKYPFLLLLNRDEFHSRPTEPLAWWDGETILGGRDVLCGGTWLASSKNGRLAFLTNFRELQNIPQSNTRGDLPLRFLQSDKSPEEFAEEVLKEAHLYNGFNLVLADICTSTMVYVFNRPNHGYLSVTPGIHVLTNASLDAPWSKAERLRHSFKELVDQYGDGEFPIKEMVEKLMTNTVKDDDKCLLPGIRPPEFEFPLSSIFVDTQFPSVGPYGTRSTSALFVTSNKEVTFYEKHLDQKQWKDNMVTYQISETR >RHN75872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45750387:45754976:1 gene:gene12128 transcript:rna12128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MLDLNLNEDYEDSIMLVEKLPEASSGTSNSSIVNAEASSNITGDEDSCSTRAGGVFTFNFGILKVEGGNDDVVVPTKEFFPVSAGTSTMMIPARKSAMDLTMDRRLGGENGVVEVQQKPQAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNLVEYEEDMNQMKNLSKEEFVHILRRHSNGFSRGSSKYRGVTLHKCGRWEARMGQLLGKKAYDKAALKCNGREAVTNFEPSTYENEMKPGAINEGGSHNLDLNLGMATPGHEPKENKGYCQFQTVPSYNMHPGRNLKMETNVNSVIGDPSLKKLVVTEQRPPMWNATFSSFFPNQERAERIGIDPSKGHPNWGWQGHNQGTVSPVPQFSSAASSGFSISATFPPNAIFQTQPINSIPQSLCFTPGSNASSQYYYQVKSSQAPP >RHN49435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54784446:54791347:1 gene:gene44264 transcript:rna44264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDTACVKDDNYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTKGKKAKRKAREKQLEEARRLASLQKKRELKAAGIDIRQRRRKRRGIDYNAEIPFEKRPPPGYFDVADEDREVEQPAFPTTIEELEGKRRIDVEAQLRKQDVARNKIAERQDAPAAILHANKLNDPETVRKRSKLMLPPPQISDQELDEIAKLGYASDLVGSEELTEGSSATRALLPNYPQTPNQAKTPLRTPQRTPASKGDAIMMEAENLARLRESQTPLLGGENPELHPSDFSGVTPKKKEIHTPNPLLTPSATPGSAGLTPRSGMTPARDGFSFGMTPKGTPLRDELHINEDMEMRDSAKLELRRQADMKKSLRSGLSSLPQPKNEYQIVMQPIQEDVEEPEEKIEEDMSDRMAREKAEEEARQQALLRKRSKVLQRELPRPPPASLELIRNSLIRADGDKSSFVPPTPIEQADEMIRKELLALLEHDNAKYPLEEIANKERKKGSKRAANGPAIPVIEDYQEDEMKSADQLIKDEAQYLREAMGHENDSLEEFVEAHTTCINDLMYFDTRNAYGLSSVAGNAEKLAALQNEFENVRSKLDDGKEKLIRLEKKVTTFTQGYEMRSKKGLWPQIEATFKQMDVAATEFECFQALKKQEQSAASHRINNLWSEVQKQKELEKILQKRYGDLTTELERTQNVMEHFRVQAQQKEEIEAKNHAQEEVQAQQKEEIEAKNHAIEEVQAQQQEETEAKNHVLESTEATADEINVQGTENSEAVPLSADQQIATMQDQATSSSKIDMDVDSSEAQMTDSTGVTLPDAPVAEDGHSNVLEDKNVESYIENSETTVDMSAAVEIKSNEGNEEGQDVGNPDEIMEASNQPDNSITPLDDMQVADGKGDEAN >RHN65631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3925149:3926222:1 gene:gene13494 transcript:rna13494 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNALSSPLTSFFFLLLTSRHLLFSPTTTAPLLTTPTSFSPSNPTPTQSIHVNVGQSLKKFHCTASFPPARSTMGEHRTWLQHSQSSLFCWLQRHRVRGCRGLMTETQPEENMQQAPVVSSSDGADNLQNNATVADVSNHIATVEKEEVKSDVLRDEVIWILQAITSTGRFWRKRKDVMERLVVVCDAEKRREEE >RHN57517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39712507:39717510:1 gene:gene33070 transcript:rna33070 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCARERKFSWMLAPPTETYHQQNINCKSKEPTTNPHTFLGFSFILFIHSFILAPYHFTEMEKKQTVSVN >RHN75428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42250040:42250564:1 gene:gene11637 transcript:rna11637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSLVSPRYLHLWKDLQAFDFYFDRSIPFEKASLFVNSVLSLRKSRDIQKFHLTLTFDRYKSFDIKKFQAKCVEIWILAAIGPHLQELILSISSYCIKLPPSFFINCTNLVSLRFSGAIDMLVQDYSVHFPSLKKLSLGADIVCTPQIHYFSFSLAAPTSKLCRFTFTLTLNTYL >RHN62856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46260287:46261850:1 gene:gene25481 transcript:rna25481 gene_biotype:protein_coding transcript_biotype:protein_coding MHRYNKSGPLSMSSSYSKFFSSLRQVEKRLKLENTSTPKTTKSEQVQESNVVSTLSSPLFLQQSWNQTCPTQENSEPPQQFLSVSQGFTLTHQHPSQITTPSDETQEEDVDEIEGLVNLLGMSEEKRDGFEGDEGGGDCDSCHCEGGFYSKIVGVEGPKCKKEVLRLDGWIKHFMNDGVEKKEPLRLAHLLLGKAAFISGGADSGFGDLDFPSTIQEFLHTDPPSK >RHN68302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34432766:34436224:-1 gene:gene16636 transcript:rna16636 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSEEWKSLFPIGASTVSNLLLHSDPDSLGPLFFNPNSNSPTPIFSSTIPSLHLPHNLLTERYLLTSDPSILPSTASTIAHLFDSTPELDDDNVSHFLHNRIQLLKCPNTPKAVVIFPTGANDETIGFFMLGVKDSLLETRLDVKGDVFRASTGSSSRILRMSVNPVTEDDSEPDSSPVIGYVLASSRYSVCWFDVKHNLSSDSPSMSYLGRSKVFKEAVVRACWSPHILEESMVLLESGQLFLFDVDAQGSMKTFKGTRLRVPWNDSACSENKAWLSCEFSWHPRILIVARYDAVFLVDFRSNECNVTCLLKIETLRMYAPDENERFLALSRVGTESPDNFYFTVTSRSLLVLCDIRNPLKPVLQWRHGIDEPCYMTVLSLSTLRSHSKEDTFQLASEMGFCIILGSFWNSEFNIFCYGPASFRKGSITSTLSKINTTFCAWELPSEINLSSRGCHCGNCLFREELSKDALPEWIDLQLKKEMVLGFGILSNDLASLLCEPDEHGGFTLVRVMSSGKFELQRYHASQAMARSLEDCHEADLCLESHLLCPLSVKEYKYKSSEFRYLKLNYLYAYANGNLGQILTTKLEKTYSDDQEEAPFCSEVHELLCKKLNACGLGHSRSSPAISSIFKDVTLPASFHEVALRKLWTDLPLELLQLAFLSYSECREVIAHNQNMVPLEFSAVPDLPQLPPFFLRKPSPHSDNDIVGPVIPFPVLLVINEVRYGYSSSESDEFSVEAELDLKYKEVMQVACEIAGSCHPDDHEISLGDDKTEHWDGSLKPKSFSTYRQIDNVQGNSVHTDTIYDTFIFKVSEKSCEEPGEKTESVGEEMFDDLCPITLRFDAPVTKFEQQSLEAFTLLKLKMSKWQNSFDLYNEFCSQSGF >RHN65360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1456127:1462082:-1 gene:gene13189 transcript:rna13189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IXb family MDRIQRIQEGDESKRTRHSSSSVVALAIKGNKKSKYVVQWALNKFVPEGMIIFKLIHVHAGIVGVPTPMGNMLPISQVRDDVAAAYKKEIECETNQMLLPFKQMCEQRKVHVEVVVIESDDVASAVAEEVTKYAVTKLVVGASTGGLFKSKHKGMSAKISVCTPRFCTVYAVSKGKLLIRPSDVQIDESITDDTSEISFSSSSSSNYTSTTQTDSGSVASYAALHSSSLATQRFQALSSMNQNLLSTNPSLNETNHSRGQSIDLGRGNVATSSARNSDFDRGLSRASSFRSIVSDTDTWIYDQISLKDAPLTSKLASPNRQENFNLELEKLRIELRHAQGMHAVAQTENIDASRKLNELSKRRSGESMKMKEIIAKEEVAKELARQEIEKYEAAAREASYLKECAEREASERKETELKAIRAAKEKDKLEDALSGSTPQYRKFTWDEIVSATSSFSEDLRIGMGAYGMVYKCTLHHTTVAVKVLHSAGISQSKQFQQELEILSRIRHPNLLLLLGACPDHGCLVYEYMENGSLEDRLFQKNSTTPIRWFDRFRVAWEIASALSFLHSSKPQPIIHRDLKPANILLGGNLVSKIGDIGLSTVLNSDELSTMYKDTAPVGTLSYIDPEYQRSGLISTKSDVYAFGLVMLQLLTAKPATALTHVVETAIEDGNLTDILDPKAGLWPFQETLDLARLALSCAELRRRDRPDLLDHVLPTLERLKEVADRAHHSASMVAIKPKPPNHFICPILQDVMDDPCVAADGYTYDRKAIEKWLEEKDKSPMTNIPLPHKILIPNYTLLSAILEWKSKEI >RHN64945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63192485:63194386:1 gene:gene27826 transcript:rna27826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MGQILTFPCQPSHNYSSTTITIIIFLSIYPSFLVLTMEFIDVIMFLFALAFLRWWWRRWSKTGGGTKNLPPGVPGWPIVGNLFQVILQRRPFIYIVRDLRLKYGPIFTMQMGQRTLIIVTEADLIHEALIQRGPQFASRPKDSPIRLIFSMGKCAINSAEYGPLWRSLRRNLVTEMISPLRVKQCSWIRKWAMEAHMRRIQNEAHENGFVEVMSNCRLTICSILICLCFGAKITEERIRDIESVLKEVMLITLPKLPDFLPVLTPLFRGHVKEAKKLRKKQLELIAPLIRKRKVYVESDGKCGDPEMVSAIGAAYVDSLFGLEVPSRGILGDEELVTLVSEIISAGTDTSATAIEWALLHLVMDQEIQERLYREIVDCVGKCGIVMDSDVEKMPYLCAIVKETFRRHPPSHFVLSHAATEETKLGGYTIPADASVEFYTAWLSENPNTWKDPDVFRPERFLNGDGVDVDITGTKEMKMMPFGAGRRICPAWTLGTLHINMLLAKMVLAFRWLPVPNSPPDPTETFAFTVVMKNPLKAVIVPRSI >RHN73232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14564868:14565383:1 gene:gene9026 transcript:rna9026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MYEHETSSFPNLKRLWLASYTLRAFPDFLKYKSSLKFLDLSSNQISGPIPNWICSFDYMVILNVSHNFLTDFEGPIQNLSSNLLKLDLHSNHIQGHAPTSFKNAIYLDYSSNRFISINLKRNWQSHPFFIFFSLFQIIILMEQSMNPFVTFQVLEHLIFLIIASMTPYQFV >RHN72382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7215946:7220047:1 gene:gene8087 transcript:rna8087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MIVKEHPVGTELVMSNTIGEDEIDFSCDPYIGLEFFNADDALKFYISYGNRMGFKVRIGQLYRSRTNGSVSSRRFVCSKEGHQLSSRTGCPAFIRVQLNDSGKWVVDHFHKDHNHNLENESESFAPTLQPKASAAIESSTEVTRKPRKKMLEVGNGEPISPFGVINFKRMRKEELEVQARIEPYVGQEFSTPNEAYQFYHAHAAYMGFGIRVGQLFRSKNDGSITSRRFVCSKEGFQHPSRVGCKAYLRIKNQPSGKWVVDRLENDHNHDLGLEKERKTKSLPASNILTEEVNTELVNGDIFRIDNYPVLRGARQNHIRSDWYNMLLEYFQSRQAEDTGFFYAMEVDNGNCMSIFWADGRSRYSCSQFGDVLVVDTSYRKSVYMVPFATFVGVNHHKQPVLLGCALIADESEESFTWLFQTWVRAMSGRQPLSVIADQDVSIQRAIAKVFPVTNHRFSLWQINAKEQELAGLMGNGFTKDYEKCVYQSQTVDEFDASWNALLVKYGLKDNAWLKEMYEKRASWVPFYLKGTFSAGIPMKESMESFFGGSLNGQTPLIEFIPRYERGLERRREEERKEDFNTSNFQTFLQTKEPVEEQCRRLYTLAVFKVFQKELLQCFSYLGFAIYERGSLSRYLVRKCGNDDMVKHVVTFNASNLDISCSCQMFEYEGVLCRHVLRVFQILEVREVPSRYILHRWTRNAEDGVFPDIGSWSSSQELKSLMLWSLRETASKYIDAGASSIEKYKLAYEILREGGRKLCRHREVLYATCELWRQACVCLFAWRNHFPLSSLLTLESTNESLIV >RHN57038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35939237:35944853:-1 gene:gene32526 transcript:rna32526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LIM family MNMKYMRANTALYIMILYCFFLSLIGSLQSTLNLIFSSSADSLKHHLSATFSSLLSKPRISLIPLLISSLFQPLLTIHLQCLFFSISLSLCHFHSSSSSSSSSSFSPHSPTLMAPSSDHINHLSHPCIYGDYVSSHPERKSGFMKWLSKLFKGGSNRGRSGRHHYDSAEEGMSWRAPSRALDDRARAQKEKEDLGHAMSLSSAEDMKRPNAHQGYRWGEENNEDYGKALHDNFNSSAHPPYAPAPFYPNEYRRLCGGCNQEIIYGNCLGCMDTYFHPDCFRCHSCRSPITEREFSLSGKHPYHKSCFKELTHPKCEVCFQFIPINAAGLIEYRCHPFWSQKYCPSHEYDNTARCCSCERLEPRNTKYYRLEDGRSLCLECMESAIMDTGDCQPLYHSIRDYYEGMHMRIDQQVPMLLVEREALNDAIVGEKTGFHHLPETRGLCLSEEQTVTSIHRRPRIGGHRLIGMRTQPQKLIRKCEVTAILVLYGLPRLLTGAILAHELMHAWLRLKGYRNLSPEVEEGICQVLSYMWLESEVMPTTNSHCMASTSTAVASSSKKGAKSHVENKLGEFFKNQIVNDSSPAYGGGFRAANEAVNKYGLRSTLEHIRLTGFFPV >RHN82254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52340400:52351002:-1 gene:gene6400 transcript:rna6400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative limonoid glucosyltransferase MLAFMCVLKNNRVKYVFSPELFVLVFIREQPILGSSIIYFCACIIVSSTKTDLRLLIFSGRATLRIFLFQVSFWRNLHSMYYTQLQETFGMPFYSVPTNLRVQSQERKKKQNKRKMASNEAPIHILLVSFPAQGHINPLLRLGKCLAAKGASVIFTTTEKAGKDMGIVDDITNKLATPIGDGSLIFEFFDHSLLDNGSVNHAELERIGRQFVSQMIKNHADSNKPISCVINNPFLPWVCDIAFEHNIPSALLWTNSSAVFAVCYDYVHKLVPFPSNEEPYIDVQLNSSIVLKYNEIPDYIHPFNPFPILGTLTTAQIKDMSKVFCVLVDTFEELEHDFIDYISKKSITIRHVGPLFKNPKANGASNNTLGDFTKSNDDSTIIEWLNTKPKGSVVYISFGTIVNHTQEQVNEIAYGLLNSQVSFLWVLKQHVFPDGFLEETSGRGKVVKWSPQEQVLAHSSVACFITHCGWNSSMEAITLGVPMLTFPAFGDQLTNAKFLVDVFGAGIRLGYGDKKLVTRDEVKKCLLEAITGEKAERLKQNAMKWKTAAEDAMAVGGSSDRHLDAFIQDIKKHGTGNIQKMQVMEMGSFSYFGLRIGRERPCYPIYYHRLIRLLKFPLCSRVDILLLSLLNLRVQSQERKKKQDKRKMASNEAPIQILLVSYPSYGHINSLLKLGKCLAAKGSSVIFTTTQKAGKDMETANNITNKTTTPIGKGFLTFDLFDDGLKDDDPMRTSLGDYTSQLEHVGKLYVSQLIKNHAESKTPISCIINNIFISWVCDVATEHKIPFAILFNESSAVFTCYYNYFHKLVPFPSKTEPCIDVQLPSVVLKHSEIPVLLHPSNTYPFLGPLILGQIKNLSKALCVFVDTYEELEPDFIDYISEKSIIIRPIGPVFNNPNIIGASNIRRDFVKTDDSTIIEWLNSKPKGSVVYVSFGTIVQLPPEQVNEIAYGLLNSQVTFLWAKKKHDDLPHGFLEETSGRGKVVKWSPQEQVLAHPSVACFITHCGWNSTIESLASGVPVLTFPVFGDQPTNAKFLVDVFGVGIRLGYSGAENRLITRDEVKKCLLEATTGEKAEELKKNAIKWKKAAEDAVAVGGSSDRYLDAFIEEVAKQGAVNFQKI >RHN80432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38121953:38126587:1 gene:gene4360 transcript:rna4360 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSQLVRFPLLMDDTDTTKYSACTIPWRFASDDPCVVTPTELSWINLLHSGIPSYKKRAESDTSVPDAANKAEIFAQRYAKILEDLEKDPASHGDPLDILLLCRLREEVLKELGFRDIFKKVKAEENAKAISLFENVVRLNDAIEDEGNRLENLVRGIFAGNVFDLGSPQLAEAFSRDGMSFSATCENLLPRPWIIDDLDTLKIRWSKKSWKKVIIFVDNSGADIILGILPFARELLRRGSQVVLAANDLPSINDVTYSELIEIISKLKDEEGRLLGVSTSKLLIANSGNDLPVIDLTKVSQELASLTSDVDLVILEGMGRGIETNLYAQFKCDSMKIAMVKHPEVAEFLESRMYDCVIKYNEV >RHN71802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2705551:2709304:-1 gene:gene7438 transcript:rna7438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MMLTTYQPFVFLTLLLCFLCFCSELSHAASSSRVSIKHGEFIRDKEGEVLVSDGYNFVMGFFGFENSSSRYVGIWYYNIPGPEVIWVANRNKPINGNGGSFTVSTNGNLVILDGNKNQLWSTNVSIIQTNKNNSEAVLRDDGNLVLSNEKVVLWESFENPSDTYVPGMKVPVNGKSFFFTSWKSSTDPSLGNHTMGVDPAGLPTQIVVWEGDRRTWRSGYWDGRIFTGVDMTGSFLHGFILNYDSNGDRSFVYNDNELKENDNSSVRFQIGWDGIEREFLWKENEKRWTEIQKGPHNVCEVYNYCGDFAACELSVSGSAICNCLKGFELKDKRNLSSGCRRMTALKGDQRNGSFGEDGFLVRGSMKLPDFARVVDTKDCKGNCLQNGSCTAYAEVIGIGCMVWYGDLVDILHFQHGEGNALHIRLAYSDLGDGGKNEKIMMVIILTSLAGLICIGIIVLLVWRYKRQLKASCSKNSDVLPVFDAHKSREMSAEIPGSVELGLEGNQLSKVELPFFNFSCMSSATNNFSEENKLGQGGFGPVYKGKLPSGEEIAVKRLSRRSGQGLDEFKNEMRLFAQLQHRNLVKLMGCSIEGDEKLLVYEFMLNKSLDRFLFDPIKKTQLDWARRYEIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDENMNPKISDFGLARIFGGNQNEENATKVVGTYGYMSPEYAMEGLVSVKSDVYSFGVLLLEIVSGRRNTSFRHSDDSSLIGYAWNLWNERRAMELLDPCIRDSSPTNKALRCIQIGMLCVQDSASHRPNMSKVVLLLESEATTLPIPTQPLITSMRRSEDREFYMDGLDCSNDLTVTMVAGR >RHN79902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33369157:33376688:-1 gene:gene3757 transcript:rna3757 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MYSCIPHNVSLHFHFSTTTSPNSKSTMFASRNLKIRAVSTTENQASTYIPAAPIFLPQGPWKQIPGGVTAAEGFKAAGIYGGLRAVGEKPDLALVTCDVDAISAGSFTTNVVAAAPVLYCKSTLDISNTARAVLTNAGQANAATGEAGYRDMIECVESLAKLLGVKPEEVLIESTGVIGQRIKKGALLNSLPLLVNSLTSSDEGADSAAVAITTTDLVSKSVAIESLVGRTKVRIGGMAKGSGMIHPNMATMLGVITTDAGVTSDVWRKMVQVAVNRSFNQITVDGDTSTNDTVIALASGLSGLSCISSLDSDEAIQLQACLDAVMQGLAKSIAWDGEGATCLIEVSVTGANSEAEAAKVARSVASSSLVKAAVYGRDPNWGRIAAAAGYSGVSFNQNLLRVELGDTLLMDGGEPQSFDRGEASSYLRKAGETHGTVRIQISIGNGPGHGQAWGCDLSYDYVKINAEYTS >RHN65370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1560358:1562059:-1 gene:gene13200 transcript:rna13200 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVKSNAGKVLQRLQFYHHHVLWKLLHLMRESKKPCNKHLRLRIAVALAHFCRPIDFKLIFIDSLGLEFLTESLLSSGQTNHIAMALHKLAIKVLRAMNVQAPPTHNITVENVYAQLKASIVNSDHGVTEACMYFGLRNFRELAMREPFFVEELCEVSRDYLERCILQI >RHN55395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17915787:17918219:1 gene:gene30568 transcript:rna30568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MDAPILQLTSFSLTKPNTETTSLLPLPHLISLIPKCTTLKELKQIQAYTIKTNYQNNTNVITKFINFCTSNPTKASMEHAHQLFDQITQPNIVLFNTMARGYARLNDPLRAMILFSHVLCYGLVPDDYTFSSLLKACSKVKALAEGKQLHCFAVKLGVSDNMYVVPTLINMYTACGDIDASRRVFDKIDEPCVVAYNAIIMSLARNNRANEALALFRELQEIGLKPTDVTMLVVLSSCALLGSLDLGRWMHEYVKKYGFDRYVKVNTTLIDMYAKCGSLDDAVNVFRDMPKRDTQAWSAIIVAYATHGDGFQAISMLNEMKKEKVQPDEITFLGILYACSHNGLVEEGFEYFHGMTNEYGIVPSIKHYGCMVDLLGRAGRLDEAYKFIDELPIKPTPILWRTLLSACSTHGNVEMGKRVIERIFELDDSHGGDYVIFSNLCARYGKWDDVNHLRKTMIDKGAVKIPGCSSIEVNNVVHEFFAGEGVHSTSTTLHRALDELVKELKSAGYVPDTSLVFYADMEDEEKEIILRYHSEKLAITFGLLNTPPGTTIRVVKNLRVCGDCHNAAKFISLIFGRQIILRDVQRFHHFKDGKCSCGDYW >RHN50654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8873746:8874285:-1 gene:gene34964 transcript:rna34964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MTQYSPLLLMFLILFVVSFNSISAKVVDVDVICNEASNPSYCSYLLNSKPGGAKGVDLVHLAEYILDVLDHNMQDTYKLITQLQSGDNITIINYYIRCSTDLIASDTATVRLGYAKLDFQVKHYQAMAKDTADVMKYILECSNSLKQNETSPLLAKYVDHLRQGVQVLQIITKYLIAGK >RHN65908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6377806:6378954:-1 gene:gene13802 transcript:rna13802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I13, potato inhibitor I MAEEQQGQGTNPPQEQPNEPLPRTYNQLLGTNNPTKTSWPELVGVTAEEAERKIKEDISGVEIQVVPPDSFVTADFRFKRVRLYVDESNKVIRTPIIG >RHN73294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15166520:15170518:-1 gene:gene9092 transcript:rna9092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MPKCSTFPKTLLKHSLHLKPQTLTLTFLSYSSSNLPHTHHSLPPQIFKSPSNTSSHKWGSYKLGDLSFYSLIENFSNSLDFTSLEQLLHQMKCENRVFIEKSFIIMFKAYGKAHLPQKALDLFHRMGAEFHCKQTVKSFNTVLNVVIQEGCFDLALEFYNHVIDSNSFSNIQPNGLSFNLVIKALCRVGNVDQAVEVFRGMSDRNCVADGYTYSTLMHGLCNEGRIDEAVSLLDEMQVEGTFPNPVAFNVLISALCKKGDLSRASKLVDNMFLKGCVPNEVTYNSLVHGLCLKGKLDKAMSLLNRMVANKCVPNDITFGTLVDGFVKHGRALDGVRVLVSLEEKGYRGNEFSYSSLISGLFKEGKGEHGMQLWKEMVEKGCKPNTIVYSALIDGLCREGKPDEAKEYLIEMKNKGHTPNSFTYSSLMWGYFEAGDIHKAILVWKEMTDNDCNHHEVCYSILINGLCKNGKLKEALIVWKQMLSRGIKLDVVAYSSMIHGFCNAQLVEQGMKLFNQMLCHNPKLQPDVVTYNILLNAFCTKNSVSRAIDILNTMLDQGCDPDFITCDIFLKTLRDNMDPPQDGREFLDELVVRLIKRQRTVGASNIIEVMLQKFLLPKPSTWALAVQQLCKPMKVRKTISECQSRMCC >RHN51981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29489993:29502588:1 gene:gene36570 transcript:rna36570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MSPKKKWIWCCCVIVICCARVCSSGRSDKETRDRFYGNMLNSTAPESNDGSLAKMFDRVLEKEFSENDQPEEPDKNSFNSTVADQQGVLETVAKITHDKAKRNDTREGNGTRSFQFQDVFSLENEDSDDVTTLIDKKDNVFVMSNKKSKYPVLQVDLRLISDLVVIIVSAAIGGIVFSCLGQPVIVGYLLAGSLIGPGGLKFISEMVQVETVAQFGVVFLLFALGLEFSLAKLKAVGPVAVLGGLLQIVIFMFLCGILAMLCGGKLSEGVFVGSFLSMSSTAVVVKFLVERNTNNALHVQVTIGTLIFQDCAVGLLFALLPVLGGNSGLLQGIISMGKLLLVLSLYLTATSVLSWSFVPRFLKLMMRLSSQTNELYQLAAVAFCLLSAWCSDKLGLSLELGSFMAGVMISTTDFAQHTLDQVEPIRNLFAALFLSSIGMLIHVQFLWNHVDILLASVILVVVVKTAVVAIVTKAFGYSLKTAFIVGISLAQIGEFAFVLLSRASNLHLVEGKMYLLLLGTTALSLVTTPLLFKLIPAVMNLGVLMHWFPSENGTQIEGKSLMIEANRML >RHN46839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35241284:35244907:1 gene:gene41370 transcript:rna41370 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSKEVCKVVELERSKRELRDCCDIGWFEKGASVPPPIVLFKRKRKETVVGSETTAAARD >RHN44028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1099917:1102702:1 gene:gene38074 transcript:rna38074 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVTPTSPINILNTLDSISLISLSSFFVKVSGIFISCLKPVRFCSLSPPKFILFRSIIKKLLFFFNLLESIYIRDRLLEYFELLLNFVNSPRNLSKFFCSPYM >RHN42014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34781021:34785160:-1 gene:gene48399 transcript:rna48399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDTLGQFQTTLLLVLPLTLFLLLNLASKFRKRAPYPPGPKGLPLIGNMNMLDKLTHRGLANLAKQYGGVYHLRMGFIHMVAISNAEAAREVLQLHDSIFSNRPATVAISYLTYNRADMAFAHYGPFWRQMRKLCVMKLFSRKRAESWQSVKDEVEVVITNVNNNLGKSVNVGELVFNLTKNIIYRAAFGSCSKEGQDEFISILQEFSKLFGAFNIADFVPCLKWVDPQGFNDRLVKARGALDGFIDKIFDEHVEKKRNMSGDEDSDMVDELLAFYSDEAKVENESDDLHNSIKLTRDNIKAIIMDVMFGGTETVASAMEWAMSELMRNPEELKRVQQELAVVVGLDRRVEESDIEKLTYLKCAVKETLRLHPPIPLLLHETAEDATVGGYFVPKGSRVMINVWAIGRDKDSWEDPEEFRPSRFLDSSAPDFKGSHFEFIPFGSGRRSCPGMQLGLYALDLALAHLLHCFTWELPNGMRASEMDTSDVFGLTAPRASRLIAVPAKRVVCPL >RHN46680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33996784:33998751:1 gene:gene41199 transcript:rna41199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MASSMFVKITFLAMICLVLGTPLANAALSCGQIQLTVAPCIGYLRTPGPSVPAPCCNGIRSVYYQAKTTADRQGVCRCLKSTTLSLPGLNLPALAGAPAKCGVNVPYKVAPSIDCNTVRH >RHN52743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38342472:38347085:1 gene:gene37446 transcript:rna37446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MPPPLHLQAFSFIINIFLFLALFIIPIHITSDVESFKSCAPFSCGNFTNVSYPFWSVNNQPSYCGHPNFNLDCEHGNLTIEIKSQKFHIIDINQTSRLLRIARLDLWSNDAATIVSCPKKYIIVNLDLDFFNYTSKYEKYTLLYECGPLPDPYSSSLSSEVSQVISCLIEGKPRNAYLVSSAKVVDFIGLGCMNNITIPGLKSSIIEDSDSVVDVLDKGFDVRWSGVEEDICDGCIKSGGRCGYNASENAVLCMCPNQESYGDCGFCRLKSTTEILPDEPDCKRLTLRSPKGKQLSWKGKMKIILGVGSVAIVALLMCIIICCYRGKSSILHVKFWFKTKNDINIEEFLKDHRALLQKRYKFSEIKKMTNSFKIKLGQGGFGAVYKGKLFNGSLVAVKILNASKGIGEDFINEVTSITRTSHVNVVTLLGFCFEGCNKALVYEFMSNGSLDKFIYNKGPETIASLSWDNLYQIAKGIARSLEYLHRGCNIRILHFDIKPHNILLDENLCPKISDFGLAKLCLKKESTIFMSNARGTVGYVAPEVWNRQFGGVSHKSDVYSYGMMLLEMVGVRNNIDVKTNQTSEYFPDWIYNKLETDSDLRSDIVMAIEENEIAKGMTIVGLWCIQTLPNDRPTMSRVIEMLEGSMNILEIPPKPLVSSPTRSLLESSTS >RHN59705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12685186:12685632:1 gene:gene21805 transcript:rna21805 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta' MIDQYKHQHLRIGSVSPEQISAWAKKILPNGEIVGEVTKPYTLHYKTNKPEKDGLFCERIFGPIKSGICACGNYRVIGDKKDQPKFCEQCGVEFVDSRVRRYQMGYIKLACPVTHVWYLKRLPSYIASLLDKPLKELEGLVYCDV >RHN62046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40294642:40298309:1 gene:gene24575 transcript:rna24575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Band 7 domain-containing protein MESQQPPTRRAPQAAADPPSSSILVPFLSFIAIAVFVLVPSASPSFKNTMAIVHQVPEGHVGVYWRGGALLKTITEPGFHMKMPFLTQFEPVQVTLQTDEVTDIPCGTKGGVMIVFGKIEVVNRLHKESVYETLLNYGVQYDKTWIYDKIHHEINQFCSSHSLQQVYIDVFDQIDEKMKDALQVDCTRYAPGIEIIGVRVTKPNIPESIRHNFEQMEEERTKVLIAIEKQKVSEKEAETMKKMAISEAEKNANVSKILMEQKLSEKDSARRQEEIENAMYLAREKSLADADFYRVIKEAEANRLKLTPEFLELKFIESIANNTKIFFGDKIPNMILDQRLLGNFLVEEVPRGAATKTKADI >RHN64885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62678986:62681985:1 gene:gene27757 transcript:rna27757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-20 MNMSSSSPILLMFIFSIWMLISYSESTDYLVGDSENSWKFPLPTRHALTRWASNYQFIVGDTITFQYNNKTESVHEVEEEDYDRCGIRGEHVDHYDGNTMVVLKKTGIHHFISGKKRHCRLGLKLAVVVMVAPVLSSPPPPPSPPTPRSSTPIPHPPRRSLPSPPSPSPSPSPSPSPSPSPRSTPIPHPRKRSPASPSPSPSLSKSPSPSESPSLAPSPSDSVASLAPSSSPSDESPSPAPSPSSSGSKGGGAGHGFLEVSIAMMMFLIF >RHN52429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35224520:35225271:-1 gene:gene37109 transcript:rna37109 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDDYYSFLGETVKADIESVSVIVLFQNDSPATLLARVIGIIGPIGQSMLAKGRDTYKYFTKNHMLYERNQVRN >RHN76463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50560608:50562233:1 gene:gene12794 transcript:rna12794 gene_biotype:protein_coding transcript_biotype:protein_coding MGMETFNKQYIDGVKCVTYSRDKLREIQEWCQFMISLKVI >RHN46039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28013034:28016589:-1 gene:gene40479 transcript:rna40479 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEEGWPFGLRLLNSRVGVMRNGDFSGSVSFSTLLSGSPTPSTYSSSDLDTQSTGSFFHDKSITLGNLIRVSSFLQLSRRSSRGRVMDSSNDNKRNHKQKPWLFSLCCNLSTDAVNGNDAHSLGHYLEAERKAASTYRRIQFPTSL >RHN61605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36761095:36761564:1 gene:gene24087 transcript:rna24087 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAFWINWWPLSKLWVMEEALMRDWWPFSKILGVVEEALWRQWRERWKRWTWWFLEWRKNNWWFVYWNNGWLWNYYWRLIYWNRW >RHN65654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4133795:4137393:-1 gene:gene13522 transcript:rna13522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MATIVVEALLSATLDLLLKKIVAEDFVDFIRSTKLDVALLEKLNVTLLSLQAVLHDAEEKQITNPAVKKWLDLLRDAVFEADDLFDEINTEALQRKVEGEDENQTASTKVLKKLSYRFKMFNRKINSKLQKLVGRLEHLSNQNLGLKGVSSNVWHGTPTSSVVGDESAIYGRDDDKKKLKEFLLAEDVSDCGRKIGVISIVGMGGLGKTTLAKLLYNDHEVKEKFDLRGWAHISKDFDVVTVTKTILQSVTSKRNDTDDLNILQVQLQQSLRSKKFLLVLDDIWYGKYVDCWNNLIDIFSVGEMGSRIIITTRFESVAATMQTFLPVHKLEPPQGDDCWSSLSKYAFPTSNYQQRSNLKTIGREISKKCDGLPLAAIAIGGLLRTKLSQDYWNDVLKSNIWELTNDEVQPSLLLSYHHLPAPLKGCFAYCSIFSKNSILEKKTVIQLWIAEGLVPQPQTEKSWEKVAEEYFDELVSRCLIRQRSIDDLEVNFEMHDLVNDLAMTVSSPYCIRLDEQKPHERVRHLSYNIGEYDSYDKFDHLQGLKSLRTILPLPLHPRFSSYNFVSRKLVYELLPQMKQLHVLSLSNYHNITALPNSIGNLIYLRYLNVSHTSIERLPSETCKLYNLQTLLLSYCYSLTELPKDMGKLVNLRHLDTRGTRLKEIPVQVSKLENLQTLSDFVVSSEDVGLKIADIGKYSHLQGSLCISKLQNLTDPSHAFQAKLMMKKQIDELQLEWSYSTSSQLQSVVLEQLHPSTNLKNLTISGYGGNNFPSWLGGSLFGNMVCLKISDCDNCPRLPPLGQLGNLRKLFIDKMNSVKSIGIELYGSGSPLFQPFPLLETLEFDMMLEWKECNLTGGTSTMFPRLTRLSLRYCPKLKGNIPLGQLSNLKELYIEGMHSVKTLGSEFYGSSNSPLFQPFLSLETLTFRYMKEWEEWKLIGGTSAEFPSLARLSLFYCPKLKGNIPGNHPSLTSLSLEHCFKLKEMTPKNLPSLRELELIECPLLMESMHSDDKSNITITIPSSDVFSKLMLGPNSLRKITLKDIPSLTSFPRDSLPKTLQSLIIWNCRNLEFIPYEFSHSYKSLENLEISDSCNSMTSFTLGFLPFLQTLHICNCKNLKSILIAEDTSQHNLLFLRTVEIRKCDELE >RHN69084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40901998:40903789:-1 gene:gene17513 transcript:rna17513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rho protein GDP-dissociation inhibitor MGSDDENKETGQTSEAGGEKDEHHEPLTRHTSESSVYATEEEEDEYGAKIQLGPMCTIKEHLEKDKDDESLRKWKEQLLGSVDVNNIGEILEPEVNFTSLSIISPGRDDIVLPIPEDGKPQGLWFTLKEGSPYRLKFNFVVSNNIVSGLKYTNTVWKTAVKVDSSKEMLGTFSPQPEPYTHEMPEEVTPSGIFARGQYSARTKFLDDDNKCYLEINYTFDIRKDWA >RHN53360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1194401:1200035:1 gene:gene28261 transcript:rna28261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MSGEENKIVKPKTDSELFLNNANQCDWKNLKNDSCAGANAASRADMTLATTDPLSELVWSSDKGLSLKCADSSFADKNSSLFRDVGPSCYNQYQVDQRPTDDLLLQLDEPKPIMEQNSPSRRPSNEGVNYGTSTAVEPITEYKGSGAAGTNLTSSSRNPIEKLESSAENDLRNVDAEPACAATWVGIVSETKNKYEDNEMTLPCDKVLPVLHSPCNSEIRIAKNKGKEKSLSDVDANVRLSMDNDSNSSVESCNSARFFSTGKKRNNFQQQLVIGSKRVKIDNEETSGSKSYIKQESSFRNWISSMVKGLSQSTQDDSSTLAVRLANPNLHNAWFDEKRITCKTNQNPEPKNTGFKSIFQSMYCPSLKNIGTRMFHQEGEGDEDLEPSNNMVHGINATPITCFPESNFLEKRHFQSNKFEAASGRYDAGPSQPNVEPLNFYNSQEIIKINPAENENCSNLCLIKDKEEMVSNSSSIRENTNNTDNVDSNAPSEIKEGENICHRKDNLGSLWITRFAPKSSGFEEDERSNSQKLKYMFNDLSSSSRGFTTSEKMASTFARRFVGPKHIMPTDKPECTSQVNLFCLFCGKRGHQLSDCSAVAESELEDLQENVNSYEGPENFPLMCIKCFQLNHWAVSCSSSISKRKHESKVKTFLHEGSARPAQIDEADRILSGGAIHDGIHPKTDQNINLKRKSNDVINAKIECNASCKKYCGSSSKENKYKEKPSITSPSRLAEKKISHVPEGIFDAVKKLQLSRTDILKWVTAHGSFSQLEGFFLRLRLGKWEEGLGGTGYHVACITISESEKHSLEKHTRKSLSVKVGGIKCMVESHYISNQDFLEEEIMEWWSANSEAGVEIPSEEDLIAKLKKKQMLGL >RHN74036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:24085970:24091378:1 gene:gene9972 transcript:rna9972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MTPYEYARSKRIEVINEKIHALNLPILAQSLVKSSSATKKPSSGRSRFVQPGALEVANKKRLRSHDLPQAPPPPTHVTPPPAPVQETYPLTSKVTTLPEIVEVTELPSSQATSHNHSIPFPDSEDDVLDDAPNITKKKKSKYWDLEVINGAGHVSNMRLVVYDVLLESPNGTRIITRWNGRQPVGEAAGFLASFLGTLARMYRDFPIMFESWDKIPEKTKTKVYDEKIKTKFVVDDGDNKSYILTSIGRKWKDERCRLFKEHYKWDLPLEVNLANYPCHIDPTDWALFVAYRRKPDTQKKARKNVANRKKLTTPHTLGKMSLARKKDELEVKDGREYSRAEMFSVSHKDSKGLYVNEEAKKKAEQLQIEMQNTPENEAFVKVFGKEHPGYVRSMGLGIPPSQISTSHRARATSSFEANEKMLKMQAEIDSLKDKASQVDILKEQVAFLMQMQNSREKQPTNTESPRDGVRSSESSYRLENHEQHH >RHN59672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12615273:12615887:-1 gene:gene21749 transcript:rna21749 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 2 A MLLGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWQAGLYFLVSIGLITSVVSIYYYLKIIKLLMTGRNQEITPHVRNYRRSPVRSNNSIELSMIICVIASTVPGISMNPIIEIAQDTLF >RHN73913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21557712:21564114:1 gene:gene9808 transcript:rna9808 gene_biotype:protein_coding transcript_biotype:protein_coding MCMTKPYMFLSCIVPGPSNPTDSIDVFLESLVDDLRRLWVGEVTYDIAKKENFTLRAALMWTINDFPAYGMLSGWSTHGRLACPHCMKDTKAFYLINGRKNSWFDCHRCFTPDDHEFRRKRNQFRKDTIEKDGPPPKITSNEIFRRFSALWRFPDVGQRVRYEGYGVEHNWTKRSIFLDLPSGKTIY >RHN68389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35083396:35091513:1 gene:gene16733 transcript:rna16733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cadmium-transporting ATPase MASLWRAGTKLSLLATAIGGGSAAALIATSDDPATALKLSTTIPRRLFDDAVTAANIVFDYEYSLHGIPEGSIEREKVKHEVHLRSAERLRDLCFKNGGIYIKLGQHLGQLEYLVPQEYVQTMRESMLNRCPVSSYEQICDVFKKEFGATPDKVFAEFDPVPIASASLAQVHVAHTHDGQKVAVKVQHSHMTETAAADQATVELIVNTLHNFFPSFDYRWLIDEIKESLPQELDFLTEAKNSERCLENFRNLSPHIAKYVYAPKVYWGLSSSKLLTMEYVDGAYVNDLKTIKKLGIRPHELSILVSQTFAEMMFKHGFVHCDPHAANMLVRPLPSSKASILGWRKPQLILLDHGLYKELDFNTRNNYAALWKALIFSDANAIKEYSKKLGAGEDLYAIFAGALTMRPWNKVVDPSMDHLVIQGSESDRSELQMYASEYFHEISELLRRLPRVILLMMKTNDCLRAVNNTLTQGSLLETSLKTSCIIGKVSSEAVIDARRSQSKSVLTWFSIKWDKILLEIQLWKMQMFLWLLQVRKALPWSHRAS >RHN61472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35708906:35709484:-1 gene:gene23943 transcript:rna23943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MRKKGTPSCSFYNPCSSFHSFHDNEKSQGPRMYECELCGKRFNSGNALGGHKTSHRRSHLQRHDKYDDEKQKHRCPVCNKVFSSNKAFCGHMILHHEKGSKSIHSPTTFLEQFQFQVGIAPPAIDLTKYSPPKSHKIKKNLSGGEISLIMNILMEHKHSSICLALRLVVVVIMVRYIKSSKFQAMWIMTRSR >RHN70863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54571379:54572887:-1 gene:gene19492 transcript:rna19492 gene_biotype:protein_coding transcript_biotype:protein_coding MVEESDIRNFTFSFSSRFLRVPSNLSEDPNLEIFIQRQPSQRKNKKEEQEHEHELVLLDHEEGGSSNVTKWKQEQTLHMINHKILISDVVTRSRWSDLNSSDLLSLNSEMLHDMSSTRFSPEFHGISSVHSDEEENSFTALNPGEKRSMSEIANVPRFVEISRSGENGSDERLWRIWMPIARRTVQWFARQETNSVQLQQQHKHLVSNV >RHN43726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48018445:48021111:-1 gene:gene50346 transcript:rna50346 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSYEEVRMEPVVCPKPRRLGLSNHHQSSINNHIRPLRCPISYQSEIEDSGVGAELLEIIHPKASCYPERSAAANLLASSPPYFIGSPPSRASNPVIQDEQFGNGNFSSPFTMVASPSPSARGCGVPMKFGNTPAAVRIEGFDCLRRDRRSNCSISAVA >RHN39405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6852470:6856451:-1 gene:gene45426 transcript:rna45426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ataxin-2 MIIFPPKFSITFCLTFIYLTTCSHLSQKLVGIYAALILMITLSSYHLNSLAKVTHFQMEKAVCDLHGADGSTKEENQMQAEDVQLLKFEDLQPAVNLKKDGSDKGGLSPANAPSYVSSTHISSKIDEKTGSHGELTGGSESGKASWETKSVNSRGRLETRISSGSDRVAGVATYSGPSLSPSSSLGSLSSEKSTLNPNAKEFKLNPNAKSFVPSQTSVRPRSPVSDSSFYFPANVSAVPSMPTMPMGVGVGTSFAGPQPIMYNPQAAQMPSQPYFHQNGPQYGQLHGHPRQQVVFMPSYLPETPYKGRNY >RHN82332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52868577:52870292:1 gene:gene6491 transcript:rna6491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MENSPPVDRISHLPDDILCRILSFLPTKLAFTTTVLSKRWTPLYKLLTSLSFDDESVLDEDTFLRFCRFVDTVTFSTDLIKTLHLNCGSPNWKHFNLDLWIGTAKRHPVENFNLVGTWRSIPLRPSIFRFPSLVVLKLKTLKIIVGNITVDLPLLKILHLDRVYLKNKTNFNKILYGCPVLEDLIANIYYKEPTPEPDEVFTLSKATATGEFKILPKLIRVQINADEVPFRAIHNVEFLALTMRSRLPDPEINSYNILSPIFRNLILLQLCMYNFHHWDHVMEVLQHCPNIQVLRINKLSPDNINWKYPNFVPECISSHLRSCTINYEGREDELRFTKYILLNARLLGVMKINISHSSNPKPNRRILKEELSSFPRISRKCKLSVS >RHN77611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8124263:8125167:-1 gene:gene1081 transcript:rna1081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MDGSPHNGGNRPFRFEAAWLTHISFHTFLNNKWIRGRDFLNLLHDLTPNLKEWNQEVFGNIFKRKRELLSRLNGIQNSPRYGYSNFLDSLEKDLQNQLATTLYQEECLWFQKSRSQWIADGDRNTKYYHSQTIVRRRKNKILTLRDNEGGWVDDPDHLKNIVRDYYVNLFKEENPIRDPIISWNTYPTLEEHHDSLSAHVQINECKRALFDMNPHKAPGEDGYPAIFFQKCWDTVADSIYQFVNQVWVTPSLISSINNTLIVMIPKIDKPEFNQAIA >RHN53729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3602816:3608161:1 gene:gene28668 transcript:rna28668 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSPRFSYLVTRLSQGNISNVNRCYSQILSQPSYSQTKSESVPSEQKASREIPKHYIGENVSRKDRTKFLLTTLRDMDDTDSKEAIYGALDAWVAWEQNFPIGSLRNILLCLEKEQQWHRIVQVIKWMLSKGQGTTMGTYGQLIRALDMDHRVGEAHKFWEMKIGTDLHSVPWQLCHLMISVYYRNNMLEDLVRLFKGLEAFDRKPRDKLIIQKVANAYEMLGLIEEKERVMEKYSHLFTIKEERPTKKGGRKSSAKKKKGGPNESRKDSADK >RHN80649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39772016:39775632:1 gene:gene4597 transcript:rna4597 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQVTEMQVEDQKPNGVAIALNGSPNDNGHVTSKIAAVKLEAEDHEVKECTEVNVFVEKCHETKDALVAKTTSGKNDLHEDESEKHEVQKKSGGDKELSSTQPSDLVTEKNGSYTHVDTTEAVLAGLNLSPNANNMHSPYSSKNSLPKTPFSSTKPLHHYDKKNYDDEDNWSVASSAMSMRTARSKVTQGSAPTFRSSERAAKRREFYLKLEEKNRALLEEKSQYEARLKEEQEAAIKQMRKNLVIKAKPVPNFYYEAPPPKAELKKLPLTRPKSPKLNLNRRRTFGDAVNSQSREVGNRARHSTGCSHIKGGSNTNDPLTQNIKDQAIRRNSNGAYKTKERPKVDTETKTGPPNIIQHPNADISVQS >RHN43174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43743453:43743752:1 gene:gene49710 transcript:rna49710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MIWARLFIVDVHCKSKDDDLGDRYLYNGAITEWSFHANIRGTTLYSCVLKWDSVTKNVVIYDAKKDEDLCITKCWRVLKSDGAYFYNQNKNSWDKRYEW >RHN60912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31559375:31559611:1 gene:gene23307 transcript:rna23307 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHSSYFSSSSCLLLQNHLPLVASFLPWASSPPEPSRRRWTSSPQRVSSPLASSLPLVPLKGLRKKVRV >RHN64165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57058991:57060344:-1 gene:gene26952 transcript:rna26952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MRHIRCQNVTIITTPSNAQILGKSIPLNQHLRIHTVPFPSQEVGLPDGVESLSAATDFNNMVKIFQATTLLRPPIQHFVEQHPPDCIVTDFLFPWVDELANKLQIPRLSFNGFSLFAICAMESVKAHSLYGYASFVIPGLPHSIVMNATPPKQMSEILGERLEMVFKSQGILVNNFVELDVVPEKKGKENESQEEKEKWLPKGFEERVIAKKKGLIIKGWAPQVMILSHTVVGAFMTHCGWNSTVEAVSAGVPMITWPMHGEQFYNEKLITQVRGIGGEVGAVEWCLVGIGEKEKLVGRDGIEKVVKRLMDNSYVAEIIRRHAREFGDKAKCAAQEGGSSHRNLTVLINDLKSLRDNKLLV >RHN70790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54027977:54032574:1 gene:gene19407 transcript:rna19407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MATTESDLDEFLNLDYEFRYPSLADTEAFMTGVCSAAFQTLLLPDVVSSFSTCGGLTNSQNFTPKHSTITASQSSIYGTVGSPVSANKPNSRENHIKGTASGSSDPSDEDNESGPCEQITNPVDMKRQRRKDSNCESARRSRWRKQAHLSELEAQVEKLKLENATLYKQFTDTSQQFHEADTNNRVLKSDVEALRAKVKLAEDMVTRSSFTTSLNNQFLHNQCQMSTPPQLNIRRMPHVSPTINFQQGNSASYGGVAVGVHNSNLAGFGNLDMTYNDVVDNGVLSNAMSCVTIWP >RHN75156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39839374:39841200:1 gene:gene11318 transcript:rna11318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MIMGIQDKDPLAQLSLPPGFRFYPTDEELLVQYLCRKVAGHHFSLQIIAEIDLYKFDPWILPSKAIFGEKEWYFFSPRDRKYPNGTRPNRVAGSGYWKATGTDKIITNEGRKVGIKKALVFYVGKAPKGTKTNWIMHEYRLLDSSRNNGGTKLDDWVLCRIYKKNSSAQKPIPNGVISSREYTQYSNGSSSSSSSHLDDVLESLPQIDDRCFKLPHVNSLRTTQHRQQEEEEKLNLQNNNFMDWSNSSSILNTVTGFQEGQTQGMMNFSGCNDLYVPTLCQMDSSVITEKTSNISVHPTEEEVQSGAKANQVENSSGFFQRGSNDFTQGMGYANPVDPFGFRYPVQPVGFGFQQ >RHN46042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28033253:28034184:-1 gene:gene40482 transcript:rna40482 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHIAQYFFLALLFIALVLASGQKCFPSDCKDPSTCNESCIAIGKGNKQGYCFFGICCCDYD >RHN38487.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:45763:46236:1 gene:gene50592 transcript:rna50592 gene_biotype:protein_coding transcript_biotype:protein_coding MSRADWRTGTNRSTSDRDTSPAPIRFPPDNFKHSLTLFSKSFSSFPRGTCSLSGSRQYLALDGIYRPIGAAFSNNPTRRQRLVVRQGPSTTGLSPSPAPLSRGLGPGPPLRTLLQTTIRTPRATDSHGGLIPVRSPLLRESLLVSFPPLIDMLKFSG >RHN74520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34291036:34291422:1 gene:gene10607 transcript:rna10607 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRIRGLFIFFRDYSVLKQFVPLQIEFTLLAVQSGSIDGVESSQWKRKRGRLSRDMTPVPETPLAICEAMETDIEKKVEADTEMVTAEEKEFVLDDAGGSICGGVDKEDTRDEYIGGIERVFGWSLG >RHN71749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2411445:2416304:1 gene:gene7383 transcript:rna7383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prolyl aminopeptidase MKLGFGLGTLPFSTTNTTYSVSTSQQLLQFSHQTTSSSSSVRKNLVFGVQNIHHHQNQIHSVPPTTSLMASQKEEISPVNTNLYPDIEPYTTGFLKVSDLHTIYWEQSGNPTGHPVVFLHGGPGGGTSPSNRRFFDPEFYRIILFDQRGAGKSTPHACLEHNTTWDLIDDIEKLREHLQIPEWQVFGGSWGSTLALAYSQSHPDKVTGIVLRGIFLLRKKEIDWFYEGGAAAIYPDAWEPFRDLIPEKERGCFIDAYKKRLNSDDIKTQYAAARAWTKWEMMTAHLLPNEDMVKRGDDDYFSLAFARIENHYFVNKGFFSSDSFLLDGVDKIRHINTTIVQGRYDVCCPMMSAWDLHKAWPEADFRVVSDAGHSANEPGIAAELVAANEKLKNKIKNKGD >RHN57357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38348359:38359085:1 gene:gene32886 transcript:rna32886 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTQLTRHIDSYIHSSSTSTHQVSSLDAIGLLIKTNALTLEALVRELDVYLTSTDTVIRSRGILLLAEVLTRINSISLDSKTIHSLVGFFKERLADWKAVRGALVGCLELIRRKSDVGMVTGSDAKAIAQYFIQHLHFQSLGLYDRKLCFELLDCLLEHHADSVASLEEDLIFGFCAAIDAERDPECLMPAFHIVESLARLYPDPSGLFASFARDVFDLLEPYFPIQFTHTTSGDAHVHRDDLSRTLMNAFSSTPLFEPFVIPLLLQKLSSSLHSAKIDSLQYLRVCSSKYGAERIAKYVGAIWSSLKDTINTYLMEPNFSFTLAPTDGIDFPKNEVVIEALSLLQQLIVQNSSQLVSLIIDDKDVNFIINSIASYEMYDAVSVQEKKKLHVIGRILYIFAKTSIPSCNAVFQSLLLRMMDSLGFSVSNIDGLKNAGILASQSVNFGFLYLCIELLAGCRELVILSEEKPGTCFTILHSSSDFLFNSFCSVLAVSADRFPPDPDIYIGVKGLQILAMFNLDVFPIPKSTFENILKKFMSIIIEDFNKTILWNSTLKSLFHIGSLFQNFSESEKAMSYRSFVLDKTMELLSLDDISLPFSLKLEVLSDIGMTSMKNMLKILQGLEGAIFANLSEVHRNLTSYDTAVQLLECYSCKLLPWILENGGAEEFILQFSVDIWNQAGNCMDFNSPFEEKGLLDATMKAMKFSVGCCSEESQNVIILKSYSILSSRTNFQLNDVQRLPLTFEKYDISLRDEGILLLFASVIIALRPKTHVPNIRGILHLFIITLLKGVVPVAQALGSMVNKLISKSNGAEKSDELTLEEALHIIFNTKICFSSDNMLQICDGSINRNEIVLTDVCLGMTNDRLLQTNAVCGLSWIGKGLLLRGHEKIKDITKILTECLISDRNSSLPLIEGLDENNEEHKGDHLARKCAADAFHVLMSDAEDCLNRKFHATMRPLYKQRFFSSMMPIFLQLISRSDSSSSRYLLLRAFARVMSVTPLIVILNDAKELISVLLDCLSMLTEDIQDKDILYGLLLVLSGMLTEKNGQEAVIENAHIIINCLIKLVDYSHKTLVRESCIQCLVALSKLPHVRIYPLRTQVLEAISKCLDDTKRSVRNEAVKCRQAWASIASSRSLRF >RHN80135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35442613:35442894:1 gene:gene4022 transcript:rna4022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative equilibrative nucleoside transporter MLVLIAMYNAWDLVGRYVPLIKSLKMESRKLITGSVCARFVLIPAFYFAAKYGTQGWMIMLTSFFEFLCHESPPTDLLNLLRSDAAGAFYLRV >RHN38716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1445420:1448233:-1 gene:gene44677 transcript:rna44677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MSLPTTIVSNITRPYVKFSPSVWGNRFIQYADYELTDITENMKNQIQIQKEEVRKIFQSSSNSISQKLNIIDSLQRLGISYHFEREIDEILEKIQDTFTTNIVTIEEGGLHFCALAFRLLRQKGHHISSDIFKNFKNNMGSFDEKVVKDVQGMWSLYEAAHLKIHGEDILDEALVFTHAHLNSKINTNQLSPFLDAQIRQCLRKPLHKGIPRLETRSYISSYEEDPSHSKVLLNFAKLDFNMLQKMHQKELANITKWWKESDFPTKVPYARDRVVEAYFWSLAMSFEQKSITSRKIGGKLIACISLLDDTYDNYGTVEELELFTQAIERWDFSLIQSLPDCMKVVFNTIVGLWAEIEVSLLENNEKSNFVLEYIKKAFYRLAQAYLVESKWGKDNFIPTYDDYKLNGIVSSTIPLSTISFIGLGEFSSKELLEWIFRDPKIINAVSAIGRLADDVSSHKFEQQREHVASAVECCMKQYNMSPEDAYKLINKDIEIGWMDINKECLELDFIPKSVLECMVNVARVTEFTYEDYKDKYTNGELLKDHIIALLIDPIRIEQHE >RHN71902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3473947:3476239:-1 gene:gene7551 transcript:rna7551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CBS domain-containing protein MAVRLSLSTHEVSDLSLGKPPLRSISITDTIADALNAIKKHAESYISVWNCHHSINRKPPQTLIKEDFEFHCKCIGKVCMVDIICFLCRPENLSSPAAALRSPVPILLADDRSSLVRHIQPNASLLETIDVMDEGVQNVVMPISDENKCKKKENEILHNDKRAYCWLSQEDVMRYLLNSIGTFSDTPAQSIDKLDIIDTQNLYFLYFDDPASSALELLTASIVHQSSVAVVDPQGKLIGEISPFMLNSCDEIDVPAIATLSAGDLLAYIDCGGPPEDLVQLVKERLHEQNLDNAAVELLGEGSELSSWSSFSSTSSEEDICSLGKNWKLGGFSSRIMRRSEAIVCYPWSSLVAVMIQALSHRVSYVWVVQEDGTLYGIVTFQSMLRIFREHLKSMS >RHN74531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34425891:34427567:1 gene:gene10618 transcript:rna10618 gene_biotype:protein_coding transcript_biotype:protein_coding MMYIIYMGLHNLLDYDYPCNKMHRQQSTIDNIKMKEEHKMEDKEYK >RHN79090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22403556:22403989:-1 gene:gene2791 transcript:rna2791 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRARDSRVVLSRTVPPLTKGNRRWSMLGGLRRRMLQRLCVSIVVRKATRATSALRKSRSVSGVVRRVML >RHN77085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3939085:3939761:-1 gene:gene503 transcript:rna503 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEASRRGHKFSSYERVAAISLVVLAVASPLYIDHRSESELEDDEQPISVTLWLPMLLFVLVLVISLSAFLDKSFTRFDRNWIHRVGGSSGGIVFILILLFLVLKCKASL >RHN49000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51672776:51673769:1 gene:gene43779 transcript:rna43779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MMCEEGKSFYIWLLQVICLLGLLVLCLWLALRPNSPSFSIVVISIDRPSDQNGTIFYSLEIGNPNKDSSIYYDDIILSFLYGQQEDKVGDATVGSFHQGTSKSRIVSDIVNAKPGPFKPLFKAISNATAELKASLTTRYRYKTWGVRSKFHRLLLKGILPIDSDGKLSRKKKKYPLTRNSKKLGRSKVKKH >RHN52817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39173128:39174310:1 gene:gene37533 transcript:rna37533 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTDKRKRVDDEFDETQTHCVDSVHAKIRKINSFSDSDDVNLTETKTETQLTRVDSSESSQVFDSDEIFNILDDENNAHERDSEPDSVMGLDSVIKSFEEEIFAPGTEPGLTEPEPVQVDLVQMTGSGEMEMNLGYLFEASDDELGLPPTVTEPGLNEPGSDEPDKVDLTGFVGFDDDFTGFDGFGYGTGLLSESDGGAEDFVTGDGLFEYGEPAAADVLWRSESLQAM >RHN70710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53370869:53372672:-1 gene:gene19321 transcript:rna19321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperone DnaJ MGVDYYNILKVDKNATEDDLKKAYRKLAMKWHPDKNPNNKKEAEARFKQISEAYAVLSDPQRRNVYDQLGEQGLKERPSPETESPSGFYPRSAEDIFAEFFGSSPLNFGSSGPGRSKRFPSDGGAGNGGFSGDLNSRTHSERANMPKKPPPVETKLPCSLEELYSGSTRKMKISRTVVDAYGREIKETEILSIEVKPGWKKGTKITFPDKGNQLINQLPADLVFVIDEKPHELFKRDGNDLIVNQKISLAEAIGGTSVNIKTLYKRSLSVPVKNIVSPGYELVVANEGMPITKEPGHRGDLRIIFEVKFPTKLTPEQRAALKRALGG >RHN47082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36970740:36976206:1 gene:gene41637 transcript:rna41637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MVSLSIPFFPLTPQKTTSPSTKFQPKNNYLNPFHHNKHHRKVICACIAPPQNFKAQDSSSIQFNGSSKSEQLNKARDHEDDSDVLIECRDVYKSFGEKKILNGVSFKIRHGEAVGIIGPSGTGKSTILKIIAGLLAPDKGEVYIRGRKRDGLISDDEISGLRIGLVFQSAALFDSLTVRENVGFLLYEHSSMPEEEISELVKETLAAVGLKGVENRLPSELSGGMKKRVALARSIIFDTTKDSIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHIKGRDALGKPGNISSYVVVTHQHSTIKRAIDRLLFLHKGKLVWEGMTHEFTTSTNPIVQQFASGSLDGPIKY >RHN81690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48135659:48136208:1 gene:gene5768 transcript:rna5768 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRCIPFPASMSTPSYNHALASTSSTFFSFFLSLGVRISCTITIYYYY >RHN81523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46705028:46709839:-1 gene:gene5582 transcript:rna5582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MANEHEDDLKDEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKDMAKKVNDLCGIKESDTGLATPSQWDLVSDKQMMQEEQPLQAIFSFSSCRICSKCTKIINPNSEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRTKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >RHN53525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2278567:2280279:-1 gene:gene28440 transcript:rna28440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MEEKRRSHSQNKANISPNTSQTSEAGGEVSSTEWEFIEMSEQEEDLIRRMYDLVGDRWNLIAGRIPGRKAEEIERFWIMRHTDAFSAKRKK >RHN82781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56348095:56391106:1 gene:gene6968 transcript:rna6968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MSRPEELWERLVRAALRRERTGDDAYGRPAAGIAGNVPSALAKNRDIDEILRVADEIQDEDPTVSRILCEHAYSLSQNLDPNSEGRGVLQFKTGLMSVIKQKLAKKEVGTIDRSQDIARLQEFYKSYRKKNNVDRLREEEMQLRESGAFSRNLGELERKTVKRKRVFATLKVLGTVLEQLSEEIPDELKRVMESDSASTEDLIAYNIIPIDATTSTNAIVFFPEVQAAVSALKYFSGLPELPRAYFISPTRNANMLDFLQYTFGFQKDNVANQHEHIVHLLANEQSRLGVPDKTEPKLDEAALQKVFLKSLDNYINWCNYLCIQPIWSSLEAVGKEKKLLYVSLYLLIWGEASNVRFLPECLCYIFHHMAREMDEILRQQIAQTANSCTSENGVSFLDHVILPLYDVISAEAASNDNGKASHSSWRNYDDFNEYFWSLHCFELSWPWRKSSSFFQKPQPRSKKMLSGRSQRQGKTSFVEHRTFFHLYHSFHRLWIFLFMMFQGLAIIAFNDGKFNSKTLREVLSLGPTFVVMKFFESVLDIFMMYGAYTTTRRSALSRIFLRFLWFSLASVFVTFLYVKALQDPNSVIFRLYVIIVGIYAGVQFFISFLMRIPACHLLTNQCDRWPLIRFVKWLRQERHYVGRGMYERSLDFIKYMLFWLVILSAKFSFAYFLQIKPLVKPTRDIIKENNIVYSWHDFVSKNNHNALTIVSVWAPVFFIYLLDIYVFYTLVSAVWGFLLGARARLGEIRSLEALQKLFEQFPGAFMDNLHVALPNRSAQLSSVQVVEKNKVDAARFSPFWNEIIRNLREEDYITNFELELLLMPRNSRDIPLVQWPLFLLASKIFLARDLAVESNKDTQDELWDRISRDDYMLYAVQECYYAVKHILTEVLDDAGRMWVERIYDDINASATKRSIHVDFRLNKLAVVISRITALMGILKETETPELERGAVRAVQDLYDVVRYDVLSIDMRDNYGTWSLLTKARDEGHLFQKLKWPNAELRMQVKRLYSLLTIKDSASSVPRNLEARRRLEFFANSLFMKMPHAKPVRQMLSFSVFTPYYSEIVLYSMDELLKKNEDGISILFYLQKIFPDEWKNFLARIGRDENASDTDLFDSASDILELRFWASYRGQTLARTVRGMMYYRKALMLQTYLERTTAGDLEAGVGFDEVSDTRGFDLSPEARAQADLKFTYVVTCQIYGKQKEEQKPEAVDIALLMQRNEALRVAFIDVVETLRDGKVNTEYYSKLVKADINGKDKEIYSVKLPGNPKLGEGKPENQNHAIIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFHSDHGLRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRVFHITRGGISKASRVINISEDIYSGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVSSGNGEQVLSRDIYRLGQLFDFFRMMSFYFTTVGYYFCTMLTVLTVYAFLYGKTYLALSGVGEIIEERAKITKNTALSAALNTQFLFQIGIFTAVPMVLGFVLEQGFLRAVVNFITMQFQLCTVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVRHIKFSENYRLYSRSHFVKGLEVVLLLIVYLAYGYNDGGALSYILLSISSWFMALSWLFAPYLFNPSGFEWQKVVVDFRDWTNWLLYRGGIGVKGEESWEAWWEEELAHIRSLGSRIAETILSLRFFIFQYGIVYKLNIKGTDTSLTVYGLSWVVLAVLIILFKVFTFSQKISVNFQLVLRFVQGLSLLLALAGLVVAIILTDLSVPDVFASILAFIPTGWGILSIAAAWKPVMKRLGLWKFIRSLARLYDAGMGMLIFVPIAFFSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNTGI >RHN76866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1929444:1931785:-1 gene:gene250 transcript:rna250 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTPEEVTALQAGGNERAKQIYFKGWDPLRHSYPDSRKWRSDIVVTLQSLRRDHNCDHEPFFKAMVRLAYYLTQIYISSNMHRLRDFIKHVYVDRKYTGEKSQENLPRIKLNDKEESYESKRSSSFRLEFITTKSSPGARSSPGPRSGPGPRSDNSSFRYVYDESRSPKYVRKYSRYGGVTRSPIKIEVVDNRFRDDEYRNRRLSNLESKLKQLSVDGQKNVERIQAPVESSSGEKITENDSSSQVTTSGGEGSVEEKPSEQKSNKSRSFNDSSIKSQASDTAIVPVQETPSMTQESENNWASFEVSNTAIVPVEETPSMTMRSSTTEPKPEATISNPLDLLLLELSGPFAPTTSGISEVPSGGIVPTTTTLEMDSTWDFPSTSMEAKPSNGAPPPQSELHETEDSIEVSHAHEPPNMQYPPSVSVGCSSIAQPTNSPNNATLNKEVRHTI >RHN53756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3867967:3869358:-1 gene:gene28699 transcript:rna28699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative envelysin MFQFFSYINFLFFFMTLFLRPCFPARIIPESVTVITTTQKHNATWHDFSRFLHAEPGSHVSGMAELKKYFNRFGYLSPPLTTANFTDTFDSSFQSAVVLYQKNLGLPITGKLDSNTISTIVSPRCGVSDTAATHRIHTTQHFAYFNGKPRWLRGSPMTLTYAFSPYNMIDTLSLSEIQTVFERAFARWAKVIPVSFRLTEKYQSADIKIGFYNGDHGDGEPFDGVLGVLAHAFSPQNGRFHLDAAENWAVDFNHDDSRVAVDLESVATHEIGHVLGLGHSSIKEAVMYPNLSPRRKKVDLKIDDVEGVQSLYGSNPNFTFSSLLQSENSFNLAVRLETGFYKWIFYLGVSLLVLFLGG >RHN55095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15139817:15141632:-1 gene:gene30223 transcript:rna30223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MAVALRGKLEVDIELKSNVDKYWQTIRDSTTIFPKAFPHDYKSIEIIEGDGKAPGSIRHFTYAEGSQLAKSSTEKIDAADDEKRTATYCIIEGDLLQYYKSFKGHLALIPVGEGCEMKWCAEYVKVSNDIPDPSIVKNFAVKNFIEVDEYVQSLAA >RHN62066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40429678:40444264:1 gene:gene24596 transcript:rna24596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, aspartate decarboxylase-like domain, peroxisome biogenesis factor 1 MEFTVEAVGNIDNCFVSLPLPLIQTLQSIRDSSLLPPILALELRSPSHSPLSWFVAWSGATSSSSSTIQISQQFAECISLPIHSPIQVKVASNVPHASSVSIEPDTEDDWEILELNSQQAEDQILNQIRIVHEGLRFPLRLNHHTVITFHVVSVFPKNAVVQLMPGTEVEVAPKTRKKNSDLAGNSHVGSNSKDHTAKMLLRLQEPNELCRTSTHVKGVELHAGLTSVAFVHPETAKRFSFNMLQLVSIVPRVSKENVSKSRRKSNIMKAKGGSAVSEVENGNTDKKEHRQAVVHLLISESVAKGHVMLAKSLRLYLRASLHSWVYLKACDIILEKSIPSISLCPCRFKLLRQESTVEKDGLDDYHSHRNHIDENIHRKATSDLFLDTINWSIHSEVVAALSDESSYREEEVVANQSQNHKGLQSLVRLWYIAHLEAITSITGIEVNSLVISSKTLLHFESSCYKIGCDEKLRLTSSENSGNAVEMLFLLTFGEGDLNYGKLNAYEVSLGGRLSNINVDDLKIFEKIKLGDPVSIHSMEERASEDHINSNVSFLGWMEKTASDVIDRMLVLLSSACGLWFGSCNLPLPGHVLIYGPSGSGKTILAMNVAKSLENRADILAHTIFVSCSKLALEKVPIIRQELANHITEALNHAPSVVIFDDLDGIISTSDSEGSQPSMSVAGLTDFLVNIMDEYGEKRRKSCGFGPIAFIATIQSLENIPQSLSSSGRFDFHIKLPAPAASERKAMLKHIIQRRHLQCNDDILLDVAAKCDGYDGYDLEILVDRTVHAAVRRFLPSNVIYEHEGPALLQEDFSQAMHDFLPVAMRDITKSVSDDGRSGWDDVGGLVDIRNAIKEMIELPSKFPKTFAQAPLRLRSNILLYGPPGCGKTHIVGAAAAASSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEILAGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSWQERLEILTVLSRKLPMANDIDLATVANMTEGFSGADLQALLSDAQLAAVHDILDNIDTSRSERTPVITDALLKLTASKTRPSVSEEEKRRLYNVYRQFLDSKRSVAAQSRDIKGKKATLA >RHN76962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2752328:2756839:1 gene:gene359 transcript:rna359 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCFSSSQQRSSIKASMVQPNTNHRPSSFSYKFLSCKNHLNKHYNNEDSYKSESYSDAVFHKKIRKDLTSDCFGHFQMQKPSYEEPNNAKPMSSSSRKESAHRIKRPVVCGKYGKYLVSYQLKKYQNENFFYLYARSLELLKYIWSLQLKHLD >RHN77285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5716872:5720060:1 gene:gene725 transcript:rna725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLEKPNMHSLFLPNTNATTLTSSLLFLNTNNNFSSKARFQCSIKATNPNTKQVTNSNKKVIILWDLDNKPPHGPPYNAALSLKTFAERFGDVVSISAYTKRHSFFNLPLWNPNPNPNSFLCRVCGYECRSLTDVNIHFKRVHEYQKRKTLNRLKSIKLKRSKVNFIRKVHRYNEAKSNNAPLKVGFGMASELRRGGVFVKIVTVRGKVKAADSSLKREMMSGGVDSLVLVLVSDDSVFSKMLRKVREVKVETVVVGDYWGRDLGRNADLWLPWILVENGNVEYLMGGTVEEDLDDELEEDENIYDYDDYDYDDDGFYVY >RHN38504.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:150574:154501:-1 gene:gene50613 transcript:rna50613 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRWSMGSNIHPSTQSRKISIGAMAEPKASSRSGPLRKGFIFVVLRIKKKY >RHN78403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14802063:14814369:1 gene:gene1955 transcript:rna1955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MFLKDSNSSNGNGYHHHQPPSQPPSGMVQTHRARRGTRRSRASVSSSSSTVRVSDTEQPPQQQQQLPNRSPCTDYDMAYFHSYAHLGIHQEMIKDRVRTETYREAIMRHQSFIAGKVVVDVGCGTGILSIFCAQAGAKRVYAVDASDIALQANEVVKANNLSDVVIVLHGRVEDVEIDEEVDVIISEWMGYMLLYESMLGSVITARDRWLKPGGLILPSSATLYIAPVTHTDRYSDSVDFWRNVYGIDMSAMLSLAKQCAFEEPAVETITGENVLTWPHVVKYIDSYSVTINELETVTSNFKFNSMMRAPLHGFAFWFDVEFNVPTIVNGSLRKKRANPSEALVLSTAPEDPPTHWQQTLVYFYDPIELEQDQVIDGLVTLTQSKENARFMNIHLEYTSGNRSYVKESVMR >RHN71403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58762238:58762631:-1 gene:gene20082 transcript:rna20082 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGWRAPQQHVSHLCIFFHFERINVMNILNTMCTLFFSSEFRHTVFPEF >RHN43236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44212618:44212794:1 gene:gene49780 transcript:rna49780 gene_biotype:protein_coding transcript_biotype:protein_coding MITHVMTFEVGAVLDDAHWQAPVYCFSKAEAEPQQKYMRRTSYLLDFEDAVEGGFSSL >RHN39064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3856202:3860451:-1 gene:gene45056 transcript:rna45056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RIN4, pathogenic type III effector avirulence factor Avr cleavage MAQRTHVPKFGNWESNDNVPYTAYFDKARKGRTGTKMINPNDPEENVDLVLDNSSSDHPPPSNTKPRANSEDLSGKGLVRSTIESHKSLDGDPKQYVDSPARHDNASNRSSNDSTPRLGVGSADNRRRPSRQSTAGSEHSVERSPLHRQARAPAGRDSPSWEGKNNSYDSSHGTPGRSRLRPANRGDETPDKGAAVPKFGDWDVSNPASADGYTHIFNKVREERQGGAGHAPGTPNERPHVIRNQNNDKAQCCCFAWGKK >RHN76823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1585196:1587339:1 gene:gene202 transcript:rna202 gene_biotype:protein_coding transcript_biotype:protein_coding MANQIITRVIPKTLTPFFSRSLSTTTTLSFLCRLRPLTAAAVTSRHILLPSFRALSTRPTTSSLNDQNPNWSNPSPKETILLDGCDFEHWLVIMETPDGDATRDEIIDGYIKTFAEVIGNEELARKNIYSVSTRHYFAFGAVCSEELSYKLNELPKVRWVLPDSYLNVKEKDYGGEPFINGQAVPYDPKYHEEWVRNNARANERNGRNGRDRPRNNDRSRNFERRRENVVNRDMQSRPPVQNSGPPPNNAYPPNNGGGYPFNNQAGYAPPNQGGYAPPNAGGGYPPHNMSGPPPPPNSGYRAPQNNYSGQQNHMAGMSPNAGWSNNQ >RHN73955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22068043:22068219:-1 gene:gene9856 transcript:rna9856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S15 MIKNSFIPVISQKKEEEEEEENPGSVEFQVFNFHSKVLRLTSHLELHPQDYYMKGVYI >RHN43513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46419803:46424819:1 gene:gene50105 transcript:rna50105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Type 1 galactoside alpha-(1,2)-fucosyltransferase MLKRFSSLLILGFITFSISSLIFILIHQNNSIFNFVDGFSNINATTVTVTVFKQTPQNVVTNSSVDKVLGDKYQNLMPARIFQSQQPPQTHKISDIGNKGPKYDSLEHQKKHHSLASANDRFLDGLLVSTFDEASCLSRFKSHLYRKASPYKPSAYLLSKLRNYEHLHRSCGPNTKPYSKIMTKGPKFSKGDADTKCKYLVWTASNGLGNRMITLAAAFLYAILTDRVLLVKFGADMFGLFCEPFPDSSWLLPRNFPYWKDQKHIETYESMLMNSKKNRSKELLPQFLILNLQHTHDGHNNYFHCDQSQALLQKVPVLILRSDQYFVPSLFIIPSFSQELSKLFPEKDTVFHHLGRYLFHPSNEAWELISRFYETHLAKANEKIGLQIRVYNPHQVPHRTIVNEIIACSLQHKLLPELNVQKTAKSFLKKQTPKAVLVASLYSEYGEQLKTIYKANTTVTGEVIKVYQPSHEGRQKSNNDTHNIKAWTEIYLLSLCDALITSPKSTFGYVAHSLGGLKPWILHRAYGETIPNPPCQRAKSMEPCFHYPPKYDCRANLTTDFTSLFHHMKHCEDKNGGLRLVNANR >RHN78242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13065380:13066054:1 gene:gene1776 transcript:rna1776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MKEEYGTRGMDEKYLRDNALSLFLAGNGTVTSGLSWFFWLVSTHPIVEAKIIQEIKDNWPTQEENQTPWRDVDLDNLVYLHGAICETLRLYPPVPFEHICAIKSDILPSGERVSPNTRLLYSLYAMGRMEQIWGEDCMEFKPERWVSETGHIIHVPSYKFIAFNTGPRSCLGKDLSFIQMKMVAAALLQKFHIQVVEGHPVTPKLSFVLHMKHGFKVKVTKRCI >RHN51651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21649108:21650087:1 gene:gene36133 transcript:rna36133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MCCFFLQISNPKKLMAFTTSILAEKKNKILFILGATGTGKTKLSINLGTRYPAEIINSDKIQVYKGLDIVTNKVPESERCSIPHHLLGIIDDPEYDFTMNDFCKNVLESIDLIIGNGRLPIIVGGSNSYIKKLVEEPTIAFLSKYDWKRVDEMVESGMVDEIREYYAPGADNSKGIRRAIGVPELDSFFQIEKKNDIDDAQKEKILAEAIRKTKQNTCILVQNQLLKIKNMAQILGSMVYKIDSTEVFEALLKGKDHKHLQQENVVKPSIEIVKRFLEETPVGFEYEKYSNENGKHALNGVSNIRAKII >RHN42194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36273575:36273898:-1 gene:gene48607 transcript:rna48607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MYLFSSVWNADDWATRGGLEKTNWKLAPFVSSYKDFSVDACQWEDPFPKCVSTTTKNWWDQYDAWLLSGDQKMDYAWVQRNLVIYDYCNHSERFPTLPEECSLSPWE >RHN70717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53418472:53418880:-1 gene:gene19329 transcript:rna19329 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSIGRRFVGYVMVLVGMLLLLCCGFYFLLNCDIDYGQLLVLMGSAKTTSVVRNECKHCWPMLPTSFELMHKEMICKWKSSISNKMLLLKFWS >RHN38623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:770663:773673:-1 gene:gene44580 transcript:rna44580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA-dihydrouridine synthase, aldolase-type TIM barrel MMCTTIRLISLNMVRLSKIVQLYLITTTTLLDQDRPRDPAKWSGIADVVSALSIPVIANGDVFEYDDFQHIKSATGFGIRGFVGDGCKRGHFGILQYFHLKAKFLMRIPKKNILGSASCGIMILEAPSIH >RHN69065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40747276:40751433:1 gene:gene17493 transcript:rna17493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MASRKSDNPHSGDGASPGKIFIGGLAKDTTLEEFVKYFERYGEITDSVIMKDRHTGRPRGFGFITYADASVVDQVIQENHIINDKQVEIKRTIPKGASQTNDFKTKKIFVGGIPATVSEDELKIFFSKHGNVVEHEIIRDHTTKRSRGFGFVVFDSDKAVDNLLADGNMIDMDDTQVEIKKAEPKKSSNSSSFPSFGSDSRARSYNGGFGGFDDSYGSFPGGGYGPASYRSLGGIGGRFSDYGGYGGGDDFSGGFGGYGGSGGAGGYAGYRGGESSFGYSGRYGSYMGGLGGGYGGGGLGPYGRGGGYGSYGGPGTGGGYESGPGTGFGGTGGPYSSRGGYGGSSRYHPYTR >RHN52480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35852357:35865792:1 gene:gene37164 transcript:rna37164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MALPSHTQSSISSSSSFSYGFTHDVFLSFRGSDTRYSFIGNLHKDLCRKGIRTFIDDRELKGGDEITPSLFKHIEETRIFIPVLSTNYASSSFCLDELVHIIHCFKESSRLVLPIFYDVEPSHVRHQHGSYAKALDDHIEKFQNNKNNMERLQKWKSALTQTANFSGHHFNPRNGYEYEFIEKIVKYVSSKINRVPLYVADYPVGLQSRVLKVNSFLDLRSNGEVQMLGIYGTGGMGKTTLARAVYNSIADQFDGLCFLHNVRENSAKYGLEHLQEKLLSKLVELDVKLGDVNEGIPIIKQRLHRKKVLLILDDVHELKQLQVLAGRLDWFGLGSKVIITTQEKKLLDGHGIERAYEIHKLNDKEALELLRWNAFKNNKVDTNFDDILHQAVTYASGLPLALEVVGSNLFGKNIREWKSALSQYERRPIRKIQEILKVSFDALEEDEKNVFLDIACCFKGYELKELENILHAHYGNCMNYQIRVLHDKSLIKIYWYLGNYVVTLHALIEKMGKEIVHEKSPKEPGRRSRLWFHKDIIHVLEENKGSSQIEIIYLEFPLSEEEVIEWKGDELKKMQNLKTLIVKNGSFSKGPKYLPNSLRVLEWPKYPSRIIPSDFCPKKLSICKLQQSDFISFGLHGTMKRFVNMKELNLDNCQFLTRIHDVSNLPNLEIFSFRSCENLIEIHKSVGFLNKLQVLDARGCSKLMSFPPLKTTSLQELMLSYCESLKTFPEILGEVKNIIYITLTNTSIEKLPVSFQNLTGLILLDIEGKGMLRLPSSIFRMPNLSDIIANGCILSKLDDKFSSMVFTCPKYIKLKKCNLSDDFLPILVMWSANVKILDLSGNNFTILPECIKDFQFLYALILDDCKCLREIRGMPPNLAYLSAIGCKSLTSSARNMLLNQELHEAGGTRFCLPGFARIPEWFDHQNMGHTISFWFRNNLPSIALCFSNKLVAKAIMYEIIPTLIINGNNCCIPVLRNQVGGMMHHDTCLYDMQLESFLDMDNEYFLENDWNHAEIICEHQLVEPITEIGIHFFKQKNNMDDIQFTNPYEKIKSNDNDVVVDDDDDVFIDADDILHDDDDDDVFYDVENVLDDDDVLDDEDDHHSQ >RHN63633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52833314:52837153:1 gene:gene26358 transcript:rna26358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC transporter A, ABCA MVDPSPASFFTQTNALLRKNLTFQKRNVKTNILLILFPLILSVLLISLQSLVNHQLTQPESKCGCVCRDNSTTCNDSDKLCGVQYSDQTQMAACAIPQPHEWPPLFQLPPVYCKENVSCAFNMLFTSDNQSFAQNVSDNMFPIESYPDDIDIMASLPSNVLGSDAMPGANNFLEPAFTSDRPIFYLQTQCPRYNFGYSFPYQIPGNASEKVEVRCGQVINFWRNSSSDIDTELYKGNQRGKSEGRINDIVSAFDFLNSNEDGLNVTVWYNSTRKVGLLRIPRSVNLISNAYLKFLLGPDTKMLFEFVKEIPKPETPIRLEVASLLSGLFFTWVVLLLFPVILTSLVYEKQQKLRIMMKMHGLGDGPYWMISYGYFLALSVIYILCFVTFGSVFGLKFFTLNDYSIQFIFYFIYINLQISMAFLLSSFYSNVKTATVSSYIGVFGTGLLGSQFFQHFIQVSSFASKLYQ >RHN70087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48620311:48622916:1 gene:gene18631 transcript:rna18631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MAAFSYQYHPFLVDSSFMLNMNTASPPPPSQFHPLHQDIINCVDQQSSKVTTTITENEPSSLTKNLSPQSSMVLDKLENGDEQVTQKNSPTEKKRRTRNNTNPQSHDNAAEGKNKKQKKNKEDEKAKAEKKDQRKCLDEPPTGYIHVRARRGQATDSHSLAERVRREKISERMKKLQQLVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLTSVNPMFYDMATDLDTLLVRQEKLNNLASPSTLSSVSHCNSPKQGTTFADTTTMTPTNIFQAANDYLLDTSASIFLQGQRSSNVVSEDASHFWEVEDQRQKFLHSHGFNSNLCSFH >RHN48227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45952932:45959563:1 gene:gene42919 transcript:rna42919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CK1-CK1 family MDHVIAGKFKLGRKIGSGSFGELYIAVNVQTGEEVAVKLEPVKTKHPQLHYESKLYMLLQGGTGVPHLKWFGVEGEYNVMAIDLLGPSLEDLFNYCNRKLTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKFRDLQTHRHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMTTSIEVLCKSYPSEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQISGSSSRGRHDSGKAAMNAGPSVQRPEKLSVGKELRERFTGAVEAFSRRNPTSSSPRGEHSKHRSFEDVATMHKDAYHDQDKGRNSGRHGSSSRRPIISSSTKPSSSGDHTDSRTGRLTSSGSRPSTAHRTQPMHETKQPTYTRSGSTRGNREDTLRSFELLSIRK >RHN49765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:962489:967781:1 gene:gene33973 transcript:rna33973 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:arf1 MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >RHN59668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12606259:12606691:-1 gene:gene21742 transcript:rna21742 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L2 MPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGAKRWLGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPSTPWGYPALGRRSRKKNKYSDNLILRRRSK >RHN72580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8856266:8857354:1 gene:gene8307 transcript:rna8307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MVEPKISAISPPQPSRVEPKLWQYCAGHSVKIPKLHSKVYYFPQGHLEHACLNFPNTETLSLLDGHRPFNPCIVSAVDYLADPHTDEVFVKLLLTPITDGCVHEPPAEVREDEPKGDEFVSSGKTLTLSDANNGGAFSVPRSCATSIFPQLDLETKQPSQELSVTDIHGKIWEFRHVYRGTPLRHLITTNWSKFVDEKKLVCGDSVIFMKKKNSFGDIFVGIRRHTKFGAAKITKESVMEAVELANKNIAFEVEYHPTVEGFCDFVVDAKVVEDAMKINWNCGMKIKFSLKNEDSSKRWSVFKGTISALSAPNRPWRMLEVFIYKLDHLLYYMQYFCFINIITIEVDINMIFHNLMMRGSLN >RHN76585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51454343:51459321:-1 gene:gene12935 transcript:rna12935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-exporting ATPase MTTLEDIKKEAVDLERIPVDEVFRELNCTKEGLTNDEGQKRLEVFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGEPPDWQDFVGIMALLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWTEQEAAILVPGDIISIKLGDIVPADARLLDGDPLKIDQSALTGESLPTTKNPGDEVFSGSTVKQGELEAIVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMVIEIVVMYPIQRRKYRSGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFSRDTDKDMVILLGARASRVENQDAIDACIVGMLSDPKEAREGLTEVHFLPFNPVDKRTAITYIDTDGNWHRVSKGAPEQIIDLCNLREDVKRKAISIIDKFAERGLRSLAVGKQEVPEKSKESSGGPWTFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGSNMYPSSSLLGEHKDASIASLPVDELIEKADGFAGVFPEHKYEIVKRLQDRKHIVGMTGDGVNDAPALKRADIGIAVADATDAARGASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLKEIFATGIVLGAYLAVMTVVFFWAAHASDFFTEKFGVHSIRENHEELTAAVYLQVSTVSQALIFVTRSRSWSFVERPGILLMVAFLIAQLIATLIAVYANWEFASMKGIGWGWAGVIWLYSIIFYIPLDVLKFVIRYALSGKAWNNITENRTAFTSKKDYGKGEREAQWAAAQRTLHGLNPPETDQVLNESNNYRELSELADQAKKRAEIARLRELHTLKGHVESVVKLKGLDIETIQQHYTV >RHN70756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53772914:53773090:1 gene:gene19371 transcript:rna19371 gene_biotype:protein_coding transcript_biotype:protein_coding MILWLLFYWFLCMAASLYWFYCLDGSLFDWLMMMVFAALSTKITKFGWLLLSIGFGDN >RHN39465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7297833:7298779:1 gene:gene45489 transcript:rna45489 gene_biotype:protein_coding transcript_biotype:protein_coding MQINHVLFSTLFCLHCLSPSSQPFHYVALLICFIDDAEFFDPDLGHLKM >RHN60512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27466483:27472029:1 gene:gene22833 transcript:rna22833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MESVKLLSFTFLLFIGYTLVNGSTPKHYIIYMGDHSHPNSESVVRANHEILASVTGSLDDAKTSALHHYSKSFRGFSAMITLEQANKLAEYDSVVSVFESKMSKLHTTHSWDFLRLNPVYDKNHVPLDFTSNVIVGVIDSGVWPESESFNDYGLGPVPEKFKGECVTGDNFTLANCNKKIIGARFYSKGFELEFGPLEDFNKIFFRSARDNDGHGTHTASTIAGRNVVNASLFGMAKGTARGGAPGARLAIYKACWFNFCNDADVLSAMDDAIHDGVDILSLSLGPDPPQPIYFEDGISIGAFHAFQKGILVSASAGNSVFPRTASNVAPWILTVAASTVDREFSSNIYLGNSKVLKGYSLNPVKMEHSYGLIYGSVAAAPGVPETNASFCKNNTLDPSLINGKIVICTIESFADNRREKAITIKQGGGVGMILIDHNAKEIGFQFVIPSTLIGQDSVEELQAYIKTEKNPIAKIYPTITVVGTKPAPEAAAFSSMGPNIITPDIIKPDITGPGVNILAAWSPVATEATVEHRPVDYNIISGTSMSCPHISAVATIIKSYHPTWSPAAIMSAIMTTATVMDNTNHLIGRDPNGTQTTPFDYGSGHVNPLASLNPGLVYDFSSQDALDFLCSTGASPSQLKNITGELTQCQKTPTPSYNFNYPSIGVSNLNGSLSVYRTVTFYGQEPAVYVASVESPFGVNVTVTPVALKFWKTGEKLTFRVDFNPFVNSNGNFVFGALTWKNGKQRVRSPIGVNVVSI >RHN58396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1409456:1412252:1 gene:gene20303 transcript:rna20303 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDDALDGFSPVSTPKVFWKSRKRSASGRNLEVSSEDTAKTPPGNPEDTPPNVEMQDSTEVSELSERRKALFEPLEPIKNINGRRPSAESLLPPPDFESANYPKGWLIGKKRKLVNVDVVESMRRIAVQEMNRKDREIDGLNEQLEEDSRCLEHLQVQLVDERSKRSRLERENEMLQDQVNMLMNMLQDTEQMADDGQEVEDEGQDEP >RHN53125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42174535:42177716:1 gene:gene37894 transcript:rna37894 gene_biotype:protein_coding transcript_biotype:protein_coding METTSLIPHVGENYTLKLKNTMQEILSKLPKESPEFSHSIDALHELMQTKVDPPFDVIWVYSAIKFGCRKSLKGDNLEQISAAKALFQLISACSASVGGSKSIALLAPVVFMIHSVVKELFELKREKKAMKEVKSLVDMILGFMSICCSKISEEEDLDLVLSLNDLARLWVDDDDDDANDGFETLLPLVSSDVCGWICGGKFHVGYLAGAVMMEVFLLKLCLFFDMGMEKGELEMYLKSWSVGSISSFQNVYFLEVLMRTTLETSLPLNSILKAKDEFLLKKVLLDAVLLVEYSFIYENAKNIKSLALTRLILTHVAVEYLREFDQNRIISYSKAFSTSNLPSQIIKLVSNQNGIEENSGKTFGSSPRALINWLLRLENLGIRVFEDDTLKSHAKLCPDISQMEQSAAGTLDDKVTDEGLFFVDNVGEEENAGEEDNQSKLISDAFVAAAQTMKSSDNESRKRKGKSSGKKVKFVKYDLNQKSVPVKGGTSASNDSSSDESEVEDPNSDSDA >RHN58659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3730036:3733185:1 gene:gene20590 transcript:rna20590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MGLIDVLLVAKVIPYLDQELNKRYNLFRIWDFPQKSQLLTQHGASIRAVVGNASAGADSNLIEALPKLEIVSSYSVGVDMIDLNMCKEKGIRVTNTPDVLTDDVADLAICLILTLLRRILECDRFVRSGNWKRGDYKLTTKFSGKTIGIIGMGRIGTAVAKRAEGFNCSICYYSRTQKQEPKYKYYPSLIELASNCDILVVACPLTEETHHIINREVINALGPKGVLINIGRGKHVDEPELVSALLEGRLGGAGLDVYENEPHVPEELFGLENVVLLPHIGSGTVETRAAMADLLLGNLEAHFLGKPLLTPLV >RHN78357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14363786:14364950:1 gene:gene1901 transcript:rna1901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 14-3-3 protein MGFTVAERLRREEYVFHAKLAQQAERYEEMVSFMQKIVVGYTPASELSLEEMNLLSVAYKNATEPLRAALRILSKEEEGRKNEDVHFVHVKKYKSKVESELENVCGSILELLDSKLIPSASSSEIRVVYYQMKGDYQRYMAEFKIGDDKKSAVEDIILSYKAAQDIAAADLRSSHPIRLGLALNFSVFYYEILNRFDEGLDMARQALDEARNELKLGDEYYKDSTVRMQLLRNNITLWTFDDTVKCLYNNHHVKAF >RHN41424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29903855:29905047:-1 gene:gene47742 transcript:rna47742 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSRISCVFLWLKMVVFCRFRCLGFIVLDCTGKMFELGKGQHTFVPGFVADRVGLEQVNVTGCLELDWDECRDRFFWIHRIITKE >RHN72273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6342875:6343574:1 gene:gene7967 transcript:rna7967 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNSGELGPKIVQIETRYVQTDAVNFRDVVQSLTGKNSSTDWIGRGTNAGTAAAAAASEIKGGHIKVEEVAIDTTSTAKLMMMSNMSFKDLERLLEMPPLQMEEMLWL >RHN65740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4866498:4868953:1 gene:gene13621 transcript:rna13621 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVEINTDASNGHNSLEPKPLELGLAQFVSNVIHIRNKYDVLQILGCLFLCFFMECLYQILCVLKDKFKIQEFL >RHN47160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37580768:37583032:-1 gene:gene41724 transcript:rna41724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MHNIMPYSYHKFNTSSPNLLTPQEFVKQMENKTISTKSVHCLVLPFPAHGHTNPMLEFSKLLQQQEGVKVTLVTTISNYKNIPKLPNNSITIETISDGFDKGGVAEAKDFKLYLNKFWQVGPQSLAHLINNLNARNDHVDCLIYDSFMPWCLDVAKEFGIVGASFLTQNLVMNSIYYHVHLGKLKPPFVEQEITLPALPQLQPRDMPSFYFTYEQDPTFLDIGVAQFSNIHKADWILCNSFFELEKEVADWTMKIWSNFRTVGPCLPYTFLDKRVKDDEDHSIAQLKSDESIEWLNNKPKRSAVYVSFGSMASLNEEQIEEVAHCLKDCGSYFLWVVKTSEETKLPKDFEKKSENGLVVAWCPQLEVLAHEAIGCFVTHCGWNSTLEALSIGVPIVAIPLYSDQGIDAKFLVDIWKVGIRPLVDEKQIVRKDPLKDCICEIMSMSEKGKEIMNNVMQWKTLATRAVGKDGSSHKNMIEFVNSLFQV >RHN71543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:900027:922980:1 gene:gene7155 transcript:rna7155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein MLQQLQVTFDANILLNVLEFYGFVTSVKSYSKRVLLSLNGIENETTRLLSKAEYVGVNHGRVMWDVSIFDISVNFPWRNTASECSNMVIMSRSLCFKSKIGPESFLSEIGDQPYFLKNFSNTISTSNDCSGVRFQDLYNYIDVKLNDFKITIVNSDQSQKISILEKFAASFFMAFCVIPDESILKQLEVYMLIESLKVHFSHSIYGAFIELRTHMDSLLVRGESEIVNRVSPSNIVSDVSAHSTFGISIISRLGSVDLEVDLENRGDKSSVLMVSLQEIYVRYASAEFQELFISTKSLMIRAYEVKEEKDDCFVLLSVNLSSPSASVPAHSVPGPSIEFDQYPDDAMLADACFAMHYESPRTDLVCHKCFIYLNNADIHCYPHIVGLVVGFFHRLSAYSTSSEKSTASNTVDISKSFSCFGLQKFGFSNYFEVGYADSACIPFDCFPFVTIYNSGPLDNLESSLVYAIPDWRKYFTLRDRKIISPKSFRVSSSKCKSDFGNLLESGIARMSNYFSIELQLFGIRAHFHDSSCIIGSITIPTCKSSLSFCDDNMDILSSSEGLVLTSSWGPHNFQDYLWGPATANLSPILNVRVRKTQNKSSAVDLEVCFGIQHVYCMLPSEYLSIIIGYFSLSDWGGYSGDQFPSDEQGDIVLKNEMNITYKFEILDSDLVLPVENNEHRFLKLELPQIYCSFIVNSGFDDVLKNIPTECLVPIHKLAQRNNCLNIFGRDLNISFLLYTNNILGLGTNEWNTEFVTISLIAPLNADVWVRIPLGGESNYKSSSSICLMTCISSCHIIAEDIYFFDGCMALWDVIEGFSSIDDQSNCFKTDVLQFLQSKRSLKATGATSSTLMPSNSILTEVKCCTQSLMISFCHRHEGFVEPISKSDLQFTCSASLVDDSLVWLDLRFSRLVIFSSHDSVLAKCASTSCSMFVLGICFSKSIDNMNELSLCLSSLDIWLHLSEWTEIVNFLNHLYLPSEKTPINAASEHLSVDTGISIKEAEVKDDSSFHDSESTSIPFTIQDNENAVLLIIRSENVRITFHIPIWTSEEPHVELQHAKRQNLTTLSVPSDILEEKDAEFLTVSIEVNGFELVLRNRDIQLKSNIERLSSVMTFVVNGSHTSLPLLDIVQVHMDAVLSKSDTGNTTVNMELICDHSDVWLSHPAFYLCGALKFDGPKSESSQNSTGSISFKFQLRKVSVLLTDGKWSYNGPQLEILVRSILFHASACGKHLECSFTGDLQVNYKNIGKVAWEPFVEPWHFLFTMVRDQEISILPNRSLSTDIILESTTQLNINITESLVECVSRTAEMLDDALGLMGLKDHEGNKLLHPPCSEYIFARKCVAPYVIQNLTSAPLLYHVYHGHINPNDIYDLDINHAKCVQPGSASTIYMDENADQLSHYRPYHSSDSLNEQRSSGLAHRYIMVQLEGTSMPSNPISMDLVGLTCFDANFSKSYNENGNDGRMNTAPTFVVPVVLDVSALRYSKLIRVYSTVVLLNATSTSLELRFDIPFGVAPRIFDPIHPGQQFPLPLHLAEAGCVRWRPVGNSYLWSEAHKLSNLLSLNTKVGNFKSFICYPSHPSSHPYRCCISVKSISLTSSGRVKNNLLVDDAKKHYIHHLILSAPLVINNFLPKEILLISKSGGVDHTARISEVETSIYHIDPSHDLGLEIYIDGFKCCDFKFPRLETFCTVAKFSETKFSLSETLIFESNNSSGHIYVTAEKVMDAYSGSRELIIFVPFILYNCMGFPLCVKEASSETDERGFVIPSYYDAGVNETFSDKKDGLSLLASNNGLHASVSREPRSYLDSHTISCRRDDNPNSVFFGNYRGNLGRQKRKSNSSFQSSSFGRLKNTLSSGVQSTWNYSGSCNNEHERVVPFMYSPSPTSSVNDIFVKMSGCFSKDARDHMPYSLWSNSFSLLPQSGSSTIFVPHLTSNSAFILAVTSISVAEPYGGRTNAIAFQPRYVISNACSKEIIYKQKGTDVTFYLGIGEHAHLHWTDTSRELLVSICYNETGWQWSGSFLPDHLGDTQLKMRNFVLGTSSMIRVEVQNADISMGDEKIVGNIKGNSGTNLILLSDDDTGYMPYRIDNFSKEILRIYQQRCEVFDTVIHSYASNPYTWDEPSYPHRLVVEVPGERVLGMYALDDVKEYMPVQLPSTSEKPERIFFVSVHAEGATKVLSVLDSNYHIFNEVKKPSVPNATEKRLYDHNQVRPAEYKDKISISIPCIGISLINSYPQELLFACINDIQINLLQSLDRQRLSMRISFIQIDNQLRSTPYPVMLSFNGGYRSRQVDYTKFRDDATRSRIEKSNQMNFSSSSSSPVFCLEISKWKKKDTSFLSFEHIKLRMADFRLEIEQEVILSLFEFFTNISSGMQYGTKPSSNQYYGTSLKDSSSVQTSENFRLNGDQSPLGFAPIFNAKSKKIASLPSIVPIGAPWQEIYLLARTQKKVYIEMFELAPIKLTLSFSSAPWMLRNRILTSKEFLIHRGLMALADVEGAHIYLKDITIAHHTASWESIQEILIRHYNRQLLHETYKLFGSAGVIGNPLGFARSMGHGIRDFLSVPANNIMRSPTGLIMGMAEGTTSLLSNTLYAVSDAASQFSKVARKGIVAFTYDDQIASRIEKQQATVASDSKGVINEVLEGLTGLLQSPIRGAEKHGLPGVLSGVALGITGLVAKPAASILEVTGKTAQSIRNRSKPNQLRSHRFRVRLPRSLSHEFPLRSYSWDEAVGASVLVEADDGLKFKDEKLMACKALKEAGKFVVLTERYIMTVFSPSLRDLGKPEFCGIPSDLEWIIEWEIGLESIIHADCAQVVIHIVGSRPDSSFMHDQHSPKRSSKTKHVRYIHYPTHLPLPQTDIELAREEDAANLLQLLLSGIEKGKGRAWDCGRILHRANMKQDAV >RHN54791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12305964:12310520:-1 gene:gene29877 transcript:rna29877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative survival motor neuron MGKDGDLWDDSALINAFDNAISSYKKMHNTAKNKQESEPIIEENVEISTTSRVVEEKIDVPDIDSKLEENHKPCLDSTIGQETQNAHNGYSYEQGFDDYNKLVAEYYELEEKRLKIWEQINQYGGWNQQYAATGPNSGVTYSDGQYYSMSAQQVSDPNVVCSCCPCFSQCAVDSCASVPGCSVGGSGVGKACNDCTVEKGNKVSIPCGDGKMHEMAMGAAERALSTIRTTISGDLNVNEDKKKENSEPEQTGDSATDLTAVLNAWYSAGFHTGKYLAEQSIGNRRQI >RHN78206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12801458:12811857:-1 gene:gene1740 transcript:rna1740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MKPELYEISDDEWENHSFKPSRVLKRPRSPPPPLESFYYKPPQPPPPPPSSVTVSDDDDCVEITRNTDNILDELDDAECDAAAAAPAAKPGRRFIIDDEDEEDAGGSGGVDLYEIDSTEDEIEEDIEDANEDDLVGKALQKCARISVELKGELFGSSGAVCDRYSEVESSSVRIVTQDDVDVACGSEDSDFQPLLKPYQLVGVNFLLLLYRKRIGGAILADEMGLGKTVQAITYLTLLNHLHNDSGPHLIVCPASVLENWERELKKWCPSFSVLQYHGSARAAYCKELNSLSKSGLPPPFNVLLVCYSLFERHSAQQKDDRKILKRWKWSCVLMDEAHALKDKNSFRWKNLMSVARNANQRLMLTGTPLQNDLHELWSMLEFMMPDIFASEDVDLKKLLGAEDKDLTSRMKSILGPFILRRLKSDVMQQLVRKTQKVQYVIMEKQQEHAYKEAIEEYRAVSQARLTKCSDLNPKNVLEVLPRRQINNYFVQFRKIANHPLLIRRIYNDEDVVRFARKLHPIGAFGFECTLDRVIEELKSYNDFSIHRLLLNYGTNDRKGILSDKHVMLSAKCRALAELLPSLKKSGHRVLIFSQWTSMLDILEWALDVIGLTYKRLDGSTQVAERQTIVDTFNNDTSIFACLLSTRAGGQGLNLTGADTVVIHDMDFNPQIDRQAEDRCHRIGQTKPVTVYRLVTKGTVDENVYEIAKRKLGLDAAVLESMEEIKEGDMPEKTMGEILSAILLNY >RHN56148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28175206:28176210:-1 gene:gene31505 transcript:rna31505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S1, PA clan MRLSENGNKFTYLIKVCHEVDDKYVVTNATGFAIDIDYYAKKFEVKNPLKENDLVLVTCAHALNDKSNATIEVRRLEDQEFISELEVPFIKLSWDIALLVVKGAAKGANCDRFGELADDGSIANCETLLQLGHLGDLVWSVFIGRAAYPCVKSFKPSGRDESEPFGRATCGGYVSNSLKTTPTYRILGDMWNANYFATHKEKKFMFQKKLRASIPIIQCVGFSCTDDACSGGPVLNTQGKIVGMIVGQSNDCQIAIHVTVLEKFLKNNLIAAQEVDEKEKQPQGGGHSSKDAKRGKKKELIDKDPPK >RHN41296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28944198:28946461:-1 gene:gene47603 transcript:rna47603 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQTIDAAALVIQHPSGKIERLYWSVSASYVMRANPGYYVSLIMPLPQEQEGENSNNEVKKPVLFTRVKLLKPDDTLTLGHAYRLITTQEVEKVMKAKKRKARGKTVEDVEMMHLEKENSACESEGMLDTGRMYQAMRADRQRLRVVSVNPAVPRPKSWRPSLQSISEFSG >RHN59982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15926360:15941253:-1 gene:gene22158 transcript:rna22158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MACIKGVNRSALVALAPDAPYLAAGTMAGAVDLSFSSSANLEIFKIDFQSDDPELPLVAEYPSSDRFNRLSWGRNGSSSEEFALGLVAGGLVDGNIDLWNPLSLIRSEENESSLVGHLVRHKGPVRGLEFNSIAPNLLASGAEDGEICIWDLANPLEPTHFPPLKGSGSASQGEVSFLSWNSKVQHILASTSYNGTTVVWDLKKQKSVISVVDPVRRRGSALQWHPDVATQLAVASDEDGSPSIKLWDMRNTMTPVKEFVGHSRGVIAMSWCPNDSSYLLTCGKDSRTICWDTISGEIAYELPAGTNWNFDVHWYSKIPGVISASSFDVKIGIYNIKGCRQSGESDFGAVPLRAPKWYKRPVGASFGFGGKLVSFHPGSSASDSPAGASEVYVHNMVTEDGLVSRSSEFEAAIQNGERTLLRVLCDKKSQESVSEEERETWGFLKVMFEEDGTARTKLLTHLGFNVPSEEKDIVNDELSQEINALGLEGTSANNIGHVATNEANNLFLDNGEDFFNNIPSPKADTPPSAASGNFVVADNANGSDKIEDGVEVEESSDPSFDDNVQRALVVGDYKDAVSQCISADKWADALVIAHVGSTSLWESTRDQYLKKNRSPYLKVVSAMVSNDLLSLVNTRPLKFWKETLALLCSFAQRDEWTMLCDTLASKLMGAGNTLAATLCYICAGNIDKTVEIWSRSLSDEHKGKSYVDLLQDLMEKTIVLALATGQKRFSASLCKLVEKYAEILASQGLLTTAMEYLKLLGSEELSTELVILKDRIALSTETEKDLKTTAFENSQSHGGSFYGADNSNYVNHYQGSVSTHVPPGVHVPPGVSGGQYPDSYQPPYDNRYVPGYGAPVPHQPPQQPNIFVPSQTTQPQPPQSNFPNTSGAQPPVRVFEPQTPALIRNPEQYQQPTLGSQLYNTNNNPTFPPTNQPYQPTPPAPSHIGHGPNLPHVAAPTSNPIGFMQTPSSAGVQRPGVGSIQPPSPPQPQPVQPAAAPAAPPPTVQTADTSKVPAQQMPIVTSLTRLFNETSEALGGSRANPAKKREIEDNSKRLGGLFAKLNSGDISKNASDKLLQLCQALDNGDFGTALQIQVHLTTTEWDECQSWLGSLKRMIKTKQSVRLS >RHN52110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31609838:31614311:-1 gene:gene36733 transcript:rna36733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MRQNNVHLLYSKLFLRMLKTENINDFIFTGYTSLDIVFEKKTKCAESSKWVVVKGGFMEPWIGIGGGVNGKSVIDGLFKIETIRSFRGYKLVFCPTISDPTGQCNNIGRFFDNENGLRLIMSENFKPFEVVFVDVEDTAGFGRSVV >RHN77799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9504539:9504820:-1 gene:gene1292 transcript:rna1292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase MDQSLGNYEYRKKSKKDEQSANIITRTSNRIDVRIRVKSQVYFPSWVYDQFSEGKEIEMGNGTEEEEKILKKMIIVALWYIQMKPIDRPSMNN >RHN64109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56621773:56626958:-1 gene:gene26891 transcript:rna26891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MISNHFAIFLVFLFLTSHSFAADTTSWIKAGYYYSGSEISASDIKSNLFTHLLCAFAFINSTNYNIFINSSEEHKFSTFTNTVKLQNPSVTTLLSIYTKTENSSVFSSLINQSSNRKSFIDSSIKTARNYGFQGIDFRGVKPKQGNELANLATLLKELRIAITSEARNTKKPELVLVMAGYYLKASDSFSYPFESMQKNLDWVHFVAYDYYLPKKDNFTGFHAALYGSSGWENTDSGIKEWRKRGFSSHKLVIGLPYHGFAWTLVKPGEGGVGKPTSGPAITKDGSMAYKLIKSYIRSFGDGVVSCYNDTFVVNYFTVASTTWVNFDDVKAIKVKVSYAKKNGLLGYNVFQVGNDDNWILSKAAQEVDEDHHNRRLLITVLLTTLAAAIILGVIFCYYHQGTMTTAFTRMIYKMRIYLSAAEEDLNGNGSDLIVFNYLTIKVATDNFSKENKLGEGGFGVVYKGKLRKGEEIAVKRLSETSNQGLEEFKNEITLTARLQHVNLVRLLGYCTKRNEKLLIYEYLPNKSLDHFLFDPRKSILLDWRKRVNVIEGITQGLLYLQEYSNFTIIHRDIKASNVLLDHEMNPKISDFGMARIFGKYELEANTSRIVGTYGYVPPEYVKKGIYSPKYDVYSFGVLLLQIISGKRTSHYYGTHENMNLLEYAYELWIEGRGMEFFDPSLDDSTSHCKIMRCMQVALLCVQENSSDRPSMLEVDSLLKNEGAYVGTPNVPAFSMKKHEDDKGDTSNSGFKFSSINDVTISQMVPR >RHN46838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35241280:35244945:-1 gene:gene41369 transcript:rna41369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ataxin-10 domain-containing protein MGGGTDAPFSNHPISQQSLNSLFDLSNSTTLQTSLETLIESSKSTSNRSLYACKKILPTILTVLHSPPSLHILSLCFKLLRNLCAGEILNQNMFLENDGVFIVVSSILRSEVVGSDYMLVRWGLQVLANVCLAGKEHQKAVWDEMFPVGFLSVARIGKKEVNDPLCMVIYTCCDGNDQWFSEVCSDGGWNVLVEIVRTASSASFGEDWIKLLLSRICLEDSQLRVLFSKLRFMDIPDGEDTKTKDDQFSSEQAFLLQIISDILNERIGDVTISLEVASFVYGIFKKSIGVLEHAVRGKSGLPSGITDVDVLGYSLTMLRDICAHDSVRGNSEDTEVVDMLLSYGLIELVFILLGDLEPPTIIRKGMKHSENPDGASSSSKPCPYKGFRRDIVALIGNCVYRRKHVQDEIRSRNGILLLLQQCVTDEDNPYLREWGIWCVRNMLEGNEENQKEISELQLQGSADVPEISALGLRVEVDQKTRRAKLVNVSGN >RHN57777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41673967:41677070:-1 gene:gene33362 transcript:rna33362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-methionine (S)-S-oxide reductase MRICGAAASSAYSTTSSSLLVFGSSSFSTPAKTKFLPSLSRFSVKHSCLFSPTRPHFTVTKPSMNLLNKLGFGSGRSSESMDSTIPQGPDDDIPAPGQQFAQFGAGCFWGVELVFQRVPGVSKTEVGYTQGLLHNPTYEDVCSGTTNHNEVVRVQYDPKQGTFENLLDTFWSKHDPTTPNRQGNDVGTQYRSGIYFYTPEQEKIAKESLEQQEKQLGRKIATEILPAKKFYRAEEYHQQYLEKGGRFGFKQSAAKGCNDPIRCYG >RHN81267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44456630:44460726:1 gene:gene5283 transcript:rna5283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MFSRLIRPHDHEGSVVVVQEDSNHHLHTHLAASDPCLVLTSDPKPRLRWTTDLHQRFVDAVTQLGGPTKATPKAIMRTMNVKGLTLYHLKSHLQKYRLGKQAGKDFDEGCKDGSYLLESPGTENSSPKLPASDANEGHEVKEALRAQMEVQSKLHLLVEAEKHLQIRQDAERRYMAMLERACKMLADQFIGDTTIDTDIQKFQELPSTELGGNGMHISEVPYILQPQGANCSTESCLTSLESLRGLTLEGSPSGTKRMLGLDSMVSPLIWSETNMRTQGIHLAQVNPQGIARYGM >RHN69323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42609924:42611661:1 gene:gene17774 transcript:rna17774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MQKACGPVNLARIAGVLSIPLLQSYPFTTLLSGSTLYLVINIASILKFLMGETISTCLFLLQNRAVEQHQRGAANGIAMTTMSAFKTIGPAGGGALLAWSQKRLNASFLPGTHTVGERGIKGTENVKVID >RHN76905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2246131:2247486:-1 gene:gene294 transcript:rna294 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKVDKDPLKHIIICQVVLGSVEKVELEFHQSCASRNEFDTGSDDPKWYVVWANDINNRILPVCVESEEGQL >RHN75320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41221697:41229154:-1 gene:gene11502 transcript:rna11502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NTF2-like domain-containing protein MASRKLLRDFLLSHRSLILPQQQGVKTIRRYGVFNEFSNKVKDETVKNPEFQKSVKELKEKAEELKGVKEGLKEKTKQTTEQLYKQFDGVWKEAEAAAKKVSHNVKEKISAATEEVKAGIGKQDSSGSTDSSTKQDADAKQGRQTSPEEEKNQESASGNASESLFGKFKSTFSSPKVSTSFQKLKDAKIVDMTKKGYDILKEELSGNTPKRQPVHSTPSGETSTKTDLVVMPSNQSWWSKKFDEIRDKVKSHPASKRFFKYTDPVKTKSQEMVDDLRDRIETSDNPIINKIQDINDTIFQETDAALAHKEIHRRDPKFSLPEFVAEVQEAIKPVLNAYIKGDVETLKKYCSPQLIERCKAEHGAYKSHGIFFDNKILHVSDADVREAKMLESSPVIIVVFQTQQIYCVRDRNGEITEGGKDTIHTVFYLWALQQMEDGDHGEDAIYYTWKLREMQQQGIQALI >RHN60741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30053572:30055206:1 gene:gene23098 transcript:rna23098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MVTTTHSNSYLPDDCWKCIFKFIIDEDDNNRRYLYPLSAVSKQFLSIIDPLRLSLTVLNPTRPLIRPLFKRFTNLTSLNLSRFHGDLNNLLRQISRFPSLNIKSLNISNKPTIPADGLRVFAQNITTLTSLTCSKIANFNTTDLFLIVECFPLHEELDVSYPLYSQKYILRYPLYGEKYILYVEGVKALSLALIKLRKVNLSGFPINNQSLFHLLKNCKLLEEVIMFDCDQISREGIASALRERPTLSSLSFSGSSSYGMPDITALCFIGFTASYFIGSLVSLKGLTCLSLRSLYILDELLYSIAREGLPLTRLVLRKCTGYSYDGIFCLLSKGHGVQHLDLEDNMFLNDQHVVQLYSFLGDLISINLSGCDKLTERALFTLVKNCHSLSEIKMEHIGSKSRGNSESLVDFGVYPQLKSLFLGRNSWLNDERIIMFASIFPNLQLLDLTSSTQISEGICEVLRKCCKIKHLNLSGCYKVKLHSINFVVPKLEVLDLSGVGRYVTEKGMKHMVERCTQLREINLGDLHLSDEKRKFFSRRGCLLC >RHN69807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46405802:46407804:-1 gene:gene18323 transcript:rna18323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MALRQRLHRLLLDADSTSTTASGYMNRSREPFTTPGDSNFDTNMVFILAALLCALIFALGLNSIVRCVLRCSNRYAFETPDEAMARLASKGLKKSALRKIPVAVYGSGGSSTSFAATECPICLGEFMDGEKVRILPKCNHGFHVKCIDTWLLSHSSCPTCRQTLIEYPTSCGAASVL >RHN58331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:852190:852882:1 gene:gene20234 transcript:rna20234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDVITEILSALSVKSLLPFSCVNKSFDALISDPYFVQKHLKKSKRIPRVAAVVWIDNESSGVVSPKISDLLDSSYRNIVRDRLLAEHLDWSLVGSCNGLLCLNDSDDNYLYIWNPATTSEYRLSHPWLSYNQFSFGYDTSTETYKAVSFGVNYKQGESFQPFPVIPAYKSNGVHLNGIINWLALRDYSNSGYFDLDHRFITDEDYVIISLDLSTDTYIHSAVTSQLNLWF >RHN61653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37213872:37217381:1 gene:gene24140 transcript:rna24140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MSIIFLLVGILSIGLKFTVVQSVGVCYGVLGNNLPSSQEVVDLYKSNGIDKMRIYFPDEQALQALKGSNIELILDVAKETLSSLTDGNEATNWVQKYVTPYAQDVKIKYITVGNEIKPNDNEAQYIATAMQNIQNAISSANLQGQIKVSTAIDMTLIGTSYPPNDGAFTDQAKQYLQPIIDFLKNNGAPLLANVYPYFAYIGNKQSISLDYALFKQQGNNDVGYQNLFDAQLDSVYAALEKVGGTDVKIVVSESGWPSDGGDSASTDNASTYYQNLINHVKNGTPKRPGAIETYLFAMFDENQKTGAATEQHFGLFNPDKSSKYQTSFN >RHN58113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43821740:43822954:-1 gene:gene33722 transcript:rna33722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MGELMVATKEKVSDHVYEDVALVILSKLPLKSLFRFGCVRKSWSLLFKNSYFMNMFRKNFLSKNPYCNDTSHFLQFREPHRFGHISRPMLYSLFGERFQNMVKLDWPNPFQKDEFHFLVRGCVNGILCIEDDGRDGGILCIEELQRIALWNTTTGEFKATPPSPFAFESPCWDPMICLHGFGYDQVKDDYKVIRYISFYPKTDEDSDETWKDGYHSSLWEIYCLKSNSWRKLDINMPTQAYGGMVQVYMDGVCHWWGECETSDEVYLVSFDLYSEVFVKTFIPLNMNDIDSRQVFRHLNVLNGSIGLILNYVESTTFHISILGEVGVKESWIKLFIVGPLTCVEHPIGVGKNGDIYLKKKDNKLVCFNLITQKIEELGVKGETGQSCQIIVYEESLLPIERINT >RHN57061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36183647:36184718:1 gene:gene32553 transcript:rna32553 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRKLLPGAVVASSGVVVTGEGCPFLIFLNGFCREDGGDSFYGDGFSKMKMVEQCNDRRRHTEGGRRRRLGFV >RHN73341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15503488:15504282:1 gene:gene9142 transcript:rna9142 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKHPSIQKVLYPTTRPSTTTTTSKSTGTKHPTMGQIFGIRN >RHN80692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40128788:40138464:-1 gene:gene4649 transcript:rna4649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MGVPAFYRWLADRYPLSISDVVEDDDVPSPIDASKPNPNGIEFDNLYLDMNGIIHPCFHPDGKPSPATYDEVFKLVFDYVDHLFSLVRPRKLLYLATDGVAPRAKMNQQRSRRFRAAKDAAEAEAEEERLRKEFVEEGALLSSKDKPETSDSNVITPGTKFMASLSVALQYYVQTRLNHNPAWKNIKVILSDSNVPGEGEHKIMEYIRLQRNIPGFNPNTRHCLYGLDADLIMLSLATHEVHFSILREVITLPGQDKCFVCGQAGHFAADCHGKPETKAEDGNPADEIPIHKKKYQFLNIWVLREYLQYELEIPNPPFEIDFERVVDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMHIYRKEFTAMGGYLTYAGEVFLDRVEYFIQSVAVHEDQIFQKRVRVQQVAENNEEMRARVRGVILQEPRASFSDKVKLGEPGYKERYYAEKFGASSLEEIDKVKKDIVLKYVEGLCWVCQYYYNGVCSWKWYYPYHYAPFASDLKDLPNLEIIFSPGEPFKPFDQLMGVLPASSSNALPDKYRDLMTDPSSPIFHFYPADFEIDMNGKRFAWQGVAKLPFIDEKKLLAATRKLEDTLTMQEEEQRRNSVMLDLLYVNRAHDLASHILLYYQVFGRLATHERCVSPINANASGGMNGYLWACERNVLSSIAPSPIDGLPDIESNQVLNITYLNPCRHGHIPKPPDGVVIPKKIIKDIDIKPSPVLWHEDNVGRGQQGRERSQVPGAITGSQLGEAAHRLVKNTLNIKWNNTSHGVLEQPPVRHTTNRFQSAGPSRYGKYSGENTNSYYGEHNHHGAMTRHRYPVSSNAGQVDRQNFRTQDRPRHQEQFQNVKTGFSALTMEEGLRPAPSRPPISGPTTNLEPRFVQNSGPPVRPLKWNTKAPINGVYPRRQEAASGVVPHHKQVKKVYQVKTRLPQETPDSGNQ >RHN49462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54962127:54962743:1 gene:gene44295 transcript:rna44295 gene_biotype:protein_coding transcript_biotype:protein_coding MFAHPRFHENQNDIMDVGVFSHNEVKVIEFGGCVGNWFEIEFVMNVIKYAHKLEKIVMTRIGSLIRCGFKVDVKR >RHN47347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39039410:39039916:-1 gene:gene41937 transcript:rna41937 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTTIIKLLAFVFVVIILLDNSHAVSSTTTEPTISASPGVLPYVTSPDISSFFPTPMSSSEAPYEAEASAPAPAPSSGEKSSSSTRLDCVAAIVGIMLFSVFLSFIA >RHN70404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51224622:51226557:-1 gene:gene18983 transcript:rna18983 gene_biotype:protein_coding transcript_biotype:protein_coding MLLINGDGLLTLLTDIRCGRPIALLLVMGITWIGVSSTMFVIGTYRQRCLCLCDAFIAIDFQLEATYYEGI >RHN78070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11627698:11634865:1 gene:gene1585 transcript:rna1585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed RNA polymerase MWSHYESKNKLALNMGKSKTIELYGFPSFVNVSQVKIFVEHYTGEGSVVAMKIRVGNGRVKRAFAIIQFTTAKHATFMMALPSRTKLRFGDSYLKVRELERDIDPKPRAFLDSLDDVKLYFGCQISKERFSKLWKVDASVDFGIGMRKWRFSMHHENKKFKLELSYENIWKIELHQPQGKTAKYLLIQLIGAPRVFECNVPTSTSVYKDPLKNYYRDSPDDQWIRAIDFTPCNCIGQSSAICLELSSNRDFPNFKENCVHYEEIEGQYTLESGSSFSCNPDVVPMVAPPQGIQIPFDILFKVNSLVQHGCLSGSELDNDFYRLVDPLGINVELIKHALEKMYYSKDFCYEPARWLKGQYRRYLRANNPPRSPTISLDNGLVYVRRVQITPCKVYFCGPEINVSNRVLRHFHQHIDNFLRVSFVDEELDNMYSADLSSRISENGRTEIYYRILSILRNGIDIGGMKFEFLAFSSSQLRENSLWMFARTTTGLTADSIREWMGDFSRIKNVAKYAARLGQSFGSSTETLSVRRNEIEIIPDAKVKHGATEYVFSDGIGKISLELARRVAKKCGHDSVPSAFQIRYGGYKGVVAVDPTSSVKLSLRKSMHKYDSDNIKLDVLACSKFQPCYLNRQLITLLCTLDVKDSVFEKKQKEAVTQLNTILTDSTKAHEVLDLMCSGEVTNILKEMLICGYKPDVEPFLSMMLQTFRASKLLELRQKTRIFIPKGRAMMGVLDETRTLEYGEVFVQYSSNRFSSHSRVVKGMVIVAKNPCLHPGDVRVLKAVDVPALRHMVDCVVFPQKGHRPHPNECSGSDLDGDIYFVCWDSELIPPLTIDPMEYDSAQPIVLDHDVEIEEVEEYFTNYILNDSLGIIANAHTVFADKEPAKAMSEPCIELAKLFSIAVDFPKTGIPAVIPRELFAKEYPDFMEKADKTTYKSDNVIGKLFREIQGISTKDGSITSFTLEVAKKSYDPDMEVDGFMDYVDDAFYYKSNYDEKLGNLMDYYGIKTESEILSGNIMKMAKSFTKRRDADAITMAVRSLRKEARSWFNDGSASVDSGSDDAYAKASAWYYVTYHHSYYGLYNEGMQRDHFLSFPWCVYHLLVQIKKEKARMRMHSSIEQSFSCRLRLD >RHN81537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46831238:46832852:1 gene:gene5597 transcript:rna5597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like cupin domain-containing protein MESVKVVTIKAIEATPANFKDYGQVIEASLDSVGFGPHDAQLDLAQGIPRLYVMHLEHFPLKFSRITHHARVTQCLGSIGGNVWYLGVAKPSVVDPNEIKDDSENTVAKSCGGHFYVPPPVEDVQVFKISGPKFVKLHRGTWHAGPLFKSSTMDFYNLELANTNENDITTHNFKKDNGVTFTIDE >RHN79329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27637729:27638400:-1 gene:gene3106 transcript:rna3106 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLIEDPTPPTHEFNHLLSSIAKTFPKTNGVVVDSYTEMLKMGISLDLVSYNILMKCYADAKLMGYSLSLFGKVFKLGFQSTGFTLNTLLKPLFSSGKVVEAIQFYNSSDFYGIPSYHISIGILVKGLCDQGNVQTALMCVTGSFCPHISMLNPIFDALCKNPDTFHQACKLFADFFHIVDLHGDIFTYNVLIRGYCVTNQFPKAFSLYKKVLLKEIQPNLYKQ >RHN75784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45016781:45021469:-1 gene:gene12032 transcript:rna12032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prohibitin, Band 7 domain-containing protein MKNMKVPSGGAASTLAKLGIIGGIGLYAAANSLYNVEGGHRAIVFNRLVGVKDKVYPEGTHFVIPWFERPVIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPLPGQLPTVYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERAANFNIALDDVSITSLTFGKEFTAAIEAKQVAAQEAERAKFVVEKAEQDKRSAVIRAQGEAKSAQLIGQAIANNPAFITLRKIEAAREIAHVIANSANKVYLEAGDLLLNLQGINLDPSKK >RHN52510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36246587:36248965:1 gene:gene37198 transcript:rna37198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 50S ribosomal protein L30e MFDPLLQAKIVNVVDVSYGGENGFNEAIKLSSEILSNVKFIQETRLIEKYFMEINQNTGKHVVGVDDTLQDLDAGAVETLIVWENLDMTRYVLKNSTTGEVVIKHFNKEQEANESNFRDPESAADYEVQEKQSLLEWFANEYKQFGCTLVIVTDESQEGSLFCKGLGGIGGILRYQLDMKTFDEFSDDGDVSDDK >RHN60357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25786817:25791828:1 gene:gene22662 transcript:rna22662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative B-cell receptor-associated protein 29/31 MLQLLYTAIFGEMLLILTLVFKTPLRKLVIVSLDRVKRGRGPIVVTTVGATLIVVLSSSLYSMAKIQQRTVEAGVLNPTDQVLMSKHMLEASLMGFVLFLSLMIDRLHHYIRELRLLRKTMEAVKKQTRSFEDGKNGSTEEQKALTEEITTLKSKVKKLESECEAKGTKEKTLETEVEALKKQSEGFLMEYDRLLEDNQNLRSQLEAIDQSSSHLDNKKST >RHN59420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10540547:10541308:1 gene:gene21449 transcript:rna21449 gene_biotype:protein_coding transcript_biotype:protein_coding MTASKHQKERTSHNEPVRSTQQSPILIVLRSDSEFLPEDFQSHFYNLKNLESPISQKLKIISPLLEQFLS >RHN74824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36938636:36939837:1 gene:gene10945 transcript:rna10945 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCLTRFWTQLHQCGTKRCKYTRYKPSHSITKTYGTTPIC >RHN57721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41299053:41304405:1 gene:gene33304 transcript:rna33304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MKLMNHLRNLNNGITIHHHILRNRFHPLFFNYPKFFSDSSLPQQPTTPHVQQPPPPSSLNRNELAKFAAIAETWWDSEGPFKPLHVMNPTRLAFVRSALCRHFKKDPYSAKPLEGLKIVDVGCGGGILSEPLARMGATVTGVDAVEKNINIARLHAESDPTTSTIEFCCTTAEKLVEEGRKFDAVMALEVIEHVAEPAEFCKSLAALTIPDGATIISTINRSMRAYATAIVAAEYILRWLPTGTHEWSKFLTPEELVLILQRAGINVEEMAGFAYNPVTGRWSLSDDISVNFIAMGTKANNTE >RHN65742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4869408:4870204:1 gene:gene13623 transcript:rna13623 gene_biotype:protein_coding transcript_biotype:protein_coding MCPNCPLKSSGWLLQVAQKQPHQEPPTQVASRYDHYPEMVSCMDVKCLNKISCTQQSSSQYQILQSQHMIKIMQEAVIGLMSGCHGR >RHN62197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41602577:41605924:1 gene:gene24747 transcript:rna24747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferredoxin--nitrite reductase MSSFSVRFLTPPSITRPKTWLLSAATPSVAPVSTPQVDSSRLEPRVEEKDGYWVLKEEYRGGINPQEKVKIQKEPMKLFMEGGINDLANMSLEEIESSKLTKDDIDVRLKWLGLFHRRKHHYGRFMMRLKLPNGVTTSAQTRYLASVIKKYGKDGCADVTTRQNWQIRGVTLPDVPEILKGLAEVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRPYTNLLSQFITANSLGNPTITNLPRKWNVCVIGSHDLFEHPHINDLAYMPANKDGRFGFNLLVGGFFSPKRCAEAVPLDAWVSADDVIPLCKAVLETYRDLGTRGNRQKTRMMWLIDELGIEVFRSEVEKRMPEKKLERASKEELVQKQWERRDILGVHPQKQEGLSYVGIHVPVGRIQADEMDELARIADEYGTGELRLTVEQNIIIPNVENSKLEALLNEPLLKDKFSPEPSILMKTLVACTGNQFCGQAIIETKQRALKVTEEVERHVAVSKPVRMHWTGCPNTCGQVQVADIGFMGCMARDENGKATEGVDIFLGGRIGSDSHLAEVYKKAVPCKDLVPIVADILVKYFGAVQRNREEGDD >RHN49769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1007361:1008217:-1 gene:gene33977 transcript:rna33977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L7/L12 MALSTTAFTTISTLTLSHHTPLSKKPISTLNFPSKPLSISHHHHHTRLRPISAVATEIEKLGNDISDLTLSQAKDLVDYLQEKLGVTAASFAPAAAAVAAPAAEAAVVEEKTEFDVVIEEVPSNARIAAIKAVRALTSLGLKEAKELIEGLPKKFKEGVSKDEADDAKKQLEAAGAKVTIA >RHN62974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47201754:47205602:-1 gene:gene25616 transcript:rna25616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MAVELMTGYNRNQSFTTKAEENAVQEAASGLESVEKLIKLLSEARHKYQPSSSSSSSFSPSNPNNNNNNPTMDIERDCKAVADIAVSKFKRVISLLEKTRTGHARFRKAPLPQPQPPPSQTLQFQPSEPMIFNATPLQQIPPTVSTTLHRPIIKRNDSSKTLNFSYSSAGNSFISSLTGDDNNKQPSMSSPAGAFQITNLSHVSSVGKPPLSSSSLKRKCSSETLGSGKCGSSSSRCHCSKKSRKMRLKRVVRVPAISLKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDASMLVVTYEGEHNHSLSAADASNLILESS >RHN77616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8166741:8167598:-1 gene:gene1086 transcript:rna1086 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHQKNYSSALEFTKRRNLFKKKLEHIHEFNKGYIYMSNSFIFFTLSSQYINILLKFLFTYFINFFFEEFTYFINLFCNLKTILSNFFGIC >RHN56971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35356908:35358578:1 gene:gene32443 transcript:rna32443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MRRNSPGYYSPPRRGYGGRGGGGGGGGRRGYGGGGGGGGRRRESNNGSLLVRNIPLDCRPEELRAPFERFGPVRDVYIPKDYYSGGYVGLKLKLKQAQAPHLKRTTHSLTCWFPSVLMSYQDRESI >RHN40477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16667733:16672481:1 gene:gene46630 transcript:rna46630 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CCAMK MGYGTRKLSDEYEVSEILGRGGFSVVRKGTKKSSIEEEKSQSQVAIKTLRRLGASNNPSGLPRKKDIGEKSTIGFPTMRQVSVSDTLLTNEILVMRRIVENVSPHPNVIDLYDVYEDTNGVHLVLELCSGGELFDRIVAQDKYSETEAATVVHQIASGLEAVHRANIVHRDLKPENCLFLDVRKDSPLKIMDFGLSSVEEFTDPVVGLFGSIDYVSPEALSQGKITTKSDMWSLGVILYILLSGYPPFIAQNNRQKQQMIMNGNFSFYEKTWKGISQPAKNLISSLLTVDPSKRPSALELLSDPWVKGEKAKDVQMDPEIVSRLQSFNARRKLRAAAIASVWSSTIFLRTKKLKSLVGSYDLKEEEIENLRMHFKKICADRDNATLSEFEEVLKAMNMLSLIPFASRIFDLFDNNRDGTVDMREILCGFSSLKNSKGEDALRLCFQMYDTDRSGCISKEEVASMLRALPYDCLPTDITEPGKLDEIFDLMDANNDGKVTFDEFKAAMQRDSSLQDVVLSSIRP >RHN40368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15672827:15673243:-1 gene:gene46515 transcript:rna46515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKQLESLDLSNNTLSGEIPQTMLSLSFLEVLNLSFNNLKGQIPLGTQLQSFTPLSYMGNPELCGTPLIEKCKHNEALGEDTNDEEGSELMECFYMGMGVGFATGFWIVFGSLLFKRSWRHAYFNFLYDVKDWFISRWT >RHN46004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27669537:27674154:1 gene:gene40438 transcript:rna40438 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKVFPSMNLRSTYHTLPFSFTNEQPYQRLPYQSTRRMELLG >RHN64468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59341845:59347397:1 gene:gene27287 transcript:rna27287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitotic-spindle organizing protein MDPEAARSARESLDLAFHMSNILDTGLDRHALSILIALCDLGVNPEALAAIVKELRKERLPLSSLLPTPPS >RHN66741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18065144:18067085:-1 gene:gene14814 transcript:rna14814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MGKKNKEHNNNNEENSENKGKKESDKCVVFKANIHCDGCSDQISKCLKGFEGISHIKIDRENHRIFLKGDVIKDPSKVLERLQKKFSKNVELISPKPKPENKQKKEPEKKEQAKVKTVVLKMYIHCEGCESDVKKNIEKMEGVESVELDKEKSHVTVKGTVESPKLVEYVKKKFGKHAEIIKDDGKRDQVKKDQGKNNNDKDSGHVIMFSYPPQYSTQYLYPNQNFSDENALACSIM >RHN44543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5912927:5918814:-1 gene:gene38672 transcript:rna38672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MTIGSLAPKTEKKKSSRSSRKNKAVVSENAPLLPKSQESDSGFDDFNGASFSGAVFNLATTIIGAGIMALPATLKQLGLIPGLCAILLMAFLTEKSIELLIRFTRAGKAVSYAGLMGDSFGKYGKAMAQICVIVNNIGVLIVYMIIIGDVLSGTSSSGEHHYGILEGWFGVHWWTGRTFVVLLTTVAIFTPLASFKRIDSLRFTSALSVALAVVFLVIAVGISIVKIISGGITMPRLFPAVTDATSIVNLFTVVPVFVTAYICHYNVHSIDNELEDNSQMQGVVRTALGLCSSVYLMISFFGFLLFGEGTLDDVLANFDADLGIPFGSLLNDAVRISYAAHLMLVFPVVFFPLRLNIDGLLFPKSRPLVLDNFRFASMTVSLIGVIFLGANFIPSIWDAFQFTGATAAVCIGFIFPAAITLKDRYNIATKSDKILCVVMIVLAVFSNVVAIYSDAYALIKQNKTSRE >RHN66700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16720772:16721977:-1 gene:gene14752 transcript:rna14752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGLCFSSNNVVSGSNCKQTNRKPNSTTKTETVTLTETLTLLSLQAAPLPTLPFDLVAEILCRIPVKLLIQLRCLCKSFNSLISDPKFAEKHLRLSTKHRHLMLCSWNNQHKFFMYDFPIHSVFSNSSVTQTQLTYPISLKSTYGAPLAVCSCAGILCLTMRQGSAVLWNPSIRMFKILPTLNYIAHGAHYYPLYSFGYDHFINNYKIIAISFFNEKYETRVYTLGTDSWRKIQDFPNLSLYRPSGVFVGGAVNWLVYDGFRSFIVSLDLEKESYQYISPPVLEMSLFTLGVLKDCLLFSATNTRVTYLVIWVMMEYGNKESWAKLHHIPLMGDPGLWVFNKVLHVSEDDQLLLDFYELGSNKKKLVVYGSKIGTLKIPKFLNADLLMEWEVFVESLISPCS >RHN74794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36721461:36722480:1 gene:gene10911 transcript:rna10911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MQKNSSRKTVKQMESVGSSNKKHKANEDIISNLPDSLITYILSCVPTKDAARTSVLSKRWIDCWTFVTKVNLDDSMFFKHKKKKSGGKRYFINFVNRALHLTKSNTAESFSLVITNKYDISLLNTWISGILKRNVKKLSITTYLELPFSAYTSHILFNYSYKLEELVLEMRCCSIKVPPCSSYDTCSFGSLNVIKLCGIMFTMDESLGILFRTLKKFEMKNCSWLSTDDVTLELNAPLLESVLINQQFRSVNRETRSCKIKFSASCMKEFTYRGYGMSQAIILSDPSAARNASAKITLDKEYGNSVQETQSCASLLLKQFSQVKCIQFYGLEVTIISFY >RHN74479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33854380:33854972:-1 gene:gene10564 transcript:rna10564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MLATLFQSVGPTLISPNKRVSARESTQKRVLLAFLFLYFLLSARSKLKHHTAAVQVPIGLKKDFKGLVDLVQLKAYYFHGLNRFRKEVAVDEVFEEVPADMKALVSEKRRELIETVSKVDEKLAEAFAAISPYQQLILRCVVNNYFYRSFTC >RHN40966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25086107:25101989:1 gene:gene47218 transcript:rna47218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, EAG/ELK/ERG MATTTTEQDTSSALHMHDTATDDATEDSEPENYLDDEDDDEEEEQQPVNYRRSISSTSISGAVDPRAKWVQEWNRVFLLVCAAGLFVDPLFFYALSISDSCMCLFIDGWFAVTVTVLRCMTDALHFWNIFLRFKTAKRSSLTFASHSATADGRRLVALAYLKSRRGFFFDLFVILPIPQIVLWVAIPSLLEQGSVTLVMTIFLIVFLFQYLPKIYHSVCHLRRTQNLSGYIFGTVWWGIALNMIAYFVASHAAGACWYLLGIQRASKCLKEQCYKTNGCGMNLLSCHKPMYYGSTSLLNKDMARMAWAGNREARSTCLNSPDSFNYGAYKWTVQLFTNENRLEKVLFPIFWGLMTLSTFGNLESTTDWLEVVFNIIILTSGLLLVTMLIGNIKVFLHATTSKKQVMQLRIRNVEWWMRKKHLPQGFRQRVRNYERQRWAAMRGVDECEMTKNLPEGLRRDIKYHLCLDLVRQVPLFQHMDELVLENICDRVKSLIFTKGETIAREGDPVQRMLFVVRGHLQSSQVLRDGLKSCCMLGPGNFSGDELLSWCLRRPFIERLPLSTSTLVTLETTEAFGLEAEDVKYVTQHFRYTFVKEKVKRSARYYSPGWRTWGAVAIQLAWRRYRHRLTLNSLSFIRPRRPLSRCSSLGEDRLRLYTALLTSPKPNQDDFDF >RHN78785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18359794:18360309:-1 gene:gene2435 transcript:rna2435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MMVEIVKYVYVIIIFLSLFLVATNIEGKFQKCCKDSDCLDLLYCRTPLKPKCIHERMCKCKAVFTSNDYVLT >RHN51638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21384852:21385401:1 gene:gene36118 transcript:rna36118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MLILSWILFVLKENQLCAKLSKCEFWLKEASFLGHVISKGGISVDPSKVDAVLQWESPKSVFEIRSFLGLAGYYRRFIEGFSKLALPLTQLTRKGQAYVWDAKCEKSFQELKKRLNSTPVLILLNSKESFVVIVRLWLMLQGS >RHN56720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33169437:33170655:-1 gene:gene32159 transcript:rna32159 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPSNFLQKPSPIIVQRQENKKMMCLDEQLQRQLSNISNEDYHGNKFASIPFVWESQPGTPKHRSNQNSLPPLTPPPSYFQNANKKPITKAKKNFFLQSLFHKRTTKKDCVLDRSPAASSTFVSYSSSSSSSSLSVSSPRPTSYSVPSSPMIHSRKGENEDLYDVTSSSVCFGNVRSRGSYSSMFKKVLLGDFM >RHN69623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45015626:45019647:1 gene:gene18115 transcript:rna18115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 3-dioxygenase MASATTISSSEPTKVHTSNISSIKAFAESNGTSLIPSNYHSLTEHGDIIDVADEIAASIPIIDFSLLTSDDPQIHTKAVHELAKACSEWGFFMLTNHGIPESLMEELMKKSLEFHDLPVEEKKEFGDNGEPFSPIRHGTSFHLPAESVHFWRDYLKVLTSPQFNFPHKPPGYREVAFEYSQKITWVARKLIQGISESLGLESNSIIESSGFDSGQQIMAVNLYPPCPQPYLALGLPAHSDVGFLTFLIENGIGGLQVKHEDKWINVNPIPNCLVVNIGDQLEAVSNGRYESVLHRAILNNKDTRISLVVVNGPAEDRDIGPAPELLLKEKPLFKSIKYRDYFLLQQKSRLSDERALDKIRYSAQQ >RHN66886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20840807:20841403:-1 gene:gene15000 transcript:rna15000 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTLPHILYIDHGNTSLKRTVFHCMDCYAPPRQNIHKKTLSQKELDSME >RHN82100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51256829:51257347:1 gene:gene6224 transcript:rna6224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MHGYFSVKSDVYSFGIMVLEIISGKKKGCYAESECVDDIRRYAWTKWPEQTPMELMDPNIEGTYSHEEVINYIHIGLLCVQENPEDRPTMATVAFYLNSSSTNLPSPLEPPYFKRNGTEDNMPPNIALDNISDSTNGVTMTEFFPR >RHN56044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27138746:27139175:1 gene:gene31366 transcript:rna31366 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVDVAEACVLRKMCKEKMKEGEEAKGPKNSMIEAKTQRSSGCLFWFSKKLGRITRIRDINETERKKKVLIR >RHN47259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38346267:38347106:-1 gene:gene41834 transcript:rna41834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MASMYNINPILHFLQFIILLKLIQIPLCLSTNEGCENLFNCGNIKQIGFPFWGEDRPKECGHPLLNLNCTNNTSYITINEVKYNVLEAKPDEHTLRITKEDYLQGLCPTKLVNTTLDPDLFVYGPDYNNLTLFYGCPPSITFPLNGRFLCPSNGYSDEFVYTWFGYNLDPQAFSCRESMVVPVLNSLIAVGDLTRIRSAIGGEFVVRWIAGIQDCEKCQKSGGFCGYNWTSRQTTCYCGDQPCSNGQSQKSPSGMSKDVCKLLYFINGNLIRTYYFFTL >RHN67085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23633088:23633968:1 gene:gene15226 transcript:rna15226 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHFCLSYISPNSLQSLKEISLSLSLFAKPKQHSIKKVANKKKLFAKPKMGNCMKHQKYATDDEDDWDFQASEGDSPAKSNYTGAKATTEVKIKITKKQLEELLSKVDIKELRVEQVLAQLMNHSNGYESLQRSWRPALQSIPEAD >RHN80763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40702956:40703611:1 gene:gene4728 transcript:rna4728 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCIWFIRSNLFLCYLLIPLTHRHRRRYDPDDDLLHDINTYRKALNLPILEKNYKASCLANRIAYDLEDKHCEYFHDFHPLPGINPEIPNFQRSVKKCDININTTRDGVVMPVCVPEIMHENDLFSNYTKNSRFTPYLNNSKYTLAGVGHDLDKMVLIIGTNTASGDFSSATYLLHGASKGRHWLLMTTAFLSLFVFLFN >RHN81491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46396874:46408257:-1 gene:gene5540 transcript:rna5540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase (phosphomutase) MAATSGKIVQNVFTSQCCQQNRQSSRRDYCAPYMRNSLPSPSGKLTWTGISSMQLRTLSKPRNDITIQRNILCNASASSTVVPYLDNTDFQKLQNGSDIRGVALDGVEGEGVNLTEPVAEAIGAAFAGWLVEKKKADASQHLRVSIGHDSRISAKLLQNAISRGLAGAGLEVVHYGLASTPAMFNSTLTKDEAFLCPVDGSIMITASHLPFNRNGFKFFTNAGGLGKPDIKDILERAANIYNQFTDESLKNSEIMASLSIKIVDYMTVYTSDLVKAVRKAAGNIEKPLEGFHIVVDAGNGAGGFFAAKVLEPLGAITSGSQFLEPDGLFPNHIPNPEDKTAMKAITKAVLDNKADLGIIFDTDVDRSAAVDSTGREFNRNRLIALMAAIVLEEHPGTTIVTDSVTSDGLTTFIEKKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENNWLDDGAYLMVKILNKLASARASGVGGGSNVLTGLIEGLQEPAFAAELRLKINQNHPDLKGGSFREYGETVLKHLENSISSDPNLQKAPVNYEGIRVSGYGGWFLLRLSLHDPVLPLNIEASNNDDAVKLGLVVLAAVKEFAGLDTSALNKFVGS >RHN41609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31461733:31464957:1 gene:gene47951 transcript:rna47951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MKFMKLGSRPDIFYTKDSVRSVSSEVSSDLIIQVKGTRYLLHKFPLLSKCLHLQRLCLESLDSGNHQIVQLPDFPGGEEAFELCAKFCYGITITLSAYNIVSTRCAAEYLQMTEDAEKGNLIYKLEVFFNSCILRGWKDSVVTLQTTKALSLWSEELSITNRCIEAICSKVLKRVRNEDDISCSGTESLRDKSVRKGWWGEDLAELKIDLYWRIMIALKSDGKMPLNLIGDALKIYASRWLPKTGSDSDSRSEIASKYSLLLESIVNLLPAAKGVVSCSFLLKLLKVANDLKVFSSLKIELVKRVGLQFEEVTVNDLIMVCDVDLVMNILEEFMLQISQSPPTSPQRSRFAFERRRSRSAENVDFELQESRRSSSVSHSSKLKVAKLVDKYLQEVAKDVNLPLDKFVAIAETVPEFARCDHDDLYSAIDTYLKAHPELDKTERKRLCRILDCKKLSISSCMHAAQNELLPLRLVVQVLFFEQARAASSGGKVTNISSNIKALLTSYGIDPSKHAAQLSTSTSIHASDNWSVNGLNSPKNTTLRMKLAEDDFDENNDVEINGIGRSSRFKSFCSLPTKPKRMFSKLWSTNRSTTQKN >RHN79642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30833606:30838705:-1 gene:gene3459 transcript:rna3459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UMP kinase transcription factor Trihelix family MASCDDDDDFSLLHDDNNHHSHHHHLHQTFAPHHHHNHHSHHHFSTASVQSKHITTSVSAAVADDDGDDTDDYGNPFDDDAGSEKRKDRDRDEICDATTSYGFNNKRSKPVSSGNGGGSAGVGAEYRKDREEWSDTAIVCLLEAYTEKFTQLNRGNLRGRDWEEVASMVSERCENQSKSVEQCKNKVDNLKKRYKLERHRMNNGCISASHWPWFKQMEHIVGNSLPAKFADDDKAIVPASISPRQSKRYGVATSSSGGQVNSLKSKALSNLRWRRVVLKISGSALTGSDTCNIDPKVAMLVSNEVAIASRLGVEVAIVVGGRNFFCGDAWVTATGLERCTAYQVGMMATVMNSLLLQSTLEKMGVQTRVQTSVSMQAFAEPYNRQRAIRHLEKGRVVIFGGIGFGAGNPLFSTDISAALRASEINAQAVLKGTNVDGVYDCNSRDNNFTFEHISFRELVSRGVTSMDMSALTFCEENAIPVVVFNLLAPGNISKALCGEQVGTLIDQTGAIS >RHN44824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8941815:8942312:-1 gene:gene38989 transcript:rna38989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MLLGYTLFAGKNIKTISLLWMLAIRDLANIGTWSWDAMGLDFLYEQLNLTSESNVGSVGGYMSLLVGWVIAHFRHVVPDTSTCVCEFIMTLLFRLSTKKKKKKRYLCLKFDVVVTSDNFLNTLFYHLFSILK >RHN50369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6520412:6525912:1 gene:gene34647 transcript:rna34647 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLAWAADVVGGNGGTESATEDSSIPILFSDSQKTYVRELDSKTNSLQRSIQDLRLRLPPPDISQRLPHLHAHSLASNNALALQLNSHSSTRHQAQLREETLKEENAAFENTISNCENKIKEKIQEAELLRSKLEEMDETEKKLRAELEDMQSRASVNAGQSWISEGWEEENKINDKAGFDADAEALKTTMLEKLDEKKKELSSMEDTVKALEKKWAAVQENALKQPSPAQREKTLDKQLHGLLQQLAVKQTQAEGLLEEIHPKEMELERLNAQWRQLQSNNSDVNNARNRFVRGSSDKLHGLSDYDGHQRLPYHSAGRTESQQRLMLLRSAFVLYILALNIIVFIRISF >RHN73788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19895571:19896473:-1 gene:gene9650 transcript:rna9650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MQEELTQGYTQIKGVDFDENFALVAHLESIRLLLGVACMFKFTLYQMDVKSAFLTEYPNEEVYVEKPKGFIDPRFPDHVYKFRKSIYGLK >RHN55623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20193909:20195201:1 gene:gene30830 transcript:rna30830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-YABBY family MNHEEKVTMDLVPPSDQHLCYVRCNFCNTVLAVGIPCKRLLDTVTVKCGHCSNLSFLTTRPPSSKNQTVDHTLSLQGIYSSKKGQPSSSSSPTTSTESLSPRPPPFVVKPPEKKHRLPSAYNRFMKEEIQRIKVANPQIPHREAFSAAAKNVCIFHPLLFSYSYLCVGLL >RHN69078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40834114:40837719:1 gene:gene17506 transcript:rna17506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MEAKKEEALKAIENAEKRFSHRDFVGAKSYALKAKTLCPGLEGISQLVTTFEVYIASQVTCNGELDWYSIMGLNPSTNIEAVKKQYKKMAGLLHPDNNKCVGADGAFHLVSEAWARLSGSYDMKRNAQLGAGNGVNHKGLSSVHASGGNQDTFWTICTSCKVQYEYLRKYVNKKLSCKNCRGIFIALETAPANGSFPYSPWSYGSSSGYGSHSYDGVTYVPTNGAYFNGNGVPGYHSKHGYEYVSNVPYQLGSAGYVNQNGSTTLSACQTNGKAKRGRPKVKSGADRKHCLTETVVNISSDVSFSRNEPQEVKPSRPEKKRKVLGASLRNVHEGKGSKCASELALANGNGSVGHGQRISSTSEIPTKQYSMAPAFDARKLLIEKARTEIRKKLEEMKLASETAAAVIEGKKSQADVGQVKGDICTKTALNVSDNQLEHRKTVPVTITVPDPDFHDFDKDRSEPCFKPKQIWALYDEEDGMPRLYCLIREVVSVNPFKINISYLSSKTDSEFGPVNWLVSGFTKSCGNFRAMTSDVVDQVNIFSHVLSRVKAGRGGCVRIYPKCGDVWAVYRNWSTDWNRSTPDEVRHQYDMVEVLDDYSEELGLCVSPLIKLDGFKTVYKRNADKSAIRYIPRREMLRFSHQVPSWLLKGEEASNLPDKCWDLDPAATPDELLHAAIEANAS >RHN58612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3281504:3295740:-1 gene:gene20538 transcript:rna20538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHSDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWICTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLDGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAIGYMKGSRRVVIGYDEGTIMVKLGREEPVASMDNSGKIIWAKHNEIQTVNIRSVGADAEIADGERLPLAIKELGTCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEIVWSSDGEYAVRETTSKIKIFSKTFQEKKSIRPTFSAERIFGGTVLAMCSNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVAIASDASFYILKYNRDVVSSYLDSGRSVDEQGVEDAFELLHEMSERVRTGIWVGDCFIYTNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVVGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVAHFLESRGMIEDALEVATDPEYRFDLAIQLGRLEVAKDIAVEVKSESKWKQLGELAMSTGNFEMAEECLKHAMDLSGLLLLYSSIGDAEGISKLATLAKEQGKNNVAYLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLSKVNPKAAESLADPEEYPNLFDDWQVALAVESKAAETRGVYHPAEEYINHAGKSHVTLVDAFRNMQIEEGDQPLENGDSNHELTEHNGEEDYTEGHEEQNGEEDYTEEQEEQNGEEGSQDDAVVVDADSSDGTVLINGNEADEELSTNKEGSV >RHN42451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38467174:38468806:-1 gene:gene48894 transcript:rna48894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polynucleotide 5'-hydroxyl-kinase MGSSSAFVLPDIYIPQKWSQAANSITSSPTPPITLVCGASNCGKSTFSRNLLNVLLTRCNKVAYLETDVGQPEFTPPGFVSLTIVNKVTPVFYKQSLFFGDVSSQKDPSTYLKYICSIYDYYRKEYCTFDKRQNSSKIHLPLVVNTSGWVKGKLGHFVFFRHINYCFSRPITIYQLLCYSFSVFCLAFQVMVIRY >RHN72015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4368170:4369098:-1 gene:gene7682 transcript:rna7682 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGVHPQKQWISYVTQSGRLLHVMMTKIHPVGKVYHFRAKRQMAESLGQIAKFKRRFGLENPEASASASTSANAVEKK >RHN73209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14403529:14407808:-1 gene:gene9000 transcript:rna9000 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPDSTAPALPLSSTKENITPISSKIAELNESRSELLGRIQSLKQDLQGWRSKLDTQVKVYRDELSDLKQTLNVEVEQLRAEFQDLRTTLQQQQEDVNASLRNIRLEDVSADAKQAQSQETKIEEIVKEEQPVLPKEENAKVAEN >RHN41101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26987219:26990075:1 gene:gene47390 transcript:rna47390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MEEENEGIDRLTTLPNSLLCHILSFLPTKTSVDMSLVSRKCRHLWKTLDVFNFHDNCDEYTYQGPDDEYNEQFMLFTVFVNTVLSLRRSRVIRKFRLSCDHIYPDPFAGHSIDTWISTAIGPHLEEFHLTIFSADGFSNLPLTLFSCCSNLVSLSLNGYILLQLQESSVFCLPSLKVLQLLEYRLDLNSVNILLSRCSVLENLEISFNPESLAIIRVPSSLKRLKITVENDVGALLEIDAPGLKYLSLKHITFCDATAVGNFHNVEEAYLDVSHSAMPLLLGDFPEFRYLLHLQLGLLSFNSTFLFDMLQKCPLLQSFTTYLFKKVNRSYDSSPSYKWEAKPKSVPKYLVSHLTFIRFQGYIGNEMEFIGYVLQNGLVLKTMIIYEYWLKSLDQPKTKEWLKKISDLPRGSAMCQVKFW >RHN48509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48040208:48041777:-1 gene:gene43230 transcript:rna43230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MPQLDKFTYFTQFFWSCLFLFTFYIPICNDGDGVLGISRILKLRNQLVSNRGNKIRSNDPNSLEDIFRKGFSTGVSYMYSSLFEVSQWCNAVDLLGNRSKITFLSCFGEISGSRGMERNILYLISKSLYDASSSNPK >RHN77071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3762595:3764823:1 gene:gene481 transcript:rna481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived nuclease domain-containing protein MKLPTFADPESLSYVYTLLQNSFDQMNDPNNTATSTNIRKRRRKNEDGGDDDEDHDGSINEGGGKNKKNKKEELKGILTSILLLDEQEKQEIEDSKKVSEDEKFSLEANHKKKTKAMVDYYSNLEDGYSQVEESEKVRRRKTRNMSNSVAIAATCSENFEANSEGVNVNDVNSGKSNGGGSQRRLWVKDRSGAWWDECNKEDFPEDEFKKAFRMGKSTFDLICEELNSAIVKEDTTLRTAIPVRQRVAVCLWRLATGDPLRIVSKRFGLGISTCHKLVLEVCTAIKTVLMPKYLQWPNETSLRKIKNEFEGMSGIPNVVGSMYTSHVPIIAPKISVAAYFNKRHTERNQKTSYSITVQGVVDTNGVFTDVCIGWPGSMPDDQVLEKSALFQRANNGGLLKGVWIVGSSGYPLMDWVLVPYTQQNLTWTQHGFNEKIGEIQKVAKDAFARLKGRWSCLQKRTEVKLQDLPVVLGACCVLHNICEMKGEKMDPELMVDIVDDEMVPEVSLRSVNSLKARDAIAHNLLHHGLAGTSFL >RHN82176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51707657:51708921:-1 gene:gene6303 transcript:rna6303 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSAFDSECSSGCESGWTLYLEHSYEDTRFIGGTEGYYGNQHKDKRVTNEYSEEGVEDLSMVSDASSGPPHLPYDDDVYFNEKDNVVKKHKKGTKKQKVRENKQHAIAVEDQQHLPSFLHDTASSHVFDFSTNNVVGTNQQNYVGNMVDYSQGFSATNNYFEGKSSYQEEKFGFLQPPLSKNDLQGNNWYGGKNQFY >RHN56947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35158025:35164847:1 gene:gene32417 transcript:rna32417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructokinase MALHCGGSFTFTSVVTNPPGSVKFSQPIVKASVFPSTHSPPNVRLNFKGKTKAIPENGSPETKESPLVVCFGEMLIDFVPTVSGLSLADAPAFKKAPGGAPANVAVGISRLGGSSAFIGKVGEDEFGYMLADILKENNVNSQGMRFDPGARTALAFVTLRSDGEREFMFYRNPSADMLLQEDELDLDLITKAKIFHYGSISLITEPCKSAHIAAAKAAKEAGVFLSYDPNLRLPLWPSADSAREGILSIWETADIIKISEEEISFLTNGEDPYDDAVVRKLFHPNLKLLLVTEGAEGCRYYTKEFSGRVTGMKVDAVDTTGAGDAFVAGILSQLATDLSLLQKEEQLRESLRFANACGALTVTERGAIPALPTKETVLNALLKPVS >RHN49612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55919941:55921431:1 gene:gene44461 transcript:rna44461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I MASSASSSTFSSFTLVDGKPPCRHSAASPQCVSLPPLQSHTRPWKTTAFCRKIARNVISMASSTTTETEADAATTEVASTDPSTVTDSPELVKTIQQTWDKVEDKYAVSALAVAGTVALWGSAGVISAIDRLPLIPGVLELVGIGYTGWFAYKNLVFKPEREALIRKIKGTVKDITGIDT >RHN70067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48423038:48427819:1 gene:gene18610 transcript:rna18610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromophore lyase CpcT/CpeT MCKESESESDSNGWNRAQGLALKALLLLGGALLVKRLRKSTTRWDHTHLVTQSLTGEKYSKDQASRDPDNYFNIRMLTCPAAELVDGSNVLYYEQAFWRSPQKPFRQRLLMTKPCPKELKCDVELSTYAIRDMEEYKNFCDRPKDQRPQPEEVIGDIGEHLTTIHLKRCSRGKRCLYEGSTPPEGFPNSWNGATYCTSELAVMKNNEIHTWDRGYDDDGNQVWGQKEGPYEFKPAPTSCFNDTFSPLNFPPPPSMDRRIEGSFVLQE >RHN64444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59136522:59137605:1 gene:gene27263 transcript:rna27263 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTSKFGILRTFQSWIQNVVTLNHSMLETTSDFCRIVA >RHN82623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55247178:55248813:1 gene:gene6798 transcript:rna6798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MMSMNCHELCKPVTILILVNLALAFVNLLLKMVLNEGMDYMSIVTYRQAISFIFMAPIAWFYERKHKPEAHIICLLFLSALIGVTLPQYLFLLGLEYTSATFSCAFLNMVPVFTFIMSLPFGIEKVNMKSKSGKAKILGTIVCIGGVLLLALYKGISFNNPQSQHVANTYTSVPPAAKLEKWIIGSILLTAGSLLWSSWFVIQEKISKKYPCQYSGTAILSLFAAIQSTILTLVIKRNNASWIIKGKLEIMCLIYAVRMLFSRHRYIL >RHN56709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33053920:33056301:-1 gene:gene32147 transcript:rna32147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MDSRIQFVLFVVTFATILSPTIAKLTPNYYDRICPKALPIINSIVKQAIIREPRMGASLLRLHFHDCFVNGCDGSVLLDDTPTFIGEKTAFPNINSIRGFEVVDQIKEAVTKACKRDVVSCADILAIAARDSVAILGGKQYWYQVLLGRRDSRFASRDAANTNLPPPFFNFSQLITNFKSHGLNLKDLVVLSGGHTIGFSKCTNFRDRIFNDTNIDTNFAANLQKTCPKIGGDDNLAPFDSTPNKVDTSYYKALLYKRGLLHSDQELFKGDGSQSDRLVQLYSKNSYAFAYDFGVSMIKMGNLKPLTGKKGEIRCNCRKVNQI >RHN56386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30476690:30482340:-1 gene:gene31778 transcript:rna31778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MNFSGTITKPNFPFPPTEMPILTFGHSKLTAATLALQLPCNYIRKIRFITKLQCSVAGRTDSYRTSAADSQGSKRDSGEIQRKRRGASSLYAGYARPSLSEMKKDKATLRKVVYEFLRGIGIVPDELDGLELPVTVDVMKERVDFLHSLGLTIEDINNYPLVLGCSVKKNMVPVLDYLGKLGVRKSTITQFLRTYPQVLHASVVVDLVPVVKYLQGMDIKPDDIPRVLERYPEVLGFKLEGTMSTSVAYLIGIGVGRRELGGILTRFPEILGMRVGRVIKPFVEYLESLGIPRLAIARLIETQPYILGFDLDEKVKPNVKSLEEFNVRETSLASIIAQYPDIIGTDLEPKLADKRSVLNSVLDLDAEDFGLIIEKMPQVVSLSSTPMLKHVDFLKDCGFSVDQMRKMIVGCPQLLALNIDIMKLSFDYFQSEMERPLEDLVEFPAFFTYGLESTIKPRHNMVTKKGLKCSLAWMLNCSDEKFEQRMDYDTIDMEEMEMEPSFDMNSLMRPRSDESDSDYEDSDYEDDDDE >RHN59187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8290962:8292026:1 gene:gene21182 transcript:rna21182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MEKKTVLYLPHELIIQILMRLPVKSLICFKCVCKSWFSLISDPHFENSHFQLASATHTCRFLCISTQSHEIRSIDFEAFLNDDPASVNLNFSLPESYFPVEIRGSCRGFILLYRPPNIHLWNPSIGFKTQIPVSPFDSKSIAHCHGFGYDQSRDDYLVVEFSHVSSHLEVFSFRDNTWKEIDGHTHFPYVVVPSQRKGFLFNGAIHWLAYRRDLKLNVIVTFDLMEKKMFEMPVPSDFNNGYLYSLWVFGEFLSLCAKDYDNDTIVIWVMKEYKVHSSWTKTLVLSVDAIPDHYFQPIYSTKNGDIIGRNLSTRLVKYNDKGQLLGQRSFCNSQSEIVMYTESLLSLLGDNEHD >RHN75529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43042882:43044874:1 gene:gene11750 transcript:rna11750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase transcription factor WD40-like family MPYMTLTRGLAIVILLFALYCGLDPFKQSPIQGFPDFEVHKINLPSWSEVPLDHDKDNLLLKSELMFVNQVQGPESIAFDSHGRGPYTGVADGRILFWNGLSWIDFAYTSPNRSELCNLKASATPLSYVETEDICGRPLGLRFDKKTGDLYIADAYFGLMKVGPQGGFATSLATEAEGVPFRFTNDVDIDTEGNVYFTDSSTKYQRRNFIQLILSGDNSGRVLKYNSATKETTVLVRNIQFPNGISLSKDGSFFVFSEGVIGRLCKYWLKGDKAGTLEILAILPGFADNVRVNENGDFWVAIHCRRYMYSYINALYPKIRKAILKLPIPTRIQYLLHIGGKMHAAVVKYSPDGKLLQILEDNEGKVVKAVSEVEEKDGKLWIGSVLMPFIAVYHLT >RHN64461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59266659:59273476:1 gene:gene27280 transcript:rna27280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MATDIRLEGDFVSVQPVEDHDSNQDSEKSKDKDVTTKTVPLYKLFSFADPSDRLLMLMGTLGAIGNGLSIPLMILIFGTMINAFGDSTNSKVVDEVSEVSLKFVYLAAGTFVASFLQLTCWMITGERQSARIRGLYLKTILRQDVSFFDKETNTGEVVGRMSGDTVLIKDAMGEKVGQFIQFMSTFIGGFVIAFTKGWLLTVVMLSSIPLLILSGSMTSMVIAKASSTGQAAYSKSAGVVEQTIGSIRTVASFTGEKQATANYNRSLIKVYKTAVQEALASGVGFGTLFFVFICSYGLAVWFGGKMIIEKGYTGGDVMTVIFAVLIGSTCLGQTSPSLSAFAAGQAAAFKMFETINRKPEIDAYDTSGKKLDDIRGDIELRDVCFSYPTRPDELIFNGFSLSLPSGTTAALVGQSGSGKSTVVSLIERFYDPTDGEVLIDGINLKEFQLKWIRQKIGLVSQEPVLFTCSIKENIAYGKDCATDEEIRVAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQEALNRIMINRTTIVVAHRLSTIRNVDTIAVIHQGKIVERGSHAELTNDPNGAYSQLIRLQEMKRSEQNDANDKNKPNSIVHSGRQSSQRSFSLRSISQGSAGNSGRHSFSASYVAPTTDGFLETEDGGPQASPSKNSSPPEVPLYRLAYFNKPEIPVLLMGTITAVLHGAIMPVIGLLVSKMISTFYKPADELRHDSKVWAIVFVAVAVASLLIIPCRFYFFGVAGGKLIQRIRKLCFEKVVHMEVSWFDDVEHSSGALGARLSTDAASVRALVGDALGLLVQNIATIIVGMVIAFQASWQLAFIVLALAPLLGLNGYVQVKVLKGFSADAKKLYEEASQVANDAVGSIRTVSSFCAEEKVMELYKQKCEGPIKKGVRRGIISGLGFGSSFFMLYAVDACVFYAGARLVEDGKSTFSDVFLVFFALSMAAMGVSQSGTLVPDSTNAKSAAASIFAILDQKSQIDSSDESGMTLEEVKGDIEFNHVSFKYPTRLDVQIFNDLCLNIRSGKTVALVGESGSGKSTVISLLQRFYDPDSGHITLDGIEIQRMQVKWLRQQMGLVSQEPILFNDTVRANIAYGKGGDATEAEIVAAAELANAHQFIGSLQKGYDTIVGERGIQLSGGQKQRVAIARAIVKNPKILLLDEATSALDAESEKVVQDALDRVMVERTTIIVAHRLSTIKGADLIAVVKNGVIAEKGKHEALLHKGGDYASLVALHTSDSTS >RHN67723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29747131:29750409:1 gene:gene15943 transcript:rna15943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MAYANGFTPAMKMGFVFSSQISPKQRYPLVYPSSKCGFLLKFNDGSFKYQNPLFVAKPISIKRKNSVELDQFVTSDDEEEEEEMGDGFLEAIEELERMTREPSDVLEEMNDRLSAKELQLVLVYFSQDGRDSWCALEVFDWLRKENRVDKETMELMVAIMCGWVKKLIMEKHGVGDVVDLLVDMDCVGLRPGFSMIEKVISLYWDMGEKDGACLFVQEVLRRGIACNEDDPDGHKGGPTGYLAWKMMAEGDYRGAVRLVVRFREAGLKPEIYSYLVAMTAVVKELNEFAKALRKMKSFARAGLITEFDLEDVKLTEKYQSDLLVDGARLSKWVIQDGSPSSLRGVIHERLLAMYICAGRGIDAEKQLWEMKLVGKEADGGLYDIVLAICASQKEAKSTARLMTRLEVASSPQKKKSMSWLLRGYIKGGHFSEAAETVMKMLELGFYPEYLDRVAVMQGLRKRIQQYGNLDLYIKLCKSLSEANLIGPCLVYLYVRKYKLWVVKMI >RHN53939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5519252:5526825:-1 gene:gene28910 transcript:rna28910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP 3',8-cyclase MFARRIVAAVAAASPRSKRIFSTSSSYSVTHDYQTSIQELNKEMESVFGDFPPDGLPSSVSNSPANDESHLSSRNIGESSFELTHTGNSGEAQMVDVSPKESSKRTATAVCKVNLGKKVFDLVLANQMEKGDVLTVAKIAGIMAAKQTSNLIPLCHNIGLTHVQVDLRLNHEDFSVTIEGEAASMGKTGVEMEAMTAVSIAGLTVYDMCKAASKGISITDIRLKHKSGGKSGDYSWGQ >RHN48878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50867282:50873562:-1 gene:gene43643 transcript:rna43643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclear pore localization protein NPL4 MMLRIRSRDGLERVTLDNPNNKTVSDLKTIIEKQLRIPTHNQTLSTNQNLLLAKSLQDLLLFTDMSNPNTPLTALNLSHGSIIFLAYEGERTVQGPAAFSPAGSFGKKMTMDDLIAKQMRVSRQENPHCELVSFDRDCANAFQHYVNENLAFAVKRGGFMYGTVTEEGKVEVNFIYEPPQQGLEDNLLFFRDPEEEKCVEAIAAGLGMKRVGFIFTQSVSQDKKDYTLSYREVLQAAEYHAESGLKEWVTAVVKLEVNEEMGGADVHFEAFQMSDVCIRLFKEGWFDTEVKEDHDPKLSIMKKDVVVGVKDTKEVDNDFFLVVVKISDHQGPLSSTFPVENRNTQVTAMALKNHLERTKNLPFVKRISDFHLLLVLARVLDVSADVPALTECVQTQSAVPEGYQILIESMASAA >RHN60611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28806950:28825601:1 gene:gene22951 transcript:rna22951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein MQPNLFPFGSALSNNPFILNEGGGGGEGGIDSSRVLVLLPFFLLSQGGAMDLSKVGEKIFSSVKSARSLGLLPSLPDRPEVPARAVAAAAVARALAGLPPHQRYSLSSSSEELSSIYGSRSNDPVVEELEDEFYEEDFDPIRHVLEHVPSEENELTYFEKQATLRITQLDKVAEHLSRHVMEHHEVMVKGMNLVRELEKDLRIANVICMNGRRHLTSSLNEVSRDLIVNSYSKKKQALVDMLPVLTELRRALDMQSTLEFLVEEGNYWKAFQVLSEYLQLLESFPELSAMQEMSHGVEVWLGRTLQKLDALLLDVCQEFKEDDYLTVIDAYALIGDTSGLAEKIQSFFMQEVISETHSVLKTIVHEDEEGLAQNSRLTYSDLCLQIPDSKFRQCLLRTLAVLFDLMCSYHEIMDFQLERKDSVPQTSDKCNEDSSCSLGEAREVDSVATACNNSMSSSGDVIHDSSSTISAPTEITGSPPYSDFHDPVDEAREEESSASSIDSPWYHLRKEATTFVSQTLQRGRKNLWHLTASRVSVLLSSAAACSASIHLFLKNYEDLSVFILTGEAFCGIEVVEFRQKLKVVCENYFIAFHRQNVHALKMVLEKETWLKLPPETVQLISFAGLIGDGAPLISLSVSKSMNINAIHSNNKSVNMVHTGARKSGFSHWIKNGNPFLPKLSTSKEGHGIPQPNGSSYGEFDGGSVNNYHDDRVSTRKNDSSPLNGANSVSEDENEDLLADFIDEDSQLPSRSSKPHHSRSNSSHGNDEESTAQTGSSLCLLRSMDKYARLMQKLEVVNVEFFKGICQLFEIFFYFIYETFGQQNTNSSGKSSVNSLNYRLRTALSRINQECEEWLKPPHSSSPTSSSSFVHAELTPTSPPNMNFGHPSGTAFSLKERCVAVDTISLVARILNRSKAHLQSMLLQSNSTVLEDFYVHLVDAVPDLTEHVHHTTVRLLLHINGYVDRVANCKWEVKELGMEHNGYVDLLLGEFKHFKTRLAHGGIRKETQDTLLDYGLDIVAETLVEGLSRVKRCNDEGRALMSLDLQVLINGLKHFASLNVKSKLQMVETFIKAYYLPETEYVHWARGHPEYSKSQVVGLVNLVASMKGWKRKTRLEVLEKIE >RHN67751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29967722:29972503:1 gene:gene15976 transcript:rna15976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamoyl-CoA reductase MSKTVCVTGASGAIGSWVVRLLLERGYTVHATIQDLEDENETKHLEAMEGAKTRLKFFEMDLLNSDSIAAAVKGCAGVIHLACPNIIGEVKDPEKQILEPAIQGTVNVLKVAKEAGVERVVATSSISAIIPSPSWPADKIKAEDCWTDLEYCKEKKLYYPIAKTLAEKAGWEFAKETGFDVVMINPGTALGPLIPPRINSSMAVLAGVLKGDKETYEDFFMGMAHFKDIALAHILGFEQKKASGRHLCVEAIRHYSDFVNLVAELYPEYNVAKIPTDTQPGLLRAKNASKKLIELGLEFTPAEEIIKDAVECLKSRGLV >RHN52159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32113583:32114585:-1 gene:gene36789 transcript:rna36789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MYNTIIDSMCKDKLDNDAFDLYSEMVSKRIFPDVNTYNALINGFCIVGKLKDAIGLFNKMTSENINPDVYTFNILVDAFCKEGRVKEAKNGLAMMMKQGIKPDVVTYNSLMDRYCLVNEVNKAKSIFNTMSHRGVTANVRSYSIMINRFCKIKMVDQAMKLFKEMHHKQIFPNVITYSSLIDGLCKSGRISYALELNDEMHDRGQQPDIITYSSILDDLCKNHQVDKAIERPGLEDARNIFEDLLVKGYNITVNTYTVMIHGFCNKGLFDEAMTLLSKMKDNCCIPNAVTYEIIIRSLFDNDENDKAENFFVK >RHN41479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30326911:30328679:-1 gene:gene47810 transcript:rna47810 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGNHGVFSCMVIHKPSSSAIFHVSKIGNISYYSSIRSHRSNRHISY >RHN74412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32945333:32945611:-1 gene:gene10473 transcript:rna10473 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLSVFLAGSGGFGCFGAVVSREYAAVSSNFCAGWLLSSAVFGVGRPVFAVFWCYPPVDQFVSVLEMISELYESFI >RHN69491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43842469:43844428:1 gene:gene17970 transcript:rna17970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine/threonine-protein phosphatase with EF-hands MLFMNMSILSSTDLHRIFEKLDTNCDGFVSLEELNSVLQRICNTSSQFSLEELESLVEKKSLDFNEFLFFYNSISKEKNEENRGGDEDENDELERDLVKTFKVFDLDGDGFITSQELECVLKRLGFLDESSGKDCRSMIRFYDTNLDGRLDFQEFKNMMLITSV >RHN72178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5532058:5535280:1 gene:gene7861 transcript:rna7861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MISSGGTMEITKIFAYFVIALFFLFASTQYLVTSLNVSTLCIKEERVALLKIKKDLKDPSNCLSSWVGEDCCNWKGIECDNQTGHVQKFELRRYLICTKTINILSSPSFGGKINPSLADLKHLSHLDLSYSDFEGAPIPEFIGYLNMLNYLDLSNANFTGMVPTNLGNLSNLHYLDISSPYSSLWARDLSWLSALSSLRYLDMNFVNITNSPHELFQVVNKMSYLLELHLASCNLGALPPSSPFLNSTSLSVLDLSGNHFNSSIPSWMFNMSTLTDLSLSSTSLTRRMPSMLGRWKLCKLQFLYLSYNSLIADMTEMIEAMSCSNQSLKSLDLSQNQLFGNLPNSLGQFKNLFSLDLSKNSWNTHSGVSGPIPASIGNLSNLNSLSLEGNMLNGTIPESIGQLTDLFSLNLLDNYWEGIMTNIHFHNLSNLRSLSVSSKKNTLALKVTNDWVPAFKNLSYVEIRDCKVGPTFPNWLTNQVQLNDIILENAGISGEIPHWLYNISSRIGILDLSRNKISDYLPKEMNFTSSNYPRVDFSHNQLKGSIQIWSDLSALYLRNNSLSGTFPTNIGKEMSYLRYLDLSHNYLKGSIPLSLNKIQNLSYLDLSSNYFTGEIPKFLMGMHSLNIIDLSNNWLVGGIPTSICSIPLLFILELSNNNLSADLSSAFHNCISLETLSLRNNKFHGSIPNEIRKNVPSLSELLLRSNTLTGSIPEELCHLPSLSVLDLAENDLSGSIPSCLGDINGFKVPQTPFVYPVYSDLTQGYVPYTRHTELVIGGKVIEYTKEMPVHSIIDFSKNYLSGEIPENITQLIHLGALNLSWNQLTGNIPSKIGSLTDLEYLDLSHNNLSGPIPPNMASMTFLSRLNLSYNNLSGRIPLANQFGTFDASIYIGNPELCGDHLQKNCSSLLPGNGEQEIKHQDSEDGDDDKAERFGLYASIAVGYITGFWIVCGSLMLKRSWRHAYFNFVYDTRDKLLVLMAINLPRLKRKFGLESN >RHN79658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30967726:30971601:-1 gene:gene3476 transcript:rna3476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyadenylate binding protein MQISHTHLHQPLFLSLHILHSLHILHSLSLPKPLQLCSFFSSLSDSTMTDSEKDAVPASPAATLYVGDLHSDVTDAQLYKAFSEFNTLISARVCKDSATGKSLCYGYVNLSSHQEAIRAIELKNHSSLNGKVIRVMWLSRDADARKSGKGNVFVKNLAASIDNVGLHDLFQKYGNILSSKIARSEDGKSKGFGYIQFDSEESANVAIQKMNGSTVRDKQIYVGKFIRKSERSLPDLDAKFTNLYVKNLDPVVTEKHLGEKFSSFGKIVSLAIKKDENGQSKGFGFVNYDSPDDARRAMEAMDGSQFGSKILYVARAQKKVEREQILHHLFVEKGLKHMGSNIYVKNIDTSVGDEELRDHFSACGEVLSAKVMRDDKGTSKGFGFVCFSTVVEAFKSMSCFNGCMFHGKPLYVDFAQKKEDRKRLLQHMHAPKLEGLAGHSSTAVIPGARPPPFIYRTSGVVYHPPLGSGWSANGFASPSIGLQQSKASIISNFGKPHMIILGETKDNTNSKESITHSGQAKNVASGCQQETQGKSGISSAMQALDLQKTKVSGQDVLHSNSTSAGVAVI >RHN61759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38091632:38100294:1 gene:gene24252 transcript:rna24252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MSLRHRQPSSTPPHEEEPYNIIPIHNLLADHPSLRFPEVRAAAAALRSVGNLRRPPFGQWRPHYDLLDWLALFFGFQKDNVRNQREHLVLHLANAQMRLTPPPDNIDTLDAAVLRRFRKKLLKNYTSWCSYLGKKSNIWIFDNRRTGEPDLRRELLYVSLYLLIWGESANLRFVPECLCYIFHNLANELNRILEDYIDDNTGQPVMPSISGENAFLNFVVKPIYETIKTEVDNSRNGTAPHSAWRNYDDINEYFWSRRCFEKMKWPPDVGSNFFTTVGKGKHVGKTGFVEQRSFWNLFRSFDRLWIMLVLFLQAAIIVAWEERTYPWQALEDRTVQVRALTIFFTWSGMRFLQSLLDVGMQYRLVSRETKMLGVRMFLKCIVAAVWIVVFGVFYGRIWEQRNHDRRWTKAANDRVLNFLEAVAVFIIPEVLALALFILPWIRNFVENTNWRIFYMLSWWFQSRSFVGRGLREGLYDNIKYSLFWVFVLATKFCFSYFLQVKPMIAPTKAVLDLKNVEYEWHEFFHHSNRFAAGILWIPVVLIYLMDIQIWYSIYSSLAGAGVGLFAHLGEIRNMQQLKLRFQFFASAIQFNLMPEEQLLNARGTLKSKFKDAIHRLKLRYGLGRPYRKLESNQVEANKFALIWNEIILSFREEDIISDREVELLELPQNSWNVRVIRWPCFLLCNELLLALSQAKELVNDTDKRLYKKICSSEYRRCAVIEAYDSVKHLLHEIIKPNSEEHSIVTVLFQEIDHSLEIEKFTNTFKTTALPQLHHKLIKLVELLNKPVKDSNQVVNTLQALYEIAIRDLFKDRRNPKQLEDDGLAPRNPASGLLFENAVQLPDTSNENFYRQVRRLHTILTSRDSMQNIPINLEARRRIAFFSNSLFMNMPHAPQVEKMLAFSVLTPYYNEEVLYSKEQLRTENEDGVSTLYYLQTIYDDEWKNFLERMRREGMMKDSDLWTDKLRDLRLWASYRGQTLSRTVRGMMYYYRALKMLTFLDSASEMDIREGSRELVSVRQDNLDSFNSERPPHPKSLSRASSSVSLLFKGHEYGTALMKFTYVVACQIYGTQKEKKDPHAEEILYLMKNNEALRVAYVDERTTGRDGKEYFSVLVKYDQQLEKEVEVYRVKLPGPLKLGEGKPENQNHAIIFTRGDALQTIDMNQDNYFEEALKMRNLLEEYRRYYGVRKPTILGVREHIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQILSRDVYRLGHRLDFFRMLSFFYTTVGFFFNTMMVVLTVYAFLWSRLYLALSGVEKSMESNSNNNKALGAILNQQFIIQLGLFTALPMIVENSLEHGFLQAIWDFLTMQLQLSSVFYTFSMGTRSHFFGRTILHGGAKYRATGRGFVVEHKSFAEIYRLFSRSHFVKAIELGLILVIYATHSPVATDTFVYIALTITSWFLVASWVVAPFVFNPSGFDWLKTVYDFDDFMNWIWYSGSVFAKAEQSWERWWYEEQDHLKVTGLWGKLLEIILDLRFFFFQYGIVYQLGISAGNNSIAVYLLSWIYVVVVSGIYAVVVYARNKYSAKEHIYYRLVQFLVIILAILLIVALLEFTEFKFVDIFTSLLAFLPTGWGLLLIAQVFRPFLQSTIIWSGVVAVARLYDILFGVIIMTPVALLSWLPGFQNMQTRILFNEAFSRGLRISQIVTGKKSQS >RHN70422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51355964:51361366:1 gene:gene19001 transcript:rna19001 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGNDDEKVMGPLFPRLHVGDTEKGGPRAPPRNKMALYEQFSIPSQRFNLPPHHPNTSINTVPPSSSSQGAVHERNYVFPGHLTPETLTRQSEGKNLNASLSQLEQRKKIDEDDFRVPVYIRSKIGQSNDKSHESFDGKNLTSAGSRNFGFFKAGRINRERDLNNPRTDVRNEIDGPPQVSPNKEQPFTSARDTSNGESSNTSVRQAKVIQNQEFQDRAVFKLSSSRQGDGCLHQDCRAESQSNGTGQRDASVESTREIGKSNDPIANQTSPTEAINGTEYHDTGTGSPIHSGNLNKNDNISKISRVEDLSTLKISPDDVVAIIGQKQFWKARKAIANQQRVFAVQVFELHRLIKVQQLIAGSPDLLFEDGAFLGKSLPDGSTPKKLALEYVVKPRLQNLKRKVDSENVNQNMECSAENAVGKTSISSVKNGSHLSSSTPFAGNPHHGNMAAENGMGPWGFNQSPGHQWLIPVMSPSEGLVYKPYPGPGFTGTNYGGSGPFGAPPSCGTFMNPSYGMPPPPETPPGSHAYYPPYGSMPFMKAAASESVVEHVNQFSARVQSRHLSEGEADCNKHNQSSCNLPVQRNGATTHVMHHQRSKEFELQMSTASSPSEMTQGMSTGQVAEERDALPLFPMVPVEPEGVAQSIETGQQTRVIKVVPHNRRSATESAARIFQSIQEERKQYDTL >RHN43504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46356510:46359350:-1 gene:gene50096 transcript:rna50096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MTAAAAHIARTIIGVIGNIISGFLFLSPVPTFIAIWKKGSVEQFSPAPYLATLVNCMVWTLYGLPMVHPDSVLVVTINGSGCVIEIIYVTLFLIYSDGNKRLKVLMWLVVELVFIAALTFVTLSLVHDVAKRSAIVGTTCIVFNIMMYVAPLAVMKLVIMTKSIEYMPLSISLASFGNGVAWTTYSLLPFDKFITIPNGIGTLFSVAQLILYATYYKSTKMQIAARKANKIEVDLSQVVVANGNKQSK >RHN41146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27494136:27495014:1 gene:gene47436 transcript:rna47436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MAKSSSSSSSTKPKQTHEVFLSFRGEDTRKTFTSHLNSALRRLDIKTYIDDNLERGDEISQALLKAIDEAKLYVIVFSKNYATSKWCLDEVVKILECRKNKGQIILPVFYEVDPFHVRHQLGSYAEAFVKHEQRFASTMNIVQKWRDALGEAANHSGWDCSINRTEAELVEEIAMDVLQKLNRVYVGDLDHQITKLEQLAQLQLQYYKSIDTYENQVSHEATVQRITELKMKRSIRMLRLTREMLSYMKDSEAYEKLF >RHN62211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41737641:41740013:-1 gene:gene24762 transcript:rna24762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DYW domain-containing protein MATFPLIHRARTTMLSSSSSFFSLKLRSNYIHGNHFCKTLSTSAIPNEYGRLPPHQVQQPPSDHNQHFNHHNTQNAPGRFPQQDWNPQNPNFRPPQPPQNPNYRQQPPPQNPNFRPPPPPQNPNFRPPPPPQNPNFRQPIRQNPNFQPPNGPNRGINQNQWNPQNGNLNQFQNPNNQFQTPNVQEQAPPPPSIVDLTRFCQEGKVKEALELMEKVHDYFLQSTFRSDFKMHNKVIEMYGNCKSMTDARRVFDHMPNRNMDSWHMMIRGYANSTMGDEGLQLFEQMNELGLEITSETMLAVLSACGSAEAVEDAYIYLESMKSKYGIEPGVEHYMGLLDVLGQSGYLKEAEEFIEQLPFEPTVTVFETLKNYARIHGDVDLEDHVEELIVSLDPSKAVANKIPTPPPKKYTAISMLDGKNRIIEYKNPTLYKDDEKLIAMNSMKDAGYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPPRTPLRIIKNLRVCGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >RHN71422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58899229:58914331:1 gene:gene20104 transcript:rna20104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MDVSGNSLPSSAPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVHKPFPARDRDLCRFHADDYVSFLRSITPETQQDHMRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHDQCDIAVNWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDLRDIGYGKGKYYSLNVPLDDGIDDESYHLLFKPLICKVMEIFRPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGIEVDDKMPEHEYYEYFGPDYTLHVAPSNMENKNTRHLLDNIRSKLLENLSKLQHAPSVQFQERPPDCDLGEEFDDHEDRDEHWDPDSGMDVDVERDILQSKVKREIVEPPLNDLEDLKRTREHSRVSDTAVVETSVKALDICSQPVDEDNVKDEENIVTDLAIETDLK >RHN71322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58229501:58230784:1 gene:gene19989 transcript:rna19989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dehydrin MAGVQIRDEHGNPIQLTDELGNPVKLTDEHGNPIHLTGVATTTTTHNNPPTAAGSGSAGFGTYGSVAYGGGATTHPTTTVADLLSTEPPPGQQLHHTDQVSRGLRRSSSSSSSSSSSEDDGQGGRRKKKKGVKDKIKEKIPGVGGGNKEHNSATSIPGAGHHPTATATHHPAEPTLEKKGILDKIKEKLPGHHHSH >RHN43931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49538265:49539440:-1 gene:gene50569 transcript:rna50569 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVASCTPTKGVFKVLLLDGRIETHSKALRAAELMVEYPGQFVCDSSYLKVGHRIQGLLADEELETRKFYFLLPMDLLYSVLTHEEMSALNYKASRATKHASFNNLGKIFPVFSEFCMFPSEAKKIVLESDNYEMLREAEPVKRYSKQRSWRPALETIDETPSRQ >RHN47726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41993361:41996041:-1 gene:gene42359 transcript:rna42359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MFYILISFVSFVMILSKSFINKPLSSNVKKLVSNGFCGKLLSFLASRLKTRTIFLPFSFFQFSLGMQLQNKSCLVSKVEYREEEEEKASLLDLHDLPLDCILEKLSPSELCNVAQVCKSLREKCRSDYLWEKHMKMKWGKILGDSAYRQWKCYVASKSIEKNSNQHKNQKTVLAFLHAFLPFFWIKSKSEKYIKSRPDDSIAALYLSLENGKFWFPAQVYNRENGHAGFLLSCYDAELCYDSRTDTFQARYSPHGRWTTEENIKWERLRVPPIDSSSHVLHISDCLDDLKPGDHVEIQWRRNNEFPYGWWYSVIGHLETCQGDGNHCQCHDKDTVILEFTQYTAGSRWRQTMINRKNHREQGNEIEGFYGGIRKLHSKEEITKWKKLWPTKNVE >RHN67867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31068511:31068996:-1 gene:gene16112 transcript:rna16112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha,alpha-trehalose-phosphate synthase (UDP-forming) MNLVLYEHIACRHGCSKMDTTLDIPSNSPQISSIVVSDFVGCFSSLSWAIRVNHWDINVVVEALKLAITMSNEEKQCRHEKNYQFVSSHDVLYWTQHFEQGLVFSCKYHGKKLFWGFGFGLEFRVLSLSPNFKKLSRNYIVYAYKRSVVEIIIDIPFMMNS >RHN65512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2802156:2802662:1 gene:gene13358 transcript:rna13358 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVTKHEAQTNEQNNNSDHPPTNTTSDLAKTSHQHQIETATRTSHKQNLNRQAHTTTTSKTTANHHDPYDDERSRSCEEGGLIVKNLQPPTTERSKKPDFKRHNRTTRNKQEAEDPQTSNLAAAEPTALTTNRRHNNKAEQKEKNKKKLTTMKNGTKKENNTGHRRG >RHN68306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34464732:34469903:-1 gene:gene16642 transcript:rna16642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydrofolate synthase MPTFFHFLALLAFKIFSEEQVDVCIMEVGLGGKYDATNVVQKPIVCGITSLGYDHMEILGNTLGEIAGEKAGILKHRIPAFTVTQPDEAMDVLKEKASQLNVPLQVTSPLDAKLLNGLKLGLEGEHQYVNAGLAVALCSTWMNINGHLEESHLKQIQHTLPEQFINGLTTASLQGRAQIVRDEHVNNKISNELVFFLDGAHSPESMEACAKWFSLAIKDQNQTLFHQIADNSRFSNKVVKTHQSETQKSAQILLFNCMSVRDPQLLLPHLMKTCADHGDCFQKLSWRTYGNTCGHVISCSRRSPKQSHMYVCFMLIDKVINSNKSIQTSLNLVIVWVYQGVYFKKALFVPGLSMYHKIGSHALTPSDSNIDLSWQFTLQRVWENLMQGNKVHEKYQDLNLFSIMWLAGKNNSAASEELAGMEMSVSNCEHSAVFSSLPLVINWLRDRVQQNQSTRFQVLVTGSIHLIGDVLKLVKK >RHN53293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:749435:750394:1 gene:gene28184 transcript:rna28184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MMQAAAEKLVSVIGHWLPFPFRREQQQQQQRIVFYDEADDNDMWVICPFKNCSGYLLNDGFQTVIDADCPICHRLFCSRCNVPWHAGETCQQFQHNKLKCEILDPCENHFSKKRESPFGDKENLTPVSQSKSLCPIRKSARLCNESPSPPPVQEDLVQSCIVGWQKTTYCPFKNCSVLLVNDGDDVVTSAECPSCHRLFCAQCMVPWHGGINCDEFKQQKKGKEAAAAMETETGSRQSSKSFCGVCFDFVPENDIVRGSGTCNHPFCANCISNHVAAQLSQSVMEFNCPNPRCFEELKPQHLHSILPEEVIVQWESERI >RHN58405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1505413:1505979:-1 gene:gene20314 transcript:rna20314 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVPRNSSVSILMSYGFVDQLLYYVRHGEVSIQELALKVAFRLSGTSEEAKKAMGDAGFMAEFVKFLNAKSFEVREMAAEALSGIVTVPRNRKRFVQDDHNIALLLQLLDPEEGNSGNKKFLISILMSLTSCNSARKKIVSSGYAKNIDKLAEAEISCDPKKPVKKLSTNKFRSMLNGIRHS >RHN45626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23846577:23847025:1 gene:gene40006 transcript:rna40006 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDLDGNNMNFILLVFDEDSILLPYTSRTDVTIPENWLYIDLDSRVPKEGPAKDEEMGDYREDKQPTEGSKDDNDWRQRMEAKVDRTYEEVALVKHMLAATMRQLNIQYPPPPPPPPPQVHDNKVTPIQLYCFVLAAF >RHN46223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29799418:29799675:1 gene:gene40677 transcript:rna40677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative splicing factor 3A subunit 1 MEEDSAEPGNEVEMEMDEEEGMRAASLEDNDEGKKSEVRVTEDPEPPIRIVKKWKRPEDMLPADRDSTKFVVSPITGELIPISEM >RHN63486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51699552:51703599:-1 gene:gene26188 transcript:rna26188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MDMKGYYSVSWTNKYMKDSFFSFQLTRRQHQCKQENHVVSEQTTTSLNHSLKLSSSTSLNTLSPILHLFVTLLLFFTSISPILSHPIVSNSTSSQVQSHSKANQTFRPDVALHKLKRIRAHLKKINKLPVKTIKSPDGDLIDCIISHQQPAFDHPLLKGQKPLDPPERPKGYNKNGENATNNFQLWIDSGEECPKGTVPIRRTTEQDFLRASSIRRFGRKPRGVRRDSTGNGHEHAVVFVNGEQYYGAKASINVWTPRVTDQYEFSLSQIWVIAGSFGNDLNTLEAGWQVSPELYGDNYPRFFTYWTTDAYQATGCYNLLCSGFIQTNNRIAIGAAISPRSFYSGRQFDIGLMIWKDPKHGHWWLEFGSGLLVGYWPANLFSHLRSHASMVQFGGEIVNSRSRGYHTGTQMGSGHFSGEGFRKAAYFRNLQVVDWDNNLLPLSNIHQLADHSNCYDVKEGRNNVWGTYFYYGGPGRNVRCP >RHN39753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10258695:10258994:-1 gene:gene45815 transcript:rna45815 gene_biotype:protein_coding transcript_biotype:protein_coding MQALETVLHVFRDCDQVKPVWLYFKKSATGSFLCVNNSSIWLVENLVKNGADVILETWTVLFAAVLDRIWSNRNEFVFSNGNHSIKRIIHQSEDMARDF >RHN74103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27672938:27673235:1 gene:gene10079 transcript:rna10079 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGFIRASARHGRTLAVVDGWHGGGMVGSDWNLVWVEGSLFGFVLN >RHN41339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29280079:29282067:-1 gene:gene47649 transcript:rna47649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MYTKCLQRNCRALEQSQYHNIMVLRHMNVTYIIFWCIDMVVELSICVLIFLFMVQRLGTDKVGYSFAPIMCLWFTMIGGIGLYNSIKYDPTVLKALNPKYIVEYFIRNKKDAWISLGGVVMCITGAEALFADVGHFTVRSIQISTCGLVYPALILAYTGQSSYLRKHNDAATDAFYKSVPHALYWPMFVVAVMAAIIASQSLISGAFSIIQQSLSLGCFPRVKIVHTSIKYPGQVYIPEINFLLMISCIAVTAVFKTTIEIGNAYGIAVVMVMTITSTLMVLVMIMIWKTHILLVITYVLIISSYEFLLLSSVLYKFNEGGYLPLAFAAVLMTVMYVWNDVYRRKYSYELDHKISPEKLKEVACGRNLVRIPGLALFYSELVQGIPPIFKHYVENVPALHSIVVFVTIKSLPISKVPIEERFLFRQIEEHQEKVNLNMFRCVARYGYAEARNDPFEDLLVKRLKKFLEDKYLITHGDKESLMQEAIEKAAGDGIVHLIGESEVIASKGSGIIKRILIDYAYDFVKRNLRQGNKVFDIPHKRMVKVGMIYQL >RHN48670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49387010:49390483:1 gene:gene43412 transcript:rna43412 gene_biotype:protein_coding transcript_biotype:protein_coding MKENNNLFIMSHQSSVESDDKIPSHPLDSKKPHSSKDVNLETKQDDPPFLNTQKVQNHDDKDKDATQYPSLLCNKEKMALLQELAPVSSMDDVEAKELTTMDEALDLEEDSERERLKRHRVEVAGRVWIPEIWGQEDLLKDWIDCTAFDAPLVPSKITMARAALVEEGTKTNAAPLSIHNSIYWAFSNG >RHN75152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39804301:39804549:1 gene:gene11314 transcript:rna11314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MESKIGVAVFSPRYCESYFCLHELALLTALKKKVIPIFCDVKPSQLRVVKNAKWSEEELRRFRWALDEAKNTVGLTFNSSKG >RHN51884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27638658:27643916:1 gene:gene36442 transcript:rna36442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MDFDGGNKRVYNRLGGSNGGDSKNQKVCFQWQAGKCNRYPCPFLHSELPTSNGNGAPSKRAYADNSSFSGNRRGGSSFNSWGPGRGGGRGRGGGRGGVGGGGGRGVVVNVERVCTYWIQGSCSYGDRCKFLHSWNVGDGFSLLTQLEGHKKVVSGIAMPSGSDKLYTGSTDETVRVWDCQSGQCIAVINLGGEVGCMISEGPWLFVGIPNFVKAWNTQNSMEISLDGPVGQVYALVVINDMLFAGTQDGAILVWKFNVAANCFEPAASLKGHTRGVVSLVVGANRLYSGSMDNTVRVWNLETLQCLQTLTEHTSVVMSVLCWDQFLISCSLDKTVKVWYATESGNLEVTYTHTEEHGIITLCGMHDAQGKPILLCSCNDNTVRLYDLPSFAERGKLFNKQEVRAIQTGPGSIFFTGDGTGQVRVWNWIPEPATSNNVQ >RHN63849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54439086:54449479:-1 gene:gene26603 transcript:rna26603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MKATINQADELDAAEAMPPPNSKRVRVKKELTFQDMYQNQDLFDQDDDEDDDWDPSQKQADDGVAQTAVSDSIHEVSEANPQPNAKRVKVQKELTFQDLYQSQELFDEDDEEDDDWDPTQLQKCLEVIKWFCKNCTMDNLNNDVCCQICGEHKDSKILSRGHFASRFAQDEDPNEIQPSIKRLKDVDSLESAANSSTAIGFDERMLLHAEVEMKSRPHPERPDRLRAIAASLARAGIFPGRCHSIPAREITQEELMMVHSSEHIESVEVTSKLMSSYFTSDTYANEHSACAARLAAGLCADLASAVVSGRAKNGFALVRPPGHHAGVKDVMGFCLHNNAAVAALAAQAAGARKVLILDWDVHHGNGTQEIFEQNKSVLYISLHRHEDGTFYPGTGGAHEVGYMGAEGYCVNIPWSRGGVGDNDYIFAFQNVVLPIASEFAPDFTIISAGFDAARGDPLGGCDITPSGYAQMTHMLNALSGGKMLVILEGGYNLRSISSSATSVIKVLLGESPVCELENSFPTKSGLQTVFEVLDIQNNFWPSLKPIFKNVMSLWEMQCLGKKGKQTKKRRRILAPMWWRWSRRSFLFHMLNGHRRVKSK >RHN41550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31004597:31005246:-1 gene:gene47884 transcript:rna47884 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTVSIHRELQQNQVQNPEPSETHSSLLLSSLSIHNTSPTNTTLPNSHIPHHHSIQSPPQYPPSPIQLSFSDSPPVSSPL >RHN71399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58726212:58726491:1 gene:gene20078 transcript:rna20078 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIDCILLLLLHLKLTSFLLLFLLLIKCLVILFLPIMFLVFLLMQSGTLD >RHN78412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14885153:14888732:-1 gene:gene1964 transcript:rna1964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MLKKIKLLPMLCTLLLFFFVFVMATPYAATNDQGSEADALLKWKASLDNHSNALLSSWIGNNPCSSWEGITCDYKSKSINKVNLTDIGLKGTLQSLNFSSLTKIHTLVLTNNFLYGVVPHHIGEMSSLKTLDLSVNNLSGTIPNSIGNLSKISYLDLSFNYLTGIIPFEITQLVSLYFLSMATNQLIGHIPREIGNLVNLERLDIQLNNLTGSVPQEIGFLTKLAELDLSANYLSGTIPSTIGNLSNLHWLYLYQNHLMGSIPSEVGNLYSLFTIQLLGNHLSGPIPSSIGNLVNLNSIRLDHNDLSGEIPISIGKLVNLDTIDLSDNKISGPLPSTIGNLTKLTVLYLSSNALTGQIPPSIGNLVNLDTIDLSENKLSRPIPSTVGNLTKVSILSLHSNALTGQLPPSIGNMVNLDTIYLSENKLSGPIPSTIGNLTKLNSLSLFSNSLTGNIPKVMNNIANLESLQLASNNFTGHLPLNICAGRKLTKFSASNNQFTGPIPKSLKKCSSLIRVRLQQNQITDNITDAFGVYPNLDYMELSDNNFYGHISPNWGKCKNLTSLQISNNNLTGSIPQELGGATQLQELNLSSNHLTGKIPEELGNLSLLIKLSISNNNLLGEVPVQIASLQALTALELEKNNLSGFIPRRLGRLSELIHLNLSQNKFEGNIPVEFDQLKVIEDLDLSENVMSGTIPSMLGQLNHLQTLNLSHNNLSGTIPLSYGEMLSLTIVDISYNQLEGPIPSITAFQKAPIEALRNNKGLCGNVSGLVCCSTSGGNFHSHKTSNILVLVLPLTLGTLLLAFFAYGISYLFCQTSSTKEDNHAEEFQTENLFAIWSFDGKMVYETIIEATEDFDNKHLIGVGGHGSVYKAELPTGQVVAVKKLHSLQNEEMSNLKAFTNEIHALKEIRHRNIVKLYGFCSHRLHSFLVYEFLEKGSMDNILKDNEQAAEFDWNRRVNVIKDIANALCYLHHDCSPPIVHRDISSKNVILDLEYVAHVSDFGTSKFLNPNSSNMTSFAGTFGYAAPELAYTMEVNEKCDVYSFGILTLEILFGKHPGDVVTSLWKQPSQSVIDVTLDTMPLIERLDQRLPHPTNTIVQEVASVVRIAVACLAESLRSRPTMEHVCKQFVMS >RHN41145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27479654:27482711:-1 gene:gene47435 transcript:rna47435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MYLIPEEIGDLHQLKFVILGNNSFEGSIPSKLLNISSLTYLHLEQNYLSGIIPSKTGYSLPKLRQLSLYGNNFVGNIPNIIFNTSDLIQVDLNDNAFTGTVPNFFGNLRFFKYFLIGYNYLTIDDSHQFFNSLTTCRYLKYLDLSGIHIRSHLLSIFPNSIGNISSEFFRLDSCRIEGYIPIEIGNMSNMLFFSINDNNIKGPIPGTIKKLKNLQMLDLGNNGLQGSFIEEFCEMKSLGELYLNNNKLSGVLPTCLGNMSSIISLYIAHNSLNSEIPSSLWSVIDILESNYTIRPIKKSDFKNIPTTINSLQTLQMLSLADNKLYGSIPTSLGEMVSLVSLDLSQNMLTGVIPKSLESLLYLQNINFSYNRLQGEIPDGGPFKNFMAESFTHNGALCGNPRLHIPQCVEQVKKRSMGKLLFKCIIPLGVSTILVVACIILLKHNKRKKIQNTLERGLSTLGALRRISYYELLQATNGFKAKAKSFDVECNATRNLRHRNLVKIICSCSNLDFKSLVMEFMSNGSVDKWLYLNNCCLSFLQRLNIMIDVASALEYLHHGSSIPVVHCDLKPSNVMLDKNMVAHVSDFGIAKLIDEGRSKCHTQTFPTIGYIAPEYGSKGIVSVKGDVYSYGIMLMEIFTRKKPTDDMFVAELNLKTWINGSLPNSIMEVLDSNLVQQIGEQIDDILTHISSIFGLALSCCEDLPKARINMADVIKSLIKIKTLVLRANRV >RHN80008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34299298:34299507:1 gene:gene3881 transcript:rna3881 gene_biotype:protein_coding transcript_biotype:protein_coding MCMIQCIGGFVAILFFIYIYYRRHNGGEIMPNWPIVGMLLSVLRHLSNFNDHFTLVLKCHGGTFRFEGP >RHN52364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34336658:34340744:1 gene:gene37029 transcript:rna37029 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVITDAYPYSQFILQFEHTDTTLSSVSFSFPPSSHRPNMTRRRQHLHLLSPLSPPNTTPSSTQFHRRIPSRCR >RHN55794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23831676:23834986:1 gene:gene31052 transcript:rna31052 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCTEHLENLQNWTLDQRIKTRLEDTGFSHFNKLAKCQHDNKLMECVVSHFNSETCGFEFGVIKLIFGLKDVLNITGLHITSKPRHHADHPIH >RHN76979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2891655:2894671:-1 gene:gene380 transcript:rna380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPPDE putative peptidase domain-containing protein MLCKLVPLPRKKKPGSVPVYLNVYDLTPINGYAYWFGLGVYHSGVQVHGVEYGFGAHDRETTGIFEVEPRHCPGFTFRKSIYIGSTELGPKDVREFMEKLAQEYAGNTYHLIQKNCNHFCNDVCVRITGKSIPRWVNRLARLGFFCNCVLPTSLNETKVGQVSLDKIQEGESKKMRSQSRRHEVSSNPTLSASQRRHCVRPSSSVINASSTSTVAVK >RHN71634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1548113:1549641:-1 gene:gene7254 transcript:rna7254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MASKFSFILSIFVIWAVDFPSASSARDAPSPSADCSTIVVIMADCLSFVSNDSTITKPSGACCSGLKTVLKTSPTCLCDSLKNSANLGVVLNVTKAATLPAACGLSAPPLSNCGLSIAPVGAATPGGSISPPSPPAAHAPGGTTPSTAPAATTPAATTPAEAPSNVKSAASTLLPISAGSLIVCLLSLFLGL >RHN56087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27536779:27539948:1 gene:gene31421 transcript:rna31421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:WXP1 MDFFNNSQDPFNGELMEVLEPFMKSSSISPSSPPSLNSHLPSTSSSSSSPFYSSTPPFFQPSFSPQSSSSSSSFPPQPNFYTENGYCSSMMNYQFPSLGNSSTSQNNFIGFEQPQQPNSVIGLNTLTPSQINQIQAQIQFQQTQSNNSSLNFLGPKPIPMKQPGVPPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDRAAYRLRGDFARLNFPNMKDQQQGGMFGEFKVLHSSIDAKLDAICESLGNNNSSDNVKNKKQGKGSKKSLKKEVEAQPQPQPLVVVENNNDDNNNTNTNKVVVECGSSLSSHSEGGSDDSSPLSDLTFGEFAEPQWENGFEQFNLQKFPSYEIDWASL >RHN40864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23479963:23493420:1 gene:gene47103 transcript:rna47103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSGSKLVNYIILCSFLALNFFLQEFGSKAQLIPQDEVKSLQAISDKLKNVNWKVTERSCIDDGGFNNDYIADDDIVRKVTCDCTFQNNTICHITSIMLKGQNIAGVMPSEFGNLTQLKVLDLTRNYLNGTIPTSFPSNSLVVLSLLGNRLSGPIPTEIGDISSLEELVLESNQLGGPLPRSLGNLIKLKRLLLSSNNFTGIIPDSFSKLNNLTDFRIDGSNLSGQIPSFIGNWTKLERLNMQGTSMDGPIPPTISELELLTELRISDLNGPTMTFPNLKGLKNLQLLELRNCLITGPIPDYIGEMTDLITLDLSFNMLNGSIPNSIQGLKRLDYMFLTNNSLNGPIQDWILNFKINIDLSDNNFTKSSATSCQQLNLNMASSHSSSAVTSPSTFCLKRNLPCTRKPQYNSLFINCGGPQGDYDGNNYFGDLQRDGISNFVLRNEGHWAYSSTGVYMGNVHADYTALNTLPLNITGPEYYETARLAPLSLKYYGLCMQKGNYKVKLHFAEIMFSADQTFQSLGKRIFDVSIQGFKYLKDFNIMEEAGGVGKGITKEFDVEVNDTTLEIHLYWAGKGTTAIPDRGVYGPLISAITITPNFKNHSEGLSAGVIVGIVAAACVLLMLILVTLWKMGILGEKDTRDQELLDLKTGYFSLRQIKAATNDFDPANKIGEGGFGPVYKGVLSNGDVIAIKQLSSKSNQGNREFVNEIGMISALQHPNLVKLYGCCIEGKQLLLIYEYMENNCLGRALFGHRQQKLHLDWPTRMKICLGIAKGLAYLHEESTLKIVHRDIKPTNVLLDKDLNAKISDFGLAKLNEDGNTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVAGKSNTNFQPMEEFVYLLDWAYDLKDQGNLLELVDPSLGSRYSKKEAMRMLNLALLCTNTSPGPRPSMSLVVSMLEGKTPIQAPVINRGESGQHVRFKASGLQSQNSQPLDSSTFSHGSKRQVSVHSSTSLQCEDDFSSSSKSP >RHN72422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7495628:7496837:1 gene:gene8130 transcript:rna8130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein disulfide-isomerase MAKLSCIALTILLFNNLILSQAIYGSSSTVLQLTPDNFNSKVLNSNEVVLVEFFAPRCGHCEVLTPIWEKAATVLKGVVTVAALDADAHKSLAHEYGIRGFPTIKAFSPGKPPVDYQGARDLKAITEFAIQQVYYFDIGNISSTSTITIAITTVTIIQSLRRVEQWALGVCKDPKIKCISTTLPKIFTVHGLWPSNKGQSQPSVCSHEVLNQADIKILTPMLTIPWPSVTTTMNNNQFWKHEWRKHGTCSTFQKIDYFQHGVNLWARENITAILEQAGITPGKSYDQTRIITAINAKTGSDPELVCVAAGNYLAEIRLCLDPSTATTYMVCPTSINKKPSCQPMVAFAA >RHN51615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21056798:21061169:1 gene:gene36090 transcript:rna36090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MVHSNSDACLPPGWTVKVKVRKNGHKDKFYFPPSSDQKFNSMVGVLRYLDKAKNKASLQSISNNSEDVTMKPTFSASSGQIPRDQQISRRASKRLAGIKADPPQDLKRTRAHRDLVKKPCEDETLIISKKSANRLPNDQDRQFNALNRPETVINADASLPTGWTVKVNVRKNGHKDKYYFPPSSEKKFNSMVGVLRYLDNAKNKANLQSSLNNSDDVTPKPTLSVSLGQNSGLHMISCSEQIPRRSKRLAGIKADQLKTTRANQDVVKQSGEGKTIVNADRSTNKLPNDQVKPFNALHGSEANFNNKSTDNTKQNNPTEKDCVRVLENGDKVVAKLDYRCECDSPLQVILTDPSIAFAVQTLTGETFETSKDTQISSELKSIQNCETSAKEHGKKINVACDNSNRHNMLSSSESFAIEGKHADIALKIAGSSEKKLDISKMAVSTVNLCKRLQLQPAVW >RHN66059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8151766:8156886:1 gene:gene13971 transcript:rna13971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonoid 3'-monooxygenase MSLWFIALASFTLCILIYRFMKFAKRSSSLPLPPGPKPWPIIGNMPHLGPAPHQSIAALAKIHGPLMHLKLGFVDVIVAASGSVAEQFLKVHDANFSSRPPNTGAKYIAYNYQDLVFAPYGPRWRMLRKISSVHLFSNKVMEEFKHLRQEEVARLTSNLASNYSNTKAVNLGQLLNVCTTNALARVMLGRRVFNDGNGGCDPKADEFKEMVLELMVLAGVFNISDFIPSLEWLDLQGVQAKMKKLHKKFDAFLTNIIDERENSNFKSEKHKDLLSTLLLLKEETDVDGNKLTYIEIKALLLNMFAAGTDTSSSTTEWAIAELIRNPRILAKVQEELDKVVGSDRNVKEDDIPNLPYLQAVIKETFRLHPSTPLSLPRIASESCEIFGYHIPKGSTLLVNVWAIARDPKEWVDPLEFKPERFLPGGEKCDVDVKGNDFEVIPFGAGRRICPGMSLGLRMVQLLTATLAHSFDWELENGLNAGKMNMDEGYGLTLQRAVPLLVHPKPRLSPHVYSSCF >RHN68972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39999276:40000070:-1 gene:gene17397 transcript:rna17397 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRADFKPHVKAWTSFVVQTLEGTSCTSEIPLAGLLTIADILDGAPINVGELIANNIYMYSASSKKALPHLSIINWLYEEEIDIFGNDLSAPMMKPLTDTDMDGFFKDYQKRMRDIMVAAGQPQPQYQPQPPPPQFVAREGSSQQSAYAPIHLMMMDYMLWHANWMNEVSDQEMWNRPRFGQEFSEAVCLNRRAMTGSFERFDGSEEAMDRYFDVTRGRAQDREQKIRDDFVVGGARSRHYFGEESFAEENPSTWIPIDDMED >RHN57997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43005403:43007515:-1 gene:gene33601 transcript:rna33601 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPEKLLKLRYHIIAALLLCITLFSLIAMAPKLVTLFTYFWPLFLSTALVLALVFVFAKTSPLPQTDASIHKGLLDYVAGDHESQLDLHNNYKAD >RHN53944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5575343:5580446:-1 gene:gene28917 transcript:rna28917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L29 MFLARAFRQTFFAAAAARSKHYSTTAGAVRNPLPEFFEADRDNETPVVYGRSWKASELRLKSWDDLHKLWYVLLKEKNMLMTQRQMLQAQNLRFPNPERLPKVRKSMCRIKHVLTERAIEEPDARRSAEMKKMINGL >RHN66949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22090609:22091553:1 gene:gene15073 transcript:rna15073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLDLSSSGISLVDTNKFSSLTESIACQLFLSNNSIAEDITNLSLNCTELYLHHNNFTGGLPNISPMSYRVDFSYNSFSGSIPHSLKNLSELHYINLWSNRLSGEVLGHLSDWRQLEIMNLGENEFSATIPINLSQKLEVVILRANQLEGTIPTQLFNLPYLFHLDLAQNKLSGSIPECVYNLTHMVTFHAEELPVDITIELFTKG >RHN50911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11741820:11742402:-1 gene:gene35264 transcript:rna35264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MKNTAREIQTSYNLVTFLCNTVAPLNDKPMIVVNYNDEEKHFAAEEISSMVLTKMGEIAEAYLGSTVKDVVIIVPTYFNDSQPAIAYGLDMKPFNHGCRNVFIFYLGGGTLDVSILTFENGAINVKAIAGDTHLGGQDFDNTMVNHFVKEFSRKYKIDISGDPRALRR >RHN82329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52854256:52856638:1 gene:gene6486 transcript:rna6486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MHANINFNVLQRIPNAFTEKYIGDLSKPMFLKTPDVKEWEIHLTKKDGDIWIQRGWKEFATHYSLDHGHMVLFQYQKTSHFEVYIFDKSTFEIEYRVDGNNQHEQSNPIEILDEQPSYKKSRPKSQISSSQPLKKLRIDASEDVGTSSKSQNIPKLVQVKEEIDSTTKCLNVKHGQEQRNSTAKIVEALNKAKNYESNNPFFTAIMTYSYVNQYMHVPLNFEQKYLKEQQSEIALQVLDDERTWIVKYCLRKMSNGWKTFVSDNNLKLGDVCLFEMINSKSYAFKVLIFRVDEEQHSLPPQVHGDGVNWLETAGITEVKSKTIMSYKGTKETQRNSLQARPCSFKNSEAKKEANQFTSTLEKPNFTINLRSSHWDVYRPRVRNSFSSKYLGPKKKTAMLQFEEKLWPVQLMYYPSEPATKLGEGWSLFVEENKLQAGDVCVFVLANKEDVVLDVHIFRGRS >RHN50025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3239603:3240235:1 gene:gene34273 transcript:rna34273 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKKNGSMFKLFCKEMFVTKLHVKNTNKKNIACENHSGVS >RHN42120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35548966:35552091:-1 gene:gene48520 transcript:rna48520 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFIFIDIPRITKKQIITLIVKDLLLSQDFLHCLNKRVLNGVLR >RHN76332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49410407:49411526:1 gene:gene12634 transcript:rna12634 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSRLILHEDFDAFRHFLRIDAPCSLQVTFFLNPNILSVVILNHILVSLIQQIVLSSIMFNTRPLMVSLT >RHN48289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46341663:46349733:-1 gene:gene42991 transcript:rna42991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MALNEILPTTLYSSKGSLWNTLFSKILGLPVLELVAICTNLAVLVLFLLREFFFCVGGRVWFIKDNDNNVVVVVGNGETFDVRIGTWFKLSVFSCLYVLLVQVLVLGFDGFALIKEENFVVVDLSLLSLPVVQCLVWIVLSFTALRCKYKGSQKFPILLRVSWFVVFVVCLCGLYVDGRGFWVEGSRHMHSHVLANFAATPALAFLCIVAIRGVSGIQVCRNAENQQPLLLDEDDDEEPGCLKVTPYSDAGLFSLATLSWLNSILSIGAKRPLELKDIPLVAPKDRAKTNFKILNSNWEKLKAEKSPTQPSLAWTLLKSFWKEAAINAIFAGVTTLVSYVGPYMISYFVDYLSGIETFPHEGYVLAGVFFVAKLVETFTTRQWYLGVDIMGMHVRSALTAMVYQKGLRLSSLARQSHTSGEIVNYMAIDVQRVGDYAWYLHDMWMLPLQIVLALAILYKNVGIAAVATLVATIISIVITIPVARIQEDYQDKLMAAKDERMRKTSECLRNMRILKLQAWEDRYRIKLEEMRGVEFKWLKKALYSQAFITFMFWSSPIFVSAVTFATSILLGGKLTAGGVLSALATFRILQEPLRNFPDLVSTMAQTKVSLDRLSCFLLEEELQEDATTVLPQGVSNIAIEIKDSEFSWDPSSSRPTLSEINMKVEKGMRVAVCGTVGSGKSSFLSCILGEIPKLSGEVSVCGSAAYVSQSAWIQSGTIEENILFGSPKDKPKYKNVIHACSLKKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFREYILTALANKTVIFVTHQVEFLPAADLILVLREGCIIQAGKYDDLLQAGTDFKALVSAHHEAIEAMDIPSHSSEDSDENLSLEASVMTSKKSICSANDIDSLTKEMQDGPSASDPKANKEKKKAKRSRKKQLVQEEERVRGRVSMKVYLSYMAAAYKGLLIPLIIIAQALFQFLQIASNWWMAWANPQTEGDQPKVKPMILLLVYMALAFGSSLFIFVRAVLVATFGLAAAQKLFLKMLRCVFSAPMYFFDSTPAGRILNRVSVDQSVVDLDIPFRLGGFAATTIQLIGIVGVMTEVTWQVLLLVIPMAIACLWMQKYYMASSRELVRIVSIQKSPIINLFGESIAGASTIRGFGQEKRFMKRNLYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMVLLVSFPRGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPAMIEDSRPPSSWPANGTIEIFDLKVRYKENLPLVLHGVSCTFPGGKNIGIVGRTGSGKSTLIQALFRLIEPADGSIHIDNINIFEIGLHDLRSHLSIIPQDPTLFEGTIRGNLDPLEEHSDKDIWEALDKSQLGEIIREKGQKLDTPVIENGDNWSVGQRQLVSLGRALLKQSKILVLDEATASVDTATDNLIQKIIRTEFKDCTVLTIAHRIPTVIDSDQVLVLSDGRVAEFDTPLRLLEDRSSMFLKLVTEYSSRSSGIPEF >RHN64172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57083398:57084014:-1 gene:gene26959 transcript:rna26959 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYVMTIATEHSFKTRIGPVGKSVRFTYWIGYAIDSLRIGVTRPEPVTRWVFLKRRGQYF >RHN67058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23350178:23351714:-1 gene:gene15190 transcript:rna15190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MLVLESKLKSVIYDTNSNNENALLIAVKYKHPLVVEGLWKRLSMETFESLSLAVDNDENTILHLAAYRSINNENSWKVSGAAMQMMWDIKWYKYIKGLAPDHFNHRSNKNNKTPSELFKEKRKELLQNSTQWLIETTQSCSAVAAIVAGISFATLSSVPGGNKETGKSSSEEHTALEGFAISSLIGVYFSVTALILFLSILIDRKQVEDFDRNLPLKLLIGLTSVFVSIVAVFVSFCTGHFLTLSDKYTMGGILFYLYVLICLPVTLYALVQFRLYVDLVKVLWKKVPPPSIKGVKL >RHN57221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37387838:37390244:1 gene:gene32731 transcript:rna32731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MASFCFSMTSNLMICFSLLVLVSIGSANANLSKDYYYSSCPKLFETVKCEVQSAISKETRMGASLLRLFFHDCFVNGCDGSILLDDTSSFTGEKTANPNKNSARGFEVIDKIKSAVEKVCPGAVSCADILTITARDSVEILGGPTWDVKLGRRDARTASKSAANNDIPAPTSSLNQLISRFNALGLSTKDLVALSGGHTIGQARCTTFRAHIYNDSNIDTSFARTRQSGCPKTSGSGDNNLAPLDLATPTSFDNHYFKNLVDSKGLLHSDQQLFNGGSTDSIVHEYSLYPSSFSSDFVTAMIKMGDISPLTGSNGEIRKQCRSVN >RHN77417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6714610:6717963:-1 gene:gene867 transcript:rna867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RING/FYVE/PHD-type MFMASGFGESTSRSPPSPPYSSNNNNSDAGNFECNICFDLAQDPIITLCGHLFCWPCLYKWLHFHSQSRECPVCKAMIEEEKLVPLYGRGKTSTDPRSKSVPGVNIPHRPAGQRPETAPPPESNPFSQHGFGFTGGLGGFAPATTARFGNFTFAAGFGGLGGFIPSLFNFQLHGFHGGAMYGGAAGFPYGFSNAFHGGHVHRYPLHTGQGQQDYYLKRLLMFVIFCVVLALLWQ >RHN62529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44165487:44165824:-1 gene:gene25118 transcript:rna25118 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKPSLYEMTLPVVSFQVTCRAPATRMGWLAKLAPGQEWLVEERYNLCSIILFPLIYHSQTGGREKRIAKKDP >RHN40356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15564087:15564746:1 gene:gene46503 transcript:rna46503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-alpha-hydroxytaxane 2-O-benzoyltransferase MSDFRVLSTSTIKAPNAGDSTDRIIHLTPWDLQFLPFGSNQKGFLYHHPTVVNMSNKIQHFKQSLSCALAFFPPFTGRLEITEHEDNTISCSLACKNAGALFVHAKAENTCVADILGSTYLPSFFHSFFPLNGVINYEGTSHPLLAFQVTELSDGTFVSWTMNHVVLDGMSNSHFINSLAEISRGSCHQISVPPTLERLFPNGIQRPIRFPFVKLLIVA >RHN70165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49261230:49264772:-1 gene:gene18720 transcript:rna18720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSSPENSTATVDRIGTLPDEILIHILSFVPTKQAFTTSILSKRWIHLWRYVPILDFTETNLEDRDSVIRFEEFIFSVIRSRHSAGNHSINTFILDIQRHSSRLHALVFGNSNTIAPEFPIPILGSTTLVVLKLASFDMGADLFLNLITLPSLKTLHLKNINFDQDEHLLLILQKCPILEYLQLSNIYGHSSYYWISDDNTLTKLKRADITICYCYFPMKALSNLEFLRIQLSEDYHPYDFPTFNNLTHLVVNYDWDIVVQVLQHCPKLQSLDLYQLQGDYWKDDEDIADDDQENWAYPKSVPTCLSLNLTTCTMRDFAFAGLQRNHVMLARFILKNAKVLETMTIWCSRKRSKIEKLLSSCPRASAKCQLSIY >RHN79568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30185918:30186360:1 gene:gene3380 transcript:rna3380 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMDLWLRWFVVLHGGFHDGADFESFVVVLVVVFSGDAHGVWFLVVVVVLVFLVVLVAANGGFWWWLCFLKVFLHCCSGVVCEHHKVVMMFEDFGTTFVYFVEEVCL >RHN39321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6016523:6020259:-1 gene:gene45331 transcript:rna45331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding, P-loop containing nucleoside triphosphate hydrolase MGGSGSWFKSLIRKPSTNQEKGIDKSKRKWKLWRNSSEVIGFGTSMKKGHSFGAYDKYYSSFVVNEEAFAAAMAAVVRTPHKDFLVIKQEWSAIRIQSTFRGFLARRALRALRALVRLQAIFRGRLVRKQAAVTLRCMQALVRVQERVKAKNVRNSPEKKAKKELLNEQHNQADPIKQAEQGWCDIPGTVEEVKAKLKMRQEGAIKRDRTMSYSNSTLRSTASSSPSSRGSKPLTPLKHSSLDGKSMLERWIAAKPWESRLMGDIYLDSPGMTPLSRKSENVELSFNSYQQHGLVKARKNGATTRVSSKSHTTSQSSPSSSAISSECMYDDSPLSISSTSGSPTRVEDAKQRETGKPTYTNLTVSTKAKLKACRHSSPNLKKLFMDDDCLSRSARTNVLSADTRSISGSYSSANIWKDLYATPLRTSYQNRFTMGLK >RHN57602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40461986:40469960:1 gene:gene33175 transcript:rna33175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLKTFKSSFGFSRTLLVCIKIQSFPLCTTTPLGKNDDTEWENLLKPYDLKHLQRSLNPITPSQLCKLLELPLDVPTSMDLFEKAGLQRGYIHSFHVYYLLIDKLGNVGEFKMIDKLLKQMKDEGCVFKESLFILIMRYYGKAGLPGQATRLLLDMWGVYCFEPTFKSYNVVLEILVAGNCPKVAPNVFYDMLSRGISPTVYTFGVVMKAFCMVNEVDSACSLLRDMTKHGCVPNSIIYQMLIHALSENNRVNEAMKLLEEMFLMGCEPDVQTFNDVIHGLCKAGRIHEAAKLHDRMLLRDFTADALIQGYLMHGLCRMGKVDEARAMLSKIPNPNTVLYNTLINGYVVSGRFEEAKDLLYKNMVIAGFEPDAFTFNIMIDGLCKKGYLVSALEFLDEMVKKGFEPNVITYTILIDGFCKQGHFEEASKVVNSMSAKGLSLNTVGYNCLIGALCKDGKIQDALQMYGEMSSKGCKPDIYTFNSLIYGLCKNDKMEEALGLYRDMLLEGVIANTVTYNTLIHAFLRLELIQQADKLVGEMRFRGCPLDNITYNGLIKALCKTGATEKCLGLIEQMFGEEIFPSINSCNILINSFCRTGKVNDALQFLRDMIQRGLTPDIVTYNSLINGLCKMGRFQEALNLFNGLQAKGIHPDAVTYNTLISRYCYEGLFNDACQLLFKGVSNGFIPNEITWSILINYFVKKHQRE >RHN53035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41387690:41392642:1 gene:gene37781 transcript:rna37781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MKISTFSFHHLLTFFLIILNHAKSQSQQNLHNQEHETLMKIKQHFQNPPNLNHWTSSNTSYCSSWPEITCTNGSVTGLTLFNYNINQTIPSFICDLKNLTHVDFNNNYIPGMFPTDLYNCSKLEYLDLSMNNFVGKIPENIFTLSNLNYLNLSYTNFTDDIPSSIGKLKKLRFLALQVCLFNGTFPDEIGDLVNLETLDLSNNLFKSSTLPVSWTKLSKLKVFYMYVCNLFGEMPESMGEMVSLEDLDISQNGLTGKIPSGLFMLKNLRRLLLATNDLSGELPDVVEALNLTNIELTQNNLTGKIPDDFGKLQKLTELSLSLNNFSGEIPQSIGQLPSLIDFKVFMNNLSGTLPPDFGLHSKLRSFHVTTNRFEGRLPENLCYHGELQNLTAYENHLSGELPESLGNCSSLLEMKIYKNDFYGNIPSGLWRSENLGYFMISHNKFNGELPQNLSSSISLLDISYNQFSGGIPIGVSSWTNVVEFIASKNNLNGSIPQEITSLHKLQTLSLDQNQLKGPLPFDVISWNSLLTLNLSQNQLSGEIPASIGYLPDLSVLDLSDNQFSGEIPSIAPRITVLDLSSNRLTGRVPSAFENSAYDRSFLNNSGLCADTPKLNLTLCNSNSNTQSESKDSSLSPALIGILVVVSILVASLISFVIIKLYSKRKQGSDNSSWKLTSFQRLNFTESDIVSSMTENNIIGSGGYGTVYRVSVDVLGYVAVKKIWENKKLDQNLEKSFHTEVKILSSIRHRNIVKLLCCISNDDTMLLVYEYVENRSLDGWLQKKKTVKSSTLLSRSVHHVVLDWPKRLQIAVGVAQGLSYMHHECSPPVVHRDVKTSNILLDAQFNAKVADFGLARMLISPGEVATMSAVIGSFGYMAPEYIQTTKVSEKIDVYSFGVILLELTTGKEANYGDEHSSLAEWSWRHIQAGSNIEELLDKEVMEPSHLNGMCKVFKLGVMCTSTLPSSRPSMKEVLEVLLNCGELFGYAERNIGLINDGVPLLRNSKRESKLDIDDDS >RHN66300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10486794:10487559:1 gene:gene14253 transcript:rna14253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDAIYVGWFGLVIGFVLWWWNEYWYIVPLKFKCSKSATKLPLGHMGLPFIGEMLSFLWYFKIVRRPDDFINAKRRKYGDGVGMFRTHLFGVPSIIVYTPAVNKFVLFSDDNFKQEWSTVELLGRTSMMAVHGIAHMRVRNFVLNAINRPKSLSRIATFVQARIVTTLQSWAQMGKIKVKLKSKRYLIVDILPLFIFYFFGVKPLIFRRKGLW >RHN60504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27424412:27426147:-1 gene:gene22825 transcript:rna22825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator A20-like family MAEEHRCQAAQRLCANNCGFFGSPAMQDLCSKCYRDLQMKEQRSSSAKLVLNQTLIPQQSNSSSLDTGIIHPSSTSPSVMIVSSSTPTVELVAAAAGPSEAEPPKVQPNRCGTCRRRVGLTGFKCRCGLTLCGTHRYPEQHGCGFDFKGMGREEIKKANPVVKGEKLNKI >RHN63218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49447848:49451476:1 gene:gene25894 transcript:rna25894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MTRRCSHCSHNGHNSRTCPNRGVKLFGVRLTDGIRKSASMGNLSHYSGSGSGLLNTGSNTPGSPGENPDHGADGYGSEDFVPGSSSTSRERKKGTPWTEEEHRMFLLGLNKLGKGDWRGIARNYVISRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDIVADDAPDTSMVPQDFLSANQLQTETEGNNPLPAPPPLDEECESMDSTNSNDGESASAPLKPDSNAQASAYPVVYPAYYSPFFPFPLPYWSGYSPEPAPKKETHEVVKPTPVHSKSPINVDELVGMSKLSLGETIGDAGPSTLSRKLLEEGPSRQSAFHTTPACGTVL >RHN38637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:913202:933901:1 gene:gene44595 transcript:rna44595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GRAM domain-containing protein MASTAVATAESPQHPVQPSPSSSVVDRNHSSNSSPNFFNSSDIPSPDALKSEEYRQLFRLPQEEVLIEDFNCALQENILIQGHMYLFVNYICFYSNIFGFETKRIIPLHEITGVRRAKTAGIFPNAIEIISGSKKYFFASFLSRDEAFKIINDGWLRQGNDARAIMEQQESISESSSQENGFLTIENVKSSDVLDNEALSTDLSKGNSICKDLGVPSITGEDPILMVVPEEQSSAEQVAESELNNNDPPRLGCNWNEEDIDAPTTPEAYTCVAESIFPIKVEDFFWYFFSDDAINFLESYRKRCGDKDFKCASWHPQEKFGYARELSFQHPIKIYLGAKSGGCHEVQKYRVYRNSHLVIQTSQEVSDVPYADYFLVEGLWNVERDKDESKERCILRVYVNVAFSKKTIFRGKIVQSTIDECKDAYALWINMAHEFLQEKNLVKQESGSIAAVVKNGKMNFDREAKTGETSEGSQDQSNPTGRQTTSDAINAIHSVSAQLQDNFIDTSSSVPSLFKEFATKIRSSLKSQSNLSLLLVTIFALIFFMQFSILVLLARPQHIHMNPPVDFMNRMDNGVTRSPSDIAWLEKRVHHLKDEMYMVETRLERMRYEHVLLKKQLKDLEHK >RHN58585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3011592:3015545:1 gene:gene20508 transcript:rna20508 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTFLPIALSFLCELLVIVVASSEFPEEMYRFDRKSHVGYKYDRIDEVRKQCAFVLSAASELRFDYGGVVGMKGELSFVNGDWIQDVGKFPIMPFDDRNENLPGGLSGDRRGSPMKLVSFRVTDVDHAHRLKKSIPINGFMAMGITRDGNFMDNVYDNGNLDFRLWPGHSQLSFPFQGVYTESKRNGGERVLCLLGNTMLPTRETNPANPWEWMKNPSDVPMSEDDQILLVLRYPLTFTLTNRMITGELRSLNRDSNPKYFDVVHISSQLGSSAKFTFDSQHILSKACDPYPYKDNMTDNVISVYKGPRFCEILEEVTRDKPLSVVPNWRCNGTDDFCSRLGPFVSDEGIKSTHGGFQDVILYMQDVICEQAAGHSNTGSTKVSAVFRAVSPSENRYNAAKRSGVNNMSLATEGIWKSSSGQLCMVGCLGLVDAKGSNCNTRICLYIPTTFSIKQHSIISGTLSPINNNSAFFPLSFEQLVLPTELWNYFTFTHPNYSYSKINIAGAVLEKNEPFTFSTVIKKSLLTFPKLEDETFQDSISLLSEDLTFHVSGFPDPMPRVQAPRVDIQMEILSVGPMFGRYWHAQNGSTGEQQETPYHANAAEYTEKQLLLNVSAQLSLSGKGYGNFSALFLEGLYDPHVGKMYLIGCRDVRASWNVLYQSYDLESGMDCLIEVVVSYPPTTTRWLVNPTATISIGSQRTDDDTLRFNTIKLQTFPIIYRKQREDVLSHRGVEGILRILTLTLAVGCILSQLFYIKHNVDSLPYISLVVLGVQSLGYSIPLVTDAEALFKRVVSESYDVSSSGTLENSEWLHIIDYTVKLLLIVSLLLTLRLFQKVWKSRIRLQTRTSSEPHCVPSDKKVLLCTFSLHLIGYIVVLVIHATKTSQKNLRTEKYVYAKENSHTLPGWATELEEYAGLVQDFFLFPQIIGNLIWQIHCKPLRKLYFIGITVVRLLPHIYDYIRAPVLNPYFSEDSEFINPSLDFYSKFGDIAIPVTAIILAILVYIQQRLGYDKLSQVLTFGQYKLLPTFRYERLDSKSFETELVPGSNGGGENKKEQVDEE >RHN72716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10140913:10143707:1 gene:gene8465 transcript:rna8465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MLRFLNGLKQLCLAVVNWCNTELSSPEQPPPHGGFNNAEEISNETVFTVSDVEALYELFKQISSAVVDDGLITKEDFQLALFKTSSKRSLSAERVFDMFDTNSHGVLNFKEFASAMSIFHPIAPVDDKIEFLFRLYDLKQQGYIDREQLKEMVVATLSESGVQLSDYVINRIIDKTFEDADRNHDGKIDKEEFYNLALRHPSLLKNMSLLYLTEITTKFPSFVFHSQVEDTEASALSC >RHN72016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4369308:4372449:1 gene:gene7683 transcript:rna7683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casein kinase substrate, phosphoprotein PP28 MGRGKFKSKPTGRRQFSTQEDILAGTTSRPRTFRQKEAENEEEPEEVSGDESGEESDQETKKKKGTQGIIEIENPNLVKPKNLKARDVNVEKTTELSRREREEIEKQRAHERYMRLQEQGKTDQSKKDLERLALIRQQRAEAARKRNEEKAAKEQKKAEMAETRK >RHN55823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24423640:24424280:-1 gene:gene31092 transcript:rna31092 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRSAILKVILRDMPLPSTVLVNSYTSGTTFVLEEPSSVTSLQPEKKTETRKNSSSNCFDVCEFDKFTYVEDCLTEILTLDNADPRYKKLRDEMVEDILHDLKRNALPKEGIQTRLQPQVLVLLGKKKAFLFLCAILFIAVLAMLFYTSDDDCRLVPT >RHN81243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44308431:44310725:-1 gene:gene5256 transcript:rna5256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MKSGNQVDNTHTKILLTSLSAMVAESTTFPIDLIKTRLQLHGESLSSTRPTGAFQIGLDIIRQQGPLCLYKGLSPAILRHLFYTPIRIVGYEHLRSVISSDNGSPSIIGKAVVGGISGSMAQVIASPADLVKVRMQADSQMMRKGLQPRYSGPIDAFNKIIKAEGFQGLWKGVFPNIQRAFLVNMGELACYDHAKQFVIKSKIAEDNVYAHTLASIMSGLAATSLSCPADVVKTRMMNQTAKKEGNVLYRSSYDCLVKTVKVEGIRALWKGFFPTWARLGPWQFVFWVSYEKFRKLAGLSSF >RHN51360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16629255:16644713:1 gene:gene35786 transcript:rna35786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MSRGKSFCFAESISLVCFLQIVMLLPHHHHQTCHAIVNNKTFCPTSSCGKISNIKHPFRLKNDPATCGDPSYELSCENNITTLNLFSGKYYVLEINYKNYTIRLVDAGLQEGDCSSIPRYFLTTSNFSSFYFSINDGEPYQTNYLPGYIIYLKCSKSVNDDPEYVDTAPCISSDSKSHVYAYAGGYLTVGKLKDYCKVMLVAMSSSDFHSVSVREGVPDRPLSYEEDIHAMLLYGFELSWISGACRESCGDNMGCNLNYTTGDLECYRDFNRFRYCHYPFRFQSINCNQKISKLVILVEDIILGIIKGALQVIGTKKTYAFSEEDISLSKIGIEIGRVTGRYILPSLIVRFSLGFIVFFVLLIYTCRSRHNSIYENIEVFLRGSTLMPIRYSYKEIKKMTRGFRDKLGEGGFGAVYKGKLCSGPFVAIKMLGKSKSNGQDFINEVATIGRIHHTNVVRLIGFCVEGSKRALVYEFMPNGSLDKYISSSEDAISLTYKQMYEISLGVARGMAYLHQGCNMQILHFDIKPHNILLDENFIPKVSDFGLAKLYPNEISIVTLTAARGTIGYMAPELFYKNIGGVSYKADVYSFGMLLMEMASKRRNLNPHADRSSQLFFPFWIYNQLIEKREIEMDQISDEERNNVNKMFITALWCIQLKPSDRPSMNRVIEMLEGDIENVEMPPKPSLYPNEMIEEDLDVNSNETESENDGSISFIE >RHN72971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12248437:12252567:-1 gene:gene8740 transcript:rna8740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MCSIFVSSTSMDNYLGDLTDIIRGGTAGAYSSTGTSSSSAEPAVSHLHDHDNQWNHHHQHHHQHNHNHQFSSDHHHHHPLSFSSVLEDNRGGTNMNMFGDPLFSTLRDPFLQELDHIPSSSYFNITSTTSTTSPASIIDVASSGVSVTTSSSSSASVFALDELRSISRPCKNIFSNMIQISPNPKLPNYESSSTIAAPSPRPIKPSAVISTNININAKDSLLDNTGVQISSSRNTGLKRRKNQAKKVVCIPAPAAANSRQTGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQPSKNNTSIKNSETSQPQNDTTKPKEEQENNNNSDGNDSPVVNNVKEENMEDIEKLQIEMDEGEFSDGLSYKVMENSKIHEDFFAELGEIETDPLNLLFNQGFGGNSSNDRDQSKGLDPFHLFDWSGDNNNTNNSFEEPNKRRL >RHN44355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3812192:3814745:-1 gene:gene38465 transcript:rna38465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-AMPK family MKQKVFSAGRKFLQLSTNLYFGQIISAVEFGHSKRIVHLDLKPENILFDAHNSLKLVDFGLCEIMRNGYFLQKIPGSP >RHN59007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6601542:6602916:1 gene:gene20987 transcript:rna20987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MESTPDFVKRVLREALGDDVSDFKLLVFAVHAFILESGFIRVDRVSGVKISSSHLIDDMSSSSMICLRYTLPEILTNGSPHSLNLKIQTLGHFVNVYGSLYDDVGSNVHRVYLDKYRFSKPLKFMLSNFESIASFNVNDDENEVFELWKIVNDRLALPLLMDLCDKAGLNLPPCFMSLPMELKLLIFEYLPGDDLAKVCCTCSKLQYLASNDELWKKKFEEEFGQSVNGMRFYKSLYARYRATKKNSDQSFSFRIPRTRILRYFQRRRGGG >RHN70746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53695260:53696894:1 gene:gene19360 transcript:rna19360 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGDKYEGKGNRASFDDHLTPAERRFLQQTEKLELQRLAKMASRSHRDRIQQFNQYLANLSEHYDIPKVGPG >RHN59117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7637589:7642260:-1 gene:gene21107 transcript:rna21107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Tim10/DDP family zinc finger MDKNIFGEVDNLPEEDKKRMTTMVEQLQTRDSLRLYNSLVERCFNNCVSSFYRSSLNKPEETCVLRCAGKYLRFSSHVGVKFSDINQGASTTDKQ >RHN61795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38432082:38433827:1 gene:gene24291 transcript:rna24291 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQDFCECRPLGFFIGLPFALLSLILSLIGAIIWIIGSILSCCCPCCICCTGLLNVAVCLIKLPVRILRWFVNKIPC >RHN79669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31086859:31094983:1 gene:gene3489 transcript:rna3489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MFKLHKHRSAKSSDRIEFRISHLKALQVPKGWDKLFVSVVSVENGKTIAKSSKVTVRNGSCQWSDVFAESIWFSRDNLSKETDDCILKLIVAMGSLRSGILGEATVSMTSYASSDAAVPLSIPLNKCNHGTVLNVTVQCLTPRKKPRDQESRETNSHLKAMSENNHEVTVKSNGSDQSVESSSVGDVDSTLSSPEEVETMAESLPGSVSNYSYNSAEDSTGKGNFSTYMSDGQSRTGRQDSTGSQKSVSHYDYPVNNNSSQSNRSSFNSQNMQDTGASSYKKTNGSNNSLEATEDTSEELRAEAKMWEMNARKLLGDLEMLRTGFSDQSKKMEGLEMDLSTAYVERDNLKKEVEQLTLSSGDPIVRQKTLEDSISQGESIPEIENALKDELKFQKESNANLSLQLKKSQEANVELVSVLQELEETIEQQKLEIENLSSLPSKLSALEKSFQVSEEGNMILIQQIEQLEESKKNLLAMVQKLEEASENKIHDIEHAKIPNKKTLQDIEIEYEIELSAKEEEISSLKARLLDSVPETCNGGETVSRNVGDADLLEQIEVLNEKVQELEMDCNELTNENLELLFKLKEAKTDSKDGGASKDLLSNIFKDQSFSSSESVASNNLFRIFHSEDMLPEENTKKISNDGHISIRELETSKSAQEVRITDLNNELTDETSEMENLEVELENAKNQSARLQEKIAEMQSEMDSSIEDLEQKLKETQFHWSEAQEECEYLRGENQQLQITIENLEEECDSFEKLNGYLRQQKLELEEYCSLMGARLRESSERFDDYCERVGLLEKKFALMLEEITSKEKNLTSEMDGILDENRKHMDQGQSLLNQMQMEKIVEIQNLKLEIENLSLKLSAAYDEKERIASNALLEVSTLRAGKAKLEFAFGEVQSEVILSKNEVNVMQTEYKQKLKDLTTELADFKFKMETLMAEHEKLSELVEDYKSRELKLKSTINSLESKLTDTEYERQQYMDESRNSKVQLQQTCQFENEIMALKSELNTSNTEKERLKASLCLKSELCEDLKAENTSFERKMSSLEKAASELEHCKRTRTSLEERLMQLENDLNARDARCAQEKSKLQEKAQALEEELKLIKEQKRNQVSKLNRKPVNDDQKASKNSIIKNTNQVRSNRKKPSLKNDREILKDQQDPYNSRKHQTEVESEHGLLDENVHVVEVEPVSKTQLLETAVEKAKEAIDIYEVQLNRSSSQGRNNHANGPVKSIVEEEQVTKEKFERTKSILEEELRDIQDRYFHMSLKYAEVESQREELVMKLRVAKSKKGWLS >RHN49800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1196149:1197449:-1 gene:gene34019 transcript:rna34019 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVYPKGVFDHFPKSLDLVCPSFTRNTPFRFLNVLTKHQMFPNLIHEKWGMIYFFSQEEM >RHN79208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25842907:25852108:-1 gene:gene2950 transcript:rna2950 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRWQFNNVRFPSSTGANSVLIPISTKSNLALMLSFDFPSTFSSVPIMQSNTFFSICIASRKCLTYNDFQYGSSKKIDFFFHPLLTRSFAKGLPNLLLISFTFSNS >RHN62642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44883734:44886696:1 gene:gene25237 transcript:rna25237 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zein-binding domain-containing protein MSFPNAFSDCTTSLLRVHRRKPIKPKSNLSQRLTPDLESKVIGPPDSKTKSVSRHQNRVESLKWSNFTITTEPSICPHPPSFSSPFSVFPTLTVVTVASLYTLKPNDIHRHQRLLIIIFFFIPPISHISPPFQNNLILLPFFSLFTLRSASDADSPSFLLHQRLPLMNLALPLNFLTQFGCSFVLLSCLSRLLNFLGMFLMLGFCFKILHFGWHFKGALRFLCDFGRMPTIRLCLENIVLEVSKKKIPSLENGSDPNTNSSTQKRSNVAGERLNGRLEDRSERKDDSEMQVPDVDEVFDAMTLRKLIKIERRKANAAFAELDKERIAASSSAEEAMAMILRLQSEKSSAEIQANQFRRMAEQKLEYDDEVIESLEWTITRHESHRSVLEEQLRVYREELKQYLGEDGINQLEADVSRDRSFENEAVDSVVSSSENGSPTFHKDPILKPAIWDMQL >RHN46099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28495727:28496332:1 gene:gene40539 transcript:rna40539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MYEQYKKDTSVLPIFLSHFLESPSKSITQTTDPYYSLKEKDCTMVVDSCNGLLCLVGCSNEIWFRVWNPATRTISDKLGHADLPDLTQTLLKFTFGYDNSTDTYKVVALEDAAARVFSLGDNVWRNIHFPVYFYLDDGVHLNGSVNLLAIRDYIRDYYDPRYITVEQVTIISLDLGTETYKEFSPLEDLIKSHMSSHHYLC >RHN66101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8552999:8560203:-1 gene:gene14016 transcript:rna14016 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKQVNKSNDLGPIPNFSIGLTQLEQEQASDDDNGKKNGKKMEKKTDQINRGKRKQQSDEGSSDDKNAKKSGKKMKKKPDQKKQQSTGEESDEKKSIFVNKSDEASSDDKNGKKNLDVDQRLRHKLSIPKVYDLTKSIDGKRRKDQIIQLLNESGFGGMVHICKWTKIHKFFVEWVVRHFEKENMWIRLSKTDVLPLKEEDVHRVYHLPMAGEQINIKLCSEAAIKRLRVELGLDGDYSPFVKATELEIRLKIMEKPKAWVKGAICLIIHNILCPTNSSLVSLHYAQVLEEASSYNWCSHVLQYMKDGLQNPEVANPLADFHFLM >RHN75547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43191907:43192149:1 gene:gene11771 transcript:rna11771 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVEKRRSIKQKTCCKSCTQCKKRGDFFVLEGLGPRLNVLVGGGFMAVAGLCSGFGGALVVSFWFGPNRRCGSHLLMIV >RHN64545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59948247:59953242:-1 gene:gene27370 transcript:rna27370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MLPQKFRQNPMIEQHSLYQHPALITPQIEPILSGNLPPGFDSSTCRSVYVGNIHPQVSEPLLQELFSSAGALEGCKLIRKEKSSYGFVDYFDRSSAAIAIVTLNGRNIFGQSIKVNWAYTRGQREDTSGHFHIFVGDLSPEVTDATLYACFSAYSSCSDARVMWDQKTGRSRGFGFVSFRNQQEAQSAINDLTGKWLGSRQIRCNWATKGANMNGENQSSESKSVVELTSGTSEEAQEMTSDDSPEKNPQYTTVYVGNLAPEVTSVDLHHHFHALGVGTIEDVRVQRDKGFGFVRYSTHGEAALAIQMGNTRFLFGKPIKCSWGSKPTPPGTASTPLPPPASTHVPVPGFSPAGLALYERQLALSKMNEAHAVKRAAMGMGALGAGYGAGFPNVATTQHLMYYQ >RHN62132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40982578:40982922:1 gene:gene24668 transcript:rna24668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRVKLEIKRIENTVNRQVTYSKRRNGLIKKAYELSILCDIDIALIMFSPSNRLNHFSGKRRYALNPLHIFLLLLLPFTNHTCIDLIYNNQSISYVSSLLKDRWLIVFDRFCEN >RHN57142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36812232:36812689:1 gene:gene32643 transcript:rna32643 gene_biotype:protein_coding transcript_biotype:protein_coding MFIHFVCVHCVLIMQILVKKNIIYDKTFLSIFQSNYLTCLY >RHN67959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31827761:31828471:1 gene:gene16224 transcript:rna16224 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta' MIDQYKHQHLRIGSVSPEQISAWAKKILPNGEIVGEVTKPYTLHYKTNKPEKDGLFCERIFGPIKSGICACGNYRVIGDKKDQPKFCEQCGVEFVDSRVRRYQMGYIKLACPVTHVWYLKRLPSYIASLLDKPLKELEGLVYCDV >RHN48883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50891724:50894938:1 gene:gene43648 transcript:rna43648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rho GTPase activation protein MRGPVMVSGGCGGVKGRRGSRDGSEEVSPAALMLAALKKSMVACSVESPDDVISAVHHPMEIGWPTNVKHVNHVTFDRFNGFLGLPLELEVHVPAPVPSASVSVFGVSAESMQCSYDSKGNSVPTILLLMQERLYSQGGLKAEGIFRINPENGEEEHLREQLNSGIVPNDIDVHCLAGLIKAWFRELPSGVLDGLSPEEVLECNTEEESVELVKQLKPVESALLNWAVDLMADVVVEEECNKMDARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLILKTLRDREETATAEYSSMSSHSSDEYDSQQEMYTSGELKRTKLDYDDHVDYSHNIEEAASALSEIEECFLEQLDDVQTKRFTKEKPAEHLQEELESPTKTEDSCLSSSYRNGSTTTEGSNADTSSPSIESKNTNDVEMVDKFSDSISLLPLFASN >RHN82562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54773128:54778229:-1 gene:gene6735 transcript:rna6735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAD-binding domain, FAD/NAD(P)-binding domain-containing protein METVIVKDIVIVGAGIAGLTTSLGLHRLGIESLVLESSDSLRVGGFALTVWENAWKALDVVGVGTILRHQHLQLHGNVTTSLVMGQQTSTTSFMDNKGKYGAREVRCIGRNLLLEALANELPNGTIRYMSKVVAIEESGFSKILHLVDGTTIKTKVLIGCDGVNSVVAKWLGFKEASYTGRYATRGYAELKTTHNLEPMFMQYFGKGFRAGAIPCDEKSVYWFFTWTPINQDKELAQDPAKLKQYVLNKLEKMPSDVKHFIENTELDAFQSAPLRHRHPWELMMGNISKGNVCVAGDALHPMTPDLGQGGCSALEDGVVLARCLAEAFSKKPKEEEEEEEEYKRIEEGFKKYAKERRWRCIDLIIANYFVGSIQESGSKLVNFFRDKILATYLASQLLKKSNFDCGKLKNSP >RHN70093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48699464:48704084:-1 gene:gene18640 transcript:rna18640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CRK7-CDK9 family MGCVLGTPASDGDRDQRRQRRTQQRNGSSEVTNNVVRVRERQKNRHTGDFPVNLPALERRKPMLDPLSVNQQGWPSWLMAVAGEAIGDWTPRRANSFEKLAKIGQGTYSNVYKAKDLVTGKIVALKKVRFDNLEPESVKFMAREILVLRKLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLSAGQGVKFTEPQVKCFMKQLLSGLEHCHSRGVLHRDIKGSNLLIDNEGILKIADFGLATFYNPNKKQSMTSRVVTLWYRPPELLLGATFYGVGIDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPAEEYWRKHKLPNATIFKPQQPYKRCISETFKDFPPSSLPLIDSLLAIDPDRRGTASAALNHEFFTTEPYACEPSSLPKYPPSKELDVKMRDEEARRQKALNGKANAVDGAKRVRARERGRAIPAPEANAEIQTNLDRWRVVTHANAKSKSEKFPPPHQDGAVGYPQDESSKGPVSFGASDTSFSSGTFNVKPSGPTRSHDGTGLHKGTKTKKEESQMASSWKFMRPFKPSTIGLSMDLLFRSK >RHN55644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20556882:20559533:-1 gene:gene30860 transcript:rna30860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MLHKFMNAITCFVMYIFFSNILPYVHSYVPSENIVLNCGSNTSELVQYDGRIWNGDIDSPYVPSYEDIINKSLTAKALSSNLESIPEVPYMTARIFQSQFTYRFNVTSGPKFIRLHFYPFSYLDFNISKAFLSVTAGNFTLLHNFSVSLNVDYFNLAYLMKEFIVHVKGNSLELTFTPSSNDSDAYAFVNGIEVVSIPNGLYIGGDDSPIPFAGHDTIVYIYNDSAMETLYRLNVGGEQILPKYDTGMFRNWDIDDGYIFGGYDFKHFNKSMKVLYTDHVPEYTAPDDVYRTSRSMAPYWAGFVNLNYNLTWFFEVDSGFLYLIRLHFCEVTYAITRVNEVVFSVFLNNQTAEELDPFAIGGGPGVVIYRDYVVLVPNDSDSKQDLWLDLHPCEYSKPHFYDTYLNGVEIFKLSSVDKKNLAGLNPSEKKIGSSATATAHVVEKVKSSKKLKFILIGCGLGVVAIPILLCLVLLKFKVIKPRKIMSCCVLSPNQTEKEKKSSSFCCQFSLKEIKVATNDFNEALLIGTGGFGTVYKGSFDDGASFVAIKRADLMSEQGVIEFETEIHLLSRVRHNNLVSLLGYCNEDDEMILVYDFMSNGSLYDHLHSKQKDQHQPHLSWIQRLEICIGVARGLHYLHTGTKHRIIHRDIKTTNILLDHNWIAKISDFGLSKESYTSLGTTVVKGSTGYLDPEYYQRCMLTEKSDLYSLGVVLLEVLSARQALSPCDDDDDDEHLNLAEWAKFCFENGNVEEIVDPNLEGNIVKECLELYLGIAMKCLAERGVERPSTGDVLQNLFMALQIQKNGVNVQNDLQDYSDLTPGIEFSDIMMPVGR >RHN67443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27300609:27303977:1 gene:gene15632 transcript:rna15632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ClpA/B family, P-loop containing nucleoside triphosphate hydrolase MLENLTECAKKVIMAAQKEARFVGHKYISTEHILLGILGDSNGLAAKILKSYGIDFNVSREQVMKLVGRGGGCSGFSCKDIRFTFDAKNVLDFSLKHAKSLGYDNVDTMHLLLGLLQGSNGTVTQLIQNQGADVNKIREQVIRQIEENVHQVNLPAEGSKNQMGGQVEENVTAESSKSNQIKFPVLTQNNNLGARKDDNANKQKSALEIFGTNLTKLAQEGKLHPFVGREEQVERVIQIICRRMKNNPCLVGEPGVGKTSIIQGLAQRILSGSVPEKLKGKKVVALDVADFLYVISNQGSSEERIRCLIKEIELCGNVILFVKEVHHIFDAATSGARSFAYILKHALERGVIQCIFATTVNEHRMHMENDTTLKRIFQPVKVVEPSVEETIEILKGLRGTYESHYKLHYTDEALVAAANLSQQYAIDLIDEAGSHVQLCHAKNKKRGNVSIPSVKKCDIQHVISSWIGVPVSDVSKEEGENLLNLEDMLHKHVIGQNEAISTICRAIRRARVGLRNCRRPIASFMFTGPSGVGKTELANALATNYFGSKDSLIRLDMSEYMDRYNVARLIGAPPGYIGFDDGGQLTEAIRKNSHAVVLFDEIEKAHSDVFNLMLQILDDGRLTDGKGQTVDFKSTLIIMTSNLGNNIIEGTNNETMQIIDHKKNLVMEELKNHFRPEFLNRLDEIIVFKELTKLEVEQIANIMLREVCEKMMVKDIHLSLTCRFRDYVIQNGYNPSYGARPLRRTIARFLEDTLAEKMLRKEIKEGDSVVVDINVDEGNVVVLNQKNVKREDLFISFVDHSDGCDYLVDGKRKDMVGISCNLMGKMLDMFGHRKSP >RHN50259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5599884:5606008:-1 gene:gene34529 transcript:rna34529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGSVCCCLSFDDYEDYVNPNSTVYRNCPCLSCLVQNLLNVYASIFRRGEAHSVPSSLQGTASMTSAASLDNSLSDMYRSPPRPLPYDAEPRFFRSQRDGLVSRREKSSSHSNDETEPLRSDTDVDPEPLHSSEKWNECACEDESKIYRSKSALKLSSAKYTTGVGLIYASSEDEDICPTCLEEYTKENPKIMTKCSHHFHLGCIYEWMERSDNCPVCGKEMDFDETTYT >RHN56213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28819920:28822140:1 gene:gene31578 transcript:rna31578 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MTSKGTNSAEQLPKTECCMCGDLGFSDQLFLCKICQIRSQHRYCSNVYPTTEFFGTCNWCLSQNEDSPNSSNSSSSYKKNESTEDEGKNKQIKNCFQKKGVIKGSGVYRLQLPKPMKKPKSPIASSTSPSILVSTRKRIITNGALEEKLRRTRSENIIKSSSNNNIGATKQVFRNKVRRYKLLDEVSS >RHN56105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27841633:27842280:1 gene:gene31444 transcript:rna31444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH dehydrogenase (quinone) MSHTHSPVLVMEKQSCEVCVRVCPIDLPVVDWKLETDIRKKRLLNYSIDFEICIFCGNCIEYCPTNCLSMTEEYELSTYDRHELNYNQIALGRLPMSVIDDYTIRTIQIK >RHN55626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20218517:20219125:1 gene:gene30833 transcript:rna30833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MCTCDGILCFTPSTSKDNLVVLWNPSIRKFKRVPPLGYQCRLFSNHYSFGYDPFIDNYKIIVVYFSSESEKNEISVHTLGTEYWRRIQDFPFFGHIGGPGIFVKDTVNWLTFEDTESYEIISIPDVNSDKYWSLEVLRDCLCIYVTSDLDLDVWIMKEYGIKESWTKLYSVSFVGGQMYDIRTLYIF >RHN58401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1482702:1485928:1 gene:gene20310 transcript:rna20310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactan 1,3-beta-galactosidase MRMKNLYKKPITNLRCSSWSRYCSISLVILWTLLILGWILLLHLYSNNNTSLNIIHPPPLPSHFHQLQQVEKENFQIPPPNKKRSPQSKSITPLVDEFLDQDSSLRHVFFPHKTIDPMKTIGKGKNDSYNCYYPGKIWLDTDGNPIQAHGGCILYDENSSTYYWYGEYKDGPTYLHNNKGPARVDIIGVGCYSSKDLWTWKKEGIALAAEKTDKTHDLHKSNVLERPKVIYNEKTRKYVMWMHIDNANYAKASVGIAFSDTPTGPFKYLGSQRPHGYQSRDMTLFKDEDNVAYLIYSSEENNVMHIGPLTEDYLNVTSVMKRIFVGQRREAPAMFKHKGTYYMITSGCTGWAPNEALVHSVGTKCVLQ >RHN38658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1048722:1050822:1 gene:gene44616 transcript:rna44616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MNTSMIASFFVLLLAFPYAFATDFTVGDANGWNLGVDYTKWASGKTFKVGDNLVFKYGSSHQVDEVDESDYKSCTSSNAIKNYAGGNSKVPLTKAGKIYFICPTLGHCTSTGGMKLEVNVVAASTTPTPSGTPPPTKSPSTTPSTTPSTTPSTTPSAPSETNSTTPSPPKDNGAVGVSNGVSLLIGSFFVSAMILGLMG >RHN39970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11951768:11954097:-1 gene:gene46056 transcript:rna46056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAEATKRYAVVTGANKGIGFAVCKQLASKGITVVLTARDEKRGLEAVEKLKQLSLPGLVVFHQLDVIDHASIRSFVDFIKNQFGNLDLLVNNAGIPGAQVDGEALAAANTAENGGQIDWSKIITQTYEETELGIKTNYYGAKDLTEALIPLLQLSSSPKVVNVSSSMGKLEKLPNGWPKEVLSDIENLTEEKIDEVLNQFLKDFKEGSLVENKGWPINSMSTYIISKASLSAYTRVAAKKYPSICINAVCPGFVKTDINYNTGYLTPDEGAESILRLALLSDGSSGHFFVRNEEKPF >RHN63174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49053325:49057232:1 gene:gene25847 transcript:rna25847 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-OST1L family MEERYEPLKELGSGNFGVARLAKDKNTGELVALKYIERGKKIDENVQREIINHRSLRHPNIIRFKEVLLTPSHLAIVLEYASGGELFERICSAGRFSEDEARYFFQQLISGVSYCHSMEICHRDLKLENTLLDGNPSPRLKICDFGYSKSAILHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEEPEDPRNFRKTIGRIIGVQYSIPDYVRISAECRNLLSRIFVADPAKRVTIPEIKQNPWFLKNMPKEIIEAERKGYMETKKDQPSQSVEEIMRIIQEARIPGQGSKVGDGTGQASTGSMNMDDDEEIDVSGEYENV >RHN42300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37225545:37228730:1 gene:gene48725 transcript:rna48725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MAVPSSVLKVEEILGRGRGIVASQPLKAGQIILTDSPILLYPTTPFSHHSSSFCDHCFKSLHSSFVSCSSCNRYRFCDSTCLSKALNSSHSTWVCQALSQLQSNPLLLQQPLERQLQARFLVAAYNLAITTPSNFQILLSLQGSPDNDEAANFLHPIIASLLSHPHNELFSLQLTSSLLAKDKLNAFGLMHPFSETDENRSVRAYGIYPYASFFNHDCLPNACRFDYVDVNPPYDVAAGLSNTDFVIRMIHDVPQGREICLSYFPVNENYSSRQKRLLEDYGFACNCDRCNVESNWSDNESVEGNVEEDEEEDMAMDDEEEEEQCENMEPSETDNNDFPHAYFFLKYMCDGTNCWGTLAPLPPQGDTLSNVMECNVCGKLKRDDDDLNVDDKGQSGEVHMED >RHN46122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28904065:28905264:-1 gene:gene40564 transcript:rna40564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNTNPPNSRRLRPRSNPSPVLSDELFPEILSWLPVKSLMQMKSVSHTWNTLISDPKFVKMHLHRSKRNPYFWYRGEPHADFNYNFLHFPVSRVLQNNWITVPKDPYYYLKDKDCVEIVGSCNGLVCLLGFSYDETLDYKNIWLRFWNPATRKISDKLGYNYKSSVMFCKFVFVYDNSTDIYKVLELSLSGDSDPHTKTTVRVFSLANNVWRTIPSFPVVPLEVWKRKGYDGVHLNCTVNWLANKSEWWNDTSGEFVILSFDIGREKYTQLLPPQGYDNGGAAPSICVLKDSLCLYHDFKKTDLVIWKMTEFGNENSWTQFHKVSYHNIHTNYEYAGSRLLILKPLHLSENGETMVLADIVQNRAILYNLRTNKAKKTTFNKKIRWFPMKDYVESLVSTS >RHN46447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31802983:31805218:1 gene:gene40933 transcript:rna40933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol dehydrogenase MQQILVQPPQKMEVRIKILYTSICHTDLSGWKGESEPQRAFPRIFGHEASGIVESVGEGVKDLNENDKVVIVFNGECGECNYCKCEKTNMCEKYGVNPMKRLMCDGTSRFSTIDGKLIYHFLNSSTFTEYTVVDSGCALKLNTEDILSLKKLTLLSCGVSTGIGAAWNNANVHAGSSVAIFGLGAIGLAVALGAQARGASKIIGVDVNPEKLTIAKAMGITDFINPRDEEKPVVEGWGLTILLGIHASPKLLPLHPMELFDGRKIEGSVFGGFKGKSQLPNLATECMKGAIKLDDFITHELPFDEINKAFDLLIAGKSLRCLLTL >RHN50559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8081860:8083031:-1 gene:gene34858 transcript:rna34858 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLFGALPASKSKKIDVDLVHKIRRTPPPHLSPFVNYDEEGYISDNAKTINHLQSAATEEFLPLPGVGKDDSLQGAITYISADSNVEKEISSSVVQTEESTTNGQENVDTDMSLLVMSRKNIKIFEAMRYLISASRMRLITSTAENEIR >RHN46747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34512198:34513995:1 gene:gene41269 transcript:rna41269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAKKYEGLSVGIDLGTTYSCVAAWQDQHNRVEIIHNEQGNKTTPSCVAFTDKQRLIGDAAKNQAASNPQNTIFDAKRLIGRKFSDDVVQKDINLWLFKVITDVNNKPMFVVKYKSQEKHLCAEEISSMVLTKMREIAEAYLQSPVKNAVITVPAYFNDSQRKATVDSGAIAGLNVMRIISEPTAAAIAYGLDTGTKYTGERNIFVFDLGGGTFDVSLVTIKDKVFQVKATAGNTHLGGEDFDNRMVNYFVEVFKKKKRVDISGNPRALRRLRT >RHN51546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19588961:19591689:1 gene:gene36003 transcript:rna36003 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLFVLYAQAALFSSCGFQENKGKRASVTTSCRRRVVTTSSLAFAIYITRFL >RHN50319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6124975:6126420:-1 gene:gene34591 transcript:rna34591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MLYFGRYVGKFQPSKLPCMCIMKAPILFQFVHRLLFFNYVSLIKLPMLADIKKIYEMKMDHYLVSEIMRCLCKKIEKISSESELHQCSIHDAMLQAAKYGIIEFINSMREANPDLLWAMDKNKRGIFAHAILNRQDKVFKLIYEIDGQKGLKTSVDIFGNNLLHLAAELGPSSYRGRRSNAALQMQRELQWFKAVESVVPPMCKEAKNADGLKPRELFTKNHEKLVNEGRQWAKDTASSFTIVGTLIITIMFAAAFTVPGGNNQYKGTPIFLCNDAFSLFIIADALSLITSTSSVLTFIGILTSRYAEEDFVTSLPVKLLFGLFTIFLSVVFMMCAFCSALALMLKGYRWIVIAAISSSVIPILVFMLTLLRIFSEVCVSFVQSYFLLGKKQK >RHN52276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33366219:33374863:1 gene:gene36931 transcript:rna36931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAMQSPSRVFLNFRGSDTRNNFTGNLYKALVDKGIRTFIDENDLQRGDEITPSLVKAIEESRICIPIFSANYASSSFCLDELVHIIHCYKTKSCLVLPVFYGVDPTDVRRHTCSYGEYLTKHEEGFQNNEKNMERLRQWKMALTQAANLSGYHYSPHEYEHKFIEKIVQYISNNINHDFLNVAKYPVGLQSRIEQVKLLLDMGSEDEVRMVGLFGTGGMGKSTLAKAVFNFLADQFEGVCFLHNVRENSSHNNLKHLQKMLLSKIVKFDGKLEDVSEGIPIIKERLSRKKILLIVDDVDKLEQLEALAGGLDWFGHGSRVIITTRDKHLLACHGITSTHAVEELNETEALELLRRMAFKNDKVPSSYEEILNRVVTYASGLPLAIVTIGGNLFGRKVEDWKRTLDEYENIPDKDIQRILQVSYDALKEKDQSVFLDIACCFKGCKWTKVKKILHAHYGHCIEHHVGVLAEKCLIGHWEYDTYVTLHDLIEDMGKEVVRQESPKKPGERSRLWFHDDILNVLRDNMGTENIEMIYLKFDPTARETEWDGMACKKMTNLKTLIIEDGNYFSGGPGHLPSSLRYWKWISCPLKSLSCISSKEFNYMKVLTLDFCEYLTHIPDVSGLPNLEKCSFRYCFSLITIPSSIGHLNKLEILNAYGCSKLEHFPELLCKMSNIKDIKIYDTSIEELPYSFQNFSEIQRLTIYGYYLPGKLRFQKYNDKMNSIVFSNVEHVELRNNNLSDECLPILLKWFVNVTFLDLSQNNFTILPECLGECHRLKHLYLKKCKALEEIRGIPPNLERLFARGCYSLISSSIRMLMSQVCCFLIALVFDI >RHN55355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17594632:17595719:-1 gene:gene30525 transcript:rna30525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin MLQAVIGHLIRVCGNFHLDIHAYYMVLYNFGEKLYSGLVATMTSHLREIARSLEATQGISFLEEFNTKWNDYNKSLAFLRDILRYMERTYIPSTKKTPVYELGLNLWREIVIYSNQIRTQLSNTLLEFVFKECAGEDVNRELIRNVTKMLIDLGPSVYEQEFETPFLQVLAESYKAESEKYIECCDCGDYLKKVERCLNEETDRIHYLDPKTEKKIINAIEKEMIENPMLRLINMENSGFVNMLCGNKYEDLERMYNLFRRVPDGLSKIQEAMISHIRVSVDKLVTDPKRLEDPVEFLQRLLDDKDKYDKIINMAFSNDKFFRNAFNSLFEFFTNLKLEKSLKLEAF >RHN51427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17645302:17646816:-1 gene:gene35859 transcript:rna35859 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVTEAQAQMAKEYAANKIVEKKRLAEQYRKERDEKLKAAGFAESDPQGAETADEIMALTEEVKTKTVKEATGLLKEALTDKGPSEASRSASEAAESTAYSSGNPLESQAHVNTQTLDSIPSFSSSPSSSTDSDFIPLNQIIRKPRTLTKTKTKTKTKTKVKSRSTINTNMSSYVDETIKNLSKRHVEIAQKLPSDHWFQPPIIPPLNMQLPETNPEPQRASEVVLEETMSENPHQQHQPSSPSTLPSLEKHLGGEMSDTPQKASSAAPKKTALENQQPSNSPKTNEPQIETSHIPEPHPKSESQTHLETLQLYSDQPQIAEPSNLNQQNASDQPSTSTQNIDSHEPLQNIPESEIDTELLRINEEVRELILLRKVPILSLHYEEQWVNLKKRSSVLLNRISQTCMKTQAKALQRCLTAAHKPDVEISDGPTLCLANAPFYPESEYVTKESKLIPYLQKKLMITQAVAQEKEEELQKMKQKQEVLENVVCKQAEDMKKQAEEM >RHN44644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7209267:7210060:-1 gene:gene38788 transcript:rna38788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MILLLLFQLGIWIRIGYMIYIYCSCILSQGTSSLRTLQLQVHDVGAVSTLAVTGILVYIGSFSIGMGAIPWVVMSEIFPVNIKGQAGSIATIVNWFGAWLCSYTFNFLMSWSSYGKY >RHN47781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42509216:42512996:1 gene:gene42422 transcript:rna42422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-sulfoquinovose synthase MAQLLSSSCSLTFSASNKPCLKPFHQCSTSFSNTVVCDNSKTPFRQLFLREQKPRKSLAVVNASTISTGQEAPVQTSSGDPFKPKRVMVIGGDGYCGWATALHLSNKGYEVAIVDNLVRRLFDHQLGLDSLTPISSIQDRIQCWKSLTGKSIELYIGDICEFEFLSETFKSYEPDAVVHFGEQRSAPYSMIDRSRAVYTQQNNVVGTLNVLFAIKEYREDCHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVRTDETAMHEELCNRFDYDAIFGTALNRFCVQAAVGHPLTVYGKGGQTRAFLDIRDTVQCVELAIANPANPGEFRVFNQFTEQFKVTELAELVTKAGEKLGLDVKTISVPNPRVELEEHYYNCKNTKLVDLGLKPHFLSDSLIDSLLNFAVQYKDRVDTKQIMPGVSWRKVGVKTKTLTS >RHN50796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10559884:10561966:-1 gene:gene35129 transcript:rna35129 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRTTPMKCMVRAKMMMMMTGSRWMIDAYDACCVFSLLLCYGLYDFGRFSMLPDTIFSFLHGL >RHN41204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28136968:28140144:1 gene:gene47499 transcript:rna47499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP deaminase MPTPAQWTNEFNPAYSYYLYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDSDHLAAAFLLCHNISHGINLRKTPVLQYLYYLAQV >RHN80878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41501167:41501816:-1 gene:gene4854 transcript:rna4854 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHFPHSFKFYLHITHMGRRKSKQSGITKSKQTLFFFFAKIGAIHLKIETLTMGHNCKNDGSVRSEAAMVVVVIVAAAVTGNRKGLVEVVEMVEGL >RHN77505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7285237:7289537:-1 gene:gene969 transcript:rna969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UVR domain, bifunctional nuclease domain-containing protein MASLKGPVACPSIHPKVAGFCTLPLIGPMNVRCVKTEFWGLKEFKRSFLSYHINTRKSKTVVHCSLNSPSNDGGSMAESFNEKDEDYVSSSVIEAVEVKSGADGFIIKMRDGKHLRCVHNNPQGGHLPDYSPHPAMVLKMEDGSDLLLPIIVLEMPSVLLLAAVRNVSIARPTLYEVVMEMIGKMGYQVKLVRVTRRVDEAYFAQLYLTKVGNEAECRSFDLRPSDAINIAVRCKVPIQVNKYLASSDGMRVIESGKLPTQIPSFDGRLFTEMDKPNGQPCAETDEFNLLNNMLKAVDEERYDDAALWRDRLNQHRAGKNMKKRS >RHN54251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8075784:8076287:-1 gene:gene29254 transcript:rna29254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, P-loop containing nucleoside triphosphate hydrolase MDGLWSSCGEERIIVFTTNHKDKVDPALLRPGRMDMHIHLSFLKAKAFRILASNYLEIEEHHQSLFEQIEELLEKVDVTPAVVAEHLLRSEDPDVVLEELIKFLQEIDISRVIMDEGYSQQQCSKNQTELRTSKTSRLWFNCFKSLESRQNRSRKVQITKPQKMSKT >RHN46954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36007984:36010602:1 gene:gene41496 transcript:rna41496 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVDWANLGGLPLSLVLEKLEVQTDYVRFGVVCKNWLSIAKLNHKNHQFRINVLPMLMILSKGMKISLCNIPSFHQFSIFGQKEYPIPLSHPSIIKSKTCLGCSHGWLALVDNNNAITLVNPFKSSIAPISLPPLESRSLEKVTLSDDPITSPSDYVVAVIYNFSSLAFKRPSQSFWIRVNTNEFSFTGVVFYKGLIFADNTDTIVSFKLNNPSSDDSFDPNFAYYEKMASTPIWFPEQYYYGRAYFVKSLTGDIWMMRRFLTGKNLIRPYVFKLELDAQSGKLEQMNKLESLEDNILFVGSVGDSISVPASAFSKLEKDSIYVVNGGLEIDIYIYNMKDGSVQRPPSVLSNNWMQHFWVLPQLQWD >RHN39913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11655990:11663044:1 gene:gene45997 transcript:rna45997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain, AT hook, DNA-binding protein MDRGDQMAALSGSASYFMQRGLPGSGNQHELHNSPGIRPLNTNSSFQSSIGGGGTIGSTLPMDSSGIGSQCINVGASSGGAASTGEPAKRKRGRPRKYGADGSVSLALTPTTPASHPGTVPQVQKRGRGRPPGSGKKQQLASFGGLISSSAGNGFTPHVISIAIGEDIATKILAFSQQGPRTIFVMSANGAVSTVTLCQASTSGGSVTYEGRFEILSLTGSYLVADNDGSRNRTGSLSVSLASPDGRVIGGGVGGLLIAASPVQVIIGSFMWGGPKTKNKKNEDSEDQEVGVEENHHHGVHNPAAMNSMSPNQNLTPTSLNSWPASRPLDMRNSHIDIDLMRG >RHN45592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23411049:23415557:-1 gene:gene39968 transcript:rna39968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MAGFSSKNVPLFGVSSRTRSKNVPLFGSLLLGGSSKRRKSDEEDKGLEYVSGSKKRKMTEEPFVVPADAEVISIDDDEYEDVGEEGLEHSDEKMSEGGGESGGSDFDAKDGKFVDEKCGAQDNLISINSDEYGESEEHSVDSDPDNEEEEKEKEKADDVVKSDESGGIGRFSDQGEKVIIDLDDSEESKEDDSDKSEANDSSDEDFEVDEPNEISDNDDDDCSSSSSYVNDDDDAEDEEEEEEKEGYRKDFNVVEELVRKVKSQKRGISESNNEEVNVENSSSSDNDDEEMVREGKDKKSRISERKCEEVENESNPSSTNIDELEQADHASVSSCTIEKKGSSSSKLNGVPETLKRKSVEGKVKNLSDECVNVEVNAKSENKSKENVGDSDGGKDQFVKGLDVGGVSSFQIKQEKMKESDKQKTMENKRRDYKGRADICNGEKKESTDNNGLNQSVKSTHFTRKELRSLELLVKCYWERKNFMNNDSIVLEVNDDGVDQHHTRPPPVSVETPRERIWSLKKVDIVQKTKEEEEEELLWDEFDTASRESNAESMIGNLGENGGPSFHCEHDTFLDEEIGLFCKLCHEVVTEIKYISPPVNDRCPDKGSGKRALSDDSVNASLFDGSQFNVSDGDSDANLFLEGSVWDLIPDVKEKLYPHQQEGFEFIWKNLAGNIELQKLKNADPRREGGCIISHAPGTGKTRLTIEFLKAYLKVFPGCLPIIVAPASLLLTWEYEFKKWEIGVPFHNLNNSELSVKDHEDVFNANNWSNTRQSTEETRMMKLISWFKAKSILGISYSLYEKIAGGGEYGDGDEKKRKRASADKRKENSCMRKVLLETPGLLVLDEGHTPRNKNSLIWKVLSKIQTRKRIILSGTPFQNNFLELYNTLSLVRPSFHKTIPQELKKFCQKQERKKAPKEWSWEPVFGNTAGNTSDDKIKQLKLLMDPFVHVHKGAILQKKLPGLRDCVLCLKPDSFHKQILESFKSSQNSFILENKQTLASIHPSLLLECKFLTEEESFVDKDRLEKLRLDHNAGVKTKFLLEFVNLCAAHNEKVLVFSQLLDPLRLIIDQLNSALKWTEGKEILYMSGEVRDRQSLINNFNDANSQSKILLASTRACSEGISLVGASRVVLLDVEWNPSVEKQAISRAYRIGQKKVVYTYHLLTQGTKECDKYCKQAEKHRLSELVFSAKNADNHNEPKNCAADIEDRILDLMIRHENLKDMFVECVVQPKEREFESFGY >RHN77737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9070531:9072300:-1 gene:gene1224 transcript:rna1224 gene_biotype:protein_coding transcript_biotype:protein_coding MWWFRRWWNTSECFLEQMGVSICRHSNAQVSRDQSVRVMTVRHITFLKSFYLSFYSILLV >RHN64154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56991613:56993016:1 gene:gene26940 transcript:rna26940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MFLPHELIILILLRLPVKSLIRFKCVCKSWFSLISHDPHFANSHFQLTAATHTHRIMFRTPISEIRSVDLEASLHDDYAFMLPEPYTDLNIKASSRGFIVFNCASNIYLWNPSTGAQKQIPSPPNDYYLNFAGFGYDPSEDDYLVVSVSYDSIPNSDDKLSHLEIFTLKANVWKETVGTTHWPYCSKIVSSYYPMVDSFFNGAIHWLAFRHDIGVYVIVAFRLTERELLLIPLLDDIHDHSNDIHNHSNDRDLWVFRGSLSLWVSGDHKVDIWVMEEYGVHSSWIKTLVLSIDAFPYLYPICCTKSGNIVASNGWIGLVKYNDKGEFLEHNSYDEDARGSKMTLYTESLLSLPNDSEQA >RHN75849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45525623:45526027:-1 gene:gene12104 transcript:rna12104 gene_biotype:protein_coding transcript_biotype:protein_coding MAERPPVVLGIPVVTGPGHVKKKVKVTERPPIAPAAKKSNKPKVKHLGLQRRTSGRLRTSKMRTIVKGPGSSSEAPFVIDDDDEMYETVSDDGEMNVADNGDESCGRDGEPTQETINPKIGLCMAALRAFVVKD >RHN79389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28316726:28319016:-1 gene:gene3175 transcript:rna3175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MSLYKSISSMYYQAYQNIISSLGYSNMNPEMYFATTMLILFLTYISISLISLIGRTKKQKYNLPPGPSLLTIMRNVFELGKKPQYSLAKFSKIYGPIMHLKLGQITTIVISSPDIAQEVFQTHDLSISDRTIPQAVAVLGHEHFSLPFMPMSNLWRDLRKICKNNLFSNKTLDASNELRCKKLQELLCDIDRSSLVGEAVDVEKAAFKTLLNVLSNTFFSMDFVNSAGETDEYKDIVESLMTAIGTPNLVDFFPILRMFDPQGIRGISATYAEKLLQIFDSYITKRLELRNEENYVTNGDMLDNLLNISQENGQMMDTTKIQHLFLDLFVAGTDTTSYAIERGMAELVHNPHAMLKAKEELKQIIGIGNPIEESDITRLPYLQAVVKETLRMHPSAPLLLPKKARVDVKIHGYTIPQGAQVVINEWAIGRNPNIWDNPNSFLPERFLGSEINFNGQNFQLTPFGGGRRICPGMPLATKMIHMMLGSLINFFDWKLENGDRDINQPLRAIPVRVNKV >RHN56042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27114413:27115209:1 gene:gene31364 transcript:rna31364 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVDLAEAYVLRKMYKEKLKEGEEAKGPKNSAIGSKDERSSKCFFWFSKKLRRTTRIRDINEKERNKQVLDPIYKKASIRCC >RHN75696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44372341:44376382:1 gene:gene11934 transcript:rna11934 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIEEINESVVTKTSRKRNHGSTRFFVFLDYFFIFMFLGFLCFIVFQILSL >RHN75345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41455488:41455774:-1 gene:gene11530 transcript:rna11530 gene_biotype:protein_coding transcript_biotype:protein_coding MASISIVNTAPKCNHAAYGECDVDSFGYASTVCIKGNYYIRNEDSGSADLVTYMEGDDDDDGGYDYAPAA >RHN60937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31697645:31698913:-1 gene:gene23334 transcript:rna23334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MASSSSSSTNSLLISTFIFLTIFSPSQALIFTFVNNCPYTVWPAIIPNGGFPVLSSSGFELRHFTHLSIPVPDTHWAGRAWARTGCSTANNKFSCLTGDCGNSLQCHGAGGSPPATLVQFDVHHGNKDFSSYSVSLVDGFNTPLTVTPHEGKGECPVVGCKADLVASCPPVLQHRVPMGHGPVVACKSGCEAFHSDEHCCRNHFNNPQTCKPTVYSKFFKDACPATFTFAHDSPSLIHQCSSPGELKVIFCH >RHN57048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36092895:36093308:1 gene:gene32538 transcript:rna32538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MSKQMGQSYGSVGSSMRRRAVTECRCGEETVIRTVTDNTNPNCGKKFCGCKNYKNHFDKGCGFFKLLDEEEEVSDERDLLIAKLQKKNSKLKHELEKTRLWLMKALIFGLACFAVCLVLGTILICKISVSWSHMYLK >RHN67160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24458304:24458669:1 gene:gene15309 transcript:rna15309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartic peptidase domain-containing protein MCDLGASVSLMPLSLCERLGIGELKPTKITLQLADRSVKHPAKILEDIPIKVGGIFIPAIFVVMEMKEDLQVPVLLGRHFLDTAWAIIDVKHGKLTFNAGEKKNEFELANLMKGPSIYDKL >RHN62398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43116969:43121817:-1 gene:gene24969 transcript:rna24969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MISLAFFLETMETRRSEGKRSLKYKEDHEEEEEEEEDTDFEEEEDGRRKRVVTDLYSKRSSKKAGSSNIPPCCQVENCDADLSEAKQYHRRHKVCEYHAKAPAVHIAGLQQRFCQQCSRFHGLSEFDDAKRSCRRRLAGHNERRRKSAIDYQGE >RHN58647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3629162:3629809:-1 gene:gene20575 transcript:rna20575 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLRKTIHKTKSFFNKRLKNFRSFFSGGYQKLPRSLSFNFLCNMDNAKAYSSDQFYNEFYDILQSDLSTMKMFGKGKEPAMEDAARSGNFVCFSKRSPQKSAYEGSVQSQLRKKEDLNSQNTNKGAHVLAQKMKKMDMMESGDLEHVLDIEEALHYYSRLKSPVYLDIVDRFFIDINSDFTFPQPSASFKSSKERLGPVQLHKR >RHN55875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24973437:24976184:1 gene:gene31154 transcript:rna31154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MALEASSIIVPSVQELIKQPITEIPERYFHSNQDTIVVTNTTSLPHVPIIDLHKLLSDDSAELEKLDQTCKEWGFFQLINHGVNTSLVENMKIGVEQFFNLPMEEKKKKFWQTPNDIQGFGQLFVVSEEQKLEWADMFYINTLPLDSRHQHLIPSIPTPFRDHLENYCLVVKKLAITIIGRMEKALKIKSNELVELFDDINQGMRMNYYPPCPQPENVIGLNPHSDMGMLTILLQANDIEGLQIRKDGQWIPVQPLPNAFVVNLGDMLEIFTNGIYRSIEHRGIVNSKKERISIATFHRLNMSRVIGPTPNLITAERSALFNPIRVADYIKGYLSRQLEGKSYLDVVRIQKEMDK >RHN51047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13376183:13379754:1 gene:gene35417 transcript:rna35417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MKSFSLLSPTLLYLHLLFMITLNLMWFCPNKIRAVAAIGKQTDHLALLKFKESITSDPYNTLESWNSSIHFCKWHGITCSPMHERVTELSLKRYQLHGSLSPHVCNLTFLETLDIGDNNFFGEIPQELGQLLHLQHLILTNNSFVGEIPTNLTYCSNLKLLYLNGNHLNGKIPIEIGSLKKLQAISVGNNHLTEGIPSFIGNLSCLTRLNLGENNFSGKIPQEICFLKHLTILGVSENNLSGKIPSCLYNISSLISLTVTQNHLHGSFPPNMFHTLPNIQIFAFAANQFSGPIPTSIANASALQILDLGNNMNLVGQVPSLRNLQDLSFLSLEVNNLGNNSTMDLEFLKYLTNCSKLYVLSISYNNFGGHLPNSIGNLSTELPELYMGGNMISGKIPAELGRLVGLILLTMESNCFEGIIPTNFGKFQKMQVLSLRENKLSGGIPPFIGNLSQLYYLELNHNMFQGSIPPSIGNCQNLQSLDLSHNKLRGTIPVEVLNLFSLSILLNLSHNSLSGSLPREVGMLKNIEALDVSENHLSGDIPREIGECTSLEYIHLQRNSFNGTIPSSLTFLKGLRYLDLSRNQLSGSIPDGMQNISVLEYLNVSFNMLEGEVPTNGVFGNATQIDLIGNKKLCGGISHLHLPPCPIKGRKHAKQHKFRLIAVLVSVVSFILILSFIITIYMMRKRNQKRSFDSPTIDQLAKVSYQELHVGTDGFSNRNMIGSGSFGSVYKGNIVSEDNVVAVKVLNLQKKGAHKSFIVECNALKNIRHRNLVKVLTCCSSTNYKGQEFKALVFEYMKNGSLEQWLHPETLNANPPTTLNLGHRLNIIIDVASALHYLHRECEQLILHCDLKPSNVLLDDDMVAHVSDFGIARLVSTISGTSNKNTSTIGVKGTVGYAPPEYGMGSEVSTCGDMYSFGILMLEMLTGRRPTDELFEDGQNLHNFVTISFPDNLIKILDPHLLPRAEEGAIEDGNHEIHIPTIEDCFVSLLRIALLCSLESPKERMNIVDVTRELTTIQKVFLAGS >RHN75663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44148743:44165580:-1 gene:gene11898 transcript:rna11898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEILTSVVAKIAEYTVEPIGRQASYLIFYKGYFKTLMENVESLQAARERMIHSVDRERGNGKEIEKDVLNWLEKVNGVIEKANGLQNDPRRANVRCSAWPFPNLILRHQLGRKARKITKDVVQVHGKGIFDQVGYLPPLDVVASSSTRDGEKYDTRELLKEDIVKALADLNSHNIGVYGLGGVGKTTLVEKVAQIAKEHKLFDKVVKAEVSKNPDIKRIQGEIADFLGLRFEEETILGRAQRLRQRIKLEKSILIILDNIWTILDLKTVGIPYSNEHNGCKLLMTCRNQEVLLQMDVPKDFTFKVELMSEKETWSLFQFMVGDAVKDSNLKDVPFQVAQKCAGLPLRVVTVACAMKYKKDVQSWKDALRKLQSNDHTEMDSGTYSALELSYNSLESDEMRALFLLFALPIGENIEYFLKVAMGLDILKHINVMDDARNRLYTIIKSLEARCLLLEVKTDGNIQMHDFVRDFAISVARRDKHVLLREQSDKEWPTKDFFKRCTQIILDRCDMHEILQTIDCPNIKLFTLFSKNQSLEIPDTFFKGMRSLRVLDLTYLNLSSLPTSFRLLTDLQTLFLDFCILENMDAIEALQNLEILRLGKSSMIKLPREIGKLTQLKMLDLSHSGIEVVPPNIISSLTKLEELYMGNTSFNWKDVNSTVQNENASLAELRKLTNLTALELQVRETWMLPRDLQLMFEKLERYKIAIGDVWDWFDIMDGTLKTLMLKLGTNIHLEHGIKTLIKCVENLYLDDVDGIQNVLYQLNGEGFPFLKHLHLQNNANLKHIVDSKERNQIHVSFPILETLVLFNLKNLEHVCHGQPSITSFGNLSVIKVKNCVQLKYLFSYEMVKELSHISKIEVSECNSMKEIVFGDNKSSANNDITDEKIEFLLLRSLTLEHLETLDNFASDYLTHHRSKEKYQGLEPYASTTPFFNAQVAFPNLDTLKLSSLLNLNQIWDDNHQSMCNLTSLIVDNCVGLKYLFPSTLVESFMNLKHLEISNCPMMEEIIAKKDRNNALKEDHFLKLEKIILKDMDNLKTIWHYQFKTLKMLQVNNCKKIVVVFPSSLQKTYIELEKLEVRNCALVEEIFELTLNENNNEDDTSHLKEVTLNGLWELKKIWSGDPEGILSFQNLINVQLDGCRSLEYLLPFSVATRCSHLKELLIQSCANMKEIVAEEKESSANAAPTFEFNQLSTLLLWNLHKLNGFYARNHTLLCPCLSKIHVADCTKLNLFRTLSTKKSNFQDDKHSVSTKQPLFIAEEVIPNLELLMMVQEDADMILQTQNSSVLFSKMTCIGLSSYDTEDASFPYWFLENVHTLEELIVERSCFKKIFCDKGEISEKTRTHIKTLTLSALPELQHICEEGFQIDQVLEFLECLNVFSCPSLTNLMPSSVTLNHLTQLEIIKCNGLKYIFTTPTARSLDKLTLLKIEDCNSLEEIITGVENVDIAFISLQILKLECLPRIIKFCSSKCFMKFPLLENVIVRECPRMKTFSTGSTSTPILQKVKIAENNPKWLWKGNLNDTIYNMFKDKVAFGSFKHFNLSEYPELKDLWYGQLEQNTFRSLKYLVVHKCDFLSYVLFQPNLLEVLMNLEELDVEDCNSLEAVFDLKGEFAEEIVERNSTQLKKLKLSNLPKLKHVWKEDPHYTMRFQNINDVYVKECESLISLFPLSVARDIMQLQSLKVSNCGIQEIVAKDEGTCEIVKFVFPHLTSITLEDLPKLKTFFVGAHSLQCKSLKTIKLFRCPRIDLFKEEPLRQQESSRNDELNISKYQPLFVIEEVLANVENLNLNSKDFGMILQSQYSGVQFNNIRHIAVCEFYNEESTFPYWFLKNVPNSESLLVQWSSFTDIFQGEQIIRTEKETQIRPQLKQLDLWHLSKLQCICKEGFQMDPVLHFLERLIVSKCSSLTKLVPSSVTFSYMTYLEVTNCNGLKNLITHSTAKSFIKLTTMKIKMCNWLEDIVNGKEDGTNEIAFCSLQYLELISLQRLCRFCSCPCPIKFPLLEVVVVKECPRMELFSLGVINTTSLQNVQTDDGNHWEGDLNVTVTKLFDDKVGFGSFKHLKLSEYPELKELWYGRLEYKAFRSLKYLVVHKCDFLSNVLLQPNLLEVLMNLEELEVEDCNSLEAVFDLRGESPKEVVVQNSSQLKKLKLSNLPKLKHVWKEDPHYTMRFQNLSDVSVVGCENLISLFPLSLAKDMMQLQCLLVSNCGIEEIVAKEEGTDEMVKFVFPHLTSIELNFLTKLKAFFVGVHSLQCKSLKMIKLFGCPKIELFKAEPLIHQESSTNDEVNISQYQPLFVIEEVLANVESLSLNNKDFRMILQSQFSGVQLNNMKHITVCGIYNDEANFPHWFLKNVPNSVSLLVEWCLFTEIFQGEQIIRTKKETQISPRFRELKLRNLTKLRCICKEGFQMDPVLQFLESIYVYQCSSLTNLVPPSVTFSYVTYLEVTNCHGLINLITHSTAKSLAKLTTMKIKMCNWLEDIVNGKDETNGIVFCSLQTLELISLQRLSRFCSCPCPIMFPLLEVVVIKECPRMELFSLGVANTENLHNVQTDEGNHWEGDVNRTVKKLFDDKVAFSKFKYLALSDYPELKDLWYGQLHHNVFCNLKHLVVERCDFLSHVLFPSNVMQVLQTLEELEVKDCDSLEAVFDVKGLKSQEIMIKRSTQLKSLTLSSLPKLKHVWNEDPHEIISFGNLCKVDVSMCQSLLYIFPYSLCLDLGHLEMLKLMSCEVNEIVAMEEAGSMEINFNFPQLKVMMLYHLTNLKSFYQGKHTLDCPSLKTLNVYCCEALRMFSFDNSDLQQPYSIDENQDMLVQQALFCIEKLSPNLEDLAVNGTDMLGILNGYCQENIFHEVKFLRLECFDETPTILLNDFHTIFPNLETFQVRNSSFETLFPTKVTTAYLSKQMLNQIRMLILFDLEKLKHVWQEDFPLDHPLLQHLENLYVVNCPSLISLVPSATSFTNLTYLEVDNCKELIYLITSSTAKSLVQLQTLKIKNCEKMLDVMKIDDEKAEENIIFENLEYLEFSSLSSLRSFCYGKQAFIFPSLYSFIVIGCSQMKIFSSALTVAPCVTKINMGEENMRWKGDLNTTIEQMFIEKEVPHSSQYVE >RHN38855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2457486:2457865:1 gene:gene44825 transcript:rna44825 gene_biotype:protein_coding transcript_biotype:protein_coding MPQCLCFSPNSMSPKCYPSLNTMYYLLRNARDRLPNTLLLTHSPSLVHVIHSFLHMT >RHN80162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35716893:35719339:-1 gene:gene4052 transcript:rna4052 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTMPWSDDDDSSNDESSSLHSDSENDSKTGAKKSKGKSTKQKSAPIDFEALKRYGYKGGPSVLKVPPPKEDDSKRDWSWSSGKEKRVEKEVEETYEERKKTREALSLGEQMPTVLTRNDKKNLSFSQKEKKKRDLGQASRGKNYVEEEKRLLRDNGVYSGFDS >RHN52296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33544439:33548016:1 gene:gene36955 transcript:rna36955 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEIGGYKTTCLYRWLMLSCLTSTGVGHYFNNQVYGFKWSCMVHINYKDYDSNPGDYMY >RHN54604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10725854:10729039:1 gene:gene29670 transcript:rna29670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAATFKLVYVMILLISLYHVAGNFEDISIECMFSIDCPQIKSNIFRFKCIEDRCKIEFIYQRKKYEI >RHN54641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10967464:10981948:-1 gene:gene29710 transcript:rna29710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MENYEVLEELHNVEAEIEDVQEKIRALIEKQERLYERKSELNSILDACKESENQVNNAASSSAVENWNGTFEWDSQADDLRLNIFGISSYRANQKEIINAILSGRDVLVIMAAGGGKSLCYQLPAVLRNGVALVVSPLLSLIQDQVMGLTALGIPAYMLTSTTSKDNEKFIYKALEKGEGDLKILYVTPEKISKSKRFMSKLEKCHHAGRLSLISIDEAHCCSQWGHDFRPDYKNLGILKTQFPNVPMVALTATATQRVQNDLVEMLHIRRCVKFVSTVNRPNLFYMVKEKSSVSKVVVDEIAEFIQESYPNHESGIVYCFSRKECEQVAKELRERGISAGHYHADMDVNDREKVHMRWSNNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECLLYFRPGDVPRQSSMVFYENSGLQNLYDIVRYCQSKRQCRRSAFFRHFAEPLQECNGMCDICAFSCEVNEVDVSRHAKLMVSLLQDIQASDQRSTMLQLVEKMKTKQKEIGSYLKREEMEQLILHLLLERALKEEFQHTAYSTNAYITVGPLAKQILQGKKTVKLEISTEEKTKASARLKRSLGSSGLELKLDELRKELSSNHGGILPHSVLSTQQISLLCSQKPNSLEELEKAIGKLKTEKYGNKILAQIVKYSDFELTDEQVNDGRAAKRSKTKKNLVLIETSEDEA >RHN42634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39861925:39869544:-1 gene:gene49099 transcript:rna49099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SCAR/WAVE family protein MPLVRLQVKNEFGLGGPELYRDANRDDPKALLDGVAVAGLVGILRQLGDLADFAAEVFHGLQEQVTTTASRSHKLMVRVQNIEASLPPLEKAVLAQTSHIHFAYTAGCEWHPRIKTARNHFIYNDLPPFIMDSYEECRDPPRMHLLDKFDTGGPGSCFRRYSDPTFFKRVSADSEERYSEKTEKARKSRKIKKRRSSRRNSGLLRGEQMLGNSGSMQFISPSINGRTSSRTASTIDMTMRSDVEDRSNSFDSKSGAGYIECVFHPNNSMQPDEQDCKEPSSSRLTPKTDNLKSVSPPKSVSPPIDDNISNDSLEKQIASSSSGVTWDEKEEILESNSQACEADKTPERLVEKCDSDMHVSEAVNISNIDYNDILFNEERILKPVFGEIQADDIDSEPDNDNFVDALNSIDSESEVDLDYETKREVQQFASHVTREIVENGGTESHSNLLDSDIPDSLQENPPLKSELYASNLGSETTPDIPDIEKVTKDTFYSDQEVIHGLPNSLQEISHLTSEPLTPDFEPASPSDVPYRKETFDNFPDTLPEIAPLTSEPHASNLGYVSSSDVSSTQEITNNVADSHSSDSPISERDLHTHDNSVLDHLVGTHTSIDSPTVSDAVSTPIITDTPSSGSKLPDENAGKINNIFKYEDAHKESFSDNSVRFWTNGGLLGLEPSKPPDFTMSSSLNQGSLSLKNDMNGGSLGNSIQKSNDCAHKEGHELSEKVPQQILKESSSRYDDQACASEYTSIGSQQNNGHTKRNNLVEANSTAPRTVLTAVADTKDCAEPNQGNGENSSQVFGLGHRLLIKSFNRKVSFDEKSGPYSSLKSVILEQSEQNSVVRHLQQPETTFKEKVSFRYPIDSLPPSPPLEHMKISFQPLSGLETSKLKLQFPDGGNRHESIMDMFPSFQLVPDSSIPMDDLGSHSDGDDTFCRSSPCASDDCHTPRSDYDSDQWESDETPESSDHGIHDSPHRSSSAESSLSTKEHGRLSNNDTDLNNEHMNGVEPSLSGSLLDFPSFENVNPVHEKESNRHHECNKDVTSHSHAEPTRPPPPPPVPPTQWRVTKPQLDKSNETQNSMSEDAEHLSDQNLPESTIFQQPRHAKVEKIQRNHDGFESYDAIINKLKEKLGPPKLNVQKEANQLRMGKDIDEKEDFLYQIRTKSFNLRPTVTGKSNVTTGPTTNVKVTAILEKANAIRQVVASDDGEDDDNWSDT >RHN65400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1818153:1820067:-1 gene:gene13233 transcript:rna13233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MNLFLTTTLLILVTISTTLLPHNAYGAKCDPDDESGLLAFKSGIKSDPTSMLKSWIPGTNCCTWVGVGCLDNKRVTSLSLTGDTENPKSFLSDLSRNMFHLKEIPKWVATSPIIYSLKLAHCGIKMKLDDWKPLETFFYDYIDLSGNEISGSAVGLLNKTEYLIEFRGSENLLKFDLESLKFGNRLKYLDLSHNLVFGKVTKSVVGIQKLNVSYNRLCGEIPKNNFPASVFVGNDCLCGPPLMPCKVCTTMR >RHN69830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46590453:46592873:1 gene:gene18351 transcript:rna18351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthine/uracil/vitamin C permease MEMEGQTQPQRPPLPAHQAHTKPISRFNSYVAKTRVGKYFKLSQRNSTFTTELRAGTATFLTMAYILAVNASILTDSGGTCSVSDCVPLCSNPSISTSNCTGPSFHLIQPDISCKFAPVNPGYAACLDKTRKDLIVATVASSLIGCFIMGAFANLPLGLAPGMGSNAYFAYTVVGFHGSGTISYQSALAAVFIEGMFFLLISSVGLRAKLAKLVPKPVRISSSAGIGLFLAFIGLQNNQGIGLVGYSSSTLVTLGGCPSSSRASLAPVITALNGTVSLLPGGTVSGDIFCLRDRMESPTLWLGLVGFIIIAYCLVKNVKGAMIYGIVFVTAISWFRNTKVTAFPNSAAGDSAHEYFKKVVDIHTIKSTAGALSFNSIGKGYFWEAVVTFLYVDILDTTGTLYSMARFAGFTDENGDFEGQYFAFMSDATSIVVGSLLGTSPVTAFIESSTGIREGGRTGITALTVAGYFFMALFFTPLLASIPAWAVGPPLILVGVLMMRSVVEIDWEDMRQAIPAFVTMILMPLTYSIAYGLIGGIGTYIVLNIWDWGFEILGHYGFVTKTPKEHDSTNNSHSSQVNGVVQLQNQHSSQNPNAKALQLEVL >RHN65491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2645423:2649797:1 gene:gene13334 transcript:rna13334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAEGRSDGEEDDPNDIVPTSLTLTPETITSPPPLPILPFDVLTDILCMLPVKLLVQLRCLCKFFNSLISDPKFVKKHLQSSTKRRHLMLTTIDHQQQFVMYDSPIPSLFSTSTIVAQTQLYPPNGDTYASVKCSCDGIFLGTDYWKRIDDIPYYCTICRSGLYVSGAVNWYEYGNQESWTKLCSVPDMHDHGFQASAAVYISEDDQLLLQCYEFDSGEEKLVVYDSKTGIFNILEFQNNYEHISQKVYIESLISP >RHN42231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36551484:36555967:1 gene:gene48647 transcript:rna48647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MPNPRVYFDISIGGELEGRIVIELFADVVPKTAENFRSLCTGEKGIGPHTNVPLHFKNSIFHRVVKGFMIQGGDISASDGTGGESIYGPNFEDENFDLKHERKGILSMANSGPNTNGSQFFITTTRTPHLDGKHVVFGKVVKGIGVVRSVELGPVGENDRPEQDVVIADCGEIAEGEDEGVINFFKDGDTFADWPVDLDTKPEELEWWMNAVESIKGFGNEHYKKQDYKMAIKKYRKALRYLDMCWEKDGVDQEKSTALRKIKSQIFTNSSACKLKLGDLSGALLDSDFAMHDGDNAKALFRKGQVYMLLNDLDAALDSFKRALELEPNDGGIKKEYAIARKKVADRHDKEKKAYSKMFN >RHN54400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9273871:9278308:-1 gene:gene29430 transcript:rna29430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MGEAKEIWSAPITPRTGNSLMGTPRVASPPVSCPPSQLHSPSLTRSPLLQSENGDAPHPKSKTPKTPRTPRMSLTPRFITPLGSPMRKALRLTKLDPQDAWLPITESRNGNKYYAAFHTLCSGIGIQALVLPVAFTILGWTWGILSLTIAFIWQLYTLWLLVHLHESVENGIRYSRYLQLCFATFGEKLGKLLALFPILYLSAGTCTTLIIIGGSTARTFYQVVCGDHCNPKPMTTVEWYLVFTCAAVVLSQLPNLNSIAGISLIGAVTAVGYCTSIWITSVAQGTLPGVNYNPVMGENKVENAFSVLNALGIIAFAFRGHNLILEIQATMPSSEKHPSHVPMWKGVKASYTLIAACLFPLAIGGYWAYGQLIPANGGMLTALYQFHSQDVSKFVLGMTSFFVVVNGLCSFQIYGMPAFDDMESVYTTRWKKPCPWWLRVIFRVFFGFLCFFIGVAIPFLSSLAGLIGGIALPVTLAYPCFMWLKVKKPKKYSFMWYLNWFLGTFGVALSVILVTASIYVIIDTGVNVSFFNPQ >RHN48423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47388365:47390224:1 gene:gene43137 transcript:rna43137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSRIPFLCSPRDVQQQDGYDHRQHGKNISSAQTEPSDGVDALTKLCRILSCYNRNGSTRDTSFDSFPPKLVKLLKHDTDPDVILLSARVITYLCEKIPELAGLFVSLDALPVLCQRLHTFEYQEVAEQEFKITIDVAFKQCIQALEEISLQQPIACLKAGATMSILNSIDFFSTKIQRVAVSIVERIYLETLHFESPVPLVCVEAIPILCNLLQYEDPQLVEKVVSCLIMTVKYCAAKSPEILDEFCADGLIEKVIHLLSLTNQSQRALSPLIYTVSKKFDSLFFPSSCGF >RHN64369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58545944:58547077:1 gene:gene27181 transcript:rna27181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MANPLNLTSNFFFFIFTLTIIYVAYTFPKLEPNQTNLVFYVHDHFTGEDSTAATVAGKTGVTSSILHFGTVAIVDDPVTEGPTMDSKLIGRAQGTYINSQLDGKALYMVFSVIFTAGEYRGSTLEIQGSDIFTTKEREFGIVSGTGYFRFVKGYGIMETEFMDLVNLRATLKLNVTVKHY >RHN60817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30604961:30606107:1 gene:gene23202 transcript:rna23202 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATGMLLEFDFGISSYFKSLHLHPLNRSLLFYLKHPYFPPRLIPITCVMADC >RHN43164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43671417:43671629:1 gene:gene49698 transcript:rna49698 gene_biotype:protein_coding transcript_biotype:protein_coding MCQACYKATKPLEPGASKLLDSMPKPFLSLHFRLEPDMVAYSQCQYHGLSPASIKAIEAEVALVERKPGT >RHN52179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32388524:32389609:-1 gene:gene36817 transcript:rna36817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAPGMEETLISPSPTLPFDLVAEILCRLPVKLLLQLRCLCKSFNSLISDPKFANKHLRLSTRRHRLMLMSTNDLGHLVLFNSLIPSDFSTSTVSYPDCLKIGKSGPYRVCSCNGILCFTMADGSAILWNPSIRTFKILPPLGMDKQLFLSLYSFGYNPSVNNYKIVAISGRFGKTKISVHTLGTDSWRTIQDFPYSRQLHRLGIFVSGTINWLVVDDGSSFFIVSLDLENESYKRLALPNLEDDCFTSLGVLRDCLCIITTGDVFLNVWIMKEYGNKESWTKLYSILHMQDRVIRLYTKALYIFEDEQLLIGFYELESYKLKLVVYNSKNGILKIPEIGNIRRCLQLDPEVYIESLISPCS >RHN54201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7768150:7769088:-1 gene:gene29201 transcript:rna29201 gene_biotype:protein_coding transcript_biotype:protein_coding MNFHWTKLKKKKVSNLKKIIEHTVGKPNVSVYTKREKCIQSLGRRWQQCFRTRRESIIFHCPTNQRLLYSQNGASYNHSLNKLTVKFSHFKRNHSTITESNQNTFLHPEFL >RHN78193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12624304:12630592:1 gene:gene1725 transcript:rna1725 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEEVLNVHSKQISDNTDDVGVEGGEGESEDRNHNNKITEHCNGKEISVNGNVGVSDSVLSDPIVTVDANGKGGVAVEDHNNESEVTVMNSNGETTTVDVVEREEKICENGSGSDENNVNDDEFVIVENGGELKECAVEKENLVENEIREEEEVKVEDQNGVVEKNEICDAVVADVDKSDRELEGVENGVKEIEEVSVADVADSTDAVEVVDSDVVERTTESKDHESENLSDGKNEIRDVELETAVDGEVESAEKNEIENGEVELESVVPEVSESASAEKNENHDVELETVVDGEIESAAKNENCDVELENVVDSEVSESAQKNENLDVQLETAVDSEVSESAEKNEILETAVDSEVSESAEKNEIREVELETVVDAEVSESVEKNETPVDVNGVCDHADEKDTPVDVNVDTQNDLEKVSVESVSDTIVENGLEKVPVEPVSDNGLAEVEVSECIEENVIPLDVGESERSVEIPEPAGEGENEPSVVTSEVKDIKEKSEAEPSDNAVKGEGESIEVSEIKNDAVGDEVEPSKETAESESEPSTETVVECEAEPSIETVVESEAEPSTELVEGEAEVLNNVVQSEAKPSVDVADLKTNAVDREVEPSVETETAVEAETSVEAETSIETSVEAEISIEAEPSVEAETLVEGETSIEAEPSVEAQTSAEGSNQTTDEDLKTSQEASAPDAVDASATDVVDAQDMGSEVVRRPFYWLVRVPRYDDDDNVKEQIQHALQQVEEQTKIRDEIRTESQAKKALRKEYNQEFRAAVQEERAARELLKAKRQEMDSVQSTMNRLNNAISVGDIDSKIRNMEHMIQHETLPLKEEKQLIRQIKLLKQNRGELSNIIAKQDQSQSLDDKESMEEQTKRLQLLRKELDVLRSNLLKAEAITKAAKKKYEEEGNQVDEVMARYNAADDTRQEAFVKLQTLKRQLHEKSKYFWEYRSASMRLQELGAQGKKEDVERLCIDQAERMHELLKNDEFRKDYYRCNTRSTVRRLQTLDGRTLNPGEEPPMIPAFIERAYKNDSSVSQSTPEQQKKSIPTEPVTVNTKDEPASKAVVQKPEISQTSKPKKPAKLAPSEKKSADRVSRWGDESDEEKEPNEPVRTKEEDEQILKAEQARKKEEEAKLKEKKRLEEIEKAKEALQRKKRNAEKAQQRALYKAQKEAEQKEKEREKRAKKKGKRKTVTTEDAVENTEQDAAASPSSETLTRTLEESDQIEKPVEVTKRAVKPSQFTKQNKVKALPMAIRNRGKRRIQPWMWWVLIAVLVIAALFYMGNNSSLRSSFQSFGF >RHN57166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37049790:37050107:1 gene:gene32670 transcript:rna32670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ClpP/crotonase-like domain-containing protein MVALPLERFQYGRSVLKINLQGKISDQLTAILCNSNVLSLSEICDNFLKAACISAVYLHIHSLDCGWAELDEIRKQISNFKKSGKTVVAYVLSIQPKEYYLCLRM >RHN48716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49675629:49679051:-1 gene:gene43461 transcript:rna43461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MWASAEGGAPEVTLETSMGSFTVELYYKHAPRTSRNFIELSRRGYYDNVKFHRIIKDFIVQGGDPTGTGRGGESIYGAKFEDEIKQELKHTGAGILSMANAGPNTNGSQFFITLAPCPSLDGKHTIFGRVSRGMEIIKRLGSVQTDNNDRPIHDVKILRTSVKD >RHN40579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17806396:17814630:1 gene:gene46742 transcript:rna46742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CTP synthase (glutamine hydrolyzing) MKYVLVTGGVVSGLGKGVTASSIGVLLQACGFRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVVEKERRGDYLGKTVQVVPHITDAIQEWIERVAQVPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPSNFCLVHVSLVPVLNVVGEQKTKPTQHSVRQLRGLGLIPNLLACRSSKELDDNVKGKLSQFCHVPLSNILTLCDVPNIWHIPLLLRDQKAHEAILKTLNLQGVATEPDFKAWITTTKVYHKFHESVRIAMVGKYTGLSDAYLSVLKALLHASVACNRKLVVDWVPAGDLEDDTLKENPDAYKAAWSLLEGANGILVPGGFGDRGVQGKTLAAKYARENNVPFLGICLGMQIAVVEFARSVLGLHGANSTEFDPETKNPCVIFMPEGSKTHMGGTMRLGSRRTYFQVADCKSAKLYGNASFIDERHRHRYEVNPDMISQLETAGLTFVGKDETGRRMEIVEMRGHPFFIGAQFHPEFKSRPGKPSPLFSGLIEAACKRTVVSNNKGNANLTNGVHESDSTTSKAQNANGFKSSNGSANGASPNGNGV >RHN69527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44149046:44152698:1 gene:gene18006 transcript:rna18006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MVGKDNLKTEDLNLFFENLMMVAGGEKGGNMKVGVITEWKDIPVELLMQILSLVDDQTVIRVSGVCRGWRDSIYFGLARLSLSWCNKNMNNLVLSLVPKFAKLQTLILRQDKPQLDDNVVGTIANFCHDLQILDLSKSFKLTDRSLYAIAHGCRDLTKLNISGCSAFSDNALAYLAGFCRKLKVLNLCGCVRAASDTALQAIGHYCNQLQSLNLGWCDKVGDVGVMSLAYGCPDLRTVDLCGCVYITDDSVIALANGCPHLRSLGLYFCKNITDNAMYSLAQSKVKNRMWGSVKGGNDEDGLRTLNISQCTSLTPSAVQAVCDSSPALHTCSGRHSLIMSGCLNLTEVHCACAGHAHRAMNAFPHPAH >RHN42844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41419404:41422305:-1 gene:gene49341 transcript:rna49341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MYHSVAARRLLYRSTISYYVKSGLIDTAIQLFDEMSQSNCRLFSIDYNRFIGVLLRHSHLNLAENYYHHHVIPNGFSLTPFTYSRFITALCSVKNFLLIESLLRDMDDLGIVPDIWAFNIYLNILCRENRLETALQLFQTMPLKGRKPDVVSYTIIIDALCKVKRFDEVVHVWRKLIDSGLKPDFKVCAALVVGLCSGGYVDLAYELVVGVISGGVEVNCLVYNALIHGFYKMGKKDKAEAIRGFMSKNGCAPDLVTYNILLNYGCDEVTVDEAERLVETMERSGMADLYSYNELLKAFCKAYQVDRAYLYMVNKMQSKGVCDAVSYNTIIVAFCKVRRTERAYELYEEMCRKGIQPDVVTFTVLIKAFLRKGGSNVANKLLVEMKAMRIVPDVIFYTTVVDHQCKSGNIDKAYGVFCDMVENGVSPDVVSYNAIINGFCKASRVMGAWRLYEEMQVKGLDPDEVTFNLIVGVLIQGNKISEAYRVWDQMMEKGFTLNGDLSNILVNAVQSRDGT >RHN42575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39466220:39466697:-1 gene:gene49031 transcript:rna49031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative X8 domain-containing protein MATSKLISVMLMLTFATTIILINVVIVGGKKTWCVSRSEAGTQQLLDALNYACGAGADCGPIQPGGSCYYPNTLQNHASYAFNSYYQKARGSCDFVGSAHIVFNDPSFGQCVYPSS >RHN72163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5491284:5498042:1 gene:gene7844 transcript:rna7844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane attack complex component/perforin (MACPF) domain-containing protein MIMENTTSTTSSDSLSATICNSIQALGRGFDVTSDIRLLYCKGAPGSRLVHLDEEHNRDLALSQELVVPNVSLDIDFSRGKSGIEKTPVCSFEKMAEYFNERSGIEGKIPLGSFNSMFNFTGSSMVDAAATKSLAMVGYFIPLFEVKLTKQNLALNDEVRRAVPYSWDPASLASFIENYGTHIVTSATVGGRDVVYVRQHQSSSLSAPDIENYVKDIENDRFLDAKNTSGPAALKYKEKDVTVIFRRRGGDDLEQSHIKWVETVKLAPDIINMKFTPIVSLLEGVHGVKLLERAIDLYLQYKPPIEDLQYFLDFQITRVWAPEQNNLQRKEPVCQSLQFSLMGPKLFVSPDQVTVGRKPVTGLRLSLEGNKQNRLAIHLQHLVSLPKNLQPHWDAHMAIGAPKWQGPEEQDSRWFEPIKWKNFSHVSTAPIEITETNIGDLSGVHIVTGAQLGVWDFGAKNVLHLKLLFSKVPGCTIRRSVWDHNPSTPVAGHKSDGASSSSAKKTSDEKKEDSSVHIGKLAKIVDMTEMSKGPQDIPGHWLVTGAKLGVEKGKIVLRIKYSLLNY >RHN72350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6987302:6989894:1 gene:gene8052 transcript:rna8052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MQRFGYALCSSTLTTEGSLIAGTFHIRHFSAGNVARATAATIDKDPWWKESMEKVRNIGISAHIDSGKTTLTEWILFYTGKIHLMYEVRSKDGMGPKMDFKPLEIIMGITIKSAATYCNWKGSKITIIDTPGHVDFTIEVERALRVLDGAVLVLCSVGGVQCQSITVDRQMRRYQVPRIAFINKLDRPGADPWKVITQARSKLRHHCAALQVPIGLESDFKGVVDLVKLKAYCFDGQYGQNVVVGEVPADMEALVAEKRRELIETVSEVDDVLAEAFLSDDENISAADLEGAIRRATIARKFIPVFMGSAVKNTGVQPLLDGVVSYLPCPIEVSNYALDQSKNEEKVQLTGSPDGPLVALAFKLEQTKFGQLTYLRVYEGVIRKGDFIVNVSTGKKIKVPRLVQMHSNEMNDIEEAHAGQIVAVFGVDCASSDTFTDGSVKYTMTSMNVPEPVMSLAVQPVSKDSGGKFSKALNRFQREDPTFRVSLDPESGQTIISGMGELHLDIYVKRIKMEYGVDATVGKPRVNFRETVTQRADFDYLHKKQSGGQGQYGRVIGYIEPLPAGSGTKFEFDNMLVGQAIPSNFFPAIEKGFKEAANSGALIGHPVQNLRVVLTDGAAHDVDSSELAFKLASIYAFRECYTASRPGAVAGDLNKRKGVIVGNVQDEDYSVITAHVPLNNMFGYSTAIRSMTQGKGEFTMEYKEHSRVSHDVQTRLINTYKGNKAAE >RHN81072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43094282:43100043:1 gene:gene5067 transcript:rna5067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SAP30-binding protein MASKKKQSEGIALLSMYNDNSDDDMEDAEEVNRQGEQDDAAEHAAEEDLTADTYRMTVTDSGNEVTGEGFTPNRLFSPVQEQQRVELKISKSATLTIVDYGHDEVAMSPEPEEGEIDGSGRVMFGDQHHVTNGDLLDRTSSGTAQVLTPNNQANTPQFSETLKSDTVNNDDVIRPDDAELGEADQDEHKSEDPLDKFLPPPPKDKCLEELQRKINKFLEYKKAGKSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDSSDFYDQIEADMRRESDRKEQEKKKAQNQKVDFTSGGTQPGIVLGAPRISMPVAGGSAATTGGLHLVPPTADSINRDGRQNKKSKWDKVDGDRKIPLPSVGQDSVSTAGANAAVLSATNAGSGYMLFAQQKRREAEERRSSERRLERRS >RHN46990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36322353:36334198:1 gene:gene41535 transcript:rna41535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MYMAYGWPQVIPLDQGLSPSEHKVVYFKLINRLLLIVSPTHFELWSSSQHRVRLGKYKRDSDSLQREGENLQAVWSPDAKLIAILTSSFYLHIFKVQFLDKKIYIGGKQPSALCLATISLLLSEQVPFAEKDLSVSNIVCDNKHMLLGLSDGTLYSMSWKGEFYGAFQFESHPPASFDDSQLPHPLENGLSPKGLPKVPTLNHILPRNSEIKHLELCLSLRLLFVLYSDGQLVSCSISKKGLKQVDCIKAEKRLACGDAVCASAALEQEILAVGTRRGTVELYDLAESTSLIRTVSLYDWGFSMDDTGPVSCIAWTPDNSAFAVGWKLRGLTVWSVSGCRLMSTIRQIGLSSVSSPIAKPNHDCKYEPLMGGTSLMQWDEHGYRLYAIEERSSERIISFSFGKCCLSRGVSGTTYTRQVIYGEDRLLIVQSEEIDELKMLHLKLPVSYISQNWPVQYVAASQDGMYLAVAGLHGLILYDIRMKRWRVFGDVTQEQKIQCKGLLWLGKIVVVCNYIDSSNTYELLFYPRYHLDQSSLLCRKPLFAKPIVMDVYQDYILVTYRPFDVHIFHVKLFGELTPSGNPDLQLSAVRELSIMTAKSHPAAMRFIPDQLPRELISKNYISSSSDSSTAEPARCLILRSNGELSLLDLDDGRERNLTDSVELFWVTCGQSEDKTNLIEEVSWLDYGHRGMQVWYPSPGPNSFKQEDFLQLDPELEFDREVYPLGLLPNAGVVVGVSQRMSFPSSAEFPCFEPSPQAQTILHCLLRHLLQRDKIEEALRLAELSAEKPHFSHCLEWLLFTVFEADISRPNVNKNQVSVLKYAKTLLEKTCDLIRNFPEYLDVVVSVARKTDGRHWADLFSAAGRSTELFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLVDSLYELAGELVRFLLRSGREYDQASSADSDKLSPRFLGYFLFRSAERKQALDKSTSFKEQSAHVTSVKNILENHASYLMAGKELSKLVAFVKGTQFDLVEYLQRERYGSARLENFASGLELISQKLQMETLQSRLDADFLLAHMCSVKFKEWIVVLATLLRRSEVLFDLFRHDFRLWKAYSSTLQSHPAFIEYQDLLEDLEDKLSSVANEEEK >RHN66143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8976145:8982318:-1 gene:gene14065 transcript:rna14065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAELIGGAFLSSFFQVTLQSIASRDFKDLCNKKLVKKLEITLNSINQLLDDAETKKYQNQNVKNWLDRLKHEVYEVDQLLDEFDTSVQRKSKVQHFLSAFINRFESRIRDSLDELKLLADQKDVLGLTQRSFPSYEGAVSLQSSKRSPTASLVDESSIRGREGDKEELIKYLLSYNDNGNQVSTISIVGLPGMGKTTLAQLVYNDQRMDKQFELKVWVHVSEYFDVIALTKIILRKFDSSANSEDLDILQRQLQEILMGKNYLLVVDDVWKLNEESWEKLLLPFNHGSSTSKIIVTTRDKEVALIVKSTKLFDLKQLEKSDCWSLFSSLAFPGKKLSEYPNLESIGKNIVDKCGGLPLAVKTLGNLLRKKYSQHEWDKILEADMWRLADGDSNINSALRLSYHNLPSNLKRCFAYCSIFPKGFEFDRDELIKLWMAEGLLKCCRRDKSEEELGNEFFDDLESISFLQQSLEDHKSIVMHDLVNDLAKSESQEFCLQIEGDSVQDISERTRHICCYLDLKDGARILKQIYKIKGLRSLLVESRGYGKDCFMIDNNLQRNIFSKLKYLRMLSFCHCELKELAGEIGNLKLLRYLNLAGTLIERLPDSICKLNKLETLILEGCSKLTKLPSNFYKLVCLRHLNLEGCNIKEMPKQIGSLIHLQTLSHFVVEEENGSNIQELGKLNRLRGKLCISGLEHVINPEDAAGANLKDKKHVEELNMKYGDNYKLNNNRSESNVFEALQPNNNLNRLYISQYKGKSFPKWIRGCHLPNLVSLKLQSCGSCLHLPPLGQLPCLKELAICDCHGIKIIGEEFHGNNSTNVPFLSLEVLKFVKMNSWEEWLCLEGFPLLKELSIKSCPELRSALPQHLPSLQKLEIIDCELLEASIPKGDNIIELDLQRCDHILINELPTSLKRFVFRENWFAKFSVEQILINNTILEELKFDFIGSVKCLSLDLRCYSSLRDLSITGWHSSSLPLELHLFTNLHSLKLYNCPRLDSFPNGGLPSNLRGLVIWNCPELIALRQEWGLFRLNSLKSFFVSDEFENVESFPEESLLPPTLTYLNLNNCSKLRIMNNKGFLHLKSLKDLYIVDCPSLECLPEKEGLPNSLSNLYILNSPLLKEKYQNKKEEPWDTICHFPDVSIDENLQQEPIDALEATGGRD >RHN46298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30451055:30452147:1 gene:gene40778 transcript:rna40778 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSIYPNIRMKNCDFYNNIIMKNNQCLFSSSMLHDVSLYFLFVYFDRKDFRSKMSFALGDIPGSL >RHN44519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5616247:5621095:-1 gene:gene38648 transcript:rna38648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MVVVLASSTDASGGIGCWDLNTGSEQIRYKSFSSPSHGLVSVGDRFLASSQLRDASTSSASVFFWSWSKPQVEVKSFPSEPITPLASNHSGTYLVGGGLSGEIYLWEVETGRLLKKWHGHNTAVTCLVFSEDDSLLISGFKNGSVRVWSLLMIFDDVRRREASKIYEYSFSDHTLCVNDVVIGYGGCNAIIASASDDRTCKVWTLSNGMLQRNIVFPSKIKAIALDPAEHVLYAGSEDGKIFVAALNTTRITTNDQVSYITGSFSNHSKAVTCLAYSAAENFLISGSDDGIVRVWNASTHNIIRVFKHAKGPITNILVLRQENDLSNPMSSNLQGTSKKKGAQFPPLEKYANSMDEDSDKTTIVSLGGGNICMDVSYLSSQVISSYSKELQSQGSAAASEMEIEKLKRDCQKSVQMVNKWKKMYENLHQFCVKEMLDGNEK >RHN57245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37521557:37523018:-1 gene:gene32760 transcript:rna32760 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKLFVSVCFYALLLIFLVAIMPSEAGETKKSKINIGVHGYANWAEGWQLDLDSMDNCKKYLQWVG >RHN68651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37477748:37481855:1 gene:gene17029 transcript:rna17029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative argininosuccinate synthase MAQLNAILTYSSPAIAPTKHAKEHLLHHRFCQAKPSSLRELSPRRSFGAASKPQVIKAVLYKDVEISETKKVAGLKGKLNKVVLAYSGGLDTSVVVPWLRENYGCDVVCFTADLGQGASELEGLEEKAKASGASQLVVKDLKEEFVRDYVFPCLRAGAIYERKYLLGTAIARPVIAKAMVDIANEVGADAVSHGCTGKGNDQVRFELSFFALNPKLNVVAPWREWDITGREDAIEYAKKHDVPVPVTKKSIYSRDRNLWHITHEGDVLEDPANEPKKDMYMLTVDPEDAPNEPEYVEIGFELGIPVSLNGKRLSPGNLVAELNEIGGRHGIGRVDLVEDRIVGMKSRGVYETPGGTILFTAARDLETLTLDRETLQLKDSLALKYAELVYAGRWFDPLRESMDSFMEKISQTTTGSVSLKLYKGSASVTGRKSPYSLYREDISSFESGEIYNHADAVGFIKLYGLPMRIRAMMEQGI >RHN70686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53198759:53202365:-1 gene:gene19296 transcript:rna19296 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MtST6 MRPALALLPLLLFLFATTMESRKDPGTHSKLVMKDRDTKEDIQVLLNNNAKKNLKTQKPNDLLYGDNEIDAKANKGANKDFEPRPNFFLYGDNAIDAKENKVANKDFKPRPNFFLYGENGVDAKENKRSGKDFEPRPNFFLYGENGVDAKENKRSGKDFEPRPNFFLYGENGVDGEENKEATKDFESRPNFFLYGKKEVNTKENRGVNKDFEPRPSFFLYGEKRVDTEKNKGATNDFEPRPNFFLYGENGVNAKENKGATKDFNPRPNFFLYGKNGVDAKENKGFIKDFEPRPNFFLYAENGVDAEENKEATKDFEPRPNFFLYGGKRVDTKENKGSIKDFESRPNFFLYGEKGVDTKENKGTAKDFEPRPNFFLYGENEVDAKKNERTTKDFEQKSNFLLNGDNEIDAKENTVGTKDIEPRPNFFLYRANGNDAKEDKVITDDFKPRPNFFLYGDHVTDVKEKKDFEPKSSVAKYDA >RHN81654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47831489:47832149:-1 gene:gene5726 transcript:rna5726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAPSTSKEKMKQVSSGNYISDDIAFFILSKLPVKSIKSFYCVRKSWSLLLENPNFFKMFRDNLISKSHPLYDDACLILNQYLRSDDYWKLYFLSGDKFQNRVLLLMEFFVYVALIIMVLKILLWNPEIDQVKVIPSGIAELPPKVRSEIKLHGFGYDHVRNDYKVIQHVDMITFNITPWDVVKQEPFWEIYSLKSDS >RHN74590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34947689:34947875:1 gene:gene10684 transcript:rna10684 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFGKNLWSYLKILVQMDAKIFKWVEGLLRFEEVKVEACGNLDKLKFIKRGYL >RHN58969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6309465:6310005:1 gene:gene20940 transcript:rna20940 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHGGRSYGGFKEGVVWRWSNWFDDNLRRVVGDGGEGGEAWKWIQMLFAWEEEILGECCALFHDVLLQVDVSDR >RHN38385.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000031.1:11647:11877:1 gene:gene50802 transcript:rna50802 gene_biotype:protein_coding transcript_biotype:protein_coding MILLWEQWLKKEDFILLMLVLLFMPSPNRYWLCATTVWICQHCIVSFGVVIFCTSVLFENSGMELVYCTRIPFKTL >RHN49333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54084287:54086380:-1 gene:gene44151 transcript:rna44151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MDDRISELSDDILSYILTVLSVKDLLKTSILSRRWCKLWAVRRDLFFDIFMLGTTEHDLLQSGYLVNASDTEDKQVNLDKCAGVFVERVDQFIKNFQGTIIDSFLVNFYLDCEHSDIIDQWVSFAIERGVGRMDLLFLGTPYKHCTTRRDPYKFDLALFSKTNLSTLNHLSLENCLVYNPINFDFIPFKNLRSLSLVSAKLDETFLESLLSNCPRLQELFLICCELKSLPVIVSSSLCHLKVLCCDLVFNDLKVDANLFLVDCLRLASLECELDTLSIKTPMLKSGKFSISRKQDLKAFVALCATFPQLEILHVEIFPMVKTSIQITQPFKCLKQLNLVLFADSFIYDMGYGLLWILNMLQASPLLQKLSIMFLAPEFFENQKDIRDVEILSHDDIRVIELRGCVGNWYEIEFVKNVLKCAHKLEQIVLSPYWTEVDGFSWNSHPLWFKGGRERMGEKLKDEVVVGREKLVFI >RHN52575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36741343:36742378:1 gene:gene37268 transcript:rna37268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKSICILLAVLFALSTQPLLGEADASPEQVVDTEGKKVRAGVDYYIRPVPTTPCDGRGPCVVGSGFVLIARSPNETCPLNVVVVEGFRGQGVTFTPVNPKKGVIRVSTDLNIKTSLNTSCEESTIWTLDDFDSSTGQWFVTTGGVLGNPGKDTVDNWFKIEKYEDDYKFVFCPTVCNFCKVMCRNVGIFRDSNGNQRVALTDVPYKVRFQPSA >RHN64613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60436769:60440369:1 gene:gene27443 transcript:rna27443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MKNSFRWSCYYFVLINMFMLHFTVRSQLTTDFYKSSCPNLTKIVRKEVVKALKNEMRMGASLLRLHFHDCFVNGCDGSILLDGGDDFEKSAFPNINSVRGFDVVDTIKTAVESACSGVVSCADILAIAARDSVLLSGGPSWSVMLGRRDGTISNGSLANVVLPSPFDPLDTIVSKFTNVGLNLTDVVSLSGAHTIGRARCALFSNRLFNFSGTGSPDSTLETGMLTDLQNLCPQTGDGNTTAVLDRNSTDLFDNHYYKNLLNGKGLLSSDQILISTDEANSTSKPLVQSYNDNATLFFGDFVKSMIKMGNINPKTGSDGEIRKSCRVINS >RHN79230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26278054:26278500:1 gene:gene2982 transcript:rna2982 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGTIKKDVIETIGDKPKEIMGTSKKLDCIIDVSAQVVSGCMISKWRLQESIEIKDKLVPTRCPSTKWLIPKLVGAKGELNSNLSEPFLYLNYFYPGDFTTSFCILIVFILDICRRRKRGRYVNLYNEPQGHRTIFSFQFQFFFHYS >RHN50948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12139586:12140963:-1 gene:gene35304 transcript:rna35304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MAKGKKKKMRAMMERSDLISSLPDVLLAFIISFLSGEEAVRTSVLSNRWKTLWKYSYHLSFDQRQILKHLIKIDNQNSKPRSRLARDVHPKILGIHPEDNAKLDTVAEASMLIMSIMDKHIGPLKSCNIRHLGMSCVNGDVVRWMKKLLEKGVVKVSMGLESYEYFITLNYILLGQAKRTLDLPFEIFTSFEVLELNHYCFITTPSLDLNQVLKTLTLNQVCVSSNNFQEIISHCSSLENLTLNNCDFSGDEVNIDSSSLKYFKILDMNVQKMLVSAANMEVIEIESIICIDKDLAFETPKLHILRVHNDVKRIGQYLRTRDIIEICGGIVVSLSSHITCI >RHN67552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28198754:28199458:1 gene:gene15750 transcript:rna15750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MKTKSLSKLIKQAITQTNKQIQIKPEKDKSKTKTAIEQGQSSKICCGICFDSVTNSNMFSTGLCNHPFCTKCISKYVKIEIKEKVVKIKCPDPECSVELKPEHLQCILPKKIIVDWESANCEASIALKEKFYCPYKNCSLLLVNDEAGRAITSCECPYCHRLFCAQCKVPWHGNMNCQEFEKSEIGQGLKQSDRKFLELAKREKWKRCPKCSMHVQRTTGCEHMRCRLYFIIIS >RHN80563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39092422:39095044:-1 gene:gene4503 transcript:rna4503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MTRFDELPEGCIATILSRTTPIDVGRFSVLSKIFRFAADSDDVWNHFLPSDISSILSQSPALSNIPTKKALYHALSDRPIIINHGQKSFQLERKSGKKCYMLAARSLGIAWGDDDRYCNWIDVPDSRFPEVAYLRLVWWHEIRGVINDLALSPNTRYAAYLVFKMIDAHGFRNLPVDLFVGIEGGLSNTKTDCLEPKLHGGYGWYCVLREVEDIVVGLPRPSVRSDGWLEIEMGEFFNSSLEDEEIQMSVVEKFESDDEKGNFYLEGIELRPKVDN >RHN72259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6205735:6207266:-1 gene:gene7952 transcript:rna7952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MESLSSSSSSVTSSPNSKQVTDSGERNWVDLPRDSVLSIFRKLDSIDILIRPYNVCTIWREISKDHSLYRTINMPNSADPNTKWELLNLCYRAVDYSFGHIIHINIENFATDALLHHITNSYNLTHHSFEAIGRSCPRLKTFKFNIQAYKYPRVEDDDDAFAIAQTMPGLRHLQLFGNKMTNDGLLAILDGCLHLESLDIRQCFNINFNLVASVGKRFIEQVKYLRLPYDATDDYPFQAAFDYASLAEDPDWFVYQDFLSDDDYEYYEVL >RHN43970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:414441:416121:-1 gene:gene38013 transcript:rna38013 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVENTGEAETTVVVRLKAWSPQRFFFLQRGSPEPPHSVMKPPTRQPPPLLYFTLKIRD >RHN67091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23731931:23732476:1 gene:gene15234 transcript:rna15234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzyl alcohol O-benzoyltransferase MALLSSSSLMFTVRRCQPQLVPPAAPTPREFKILSDIDDQKSLRCNILLIFIYHHEPLMTKKDPVKVLRHALSQVLVHYYPFAGRIREGVGQKLMVDCTGEGVMFIEAEANVTLDDFGDGLHPPFPCVDELLYDVPDSVLNIDRPIRLIQVKLYYFSHPLNFTGLTFNLITVFLNGGLISS >RHN48365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47009969:47012508:1 gene:gene43075 transcript:rna43075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAFFFGFHRLLRHSQSPLVSSHFTSIRLNSTLTSPKLFISGLSRLTTDEKLTEAFSPFGQLLEAKVITDRGSGRSKGFAFVSYSTIEEAEKAREGMNAKFLDGWVIFVDPAKPREPRPPQQSQSQPSQTGFTVNKTVGWCG >RHN73095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13414769:13415271:1 gene:gene8875 transcript:rna8875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRAPCCEKVGLKKGRWTAEEDKILTDYIKENGEGSWRFLPKKAGLLRCGKSCRLRWINYLRADVKRGNISPHEEEIIVKLHAVLGNRCCIVLPLISSPLSHTMDVMTFVIHFIYIKERL >RHN40384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15850200:15851410:1 gene:gene46532 transcript:rna46532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MNPMGERWKFRWRRELFVWESNRVLAMMERLEGVVVSEGVDYWFWKPDADGKFTVKSCYLLLQNLWLDDGVLSLEEALVFRELWKTRAPTKVLAFSWTLLLDRIPTKVNLDKRRLLGNEDSRRCVFCDTHHESTLHLFLHCEIIDKVWREVMRWLNFNLTTPPNLFIHICCWTREVWSKKLRRGAWLIWHAVIWVVWLARNDRIFNNKIIEVEEMVDKIKVLVWQWSMCRLKIPTCLFYEWCWNPRLCIVSCSARHLVADCAAIFSTGTCFCFPCRVAGPGPVFCCSAPQPSLLERCCFGLYINAVKKKNYF >RHN77508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7314475:7318539:1 gene:gene972 transcript:rna972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGSACCVPAKNHNVTKSTGGESLDRDVTRSPSWSFRRDSRGRSMKFSVDEALAENIMTPSSDLSMSSYFSTVVKDPGESSIPNHSFSLPSIFPTPTAGPSSSRDHRHHHSNSTPTRWAHRSLGQLSDNQMITYLKSPDSSTFEGRASFVLSTYSNEVEAGSQCGSSDRWSVHTFSELVSSSQRGRWSFDSECFGSGRRKISASSSRLSNSSSMDLQSCGICMRPLCDKSAGINQKFIANIDLSSVAILACKHVFHAECLEPMTDDADKYDPPCPICMVDDKHSSKGSKKGFWAEAETKAKNLKISRKRVVDSYLDGGSDVFDRQEDIELRGKVSKSEASSSTRSSVGKPFSLVSKWKRSLSEDDSPRNKGIWPRYRKS >RHN62941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46910340:46914204:-1 gene:gene25581 transcript:rna25581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MVLSATAIGALLGLGTQMYSNALRKLPYMRHPWEHVLGMGLGVVAVNQLLKWEAQVEQDLDKMLEKAKAANERRYIDGDDD >RHN40953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24944074:24944803:-1 gene:gene47203 transcript:rna47203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MHSYALLHQTKKHAAFSSRLVFVISSGLVIVRKVNIVPNTYAHGVGEIRQPPPNRKELAGARNEEWMQFLDDDQKIIHKMGLCKKYYNGKECPYDDKCSFLHRLRDDSWKSREACALSIGSIGDGSNSNSLEGNRSVNKPARGTYWKIKDCHYSHGEAVDQIKGNDI >RHN70322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50652988:50656540:1 gene:gene18892 transcript:rna18892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MYSRGRDFLFCHLCGTMLTVPSTDYALCPLCKTKCNIKDIKGKEISYTISAEDIRRELGIDLIEEQKVQLSKVNKTCEKCGHGEAAFYTRQMRSADEGQTTFYTCTRCGHQFQEN >RHN71926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3636333:3637213:-1 gene:gene7579 transcript:rna7579 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKSKRLCFSPDDVDEKPAIFLKHGCIKVLRNRKRLIGTWSFRLHRDPIMSPVRFLIRLGAKVASSIRAVSLRRRSSRKVSSSTSVRSHSLSDHLTDSHRAKAVEDCIEFLHSSSSKEAPSLVSL >RHN66996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22603147:22603584:1 gene:gene15125 transcript:rna15125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MASSHKIFVLVALALVFIALNSSTSIVESRKLSNPNSNLMSLEARLKVSGDEPSNCWESLFKLQACSGEIVTFFLNGETYLGYGCCKAIRVIGHDCWPNVVASLGFTNEETDVLEGYCDQVEDVHSPPSPPTPLVSFVDPKDIIP >RHN46119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28882586:28883833:-1 gene:gene40561 transcript:rna40561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MICFLFVCVVYVALMNFMPPPMTRLRRQSNQSLLPDVNLPDEVITEILLWLPVKSLMQMKCVSKSWKTLISNPSFIKMHLSRSARNPYFSSVVPTRGYYFLENRSSFIHFPVSCLLENRWIRHPKDPYYRLSDKNCRVVLGSYNGMICLAGYCNESTKYKKVWFRFWNPATRKISDVLGSDVYFNDMHISRFYVFVFGYESLTDTYKVVSLSSTGNEVKVFSLGDNVWRHIRRFPFGARPIFRNSSLCEGVYLDNNVNWLAYRSDRNGVKKFVVISLDLATETYTEMLLPFDEGLHVWGNVCVLMNSLCVYHDLKETDFVIWKLMEFGNVNSWTQFLKFTYQDVQFYHEIDRSALFRIRPLHLSENGDTLVLANNQNDRAILYNRRTNKARKTRMYNNISWLSIHDYVESLVLIC >RHN70072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48462670:48467420:-1 gene:gene18615 transcript:rna18615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative development/cell death domain-containing protein MEAEVVGTDTPDKLAGFIFMCNRMTKPECFSYRVFALPAGRKHIVERINPGMHLFLFDTDVKLLYGIYLATSTGMLNIEPYAFGGRFPAQVSFKILKDCLPLPENDFKHAIKDNYQKHYKFNPELNIRQVTSLLEMFRPLHVLSTAPRHLGLNEMHSASMLPPTNDVFHQTSRASLYEDTFLSRMSPTEAPRLVNYRHLNRPEELTGLASSVAAQSVPSQASRNQVHALANTDTLEGTYATRMVNSYTQSLPYPQYAHGNILNHAQSIQNSQHSQPEFHSSMMTMGGSHPQSLQHSQHQHLNVLHPQPQFHASAMTAGSIYSHDQSLQYSQQAHLNVSHPPPEFHTTMMSNSSYTQSLQVPQHSQQNNLNPPPPGVHPPVENVSRNHAQMLLDPQSTHQKIQNPQPGYYPSAANASGSNAPSLKDAQHAYQVAQNVQKYYSSLVNMNHNNVAMQSHASSSFYYPYVTQQVLPGTYAVQGSGPIQEVTSTGQQAAIGSEYYQPYMPT >RHN63394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50914217:50922020:1 gene:gene26089 transcript:rna26089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbamoyl-phosphate synthase (glutamine-hydrolyzing) MATKALAFTLSLNDCFGSKSPHTASKVSVFSVRCSTGNGERPWKNSDARLVLEDGSIWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGINFDDEESTQCFLSGLVIRSLSISTSNWRCEKDLGDYLTERNVMGIYDVDTRAITRRLREDGSLIGVLSTDNSKTDEELLQMSKSWDIVGIDLISGVSCKSPHEWIDRTREEWEFSSSEGPRDTFHVVAYDFGIKHNILRRLASYGCKITVVPSTWPASETLKLNPDGVLFSNGPGDPSAVPYAVETVKNIIGKVPVFGICMGHQLLGQALGGTTYKMKFGHHGGNHPVRNLRTGRVEISSQNHNYAVDPATLPKGVEVTHINLNDSSCAGLAFPARKVVSLQYHPEASPGPHDSDNAFKEFVELMKQGKSKTSNENLHQLQSV >RHN81450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46027206:46030577:1 gene:gene5494 transcript:rna5494 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKMHEFSTVDGFVEISECMADMIKYVANEPSVGLYFIQQHAQNAVPNVIKADKNIVEKVHETTLHTEDLEDSITAVRSMKECGFSIVNEMIGDINKSLEIMKTKKPKKGSIHSSTSSPNSQTERTRFWGNHAFYAQEGDDKKSNYFSNVLKSAKQKVNSLKWQQPDGKGSVDSNEEKLRYPDLPWSITSDGITSSLQGTEPDDLPISSQVEDESQHEQTDESDISIKLLSLSERFDDFRANKEAKLEEWLEGTSKHDDYCDADEVERGKKLLENDEKINTGNSGFEGEVLLHAVQP >RHN48350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46847487:46849226:1 gene:gene43059 transcript:rna43059 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLNKQDGMHWERPQTLISNSCCIDPEEKLQDLEIPIRGMDIALSSGMSFPVGVIDEELRGVLLNCREQISQKLDQQAKCFVPFDTTTTQEMKQDIFNKNPSIAYKNLPTSFFLYCVQLLRDDLSISKKTDHVQKKAQKNDDSQCSSNKLRERLMNLIPSNQSLIFAFKSSLSLGFAVFFGLIYDRDNAYWSGLTIAISFVTGRQPTFSVANARGTGTAMGSIYGIICSFIFQRFVDLRFLALIPWVIFSSFLRQSRMYGESGAISTVIGALLILGRKNYSTPTQFGVARMAEATIGLTCFIIMEIILSPSRAATLAKSELSQTLRTLQDCIKQIAMITPNERDTSPSSYQALREEQKKLKSLVCRLREFTAEAEMEPNFWFVPFHTTCYSNMLGSLSRMVDLLLFVAYSMEHVSQLTQKDGVIWMDIQGQGNENVKIFKNRVAPILKSLEEITRTKSIKKLENELESKNVPRDLESQEYLNADAFGILNRDEEVDSITNSFLQHLEEIADKTLTNKDEEMLKIQILFHYSCFGFCTGSLMREITKIEGEIKELLIWENPASQTNFKEIHSKINALHS >RHN53036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41387756:41390430:-1 gene:gene37782 transcript:rna37782 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGISPEKLFNDKDNSVSFCSFPKSSGIFPVRLFCVSSMLVKFNASTTSGNSPERSFVASNNLLRFFNMNKPLGIFPVNPFWEISKSSKETISPIDSGISPKRLQTYI >RHN53567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2604449:2606776:1 gene:gene28487 transcript:rna28487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIISLHGVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKSSSSAPNLSTPTIDHHHPQLINYSSINQLDNYFTNQENVTTKETLFSSTCPLFMFDTSSLHETTATDTNVIRPEVLFHHDSVSLSSDTWNLNHHDHHQHHQVQTLPPHPAAIAFTTIHDTSNYNLPPLIENNVENSMVPIDQVQSCNMDEEGGELLTLESLQLQRQEELNEWVETQQQCPSFIFSWESVEGQIGGEEQVLVPNSSHMGTPNSLSPFPSSLL >RHN81447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45988790:45991782:1 gene:gene5491 transcript:rna5491 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSFGLGISTNCSWLRCHARMPPSCSMFSHVSMSSSPSSCSTFNSKSNNKLNWTPIRASSEGLPNELVEDSKFVPINVEDPRYGPPALLLLGFEADEHFKIQQFLKELEGEFLKVIYCTKDMLTHSLWDVMHTTQESLEEVKIDKSLPRICFLSGLSGEETMMFVDAFPETGLKPAAFAALVPNSANKPLYELIEEITGDHEMLTGEQL >RHN67190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24730628:24735696:-1 gene:gene15347 transcript:rna15347 gene_biotype:protein_coding transcript_biotype:protein_coding METVGSTRLGRASSRYGAPAVFNGPVRKWEKKWVHVSPSSFNNNKKNNKDNSNEKSRLLLRRWTPVANSSSAEDVSDEPPRRKFRYTPIAALEEQKKGAVEKVEHESTIESDQVTARQTNVNHEVHGKLNMNEVSEDTKDSNMNELDLGFDFQGNNGENS >RHN65200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:207418:210393:-1 gene:gene13018 transcript:rna13018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MQQHNVKLIIIICIATNNTMQPHRRRCGRSPAPEKASKHFMKAILPPPDHTKEIRIPNEFIKRFGNELKNVATITVPDGRHEWEMGLKKCGEHVFLSNNWQQFAEYYCIYYGCYLDFNYQGNSKFNVVIYDTTSVEISYSFKTPSTNGDQRIKGPNSASKRENCAASEFNPKNPYFYSKSNRGFYAYVPSIFAEKYLTLKVPFKLQNSQGKQWEVYCVLHNKGNSQMRITGGFGKFARENNLLEGVTYVFELIKRKPVVVLQVTAICTPPQGRSVQSMTEKEVRESKHFKKAILPSPIHDKEIRIPEDFITMFGNELEKVATVTVPDGRDWKMRLKKRGNDIFFSNEWEEFAKYYSLGVNGEPNTKCASPTKRSKVETSECHGKKAKSVSKHASTRAEVAANEFKPKNPYFCSIIAKQNYTYIPRDFAEKYLKPKVPTKLQNSDGKQWEVFCVPNTVGSSSMRIVKGFSNFVTDNNLSHRDYCVYELIKKKPVVLEVTMFRAVDYLD >RHN44826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8956127:8958131:-1 gene:gene38992 transcript:rna38992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAKKYEGAAIGIDLGTTYSCVGVWQEQNDRVEIIHNDQGNKTTPSCVGFSNSQRLIGDAAKNQASSNPTNTVFDAKRLVGRKYSDSVIQNDLLLWPFKVNADANDKPMIVVTCKGEEKHFFAEEISAMVLTKMREFAEVFLESPVKNAVITVPAYFNDSQRRATKDAGVIAGLNVMRIINEPTAAALAYGLQKRANCVEERKVFIFDLGGGTFDVSLLTLKNNAFTVKATAGDTHLGGEDFDNRLVNHFVNELKRKNKVDISGNSKALRKLRTACERAKRSLSYDTEATIDIDAICQGVDFCSSITRAKFEQLNMDLFEKCMKTVKSCFNDAKMDSSSMDDVVLVGGSSRIPKVQSLLQNFFRGKDIFKSINPDEAVAYGAAVQAALLSGGIKTVPNLVLRDVIPLSLGTSVSGDIMDILIPRNTSIPVKRTQTYVTCDDYQSYVLNEVYEGERMKASENNLLGFFNLSVPRAPRGLPHKVCFTIDADGILNVSCEDETSGNKKDITITNEIGRLSTDEIKRIIQEAENFKAEDIKFKKKSEAINALDDYLYNVKKVMKDTNVSSLLPTQSKNKITSLITKCEYLLDGDKNEETYVFVNMLKKLESITESTLGMKKNG >RHN47316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38775132:38776306:-1 gene:gene41898 transcript:rna41898 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGISTMDSNNASKVGCRFNGRLRHQHAAVPRPIVDNNKKYNNNNYRDDFIGPGSPSFREYCTDYDSVDRSSMVDSNDYTESGESMKNSSSDEDSAKPGNEKSSHSSKEPDKKERRGRGGFRNAMHKGKARGGRRNLLNFACYNSSTQSYAEGSLNKIVAKST >RHN73679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18787012:18787521:1 gene:gene9525 transcript:rna9525 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGGPIAFDSRSGVSSLVQVWFWAFVVFLWWIRGCTVVKAE >RHN58414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1558189:1561303:-1 gene:gene20324 transcript:rna20324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, kelch-type beta propeller MEETTSVSYNQNYSGSPITNIPQDYIFTIILLLPIDAILSLSMTCKRFKALTSSETLWKSLCKRDLSSTCVDSLVKSCNTSNHHVHQFSWMKLYKKIYQMDSVCCHKLSYPHGDLDFPKARASHSLNFVSDCLVLFGGGCDGGRHLDDTWVAYIGKDFQRILKWKTVHSGIPSGRFGHTCVEMGDFLVLFGGINDHGNRQNDTWIGKVIHNENKGITFSWKMIDVGSVAPPPRGAHAACCIDDKRMVIHGGIGLHGLRLGDTWILELSDSHCFGTWHEIAIHPSPPPRSGHTLTCIGRNRTILFGGRGLGYEVLHDVWLLDTCQGYMRWIQVFYDLQNIPDGISLPRVGHTATMVLGGRLLIYGGEDSSRHRKNDFWVLDISSIPSSTASSKRVLTRMWKRWKSNGYEPKSRSFHRACVDPSGRYLYVFGGMVDSSVQPVEPSGLGFDKELFLGELVLQL >RHN40217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14327354:14330531:-1 gene:gene46340 transcript:rna46340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MGKIEKNTSKIKYSLQQNHNNNFLSTLSSISPLMAILLVILLLSFSSPSLALPSSSPSFVPKDSFLIDCGSENVVTLPDGRQFKSDPQANSFLQAKDDYKVSATDADVPSPIYSTARIFIQEAKYSFHLVQPGFHWIRLHFYPIKNSVFDLQKATFSVNTNTFVLLHSFNVNNTDKAILKEYLINATEPQLMLSFIPLKNSAAFINAIEVVTAPDSLIVDTGSGLFPVAPVNGLTAYAFQPVFRLNNGGPLITSANDTLGRIWESDEPYLTNKNLGKSVTVATNVVKFPQDNPDISPLIAPQTVYSSATEMGNADVSLPNFNVSWKFDVDTSFSYLVRLHFCDIVSKGLNQLYFNVYVNGKTAIANLDLSAINGALATPYYKDFVVNATLMTQGLSVQIGPADANGGNANAIMNGIEVLKISNTVNSLDGEFGVDGRRVGGSNRGTVAAVGFAMMFGAFVGLGAMVIKWHKRPQDWQKRNSFSSWLLPLHAGDTSFMSSKNSIGKSNIFSSSMGLGRIFSFSEIQEATKNFDSKNIIGVGGFGNVYLGVIDEGVQVAVKRGNPQSEQGINEFQTEIQMLSKLRHRHLVSMIGYCDENEEMILVYEYMPNGHLRDHLYGKNMPALSWKQRLDICIGSARGLHYLHTGTAQGIIHRDVKTTNILLDENFTAKVSDFGLSKDAPMGQGHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEALCARPAINPQLPREQVNLADWAMQWKRKGLLDKIIDPLLVGSINPESMKKFAEAAEKCLADHGVDRPSMGDVLWNLEYALQLQEAFTQGKVEDENEAKSAAVVVPTSPAPPTTPPSVTDCPPTPPQQEVNNSPAEVQAIDGHSGTAMFAQFSNLNGR >RHN57399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38652979:38654140:1 gene:gene32939 transcript:rna32939 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVEENASDNKLSENNYDWQDNIDDETKQSKGKANIQGGQVIVPDTNGSISKEKAPVDLSKSEDGSDEDIFSEVERILKGSPESSPKATCSTSDDVAVREALHNLECLLEKSLESILCDVELQKQLRTSLECIKQATHEKVSPNVVKLVQKMASSIHNLFDDFVMTKNAVEDHINALQKREKLVQLIRDGKKQKKSMKKEKSRFEDEDKRLAEEGEKLDEKIRILVEQKKSNELKRTKLKEKMERCEGEKNKVEDEAKNMLAESKELMSSIKNSKSSYDTALSRQNKLEDKWEGFRIAFAANLINNSNFVFE >RHN55098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15159436:15160296:-1 gene:gene30226 transcript:rna30226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oleosin MKNLSMSSTSVTSQQRYEGGGVTTHDSEKRSPSTSQVLAIITGLHVGIILLALAGLYLLGTLTGVVVTTPLFVLFSPVLIPATIVTGLTVVSFFTSAIFGLTALSLFSWVMNYIRHAQGTLQEHLELEKQRMVEWWLEWCNMEVFFSSITMAAILSLSSLVPQNHCFNITKTTRRFLTNSELTNLQHLESFLYSQNTKSGSILVRVMRDNEVDPIVCLLADAFAELMLFPKCYINVVRFLMKQYLIKRRTLMPHVATLIGFYRGIIDGEEMQLAGTVEICFDKKWC >RHN73100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13465141:13467244:1 gene:gene8880 transcript:rna8880 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTHADLEPKRSKTDLSSKTGAFLMVFTILLGLLCFILCLIAEATRSEVTWMNTNGKEKGTKSECVYNSNGKVPLLCAASAFVGLAIALVMEHTYMLIAVSKSSPSLINWDPDSPSAKSLTWLAGFFFIATWICFAVAEILLLAGLSVESGHLKNWTKPRTNCYTIREGLFSAAGVFALTTVFLAAGLYLTALRAQRMSEELAIVRREVLEASTFYASPPRSPQSQRHISTVARENPTTIESQNDNLLLSVFPTPFNKTYTFV >RHN74867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37304571:37305481:-1 gene:gene10996 transcript:rna10996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MIQGSGLSGPIPSGISLLKNLTELVLRNCNINGTLTQYLGIMSKLKHL >RHN54219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7902137:7902468:-1 gene:gene29219 transcript:rna29219 gene_biotype:protein_coding transcript_biotype:protein_coding MDHILSRWKPPHKGLIKINVEDNFLEDISRLGVGRVVRGHDSS >RHN39002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3444170:3448057:1 gene:gene44986 transcript:rna44986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MKAIAMVVIFLFVFSSLFTISSAEISGQPGVNYGQLGNNLPTPTTSVSLIKNLKAKRVKIYDANPQILKALENTGIQVSIMLPNELVTNVSSNQTLANQWVQTNLVPFYSKTLIRYLLVGNELISSTTNQTWPHIVPAMYRMKHSLTIFGLHKVKVGTPLAMDVLQTSFPPSNGTFRNDIALSVMKPMLEFLHVTNSFFFLDVYPFFAWTSDPININLDYALFESDNITVTDSGTGLVYTNLFDQMVDAVYFAMERLGYPDIQIFIAETGWPNDGDLDQIGANIHNAGTYNRNFVKKVTKKPPVGTPARPGSILPSFIFALYNENLKTGLGTERHFGLLYPNGSRIYEIDLSGKTPEYEYKPLPPPDDYKGKAWCVVAEGANKTAVVEALSYACSQGNRTCELVQPGKPCFEPDSVVGHASYAFSSYWAQFRRVGGTCNFNGLATQIAEDPSYGSCKYPSVIL >RHN70859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54554253:54561276:1 gene:gene19488 transcript:rna19488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CK1-CK1 family MEWVVGGKFKIGRKIGSGSFGEIYIASDMDTSEVVAVKMEKKRTRHPQLLYEAKLYSLLQGESGIPSMRWCGTDGDHNILVMDLLGRSLEDLFVFCGSKFTLKTVLMLADQMLSRIEYMHSKGFLHRDIKPDNFLMGIRRKSSQVYIIDFGLGKRYRDPKTSKHIPYRENKSLTGTARYASCNTHLGIEQGRRDDLESIGYVLMYFLRGSLPWQGLKAVTKEEKYEKIREKKLSTSIEKLCESYPVEFASYFHYCRSLTFDQDPDYGFLKRLFRELFTREGYDYDNLFDWTILKYQQTQQTRRQKQSSPSDAVPSAVPSSLQPVVMEKHTGINNSPQVTVTKLLTNLDRPNVRVQPKPSNLKNLNAKNHTGKHNVNNDPSTSSALPKSTAENVSKPERPTGSSNLGRVFGNNSHISSSWIPSLRRISSTK >RHN45311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16483119:16483349:-1 gene:gene39576 transcript:rna39576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase MVMQKVGQNILPTRENFLVARLRSSCMHCREVIVSGKRWFCTECEKF >RHN62426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43351726:43357846:-1 gene:gene25002 transcript:rna25002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 13S-lipoxygenase MAGTKIVMVMNCMPHNKIHGFQGRTPLSLSHSIKEHKLVYSWSINGKSNMKFIVNQKGKQIASQTIIEHEETVDHGDISNTKLTALVAVRNNDDKAFVNNFLSTFMSQNHSRGCVVLQLVSTKLDPRSMEPKLSLETVLEFSKDRKFENKGRTKTYKVEFIVDPDFGVPGAITVVNYYDNELFLESINIRQSICFTCKSWVQPNRLHPDKRIFFVNKVYLPCGTPNGIKELRERELKQLRGDYGSGKGRMKSSWDRIYEYDVYNDLGDPDKGNDYARPTLGGQDNPHPTRCHTGRPPTKSDSKAESRPSKSELIYVPRDEEFEDVKRQNINQGKLIALLRNLVPALTDKIMGSEDISNIDSFIQEPKGRSEPKSLLNLRVAMEEIFKFEPPKIFSSGGSHFLLDDEFGRQVLAGINPLSIERLKVFPPVSKLDPSIYGSVESALKEEHIIGHIEGMSVQQALEENKLFILDYHDIYLPFLDRVNALDERKAYATTTIFFLTKIGTLKPIAIQLALPTDKQVLTPAVDETSKWLWQLGKAHVCANDAGVHTLVHHWLRIHACMEPLIIAAHRQLSVMHPVFKLLHPHMRYTLKTNATAREVLVNADGSIEKNYTPGRYCMQITCAAYKDWWRFDMEGLPADLKRRGLAVPDATRPHGIRLLIEDYPYAADGLLIWSSIEKLVRTYVNHYYKDLNAISSDNELQSWYKEFINMGHPDHKNATWWPKLNTPEDLISLLTTIIWTVSAQHAVLNFSQYPYGGYVPIRPQLMRKLIPNEEVPDHAAFVKDPQRYFLSSLPSLFQATKFMAVINIGSAHSPDEEYIGHRNDKTSWLGESEIIDAFNQFSNDMKDIEKEIDRRNADPKLRNRCGHGVSPYELLIPSSGCGATGRGVPNSATA >RHN66405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11933466:11939415:1 gene:gene14376 transcript:rna14376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MDGYEATKIVFSRIQNMDPENASKIMGLLLLQDHGEKEMIRLAFVPESLLHSVIFKARNELGISLNSPSTPQSPSPFHSSNPVPISRQNSNSSRLINSGMTLPSNLSIPNPNSASWATLSELQNHDDSPSMNNSSPFYGNGGVGNDSIDEFHLQDQLSFLNDGKNSDLFYSQSELSSSPSNGGSVGNGVDPSFFPSYGYGGGSVHRRSCSVNDAACLVSEDPNSGLGWKPCLYYARGYCKNGTSCRFLHGGFGDGGEGVPSMDGSPNKIEMMDQCHEQLLRSKSLQQQRLAAASQLMATSPFPYSPKSMSLLLQQQQLSDSQRAAAAALIMNEDLQKFGRSRLERNDFSLNNIGMMNPASRQIYLTFPADSTFKEEDVSNYFSIYGLVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDARVLVKPYKEKGKVPDKYRKHQQMERGDFSPCGTPTGLDGRDPFDLGGRMYYNTQDILWRRRLEEQADLQQALEAQSRRLMSLQLLDIKKQHQRALSSGSPIPSPTHSPNMFNHNFPFSSFHSSSESQEENGSGSGSGSASTASIPVDQQVNISVGKEAAVNGGENGNSDASGKQSSNHEESDLQECLEHNLPDSPFASPTKAIGDYMAAFNNGPNETNDSDASANSKFSTNTLLPSSSSLDMGSFKSYNCQIPRFSSGHGTIGMIAGTGGPIGI >RHN51636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21369416:21371024:-1 gene:gene36114 transcript:rna36114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDKIAKFLYVMLILISPFIVAMSNGTICDGDHDCSRNVCSHPQQVWCIFITRVVPRLRRMGLCSCSSKLAP >RHN48505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48011428:48016136:1 gene:gene43226 transcript:rna43226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase sigma-70 like domain, RNA polymerase sigma factor, SigB/C/D/F, plastid MFSPSTPFPSRILPSPSVMMPPHDQAAPALSSWPTTFPTSVLLQEHRDEYKPLLHTCNEDNKPPQATLNTRVTDMTSICEKIITGDGDGDGHVDVSVHNCMHQLHLRCHLQNLLASSPEEDFVSSSSSSSSSSTMLPADGFQWDALTLAKQALSASKQAAAVAQELKLVKIDDDDSLPLGLADSSLGKNKIVRSIRLKERRSKQRKVSNSKVLDDEMYLTKKSNVQRRLRLEKKLKEGLDGNHALRLFLWSPETKQLLSIEEESQLIAQIQDLFKLKETKIKLQSHFGREPTLAEWADGVGLSCRDLQKRLHCGNRSKDKLIHANLRLVVHIAKYYQGRGLSLQDLLQEGSMGLMRSVEKFKPQAGCRFSTYAYWWIRQTIRRALFLHSKTIRLPENFYALLGKIAEAKKSYIKEGNLHPTKEEIAKRVGITVDRLEMLLFSTRTPLSMERAVWADSDTTFQEITADSSIEIPNVCVAKQLMRSHVRNLLGILPPKERKVLRLRFGIEDGYEKSLTEIGKVLGVCKERVRQLESQALNKLKQCLVSQQLDAYVDLIV >RHN62343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42777013:42780446:-1 gene:gene24906 transcript:rna24906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MEASQGTLPLNTCHVQKLLLIINRLHMLLHSMALGFLFYYRVCFLFQDQENRVSHLLPWLLVFTSEIILSFIWFLGQAYRWRPVSRFVFPERLPEDDKLPAVDVFICTADPIKEPTLEVMNTVLSSMALDYPQEKIHVYLSDDGCSPMTLYGVRKAWEFARWWLPFCRRYKIKNRCPKAYFSALESDDNDFARSSFYMEDKQKIKRKYEAFKEEIETFRKAEAFSRDSITVGDHSSVIEVMQEDIIDDVDNVKMPQLVYVSRERKSSSPHHFKAGALNVLLRVSAVMSNSPYILDLDCDMFCNDPTSARYAMCFHLDPKISSSLSFVQFPQKFHNISKKDIYDSQLRSLFTLQWQGMDGLKGPVLSGTGFYMKRVSLYGNQANEGWTDLLQLREYFGSSNEFIKSLTQNYTSVFFPGRNTLLEEPHLLASCRYETGTKWGQDVGFLYDSVVEDFLTGFILHCNGWNSVFCEPSRPQFLGTATTNLNDVLIQGTRWYSGLFENGISKFCPLIYGSLRMPLLQSLCFAELTYFPLYCLPLWCFATIPQLCLQRGIPLYPKVSDTFFIVFFFIFLSSLSKHLLEVFLTGGTLHNWINEQRIWMMKSTTCDLYGCLDALLKKVGIRQASFLPTDKAEDDEQTLLYQNDKYDFRASNIFIVPMLALLTVNIFCLVVGVYRVILVGDWDKMFIQVALASFIITVNYPIIEGLVIRKDKGRISQSVAIYVILFTMIFLTFCKFL >RHN58883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5692666:5695989:1 gene:gene20838 transcript:rna20838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MRAHIVFLLFFIPFSLIISSSNKFLVNGYCHGHEHSLLLQLKNSLIFNPTKSSKLVHWNQSDDDCCQWHGVTCKQGHVTVLDLSQESISGGLNDSSALFSLQYLQSLNLAFNHFRSVIPQDLHRLHNLRYLNLSNAGFKGQVPEEISHLKRLVILDFSSKFISLQNLKLEKPNIGMLVQNLTDITELYLDGVAISARGEEWGHPLSLLKGLRVLSMSSCNLSGPIDSSLAKLQSLSIVKLSQNKLFTTVPDWFRNFSNLTILQLSSCTLKGFFPKDIFQIHTLKVLDMSNNQNLYGSLPDFPPFAYLHYLNLNNTNFLGPLPNTISNLKQISTIDLSYCKFNGTIPNSMSELTQLVYLDMSSNNLTGPLPSFNMSKNLTYLSLFLNHLSGDLPSSHFEGLKNLVIVDLGFNYFTGNIPSSLLKLPYLRELMLPFNQLSGVLSEFDNASLPVLEMLDLGSNNLQGHVPFSLFNLRTLRVFQLSSNKFNGTIQLNVLQRLRNLNVLGLSHNNLSIDVNFRDNHDLSPFPEIKDLMLASCKLKGIPSFLRNQSKLLFLDLSSNGIEGPIPNWIWKLESLLSLNLSKNSLTNFEESIWNLSSNLYLVDLSFNKLQGPISFIPKYAFYLDYSSNKLSSIIHPDIGNYLPAINILFLSNNSFKGEIDESLCNASSLRLLDLSYNNFDGKIPKCFATLSSKLRMLNFGGNKLHGHIPDTISPNSCALRYLNLNDNLLDGSIPKSLVNCNKLQVLNLGNNFLSDRFPCFLSNISNLRIMILRSNKMHGSIGCPNSTGDWEMLHIVDLASNNFNGTIPVALLNSWKAMMRDEGVLRKELGHLFFDIDDNFHPMSFKALLPDLDKHVSMNLIKLLANMSRSIIDQEYAKFKILARYQDTIIIVNKGQQMNVVKIQSTFTYVDMSSNYLGGPIPDVLMRFKALNALNLSHNALTGHIPSSVENLKHLESMDLSNNSLNGEIPQGLSSLSFLAYMNLSFNHLVGRIPLGTQIQTFDVDSFAGNEGLCGPPLTKICEPPQPASETPHSQNESFVEWSFISIELGFFFGFGVFILPVFCWKKLRLWYSKHVDEMLYRFIPRLDFVYEQHEGKRYKTLKWMY >RHN59227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8577996:8581335:-1 gene:gene21227 transcript:rna21227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vestitone reductase MENDKGTICVTGATGYVASWLIMKLLQHGYAVHATVRSHHVKEKKDLSYLTNLPEASKKLTIFHADLDDSSSFEKAIQGCIGVFHLAHPMDVQNQEPEEKVTKRAVEGTLEILKACLESKTVKKVVYTSSAATVLFNDKNLDVVDEDIWSDIDICRSSNLVGSSYLVSKIMTEKSVLEFGKVNELEVVSLVLPLVVGPFICPNIPSSVYIALAMIFGDQDRYKYLTNSYMVHTDDATRALIFLFESENVNGRLICSSDRISFHQLYELLCQRYPGYNITIPNSMNTKNGDKKFSDLSSKKLLDTGFKFKYSVNDMYDGAIQCCKEKGIL >RHN63822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54260738:54261600:-1 gene:gene26575 transcript:rna26575 gene_biotype:protein_coding transcript_biotype:protein_coding MVKITSPESPNRHHSNGGFFVTISAILALLSRKANRLKEKAKSSSTTKPIRDEEWRFDLKTPPKSPMAKPKKLLSNISNKALSQFGKKKQREEREKEGWGNGGVWQKEILMGGKCEPLDFSGVIYYDINGKQTREVPIRSPRASPLPGYLTRG >RHN82444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53866934:53870124:1 gene:gene6611 transcript:rna6611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycolipid transfer protein MVMDHSDLAIAYPLSAIAEAFEDLSTKVQGIKNGSSTQHIRLDSFCEIASLVSVLFRCLGLAFKFAEMEYVAKLHGLVEASKTYQTLQDVIDHDVARDTVKTSGSYSRNLRRVRQGLDLVRAIFEQLLSTSDIYLKEVASTAYGEVCAPYHSWGVRTAVYAGMYTLPTRDQLFVKLNETEQSAEKKMRRYITASRPVIEYIDKMYLTRNIALDW >RHN48927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51213573:51217923:-1 gene:gene43696 transcript:rna43696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific protein-tyrosine kinase WEE family MTRKTPKSRGRKKAPLGASNTRQLQLQLSKFSLKQYKPLPTTTITSSALSHFQNLIDSEANQNPSIRVTNDATDADSKDGILSQDFFCTPDYITPDNQRILNGVDFNKDNSPCPKSPEKLNTTKSKRCRLDGVSANPLSPTFSGDHQQVVELGKDSVAEEVAVDITVAAGKPKAQNYVSHSAATLRCRAIPPPCFSNPYLKDLSQKETDPYANQRSKCAGFFPKFTAYDGLSRYRTDFHEIEQIGRGYFSCVFKVLKRIDGCLYAVKRSTQFQLDTERRKALMEVQALAALGYHENIVGYYTSWFENEQLYIQMEICDHSLSVNKGSELLAEGQVLEVLYQVANALRFIHDKGIAHLDLKPDNIYVKNGVYKLGDFGCATLTDSSLPIEEGDARYMPQEILNENYDHLDKVDIFSLGVSIYQLVRKSPMPESGCHFLNLKEGKLPLLPGHSLQFQNLLKAMIDPDPVKRPSARELVENPIFDRALRTAKN >RHN80950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42139828:42140694:1 gene:gene4936 transcript:rna4936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative legumain protein MMSSTMKVLVIILLIIFLTNTVDSSSSTMHTNNWAVLVCTSRFWFNYRHIANTLSIYATVKRLGIPDERIILMLPDDMPCNARNSYPSQVFNNENHKLNLYGEKVEVDYRGYDVNVENFLRLLTGRHEPNIPRSKRLLSDEGSNILLFMSGHGSDQVLKFQEKEDLYSKDLADAVNEMKENHRFKELLIMVDTCQAATLFSDLRSPGVLAIGSSVKGESSYSHHSDLDLGVSVVDRFTYQTLNFLERINLYDNDSLSSLFDSYNPYLLESTPYYRKDLYQRNRHRFSL >RHN82644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55414565:55426826:1 gene:gene6820 transcript:rna6820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myosin ATPase MSQTTGVPPAFQSIKSLPPEFKFDSNRNTGLVEKHGNAKVRSTDLIGSNGRKNGAIVGEVSKEVHNRAGGMDVSDEESPYGGNGESFEDRPSYPNEDSVSASLPQPSISTPSGESRWSDTTPYASKKKLQSWLQLSNGDWELVKIISTSGTESVISLPDGKVLKVKDEDLVPANPDILDGVDDLMQLSYLNEPSVLYNLQHRYNQNMIYTKAGPVLVAVNPFKKVPLYGTNYIEAYKRKAIESPHVYAITDSAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEHEILKTNPILEAFGNGKTLRNDNSSRFGKLIEIHFSETGKISGANIQTFLLEKSRVVQCNEGERSYHIFYQLCAGAPSSLREKLNLRSVEDYKYLRQSNCYSINDVDDAEEFRIVTDALDVVHISKEDQENVFAMLAAVLWLGNISFTVIDNENHVQAVEDEGLFSTAKLIGCDIEDLKLTLSTRKMKVGKDTIVQKLTLSQASDARDALAKSIYSCLFDWLVEQINKSLAVGKRQTGRSISILDIYGFESFNRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVEFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLNSNSCFKEEREKAFTVRHYAGEVTYDTTAFLEKNRDLMHVDSIQLLSSSKCHLPQIFASYMLSQSEKPVVGPLHKLGGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNLQSPGSYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFAKRYGFLLLENVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHGILRVQSCFRGYQARCHCKELWRGITTLQSFIRGEKSRKEFATLLQRHRAAVIIQKHVKTVYQSKRMKDTIDSAVVIQSFIRGWLVRRCSGDIGFLKSGGMKTNESDEVLVKASFLAELQRRVLKAEAGLREKDEENDILHQRLQQYESRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAMDDSERNSDASVNASDDKEYSWDMGNNHRRQESSGTRSMSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQIEASLNPDRELRRLKQMFEGWKKDYAARLRETKVILNKLGSEDGSIEKAKKKWWGRRNSTRMS >RHN46568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32853095:32854031:1 gene:gene41067 transcript:rna41067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MMFPWTVEAAAKLGIPRIHYYSSSYFSNCAEHFIMKYRPHDNLVSDTQKFTLPFWLRTKKPATAYFETIFESEKISYGTLYNSFHELESDYEKLGSTTMGIKSWSVGPVSAWTNKNDEKKANRGHIEELGKEEEWLNWLNSKENESVLYVSFGSLIRLDNAQIVEIAHGLENSGHNFIWVVRKYERDESENTFLQDFEERMKESKKGYIIWNWAPQLLILDHPATGGIVTHCGWNSILESLNAGLPMIAWPIFAEQFYNEKLLVDVLKIGVPVGAKVNEFWNSTSETVAVKREDVTP >RHN69709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45697053:45698517:1 gene:gene18215 transcript:rna18215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MAQAVSLSTTTSPMLSPKAGYSAETRNKTTAPCMASFPLRRQLPKLGLGRVRAQAQASGDNKDNSVEVQHVNKGDQGHGSAVERKPRRGSMDMISPFGLLDPWSPMRSMRQMLDTMDRIFEDTMTFGGGEIRVPWDIKDEENEIKMRFDMPGLSKEDVKVSVENDVLVIKSDMHKEESGEEDSWSRKSYSSYDTRLKLPDNCEKDKVKAELKNGVLYITVPKTKVERKVIDVQIQ >RHN70823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54303159:54304398:1 gene:gene19446 transcript:rna19446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative groES chaperonin family MAKRLIPTFNRILVEKIIPPSKTSAGILLPEKTSQLNSGKVVAVGPGSRDKSGNLIPVSVKEGDHVLLPEYGGSQIKLDDKEFHLFRDEDILGILRD >RHN42123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35571802:35572011:-1 gene:gene48523 transcript:rna48523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cholesterol monooxygenase (side-chain-cleaving) MSNNNFMPFGGGPRLCAGLELAKLEMAVFIHHIILKYNWDMVDVDQPIVYPFVDFPKGLPIRVQSQATL >RHN51454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17969643:17969918:1 gene:gene35889 transcript:rna35889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MFSTLTLILLWLLVIYLGCTSFHDCFVQGCDASVVIAGSGSEKTAFPNLGLRGFEVIDDAKTKLETACPGVVSCADIVTLAARDSVVLVII >RHN39743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10186211:10191075:-1 gene:gene45804 transcript:rna45804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MTLCVFSKHQIFMNNLSPFHHLNPHSLHNPKTQMLPRSLSPISISFSSPKFSPFFHTFHELNELRTLNSVMELHAQIIKTPKNYNFATIDGTMMRNYLEFGDFLSAIKIFFVGFARNYLLWNSFLEEFESFGGDPFEILVVFNEMYSKGVEFDSKAFTFVLKICLALREFLFGLEVHACLIKKGFHVDVHLSCALINFYGKCWSIDKANQVFHETPYKEDFLWNTIVMANLRSERWKNALELFCDMQRDSAKATVGTTVKMLQACGKLKALNEGKQLHGYALRFGLVSNTLVCNSIISMYSRNSRFKLARAVFDSMEDHSRNLSSWNSVIFSYAVDGCLNDALDTIRNGMECSGIKPDIITWNSILSGYLLRGSFEMVLTSFRSLHSLGFKPDSCSVTSALQAVIELGFFKLGKEIHGYIMRSNLNYDVYVCTSLVDMYVKNDCLEKAQAVLHRAKNKNVCAWNSLISGYSFKGQFGEAVKLLNQMVEEGITPDLVTWNGLVSGYSMQGRIDEALTIINRIKSSGITPNVVSWTALISGCSQNEKYMDALKIFSQMQAENVKPNSTTICSLLCACAGPSLLKKGEELHCFSMKLGFVDDIYVATALIDMYSEAGKLKVAYNVFNKIQEKTLPCWNCMMMGYAIHSHGEEVMILYDKMRERHIRPDAITFTALLSACKNSGLVDEGWKYFDSMQEDYNIVPTIEHYCCMVDLLGKSGFLDEASHFIETMPIKPDASIWGALLASCKIHKNIKLAEIAARKLFKMEPNNSANYVLMMNLYSSLNRWVAVERLKHSMTVLAMKIPPVWSWTQVNQSIHVFSTEGRPHPEEGEIYFELYQLISEIRKLGYAPDLNCVCQNIDDNEKEKILMSHTEKLAMVYGVMKMKGGSPIRIVKNTRICFDCHTVAKYISLVRKREILLRDGGRFHHFKNGKCACNDRW >RHN66648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15680095:15681974:-1 gene:gene14686 transcript:rna14686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-PERK-2 family MADLVSREADQIDRNTFKKERSFRTAITTSDIIEQINKDQHIQKNQHDEEIFHTEGNEIETKAEDKQQKIFQEKKQTDYNSDDEGLLQENQDKSILENFSKSSVCSICKSRRPNNECQRKFNYEELEAATEGFSIMYSLSEGEYGPAFKGQLDNKLKIAIKKIQVTSLQEEKLFISEVKLLTAARHENLVMLLGSCLRENKLLIVYEYACNGSLDQYLSRKSGRLLTWRERMKIAIGISNGLKYLHDNNIIHGRVKPSNILLNHDYTPLLGDFVFRTERHELKNSCKDKSFRNCGYTAPEYQESGKLSTKADVYSFGAVLLELITGCMVSDKISGQKCLIERARPLLGGREYLQLMDPEISSSYDEEQLASLVLVTEKCLRKNPKERFTMNMVRKVTEKLLHVYACVRLSLEAIHQKIKLTETS >RHN40629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18345077:18346401:1 gene:gene46801 transcript:rna46801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate dehydrogenase (NADP(+)) MVSYRVEDFQTGCFISSSKNGWTRVIVEKPFGRDSESSSELTRRLKQYLTEDQIFREESLKLLKLVYYLGFDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEARGGYFDNYGIIRDIMQNHLVQILALFAIEPPVSLDAEDIRNEKVYLFRLSVVL >RHN78447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15241209:15241688:1 gene:gene2014 transcript:rna2014 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFGQVTSSHPRTTCTRLLSNVIGRVTSSDPRTTRTCLSAFIPGRVPSSIQEQLVPVNMFLFGQVASSSPRTACTYQFAYVIGRVPSSIQEQLVPVNMFPFGQVASSIQEQLVPVYMFLSSGVTSSHPRTSSHLFICLCFRSSDQFESKNNSYLSTFP >RHN82446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53872915:53873655:1 gene:gene6613 transcript:rna6613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo84 MDQSPFTTPRGSLSSSIGNAAELEANLTLSDKLRVFKSSSFDPSAYVASKSRSMNEKEIRHLCAYLVDLKKASAEEMRKSVLANYSSFIR >RHN66596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14664008:14664525:1 gene:gene14619 transcript:rna14619 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTFLIIQTKNVEARHCARYGRDCSIFESNPCGNSITLIYLE >RHN77954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10822320:10828296:-1 gene:gene1465 transcript:rna1465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nicotinate-nucleotide diphosphorylase (carboxylating) MVLTYKQGYLSPQPFLIRDITSRFPPPLSFSLKLQGQPKLRSIVKMSATEVTNPTISYESFAVKPPLHPTYDLKGIIKLALAEDAGDLGDITCLATIPFDMEVEAYFLAKEDGIVAGIALAEMIFNEVDPSLKVEWSKKDGDFVHKGLQFGKVHGRAHNIVVAERVVLNFMQRMSGIATLTKAMANAASPAYILETRKTAPCLRLLDKWAVLIGGGRNHRMGLFDMVMIKDNHISTAGGVTNALKAVDLYLEKNSLQLEVEVETRTLEEVEEVLQYASQTKTSLTRIMLDNMVVPLSNGDVDISMLKEAVRLVNGRFETEASGNVTIDTVHKIGQSGVTYISSGSLTHSVKALDISLKIDTELALKVGRRTGRA >RHN43752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48202179:48203127:-1 gene:gene50374 transcript:rna50374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MHTIAYMYKLIFNSCIAYFLLFSLLFVHGKELSCNQTPYPHVCNHYIGTTTNKLSTLDSSSSFHDIALKVTLDQAIEAHKLVSTMELNNFKDKHAKSAWEDCLELYEDTIYQLKRSINSNNLNDKLTWQSASITNHQTCQNGFIDFNLPSHLNYFPSMLSNFTKLLSNSLSISNTLTSSQSSSSSSSSTKQNGGRRLLSDGFSYWLSGSDRKLLQATPGSGTGPRADIVVAQDGSGNYKTISEGVAAAAKLSGKGRVVIHLKAGIYKENIDIKSTVSNLMIFGDGMDSTSVTGNQNAIDGSTTFRSATFGKFFNS >RHN42824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41281058:41283115:-1 gene:gene49317 transcript:rna49317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase MPRRTVRNPKRKNRSISQSESTNLNNQSESWDCPVSANTKVNPNTRMISDRRHSLRSCRRLIEETGTASLPRSSREGSSAPDDNQQPNHQADTINSAYQVPEEGFDQVESPSRYLVSVLHPVIVEPELENEDAEDVENSIVGTPKVGVLGEAEISLSSHSNQIAEQNTFEAESVPLEQEPLAGEIQASHRFEEATNRKCISREGCSSPSFSLKLTEMVEMWGQQADDGIDSEMRSRPEETINGYQVKQEFMPILAKIISKHGDIANNCLTKSVKGRSALLEIICGIISEFEDNNLSNINGCVLEDRIRFVGGIKDMKVEVDWLHMRLIEVREARDILKKSAVLKEKTESNRKLIEESENALEKCEAQKKKVSEMLEAICAEETACKERLARAKDESTAISITVGYAKSKVKCFLKCSVVDGLI >RHN67453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27368239:27375117:1 gene:gene15643 transcript:rna15643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle transport protein, Got1/SFT2 MQNWFSGSSEDDLKPSSSLLADWNSYASAQSSQDDDPSNFPFDIESAVRSANDTVSGTFSVVSKGVRDLPGSFQSATSSVPSGKALVYFGLFLASGVFFVFIAFTLFLPVMVVMPQKFAICFTLGCGFIIGSFFALKGPKNQLAHMLSKERLPFTLVFLGSMIGTIYVSMVLHSYFLSVIFSVVQVLSLGYYAISYFPGGSAGMTFLTSALTSSVMRCFGR >RHN64746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61493504:61493967:1 gene:gene27601 transcript:rna27601 gene_biotype:protein_coding transcript_biotype:protein_coding MIQYCATFFQIGFNIEIAMGSSPKTVALKSATKLKATWLILDRKMKNDEDYFLHKLSCGISRIRSSNRIIRIRGPIDTPQQQRRSYRSSETYASSIQSHDLSTDLDLLTIDIFSNSKSLNAF >RHN73726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19363925:19366825:-1 gene:gene9580 transcript:rna9580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MTNLHSFCCFVVYIVLIHFIASLSLITSQIHINEIANGITNNALFIFGDSTVDSGNNNYIDTIPENKADCKPYGQNGVFDKPTGRFSDGRVITDFIAEYAKLPLIPPYLKPSIDYSNGVNFASGGAGVLPETNQGLVIDLPTQLSNFEEVRKSLAEKLGEEKAKELISEAVYFISIGSNDYMGGYLGNPKMQESYNPQQYIGMVIGNLTQSIVRLYEKGARKFGFLSLSPLGCLPALRAANPEANKGGCFGAASSLALAHNNALSNILTSLNQVLKGFMYTNSNFYDWLQDKINNPTKYGFEDGVNACCGSGPYGGIYTCGGTKTIKEYNLCDNVDEFVWWDSFHPTEKIHEQFAKALWNGSLSIVGPYNLERLFNNDIKLMTIADVVDVPEIEHGF >RHN38998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3412133:3415316:-1 gene:gene44982 transcript:rna44982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MKHVFDDGTLVKISDGVEFTVKDDKIIEGLDRAVMTMKKGEVALLTIPYRYAFGSLDTPQEMAVVDFKFAFPVRAGKKVW >RHN63722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53530316:53535301:1 gene:gene26461 transcript:rna26461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative X8 domain-containing protein MAFATLALALLLFPFTAIPSSANWCVCKDGADAILQKTLDYACGAGADCNPLHTNAPCYNPNTVRAHCSYAVNSYYQKKGQQALACDFAGTATVVTSDPSVSGCAYPSSASGSGTSTTSPSTGTGTGTGMGTTPSTSTGTGTGTSTGTGMGTGTSTGATGNTPYSTTAPGGVLGGIGSGMGPSGAGGMNDDSHGGLRLVDTSFLSIPLFSVFIMFWWG >RHN79883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33162312:33168966:-1 gene:gene3734 transcript:rna3734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L9 MGYLQFGRHGVRQIIRFKDAVNVNDSVVVNRLMYASQGLRYNRKLQVILTTNIDKLGKAGETVKVAPGFFRNHLMPKLLAVPNIDKFAYLLTEQRKIYQPTEEVKQEDVVVVTESKEDLMKEYERAGLILDKAKLVLRRLIDVKKAKARESKDEPLELQIPVSKKALVAEVARQLCVNITAENLHLPTPLSTIGEYEVPLRLPRSIPLPEGKLNWALKVKIRSK >RHN46660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33846742:33852706:1 gene:gene41179 transcript:rna41179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase TKL-Pl-4 family MSFRDRNIEVVEERECEKSVRSNGSLTNTTQFTIDENLLVDPKLLFIGSKIGEGAHGKVYQGRYVDQIVAIKVLQRGTTSEERASLENRFAREVNMMSRVHHDNLVKFIGACKDPLMVIVTELLPGMSLRKYLTSIRPKPLDIHVAINFALDIARAMDWLHDNGIIHRDLKPDNLLLTANQKSVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPKIPDDISPDLAFVIQSCWVEDPNLRPSFSQIIRMLNEFLFTLSPLSPPLPEPDNEPKAATTSNNGAITEFSARNKGKFAFLRQLFSSKRIKN >RHN81670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47965059:47965575:1 gene:gene5744 transcript:rna5744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial import inner membrane translocase subunit Tim16 MKFYKTSILVRILTSGIGIHFIFYLKLLSSRDRFIPFILSFSYRYFVTDATKNGVTQETIQNAVHRGSKMMTGEEARLILGVTEKTSWEDIVKKFETMFEKNTKSFYLQSKIHRAKEFLETLQ >RHN43395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45440770:45441357:-1 gene:gene49963 transcript:rna49963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MVSSSIDLEIFSLRGNQWEQIEFDSDLPYKNTASSNGGPRVGSFLNGSIHWLVYDDKTEMDVIIAFDLKEMTLSETALPDGFYSDYSTRIYDLMVFGRLISVWNMEMPTLKIWVMQEYAIHSSWTKTFDFSFHPDPEFSPLCFTNCGDIVGPANGGLVKLNVKGQLLKYHSYSDRCFMRSQMAVYTESLLSLNLR >RHN60076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:18625237:18632135:1 gene:gene22283 transcript:rna22283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MVVISLEFNGNFTASALHYGATSFSFSSSSYPLLSRSRNLNFNPVMVSMKNNEPLHNELEFKPSFDQYLKAMESVRNNTLVKKGLHNEFQDDEVSSSKGKQNKGFRNERKQKSDGSKRRSRSLDSKSDEVSSIREKDNIIKSNLNGGRGGSVVERGVTYDLEQQVVSSIRERNNSRKGGKTLGGGDNFVDRKKPMDRGPGKRYSEIESMTNRNGRRNVKSNGTSKRFLNRGYDSDDLVVERAAFKNLEDPNNVISKAHFSHKEMEERIQKLAKQLNGVDINLPEWMFSKMIRSAKLKFNDYSIRRLITILGNLGNWQRVIQVIEWLQTRERFQSHKPRHVYNAALDALGKLRRPVEALNIFHAMQQQMSTYPDLVAYHSIAVTLGQAGHMKQLFDVIDIMQSPPKKKFNKGIFENWDPRLEPDIVVYNAVLNACVKGKQWEGAFWVLQQLKKQNIQPSAATYGLVMEVMFSCGKYNLVHDFFRKLQKSSIPNPLTYRVLVNTFWKEGKIDEAVSAVHEMERRGIVGSASLYYDLARCLCAAGRSCEALMQIDKICKVANKPLVVTYTGLMQASLDSGNIQDGSYIFEKMKDICAPNLVTYNIMLKAYVDHGMFREAKELFEQMLENTNHLSRNDDYKMRVIPDIYTFNTMLDACAAEKRWDYFDHVYQRMLYHGYHFNPKRHLRMILEASRAGKEEPLEITWNHLAATDRIPPVSLIKERFCTKLEKDDYIIALQCITNSTPKDLHPFSKSSWLNLFKENSQRFQKDTVVRLMNAASNIISNISVPNPALVCLIQSCKDFCFATDLSAADMDSANNVFALESKQEVNQY >RHN76166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48179680:48182408:1 gene:gene12451 transcript:rna12451 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSQQPFQQNNNELNRQEIQTAIAKAVELRALHAALTQGNSPSPSPGPAKARFPSPSPVSQFSAQDYPVFTPSYEDEPHQNRTKSRTVSESWDENGVEGGNIMESTIVQDYKDKSCSRKVLRFGFSTNLNQESHMCPIDDDDDDTKSVTGSCANNITVLQTSPSPNEYFKSRRRNSLDDVKPLSSCNRCKPALITSEFDNTKNNNRSSNIVVPLTDSHASFQTQHKSKGMISWLFPKFKKKHKNKNEIFSPNRTESEEVSQILNKDMGIMSIEMLKRELMEAHESRDSAIIEVSEMRSSFGELKQKLEYLEGYCEELKKALKQAMQAKESPLCDEKLGIPFDGNGENLMMPVNEDVMVEGFLQIVSESRLSVKQFCKTLISQIEENDQTLIENLNLLLQPYKLSLDSKYSKAVLYHFEAFINNSLYQDFENCVFQKNGSAKFLDPRQDRRAQFSSFVALRNLSWNEVLKKGTKYYSEEFSKFCDQKMSCIITTLNWLRPWPEPLLQAFFVAAKCIWLLHLLAFSFTPTLGILRVEENRSFDGYYMEDLVIDRQRSQGPSRVKIMVMPGFYVQDKVLRCKVICRHKSKY >RHN61956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39625110:39625780:-1 gene:gene24473 transcript:rna24473 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPRGDSTPPYYGNDSGYFKGSSSHSQEHLYDVDISSLRSTHRSGPLDYGARFKFWHYWTQSTC >RHN81224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44181834:44194836:-1 gene:gene5237 transcript:rna5237 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor BSD family MSSSVQQVVKRAKYKSTVKDPGTPGVIKLTRQKVVFKPNDPTSNNKLDVDFRLIKSQKNTKEGSTKPPWLNLVHSQGSYIFEFESFSDLHVCREFAAGIISKPVEAPKVVSDEQLSTAEMTLRMKLLGEDSKLKKIHMELVMSDKLTESEFWATKKKLLDQDESRKLKQRIGFKNSLIFDTKPTSDGRINQVKFQLTPEIKYQIFALKPAVHQAFLNFVPSKMSEVDFWNKYFKAEYLHSTKNAIAAAAEAAEDEDLAVFLKDDEILENEARKKVRRVDPTLDMEADQGDDYTHLPDHGIFRDGSKETSEAQNTLYRRTLLQDLNRQSEVVLEGKTLDIDMEHPRTAVEALARRKHESDGVVEERRNRISKMAQIEDLQAQDDHPFAPLCIKDPRDYFDSQQANAVKTLDDSLSGKEKIRCNLGSEEAYGSLRESISNIKTMGLRDPLLSHEVAFKVLSELTKNISSTKSNLGKSSQESVLDILPNTTKEKLLDHWVCSQELLRHFWSSYPVTKQSLVDKARRLKDAISQIYSKLEEIKVSAKSDLRHQVSLVVHPMQQALDAALLHYDADITRRNAKGSKRNGYV >RHN40562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17593637:17597649:1 gene:gene46725 transcript:rna46725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prohibitin, Band 7 domain-containing protein MGSNQAAVSFLTNLARAAFGLGTAATVVNTSLYTVDGGQRAVLFDRFRGILDQSIGEGTHFLIPWVQKPYIFDIKTRPHTFSSISGTKDLQMVNLTLRVLSRPDTENLPTIVQNLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPQVSALVRESLVRRAKDFNIVLDDVAITHLSYGTEFSRAVEQKQVAQQEAERSKFVVMKAEQERRAAIIRAEGESEAAKLISDATAAFGKGLIELRMIEAAREIARTLAKSPNVTYLPGGNNMIMSLNTPTGR >RHN43382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45315681:45319639:-1 gene:gene49945 transcript:rna49945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGGCCCCASKETVLSAAPAYYYYPRASEEHVPLSSQPGAPSGGRLLVDTNLDTSSPDTYRPPPAPLPFNLTSGATQTAPVTQEISRDKNNTSSLSTNSNSVQEPSGDNHGTSPKSEEPKESECKGQTDIEQDTAKDSEIELSKLGEPINLVEEDTCPICLEEYDAENPKLTTQCGHDFHLACILEWMERSETCPVCDQVTVFSTPIE >RHN56517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31540469:31543911:1 gene:gene31925 transcript:rna31925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative selenoprotein, Rdx type MDRAQLLLVGLPLFLLCSDLFSLFTSSPPPPKPSHHHHHHHQQPQPVINPVTTPFPSDSEKLATNIGGAGFGNTVNINFCSSCSYKGTAVTVKKMLEIAFPGIEVIPANYPPPLPKRLLSKVVPVVQIGVIGVVVAGEQIFPMLGFVAPPPWYFSLRANRFGTIATTWLLGNAVQSFLQSSGAFEVYFNGNLVFSKLKEGRFPGEIELKDLITKRMTNSRLVSSASELGL >RHN51776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24781902:24782228:-1 gene:gene36294 transcript:rna36294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MKFREIDTQEEFEEILHKIKQEPFDCSKKDNCRCDDPADIEYDSTRTWVKYKPNIPKTPKGFKRISVLRDDYSKLDSYYITPTGKQLRSRNEIAAYLKDHPQPNGVSA >RHN39019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3580603:3581010:1 gene:gene45004 transcript:rna45004 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKDSPVNVLSSWLRNRSMKVKIFLGILLAFCAVVTLKLTIKDLELFYIASNTIHILGLFALIYKLYVHKSCSGKFLNFSSLIRHCLDFFLLEKISLCIDLCYPKISKVSSNITFVIENRRIRVETCLFRRTH >RHN79789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32220810:32231013:-1 gene:gene3626 transcript:rna3626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cystathionine beta-lyase MVSSYFSISLRIFTISVSISRPTQSTISGKTWDSLVIIKSANFRKNKLLCANDGFKLRCSVDREMDVRTSALMDDEVADCLNEEELWEPSISTMVMNFEYKFDPYGAVSMPLYQTATFKQPSAIENGPYDYTRSGNPTRESLESLLAELDKADRAFCFTSGMAALSTVVHLLKSGDEILAGDDLYGGADRLLSQVVPRSGVLVKRVNTCDLNEVASALGPRTKLVWLESPTNPRIQISDIRRIAEMAHAHGALVLVDNSIMSPVLSQPLELGADIVMHSATKFISGHSDIMAGVLAVKGERLAKEIYFLQNAEGSGLAPNDCWLCYRGIKTMPLRVEKQQENAQKIAQFLASHPRVKKVNYAGLPGHPGRDLHYSQAKGAGSVFSFLTGSLALSKHIVETTKYFSITVSFGSVKSLISLPSFMSHASIPAAVRETRGLTEDLVRISVGIEDVNDLIADLDNALRTGPL >RHN42753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40662547:40664141:1 gene:gene49237 transcript:rna49237 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MMEVGGNASANSIYEAFIPEGYTKPGPDASHEERAKFIRSKYERQEFLKHSLRILSTKSKRQTSFSKKIMDSFRKSSGSKNMEGMVEFIGMLKVKVVKGTNLAIRDMRTSDPYVVLKLGQQTVQTTVIRSNLNPVWNEELMLSVPQQFGPISLEVFDHDLFSADDIMGEAQIDLQPLINSAMAFGDTGMFDDMRIGKWLRSNDNALIEDSIVNIIDGKVKQEMFIKLQNVECGELNLELEWMSLDH >RHN82454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53918060:53920144:1 gene:gene6622 transcript:rna6622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein Ist1 MGKKLDALLGRNFKADKFKPTINLAISRLAVLKNQRNVRLRQSRSDVLQLLQLPDNHQRALLRVEYVIKEQNMLDVYDEIEGYFNLLVERIHLIAQQRECPDELEEAASGILFTASRCGDFPEIQEIRAILTSRFGKEFAARAIELRNNCRVHPKIITKLSTRMPSLESRMKVLREIASENNITLQLEQVSSTIDEEQVTKEEKQNQHKSEIKQDENVHILVNEGKSDEFSDSFKGRKKYKDVADAAQAAFESAAYAAAAARAAVELSRFQSHDPDDDDDHNSLSPRTRKVLDRHNSAKAMSPLKGEELNKNAEELGKVISSSNLGADEIVDSMDAETEVDSIGLEGRSGQSAPLIDLEKKPFSVRTKRVQGY >RHN64931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63086721:63090686:-1 gene:gene27812 transcript:rna27812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine aminopeptidase, S33, alpha/Beta hydrolase MGNVTGTVAAKFAFFPPEPPTYEVYKENDDGVLKISGTTDKSVDVHIVDTKGGNKIVATLWKHPFARFTILYSHGNAADLGQMRDLFIELRAHLRVNIMSYDYSGYGRSTGKPSEFNTYYDIEAVYNLLRNEYGFKQEDLILYGQSVGSGPTTHLASKLQKLRGVVLHSAILSGLRVLYPVKVTFWFDIFKNIDKIRYVKCPVFVIHGTEDEIVDCSHGKRLWELSKEKYDPLWVKGGGHCNLETFPEYIKYLRKFINAMDKLSITSQTNKQLTQSPSITDSRHTKCLGFVKR >RHN60278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24555836:24560390:-1 gene:gene22563 transcript:rna22563 gene_biotype:protein_coding transcript_biotype:protein_coding MMFHRRKKEPNTNTLPLSTSPMPSRFRTSSLTTSFKSFLLVLSLLLNFYFLLILWAPYSNTLAPSSVVSRLTSTTRRHVLFAVASSSLSWPHRQSYVNIWYNKKSTRVLAFLDAPPRNSSDESPPVVISGDVSGFPYTLQGGLRSAIRVARVVKEAVDRNETDVRWFVFGDDDTVFFVENVVKTLSKYDHERWFYVGSNSESYEQNLMYSFEMAFGGGGFAISYSLGKVLARVLDSCLRRYGFLYGSDARIYSCVAELGVTLTHEPGFHQVDMRGNLFGVLAAHPLSPLCSLHHLDAAQPLFPDMNRTQALRHLIAATNVDPTRILQQTVCYDRSNSLTFSVSWGYAIQVFQGNVLLPDLLAAKKTFAPWRKIHSNFMFDTRDNPRDPCKRPFVFYLKSITSDKSGIWSSYSRYVEKKCSKSNPTLPMEITVFSRKLDLSIEEMKAPRRQCCNVLPSKNETISIHIRRCETDELISMEL >RHN60632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28998027:29000394:1 gene:gene22977 transcript:rna22977 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRNLVVAAGLLAFASAGMAFPFYMASSKKPVIDPTKPLSPQATFRGPYINTGSRDIGPDHETYKKK >RHN42710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40383289:40383719:-1 gene:gene49193 transcript:rna49193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynamin central domain, Dynamin superfamily MPRTAFLTLLQKKVRGISYMPINFVESVWNYLETVVVSVLNRHSANYYQLQVSIRRAAEHLISKKKKNSIQHVLQAVEMEKLTDYTGNPEYLQEYNKLMSHREVFLKEVLNVDRASSTVKLEGVGL >RHN50238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5419074:5419565:-1 gene:gene34507 transcript:rna34507 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGRNWCILTVQDIPHGTKLNLRRKYFSGSFSHGVQNSIEKTPTQPCSPPFISYMAESKGQHNFSTADYAVEIRESYARILELLQEASPLLEPNLTTSTENSTNDFNGGNGRSTRGNTQKLSKTLESCVGSKTDVKTTFADSLIFERLVVNSVVEHDCYRQR >RHN60795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30505911:30507072:1 gene:gene23174 transcript:rna23174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQTLMLVYALIIFTSLFLVVISRQTDIPCKSDDACPRVSSHHIECVKGFCTYWKLD >RHN66778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18645839:18646200:1 gene:gene14858 transcript:rna14858 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKKKGLRYRSQPALDPKKLEVHHQITARGRRSKVHRWIEMMAPVFSKVAWRCVCYMI >RHN40719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19959744:19960061:1 gene:gene46913 transcript:rna46913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MLKHISHGIEFLFLFFSDWLDSTRRKLLDWNNRFSIIEGIAQVVLYLHNYSRLRIIHRGLKPSNILLDENMNPKISDFGVGMTCIFFSTQLIVVLMANVPIVFIK >RHN58573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2940067:2950880:1 gene:gene20495 transcript:rna20495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MTNLNGSAEAHARSKQYNYASNSSLVLTSDSHPTAERTTGEPESLSGRIDLKVFGDRAFRNRPPKRNLIDEFDSGGYRRNKQRRLQSESQSVLNAVDNGVYEPKTKETRAAYEAMLSVIQQRFGGQPLGIIRGAADEILSILKNDSVGDKKINIEKLLNPITDTVFNHLVSIGKLITDFLEFEDVEGGFDSFDGVAVEFEENEDDDEKDVDVVVEEEEEDEDEIFEIGDYAMQIGGGIVVEEIEKVDDEELNVHDIDAYWLQRKISPAFGPEIDPENCRRLAEEVLKVLGEADDREVEKRLLGYFDLNKFSLVKFLMQNKLKIVWCTSLARARDQEERDKIEEEMKGSNLESILEELYAVKASTIEREKNLEKSIRYEARRLKDGDMDIDRGTGDGVGENGGQRRMLDLDNLAFEQGGLFMTKGKCDLPDGSYRLPRKGYQEIHVPALKAKPIDPNEKLVNIGYMPDWAQPAFKGMIQLNRVQSKVYETALFKSDNLLLCAPTGAGKTNVAVLTILQEIARHRNPGDGSIDHSAYKIVYVAPMKALVTEIVGNLSNRLQEYDVKVRELSGDQSLTQKQMEETQIIVTTPEKWDIITRKSGDRIYTQLVKLLIIDEIHLLHDNRGPVLESIIARTVRQIETTKDYIRLVGLSATLPNFEDVARFLRVDHNKGLFYFDNSYRPVPLSQQFIGITVKKPFQRYQLMNDICFEKVMAEAGKHQVLIFVHSRKETAKTARAIRDTALANDTLGRFLKEDSASREILHSHTDLVKSNDLKDLLPYGFAIHHAGMTRTDRQLVEDLFASGHVQVLVSTATLAWGVNLPAHTVIIKGTKIYNPERGAWTELSPLDVMQMLGRAGRPQYDSCGMGIVVTGHSELQYYLSLMNQQLPIESQFISKLADQLNAEIVLGTVQNAKEACNWIGYTYLYVRMSRNPSLYGLAPDVVMRDITLEERRADLIHSAATILDRNNLVKYDRKSGYFQATDLGRIASYYYITHGTISTYNEHLKASMGNIELSQLFSLSEEFKYVTVRQDEKIELAKLLDRVPIPVKESLEDPSAKINVLLQSYISQLKLEGLSLTSDMVFITQSAGRLLRALFEIVLKRGWAQLAEKALNLYKMVTKRMWSAQTPLRQFNGIPNDILTKLEKKNLAWEKYYDLSSQEIGELIRAPKMGRILHKFIHQFPKLNLAAHVQPITCSVLGVELTITPDFSWDDRVHGCVEPFWVIVEDNDGENILHHEYFMLKKQYIDENHTLNFIVPIYEPLPPQYFIRVVSDRWLGSQTVLPVSFRHIILPEKYPPPTELLDLQPLPVTALQSPSYETLYQDFKHFNPIQTQVFTALYNSDDNALVAAPTGSGKTICAEFAILRNHKKGSDSVMRVVYAAPIEALAKERYRDWEKKFGGGLKLAVVELTGETTTDLKLLEKGQIIISTPEKWDALSRRWKQRKHVQQVSLFIIDELHLIGGQRGSVLEVIVSRMKYIANQVENKIRFVALSTSVANAKDLGDWIGATSHGLFNFSPVVRPVPLEIHIQSVDIANFKARMQAMTKRTYTAIAQHAKNGKPALVFVPTRKHVRLTTMDLITYSSADSGEKSFLLQSTKELEPFLNKISDEMLKVTLREGVGYLHEGLSSLDHDIVAQLFEAGWIQVCVLSSSMCYRVTLLAHLVVVMGTQYYDGENAQTDYPVTDLLQMMGHASRPLVDHSGKCVILCHAPSKEYYKKFLFEAFPVESHLHHFLHDNMNAEIVAGIIENKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDYLSELVENTLSDLEASKCVSIEDDIHLSPLNLGMISSYYNISYTTIERFSSSITSNTKMKGLLQILSSASEYAYLPIRPGEEEVVRRLINHLRFSFESPKVKDPHVKANALLQAHFSRQSVGGNLALDQREVLLSANKLLQALVDVISSNGWLSLALLAMEVSQMVTQGLWGRDSMLLQLPHFTKDLAKKCEENPGKSIETIFDLLKMEDVARRELLNMPNSYLFDIARFCNRFPNVDLSYEVLQNDSVRTGEGITIRVTLERDIDGKTEIGPVDAPRYPKAKEEGWWLVVGDTKTNSLLAIKRVSLQRKLKAKLQFAAPGDAGKKSYILYFMCDSYMGCDQEYSFTVDVKEADGGDKDSSKE >RHN62010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40035742:40037311:1 gene:gene24530 transcript:rna24530 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNMWNVLSESKSIVNSQPHHYLTLSLIFLLPLSFLSLLFQFISKNFQQQLSTNPTTIISLYLLFIILSCIIAFGAVITITYSVFHACFNRPVKLKEALKSIITSFFPLLATATVAFVVFFFIFFLFGLLIGLVLFLITYLGHVDLKTNPNLVALCFMVLFMIVLLPLVTYLLVNLSFMKIIVVVESSWGFEPLRRSWKLVKGMKRLVLSTILLFGFLEVILLKISCYSLVLVLVISPILAILMLYNIVVNTVLYIHCKEKHGEVADVEFGNEKDGANLSLIPV >RHN49579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55773594:55775492:-1 gene:gene44425 transcript:rna44425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MVIFIYTPILLKIAILLFSIYAIHMIFETGLIHESTKLWRIIEDWFHVYQVFHVPELNDNMQHNTLYRKLSLYFHSLPSLQNSQLNNLVTSNTNQNDVVLTLAPNQTIHDHFLGATVSWFNQTQPNRTFILRIRKFDKQRILRAYIQHIHAVVDEIEKQGNRDLRFYMNASDFGPWRFVPFTHPSTFETITMETDLKNRVKSDLESFLKGKQYYHRLGRLWKRSFLLYGSSGTGKSSFIAAMANFLSYDVYYIDLSRISTDSDLKSILLQTAPKSIIVVEDLDRYLTEKSSTTVTSSGILNFMDGIWSGEERVMVFTMNSKENVDPNLLRPGRVDVHIHFPLCDFSSFKTLASNYLGVKDHKLFPQVQEIFENGASLSPAEIGELMIANRNSPSRAIKTVITALKTDGDGRGCGFIERRIGNEGDGVDEGARDTRKLYGFFRLKGPRKSSSSPNSVLVASPLRICEEE >RHN42547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39218220:39222550:1 gene:gene48999 transcript:rna48999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MNSAPQSSSAAAAGGSGGGPAPFLQKTYDMVDDSTTDEIVSWSSDNKSFIVWNPPEFSRVLLPTYFKHNNFSSFIRQLNTYGFRKADPERWEFANEEFIKDQKHLLKNIHRRKPIHSHSHPPGSAVDPERAALEQEIEKLSREKNALQTKLLSYNYLDTEKLQLEDFQRRLDGMEKRQTNLQNFFEKALQDSFIVELLSRKIESMDLAAYNKKRRLPQVDQVQPVAEGSLVDNPSNFRLEFGNVFPHDISNKLRLELSPAVSDMNLISGSTQGSNEDEESLQKNLSEGELTGMQTRTGLAFTPETLDLADTGASFTFNMDSCLSQRATTTECPNLHSLEPSTEEGDSHISCQLNLTLASCTLEFNRNSYSARSPQINCQEIGNLAESRVNADGKESEIGVSSNRNVANEAINLAPPKEASGNVQVKAAARHGVNDVFWENFLTERPGCSDNEEAISNYRAIPNSEQEEGRSVHGISSNIKNMDNLTL >RHN76374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49734310:49736349:-1 gene:gene12688 transcript:rna12688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MANSNNEQIMSSSENTSQFMEFGDIESDMKVGFGFAIQGMEDIGKIDFKKLNASDVMKFHFPNIAVAYAFYNWYARMNGFSARRRKVRRNKNNEIIQQIFVCYRQGFREKKLENNKIRKREARADTRCGCDAKCSVHIDSGSRCWYVWDFNNDHNHSLVDDDFIAIQRFFLQTNNLMPSTVTPETKIKHRLWNYTKFKELTRSVINQTLNG >RHN39384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6673066:6674529:1 gene:gene45402 transcript:rna45402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MNNQVDNHGGSTETLTNKKQEKGWRRVFKLAVRWLSYKNKNDWLEKMRGNFSVVAIFIATITFQMGLNPPGGVRPAEYGKDKMDDDILGAENSTSTLRAGEAAMAVVSPENYSKFLYSNTICFIASLSVLLLLTSGIRLSHRFTMWLVSIGMCFTLTSLLVTYDIAVGMITPDILWDDTLDFLSTLLYIWMGLFSFSGLLLTLRIIIWGISDFLNKREGKKANRTPTKTPTP >RHN43297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44767811:44768362:-1 gene:gene49845 transcript:rna49845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PRELI/MSF1 domain-containing protein MVKSYKQEHVYNHPWERVTSASWQKFTDAENKRVLPHILDCNTLNTSLDSSSGKLYATRAITVRCPWLVRRIIGEDICHCVESTVVDAKSRSMQICYRKISMEKFIEVEEKTRYDPHPDNPNGWTVCQQETRIRIKPLSALASMAEKVEQRCADRFLQNSAKSRDVMERICKYLEAESSSFSL >RHN56530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31686745:31691616:1 gene:gene31943 transcript:rna31943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MAFNIVFLLCLFSQIITTTVTLKTFSEPISPNILDVTSLNRSSFPTNFIFGASNSAYQYEGSAKEGGKGTSIWDTFTHKYPEKIIDRSNGDVSIDGYHRYKEDVGIMKYMNLDAYRLSISWSRILPSIEVFVTLFHWDLPQALEDEYGGFLSPRIVNDFRDYAELCFKEFGDRVKYWITINEPSTYCTGGYVVAIFPPGRCSDWQNLNCTGGDSGTEPYLVAHHLLLAHAAAVQVYKTKYQVPLLLKSQTTSQKGWIGIALQSYWFVPFSNSKSDERAAERAIDFMLGWFMTPLTTGDYPQHMRSLVGQRLPKFSEEQTRLLNGSFDFIGLNHYTSRYAANAPNLNTTIPCYLTDSLANLTTERNGIPIGPQAASDWFYSYPIGFKKLLVYIKEKYKNPLIYVTENGIDEKNDPTLPLEEALKDIDRIHYYQDHLSYLQSAIRIGVNVKGYFAWSLLDNFEWGEGYTVRFGMNFVDYNNDLKRYQKLSAQWFKNFLKRL >RHN72664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9549553:9550728:-1 gene:gene8409 transcript:rna8409 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDSHPPVVLVVVVVCGCCHGVGWRYFISHIRFSRRFSFSFRPSLDIGTFMNSFLHFDLVLVGVCYWFAPEVCSYSGVGGSLLTPAVLWHLFELQWVVLVDSVAPAVLAFSPFCLRCLVVVELLCVVLCWFWRSLSFLDVTRKGLVCKRFVFAVAVINIPSNSLWGMIVVVVLFVLFGSCVFVPCFFLGEGLVLVSRWFLVIVPSSLSSKLLCAFPTSPSSSVFSRQCSLGLQRVVQLWWILYLDGWRFSPPRRKTVQWGPEGGAKTMFVAVLVVVDFVACFWFVFVRAGSICAIFSSFLSYEFGI >RHN46799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34975129:34977325:1 gene:gene41329 transcript:rna41329 gene_biotype:protein_coding transcript_biotype:protein_coding MKEISSDVILKRNRKQASFPPPEEIFVIEDDDRNLTHIPQEETSTLSKDKEESNSIPTFDLLNEMFEEDECATEVQEDKFKIITEKTVFDHIREKAKNFSLLSSFENIRCPPVEVLLGRNHACEKWSNHGHEKTSYHGHEVVVLDDDDNKVPGQTDVNFQADLRKAEQEDINLSLTLNDHNIAVNSDNISSVVDTGIFFPEPKARSYENATEETVFDHIKRKSEDFPQISKLDCLETAIKKTEFFSKNHPNSLKAALGMVAETNFSDMVTGSMLTSDMKTADVENYSSGFQVGTSVNHKKSEDSCCTDGVVNISKGVSSTNSVQSSNFVPFIGFPMSKEKNWSSDSGSFEQRSKKQRCSPIESKEEKLNSCEIRRQCCSLETTGQMNQMEPSLGFKSVFSFL >RHN40519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17101188:17101706:1 gene:gene46678 transcript:rna46678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNDQSLLNLFKNWKFLEEAIILNCQQITNAGIASALLERQTLRSLSFTSYFESDNCSKLFALVKHFPSLTVIRMNTCVGGMGENNVENSNSSMNFVVNPQFKSLHLPYNSWLRDESLIKLDTIFPNLQLLDLRDCNKISEKGICQVLRGCSNIRHLNLGRCSRVKLHRSNEL >RHN71652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1682863:1686536:1 gene:gene7273 transcript:rna7273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acylglycerol lipase MLSKFSKCVSFAASRDWLYRHSFTVAGLRSVVTDLGDGTTMHCWVPKLHNPCKPSLVLVHGFGANAMWQYGEHLHHFIRQFNLYVPDLLFFGGSFTSRPERTESFQALCLKKLMEAHGVNRLSLVGISYGGFVGYSLAAQFPEVVEKLALCCAGVCLEEIDMKNGLFRVSSLEEACSILLPQTPDRLRELMRLSFVRPARAVPSWFLEDFIRVMCTDHIEQKRELLEAILKGRQFSNLPKIKQKPTLIMWGEQDQIFPLELGARLKRHIGENAQMVVIKNAGHALNIEKSKEFARHLKSFLIDAGSRPSSPPTLKEQIQKTFWFDFSKS >RHN73894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21262430:21264042:1 gene:gene9785 transcript:rna9785 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSKVQERVRGSQLSLMMFSVAYRTHNTTRGYGFGGTRMNDGCVYYLVW >RHN65642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4022758:4024262:1 gene:gene13506 transcript:rna13506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MPRSRSIQNERPFVCSLEDCKASYRRKDHLNRHLLQHQGKTFKCPIENCKSGFSLQSNLKRHVEEMHDENSTPPCSGENQKQFVCPEIGCGKVFRYASQLQKHEDSHVRLETIEVVCLEPGCMKFFTNSECLKAHVKSCHQYVTCDTCGTKQLKKNMKRHLRTHEASNSSEAFKCEFEGCDSTFSTKSNLHKHEKAVHFQVKPFVCGFPNCGMRFAYKHVRDNHEKTAKHAFALGDFEEADEEFRSRPRGGVKRKCPPTVEMLVRKRVIPPSQLENLLFVQDCQ >RHN44401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4254352:4263358:-1 gene:gene38519 transcript:rna38519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MDQRKNIFVHVVIFLAMVLLRGSNVEANGEEEKDRIFKLPGQPKVLFKQFSGYVTVNHVAGRALFYWLTEAEQNPLNKPLVIWLNGGPGCSSVAYGASEEIGPFRLNKTASGLYINKFAWNTVANLLFLEAPAGVGFSYSNRSSDLLDTGDRRTAKDSLEFVIQWLERFPRYKNRELYITGESYAGHYVPQLAKEIMTYNIKAKQRINLKGIMVGNAVTDNYYDNLGTVTYWWSHAMISDQTYKQLISTCDFHHQKESNECESLYSYAMDQEFGNIDQYNIYAPPCNNSDGSSSGTRHTLRLPHLPHVAFRQMAGYDPCTEKYAEVYYNRPDVQKALHANTTGIPYKWTACSEVLNRNWNDTDVSVLPLYRELIAHGVRVWVFSGDVDSVVPVTATRYALAQLKLATKIPWYPWYVKKQVGGWTEVYEGLTFATVRGAGHEVPLFKPRAALQLFKSFLAGKPLPKVLK >RHN45807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25807884:25809462:-1 gene:gene40215 transcript:rna40215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSLQLGLSIPYKEEDDIISDLPDSVLHHILSFLTIKDTCITSLLSKRWKLISLLQHIFYLDEKHSRDSLPFIAARDKNLPFLLVHLKWCPNNEIVYAAIQRRLYNPTIHPSHSDCHISKSSAFLLTSKTLAFLKLKRITINQVSNVDLPSLKVLHMESITFADGEYLTKLLSGSPNLQELETKDLVVKKGCSLVWNDTNLSKLVRANISGRHIMFKQLHNVEHLRLHVTCPYPLHLMFDNLTHLELTLDIDHEWLGVGSFKWTWLNILLSHVPKLQTLIIDEVDTVNNFEDGSWKELHTVPACLLSHLTTCSLRNYCRLNCEIQFAKYILKNSTVLNTMTIQIAESVDTNTKLQTIKELSLCQRNSTACQLLFI >RHN59516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11602519:11605803:-1 gene:gene21557 transcript:rna21557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MAWFLLFLHLFLFHFPLFSSSFNFSCHHDESFALLQFESSFTLLSSTSFDYCTGNEPSTTTWKNGTDCCSWNGVTCDTISGRVIGLNLGCEGLQGILHPNSTLFHLVHLQTLNLVYNNFSGSRFHSKFGGFQSLTHLYLSYSNIYGEIPTQISYLSKLQSLYLSGNELVLKEITLNRLLQNATDLQELFLYRTNMSSIRPNSFPLLFNQSSSLVILSLKATELSGNLKNNFLCLPSIQELYMSDNPNFEGQLPELSCSISLRILDLSVCQFQGKIPISFSNLAHLTSLILSSNRLNGSIPSSLLTLPRLTFLDLGYNQLSGRIPNAFQMSNKFQKLDLSHNKIEGVVPTSISNLQQLIHLDLGWNSFSDQIPSSLSNLQQLIHLDLGSNSFSGQILSSFSNLQQLIHLDLGWNSFSGQIPFSLSNLQQLIHLDISSNAFSGPIPDVFGGMTKLQELDLDYNKLEGQIPSSLFNLTQLVALGCSNNKLDGPLPNKITGFQKLTNLRLNDNLINGTIPSSLLSYSLDTLVLSNNRLQGNIPECIFSLTKLDELDLSSNNLSGVVNFKLFSKFADLEILSLSRNSQLSLKFESNVTYSFTNLQILKLSSVNLIEFHNLQGEFPSLSHLDLSKNKLNGRMPNWFLGNIYWQSVDLSHNLFTSIDQFINLNASEISVLDLSFNLLNGEIPLAVCDISSLEFLNLGNNNLTGVIPQCLAESPFLYVLNLQMNKFHGTLPSNFSKESRIVSLNLYGNQLEGHFPKSLSRCKKLAFLNLGSNRIEDSFPDWLQTLPDLKVLVLRDNKLHGPIENLKIEHLFPSLIIFDISGNSFSGFLPKAYLKNYEAMKNVTQLIGDSNLQYMDKPFDMSYTEYSDSVTVEIKGNKMTLVKIPIKLVSIDLSRNKFEGEITNAIGELHALKGLNLSRNRLTGHIPNSIGNLAYLESLDLSSNMLTSVIPAELTNLGFLEVLDISNNHLVGEIPQGKQFNTFTNDSYEGNSGLCGLPLSKKCGPEQHSPPSANNSSSWNEEKFGFGWKAVAIGYACGFVIGISIGYYMFLIGKPRWLVMIFGGQPKRRVTRRTRVRSAHGSNMNQNQMVQMS >RHN78624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16847023:16851097:-1 gene:gene2251 transcript:rna2251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MIIPSHLLRVIQSKRMEDLERIKELKDFDETKLGVKGLVDAGITKIPHIFYHLPDKIKKASESGDTTTIPVIDLANILEDPCACKRVVESVRDASETFGFFQIVNHGIPVSTLNEMKDGVVRFFEQDSEVKKKYYTRERKPFVYNSNYNLLHTSDPITWKDTFLCNLAPNPPKPEDLPAICRNILLEYLNHVMKVGTLLFELLSEALGLNPTYLIDIGCAEGLSAFGHYYPSCPEPELTLGTVKHVDIDFITVLLQDHIGGLQVLHKDMWVDVPTIPEALVVNIGDFLQFISNDKFKSAQHRVLSNLVGPRVSIACFFSTRHHPTTRIYGPIKELLSEDNPAKYRETSISDLHVHCTQKCSSGTSPLPHIRI >RHN47606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40956507:40957958:1 gene:gene42226 transcript:rna42226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MFSDYTKFDNAPRSGPKAFVSEYAVWKEDAGAGSLYAAVAEAAFLIGLEKNSDIVSMVAYAPLFLNTNDRKWIPDAIVFNSYQNYGTPSYWLQQLFIESSGATLLNSTLQNSSSSIVASAIEYKNSQDGKNYLRVKVMVVNFGNATENFMISINGLNSIVQSSNSSMVVLTSSNRMDENSFSEPNKIVPQRTALGNASNDMDVRLPPYSVTSFDLSI >RHN76988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2967986:2969764:-1 gene:gene390 transcript:rna390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M48 MMMLLRKQHSLANSIATITALSSLTTLNPSTPRFVSPLLLLFLFVVAVLVVLIVVVAFSNRSGTTRNVEINNKFHNPFVIGGPKRFYSLFETVPYTKRTRFICLATTFERNVTKGFEEYKQRFEGRTMPPTHQESVRLTKILNNIIDALLRERNKMSHESECTISHLDGLNWEVLVVFLTNMSVGCFPNGKIVLSWDLIRHFPSDAEKATIIAHEVARVVARHFVEQVTKNLCDLVWLFSVLHLLCSNGPFCHSMFEFEADYIGLLLMAAAGYDPRVAPKVYEELGKLSGHNNDFMFTGFLSTHSSGRQRAKALAQPKIMEEALILYNDARARSEVN >RHN42331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37436406:37438371:-1 gene:gene48761 transcript:rna48761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MLKNQTQNFISRIYTRPSYFLYTTPPWKRINHYSSPSTHWLSHWKPIIVPPDNQEPTHSSSKFKNNKKISDPRATHARVIKSLTTDIALFNNLLTQYFNSKLPRYALSLFIQIPSPNVVTWTSLITAHCYTIHSLHHFLSMLRNSILPVHRTVAMLFTTCGSLQTLSFGLSLHSLSLKLSLCNHPFTVSSLVSFYSKCKLPNDAFKVFDESPDRDNVSYSAIVNAFAHNFCFSDALLMFGKMRCDGFDSTVHSVSGSLRAAAKLAALEQCRIIHAHAVVIGMDSNVVVSTALVDGYGKTGVVDDARRVFEDNLDWMNLVGWNAMMAGYAQQGDFQSVLKLFDSMEGRGFVPDEYSFLAILTSLYNAGMFMHIDVWMNRMKVNYGLKPTLEHYTCLVSGMARDGKLESAERIALTMPFQPDAAVWRALLSACAYHGAVDKAQVMARRVLELEPNDDSAFVIVANMLSAAGRWNDVAELRKMMKDKKVKKKGGRSWIEVQGKVHVFMAGDWRHERSVDIYQKLAELMEDIEKLGYVPIWDESLHNVGEEKRKEALWYHSEKLAVAFGLLCGSVPPGKPLRVVKNLRICKDCHEVFKYMTRVLEREIIVRDANRYHRFLNGVCTCRDIW >RHN44433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4689472:4689876:1 gene:gene38553 transcript:rna38553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MDLRGSPPYMVVFTLSFMLIISHTMGESAQEKQKCAEQLTDLTTCLPYLGGSANSPTSDCCSGLIQSTKNNKKCICIIIKDRDDPDLGLKINITLALGLPSLCNTPDNFSQCSCEFFQSHLFVWIISFFIKEFK >RHN43183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43840866:43842296:1 gene:gene49721 transcript:rna49721 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MVRSGFLLIVSMLILSTSLSSSYMFNVGGRNGWGVRRSPEHYNAWSSRTRFQINDTLRFKYNKGSDSVLVVNNQNYDSCDTKNLIYKMDDGESTFSLNKTGPFYFISGVNCQNGEKFKVVVISPHHNHEHQGPSSSPMVAPVYSPAPSPSWNSPTYSPAQPPAWNAPSPSFAGWTAPAQSPSWNAPSPSETAPVRSPSQSPTWNAPSPSEAAPVHSPTNSPPVNAPSPSEVAPVQFAKNSPVVNAPEPSATKENAPSPSATEKTDSPPSAQSETPPPSTNNDSPAPPPNQSDSTRLSGYVNGGLVVALVLGSFTF >RHN76372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49719534:49726448:-1 gene:gene12685 transcript:rna12685 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSYYYHPFPTSKAFPIWQPLSQQQHSFPYYGRHRQQLLSSPLLRQHRLPCSRLQEIVSVASESQEQVRQSENPNNKKNSKDDDDDDDEEVGISKIQVPRQKHIPVSKSQLLDAILSNMLHQDTAHHFRLLTSCLDSILHAEHKSILEEMRSDYHLTNAVQTQEDEDPLVPETRVVANGNNSNYANDDILQTGNGNGKVYQDIQLQPQKSMLSEYALSLGSLLRSLDITPNNDSDTGSRVTIATRFQRAFMQLLSNAQFEELSARDLTLTSALNTDYLLTLPIYVDWEKAYESNAIIFRRGYATEKQNGLLIVEKLDYLQSRLLQTIFFVISKPLAKLGSKISEVSGIISQKHEVRNWTERLKLWLKKLSVFQKSLIYTDNDNELDEQIDENQVPNAELPIWLAAQRAVARYEGILSPVGPRERLLRRLLSWIGLIPPTPEPPFQVENDGDSPEPYLRPTFLSRISLSDTWRPATRKYCRNDPWKMLKTSISILFSRSVLQEPAFEELILLYTKEVEINGKDKAEVPSLQLKIYEKIPFPDLPVVFPHKKLSFRIIDTVRLDVASILGLLAYFINYKFENLSDSPSAILLDVVAISALIIFGSRVVLGYKQTWDRYQLLVNKTLYEKTLASGFGSVHFLLDASEQQQVRNFRSLCFPCIYISSFHL >RHN51553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19741999:19749210:1 gene:gene36011 transcript:rna36011 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIFSFASIQHFKENMSLHEVEIDDEHCCGNHFWVLALLCWVFMFFAAISSALALGLLSFSQVDLEVLVKAGQPHIQKNAAKIMSIVKNEHLVLCTLLMAKSLALEGVSVLMEKMFPEWVAVLLATALISIIAEVIPQALNSRYGLRFGATMSPFVRVLLLLFFPFAYPVSKLLDCLLGKGHTALLGREELKTLVNLHANEAGKGGELTLHETTIIAGALDLTMKTAKDAMTPLSETFSLDINSKLDMHTMGMIMSKGHSRIPIFSGKQTNIIGLILVKNLMFCRPEDETPIKFMTIRRVPRVGENWPLYDILNQFKKGQSHMAVVLKSKENIRTAATNTEGFGPFLPHDYISISTEASNWQSEGSEYYSATLKNAMLQESKDSDPLHRSKQHDTSISLENMESLLGEEEVVGIITLEDVMEELLQEDILDETDQYIDVHQNITIKLQHPRRGSTGSSKRASTSHQQRRSLDASRIRFSTPTTISPNFPSN >RHN78290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13724085:13724887:-1 gene:gene1826 transcript:rna1826 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTQCKKLQYSHRTSFLPWLKQTDNVACVWLNMHEKLESHVHEFSNCCKRRLMIPHGSAVGGFASFHGTRGIGFCHRFIFEFRNHHQRSFDQFLATT >RHN47699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41696928:41703152:-1 gene:gene42325 transcript:rna42325 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISPEIETKMPMEAVPPVSADVSFISNSFPKYKLGADHQVFQETAEDNQGPSLKEVIEQEASNLSEQNNRISVRDLASKFDKNLSAAAKLSNEAKLREVPSLEGHVLLKKLRDALEYLKGRFTGRNKEDVANAISMVEALAVKLTQNEGELIQEKFEVKKLLNFLKQASEDAKKLVNQEKSFACAEIESARAVVLRIGEALEEQEKVTEASKPQDVDGLVEEVQEARRIRLLHQPSKVMAMEYELRALRDQIQEKSVFSIQLQKELTMSKWDKENKSHSYKLDGSEALGSYMQVKPCTSEVPQVSKCSFQWYRLSSEGSWREVISGANKSIYAPDPLDVGRMLQVDIVSDGKKLTLTTNPIQTVPGLGSQVEALLRKSNTDFNVVISQMNGKDHSSHSTHSFNVGRMRIKLCRGWITKAREIYSPTMQLCGVRSDVSNAAKTLFWQARKGLSFVLTFESEKDRNVAIMIARKHALDCNVVLAGPDDLV >RHN45444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20913103:20913942:-1 gene:gene39785 transcript:rna39785 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNAHTYPKIVLLLEHGCVHVAFNKLLIWWLPNCYLLLLILHRFSRSFPNFLPLEKLLARKLYRYVDWF >RHN72498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8087880:8089165:-1 gene:gene8217 transcript:rna8217 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCTSSSMEWAGEDWGSLTSNHKSRMNSSKVFDEVHGLSLGNIEKEKLLGALRASSDANGKVKIKISKKELAVLLGESEKQGVGSTKHASAEHVLVGLLNARDHVNHDVHHRLWKPVLQSIPELN >RHN79680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31178498:31180288:1 gene:gene3503 transcript:rna3503 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSTITTNTTATCRGFVGSCRCVIGAPATSSRTACCVSPCCRSATTRSTTTTRTISPPLRESMNLKTCAFVVSFSVAVSTEFVRIVHKFRFTSCIGSNFKSHDIITVLLN >RHN53454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1743617:1749903:1 gene:gene28363 transcript:rna28363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSLSVSSPGLLTLTPIFTPTPPSSLSISKTHSIKITVSSSLSSSTHQDTSNSSPQQLNNNNPTNNDSNKTVTVVKFTYNRASPSIRWPNSKLTDMYPSTDTLLPQNDVFAKKTRTLDTPDETHKGEEQQEDEEETREIVRNRGSKLKVKRMNKLALKKEMNWRERVKFLTDRILSLKCDEFVGHVLEEHRVLFTPTDFCFVVKSVGQSSWQRALELYECLTMQQWYATNARMVATILSVLGKANQEGIAVEIFAKAESVIADTVQVYNAMMGVYARNGNFEKVNEMFNLMRERGCEPDIVSFNTLINAKVKSCATVSGLAIELLDEVGKFGLRPDIITYNTLISACSRESNLKEAIGVFSHMESNRCQPDLWTYNAMISVYGRCGFALKAEHLFEKLKSNGFSPDAVTYNSLLYAFSKEGNTEKVRDISEEMVKMGFGKDEMTYNTIIHMYGKHGRHDEALRLYRDMKSSGRNPDAVTYTVLIDLLGKASKIEEASKVMSEMLDAGVKPTLHTYSALICAYAKVGRRVEAEETFNRMRESGIKADHLAYSVMLDFFLRFNEIKKAAALYQEMIEAGFTPDTGLYEVMLPALVRENMGDVIERVVQDTKELGSMNPHDISSVLVKGGCYDHGAKMLKVAISNGYELDREIFLSIMSSYSSSARYSEACELVEFFREHAPDDIQMITEALIIILCKAGKLDAALEEYRSRGGLGTFRSCTMYESLIHECTKSEQFDIASQLFSDMRFNGVEPSECLYQSMVSVYCRIGFPETAQHLLYHAEKNDIILDNVTVHIIDIIETYGKLKMWQSAESIVENLRQRCSKMDRKVWNALIHAYAFSGCYERARAIFNTMMREGPSPTVESVNGLLQALIVDGRLSELYVVIQELQDMDLKISKSSILLMLEAFAQAGNLFEVQKVYNGMKAAGYFPTMHLYRLMIGLLCRFKRVRDVRVMLSEMGEAGFKPDLQIFNSVLKLYSSIEEFQNMGVIYQMIQDAGLAPDEETYNTLITMYCRDHRPEEGLSLMHKMKSLGLEPKRDTYRSMIAAFSKQQLYDQAEELFEELRSNGYKLDRSFYHLMMKMYRTSGDHQKAENLLEIMKEAGIEPNTATMHLLMVSYGKSGQPEEADRILKNLRTMGAVLDTLPYSSVIDAYLKKGDAKAGIEKLTEMKEAAIEPDHRIWTCFIRAASLSGEVNDANNLLNALQAVGFDLPIRLLGEKSESLVSEVDQCLGRLEHVEDNAAFNFVNALVDLLWAFELRATASWVFQLAIKRSIYRHDIFRVAQKDWGADFRKLSAGSALVGLTLWLDHMQDASLQGYPESPKSVVLITGTAEYNMVSLDSTLKAFLWEMGSPFLPCKTRHGVLVAKAHSLRMWLKDSSFCLDLELKDSPNLPKLNSMQLINGCFIRRGLVPAFNEITEKLKVVSPKKFSRLALLPDDKRSKVMQADVDGRKEKLEKLKKSDPRLLRKIKKIRKKKFIREAMLYQGNAIGIQRTFKPIAANQSIESNRNDNE >RHN72547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8538457:8538744:-1 gene:gene8273 transcript:rna8273 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLTIFHLTMTCCPCIETLFECHFANEIHSVPQLMATDWHVVVEHALRESNACADVLAKIEAWSKSPFVKISKPPIDLSSLLLDDAWGVGFVRN >RHN66749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18250578:18251339:-1 gene:gene14825 transcript:rna14825 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFPLRQYLILEMGEMLDKYIYIYKRVNIVRLEREILTGKYQLSFFWVRRELERIPIKMDQLTFYRFKSLEDDYWHLYLTLKLEDELILISLANLLNQYVFTQNVFLEQSFPGQTDTLFVAEIRSWSNLRLLFVIDLSIYSHILCIKRLLDKIKPLIEDQFILNLIENFLHSPVLNKAGKNILKGGIPPVRFLHSILLNFFLDDIDRHFLSKFPGATFARFSHQLLIPIGTEKSRNIRNYFFFLVFPACHRV >RHN82731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55941058:55941441:-1 gene:gene6915 transcript:rna6915 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSFVSAKATSTRSIESSWDSSSKFFCFFRSEVKCLGSHGLKSSFKIYEK >RHN42972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42275198:42281650:-1 gene:gene49485 transcript:rna49485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial inner membrane protein Mitofilin MFRRSILQFSSRRQSFRTNPRYFSNQKIPSHLSSQKKFSNASKPAGASASDSTGKPPESHGSKSKFFLIGGAGVSAVLLAAYQFGFLDKYVEKEKLSVPQEAQIDGTVGDLESGQHSIEELVSPTSEKSNNENPAVEHAEQKADAHLSQPEIVIEDSSDKPIPVQDTSDIAEDHNAGAKENQFPENPQSSLTSDNLSKESVVQSDGTVGIKSTEADVTLEPEEAIQHTSPSKQDNTFLDENGTENIQPKQQEIEERRENVLVKDIEQPPTLLEEYHIRNKSEGTTPIGLTENSHFPEEKEAFSGATEDLKDGYVAKDGKVALDFVQAIHAAEKRQAGIDAHAFNEEKKALKEKYEKKLKDAAAKELMLAEETAMLDRELKRERAKAALAIKSLQEKMDEKLKIELEQKKIEAEENLKKNQELAQAELNAAIAKEKAAQLEKMSEANININALCMAFYARSEEARQSHAAQSFALRALALEDALSKGLPIQTEIESLQSYLEGTDKDSVLDLVLVSLPEETRNIGTDTHLQLKQKFDIIKSNVRHFVFFPPGGGGMLAHSLARVASFLKVREADQSGDGIESVINKVENYLAEGKLAEAADCLEESVRDTQAAEIVAVWVKQARNRAISEQAVVFLQSYANSISLT >RHN49425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54734063:54735641:1 gene:gene44253 transcript:rna44253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Dilute domain-containing protein MLIRLEEKINNLESENQVLRQQAVSMAPNKFLSGRSRSIIQRVDSGHIGVEGKPPHLVTKIILDMHSPSMNHRESTEVEDKPQRSLNEKQQENQELLIRCIAQHLGFAGNRPIAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQENNDILAYWLSNASTLLLLLQRTLKASGAAGMAPQRRRSSSATLFGRMTQSFRGAPAGVNISLINGSMNGGGDTLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSSRSVANTEAQKALIAHWQGIVKSLGNFLNTLKANNCF >RHN60322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25213267:25214057:1 gene:gene22621 transcript:rna22621 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLANNKIIAQDDHENYNDEQAKVEKKMEMSSSKKFEARPRKERCMKKNKKVSFEIQNDEEVDRGRSDGNNCRSVRIRVVMTQEELKKMLSSSKDENDDNTTLEQLLGVMKLRGGKICKRDLGVCSWRPALESIPEDRLIK >RHN46858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35359421:35364901:-1 gene:gene41393 transcript:rna41393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxisomal biogenesis factor 11 MSTLDATRAELGLLVLYLNKAEARDKICRAIQYGSKFLSNGEPGKAQNVDKTTSLARKVFRLFKFVNDLHALISPSPQGTPLPLILLGKSKNALLSTFLFLDQFVWLGRTGVIDNKERTELVGRISLYCWLGSSICTSLVELGELGRLSGSMKKIEREIKNSNKYDNEQYQAKLKKSNERTLSLIKAGIDTVVAVGLLQLAPEKVTPRVTGAFGFVSSLISCYQLLPPASAKSKTS >RHN47517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40264230:40269562:-1 gene:gene42129 transcript:rna42129 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKLKYLSSIAEDVVARCAQKLEISVEKLVEDFEQGWTPDAGNYCKNLVEFGSGKALTQMCRNIEEEINNGSFSRLSYDIMLAWERPTYYDDDEHMEAEAKEKEEKKLTAKTTQEQDDIPLFYSDIMPLLVTNEPTVGEDAFVWLGSLVPLVADVANGRFTFESLTAPTGLRLHFPAYDMFLKEMDKCIRHLQKQATPSGVELEEDEYILHVEGTASSQRVVRHIGTTSWPGRLTLTNYSLYFEASGVIKYDDAVKIDLSKDVEQTVKPTATGPWGAQLFDKAIVIESTDLSEGFVLEFPELTSSTRRDHWLALIREIMFLHQFLSKYNINCPIQTWEMHARTMLGIIRLHAAREMLRISPPVPTKFLIFSLYNEIPKGDYVLEELPDSLRKVDCGQPCSASSILKSMNLAGPVVSNSMVEEVSQVDKSVDVKDDSPSLESAIKQSREEEKKFLVAKATTEELKEEGVTDSVLVLTELLTPLKNVVPWLQEIFKWEKPMVTIAVLAASLIITYMEWVGKTAAAFLVWVIIKMLKAREKRLNEKCNEIVINRSNMASDKSTMDSIVSAQHGLYTVHEMMQIANIAMLKIWSILISKADKHANAVMVAMGGLAFLLAVIPFKFFLMGLIVQSFTMTLKTGKSSGTGNRRLKEWWDSIPIVPIRVVDNVPNTQHAE >RHN62060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40387663:40395504:-1 gene:gene24590 transcript:rna24590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannose-6-phosphate receptor binding domain, glucosidase 2 subunit beta MKLQLRIFLIPLLLVFLAPLSSSSKPKDPFLGIAPQDEKYYKSSDVIRCKDGSANFNKDQLNDDFCDCPDGTDEPGTSACPRGKFYCRNAGHSPLYLFSSRVNDGICDCCDGSDEYDGKAKCSNTCWEAGKAAREKLRKKIATYQEGVKVRKQAIEHAHLALEKDEAELSKLKKEESILKGVVKQLKDHKEQIDKAEEKERLQKEKEEKQKKEAEEKANEKQVKTNEEDTGIENEAEKHSDIEDNFAASIHEKIEVKEDSPVDQDEAGEKLADTLENFDKATDTSESEGSLFDKVEENAKEAEREPTVESETDLTTGKTESSDEAIDTGKEASENTDGLSKEELGRLVASRWTGEDVGKKSVEANTALDNEDQEDILHGTNNEENEGYASETDDDTSKYDDDTGKYDDDTGKYDEDINDEEFQEDEHEDLSSSYKSDVESEPDLSDVSTTDDPSWLEKIQKSVWNIIQVVNIFQTPVNQSDAARIRKEYDESSAKLSKIQSRISSLTQKQKLDFGPAKEFYSFYDRCFESKQNKYIYKVCPYKQASQEEGHSTTRLGRWDKFEDSYKVMVFSNGDHCWNGPDRSLKVKLKCGLTNEITDVDEPSRCEYVALLATPALCQEEKLKELQHKLDLLNSEQPESRDEL >RHN61389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35362415:35363653:-1 gene:gene23853 transcript:rna23853 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAKENGNEEVWKTRLMDKVDCIGTELMDDTSASLTERLDGWMNLMNAY >RHN56820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33987484:33992130:-1 gene:gene32276 transcript:rna32276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 15-cis-phytoene synthase MSVTLLRVASSPSLEVSCSNGLLDSFGVVKLVDSSKFLSRDFVSIRAKKNDKKKTRRFCSLSTDIKYACVGQPGLESASNFPLLSNVLANPTAGEVTVSSEQKVYDVVLKQASLVKRKLSSTGELEVKPEIVLPGNLSLLSEAYDRCGEICAEYAKTFYLGTLLMTPERRRAIWAIYVWCRRTDELVDGPNASHITATAMDRWESRLDELFQGRPFDMLDAALSDTVNRFPVDIQPFKDMIEGMRMDLKKSRYKSFDELYLYCYYVAGTVGLMSVPVMGISTHSQATTESVYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELTLAGLSDDDIFAGKVTDKWRNFMKSQIKRARTFFDEAEKGVTELNEQSRWPVWASLLLYRQILDEIEANDYNNFTKRAYVSKTKKLLSLPLAYARSMVPPSKKLSHV >RHN40421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16086841:16094661:1 gene:gene46569 transcript:rna46569 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFWFPKTCKTSCLARACHSGYLPNNTIVQTSKLEVVSCPAM >RHN48397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47215292:47220124:-1 gene:gene43109 transcript:rna43109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MPRYDDKYGNTRLYVGRLSSRTRSRDLERVFSRYGSVRDVDMKHDYAFVEFRDPRDADDARYNLDGRDIDGSRLIVEFAKGVPRGSRDSRDSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIEKNCKNSPKKLSRHARSVSRSPGRSRSPARSRSPARSRSPRRGRSRDRSYSPARSYSRSRSPVRRDRSPVASDRSRSPPPSKSRKYSRSPEGSPQKSTSPGNDRVVATQDGSDYSDGARVKSRSPSRDNDDSPKANGRSRSRSRSPRDEDRSPVEEDDDNNRRSPSP >RHN81617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47585588:47590831:1 gene:gene5688 transcript:rna5688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MSLRRRTLLKVIVLGDSGVGKTSLMNQYVHNKFSQQYKATIGADFVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLAYDVNVMRSFDSLDTWHEEFLKQANPPDTRAFPFILLGNKIDIDGGNSRVVSEKKANDWCASKGNIPYFETSAKEDYNVDAAFLCIAKTALANEREQDIYFQGIPEAVPESEQSGGGGCAC >RHN47652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41276507:41282500:1 gene:gene42274 transcript:rna42274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant organelle RNA recognition domain-containing protein MNPLSTFRYRIFQATTTISSFSPFFLFNQKRWKKPTISAQTRLEDRIRDTHFDKLTTHFKKLSLVLKTRNLISNRKRGSFVSLQLMSRWKNITGLNVTVTSFLQKYPHVFYLFVHPFRRNMCCRMTKKMKELILLEEVVVRQHEVEVVKRVKKLLMMSVNGTLHLHALRLIRRELGLPCDFRESILGRYSDEFRLVDLEIVALVGWDDELGMARVEEWREREYREKWLSEFETKFAFPINFPTGFKIERGFREKLKGWQRLSYTKPYERKNVKCGGVQRYEKRAVAVLHELLSLTVEKMVEVDQLAHFRRDFDIEVNVRELLLRHPGIFYISTKGNAQTVFLREAYEKGGLIEPNPVYEVRRNMLELVLLGRRKTKQLLASCDESKEESNAVVYEVDGEIKREGDWVIPFLEANEKN >RHN47350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39079692:39082816:1 gene:gene41940 transcript:rna41940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MERYTLPLPSQQVHNTLTIPWTEPQQQESPSSWSTPNSEPKHNHEQDIAIAIAMAGSSLPFFKPEPQPEPDNNFYNNNNVVNVNNVIPLPDNFLMHQNTNTIDSISNPHSFFHNNNNYFFNNNNTNNPFEMGFENGFFMGNNNTSTSPVFMGGSLSASEFPPSLELDAAPVPPFSASFSMPLELAQPQPQQHHHQQQQQQPTTLFQKRRGALEIPRLETVGNKKKRKVEKSWEEEGSGGGGGDDVDDFSELNYDSDENGNDLNNSNGTVVTGGDQKGKKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAVDYLKELLQRINNLHNELESTPPGSLLQPSASASFHPLTPTPPTLPCRVKEDLYPGDLLSPKNQSPKVEVRVREGRAVNIHMFCTRRPGLLLSTMRALDNLGLDVQQAVISCFNGFALDVFRAEQCREGQDVLPEQIKAVLLDSAGYHGLN >RHN66566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14111028:14112030:1 gene:gene14579 transcript:rna14579 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQLYSTNWMKLHAMYVSTTTTQLINLTRFPRFNLRHFSVNNVHILHHQPFPSKLYYNHRSNLKTINCNSKLNNSSGGEPYEIDEGVFGGYNGIEEESDEDHVESSVDLLIKFLNGYEGCEN >RHN68498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35993685:35997245:-1 gene:gene16860 transcript:rna16860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WEB family protein MEMAETRVAGADTESGIRKVNYRAEIDTSPPFESVKEAVTRFGGSGPWIPLYRLGEAFNNFDDFDIKKVEEQAAELEKDLIVKELETLDVLEELGATKRIVEDLKQQLQKEALKLSATPDLNSNEQVGTPVIKEMNKETNGNNVNNNQEQTSHIPSSCSMSSPDMILMDLKQAKVNLGNTMNELEAIQSSVESLNEKMKKEKVFLEKTREKLASKFAAVSAQEKEQEQARLNSPSSNVEFTFDNHANMRNFNFDSEQHNRMVETRSEVSKPLSVYQEQARLSPPSSHVEFTFDNPANTRNFNWDYEQHNRIVETRRSEVSNPLYVYDEHSFSVKTAEMRWLAAKKMEEAAKAAEAIALAEIKALSGAERSFEFARPEPRKVTFALAENSPLNPKAMMMPEDSTPKKVIDSKFQIDETNISKLTILKRLEEASEEILTSKQVLSDALNRIESANRKQHAAREALRIWIPEVDLREKAVYNSFNFDKFNQARVRRDSSLPDVTRSTTGKNNQKPVSRPTTSMRDVLSRKQVPEGYAARKEMEEHTERRKVALSQMLRALREDLTLSPKAEKDHESDQKQPVAPRKKFGFIHISLPMTRPK >RHN58901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5825266:5826523:1 gene:gene20857 transcript:rna20857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylethanolamine-binding protein MASDGSEVFKLVSPAIANEGKLPRQFTDEGQGAKKNISPPLEWYNLPEGTKSLALVVEDIDTPDPEGPIVPWTCWVVVNIPPTIKGLPEGFSGKEEEMGGEYAGIKEGNNDLKQPGWRGPRLPTHGHRLEFKLYALDDELHLGNKVTKEKTLEAKEGHVLGEATLMAIF >RHN61652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37204655:37207183:1 gene:gene24139 transcript:rna24139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MSIIFLLVGILSIGLKLTAVESIGVCYGMIGNNLPSRQDVVNLYRSRGINQMRLYFPDEQALQALRGSNIELILDVARETLNSLRNANEATNWVNRYVKPYARDVKIKYITVGNEIKPYDSEAQSILPAMQNIQNAISAANLQGQIKVSIAIDMTLIGNSYPPNNGVFTDQAKPYIQPIINFLKNNGAPLLANVYPYFAYINNKQSISLDYALFRQQGNNQVGYRNLFDAQLDSVYAALEKVGASGVKIVVSESGWPSAGGDSASTDNAATYYRNLINHVRNGTPKRPGAIETYLFAMFDENQKTGAATEQHFGLFNPNRTPKYQISFN >RHN78337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14236252:14240030:1 gene:gene1879 transcript:rna1879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MATIVVEALLSASLELLLKKIVAEDFVDFIRSTKLDVALLEKLNVTLLSLQAVLHDAEEKQITNPAVKQWLDLLRDAVFEADDLFDEINTEALRRKVEGEDETQTASTKVLKKLSYHFKMFNRKINSKLQKLVDRLENLSNQNLGFKGASSSVWHGTPTSSVMGDEYAIYGREEDKKKLKEFLLAEDVSDGRSKIGVISIVGMGGLGKTTLAKLLYNDREVKEKFEVRGWAHISKDFDVVTVTKTILESVTSKRNDTDALNILQVQLQQSLRSKKFLLVLDDIWHGKYVDCWNSLIDIFNVGLRESKVIITTRNERVAATMQTFLPIYKLEPLQRDDCWSLLAKYAFPTSNYQQRSNLKKIGREIAKKCDGLPLAAIAIGGLLRTKFSQDYWNDVLKSNIWELTNDEVQPSLLLSYRYLPAPLKGCFAYCSIFPKNSILEKNMVVQLWIAEGLVPQPKNEKSWEKVAEEYFDELVSRCLIRKRSIDDLEVSFEMHDLVNDLAMIVSSPYCIRLDEQKPHERVRHLSYNIGEYDSYDKFDHLQGLKSLRTILPLPLHLPRFSSDNFVSRKLVYDLLPQMKQLHVLSLSNYKNITKLPNSIGNLIYLRYLNLSRTGIRRLPSETCKLYNLQTLLLSCCDSLIELPKDMGKLVNLRHLDIRGTPLYEIPAQILKLENLQTLSDFVVNSEDVGLKIADMGKYSHLQGSLFISKLQNVTDPSHAFQANLMMKKQIDELQLQWSYTTSSQLQQWSCTTSSQLQSVVLEQLRPSTNLKNLTITGYGGNNFPSWLGGSLFCNMVCLKISHCDNCPRLPPLGQLGNLRKLFIDKMKSVKSIGIELYGSGSPLFQPFPLLETLEFDTMLEWKEWKLTGGTSTEFPRLTCLSLRNCPKLKGNIPLGQLSNLKELRIEGMNSVKTFGSEFYGSSNSPLFQPFLSLETLQFRDMQEWEEWKLIGGTSTEFPSLSHLSLYGCPKLKGNIPGNHPSLTSLSLEYCLKLKGMSPKNLPSLRELDLRECPLLMESSHSDDKRNITITSPSSDVFSELMISLNSLRKITLKDIPSLTSLPRDSLPKTLQSLIIWNCGNLEFIPYEFSDSYKSLENLEISNSCNSMISFTLGFLPFLQTLHICNCKSLKSILIAEDTSQHNLLFLRTVEIRKCDELDFVRCQNQQTLLVSFKMWKFETFQICNILP >RHN48214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45822569:45826918:-1 gene:gene42904 transcript:rna42904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GAT domain-containing protein MDKSKLAQFGERLKTSSANMSRIVSGKMKEILQTPTPESKIVDEATSETLSEPNWGMNLRICGLINAEEFNGSEIVKAIKRKINHKSPIVQKHSLDLLETCAMNCDKVFSEIASEKVLDDMVRLIENNQGDGGNRRRAFQLVRAWGESQDIAYLPVFSQTYMSLKGRGESLDMTTGNSPPIPYASESSAYQHPLDPPERYPVPEAGLHALALDDSAAFFSDHQPASAEEKKEHLVVARNSLELLSSILNSEAEPKPLKEDLTLSLLDKCKQSLFLIKEIVESTANDEETLFEALYLNDELQQLVSKYEELEASSSEAQSVDTAGHDAEAVQNPTERREVEAVQNPTERREVEAVQNPTERREGDESEELSEAAQSLDRKLPQKSNTCEVVVDATEGVSHVETKIVDSTKEKNVEPSLKTNTE >RHN74299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31626215:31628382:1 gene:gene10337 transcript:rna10337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MASSSFFYSPNHQRLLSFTKQITTHVNQSRHREALSIFHHMHSSLFMFLDPHVFTLVLKSCTSLHLPYLATSIHSHLIKSSFLTNNPFLSSSLLNFYGHCVSLNHAHQLFDETPHRNDVIWNSIIALYSRVQNITTAVNLFNEMDVPPNESTFNPIIAALSLSNQNNASFKAISFYRRMTGLKLKPGLITLLALVRASVSIAALNLIKEIHGYAMRNDIDSHPQLSSGLIEAYGRCGCLMDSRTVFKNMRGCDKDVVVWSNLISACALHGEAKEALEFFQEMEVSGVKPDGITFLAVLKACSHAGLDDEALCFFMKMHRDYGVEPNSEHYSCLVDVLSRAGRLYEAYEVIKGMPVKVTAKAWGALLGACTNYGELGLAEIAGKALAEVEPDNAANYVLLAKIYASVGRREEADRMIREMKEKGVKTTSGTSWVVYSES >RHN61913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39296205:39307066:1 gene:gene24426 transcript:rna24426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AP-5 complex subunit zeta-1 protein MSERDTGWDFHLRTLSISARDSNTANDPASDPSLLHSVKKLHELCKTENNEDLVARVYPQINKIFQRAVASLSLSQTSNGLLLLAILQFYLDFGEVVLHDADPSLRTFFRSCLSREFADPVVAEAMIEFLIINKKRILTSFPNLMPQFFPLLLKLIAWNGERLEKQILKAFPGLMSPGSFIPLFPSLLDLPILVVALEKVEKSSGPLIGSSIASIQKNTAPKMLLALMDEAYTGSTIEDGGGDFESEDSSAIDVTDPLFLEILKDENDGIAERPWSSPVMTTILQTAASNPYSDRLKAVLHLTPRLLDVYFSIALRDVNDSLICALLPLLMSRFATIFPDKVFSYEVHKRLLEFILSTFQRYPNFIALLKKPIMARLGEAYDSPDKTELALQLCWAIGEHGGGGGSHKDEARELFESLELLLYENLSSSRLGMAQEVSLSSDKDTYRRSSQSRLMCFVVTAIAKLATHHRELLPRARVSLGKVARSRISDMRVWRRACDFLGLMKDPAICSSILGPSRSAQGTTQKIGSINWNEGATKMTAHIPFYILGEQEGPPFHDFSFSDILSRR >RHN52572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36728861:36729645:1 gene:gene37265 transcript:rna37265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKSTFLAFLLLIALTSQPLLSSSLEHVVDITGKNLRANAYYNVLLSMPYTNSRSPEGLGLSNNIGQPCPLDVIVVSRYQSLPIRFTPLNLKKGVIRVSSDLNIMFRSNSSCPYHTTVWKLDRFDASKGKSFVTTDGFIGNPGPQSISNWFKIEKYVEGYKLVYCPIVCPSCKHECKNVGLFEDENGNKRLALSDVPYQVKFVKV >RHN78901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19763733:19765539:1 gene:gene2569 transcript:rna2569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin domain-containing protein MESEVEFHEDLNEKVKETIRGYSKSETDFMACNDFFRSEPNISFRRKAVSTIAVLWRRYCAKAFVPNLDTYVPYLAMNYFDRYVSRNQKAVETHIVITKPDMVMKIVNDIQSVLNIGEGWQLNSITAFSFLDYYYSYFKPIGVFKYRWLNEIIVQAQGEHGFADYRPSIIAFAAFMAACKRAYPSKQDEIEHALRLSEIGPRAQVEECANMLVILCDEKHIKIEPSETKDVTEKAVKARIPSALGKGKAVMEEGPLPCDKKHNTIEASETEDVKARIPSTSEKTNAEREDGSLLGVDFHQKFLELVAPKPATMNFGLKWISRERKLVQPASIGCSSCIIL >RHN81889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49584476:49585336:1 gene:gene5985 transcript:rna5985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxygen-dependent choline dehydrogenase, FAD/NAD(P)-binding domain-containing protein MPSGIGPANHLKEHGIQVVLDQPLVGQGMADNPMNILVVPSPLPVEVSLVETVGVTKCGSFIETVSGLSFGHSWADRLRGIFDCVKPGQHSIRSFSITRLIFLDVRIRGPQQWVASDHSPRFTPEAMEIFADTIRSLANPILKGGVMLEKILGPKSTGHLELLTTNPNDNPSVTFNYFKDPEDLRMYVESMKTIIDVINSKAFSRFRYHNMPIQALIDMMLLLPH >RHN72197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5681102:5682862:-1 gene:gene7881 transcript:rna7881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MAFLDLSMMNIGIVVLVLSLWSGIGVAQQVPCYFIFGDSLVDNGNNNQLTSIAKANYLPYGIDFPGGPTGRFSNGKTTVDVIAEQLGFNGYIPSYASARGRQILRGVNYASAAAGIREETGQQLGQRISFRGQVQNYQRTVSQLVNYFGDENTAANYLSKCIYTIGLGSNDYLNNYFMPTIYSTSRQFTPQQYANVLLQAYAQQLRILYNYGARKMALFGVGQIGCTPNALAQNSPDGRTCVARINSANQLFNNGLRSLVDQLNNQLPDARFIYVNVYGIFQDIITSPSTYGFRVTNAGCCGVGRNNGQITCLPFQPACRDRNGFLFWDAFHPTEAGNSVIGRRAYNAQSASDAYPIDINRLAQL >RHN77106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4139624:4144424:-1 gene:gene527 transcript:rna527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LOG family protein MEEGSRKFKRVCVFCGSNSGNRQVFSDAAIQLADELVSVKRNIDLVYGGGSVGLMGLISQKMYNGGCHVLGVIPKALMPHEISGEAVGEVRIVSDMHERKAAMAQEAEAFIALPGGYGTMEELLEMITWAQLGIHKKPVGLLNVDGYYNSLLALFDNGVEEGFIKPSARSIVVSASSAKELMLKMESYSPSHEHVAPHESWQMKQLGNYPGQENAE >RHN51877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27450790:27459531:-1 gene:gene36433 transcript:rna36433 gene_biotype:protein_coding transcript_biotype:protein_coding MALFPSFVVLVVLCSFLLSVDASDGDTDLIYKGCVEQCEKSGCVGDRCFQHCKFSSDGKPIDGPWYMHEPLYLEWKQWDCRTDCRYHCMLAREEERTKLGETPVKYHGKWPFRRIYGIQEPVAVALSALNLAMQFHGWVSFFILVYYKLPLRPDKKAYYEYTGLWHIYGILSMNAWLWSAVFHSRAVDLTEKLNYSSAVALLGFSLILAILRAFNVRDEATRVMVSAPLVAFVTTHIMYLNFYELNYGLNMKVSMLMAVVQLLIWAIWAGVSSHPARWKLWTVVVGGVVAMILETYDFPPYMGYVDAHAVWNAANIPLTFLWWSYIRDDAEFRTSALLKKVK >RHN65216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:297861:300086:1 gene:gene13035 transcript:rna13035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MSNAMFGTFLIACLALLYTCSSATTVEYDSSAIILNGERKLIISGAIHYPRSTSQMWPDLIMKAKDGDLDAIETYIFWDLHEPVRRKYDFSGNLDFIKFLKIAQEQGLYVVLRIGPYVCAEWNYGGFPMWLHNMPGIQLRTDNAVFKEEMKIFTTKIVTMCKEAGLFAPQGGPIILAQIENEYGDVISHYGEAGNSYIKWCAEMALAQNIGVPWIMCKQKNAPATIIDTCNGYYCDTFKPNNPKSPKIFTENWVGWFQKWGERRPHRTAEDSAFSVARFFQNGGALQNYYLYHGGTNFGRTAGGPFIITTYDYDAPLDEYGNLIEPKYGHLKRLHAAIKLGEKVLTNGTATWESHGDSLWMTTYTNKGTGQKFCFLSNSHTSKDAEVDLQQDGKYYVPAWSMSLLQDCNKEVYNTAKTEAQTNIYMKQLDQKLGNSPEWSWTSDPMEDTFQGKGTFTASQLLDQKSVTVGASDYLWYMTEVVVNDTNTWGKAKVQVNTTGHILYLFINGFLTGTQHGTVSQPGFIHEGNISLNQGTNIISLLSVTVGHANYGAFFDMQETGIVGGPVKLFSIENPNNVLDLSKSTWSYKVGINGMTKKFYDPKTTIGVQWKTNNVSIGVPMTWYKTTFKTPDGTNPVVLDLIGLQKGEAWVNGQSIGRYWPAMLAENKGCSDTCDYRGEYNADKCLSGCGEPSQRFYHVPRSFLNNDVNTLVLFEEMGFDATPFNASVQTITIGSMCNCRL >RHN40317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15272024:15272616:1 gene:gene46460 transcript:rna46460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal peptidase I MVPFRSIIKEVWKNAFFAVKFFCVIHVARNYLITNVMFKFCRLLVLACFLQIDSTPSIFLAERISPRFGKVARGDILHLRSPQNPKKEIGKRLVGLEGDTITYVSDSENGDKHETVVVPKGHVLVEGDNK >RHN45312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16507421:16511296:-1 gene:gene39577 transcript:rna39577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MRIVLTHEKKLYVLDGPIPETPPAGASAALRNAHAKHLNDSVEVSCIMLASMTPELQKQHEGMTAFDMIEHLKTLYEEQARHERFDVSKALFSTKLSEGGPVGPHVLKMIGYSENLARLGFVLEQELVVDLVLQSLPESFNGFVQNFLMNDMDKTLPQLAAMLRTAEKNMKGKGKAAAILMVNNGKFKKHHKKPNKSKGNGKGKVVAKPSTKALKPTGGVAKDGKCFYCNNAGHWKRNCPKYLEDKKNGNVPTTSAGIFVIEINMSTSTSWVLDTGCGSHICTDVQGLRKSRALAKGEVDLRVGNGAKVAALAVGTYVLTLPSGLLLNLENCYYVPAISRNIISISCLDKAGFYFTIKNKCCSVYFDDILYANANLSNGLYVLDLDMPIYNINTKRLKPNELNPTYLWHCRLGHINENRISKLHKDGFLDSFDFESYETCRSCLLGKMTKAPFTGQGERASDLLGLIHTDVCGPLNITARGGFHYFITFTDDFSRFGYVYLMKHKSESFTFFKEFQNEVENQLGKKIKMLRSDRGGEYLSLEFDNHLKECGILSQLTPPGTPQWNGVSERRNRTLLDMVRSMMSHAELPNFLWGYALLTAAYTLNRVPSKAVEKTPYEIWNGRKPNVGHFKIWGCEAYIKRLMSTKLEQKSEKCFFVGYPKETRGYYFYKPPEGTIVVARTGVFLEKDFVSRRISGSKVNLEEIQDPQSTEIPVEEQGQDTQTVMTENPIPVTQEPRRSSRIRQEPERYGYLISQEGDVLLMDQDEPVTYTEAITGPEYEKWLEAMKSEMDSMYTNQVWNLIDAPEGINPIGCKWVFKKKIDMDGKVSTYKARLVAKGFKQIHGVDYDETFSPVAMIKSIRILLAIAAYHDYEIWQMDVKTAFLNGNLLEDVYMTQPEGFGDPKATKKVCKLQRSIYGLKQASRSWNLRFDETVQQYGFIKNEDEPCVYKKVSGSIVSFLILYVDDILLIGNDIPTLQEIKTWLGKCFSMKDLGEASYILGIRIYRDRSQRLLGLSQGTYIDKVLRRFNMHESKKGFIPMSSGLNLSKTQSPSTDDERDRMRDIPYASAIGSIMYAMICTRPDVSYALSATSRYQSNPGNDRWIAVKNILKYLRRTKDTFLVYGGQEELSVIGYTDASFQTDHDDFRSQSGYVFCLNGGAVSWKSSKQETVADSTTEAEYIAASNAAKEAVWIKKFISDLGIVPSIVDPIELLCDNNGAIAQAKEPRSHQKSKHIQRRYHLIREIIERGDVKICKVPTLDNVADPLTKALAQQKHDGHTRSMGIRFMPNWL >RHN66412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12128466:12128958:-1 gene:gene14385 transcript:rna14385 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLPGSFPVSVRVRTKHAEKTRVDLWGQSTMLKAVWDVTNGIRADLSQYGVVRGRTKRKLVGM >RHN51555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19765491:19772120:1 gene:gene36013 transcript:rna36013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MDKSIHNLDDVVPGRNADAWRFVVRVIRLWEVPLFTSIEMVLIDQNGVKIHATIPKQLMYLFQHKLIEGKVYKLSNFTVLLNSGAYRTTHHTYKLIFQMKTKVEESHDYEISLIPNHGLTLTDISQITSRTQDYEYLVDVIGLMTGISAEREFVRDGKLTKILVIELTDHSGKCECTLFGNYVDELHKLMSKAVEGSPVIVIQFAKVKIFRGKASIQNVVGSTTRIYLNPSFPEALKFKEGKEVWFTFSKQNEAIKFAKGQEDVHLFCYQDHLNGYRRFLVTTYTEFWQRYKTMDSKTRHFYEVIQEGLPCHLYFDLEFNRKVNVGKNGDEMVNLLISTVLEALHEKYEILGDQDWIVELDSSTEDKFSRHLIIRIPKAAFKDNSHAGAFVSEICSRILNARGKDKSYEKLFIAKDSITNESVGQLFVDNAVYSRNRCFRLHLSSKAEKTSILLPTERFKCKNLSEEDIFMASLICNMDVDCGELLVGKPDSDCVKTQHFDTKLNCNVGNSVQSHPEFTLNTCTSDVSTTYYKGKSPFPSLDEFILSVASMGNIPGKIHSWYLYSESGFMVYNMTKNRYCERIGRHHKSNNVMYVVDLRREVYYQKCHDPDCKGYRSSSRPIPVHVFSNSSVVIGSSGMLDYKQPVEDEQGHQPDDNKPNSEC >RHN57666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40900029:40902899:1 gene:gene33245 transcript:rna33245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein 50S-L18Ae/60S-L20/60S-L18A MVNAKFHQYQVVGRALPTEKDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVETMYNEMASRHRVRFPCIQIIKTATIPANLCKRESTKQFHNSKIKFPLVYKKIRPPTRSLKTTYKAKKPNLFM >RHN70035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48231656:48232760:-1 gene:gene18575 transcript:rna18575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA hydrolase MAKTEERITDLESVKRYLEKKGEESAPTVDNELPQEFLGHLVVRGLRLDLIEPGRIVFSMKIPPNLLNSSNCLHGGAITTLVDLVGATAVPTAGFSWSSGVSVEINVSCLDAAYVDEEIEIDGRVLRVGKTIAVISVELRKKKTGQIFAQGRHTKYIPFISKM >RHN41583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31242184:31243865:1 gene:gene47918 transcript:rna47918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Glycosyltransferase subfamily 4-like domain-containing protein MAKDRSSVFRFQKICYIVVIFSICSIILFLSWTHCCSQCYSPFAYQTTLQNQTQPINLLSYPLAWNNLIFPSNPASKFLKIALFVKKWPQRSHAGGLERHALTLHLALAKRGHELHIFTTSTNPSFSNHSIDNNVHFHFSKPSPAGYLDQAIVWEQYQLQNSTIRPFDIVHTESVGLRYTRSRYITNLAVTWHGIAYETIHSDIIQELLRPPQEPQTNAIKERTIKVVEEIKFFTNYAHHVATSDHAGDILKTVYMIPEERVHIILNGVDQQVFKQDNSKGKEFKKKHGVPNSKSLVIGLAGRLVKDKGHPLMFEALKQIIEENNTFLESSMVLVAGDGPWAARYRELGSNVLVLGPLEQGELASFYNAIDIFVNPTLRAQGLDHTLLEAMLSGKPVMATRLASILGSVIVGNEMGYTFSPTVISLKKAIYETWVGGRGILNKKGQVARERGLQLFTATKMVAAYERLFLCISSLNHEDNFCEYHPLSN >RHN52312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33793049:33798257:1 gene:gene36974 transcript:rna36974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MSLQQRRERREGSRFPSHRPPRQEWIPKGAGASSSASTTSTTTTASTTTAAAATTTVDQPTSLHSHEKNTNSDGGSSNQGAVVAPTFARHRSNHHVAHRVEREHVAHRTDRDHVAHRVERERVAHRVEREHVAHRMEREHVAHRVEREHVAHRVEREHVAHRVERGRGRSGNMAGRQYGSRDSSLPQLVQEIQEKLTKGTVECMICYDMVRRSAPIWSCSSCYSIFHLNCIKKWARAPTSVDLSAEKNLGFNWRCPGCQSVQHTSSKDIKYACFCGKRVDPPSDLYLTPHSCGEPCGKPLEKEVFVTEERKDELCPHACVLQCHPGPCPPCKAFAPPRLCPCGKKRIATRCSDRQSDLTCGQRCDKLLDCGRHHCENACHVGPCDPCQVLIEASCFCSKMTQVLFCGEMAMKGEFEAEGGVFSCGSNCGNVLGCSNHICREVCHPGSCGECEFLPSRVKACCCGKTKLEDERKSCVDPIPTCSKVCSKTLRCGVHACKETCHVGECPPCKVLISQKCRCGSTSRTVECYKTTENQKFTCQKPCGAKKNCGRHRCSEKCCPLSGPNNGLTTPDWDPHFCSMLCGKKLRCGQHVCETLCHSGHCPPCLETIFTDLACACGMTSIPPPLPCGTMPPLCQLPCSVPQPCGHSGSHSCHFGDCPPCSVPVSKECVGGHVILRNIPCGSNNIKCNNPCGRTRQCGLHACGRSCHSPPCDILPGIVKGLRAACGQTCGAPRSGCRHMCMALCHPGCPCPDARCEFPVTITCSCGRISANVPCDVGGNNSNYNADAIFEASIIQKLPMPLQPVDANGQKVPLGQRKLMCDEECAKLERKRVLADAFDITPSLDALHFGENSSYELLSDTFRRDPKWVLAIEERCKILVLGKSKGTTHGLKVHVFCPMIKDKRDAVRMIAERWKLAVNAAGWEPKRFIVISATQKSKAPARVLGVKGTTTLNAPLPTAFDPLVDMDPRLVVSFPDLPRDADISALVLRFGGECELVWLNDRNALAVFHDPARAATAMRRLDHGTVYQGAVSFVQNAGASAASSVTSAWGGTKEGALRSNPWKKAAVLDPGWKEDSWGDEQWTTAGDSANIQPSALKKEAPIPASLNPWNVLNHESSSSSSPATVIRSVASGKQTESGNVSTKVEPSAGGADGGNSDATEAAEVVDDWEKAFE >RHN42214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36387634:36388355:-1 gene:gene48629 transcript:rna48629 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSTKYQPRLARVSMVIVLFFLSGSRNMMSTFHNSYIHHVKKQIN >RHN40360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15602516:15603533:1 gene:gene46507 transcript:rna46507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin activation peptide MIIILLFCLCLAAKNYEVYGSMSNFSKIEDLELEEQLKAINKPPVKSIQTEFGRIVDCIDINKQLAFDHPLLKNHKIQLKFSFQDTQTNTKSHDRSGYSKIGLDDKDLCPKGTIPVQRTTKDDLIRAKRLSNNFGTLTKFDRGSHFAGIRIRGRIFFGVKGNLDVYNPPVDDHQMSSAYIYVSTGESSIPVPNHMFIHAGWQVFL >RHN52430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35225324:35226983:-1 gene:gene37110 transcript:rna37110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase CMGC-DYRK-PRP4 family MLHNGYSCHCSMVTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSEAIQAHDLHTGVDVCTKIIKNNKDFFDQSLDEIKLLKYVNKHDPGDKYHILRLYDYFYYRSITIQCLEALQYLHNLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYCAPEVILGLSYDKKIDIWSLGCILAELCTGNVSIIYSFQT >RHN78583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16435190:16435902:-1 gene:gene2201 transcript:rna2201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MNHISKFVYALIIFLSIYLVVLDGLPISCKDHFECRRKINILRCIYRQEKPMCINSICTCVKLL >RHN64013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55930347:55931757:1 gene:gene26786 transcript:rna26786 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEELNLNNNNNNKIPITQLIQVLEALKQASQNIQNHHNHFSDSNSSSIKALFQLHTILPTNSNISHHLTHLKSLVNSLQSSKPSLKSFITRPLSSHSITKTSAAIESELQSWIDRETVTTLSCLVSGNNNENEDELLQLLTRFSDRVSQGFNRELQQLVLNLKLFCSLESLLFDPKCSHRIREHAGFAISALIRFNKDVFVGEVLMNPTVEALIDIGSVRSIEVLCSLIRLIRSPIVDEIESNGEITKIIALLNSDNMKLSLLAMECVLEIGYFGRKEAVEGMLKEGVVEKFVELQRLESEFASCVARFAVQLEVGEGLRQREKRSFKGEILVRVREACVSDAEAATIVAEVLWGTSP >RHN48487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47879170:47881957:1 gene:gene43207 transcript:rna43207 gene_biotype:protein_coding transcript_biotype:protein_coding MGFELVISLPLILFILILALACYLLGKYRGRKQSSSQPQQYGPPAPPPQAQP >RHN64781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61771619:61777863:1 gene:gene27638 transcript:rna27638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MNSFLVWALSFAIIIAIVRFNFNLTPSSLLLFGSLLIAFWIIKMKFHHRRFGGSCLNNPKVEDNSDANNPIPPHLVIMVNGIVGSSHDWRYGAEQFLKRLPDKVIVHRSECNSSKLTFDGVDTMGERLAEEVLSIVRCWPGLQKISFVAHSLGGLVARYAIARLFDYSKTLEAGVTCRNCDCKEEAECTKNCTEQHYEARIAGLEPMNFITFATPHLGSRGHRQLPFLCGIPFLERRASQTAHLIVGRTGKHLFLMDNDDGKPPLLLRMIEDSDDLKFMSALCVFKRRVAYANANFDHMVGWRTSSIRRQHELPKSNLLVIDEKYPHIVHAEGGTVDDISNKVYVNVGGQKIDMEEEMIRGLTQVHWERVDVSFQKSKQRYTAHSTIQVKTYWLHSDGSDVIFHMIDNFLI >RHN48318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46584490:46590400:1 gene:gene43021 transcript:rna43021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MMNEEDNGESSTRRIQVRFVTSLPEPFKVPSSAIAIPVDLTRFGLSSLVNALLQSKDEDHKSEPFDFLIDGEFVRMSLEDFLLAKGISAERILEIEYIRSVAPRKEEDPSLHDDWVSAVDGSSSRFILTGCYDGFGRVWEGAGLCTHILEGHSDGVTSVSVINPEGVETVTLATASKDRTLRLWKINTEEATNHPARVRAYKILRGHKSSVQSVAAQTNGEMICSGSWDCTINLWQINDTNAENDLVSKKRKVDGRVEDSQLEGEAFTTLVGHTQCVSSVIWPQRESIYSASWDHSIRKWDVEKGKNVTDIFCGKALNCLDIGGESSALIAVGGSDPVVRIWDPRKPGTSAPVFQFASHTSWVTTVKWHDKSLFHLLSASYDGKVMLWDLRTAWPLSTIESHSDKVLCADWWKSDSVISGGADSKLCISSQIPLQ >RHN41614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31505016:31506244:-1 gene:gene47956 transcript:rna47956 gene_biotype:protein_coding transcript_biotype:protein_coding MIEYDETSRLYNFELQAMLKRVVHSLGFVENTDNKDSKSKKKGNIKGYKDPQFSSKSKDFVVKIAHAGGKQDVYRHAVPVYALMTKYPGMCIASPEVFKSPHQSVLWKEDLLLPGHKYILISLKDVEKLKRKHPEKDHTKETNGVVEKENLGTKSKSPSNEHKHKENGKMKELNGVSEGMEVDTKMNENVVEGEVLEDSFTLAKNFYTSPKEKTTKPSRKRGLRPKKNFVPPFPKARQYRSLGWKPSLPAVKELSP >RHN46098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28488236:28489423:1 gene:gene40538 transcript:rna40538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNLSPPKSQQRPSSLESSPIILPDEIITELLSFLPVKSLMRMKCVCKSWKIIISNSSFVELHLHRSTRNPQLTMVYLPEDTDKAFVSPISLSHLLESPSKPITLTDDPYYLLNDKDCCSVAGSCNGLLCLYGCSDKSREMWLRFWNPATRTISDKLGHSPDAVSSYQMEFGYDNSTDTYKVVYLHKGARVFSLGDNVWRNIESFPISYYLNNGVHLRGSVNWLAIHNYMDDYDYDDDDGGVFYYDCQYITIEQFKIVSLDLGTETCKELLLPRGFDEVPSFEPSLCVLMDCICFSHLVKKTHLVIWQMMDYGVEESWTQLLKINLQILKPIDEWSAWVPLHLSKNYDTLILENKVDDVTVVYNLRDGSVEKTIITNGECSWIYIKKYVESLVLCH >RHN60605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28704074:28705022:1 gene:gene22945 transcript:rna22945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain-containing protein MAFSSSYLPTQTLFSVLFLVFSMFIFLQSREGNAQWFDTNYYSFDVKNFSQAADNFTLQGNAQILPNGILELTSPKYHKNVSKVLYSPAIPI >RHN58280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:529177:530718:1 gene:gene20176 transcript:rna20176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH dehydrogenase (quinone) MNFFLTIHGRMNRGKTYECASYKKRPHDSQYGPQHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNGPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIAADLPYGWIDKCFDFCNYFLTRVIEYQKLITRNPIFLERVEAVGVVGREEVINWGLSGPMLRASGIQWDLRKVDNYECYEEFDWEVQWQKEGDSLARFGRNSRWPYENLEIRSFDREKEPEWNDFEYRFIGKKSSPTFELPKQELYVRVEAPRGIRNFLLGDQNGFPWRWKIRPPGFINLQILPQLISLWEKLIVEMIIDTTEVQDINYFSGLESFKEVYGILWILVPILILVLGITISVLAIVWLEREISAGIQQRIGPEYAGPFGILQALADGTKLLFKENLIPSREIFVYSVSAHPYQ >RHN58866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5560541:5561685:-1 gene:gene20821 transcript:rna20821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin MKIIYFLVSILALASSLAFAYDPSPLQDFCVAIKDPKDGVFVNGKFCKDPALVKAEDFFKHVEAGNASNALGSQVTPVTVDQLFGLNTLGISLARVDFAPKGLNPPHIHPRGTEILIVLEGTLYVGFVTSNQDNNRLFTKVLNKGDVFVFPIGLIHFQLNVGYGNAVAIAGLSSQNPGVITVANALFKSDPLISDEVLTKAFQVDKSIIDYLQKQSWYDNN >RHN55716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21650712:21652922:-1 gene:gene30944 transcript:rna30944 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHYIPKGLNALKKDSGTLGCALQRRCLNCYTYSLLRLVPRLVLGGLSTVNIFLRP >RHN67025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22965425:22981516:1 gene:gene15156 transcript:rna15156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MEYHRNSPVHISVMKFSTASFSTLKVTNQTRREEELSSLSSYDRQVRMMTHFGSCSYRLSKTPRRKLSGDRTGWAEAGHKLTQIKMEMHDLDKRSLYPSNTNTIASSIAQKPAITQNEGRNLMLHDLCEPASSSVQHSMLNNSMLLIDTERVGTESNVSSLKTGEEKIHGVDGTESNVSSLKTSEEKIDNVDGNHSLDATAKDATKAKLTVRMIRSDEQSKLRERLCSIYEDILVVNNISHAEQVAKMLTVNYRHLIHACDTEVSKIDVKQETPIDHGEIICFSIYSGPEADFGGGKSCIWVDVLDGGGKEILNKFADFFQDPSIKKVWHNYSFDCHVIQNYGFKVSGFHADTMHMARLWDSSRRLVGGYSLEALSGDKEVMSRGNLNHETDLIGKVSMTTIFGQRKEKKDGSMGKIVTIEPVEVLQREEHIPWICYSALDARSTLKLYESLKSHLSDLPWKIDGELLRENMFDFYEIYLQPFGELLVKMECEGMLVDRLYLQEIEKVAKAEQQAALNRFRKWASRYCPDAKYMNVGSDTQLRVLLFGGTVNRENHNEAIPTERIFKVPNVDKVIEKGKKIPSKLRDIKLNSIGCNLNVDIYTPSGWPSCSGPALKALAGKVSAEYDFDLEDEDGNPSQREDEPLEIDNSAYGTAYFAFPTEEEGREACHAIAALCEICSIDSLISNFILPLQGHNISGKDQRVHCSININTETGRLSARRPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLTDCKSMLEAFEAGGDFHSRTAMNMYPYIREAVEKKEVLLEWHPQPGEDKPPVPLLKDAFASERRKAKMLNFSIAYGKTPQGLSKDWKVSVKEAKNTVDLWYNDRKEVLKWQQKRKKEAFEFGCVYTLLGRARRFPEIFLGRRNYYKGHIERAAINTPVQGSAADVAMLAMLEISKNKQLKELGWKLLLQVHDEVILEGPTKSAEVAKNIVIDCMSKPFNGQNILKVGLTVDAKCAQNWYAAK >RHN65739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4864539:4865110:1 gene:gene13620 transcript:rna13620 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKRLNGNSRYNYKVCCIDFLLVQELTPPCMAFVYPLRRDTYIQSKGNGILVNTIHLL >RHN79310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27450643:27457328:1 gene:gene3085 transcript:rna3085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(A)-specific ribonuclease MPKKFALVIAVDCCNKRALLIALEYKDHEIENSLPHAIHQMYKVRKILTTRYGFHKKDVVVMTDKKGEKNNSHYPPTADNIKKNLKKMGYESRPGDKLFLYFIGHGGREEAPQGSPNDTGFVEFISTANSTRICDFEIREIEKSIQKGVNFTFVSESCCSSGLMEGSHECIGRSVITDDKKKSSKNDKGKCTKEKGNKEKQTPSSIFLASCQSDEDCYYGHVNGHDVSYFTHTLLELLYLSEGQMSLMELVTEINRKLSRITDAVGNVIQQRAGLYCSPAQSEEKFLGGMIGKQKGGRVETYGDHGKASTSSTQTLSSMVLNSDTQEDAKSGVEKFQVKILGDSSAQITEDVLSSSNVGITYSKLSGSASSASLTNGHAAPMYPAGIRNGNETWFEVGRSKTYTPTADDIGHVLKFECSVVDAVTKSPVGQASTLQTNRVIPAPSPIPRRIIRVDGMGHLDLDRRMTSSGTFTALSYNVLSETCASNDLYSYCPPWALSWPYRRQNLLREIIDYAADIICLQEVQNDHYDEFFAPELDKHGYHSLFKRKTTEVSSGNTIDGCATFFRRDKFSHVKKYEDNVALIVVLETKVNNQLVDNLGKRQLLCVANTHVHVQQDLKDVKLWQVHTLLKGLEKIATSADIPMLVCGDFNSVPGSAPHALLAMGKVDPSHPDLTVDPLNILRPHSKLVHQLPLVSAYSSFARTAGLAFEQHKRRLDGGTNEPLFTSVTRDFVGTLDYIFYTADSLVVESLLELLDEESLRKDTALPSPGWSSDHIALLAEFRCCKNKSRH >RHN42861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41504148:41505524:-1 gene:gene49359 transcript:rna49359 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTFVLNKTGAEWTAKQYSGDIEASAESTFEIQRKLVQAALAVDSNGGVQSSYSPISPSSGVFQVVVGGAVFVGGGAAAAAPAGGAAPADDAPAAAKKEEKVEEEEEDDDMGFSLFD >RHN79377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28225747:28227335:1 gene:gene3161 transcript:rna3161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MAEVDAPPYLPDELITKILVRLPVKSLIRFKSVCKSWFSLISDNHFANSHFQVTAATHTLRILFLTATPEFRYIAVDSLFTDDYNEPVPLNPNFPLPEFEFDLEIKASCRGFIYVHTCSEAYIWNPSTGFLRQIPFPPNVSNLIFYGFGYDESTDDYLVVSVYLVIIMNLGFIYVHTCSEAYIWNPSTGFLRQIPFPPNVSNLIFYGFGYDESTYDYLVVSVSYVYLGIFSLRANAWNEIVSPTHLPFCSKSSPFVYPLVESVFNGAIHWLAFNYDTHEYLVVAFELVERKLVEIPLPDDFDHGYTVCGLWVCRGFLSLWVMVDEDTVDIWVMKEYKVQSSWTKTLVLTTYDTIHNVSLVCCTTSGDIVGTDSRTGLVRYDEEGEFLEHTYYCKDSRNGFRLAMYTESLLSLPSDNEEA >RHN58895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5782409:5785762:1 gene:gene20851 transcript:rna20851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MRAFIIFWLFLIPFCLINSSTNNFVVNGYCLGHERSLLLQLKNNLIFNPTKSSKLVHWNQSNYDCCQWHGVTCKDGHVTALDLSQESISGGLNDSSALFSLQDLQSLNLALNKFNSVIPHEMYKLQNLRYLNLSDAGFEGQVPEEISHLTRLVILDMSSSITSDHSLKLRKPNITMLVQNFTDITELYLDGVAISASGEEWGRALSSLEGLRVLSMSSCNLSGPIDSSLGKLQSLFVLKLSHNKLSSIVPDSFAYFSNLTILQLSSCGLHGSFQRDIFQIQTLKVLDLSDNKKLNGALPEFPPLSYLHYLNLANTNFSGPLPNTISNLKQLSTIDLSYCQFNGTLPSSMSELTKLVFLDLSSNNITGSLPSFNMSKDLTYLSLFHNHLNGDLSSMHFEGLQNLVSIDLGLNSLNGTIPSALLKLPYLRELKLPYNKLSGLLGEFDNASSHVLEMLDLCNNNLEGHIPVSIFNLRTLRVIQLSSNKFNGAIQLDIIRRLSNLTILGLSHNNLSMDVNFRDDHDLSPFPEIKALKLASCNLRRIPSFLRNQSSLLSLDLSSNEIEGPIPNWIWQLESLLTLNLSKNSLTNFEESVWNLSSNLFQVDLSSNKLQGPISFIPKYASYLDYSSNMLSSILPPDIGNYLPFIRVLFLSNNSFKGEIHESFCNASSLLLLDLSYNNFDGTIPKCFATLSSSLRMLNLGGNKLRGHIPDTISPNSCALRYLDLNDNLLDGSIPKSLVNCKKLQVLNLGNNALVDRFPCFLRNISTIRIMVLRSNKLHGSIGCPHNTGDWDMLHIVDLASNSFSGMIPGTLLNSWKAMKRDEGMLGPEFGHLFLKIYANYRPLTLKALLSCFNKFLKMTLLKLLASMSTSNLKQELVDNILVEIDITRYQDSIIIVNKGQQMKYVKIQMAFTYVDMSNNYLEGPIPDELMEFKALNALNLSHNAFTGPIPSSVGNLKNLESMDFSNNFFKGEIPQELSSLSFMGYLNLSFNHLVGRIPLGTQIQTFDADSFEGNEGLCGPPMTNNCSDEGRQGLPPPASESSHSRNDSLIDWDFLSVELGFIFGFGIFILPLICWKKWRLWYSKHVDGMLYRIIPQLDFVFEQHEGKRYKILRWSY >RHN72769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10560983:10563465:-1 gene:gene8523 transcript:rna8523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MSEPMEQIRNVWISGLLDPSEMRMTERYWLYAGDIQKSKEAQKETKKIEKFYKSYHKNQSWRNLFNQNQGGRHHVRMNWMSNPISHSIPADITFFNRQNYKMTVIDTPARVDFTTEVKNALCAFDGAVLVLSSVGGVKTQSISVDKQMMEFQLPRLVFINNLDHKRADPWEVVDQTRSKLQHHSAALQVPIGLGDSFKGLVDLVQSKAYFFHASNGSVSESLALVMLVVILQITQSISYCSEKVVVEEVPRNMESLVSRKRRDLIKTVSEVDDKLAEAFSSDNPISAADLEEAIRRATIAHKFVPVFMGSAFKYKVTVELP >RHN67941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31661573:31668514:1 gene:gene16205 transcript:rna16205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA primase, small subunit MIPQQDSEFDSNCLKPYYGKLFPYADIFKWMSYGHDGKHPGCDQSYFGRREFSFTLNGDFYLRFQSYNNALELEKAIKEKCPLKIDIGPVYTVDPAKRHAYAQSDNNVFTPVERELIFDIDMTDYDDVRYCCKGADVCLDCWPLMTIAIKVIDTSLRDDFGFKHILWVYSGRRGVHCWVCDGKARRLTNEQRASVADYFRVYKGNENSHKKVSLMGAALHPFLATSYTNVLKDYFEKVLLTRQNLLATEERYEKILSMIPDESIASELRGKWQDSRRSSSAKEDINIVRWEQCKQLLQSGKHKSQGLRRCVEEIVFCYTYPRLDMEVSKHMNHLLKAPFCVHPKTGRVCVPIDPNRCDEFDPTTVPTVFQLLEELNNEGLRADVNGERSGTSLGNSVTLFRSSFLEPLQKGSKEEIERSYNLKLQQSKNSIGW >RHN70443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51456583:51457119:-1 gene:gene19022 transcript:rna19022 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNGSDCCLLPGDSYPNWLTFNSEGSSVTFEVPQVERHNLKTMMCIVYTSTSDDITSDGLKNVLVINHTRAIIQLYKSETLISFGDEEGERVVSSIEPGNKVEVVVVFENGFIVKRIAVYLVYDNSIGKTIDLYHLPDLNIIDCSSDDSECIGKRISTEEESIDDFNQTRKKKKRVE >RHN70729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53497237:53504680:-1 gene:gene19341 transcript:rna19341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, EAG/ELK/ERG MTLPSLVRRRSSGEIRNLASISSSLLPAFGTNPDEGYFDLKKYVIAPYDRRYRLWQTFLVVLVVYSAWASPFELAFREMSIGSMLIADLVVDAFFAFDIILTFFVAYLDKSTYFLVDDHKKIAIRYVKHLFFPMDLASTLPFQQIYQLIAGKSHDRGEVFGFLNMLRLWRLRRVSELFSRLEKDIRVSYSVTRVCKLLCVTLFAVHFTGCVYFWLAFHHKSPGNTWIGKQVEDFKHRSVGSGYTYSMYWSIVTLTTVGYGDLHAENTTEKVFNIFYMLFNIGLTAYIIGNMTNLVVHSSVRTFAMRDAFNKILQYASKNGLPEGLKDQMLAHTQLKFKTAELQQEEVLQDLPKAIRAGIAQHLFHNVVEKTNLFKGVSDDFISQMVSDMKAEYYPSKVDIILQNEMPAYFYILVSGSVDVLILKNGSEQFLFKLDPGSMVGEIGVMFNIPQPYTVRSRRLSQLIRIDHHHFKQLVKPFNEDGKAIITNFTQFMKGLKGGVLEEIPYVTEFLSDLEDEHPTQNEGTNDDISKYHEENPYEEGETENSSPMSSLVPIRVKIHGHHPNENIENGTTPKLIILPDSVEDLLRVAEYKFGKRGSKILMADSSEVEDLCALREDDELYII >RHN55260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16489060:16490497:-1 gene:gene30411 transcript:rna30411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monodehydroascorbate reductase (NADH) MGANFSNLESVVEKSSQPSLILTFHSTAKWKAHFEASKVTNKLMVIDFTATWCGPCKYMDPIIKELAAKYKDVEFIKIDVDELMDVASAFQVQAMPTFILLKKGKVVEKVVGAKKEQLQKLIEKRRV >RHN79628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30731656:30734319:-1 gene:gene3445 transcript:rna3445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucomannan 4-beta-mannosyltransferase MQELVQEECRRWRNKGVNIKYEVRDNRNGYKAGALKEGMKHSHVLQCEYVAIFDADFQPEPDFLLRTIPFLVNNPEIGLVQARWKFVNANECLMTRMQQMSLDYHFKVEQEVGSSTYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLSNLQVKNELPSTLKAYRYQQHRWSCGPANLFRKIVMEIVTSKKVSLLKKLHIVYSFFFIRKIVVHVHTFVFYCILLPATVMVPEVVVPKWGTVYVPSIITLLKAVGTPRSFYLVIFWVLFENTIALHRTKATIIGLLETSRVNEWIVTEKLGDALKGKDGGKELKKLRFKIVDR >RHN43474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46147903:46154997:1 gene:gene50058 transcript:rna50058 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MILNISTPSFSRILPHPSSSFKLSETYSNRNNASYPVFIFTKPLKFINLKASISESQNETSNSNNLLDQQLLLRVAATAKDADEALQLIADNSSTNGGVVSTSDCCSIISAALERNNPQLALSVFYSMRSTFHQVGESGPLVERWKWSRPNARVYTLLIQGLAASLRVSDALSVVKYICEVGVSPSEEVPFGKIVRCPTCRIAVAVAQPQQGIQIVSCAKCRYQYELVSGNIVNIQSEEIRLVRSPPAERSMDITAWKKGLRFLKLMKQSIPSAVHSIVVQTPSGMARTHRFATETVDLPAQEGERVTVAVAAPSNVYRKLGPIKLSSRAPDLYPGEAMCITNHKDGRESRLVRAPTKDENSSLLKPSILFPLLALFATGDAASGLIDPSLPQFLSVVAVSSLAIGSALNSFVFPQFNQLPQRSVEVTAIKQRLLSQYDMLQSRINDLKEAAEKEVWMLARMCQLENKISAVGEPSYRTRISKVKRVRESLQNSLQGRIELIASYARISSMIEIEVEMETDVLAAETTSDVDGFTEQIEQIMELENLEERWKMQAEANDEAERLLSSQPVPLDEV >RHN41328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29189878:29190468:1 gene:gene47637 transcript:rna47637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MARSNIYIVLVAFFFTTALASLNSPEKRFLHDCISIIGDECGNQFFSKLFTRDKITISRDCCYKVIQMGYSCHVKMAVFFLETDPVLRNADRIEYLSKSDHIYEKCDRVTQPEDSKFLAKCVQKIGSDCGEQIVAKLFTDVGSVNRQCCENLMKMGEKCHMNMAKALIRTPAMRSIDAPDFLRKNKKLFDDCKDME >RHN42967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42229451:42230714:-1 gene:gene49479 transcript:rna49479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MGVGGTLEYLSDLVSSGHHHLKKKKKQLQTVELKVRMDCDGCELKVKKTLSSLSGVQSVDINRKQQKVTVTGFVDPNKVLKKAKSTGKKAEIWPYVPYNLVAQPYAVSSYDKKAPPGYVRRVENAPTTGTMTKYEDPYVNMFSDENPNACSIM >RHN69670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45418458:45432318:-1 gene:gene18171 transcript:rna18171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKIPLYQTQRHASNYPPQHQQQQHQANEDSKGTLLSLLSLRGVNQLKEKWNEYNEPKRLRKLVSLFVSPTAKYVAVAAGNRITILSKEDDYQQSYSIFTGSDFSTFSVGDWSEDDEILGVADDYDTLYFIKFTGEVVAEITKKDLKISAPIVGLFSDNDSNMNDESYLFTVITSDGSLQQIEISYGGVSTFPKYICKHRSHLRNNVYCFDRHHELNLFAAVHTKSGSCHVSLWHKTSSTDLEQVFSLQFEGLYLKPKGYKGQLIYPKLLISPQATFIATLDLTGCLHIFKLDKEGFTLSQFVLGERDDSSMSDNLSNGGGKSFVGYMDFTWWCDHIIAIVDRNGVVMLIDILNVSKVQEEDPAYFFPALGRAQKCRGYLFLLASLSSKETSSPSDFALSEDLLQTEWIVEDRLKQFHLSRLLWFLVSFSEKSVPEMYGLLIRKRNYQAALDFADSHGLDKDEVLKSQWLNSSQGVNEINIFLANIKDRNFVVFECVHRIGPTEDAVKALLAYGLRITDHNRFSEVDSDDSSEVWDVRLARLQILQYKDRLETFLGINMGRFSVQEYSKFRSMPINEAAVALAESGKIGALNLLFKRHPYSLSPFVLKVLASIPETVPIQMYVQLLPGRSFPSGVAVRQDDWVECKKMVNFINTSVKNHDIQIQVKTEPLVKHFLGLLWPSIDELSKWYMDRARAMDDFSGQLDNCLSLLEFALRKGISELQQFHQDVLYLHQVIYSDDNDSETGFNMSLVMWGELPDYEKFKFMLKGVKEENVIERLHNRAIPFMREKFHRVTLIGEVTHSTNQNLEESFLVRWLKEIALQNKLDMCLVIIEEGCRNFQSNVYFETEVEAVDCALQCIYLCTVTDRWSIMSAILSKLPQMHDSAIQAESLEKRLRVAEGHIEAGRLLAFYQVPKPLNFFPGAQLDEKGVKQIIRLILSKFIRRQPGRSDSEWASMWRDMQYLREKAFPFLDLEYILIEFCRGLLKAGKFSLARNYLKGTSSVSLASEKAESLVIQAAREYFFSASSLSCSEIWKAKECLNLCPSSGNVKAEADIIDALTVKLPNLGVNILPMQFRQIKDPMEIVKMAITSQTGAYFHVDELVEVARLLGLRSADDISAVEEAIAREAAVSGDLQLAFDLCLVLARKGHGNIWDLCAAIARGPALENMDVDSRKQLLGFALSHCDEESISELLHAWKDLDMHGQCETLIMSTGTNPSNFSVQGSTVESLQKQSFQNILDRNVSFQEFDANSTDNQDVHLEKIKDTLSIVAKTLAVGNLTDWASVLTENGKVLSFAALQLPWLIDLSNKRYLNEKLSTGKQYLNIRTQAVVTILSWLARNGFAPRDNLIASLARSVMEPPVTEDEDITGCSYLLNLVDAFNGVEVIEEQLKIRKDYQEICSIMNVGMAYSLLHNSGLGTDPVQRKEILKRRFKEKHTSPSSEDIDKLGKVQSSFWREWKLKLEEQKRLTEHSRALQKIIPGVETERFLSRDSIYIENVVISLIESVKLEKRHILKDILRLADTYDLDSTEVLLHFLSAVLVSDVWTNDDITAEVAGYKEEIIGNGVKTIETISTKVYPAIDGCNKLRLSYVYGLLSECYLQLENTKDISPIAHPEHENANIRFAHYYKVVEKECKNVSFINNLNFKNIAGLHGLNFECFGDEVYACIEESSLSALSKMIQAFVNIYGDSLPKGFMSWQDVYKYYILSSLSALETKATTDSSSRTPECLQGFLSKLEQSYDSCGKYIRLLNQSDALAIMKQYLTVIVPLHSSYGFLPDNSAWQECLIVLLNFWMRLTDDMKEISLEENSGEIISFNPQCLTSCLKVFMKLVMEDIISPSQGWGSIYGYVNCGLSGHCSVEIYNFSKAMVFSGCGFSAIAEVFSVASLETGSSSDVGTGSQDLPRFYSDILEAVLQELVNGSHESQNLYHILSSLSKIEGDLKVLQCVRHVIWEKMVKFSDNLQLPSSIRVYVLELMQFISGKNIKGFSTEILANVQPWEDWDESLYASRKGETGVDKESPDHKDSSSRFTNTLVALKSSQLLTSISPSIEITPDDLLNVDTAVSCFLRLCGEAIEDPHFDALVSILEEWEGLFTMGKDGEITTEASDGGNDWNNDDWDEGWESLEEVDKPEKEKIVDSVSVHPLHVCWAEILRKFMSLSRFSDVLRLIDQSSSKPNGMLLDEDDATRLNEIALSMDCFLALKMSLMLPYKTLQLQCLGAVEDSVRQGIPQTRSKDCELLILILSSGILTSIATGSTYGTTFSYLCYMVGNLSNRCQQALASGRGFTNSEDSENQFFRRILFPNFITELVKADQHVLAGFIVTKFMHTSESLNLISIANASLNRYLERQLHMLQANEFQVEMECCKTLRNTVSRLRGRLINLIQSTLPLLSCSVS >RHN78522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15940659:15943166:1 gene:gene2099 transcript:rna2099 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVISFAFPLFFKILKMGGYHPPDAITFTTLFKGLCLNGDIYKTLHLKVMLAAHLQFCYHSNLM >RHN75739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44677310:44680287:1 gene:gene11981 transcript:rna11981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MDQNQQGGRPSSSTKVERKIVEKNRRNQMKILFSKLNSLLPSYNQKELALPLPDQVDEAINYIKSLEINIKLAKEKKESLMGNKKRSRGGWSSSYGAKGSIELPKIEIHEIGPTLQVIVTCGVDEHFIFCEIMRILHEENVDVISSNSSLAGDSLLHTVLAQVYIHMQITF >RHN44911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9772903:9783126:1 gene:gene39088 transcript:rna39088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GYF domain-containing protein MAMSDGTKMNLPDDLFSSKLTDSSHSSLKDEASGGHGEKGIAALLDDSKDQVLSDSSIPLSPQWLYSKPVDAKPTGNPVGVNSNDPVLKDNWRLEGSLDKKDWRKTTPDVEISRRWREEERETSLLGRRDRRKEDRRLENTSTSENRSLPADRWNDSRGSGNDSRRENKWSSRWGPEEKEKDARNEKRNDVEKEDGHAEKQSTGASNRAVSDRDTDSRDKWRPRHRLESQAAGVATYRAAPGFGLEKGRTEGSNVRFSPGRGRANFNGNLQIGRPPIGSSVGSVLMDTNKTILGKPSLGADSYCYPRGKLLDIYRKQKGDPTFVSMPSEVEQTSPITQIDLVEPLAFVAPADEEEAVLKDIWKGKITSSEVSGYSRGKDGGSIGDISGSGALSEGKQLSISSGGKFISGNEILNESDQLFIESASTAGSLTNTAEEVANFQEGKQKHVPTMGMHWKDEIIPRNKAAESEAFAYHQGQLSSFEEHANQDGIKSLASEISKSLPDDSRSLFDFSSLRQSPSINQHNEKKYPSENVAVPEELSLCYLDPQGMIQGPFLGIDIILWFEQGFFGIDLLVRSSDAPEGSPFQELGDIMPHLRVNTGLGSDSNMVNQSEPSDAIGRNLKVDVNSFDYNGSSFADDQPWSSSRPGSTSSVGIPSQTPNRSYHPEIKFSDEQYFNNIGAQDEGTTMSKLAGSNNGNPLMRPVEANAAYSHHTGKPVANEAIGSDTHNSEADKLHPFGLLMSELRDGSQLRRAQSSNSSLRLGDQSHFTDPLIDRDAPFTDQSSMGGMVNQSSFRDTWTDEYGINRHFNPNQRVGSLEDQFLSRIGPNFNNFDVADHLMLQKLQKERLQQQQAERLQQQQAERLQQQQAERLQQQQAERLQQQQAERLQQQTNISSHFPAYLNGSDLDRFPGFSPSQSNKSGIQQMMQNPGTDFERLFELQAQQRQLEIQQQDMHHQQLLQQLKLQPQQQSQVQQLLLEQLMHQQMSDPNFGQSKHDPSRDNLLDQVQLRRYLHDLQQNPHSLGHLDPSMEQFIQANIGLNAAQGRQADLSELLLQARHGNILPSDQQLRFQQDQLQAQQLSMALRQQLGLDGERHFGRSRPINETGQLVRNPSNHQLGHSAGFNVSEIHKQQQMLAAQEEQLNFLGRNHLEQNQRGFYDPSSMMFERSSPVNVQGRELLERRRFMHPSDQLGPLSSHHNLQSSDDLFGHHSLSGNNGHVDNNWIDPRLQLQHLEAMRQRRELGDNITSADLNIAASAAGHEESSGRGFVDLLHQKLGLQSAQSSTVDKWNHPLSSRSHDNKSWHVPEASSILHPFELPPDQQAHINDPFLERAQSANSSVLMHDHLTNIHMNEHYKNLGNTERVPLRSRSGSLLEEQSLLSTNKDTLHPNYRIPFQIGKSSMEKDLLELDTNKGHRHEFMGTMGKFVPGMSDLSEQVENPMPSMEMPSITHSRHSSLSSAGGGDGGSFGREMGLNSSRGDEVSSDRIPPSTKGFDNAFHKRPHVSRVLSSPDVQSDQPSVPHVSQNQLLNLASNEGRRESSGNLSAASMADAQAAGKKEARFRSSSFSEGAMSEASFIDMLKKPVLPEADVHQTSGAAAEPADGGQAGRGGKKKGKKGKQIDPSLLGFKVSSNRIMMG >RHN40366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15645909:15647489:1 gene:gene46513 transcript:rna46513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MKKRNQSRRGSKRTEKKKDPLPYLSHELIVQILERLPVKSLIRFKCVCKSWFSLISDPYFANSHFQITAATHTRRILCIGHLPHSIDLAASLDDYSTSASLNVDFLHPQNHSDFEIKGSCRGFVLLNYYSILYLWNPSTGFHRKVPLSPIGLKLDAEYFYSFGYDQLKDDYLVVLMSYDPALDNIYSCLEFFSLRDNVWKEMDCPYCPYRSNFDEMPKAGCLYNGAIHWLAFHRDFPWRDNFIVAFDLNERKLFEMPPPDDFEHDAGDCGLWVFGEFFSLWSTDYPNDSFEIWVMKEYKVNSSWTKILVLNIDDDPTLYFYPLCRTKSGDIIGINVGVELVKYDDNGQLLERSPHWESLWGVRSQVAMYTESLLSLPGDNEQA >RHN69749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46009242:46009493:1 gene:gene18262 transcript:rna18262 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGHDIIFNSGSLRRNSDDIIINSGSLRKNYSGKLTFRVFITCFTATFGGLIFGYDIGISGIHSISFYILFSLMKSNSRVYI >RHN80230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36330935:36334356:-1 gene:gene4130 transcript:rna4130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP-binding protein Obg/CgtA MLVFEARYVRQVKVFTRTCVSRWSTVFYSCYSNSPHSKSKLAPLQERRMIDKVKIFAKAGDGGHGCTSSRRSRHDRKSIADGGSGGVGGDVILECSRRVWDFSGLQRHLIAGNGGHGSSKNKIGTRGADKVVRVPIGTVLHLVSGDIPSIVKKVQSSADLDPWDVPGVLVDDHSDPRDGSTSNVTMQEKVNAIHPTGCSSSQATEANVEKSVKSTCVASADVLSQLSSSNETSELGSEDIEGKPEILSNVAELTEEGQQIIVARGGEGGLGNVSIQKDPRKPPTTKAGAGQHVTNLQDTDSVNSSLSTGLPGSESVLILELKCIADVSFVGMPNAGKSTLLGAISRAKPAVGHYAFTTLRPNLGNLNYDDMSIIVADIPGLIKGAHQNRGLGHAFLRHIERTKVLAYVVDVAAALPGRKGISPWEQLKDLVLELEYHLEGLSNRPSLIVANKIDEEGAEEVYEELRRRVQGVPIFAVSAVLEEGIPELKSGLRMLVNGETSCPLCLDQILLD >RHN70228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49900120:49903778:1 gene:gene18788 transcript:rna18788 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFHFFNCAILTFGPHAVYYSATPLSEYDTLGTSIKAAVVYLATALVKLICLATFLKVSESDSFDPYQEFLKALIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWEYILQGLEANANLVLSISLAGLGSLMWLRKNKPKTLIPIIYLCAGIVATMPSITSYLRRGLGWHLPKVVGFELFTSLVMAFISWQLFAACQRPSA >RHN75254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40685939:40686622:-1 gene:gene11427 transcript:rna11427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MARTKQTARRSTLGKAPRKQLATKAARKSVPTTGGIKKPHRYRPGTVALREIRKYQKGTELLIRKLPFQRLVREIAQNFKTDLRFQSHAVLALQEAVEAYLVGLFEDTNLCAIHAKRITVMVKDIQLARRIRGERA >RHN55470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18689804:18690097:-1 gene:gene30652 transcript:rna30652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleobase-ascorbate transporter 1 MMNTYLISVLSNCLSKCTLDVILLSSGLLLIPNFSSLQFNAFLNTIFLSPVTVCLIVAVLLDHTLEVERSRNDRGMPWWVKFRTFRGDRRNEDLLIA >RHN62868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46349521:46358455:1 gene:gene25495 transcript:rna25495 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGGGHCFPYPLFAVIIVLAIVHAILASIATIQSLRIHLRSLQLGWTRQKVFHLLIGSSNWGYSIYLALILLAACKGWTYWSHSCGFIFMALPKVLFFAAFLLLLSFWVDLCHQPDDDDDYEGSFSEEPLLGKTSNELILTNRDRHRKCFPIRFSRLGYRQKIVTLVMLLLFITIVAFAVIIWIGLGKNPIDSEVAARVYLDISAIGMLLLGGALACYGILLCLKMSKVRAEKPSSEMGKVAGLTIVSVLCFTSSSCIELFTDIPMMFHWHQQRMNDVYASLLLILYFFVGSSIPSAVVLWVMRELPPAEAADVVEESSTIAFVANSSVAAHHPRSWTTATSMQNQKNNFLHGLLLTVEALRFKFILFLTSVITQVTRRQELVLYNLSM >RHN49203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53277487:53280247:-1 gene:gene44009 transcript:rna44009 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFLLPMSLFEDIERAVYNFWWGGTEHTRKIHWISKQNLFKHKKEGGMGFKSLRDFNLAMLTKQIWRMHIHPTSLLAKCFKAKYFPNCDVFKAQIGYNPSYAWRSLHNSIWILNKGCCWRIGNGAQVHINSDN >RHN43643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47436337:47442299:-1 gene:gene50252 transcript:rna50252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MFQYFRELCHMGNQRLLFLLGALAVNYLLFQSILVPYENERAPWSSSDFNNAVMVEKVNTPIIEDVGMHNHAKSSLVSELGVDRNDFHILLGKKDVGKNRSLELDNVGGSKKSSIVVLAKESKVDFLVKPSLEPKRGISTISQLVKSNTIDSREHDGVGFDASQSSMSLTNRTRLESSPQIKKLPASDKSTAANNITVRKMRCNMPPKSRMLIQEMNHLLERRRTSSRAMKARWKSKLDMEIFAARSEIEHAPTVTNDKELYAPLFRNHSMFKRSYELMELTLKVYIYMEGNKPIFHQPILKGLYASEGWFMKLMEENKQFVVKDPAKAHLFYMPFSSRMLEFSVYVRNSHNRTNLRQYLKEYTDKISAKYRYFNRTGGADHFLVACHDWAPYETRHHMEYCIKALCNSDVTQGFKIGRDVSLPETMVRSVRNPQRDLGGKPPQQRSILAFYAGNMHGYLRSILLKHWKEKDPDMKIFGPMPHGVAHKMNYIEHMKSSKYCICPKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLNWDSFSLILAEKDIPNLKQILLSVPEEKYLKLQLGVRRVQKHFLWHTKPLKYDLFHMTLHSIWYNRVFQINVRKK >RHN59989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16181975:16184509:-1 gene:gene22167 transcript:rna22167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lactoylglutathione lyase MQKQEVKEEERNSNKKEEKNEKEGDEGTKESNQTPLMALNHISRLCRDVKESIDFYTKVLGFVLIERPQVLDFEGAWLFNYGVGIHLVQSKEEQKLPSPDAQHDLDPQDNHISFQCEDVKGMEKKLKEMKVKYKKRNLEAEDGTTMDQIFFNDPDGFMVEICNCENLKLTPADSQGKIKIPMDRHTPPVETNQNEHDNVK >RHN64991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63496037:63501874:1 gene:gene27880 transcript:rna27880 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHKMCLKSNSNLSMFRYKSLSNSPRTQLVFAIASLCRFPTTQPVQITGILEHR >RHN56240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29159996:29160748:1 gene:gene31608 transcript:rna31608 gene_biotype:protein_coding transcript_biotype:protein_coding MICDWALGLFLEPGGRPLGLRPISMVAPSLQEGLFGLGPPLLRVSSSSEVWHSREG >RHN38621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:767479:770529:-1 gene:gene44578 transcript:rna44578 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYVIGEILIYVSSKMSSKYGGKKMSHLGGCWWDYETLGLEKDVTLEKMKQGLRSEFEEKNVINVWEDLPPIEVYSNPGYRKRFLDQWSDDGFNYNSTLKGSNEADYEILKDIIFWRLKVKWTAERCTLCQIFMSWAMRVMIKRVQKSQFADLIKDMVSNNKVLKKFWVDVENLVETRASPDSYVALFSEDMINKRLLRYLGTLSYNIVLISRDRKNQLQNEANVRITWGGFKK >RHN62608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44658571:44662928:-1 gene:gene25202 transcript:rna25202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MEDHNFVVGQEFPDVKAFRNAIKEAAIAQHFELRIIKSDLIRYFAKCASEGCPWRIRAVKLPNASTFTIRSLEGTHTCGRNALNGHHQASVDWIVSFIEERLRDNINYKPKDILHDIHKQYGITIPYKQAWRAKERGLAAIYGSSEEGFYLLPSFCEEIKKTNPGSVAEVFTTGADSRFQRLFISFYASIHGFVNGCLPIVALGGIQLKSKYLSTFLSATSFDADGGLFPLAFAVVDVENDESWTWFLSELHNALEVNTECMPQIIFLSDGQKGIVDAIRRKFPRSSHAFCMRHLSENIGKEFKNSRLIHLLWSAAYATTINAFREKMAEIEEVSPNASMWLQHFHPSQWALVYFEGTRYGHLSSNIEEFNKWILEAQELPIIQVIERIQSKLKTEFDDRRLKSSSWCSVLTPSSERRMVEAINRASTYQVLKSDEVEFEVISADRSDIVNIGSHSCSCRDWQLYGIPCSHAVAALISSRKDVYAYTAKCFTVASYRDTYAEVLHPVPGKLEWRTDESALDNDIAVVRPPKFRRPPGRPEKKRICVEDHNRDKHTVHCSRCNQTGHYKTTCKAEMISSIEQF >RHN62610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44679522:44680186:-1 gene:gene25204 transcript:rna25204 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKEQPIYTHMVVVPELILPLKTLDKNNNIIPCWIHTGDHHFDLYSL >RHN73857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20695504:20701897:-1 gene:gene9740 transcript:rna9740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator FYR family MKQMELDAIEDSPLRHKPKKDNALESPESLRSKKISARWDPSEACRPIIDEAPVFHPTIEEFEDTLSYIAKIRPLAEPYGICRIVPPACWVPPCLLKEKDIWENAEFSTRIQQIDLLQNREPMKKKSRGRKRKRRRNSKSGTCRRASKSASEANNASEADEKYGFQAGSDFTFKDFQQYASHFKECYFGLKDANEDGKVNDSNHQNRREPSEEEIEGEYWRIVEQPTDEVEVYYGADLETGVFGSGFSKASSIPKGYPDQYAISGWNLNNFPRLPGSVLSFEGSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYLHFGDSKIWYGVPGSHASALENAMKKHLPDLFEEVPNLLNDLVTQLSPSILKDEGVPVYRTVQNSGEFVITFPRGYHSGFNCGFNCAEAVNVAPVDWLTHGLNAVELYSLQRRKTSLSHDKLLFGSSLEAIRALAELTLHGKESSKNLKWRSYCGKDGVLTMAFKARIKMEEERLNCLPTHFKSLKMGNDFDLHTERECFSCFYDLYLSAVGCECSPDKYSCLTHASSFCMCEMDQRFVLLRYNMNELNKLLEALEGDSLALKLWESKNFGMVSAEANEVCMNKPEVDGDNGHEETGCAGTRDRSNSHATSEPMQCESHLVTLSAPNESIDSDNDNMIVVDKDKVDIADSHNKGDSVEEKACCSKIKKEQDNMEIVVDLSPSSSVVKTEVSSCSRNVHNPCTSDSGKYEGQQQMDSDSRKKPKIVVEKVIDTTSASISLTQESFLMQILSTSVKPISLGSVVHGKLWCNKHAIYPKGFKSRVNFFSIIDPTRICSYVSEVINAGLLGPLFKVTMEECPSVTFTETSADKCWESVLKRLHDKITEQRSLGELELPSLELLKSINGFRMFGFPLPSIVQAIEAQDPGHQCAEYWNHKGFPTSPGSVIDNCKVLCCSSSPTKVFGINLTDQAKDNIGGSSSSLEEMKSILQKASPEELSSLRKFLISDAQCSEWRITLTSLIDEIQKAL >RHN42964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42218492:42222151:1 gene:gene49476 transcript:rna49476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MVQLQLSLRAAPKLLFLCFFLILVNFHKAEAGVRHYKWEVKYDYRSPDCYKKLVITINGKTPGPTIQAQEGDTVVVEVNNKLLTENLAIHWHGIRQIGTPWFDGTEGVSQCPILPGDTFVYRFVVDRPGTYLYHAHYGMQREAGVYGMIRVAPNDPEPFSYDFDRSIILNDWYHRSTYEQSARLSAIPFQWVGEPDSLLIHGKGRYNCSLLPSLPAAGVCNSSNPECSPFSQTVVSGKTYRIRVASLTALSALSFQIEGHNMTVVEADGHYVDPFVVKNLYIYSGETYSVLVKTDQDPSRNYWITSNVVSRNRTTPPGLGIFNYYPNHPMRSPPTSPPPPPAWDNVESRHTQSLAIKAHQNYTIKPPTTSDRVIVLLNTQNTIDNVRHWSVNNVSFFLPHTPYLVALKENITGEFNQTPPPDGYDFNNYDIFSVANNTNATSSNGIYRLKFNTTVDIILQNANTMNKNNSETHPWHLHGHDFWVLGYGKGKFDANKDPKNYNLVNPIMKNTVPVHSFGWTALRFRSDNPGVWAFHCHIESHFYMGMGVVFEEGIERVGKLPSSIMGCGKSKGFLGHN >RHN80289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36889667:36893605:1 gene:gene4195 transcript:rna4195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MEKKIFSPLREFKPHLLMLLTQVGYTLIYFITEASFNHGMSPYVYVTYRHIVAGVVMFPFAYFLERSVRPKLTFALFGEIFVLSVLGISLSINLYFASLKYTSPTFLASMYNTIASLTFLIAVVLRFEIVDVRNPRGIAKVLGTLISLAGVMTMTLYKGPLMRNLWEPLIHIQPKSASINKSWIKGSLLTVTFCVTISISYIMQASTLKRYPAQLSLTTWMCFMGAIQSAVFTVIAERNNPSAWIIGFNIDLWSILYGGIVVAGFLTYILLWCTEKKGPVFVTVFNPLCTIFVAILAYFVFGEKLYLGSIIGAFIVIMGLYLLLWGKEGDKVVDFKTKDISQCNSDHP >RHN50338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6300636:6307652:1 gene:gene34612 transcript:rna34612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, peptidase M41, FtsH extracellular MACFSLPCCTGSFLTPNRLKIKFNQCYNFENGFLWNKKFEYYGRKSRNFSLRFQQNSVGNEIEPLVSSRSRDDRKSDYGKGGRGNRLKKRFSLRLRPRLRLLVMRMKRASVESVFNEVGVFVRKNIRTVAFATSFSIVFTLCFLFLKFTSLPPVKMVPYSDLIASLRNGNVEKVLVEEGSRRIYYNTVSDEEESQQVVDVSMDKDIDEVVSENAVKSGGIPVLNKLKKFSKRRASVPEWQYSTRKIDHDEKFLLSLMREKEVTFSSAPQSVLAAMRGTLITVITLWIPLIPLMWVLYRQLSAANSPAKKRKPKSQTVGFEDVQGVDSAKVELMEIVSCLQGDINYQKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGVPFFTVSASEFVEMFVGRGAARIRDLFSRARKFAPSIIFIDELDAVGGKRGRGFNEERDQTLNQLLTEMDGFESEIRVVVIAATNRPEALDPALCRPGRFSRKVFVGEPDEEGRRKILAVHLRGVPLEEDANIICHLIATVTDGLVGADLANIVNESALLAARRGSETVAREDIIEAIERAKFGINDKQLRSSKISKELGKLFPWMPSLMGRSDRKQDDIQGPLGYQSLNS >RHN69495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43869077:43871581:-1 gene:gene17974 transcript:rna17974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative naringenin-chalcone synthase MAYLEGIRESQRARGPATILAIGTATPVNCIYQSDFTDYYFRVTKSEHMTQLKAKLKRICEKSMIKKRYIHLTEEILKEHPNISTYEEPSLNVRQDILVEEVPKLGEKAALKAIKEWGRPKSEITHLIFCSTSGVDMPGADYQLIKLLNLNPSTKRFMLYHQGCYAGGTVLRLAKDLAENNIGARVLVVCSEITVVTFRGPNETHLDSLVGQALFGDGASSVIVGSNPNTTLERPLFHLVSASETILPNSEGAIEGHLREVGLTFHLKDNVPSLIGENIEKSLEETFHPLGITDWNSLFWVTHPGGPAIIKRIEETVGLNSDKLNATKHVLSEYGNMSSACVIFILDEMRRRSMEEGKTTTGEGLKWGVLFGFGPGLTMETIALHSANIDHGY >RHN55458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18563491:18571323:-1 gene:gene30640 transcript:rna30640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acylaminoacyl-peptidase transcription factor WD40-like family MQSGGEDATTEKENYASLSNLLPHFTTIPNIHKAWLFNSHTTNTQGMFSITQPDVLTNKNKTLILSCNFVKQKSDGSVVEFLWAPFPIEMRGDVSMIVPSPSGSKLLVIRNSDSEGGGSCCFEIWSSSCLEKEFSIPQSMHGSVYNDGWFEGVSWNLDETLIAYVAEAPSPTKPVFNNTGYKKGGCAENDFGIWKGQGEWEEDWGETYAAKRQPTLFVININSGEVQAVKGIDKSLSAGQVVWAPFTEGSEQYLVFVGWSSGTRKLGMKYCSNRPCALYAVRAPHHESQSEEPEHHSSTEELHALNLTPTISSALFPRFSPNGKFLVFLSAKSAVNSGVHNATNSLHRIDWPTDGKLYQSANIYDIIPVVMCAEDGCFPGLYCTTVHNNPWLSDNCTMILSSIWHSCEVLLSVNVLSGKILRISPADSNFSWNLLTLDEDNILAISSNPVNVPQIMYGMAIEKTKGNSIWSWSKISSPILKCSDKVRSLLSSIQCSILKIPVTDGSSNTTKGAAKPFEAIFVSSKTKNKDVFDPLIVILHGGPQDVSSSHFSNSWAFLSSVGYSLLIVNYRGSLGFGEEALQSLPGKVGSQDVNDVLSAIDHVINLGHASPSKITVMGISHGGFLTTHLIGQAPEKFVAAAAINPVCNLAMMVGTTDIPDWCYVEAFGTTARDCFSEAPSAEDLTLFYSKSPISHVSKVKAPTLFLLGGQDLRVPKFDGLQYARALKEKGVDVKIIMFQNDVHALKRPQSEWECILNIGVWFNKYCK >RHN54452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9714565:9716279:1 gene:gene29498 transcript:rna29498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation HMG family MPKAVSDAKPADNRLKRKGAGTGTKQSKKAKDPNKPKRPPSAFFVFMSEFRERFKKENPSNKSVAVVGKAGGKEWKALSDADKAPFIAKADKLKEEYEKTMRAYNMGITEKNASEEEGSEKSKSEVNDDDEDGDDEEDEE >RHN67843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30689174:30689421:1 gene:gene16083 transcript:rna16083 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGNVVIWTMDTMTHRHAHTHLRCMHRIAWLNKRLGFKHLEKIHLFPKKNN >RHN57309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38036198:38040922:1 gene:gene32834 transcript:rna32834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-tyrosine-phosphatase MQQHVGAEVQHTQHKKKNQETQMCRKIKLNISDHNNLKQTTTVDDVDVCDKIDGEDLFIPPLNFAMVDNGIFRSGFPEPSNFSFLQTLGLGSIIYLCPEPYPEANLEFLKSNGIKLYHFGIEGHKEPFVNIPEDTIREALKVLLDVRNHPVIIHCKRGKHRTGCLVGCYRKLQKWCLSSVFDEYQRFAAAKARVSDQRFVELFDISSMKHLPIPFSYLKR >RHN64838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62379745:62383952:1 gene:gene27704 transcript:rna27704 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGAKKRKEENSRHITKLRHIIIACNVIYLIIRMLIFHSSFTWKHWIGLVLTSLAYYFPYQQLAKMAKPSYTQDGELLDGGFDMTTGGVCGYLHDVIYITCFVQVASIISGKFWYIYLVIPAFGAYQSFGLIKGFLPQGSEESVEDEKTRKKREKMEKKASRPKFVKTRTR >RHN43005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42515920:42516333:-1 gene:gene49523 transcript:rna49523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MENGSCQLPSIYGTKKIRTYAHCAKETVGRVVASHTLLLLNLDNSTTVDVQVTLNYVGESQRREYHLTAKDGNLRCQTMLLNGNILSVNSAGDIPLLNPINNQLILQLTLQKYDKAKK >RHN38668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1194315:1201262:1 gene:gene44627 transcript:rna44627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ELMO domain-containing protein MDDRGASFVAVRRPNPHTDTCNSNSAEAVAGSAAWLGRGLSCVCAQRRDSDAGSFFDLTLAQEECLERLQRRIEVPYDGSIVEHQEALKALWKVAFPEEELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENFLFFARNFPKSFQDLLWKREGDRSVWEYPFAVAGVNITFMLVQMLDLEAVKPRTLVGATFLKFLEENESAFDLLYCIAFKLMDHQWLSMRASYMDFNTVMKSTRQELEKELLQEVSRLEDLPSYKLLSQ >RHN69392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43083851:43084829:1 gene:gene17855 transcript:rna17855 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKGFEKKSNEVEFSEQKVEEDKVVLDEEEEGPSEKTKILKKQKNQKDLILFLEKVKKTVVLVYQ >RHN75654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44114974:44115596:-1 gene:gene11888 transcript:rna11888 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFCVKLCSPNFYSVLFAMASTSSASLTPTAKGYFKPIHRKSTDIGWRWSSYKDESKKIILCDFCGHPTGGITRAKKLQLGIKGEVKPCEKTPEEVKILLKNHFDGKQAAKDASSGPAKELPMQTPASAPAPSRPLQDELGSLAKLSPMPISFAIPATEERFSPKKRQRVL >RHN44475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5151295:5156051:-1 gene:gene38598 transcript:rna38598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MLVKYSKRKSPSKKQYPTVIEERCHQFSLDDLKKSTNNFDEHLRIGLTEFSIAYKGYLKHNGETDYPIAVKRMIHIFNEWKFKKEIELNCQLHHPNLTSFIGFCDHKYEKILVYEYMSNGSLYDHLLLRDMESLSWKKRLEICIGAAKGLHYLHTGAKRAIFHCDIKPQTILLDKNMVPKLSHLGFSLQGKLPNSKPKPVEVNMLNDKSDVYSFGMVLLQVACTNYKNTIFDKMIMLDDTYWFSEKSFNPANFLERFPANEIIDPILMRLIAPQCLEVFMDIMKRCLNIEPNERPAMGEVEVELEHALELQEEADCGKSNDDFYLFPSTSST >RHN76447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50334622:50341497:-1 gene:gene12774 transcript:rna12774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative maltose-transporting ATPase MEGTDIYRATNSLRARSSTVWRQSGVEVFSKSSREEDDEEALKWAALEKLPTYNRLRKGLLTASHGGAHEVDVGDLAFQEKQKLLERLVKVAEEDNERFLLKVKERVDRVGLDIPTIEVRYQNLKIDAEAFVGSRALPSFINAATNVVEGVLNFLHIIPTKKRHVSILKDVSGIVKPRRMTLLLGPPGSGKTTLLLALSGKLDPSLQLTGSVTYNGHGLNEFVPQRTAAYISQHDVHIGEMTVRETLAFSARCQGVGSRYDMLSELSRREKAANIKPDPDIDVYMKAIATEGQEYSISTDYVLKILGLDICADTMVGDEMLRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVSSLRQYVHIMNGTAVISLLQPAPETYDLFDDIILISDGQVVYHGPREYVLDFFETMGFKCPERKGAADFLQEVTSKKDQAQYWVRRDQPYRFVTVTQFAEAFQSFHIGRKLAEELSVPFDKTKSHPAALTTKEYGLNKTELLKANFSREYLLMKRNSFVYIFKLTQLFIMALIAMTLFFRTEMHRNDQDDAGVYAGALFFTLVTMMFNGMSEISMTIAKLPVYYKQRDLLFYPSWAYAIPSWILKIPVSLMEVSLWVFLTYYVIGFDPNVGRMFKQFVVLFFMSQMASGLFRAIASLGRNMIVANTFGSFALLTFLSLGGFILSRKDIKGWWIWGYWISPLMYGQNALMANEFLGHSWHNATADLGKDYLDTRGFFPHAYWYWIGVGGLVGFVFLFNVAFGVALAVLGPFDKPSATITEDSEDDSSTVQEVELPRIESSGRADSVTESSHGKKKGMVLPFEPHSITFDDIVYSVDMPVEMKEQGVREDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGDIKVSGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPSGVDSNTRKMFIDEVMDLVELNSLRNSLVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSTHLIKYFESIDGVSKIKDGYNPATWMLEVTTTAQELNLGVDFTDLYKNSDLYRRNKQLIQELSVPAPGSKDLHFPTQFSQSFLVQCQACLWKQRWSYWRNPPYTAVRFFFTTFIGLMFGTMFWDLGGKHSSRQDLLNAVGSMYTAVLFLGVQNSSSVQPVVAVERTVFYREKAAGMYSALPYAFSQILVELPYVFAQAVIYGVIVYAMIGFDWTAEKFLWYLFFMYFTLLYFTFYGMMAVAVTPNHHVASIVAAAFYAIWNLFSGFVVPRPSIPIWWRWYYWACPVAWTIYGLVASQFGDITTVMSTEGGKDVKTFLDDFFGIQHDFIGWCALVVGGIAVGFAFIFAVAIKSFNFQKR >RHN70050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48327662:48338711:1 gene:gene18590 transcript:rna18590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H oxidase (H(2)O(2)-forming) MGADEIEEISDNIELIGGGITERVPQNGSLSGRFNKMVSRRKVKISSKEQNEEENECAQITLDIPGDSAVSVEPLAGNNDRGEDGKLDLLSKRIEKKRSHLKQVSKELKRKVGTEKKKYDRTTSAASNALKGLNFITKAARDDGWEKVYGEFYRLTKKTNRLLPRSMFAECIGMKNSKTFADELLNVISKRREIDGDPLNEAELKEIWEAISDDRYDTRLKTFFDMIDKDADGRITEDEIKQIIILCAGTNRLASIENHAGQYAALIMEELDPDGLRFITLDQLEMLLLHGSTNFTTLDSKDLNKMLSSIKPKPRYEGGVGKRCMDTIYFLPDSWKRNWILVLWIGVMLGLFAYKFVQYRRKAAYEVMGHCVCMAKGAAETLKLNMALILLPVCRNTVTWLRSKTKLGIVVPFNDNLNFHKVIAVAVAIGVGIHAIYHLACDFPRLLHANSEKYKLMEPFFGKQATNYWHFVKSWEGVTGIIMVVLMAIAFTTASPWLRKRKVEEPKTPNCLQPLLNSVTGFNVFWYTHHLFVFVYALLIVHGFKLYFTKEWYKKTTWMYLAIPVTIYALERLTRILRPRIKSVKILQAVVYKKDLNSRGDVLGLRVSKPEGFRYKSGQYMFVKCANVSPFEWHPFSITSAPGDDYLSVHIKENGDWTNSLIKEFSKSKCCLRSIHGQSELLMDEHNSSSTPLPKVLVDGPYGAPAQDYKKYEVVLLVGLGIGATPMISIVKDILNNIKAKEEEERITNMEEGTIGKSSRPSEHKKTDLSNFKTRKAYFYWMAGEQGFFDWFKGVINEVAEEDHKKVIEIHSHLTSVYEDGDARSALVTVLQSLNHAKEGLDILNGTPIASYFARPNWRSVYNRIANNHPQKRIGVFYCGAPAPIKELRGLALEFSQERAPKFDFHKENF >RHN80183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35921459:35926991:-1 gene:gene4080 transcript:rna4080 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIRESRKSNGFPRHRHRNLEYEAVELREGSRKIGRNHDRELRKRRRHSHSHRDEGERSTEVSFGNEQNDHVREISRIRLSNTTSFGASDQNHRRSVTAAKPPPFKRTDEIIGVVVPRKARSASVKRLREKWITGGGTEEQIFRRRSNSPSDSTSLDVSSQLKKRKVIGDVDKTSKSSSSDMEIEIAELLSGLRTFKNLESSSEKVEATINHNASMDTETKKWEVYNSTEELVRIQCDQAVDAGCHDGNVTCHENGSLEVEIEKVNSGARFGGASADGKPLSTTRGSQSCMKLDAADKHDSVSTREMSTAPATQRLGKFEIDLMAPPPMMLSPKGNDLSRGNLTSASIKLAPDLEMKRENSIKVEDKVERQATVEKNPEEIEEEANMATMNVLKHYLEKPNNDNDIKINNKLEEQGRNKEQPIALSNPKVEKTACSSSVPLPAAVSGLPSSLSPIGSITMTGGCTPPLQTVVKMNTTTGSSTSAQRVNFALSEPQPKRCATHYQIARKILLKQFTKMNPLLSAAIGSGSLCAPKTNNVNCLLSAESMIINKQSQKHLPSLDQNGTQEKRLTVIGDHNLTATKNSNNPNPVDSIHQMHLLQQGPFAGSTGNLVHGPAFVFSPAHYQASVAAATNQAGGVSSPNNTSSYNRSQSSVAGSPCTTSTLPATAIANAMSFSYPHFSANNSPYATLVHNNGYSFPVSSSSLGATAAIRNASSAQTTHILGGPLYSSQTFHSLQYPQQHPHSQAHVQPSYLTTQTSSSSSSQMQPHGAQVNGNSILTSTTAERRSQKQHTLQSHPHKQETEVNGKNVPSVANLTSCPLKNLQGQNFTIPVQPVNFSFKPCATSDSVGGNSGNFGDKQQQALKGGVEVIPSQPFAVSFGSFNGTNLPSNLNFSSMKQNPLVIQSLPDVARQGYQAASTSHIVQQKNYSTTIEKRGGNSSHKDDEKKTTHGKSSANGPTTLVFDNSSKNINFVLSHSNGNWPSHSITSTVTTTMPFSSNTSSSQQSAQSLQLHKQHGMQQQQPAAATRNKASSTNTTSATNFANNGPVFSQSHTQCNSSNQTSHSKITGRTTSYHVHHTPSTTIKTPTTKNDLEKGRFSQGRMQISFGGNYTTSLPSQGKHQLNNSQPLYTTAAGNTFNGGNLKPNLEGWKVDSSVNTSQLQQTENSSGSGQKSSPVCGRNVPSILSSCPSHLSEMK >RHN42441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38379568:38383954:1 gene:gene48883 transcript:rna48883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, signal recognition particle, SRP72 subunit MAPKPKTTPSQPPAPPPLEDLFTTLNKHIQASAFTNAVKLTDQILAIAPADEDALRCKIVALIKDDRFDDAVSAIQSSRTPPEDFHFFKAYCLYKQNKLDEALESLQKHERNDETMLLESQILYRLGKMDACLDIYQKLQKSKIDMLEINSVAALVMAGRSSEVQGWLDSFRVKATSSFELAYNTACSLIQRNKYTEAEQLLLSARRIGQESLMEDNWPDEDIENELSPIAVQLGYVQQLLGRKQDAIEAYVDMIKRDMADESSIAVAVNNLVSLKGPKDVSDSLKKLDRLKEKEMQSFRLAHGLDLKLSAKEREAIYANRVLLLLHANRIDQARELVSALPVMFPESAVPVLLQAALLVRENKAGRAEEILAQFAGKFPEKSQVLYLARAQVAAAAGHPHIAADSLTKLPDIQHMPATVATLVSLKERANDVEGAAVVLDSATKWWSNAMTEDNKLNIIMQEAASFKLRHGREEDAAKLYEELVKSQGSIEALVGLITTVARLDVIKAELYEKKLKTLPGLKGIDVDGLERTSGVKQVEGPLHAGVAETNDEGKNKTKTKRKRKRKPRYPKGFNPANPGPPPDPERWLPKRERSTFRPKRKDKRAAQVRGSQGAVVRDKQEAGASSSHSNPKSNQATSSKGATQSAVSEQTKPSSKPSSKSRKKSRK >RHN39435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7103826:7105749:1 gene:gene45457 transcript:rna45457 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNLASQLMMTNGALYAQQLQLSQQAPLNNQQNGQPAILPSVSGTASLLPLQQLQRQQQLASSAQFQQNSLTLNQQQLPQLMQRRSMGKLQFQQQQQQQLLQQQQQQQQLLQLQQQPQQQYQRLQQQLASSAQLQQNSLTLNQQQLPQLMQRRSMGQRQLQQQQIQCRCCSH >RHN62151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41210899:41212262:-1 gene:gene24695 transcript:rna24695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MDDYREGSLSSSYYSILGVSSDSSIDEIRRAYRKLAMQWHPDRWTRTPSLLSEAKCKFQNIQEAYSVLSDPKKRSMYDAGLYDPQEEEVEDFSDFVDEMVSLMAEVRREEKVYGLEELQDMFMEMAEGFQSPSVYCGTPSVVDESFANRGSVLTR >RHN63560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52213793:52217167:1 gene:gene26274 transcript:rna26274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative MT-associated protein TORTIFOLIA1/SPIRAL2 MSSPQNVKQKVFTCLTKLSDRDTHSLAATELITIARNLDTTTVPVFLSCMYSTDASDKSPVRKQCVQLFGLLSETHGNTLSPYLSKILANVIRRLRDTDSSVRSACVNSVSALSCHVTKQPFYTFLKPLSEALFTEQEQNAQIGAALCLASAIDGAPDPEPARLAKLLPKFQKLLKREVFKAKPALLTLIGSVVEAGGASGHASLKNLVPCLVESLSNRDWAVRKAAAETLVVLANVERDFLSEFKADCMKVFDNRRFDKVKLVREVMNQMLEAWKHIPDVSDDFSPPPKSQSSSKENASDGRYPPVSQNSCNPGSVMANLRRRSAPVNRFSPPDSSTASNGKNVSSLSSNKRRSLGVSRKLNQKNWDVQVAMADQGELQERDENGTPLETSKVDKSRFLKPEMNRALLNKNSDDRIKKHGGSRVVPYHEESQDSVPVNNVSKDLFKNDKESEELSLIRNQLQQIEKQQSSLLDLLQKFIGSSQNGMRSLETRVHGLELALDDISYDLAVSNGRMTNSNVPRNSCCLLPGADFLSSKFWRKTQGQYSSPQFSRRGGAPSLATTHYTAGRNAETNFTSQRFRLDGGFITNPLAEVHTNSRNFA >RHN45792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25634005:25646523:1 gene:gene40196 transcript:rna40196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MNTFILSSVNHFLRLRSLRAKLHRQGIHGPSPDFYFGNIKEMKTLLLQQQTQVKQIKQEHEDEDVCASISHSWTSTVFPHIHKWRKQYGPTFLYSTGSIQWLLVTDVEMVKEILLNTSFNLGKPSYLSRDMGPLLGQGIVSSSGLIWSHQRKIIAPELYLDKVKAMVDRVIYSTNILIRSWESRIERDGVVSEIKVDEDLRSLSADIIARVSFGSNYVEGKEIFTKLRDLIKLLSKIYVGIPGFRYLPNKSNRQIWRLEKEINSNISKLVKQRQEEGHEQDLLQMILEGAKNCEGSDGFFSNSISQDRFIIDNCKTIFFAGHDTTAITSSWCLMLLAKYQDWQDRARAEVLEVCGNGNPDASILRTMKTLTMVIQETLRLYPPAVFITRTSFQDINLKGIKVPKGINMQIPIAILQQDIDIWGPDAHEFNPERFANGVLGACKIPQAYMPFGIGSRVCPGQHLSMIELKVFLSLILSKFRVSLSSSYCHSPAFRLLIEPGHGVVLNMTRI >RHN50914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11778782:11780184:1 gene:gene35267 transcript:rna35267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase TKL-Pl-4 family MLSKVQHKNLVKFVGACKEPVMVIVTELLLGGTLRKYLLSMRPKCLNMRVAVGFALDIARAMECLHLHGIIHRDLKPETRMWYLCLVGYCSMISVQMEK >RHN69204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41785321:41786306:-1 gene:gene17642 transcript:rna17642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Oil body-associated protein MSSTNGQPHVPGEPTKTTTSVLETATSTIQNFGPLNKIHQHLCAFHFYSHDMTRQVEAHHYCGHQNEEMRQCLIYDSPDKNAKLIGLEYIISENLFLTLPDEEKRLWHSHLFEVKSGFLFMPNVPKPIEHKDMEKVCKTYGKVYHFWQVDRGDKLPLGIPQLMMALTRDGQLYDHLVQGCVDRMGVDFEKERKNREYMTGPKHGIHPLANGAGKGIETRLREVELKHDSPPPSATRVFV >RHN66876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20717632:20718072:-1 gene:gene14989 transcript:rna14989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MCRVPGEYYYKEDDDDHKRTWLDNGNSCECLTSTLKVVEMNNFTGFVNEILMLHFLICNGTVLRRVNINVQNEETEVVEKCRKVEELMMTKPRASNDLEILFSY >RHN76283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49132007:49132228:1 gene:gene12582 transcript:rna12582 gene_biotype:protein_coding transcript_biotype:protein_coding MLDENDLFGEHENGPEGVNEDGLGNEGVVEDGLGNEDGMGNVTAEREDSALGVHFGDSDERLKNHGNGFWSFS >RHN75048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38958295:38961492:-1 gene:gene11197 transcript:rna11197 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIFVVELEGRSYRCKFCRTHLALADDLISRAFHCRRGNAYLFSKAVNTTTGTPVERMMLSGLHTVTDIFCCCCGQIVGWKYESAHEKSQKYKEGKFVLERGRIVDDVDSSTEFYIDSHVSMSDGEDA >RHN49266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53670258:53671355:-1 gene:gene44080 transcript:rna44080 gene_biotype:protein_coding transcript_biotype:protein_coding MILVNRLLSRLSCVFLLAAPLLDTPLHPSVLLIFFLSHISLLASTFLGESSIEEPPLLSLRRLVVIVEASSNSTGRYFNCFAFSFNSFPSEYLLVSITVNFVDFCLMLLGASLLWFTFLVYLNPLYFLHPTSTSTLVTDFNSLKADDFPPCTKLVSITKNLI >RHN56300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29628192:29637129:1 gene:gene31678 transcript:rna31678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor, K-box MTFSSMRSVIDRYNKTKEEHNQLGSSTSEIKFWQREAAMLRQQLHNLQESHRQIMGEELSGLTVKELQGLENQLEISLRGVRMKKEQLFMDEIQELNRKGDIIHQENVELYRKVYGTKDKNGTNRVLSLTNGVGIGDDSNVPVNLQLSQPQQQHYKAPSGTTKLGLQLH >RHN50562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8095102:8095557:-1 gene:gene34862 transcript:rna34862 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLFGALPASKSKKIDVDLVHKIRRYIILGICKKFDHYL >RHN54863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13030641:13036784:-1 gene:gene29958 transcript:rna29958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MSPTAVVTEDEGRRKLSSTMERNYLGLSDCSSVDSCDSTLPSLCDEKKVNLNLKATELRLGLPGSQSPEREMDSDFYLTKLDEKPLFPLLPAKDGLQKNVVSGNKRGFADTVDGFSQGKFNGNTGINVMLSPRPAGAQASTVKEMPSKVLQERPCAARGTAGHNHAGAASVAGCAPASKAQVVGWPPIRSFRKNSMATASKNNNDEVDGKPGPAALFVKVSMDGAPYLRKVDLRTYATYQQLSSALEKMFSCFTLGQCGSHGAPGKEMMSESKLRDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIDTCRRLKIMKGSDAIGLAPRAMEKSKSRS >RHN67725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29759314:29760366:1 gene:gene15945 transcript:rna15945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hyccin MSDDEATATTTTTSAVTFTPNTLDKIQSALQSLCTIVNPTLSITSSTTPLSILNEPEIYNQIATLLRQPNSGAGDNNLCRWLYDTFQSNITDLQLTVLRFLPIIAGVYLSRVADRKPQAGFEAVLLALYAHETTSRAGEAVTITIPDMTHPSVYHESKVVNNKNSATDLNIVVLSPSLEPHGTVRSTRRARIVGIAFELFYSKISEMPVSPKIDFCNFCKIWAGEDGDMYKNFEDEDEDDEEEDEEEENNEVEIDKEGEEFVKTGRVPMPWELLQPVLRILGHCLLGPNNKDTMLFEKASEACRCLFARAMHDVNPKAILPMRSLLRLSKNVVVDDFDPTEPPKTDVITL >RHN68765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38362446:38363062:1 gene:gene17158 transcript:rna17158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative groES chaperonin family MAKRLIPTFNCILAEKIVPPSKTSAGVLLPEKTSQLKCGLTKSVEI >RHN67586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28419598:28420586:1 gene:gene15788 transcript:rna15788 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTNYLFEKTSMPWKKNIRKRSEARNLHGKCCCIIRIPWKSEFSPIEFLKGVAEKVKKAMCLSSVRRSSNRVSSSMGRSSTIGVSVDYFRAAAVEDCIEFFHIQSSFSRSNSLTITPRDEFMHFSLRKLDS >RHN74369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32276089:32279985:1 gene:gene10420 transcript:rna10420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MQMNRKLMQWSTQILMTLLLIQIIHVHSMNNNEEDHEEHENMEKMSLELIHRHDSRFLGEVDQVEAIQGFIKRDMNRREMMDQRIAMNEGYNNRRKDIEMKQDFEFSMHSGRDVEIGEYFVGVTVGTPGQKFWLIADTGSELTWFKCMKKVHHNHQHKHKHNKHKGGSKKKSRTKSHTTTRRRAHSHNPCDDAFCPHRSHTFHQISCASKMCLAELPYCLSDCQSPSDPCLYEVSYGSGISSAVGFYGTDTISINLSNKKIGKLQNQTIGCTQSVINSPGFPYENVGGILALGFRHHSFVDNAGLKFGSKFSYCLVDHLSHKNVSSYLTFGTAPKVKLLSEIKRTDMLLYQPFYGVNVTGISIDDQMLKIPPKVWDFDAGEGMIIDSGFTLTALVLEAYYPVVEALKKSLHNVNTINDPNNGGLEVCFDAEGFDESVMPKLVFHFGGGVRFEPPVKNYIIDAAPKTKCLGFLPINGTRNSIIGNIMQQNHLWEFDIYKNTIGFAPSPCH >RHN54202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7768292:7769009:1 gene:gene29202 transcript:rna29202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MQREISHCLNMVNLVTVALSLISWTMKMTGMKPYTVEIEPGTVMKFWVPSETISTPKPKLKHISKPTKPVVVLLHGFAGDGLVTWGFQINTLAKKYAVYVPDLIFFGGSTTDKPNRSPTFQAECLVVGLKKLGVEKCVLVGFSYGGMIAFKMAELYGEFVQAVVVTGAVLAIQESLISRAVEDNGFSSCSEALLPSSTEGLNALLSLGVYRNIWFPNCMLNDFLKV >RHN39865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11197539:11197880:-1 gene:gene45943 transcript:rna45943 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDRFINQKMLGHLLIFTRGGLILWSYSNNALKGLILWSSLGMHMELRTLLNSQMTVTKKGENDHFVVASNVNGYNLKINGSGIVSVNGKDKDNDNDNDSANNVAFDVNCYS >RHN40982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25333835:25334828:-1 gene:gene47241 transcript:rna47241 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYWVGLLGTGRSLQRDLNRIAEVADTSSPEGLNYILTETSLALLRHPDYCISVYSSVDIKRGIEEGEECFNQLSIEERGKFDDETLVNVDNIKRQSTRSQISNGCSNEYIVVS >RHN38977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3195782:3196364:-1 gene:gene44958 transcript:rna44958 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMRLLQLDHVDLTRDYKCLSNDLRRAHWKGFTFNNISDDF >RHN70748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53708307:53709828:-1 gene:gene19362 transcript:rna19362 gene_biotype:protein_coding transcript_biotype:protein_coding MIAARFGCCLLIAGQIFVPVQHQFFWFDSSKVQQLCVDSRADFLGQFLGCWFRVFRYIGDLCLFSLSASLLHLYTLDVNKICLF >RHN70471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51647690:51652661:-1 gene:gene19051 transcript:rna19051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MDSSQDSRLAGLVKNASIKRDESSFDRVPVYVKELIAGGFAGALAKTSVAPLERVKILWQTRTGGFHTLGVCQSVNKLLKHEGFLGLYKGNGASVIRIVPYAALHFMTYERYKSWILNNYPMLGTGPSIDLLAGSAAGGTSVLCTYPLDLARTKLAYQVVDTKGCIKDGIKAVHSQPVGPVHNGIKGVLKSAYKEAGVRGLYRGVGPTLTGILPYAGLKFYTYEKLKMHVPEEHQKSILMRLSCGALAGLFGQTLTYPLDVVKRQMQVGSLQNGANGDAAYKNTFDGLRKIVRNQGWRQLFAGVSINYIRIVPSAAISFTTYDMMKAWLGVPPQQRSKSVSSG >RHN60978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32013388:32014402:1 gene:gene23384 transcript:rna23384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A.1 MEASTKTTKKGAGGRKGGGPRKKSVTRSIRAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLNGVTIAHGGVLPNINPILLPKKTEKAVSKEPKKAGKSPKKA >RHN59245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8760015:8761361:1 gene:gene21248 transcript:rna21248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller MFTQVSVWAYVCLMSNSYKEENQLNFEAVHLMDLPSNNHQLDVEEKQCMSKELEKQVVDPKEEEKSKNEEEDMQNDVIFPVHSIDNGNGKRYDIMVSDSNLLSEHLGRDISIHCLLRLSRSDYGSIAAINKSFRSLIRSGELYKLRRKAGIVEHWAYFSSEALKWEAFDPNRNRWIHLPKMTCDACFSLADRESLAVGTELLVFGKELMDPIIHKYSLLTNMWSVGNMMNTPRCLFGSASLGEIAILAGGCDPCGNILSAAELYNADTGNWKTLPNMNKARKMCSSVFMDGKFYVLGGIAADKKTQLTCGEEFDMKTKKWREIPNMFPVRTGVFETPPSFGSPPLIAVVKNVLYAADYGQQKVKKYDKDNNSWVIIGSFPEQATSMNGWGLAFRACGDHLLFLGGPVIHGGIMMEINAWIPNEGEPQWNRLAGNQSGGFVHNCTVMGC >RHN43178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43792057:43793757:-1 gene:gene49714 transcript:rna49714 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKLLKNQKPCLEKAHISNIISFFFLYLVESDIFWRFKLND >RHN78216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12869311:12870645:-1 gene:gene1750 transcript:rna1750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MVKKARKYPTVNENPFFVVIMTQSYTNGYFLWVPSKFSREHLNNFKGTATIRVGNERSVEVSLRYYDTKDKSCVGGGWKIFREKYNLQVDDVCKFEMIQRRPFSFTVTITRARNGPSGVPCGNNVVKQKDIEGTSSGSRPKENFLDGILRIISVPKEFMKKGSNENIVELKMGRKSWFVKVNYYESVHGWRFNKGWRKFMEECKVEIGDTCLFNLIDERKFVFDVSIIGRTH >RHN53008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41108856:41110002:-1 gene:gene37751 transcript:rna37751 gene_biotype:protein_coding transcript_biotype:protein_coding MEIETNQTMVVTKKVWNTLRIIFFMFTKNIAKSKMVAELNLLLKRSKLAAIKAIANTLALRHHSTASFVSPHDYEFSCSNSPAAIKFHNKNNNYHRGRHHNDVSTIQKVLEILNDVDSSSFSSPSPLVTFPGFGKSPIGKKIRVADSPFPLKDEEGDDHSHVDVAAEEFIKRFYKNLNLQQKLAAIQSPYNNSRNR >RHN43042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42783818:42788965:1 gene:gene49563 transcript:rna49563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain-containing protein MENLDAKIEQLLNVEKQMRLNGEIVGTRKAAIDILQLCFEAKAWKTLNDQIVVLSKRRGQLKQAVTAMVQQAMQYIDETPDIETRIELIKTLNSVSAGKMYVEIERARLVKKLAKIKEVHGLLAEAADLMQEIAVETFGAMAKTEKIAFILEQVRLCLDRQDHVRAQILSRKISPRVFDIDASKEKEKPKEGDNMVEEAPADIPSLLELKQIYYELMIRYYSHNNDYLEICRCYKAIYEIPSIKENPADFIPILRKICWCLVLAPHSPMQSSLLNSTLEDRNLSEIPNLQLLLKQLVTMEVIQWTALWETYKNEFDNEMVSGKFLSEKAAEDLKQRIIEHNILVVSKYYARITLKKLAQLLCLSLEEAEKHLSDMVVTKALVAKIDRPMGIVCFQTAKDSNDVLNSWAANLEKLLDLVEKSCHQIHKETMVHKAALKV >RHN81817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49131845:49132168:1 gene:gene5907 transcript:rna5907 gene_biotype:protein_coding transcript_biotype:protein_coding MWNIWCSINKFVFVAKTIFGNIREQNELKTCREVCLQRGKHDTLIVNVDEGALTYPGLASFGGLIRHIDGSFIFWFHGSVGWSNILQAESGYQAMLGGEIQTSCQLL >RHN46687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34044387:34046704:-1 gene:gene41206 transcript:rna41206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MAPPIPTCSQILQPHTLINIPFFTTKSNNNKTINFHPIKPFIQTTHSFSITNSTTKHTTNNNLVDFQDKTTRSEIKNLVQKITSLSTSKNKTQLLLQILDNTASEFQIQTISDFNHLLMALVIAQKPDFCQTMFTKLSSFHLVPDSCTYSIMIRCHCSKNELEEAKRVLFTVLENGFEPDSATITVLINSLCKRGKVKKAMEVFEFLERKGLKLGVQAYNCLLRGLAYVGRVDEAVEILMDMKTGNIGVDVYSYSAVMNGLCKVGRSDEAMELFDEAVGVGLRPDVVTFNALIEGYSREGREMEGVGVLKMMKEHGCVADLINYKTVLHGLLKWNETVEAFGVYKEMVRIGFEVDSRMMGTLVRRLCKLSWREKGLLEDACEVFEKMKERGLVVDKRTVEVMVEALFRGEKFDEALVNLNDMVRWGYSLEGIAFEEVIEGLCGQGRVDEAVSTLLLLQANGGFLDRVSFGVLVNELNAHGRVFCASFLFGVALKHGVVLVLNKELQADRKELANIWIPGE >RHN63029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47731440:47734679:1 gene:gene25677 transcript:rna25677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MGRYNVILVWSLALTLCLIPYTTFAQLSPNHYANICPNVQSIVRSAVQKKFQQTFVTVPATLRLFFHDCFVQGCDASVLVASSGNNKAEKDHPENLSLAGDGFDTVIKAKAALDAVPQCRNKVSCADILALATRDVINLAGGPSYTVELGRFDGLVSRSSDVNGRLPQPSFNLNQLNTLFANNGLTQTDMIALSGAHTLGFSHCDRFSNRIQTPVDPTLNKQYAAQLQQMCPRNVDPRIAINMDPTTPRTFDNVYYKNLQQGKGLFTSDQILFTDTRSRNTVNSFATNGNVFNANFITAMTKLGRVGVKNARNGKIRTDCSVL >RHN65697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4456966:4460664:-1 gene:gene13569 transcript:rna13569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MADNEEDSNMPRDAKIVQSLLKSMGVEEYEPRVINKFLELWYRYVVDVLTDAQVYSEHAGKPAIDVDDVKLAIQSQVNFSFSQPPPREVLLELAQNRNKIPLPKSIAGPGFPLSPDQDTLIAPNYQFAIPNKRSVEPMEETEDEEVPNADPNPSQEEKTDAQQNPHQRVSFPLPKRQKD >RHN66933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21837746:21838888:1 gene:gene15055 transcript:rna15055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MIKNKRIRLLEELPEGCIATILSCRTPVDACRLSILSKSFRSAADSDTVWDRFLTSDSIILQSPSLANTFTKKDLYMALSDRPIIIDQGTKSFQLQRKSGQKCYLLAARSLTIDCRNVDGEKEWIPMHDSRFPEVAKLSLVFSHEIRGIIKTLSLSPNTQYAAYLVFKIIDACGSKNEPVNFSVGVSGGNRSIKSVCLDPNLEHRTHNNEAGLQRPSVRCDGWLEIEMGEFFNSSLKDEEIHMSVIQRSGTWVRGNLFIEGIEVRPKYGN >RHN43286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44637608:44641878:1 gene:gene49834 transcript:rna49834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RecQ mediated genome instability protein MHIRRLRLDDDDEAAVIAAVEAVEAQPLPQPQIVPSEPVVLSDDDVSDDHSLPTPPPPPPPVPPPQASDCPVNDFLRRFGFCIKRDSLATCIRELGESMNGFQGFDVATKAKLCFEQFLFSDLNFCGSGVLPSNVASMHLDTLPGPYVLQVDEIVNLCCPLRSRYQQAPPTRKRCLKLSMTDGIQRVFGMEYRSINALEVCASSGLKVAISNVQVRRGMLMLVPETIEVLGGLVEQLDAARKRLVDELNKPARGKRTINGVLPPLATRATLAAWAASRVDDLSHSSPTLYGTNTVQANNQGTGHRISGTSNNLTTEDTSRMSAQNATSNSIPHMVSNVEQTNIDMRHQQGAGITTEYTSRMGAENANSNSIPRMISNTERTNIDMRRQQGASITTEYTSRMGAQNSTSNSIPHMVSNVERMNIDMQRRRGASITPEDASPMDAQNATSNLIPLMVSTVESMNIDMQRCTNPVSHNSSMANQTSSIAEEMHIDTANITMENSVDNQSSHMNSNVAVAHEDTIHVTRESSVTAVCSSVSENLETERGRVPVITGNTSLNGSASNVFNNEDILMEDASDNPLILSVDQEVPFTYLASLSAKWAAMKETDPLVRGKIKCFLTGVKGFQYKKRTTYELQAYVDDGSLISEILIDHDVVQKGIGYSPMEVTAALSSSDTNIVNNMKETMRKFQTFLANFEGVILVELNRRYSLPIALDMSQGCPKSDAWSLLTRLKSYHPAQALNHFPSDTIVLSP >RHN69814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46464843:46465552:-1 gene:gene18331 transcript:rna18331 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRASKLIFMLIVLPLLLSLMCQEIAATSRLRSKESSCTSLGIACHVKVTVMDYSDQGNESPPPPSDDYGYDYDFYRKHGDIPSPGAGH >RHN63225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49497678:49503782:1 gene:gene25901 transcript:rna25901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial biogenesis protein AIM24 MAAPFFSTPFQPYVYQSQQDAIIPFQILGGESQVVQIMLKPHEKIIAKPGSMCFMSGSVEMENAYLPENEVGIWQWLFGKTVTNIVVRNSGPSDGFVGIAAPYFARILPIDLATFNGEILCQPDAFLCSVNDVKVSNTVDQRGRNVVAGAEVFLRQKLSGQGLAFILGGGSVVQKILEVGEVLAVDVSCIVAVTSTVDIQIKYNGPARRTMFGGDNAVTAVLTGPGIVFIQSLPFPRFSQRIARAVTSPNMRENPKFFIQIALFFFLAYVVIVSSLILTDV >RHN44114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1822867:1823421:-1 gene:gene38173 transcript:rna38173 gene_biotype:protein_coding transcript_biotype:protein_coding MYIICSYELFFIANRYRDIAMSSMTLFLILVIFPILYNLSDDRNFMAKYNVVLTVFVVLLVSCFQIMLVSITRSRPIDNSVFGILFLLELSSATSIVEVSYLSWTAAIIVAIFWGITLAWLVKSNWEVISKEDIVFSEEIKKFIAIVSEGIKRFLAIIVVLYITCTFIFFITCTIRSIFDYFDL >RHN60434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26707043:26707976:1 gene:gene22746 transcript:rna22746 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFFLNTVDSNFSTKIEFLKTLQVSCSTTEVQSISILTARSNNQQNLQCERPLDLSHDV >RHN72456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7834877:7837187:-1 gene:gene8169 transcript:rna8169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MHLMYYTIEATWASLVESGRTDIGKVKSTMATKQSNSFSSLSATQPPTTTKPHPFILPIRKDPSTNLFYTSVGIGTPRTNFNLAIDLAGENLWYDCDTHYNSSSYTPIQCGSTRCTDTACVGCNGPFKPGCTNNTCAASATNSLAKFIFGGGLGEDFIFISQQKVSGLLSSCIDIDGFSSTAEDDSPLNGLPKNTKGIFGLARSNLSLPTQLALKNKLQPKFSLCLPSSNKQGFTNLLVGSIAGDPFHELSKFVQTTPLIVNPVPTGAISVQGASSIEYFIDVKAVKIDGNVLNIKPSLLSIDKKGNGGTKISTISPFTELQTSVYKPFIRDFLKKASDRKLKRVESVAPFEACFDSSSIKNSVPRVDLVLQGGVQWTIHEANLMVNVKKNVACLGFVDGGTEPRMSFTKTSIVIGGHQLEDNLLVFDLASSKLSFSSSLLVHSASCS >RHN74265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31279580:31279936:-1 gene:gene10301 transcript:rna10301 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGVDCLHEVPEYLGVNFEKQIVPRYNVIEYLKGKGAIGFEVGLKDIIKPTRLRFYNLYVKPYPENQKIYGRFSGKVEVKSKHPAWTLEAVPTTEVPSNRSRCEEHEGFHGFNVVMV >RHN65165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64651154:64655986:-1 gene:gene28073 transcript:rna28073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucosylceramidase MLEGKMVEENEHEHGHDNSSAAAVAIKVVVDPGKPPQLTWQRKLNNHANSNVPSEFTLSFKEMIHLIGMIDPFAKRHVTSSHGVPLGGVGSGSIGRSFTGQFQRWQLLPLICEEKPVLANQFSVFVSRPNGEKYSSVLCPGKPDIKKENPASGIETWDWNMNGKSSTYHALYPRAWTVHEEPDPALKIVCRQISPVIPHNYKESSFPVSVFTFTLNNFGKTTADVTLLFTWTNSVGGHSEFTGHHFNSNIKMPDGVHGVLLHHKTANEQSPVTFAIAAEETEHVHVSECPVFVISGSYEGISAKDMWHEIKQHGTFDHLNFTETPAPSKPGSSIGAAIAATVTIPSDAQRNVTFSLAWDCPEVKFPGGRVYYRRYTKFYGTKGDAAANIAHDAIIEHCQWESQIEDWQRPILEDKRLPEWYPVTLLNELYYLNSGGAIWTDGSSPVHSLVNIGERKFSLDGFISDLENNNNISRQKDIAIDILERFTSVVEQIQTPPASKSAYGISLLQEGEGKKTLASFFILKESSIKCGIPMIVQRDFAAAVLMHDPGKMKLLHDGQLVSRKVLGAVPHDIGISDPWSEVNGYNLYNTDRWKDLNPKFVLQVYRDVVATGDKKFAQAVWPSVYIAIAYMDQFDKDGDGMIENEGFPDQTYDTWSVSGVSAYSGGLWVAALQATSALAHEVGDKGSEVYFWHKFQKAKAVYEKLWNGSYFNYDSSCGSSRSSIQADQLAGQWYARACGLLPIVGEEKIRSALEVVYDNNVMKVKGGKRGAVNGMLPDGNVDMSSMQSREYGQE >RHN54351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8923347:8927924:1 gene:gene29370 transcript:rna29370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-dehydroquinate synthase MASTPTQFSISISPSLKQQPIHLSSLQNPNFLHPHSFSPHSTNLFPKKISLSTPLRSPICASSSQLMDPFSAKIQPGVPTIVNVDLGNRSYPIYIGSGLLNKPELLQRHVHGKKVLIVTNTTVAPLYLDKVVDALTSGNPNVSVESVILPDGEQYKDMDTLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGFAAASFLRGVNFIQIPTTVMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTDTLDTLPERELASGFAEVIKYGLIRDTEFFEWQEKNMQALMARDPNALAYAIKRSCENKAEVVSLDEREGGVRATLNLGHTFGHAIETSVGYGQWLHGEAVAAGTVMAVDMSYRLGWIDDSIVKRVSDILKQTKLPIVPPEMMTVDMFKSVMAVDKKVADGLLRLILLKGPLGNCVFTGDYDRKALDDTLQAFCKS >RHN42632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39853215:39857132:1 gene:gene49097 transcript:rna49097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 14-3-3 protein MASTKERENFVYIAKLAEQAERYEEMVEAMKNVAKLDVELTVEERNLLSVGYKNVVGAHRASWRILSSIEHKEESKGYDVNVKRIKEYRHKVESELSNICSDIMSIIDDHLIPSSSAGESSVFFYKMKGDYYRYLAEFKNGDERKEAADHSMEAYQTASTAAEGELPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAISELDTLNEESYKDSTLIMQLLRDNLTLWTSDIPEDGGT >RHN48639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49084754:49087975:-1 gene:gene43378 transcript:rna43378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RAB6-interacting golgin MSEQQSNSNNQLVVQNSGSLSFSSHLSKEDEEMSRSALSTFRAKEEEIEKKKMEVRDKVQFQLGRVEEETKRLATIREELEALADPMRKEVSLVRKRIDSVNKELKPLGHTCQKKEKEYKDALEAFNEKNREKVQLITKLMELVGESERLRMKKLEELSKNIDSMQMQ >RHN39943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11864753:11866653:1 gene:gene46027 transcript:rna46027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LIM family MSFTGTLDKCKACDKTVYVVDLLTLENIPYHKSCFKCSHCKGNLTMSTYSSMDGILYCKTHFEQLFKESGNFSKNFAKSSDKANELNRTPSRLSSMFSGTLDKCATCSKTVYPLEKVTLEGEIYHKTCFRCAHAGCPLTHSNYAALDGVLYCRVHFAQLFMEKGNYSHVLKSAHKRNGSSSESVEVVEEPSQSAEAPQDHPEETS >RHN46441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31755458:31759014:-1 gene:gene40926 transcript:rna40926 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLINLEGNFYSCKHCQTHFALVGDIISKSFHCRYGRAYLFDKVVNVTIGEKEERMMITGWHTVVDIFCVTCGSIVGWKYEAASEKNQKYKEGKFILERYKVMGPDGSLYSPDQEDAEE >RHN52468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35617484:35619986:1 gene:gene37152 transcript:rna37152 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSSVAACSDCVFSLLVVSRSKILTGEFTCSSVSLCIKSEPSRFLLGRECESFRAPESLPNVSASTCFLPLKSFLVFNLTELPIDTKRRLFLILDING >RHN57132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36746597:36752473:1 gene:gene32632 transcript:rna32632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoinositide phospholipase C MSKQTYSVCLCWRRRFKLALSEAPQEIKTLFEQYSENDLMTPSNLKRFLIEIQKQEQATEEEAQAIIDSFKHFHRRGAGLNLETFFKFLFSDSNLALLPSIGVHHDMTLPLSHYFIYTGHNSYLTGNQLSSDCSDIPIVKALQRGVRVIELDLWPNASKDNVDVLHGRTLTTPVELIRCLRSIKEHAFVASEFPVVITLEDHLTPDLQAKVAEMVTSTFGDILFVPGSESLKEFPSPESLKKRIIISTKPPKEYLETKEVKEKEDDSLHGKASGDDEAWGTEIPSFKLGLLSDYKGNSLDKEYFHDEEELSESDKSHHNVAPEYKGLIAIHAGKSKGGIDAWLKIDPEKARRISLSEQQLEKAAITHGKEVVRFTQKNILRVFPKGTRIDSSNYNPLIGWIHGAQMVAFNMQGYGRSLWLMHGMFRANGGCGYVKKPDFLLTTGPDNEVFDPKAKLPVKTTLKVTVYMGEGWYYDFKHTHFDQYSPPDFYARVGIAGVPSDSIMKKTRAIEDNWLPTWNEVFEFPLTVPELALLRIEVHEYDMSEKDDFGGQTCLPVSELRSGVRAVSLHSQKGDKYNSVKLLMRFEFH >RHN76375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49738454:49740376:-1 gene:gene12689 transcript:rna12689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MMTKSVVGIDLGTTYSCIAVWLDENRRVEIIHNDQGNRTTPSFVSFTKDQRLIGDAAKGQATSDPENTVFDAKRLIGRKFSDSVVQNDIMLWPFRVIGVDDKPMIIVKYKGQEKRFCPEEISSMILTKMRERKATIDVGVIVGLNVLRVINEPTAAALAYGLNKRINCVGERNIFIFDLGGGTFDVSILTIKDKVFRVKATAGNTHLGGEDFDDRMVNYFAEEFEKKNNVDIIGNSRAIRRLRTACERGKRTLSFASFSTIEVDSLFRGIDFFSSITRAKFDEINMDLFNECLKTVKSCLIDAKLDKSAIHDVVLVGGSSRIPKVKQLLQEFFKGKESCESINPDEAVAYGAAVQAAILSKGIKNVPKLVLRDVTPLSLGILNKGGVMSVVIPRNTSVPVKKTRVLSVAPRGHPLDVCFAIDVNGILTVSAKEKSTGNRNEITITKDKGRLSSQEIKNMIREAEIYRIEDEKFRKNVNVTYA >RHN73700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19033473:19033922:-1 gene:gene9548 transcript:rna9548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MLFEPFYPRWKSEDEDLVRDSEGFSLVAASWNFEALPDATIVEALRFRLAIQFSYDMGFRNIIVEGDSLIVVKALKAHSNDNSYFGLVINDCKSLSCLFSSFLVSHVRRGGNSIAHALAKFALDSTDFVWIKEIPSCITFVVATDLVHI >RHN63933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55143663:55147069:1 gene:gene26691 transcript:rna26691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MANAWKRDKPSRLLSPKLLFLLFSFTLLFLFLYFIFLTPPSNPNPNFLTLNTNFFSNSITPFDCIKSPQSHPVVASVVEGVRYPFLFSLSDFGNLPDKPHKNIVRLLKGKAFRKPDISVTVQEVLEKAKSKGMDGLVVDVGANVGMASFAAAVMGFRVLAFEPVLENLQKLCEGVYFNRVADLVTLFEAAASDRLGNITVHKLVGRLDNSAVSATGAKLAFKSNEEIAFQVRTVPLDEVIPASERVLLLKIDVQGWEYHVLKGASKLLSRKGSQAPYLIYEEDERLLQASNSSAKEIRDFLRTVGYHDCTQHGTDAHCTKKD >RHN38761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1851577:1855434:-1 gene:gene44727 transcript:rna44727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MENLWENWISSLEMGGDKSPSLDEDKFQLKNMQQSCFSFEGDNSISQSSTIQYINNNIFDNVCVIPTIQENILKSSNSSNSLISQEYNCPTNSQQIAPSQYILSFENSIMKPSPNSATFSSIMVPKTTLNNNIVSELPKTIKKRTKNLRSSSEIQDHIMAERKRRQVLSERFIALSATIPGLKKTDKAYILEEAINYVKQLQERVNELENHTKRKRDSIIFIKKSQPCIVDKEKSTSCEENSDNDDHRYYSKKEVPRVEARVIDKEILIGIHCEKQKNIVVRLMALLQNLHLSLASSSVLPFGSSTLKVTIIAQMDDEYCMSMNDLVNNLRQNLMESRDNNDNIS >RHN65939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6713226:6714284:-1 gene:gene13837 transcript:rna13837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MIGKKMSPSDDILVQKYFYDLCPFLKFSYLITNQPIIESMECEKVVHISDPHCSEPAQWINLIQTLKKRPGGPPHLKITGIHEKKEALEQMSFHLTTEAGILDFPLQFNPIISKLEDVDFENLPVKTGDAVAISSVLQLHSLLATDDEMVSSSGAASFNMQRAAHLGQRTFAEWLERDMINAYILSPDSALSPLFLGASPKMGIFLNAMRKLQPKLLVITEQESNLNGCNLTERIDRALYFYGSLFDCLESTVTRTSVERQKLESMLLGEQIKNIITYEGVDRKERHEKLEQWIQRLKMAGFVKVPLSYNGRIEATNLLQRYSHKYKFKENDCLLLCWSDRPLFSVSAWKFR >RHN59481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11115738:11128048:-1 gene:gene21512 transcript:rna21512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin-dependent kinase SCY1-SCYL2 family MSINMKTLTQAFAKTATLIEKTVSTTVQEVTGPKPLQDYDLLHQIGSAGPALAWKLYSAKSRDPSRQHQYPVVCVWVLDKKALSEARLKAGLTKAAEDAFLDLIRMDAGKMVRLRHPGIVHVVQGLDESKNAMAMVTEPLFASVANTLGKLDNVQSVPKDLKGMEMGLLEVKHGLLQIAESLDFLHNHAHLIHRAIAPENVFITLSGAWKLGGFGFAISSQNTGDSSNLHAFHYAEYDVEDSVLPLQPSINYTAPEMVRSTASSAGCYSDIFSFGCLAYHLIARKPLFDCNNNVKMYMNTLTYLSSDAFSSIPSDLVPDLQRMLSSNESFRPSAMDFTGSPFFRNDTRLRALRFLDHMLERDNMQKSEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNVVIQPMILPMVLTIAESQDKNDFEQSTLPALVPVLSTASGDTMLLLLKHAELIINKTSQDHLISHVLPMIVRAYDDNDSRLQEEVLKKSVSLAKQLDTQLVKQVILPRVHGLALKTTVAALVRVNALLCLGDMVNRLDKHAVLEILQTIQRCTAVDRSPPTLMCTLGVANSIFKQYGVEFVAEHVLPLLMPLLTAQQLNVQQFAKYMLFVKNILQKIEEKRGVAVTDSGIPEVKLSPAVNGLQVEAPRTASSTVASTKSSFSWDADWGPKAAAPANSVHNSINTSNKSVLGNPVGQVTSLQNNLPLSGVSNPQTSNSCPSVDLEWPPRASSGLNAQFGDTERKTVAAGTSSTSNLEDDDPFADWPPRPSGSLSGVSGNSNNGISGMALNKIGHNSMTSNSSNLGLQASNNWSVKSQNSVESIGLNPRNASSSISNPNNGFEPQSSLGFLKQSQAFPVSNAVSSSYNNVKSTDLGSIFSSNKNEQFAPRLAPPPSTTVGRGRGRGRGATSSRQHSHTKSSSEQPPLLDLLG >RHN40683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19429907:19430161:-1 gene:gene46872 transcript:rna46872 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSRQFLGGTWFWLGTIITRPSAPSHLVEIVHGHFAMLFCLDPSHSIQCHVTVVFAHPTFSIERHPVSETSLHNIKINGQKFL >RHN43419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45703258:45703850:1 gene:gene49990 transcript:rna49990 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGVYGRSILAGGLKVLGCCGLLRFQICGLVGRLVSCRKDWVFVLFSPSVSVRRLFSHRLLRPFLVLAFVRAEGWLVGEAAVSGGWCCFGLLVVC >RHN62445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43477630:43480133:1 gene:gene25024 transcript:rna25024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MFFRSCVSQPIFKISICFTTGPVERLWILHNQELCSCSLERAYLSLSLPELELKEKKELSNATDNFSPANKIGEGGFGSVYMGRLKNGKLAAIKVLSAESRQGAKEFLTEISVISEVEH >RHN50466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7338702:7340215:-1 gene:gene34749 transcript:rna34749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MVEILSSFIHTIKVFAKPYKSLYFFLLCMFKTCRRMGSPLKKVKREKHDDGETIDRLSELPDCVLLHILSLLKTKHAVQTCILSTRWKNLWKRLSNLIVSSSQFKNLKCFIKFVGQFLSLRDESTILHDFKFQCLSDWECGMHKKSQIRTFKYVVSHNIERIFEYVVSHNVKGLHIDIQCDINYFPPSFFSCHTLTSLNLSFSDSQETLFPNSLNFPALTNLSLHYLAFRAGDDGRVKPFTLFNRLNSLTLRYCKVLGKQNICISSVTLANLIIEYCNFELNTPNLCNFVYKGIPLVKQLCGSKNNLCSVKHVNIDIDDRSLKKSAQTSLILHNWLVELANIESLTICSHTLEILYYVRDKLKVEFLLCNLKSLKVKTDRSSIHDGILEFFLQNSPSAKVELIRRLRFWDLQIIRVEDTI >RHN71110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56527906:56533024:-1 gene:gene19754 transcript:rna19754 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGVGIAKGGIQLTRIPCLYSSEAMDLTMPITTNLDTEYVCCAIPPITPVTLAVHRMDPVPRRIITRAACLMPAITPRTFIFMTLSNDSRSRSTMFGGVLQGIPALLYMISSCLYSETAKSTASDMSDSFVTSQVMKVALGPSSFAVSWPSCCWISAIITFAPLLMNFVAAAFPIPLAPPSYQCYLVL >RHN81872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49490368:49492416:-1 gene:gene5967 transcript:rna5967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MCHLTSQPPSKCNSKSEYLVVSIKDTKESNNNNNMMTNPLIQKDINIENPTTQFQKTHLRATFKEVISISKIAFPMIFTGLLLYCRSMISMLFLGHLGELALAGGSLAVGFANITGYSILSGLAVGMEPICGQAFGAKRFTLLGLCLQKTILLLLLTSIPISLLWLYTKHILLLCGQEEDIATQAQIYLLYSIPDLLAQSFLHPLRIYLRSQSITLPLTLCATLAIFLHIPINYFLVSHLNMGIKGVALSGVWTNFNLVASLILYIVFSGTHKKTWGGFSSQCFKQWKSLLNLAVPSCLSVCLEWWWYEIMILLCGLLINPRATVASMGILIQTTSLLYIFPSSISFSVSTRVGNKLGAQKPSKAKLSAIVGLTCSFILGVFALVFAVMVRNIWASMFTEDKEIIKITSLVLPLIGLCELGNCPQTTGCGVLRGTARPKVGANINFGCFYIVGMPVAIWLAFYVGFDFQGLWIGLLVAQGTCAVTMLVVLSQTDWDCEALRAKKLTGIGEATKTSDVFIDDSKEVDEEKLLKAEIKEDSS >RHN42991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42427627:42429779:-1 gene:gene49507 transcript:rna49507 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFGDELVLEGFRIPWLIWIQLLVLILLLAFLFCITIIASDHTTADSSSTLHSTSSVTLNTNPLQITRAVENISIKGEIPTRTSREIVREVEGIAEGEASSLYFLHPCYYFKLARVAFLKCFGLESDNPATQKNRGKKKES >RHN65154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64569266:64569798:1 gene:gene28060 transcript:rna28060 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNQRQKWEGRRDDYEHMRAVVNCPRCSNNMSLVKLPSISALTSFVLSLRLPDSLTLLLLLNRFVSSSIPLSLLIGPTDSGIVSYHHSQFNSIQFIAATNNIHFILFYCIITLHYRKDLAS >RHN73757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19679990:19684146:-1 gene:gene9619 transcript:rna9619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MYVCIPHFISKNQKTQMATVMATSSSRPVAATVPHKKYDVFINFRGHDTRVGFTSHLESALCRNYFLTYTDYRIKSGKKIWDELVKAMNESTLFLVVFSENYADSSWCLDELVEIMKCRRKSRQVVVLPVFYRIEPSYVRKQTGSYGAALARHSQGHRDSHIQLWKDALREAGNLSGFHVTEKRSESAWIEDINKAVLKTLRYKYKNELTFNFKTDENYSKIQSSINFDSSKVQIIGIWGAKGIGKTAIVAAMFQNFSCKYQGTCFLEKVAEESKRNGINFTYKKLVSTLLGEDVNIDTPIVMSSMVIRRLKGMKAFIVLDDVRSPETLKTLIRERGGWLGAGSIVIVTTRDEDVLKSGGISNTHKVRNSLRISYLNKFTISLPKQGFLELSRKAIHYVNCNPIALKVLRSFHRLYTSEIDWKCALAKLKEISNAKIMRWTYKFQIWGTGQVWALFQAYRSMKVMFGHSVRLIEK >RHN45857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26339835:26342124:1 gene:gene40278 transcript:rna40278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MVVKNTNQLEESTNSTYDRKTEVKNFDDSKAGVRGLVEHGVSKIPRIFHTGKLDIGENSASDSKLSVPIVDLKDIHIDQAQRVEVIEQIQSACHVGGFFQVINHDIPIDVLDEMIHGIRSFHEQDVDVRKEFYTRDLKKKVMYFSNGTLFSGQAANWRDTIGFAVAPHPFKPNELPSICRDSVIKYSQKIRDLGFIIFELLSEALGLDRDYLKELNCCEGLFIQGHYYPACPEPELTMGTAKHTDTSFITLLLQDQLGGLQVLHGDKWVNVPPIHGALVVNVGDLLQLISNDRFVSVYHRVLSQNIGPRISVASFFVNSREPIEGTSKVYGPIKELLSEENPPIYKDITIKDFFAYYYAKGLDGNSSLEPFKL >RHN38457.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000012.1:234253:234777:1 gene:gene50725 transcript:rna50725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6-f complex subunit 4 MSGSFGGWIYNNSPIPITKKPDLNDPVLRAKLAKGMGHNYYGEPAWPNDLLYIFPVLILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSVPVGLLTVPFLENVNKFQNPFRRPVATTVFLIGTVVALWLGIGATLPIEKSLTLGLF >RHN80474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38397936:38398277:-1 gene:gene4406 transcript:rna4406 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLLTIACEKMETRDFRGVGGAFLFVVFPLSLSSPCQTHRLKNISNKVERVRDCSQFYFGGKSVDYKAPMRKEIPPNGDESSSEGEENPPEGDKFLVPQVNFLLPQMNFRLD >RHN38891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2668652:2673938:1 gene:gene44866 transcript:rna44866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MYGVGANNEFDARYIIPLNRFSKNVEYTNPIRKYNEMIGRTSYDISFLVLTEPEDICKDGGLVKKTLKPGDDKCQHFDDYDYVLVKYEARLDDGTLVKKSDDYGVEFTLNDGHFCPALSIAVKTMKIGEKVILTVKPQYGFGDKGKPAHHDEGSVPPNATLQIILEFVSWKEGPKEGPFRKMVLKEGEGDDCPNEGALVKLKLIGKLQDGTVFFKKGYSDGDEVELFEFKTDEEQVIDGLDKAVLTMKKGEVALLTITPEYAFGSSESQQELAVVPPNSTVYYEVELVSFVKAKEVSDMNTEEKIEAALKKRQEAEAFVYAAEYARASKRYQKALKFIKYDTSFPDEDREIVGLRHSCNLGNACCLMKLKDYERANKYFKDRLHDFNLKRIDTETLSANHRLRCRRKDANNYYLNVM >RHN55760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22714012:22723622:1 gene:gene31003 transcript:rna31003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MEDANDSLFDSMLVDSSSKLIQNGFARSQSSEECVMFVNVGGEATNEGADGVKFLSDTFFDGGDVFLTNEAIVEGGDYPSIYQSARVGSFSYRIDNLPPGQYLVDLHFVEIINVNGPKGMRVFNVYIQEEKVLSELDIYAAVGVNKPLQLIDCRATVKDDGVILIRFESLNGRPVVSGICIRRASKESVPPVPSDFIECNYCAAQIEIPSSQIKVMQTKSTAKYENKIKELTMQCELKAKECYEAWTSLTEMSREVEKVQMELDQVTFKSFTTELTAEKQAENLRSISNRYELDKKKWAEAIISLQEKVQLMKSEQSRLSFEAHECVDSIPELNKMVYAVQELVKQCEDLKVKYYEEMTQRKKLFNEVQEAKGNIRVFCRCRPLNKVEMSSGCTTVVDFDAAKDGCLGILATGSSKKLFRFDRVYTPKDDQVDVFADASSMVISVLDGYNVCIFAYGQTGTGKTFTMEGTEQNRGVNYRTLEHLFRVSKERSETFSYDISVSVLEVYNEQIRDLLATGPASKRLEIKQNYEGHHHVPGVVEAKVDNISDVWTVLQAGSNARAVGSNNVNEHSSRSHCMLCIMVKTKNLMNGECTKSKLWLVDLSGSERLAKTDVQGERLKEAQNINRSLSALGDVISALAAKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSDQDVGETLSSLNFATRVRGVELDPVKKQIDTGELQKTKAMLDKARSECRCKEESLRKLEESLQNIESKAKGKDNIHKNLQEKIKELEGQIKLKTSMQNQSEKQVSQLCERLKGKEETCCTLQHKVKELERKIKEQLQTETANFQQKVWDLEKKLKDQLQGSESESSFLKDKIKELERKLKEQEQNSESLLKQQHVIADEGTRGETQGARATVAANSLLC >RHN57556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40002847:40008495:-1 gene:gene33122 transcript:rna33122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MLRVSLYSTGSSAVEGGGEREVLVQHLLVKEDDEKLFLNLQKRLSAGEDLSDLAVDYSVCPSKEEGGRLGWVRKGQMVPEFEEAVFSAPLNKVVMCKTKFGWHLLQVLSEREESVFQDIQPDELHVKLQDPNFSKEAQLIDVREPEEVDKASLPGFMVLPLLQFGTWVPEINTKLDLQKNTYVLCHHGIRSLKVARWLQSQGFRKIYNISGGINAYAVQVDPSIPTYNRVKFGERTPSNILASHPFGTFNSYLRGKA >RHN81502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46524197:46533892:-1 gene:gene5554 transcript:rna5554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chorismate synthase MASSISTKPFFTPSRTDSLSSFLSPNSNLRSLSSVYLRPRFPKKQLHIRAAGSTYGNHFRVTTYGESHGGGVGCVIDGCPPRIPLSEADMQEDLDRRRPGQSRITTPRKETDTCKIFSGVSEGVTTGTPIHVFVPNTDQRGNDYSEMSLAYRPSHADATYDMKYGVRSVQGGGRSSARETIGRVASGAVAKKILKNFAGTEILAYVSQVHKIILPEDLIDHETLTLDQIESNIVRCPDPEYAEKMISAIDAVRVKGDSVGGVVTCIVRNCPRGLGSPVFDKLEAELAKAAMSLPATKGFQFGSGFAGTFLTGSEHNDEFYIDQNGKMRTRTNRSGGIQGGISNGEIINMRIGFKPTSTITKKQSTVTRDKKETELIARGRHDPCVVPRAVPMVEAMVALVLVDQLMAQYAQCNLFPANFDLQESLSAKLETEEVPF >RHN44199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2365926:2367336:-1 gene:gene38289 transcript:rna38289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNLTNLAYLNLHSNFLHGKLELDTFLNLKKLVFLDLSFNKLSLLSGNNSSHLTNSGLQILQLAECNLVEIPTFIRDLAEMEFLTLSNNNITSLPEWLWKKARLKSLDVSHSSLTGEISPSICNLKSLVMLDFTFNNLGGNIPSCLGNFSQPLESLDLKGNNEFHGDVRCSGNMTCTFSKLHIIDLSHNQFSGSFPTEMIQSWKAMNTFNASQLQYESYSTSNNEGQYFTSTEKFYSLTMSNKGVAMVYNNLQKIYNLIAIDISSNKISGEIPQGIGELKGLVLLNFSNNLLIGSIQSSLGKLSNLEALDLSVNSLSGKIPQQLAQITFLQFLNLSFNNLTGPIPQNNQFSTFKGDSFEGNQGLCGDQLLKKCIDHGGPSTSDDDDDDEDSGSLFEFDWKIVLIGYGGGLVAGMAVGSTFFLQVLSWLKKKDLMS >RHN81681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48069139:48073069:1 gene:gene5756 transcript:rna5756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sphingomyelin synthase-like domain-containing protein MRLPDNAGLGVAAMSYIAVDYLRHLSPTWHSRLQPALWTLLALAAVVRVPSYRHWSAEFRSAIPFIASMLFMLACLLYEALSVRSVTAVLGLDWHRNTAPLPDTGQWFLLALNEKLPAPIVEILRARIIGLHHFLMLFMMLAFSVLFGSVKAPGLGLGARYMFTMAIGRLLRAITFASTILPSARPWCASSRFRVPGYPHRWAQKYYAPYASDHNAISHLLRVDQAYADIGESIGDYQPEWGSMSFLSDFLRPTASEGPSWFGLLKKAGGGCNDLLYSGHMLVAVLTAMAWTEAYGGFSSALVWLLVLHSAQREVRERHHYSVDCIVAIYVGILLWKMTGFIWSREVRSGNRSLIKFEKIKSRLIQASKDSDIDKVRELLKEIDISSEESRSQTTLKYARLFRCATIVFALTIVVLAFTLTTDG >RHN39647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9057047:9061702:-1 gene:gene45689 transcript:rna45689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MMSNKVTQAPRTRVGKYELGKTIGEGSFAKVKLAKNVENGDYVAIKILDRNHVLKHKMMDQLKREISAMKIINHPNVIKIFEVMASKTKIYIVLELVNGGELFDKIATNGKLKEDEARSYFQQLINAVDYCHSRGVYHRDLKPENLLLDTNGVLKVSDFGLSTYSQQEDELLRTACGTPNYVAPEVLNDRGYVGSSSDIWSCGVILFVLMAGYLPFDEPNLISLYRRIGRADFKFPSWFSPGAKKLLRSILNPNPITRIKIPEILQHEWFRKGYKPAFFTEEDVNVDDVAAAFNDSKENLVTETKEKPVSMNAFELISRSDSFNLGNLFEKEKGVVKRETHFTSQRPANEIISKIEEAAKPLGFNVHKRNYKMKLQGDKSGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHKFYKIFSSGLQDIVWNTDKK >RHN43630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47346929:47347908:1 gene:gene50239 transcript:rna50239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MEDGYAGMRNYSWGGMTVAYLYGELADACRPGDRALGGSVTLLTAWFLAHFPGIYSVDPNTDYMENYPVAARWKLQKGHGEGVTYRSLLDRIQFDDVCWRPYEEHREMQDFEEVFWYSGWIMCGVRRMYRHLPERVLRQYEYVQTIPRHPTDVADLRPPYIVQAFVDFRTHTLKANDWGEQTWRMAMSYGTLGCLTLRSCHLFQEIL >RHN62905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46655273:46656113:1 gene:gene25541 transcript:rna25541 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIATTTDDQCMQFYHHHHQQPFMDISMEGFSHATMVTTSPTMSSNGHLTPKNNTFKPIRKRSRASKKTPITLLNANSTNFRALVQQFTGCPTIKSAMSFATHKGPITLNFQQPHHVPVPQPHQLVPKQQLMQEQHQSQSNFLPTGLEVSDYGLHMNNDLSNVLYM >RHN63641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52879761:52879955:-1 gene:gene26366 transcript:rna26366 gene_biotype:protein_coding transcript_biotype:protein_coding MFIISSSVSMSSPLQTHISPIQQSQFKTPCLFSPAFLHQHYHLPLLWLILVSSFIFFFFFFFFF >RHN41707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32210993:32214423:-1 gene:gene48057 transcript:rna48057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MNPIDPLSLTLETPSITSPSQTSSQQIPNMSTLNPQFTPIYTGAPLQTILVPKPKLFNDFFTTQGSQQQPHFPPGYEHNSQPHFPPGYEHTNQSNLYTKYYNILQPSNATSDKSLYRSLKIDDSSNASAASMENSVNISNLGLNQNLNMGVDFVDDRNDRSIVPISKKQESCSTVALVKKKASQMLVRYSDLSMKDQMHFRNVMRRTRMTFNSLRVLASIDDKKRIIEKNKLADEVFLEADKRLDEDMIFVSEVAIMETEMGAGDENKEEAATWVEGKMSNGRRHHHHIRGDIWAARLMKDRELWLYRDKRIVGSIPGVYVGDVFLYMVELCVIGLHMQIQAGIDFLPKSRSSNDEPIATSVIVSGGYEDDMELDDGDVIIYTGQGGRKKNSSIQICDQKLEGGNLALERSMHYGIEIRVIRGMKYEGGATSSGKVYVYEGLYRITDCWFEEGKYGFRVFKYKLLRVEGQAKMGSVVLKEAREIKKIGFDVKPMYCLSVDISNKRENVPVRLFNDIDDNQKPLSYEYLPKTTFPQFVFHQSGKTTGCECQEACIDDCFCFMRNGGEFPYNLQGLLVKGKPLIFECGPFCSCPPNCRNRVAQKGIKHMFEVFRSAQTGWGVRSLDLIQAGAFICEYTGVILTREQAQILTMNGDSLIYPKRFMDRWAKWGDLSQIYNNYVRPSYPVIPDIDYSMDISTLRNVAGYLSHSSSPNVFVQFVLYDHDNLMFPHLMLYAMENIPPLRELSIDYGIPNEFIDELYVYIILRKSKCSHINKIFIILYIITH >RHN77494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7227080:7231082:-1 gene:gene957 transcript:rna957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydroflavanol 4-reductase MGSVSETVCVTGASGFIGSWLVMRLMERGYTVRATVRDPDNMKKVKHLLELPGANSKLSLWKADLGEEGSFDEAIKGCTGVFHVATPMDFESKDPEKEVINPTINGLLDIMKACKKAKTVRRLVFTSSAGTLDVTEQQNSVIDETCWSDVEFCRRVKMTGWMYFVSKTLAEQEAWKFSKEHNIDFVSIIPPLVVGPFIMPSMPPSLITALSLITGYEAHYSIIKQGQYIHLDDLCLAHIFLFENPKAHGRYICCSHEATIHEVAKLINKKYPEFNVPTKFKDIPDDLEIIKFSSKKITDLGFIFKYSLEDMFTGAIETCREKGLLPKVTETPVNDTMKK >RHN69059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40667230:40673388:-1 gene:gene17487 transcript:rna17487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MHSRNQWGGSFELSSNSEVPSGDETSRTGDQWDKAALLDHGNRREGLDETLQSWILERPNMKKKTKYVDFGCIVLSHKALKWIFGIIFVGFCAIGLPIIIAKALPKHHPSPIPPDNYTLALHKALRFFNAQKSGRLPKSNGISWRRNSGLQDGKDTDDKKGLVGGYYDAGDNIKFHFPMSFAMTMLSWSVLEYKQKYMAVNEYNHARELIKWGTDYLLLTFNSSASKINKIYAQVGGSLNGSRTPDDHYCWQKPEDMSYPRPTTTVFEGPDLAGEMAAALAAASIVFLDDAAYSKKLVKGAETAFAFARDFGKRSTYSRGKPYIEPFYNSSGYFDEYMWGGAWLYFATGNTTYISLATNPDVPKNSKAFYMKPDLSVLSWDNKLPAAMLLLTRVRMFLNPGYPYEDMLSMYHNVTSLTMCSYLHQFNVFKWTNGGLIQLNHGQPQSLQYVVNAAFLASLFADYMEAKGVPGWYCGPNFFPISVLKSFATSQMDYIMGKNPMNMSYIVGYGEKFPRHVHHRGASIPNDHKNYSCTGGWKWRDSPDRNPNNVTGAMVGGPNPFDQFHDSRKNYNYTEPTLAGNAGLVAALISLTSTTGSGIDVNTIFEAIPPFGPENPPPPPPWKP >RHN59647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12585833:12586084:-1 gene:gene21717 transcript:rna21717 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbE MSGSTGERSFADIITSIRYWIIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITGRFDSLEQLDEFSRSF >RHN64305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58048198:58048531:1 gene:gene27109 transcript:rna27109 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSCLPQLCIFPCLLPVLIHSCISLTMSSLHLLPVLVHNC >RHN44059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1361135:1364235:1 gene:gene38112 transcript:rna38112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTTFFKSVYTMIILLLLFLFVTNATANCTSTVNCVHTPCMSPTLPLCVQDECVCV >RHN60296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24768664:24769745:1 gene:gene22584 transcript:rna22584 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLAMLLLLLQLISFNVFGEELESLHEPTPLHPSANAPLHHRHNHNLSPTTAHTPLHPSHPAKPPTRHHHQHPPAHAPLQPSSHQHPPSHAPIHHHQPRHPVKPPTHHHHQHPPAHAPVQPPTHRHHHHPPAHPPVHQQHPPAHAPAQIAVEGVVYVKSCKHAGVDTLSNATSLNGVEGAVVKLQCNNTKHNVVRKGKTDKNGYFYIKGPKDISIFAVHKCNVVLVSAPNGLKPSNINGGITGARIKHKKSFVSKAHNLILYNVKPLAFEPKCTN >RHN77722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8955837:8962178:-1 gene:gene1205 transcript:rna1205 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFSSCFANIVDSCFTHLIASHHIILISTRLGFISCNFPFLYFQWLCNILG >RHN68206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33663508:33664587:1 gene:gene16523 transcript:rna16523 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFSCILILSRNRHLQEVAFEYSASCHLECSFCYRDRGKTEAIFDIRIRKMSTIIPTTLSYAFSMRPT >RHN54430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9484297:9484724:1 gene:gene29469 transcript:rna29469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKIGKNMAETLKFVYVILFISLFLMIIVSDSFNPLIRQYCVTDKDCPKFKKYNIRCRKGFCVQVNGG >RHN59956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15334225:15335190:1 gene:gene22128 transcript:rna22128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MLIVYSLLLDISFNSRALAIECLASDHEALVDFKNGLEDSHNHLSSWRNTNCCQWRGIYCDNITGAVVSIDLHNPHPVLFDSSPRKYEMWNQSGELRPSLMKLKSLRHLDLSFNTFNGIPIPEFFGSLVNLQYLNLSTAGLIPPHLGNLSHLQYLDLKTVGLHVENFQWVVGLVSLKYLAMNGVDLSSVAGTDLVSAVNHLPFLIELHLSSCHLFGQISSPSSLNFTSLAFLNLSSNAFFSKIPNWLVNISTLEHSGFYGNLTSLTYLDLSNNTIEGVIPSSIGAICNLKVLILSRNNMTGTFPEFLQGIENCPSRNLFLI >RHN46304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30538902:30542224:1 gene:gene40784 transcript:rna40784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGGVAWTEEEDHLLKKCIQQYGEGKWHRVPLLAGLNRCRKSCRLRWLNYLRPNIKRGNFAEEEVEMIVKLHKLLGNRWSLIAGRLPGRTANDVKNYWNCHLSKKLNALEADQDRSQSSKDVQIIRPQARNIGSSSVKRRSQGESPTDQVLVQQESDMTTFDADGKNHMLESQQDMMVYSCLDQQGMVGEFPMDFQLEGFEAMVSGGEGSSSQWNWEDLLLDMDLYNGFS >RHN46900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35649654:35649845:-1 gene:gene41435 transcript:rna41435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRTPCCDKKGLKKGPWTAEEDEILANYIKKNGGHGSWRSLPKITGFISSFIYVFLFCFYLTC >RHN45099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11760681:11761485:1 gene:gene39303 transcript:rna39303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQMGESMAKIVKFVYFVIIFASPFVVANHEISGWITELPFGMCTSILDCPMDSCTHPQQPWCELHGVPILYHGSEIGLCICI >RHN77733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9035342:9037462:1 gene:gene1220 transcript:rna1220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MSLTILALLLLISMNLVNGQNNDNSSDECPFRLSCSHNKNQIIEFPADPVPVKLLVTDIDCQSQQLYLSHPQNCLSSIFVTHNFSLFYPFSLASLFNRPSSVNNVTFFNCSSVGQHLRSWEQTKPGAQDMLSCPIYVADLSESVIELDLLRCTRMFDKVLPIDLSFRNNYLKLNWSEQTFHSQCLQPQIKSKHTSIIAATTGAIVGSTILVVLFGVFFRVYLYYRMKGEDQTRLENFLKDYEASKPTRFSYADIKRITDQFKEKLGEGAHGAVYKGKLSSQILVAVKMLNNTEGDGREFINEVGTMGKIHHVNVVRLLGFCADGFYRALVYDFFPNGSLQNFISSPDNKDVFLGWDLLQQIALGIANGIEYLHQGCDQRILHFDINPHNILLDDNFTPKISDFGLAKLCSKNQTIVSMTAARGTLGYMAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGRKNTKTVTDEENFQVLYPDWIHGLFEGGDIHIPIDEDGDYRIAKKLAIVGLWCIQWHSVHRPAMKMVVQMLHGELDKLKVPTNPFNDSSSTNGTAYTATEYFNSELDVIQELE >RHN71242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57553179:57553608:1 gene:gene19898 transcript:rna19898 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSMEHSLEEIGFLSFYDLIAEDHAGKQIDRKLINNILAFYLEIGDKTGKIDPKYVD >RHN81673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47982551:47983427:-1 gene:gene5747 transcript:rna5747 gene_biotype:protein_coding transcript_biotype:protein_coding MYNKIKMNRIIIWHLDQKVKILRLEREDIEEGIKQRSCRIKIVVVFNFYF >RHN47477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40061643:40065521:1 gene:gene42087 transcript:rna42087 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EXO MFRPSSLYQYSHTHVASSFKSFFFFIPTTLALLTSLSILFYVYYTSIIFTHHHQHNNQQSTLINFKSSSPNFILPSPTPHLTNTLHNNHSEFIKSHTFQLGHGLGPQSQRGLPPQSSSNGQNKHENSVFDGSRKFKENNNVFHDRDIFLEDYKEMNRSFKIYVYPHKKDDPFANVLLPVKTEPSGNYASESYFKKALMKSHFITKDPTKADLFFMPFSIASLRHDRRVGVGGIQDFIRDYVQNMIHKYPYWNRTNGADHFYVACHSIGRSAMDKAPDVKFNAIQVVCSSSYFLSGYIAHKDACLPQIWPRNENPPNLVSSNRKKLAFFAGEVNSPVRINLVETWKNDTEIFVHNGRLKTPYGDELLGSKFCFHVRGYEVNTARIGDSLYYGCVPVIIANYYDLPFADVLNWKSFSVVVTTLDIPLLKKILKGIVNSGEYLMLQKNVLKVREHFQWHSPPIDFDAFYMVMYELWLRRSSIPILLGDS >RHN50924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11867545:11869445:1 gene:gene35277 transcript:rna35277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MFRGYWDWSWDELASNDLPASMQYVYDHTSQKIHYVGHSQGSLIAFVAFSQGKLLNITRSAALLSPIAHMRHITSVATKLAAELLLADDLRWLGIKFLPTSGPGSWFLNLICERLHLDRAILMSYFTGPNYCLNTSREQYYLEHEPQATSTKNLIHFSQMIRKGTIAKYDYGIFNWQHYGQLLPPDYDLSQIPNDLPLFLGIGKLDMLSDEEDVNDLLNFEFKNHDANKLVKVVLENYAHTDFTLGVKTKQDVYDPMVDFFNAQ >RHN63059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48011846:48012253:-1 gene:gene25714 transcript:rna25714 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNKESRRESKFAKYMKAPLRLLTKVKDLYVRGMIHCSHDLAFVDANVGIPNSFSSATPTTNDHDFNELITAASLRIRSGGNGVELDAEAMKKPPQKSHRVGMERIEEEDEACEFGDDDDDDDDGDIKAKAPQS >RHN76536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51064841:51068166:1 gene:gene12879 transcript:rna12879 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVCGSKRSFFEDLPPSPPVSSTKRLRCSSSPIRLSFPTLIDHLRNLFPNMDDQIIERALQECGNDLDAAIKSLHGLCLGSADENSVLAPQPDAAVETGVFENNGDASASGNQPAENNLPADGPGWINLFVSEMSCATSVDDARARAAKLLEVLEKSISTHASSGEITDLQKENLMLKYQIEVLTKERNCFKSAFRIQLERLSDYEDKDRELQQLKQLVSQYQEQIRTLEVNNYALQMHLNQAQKYNNPFPGRFPPMASNAISG >RHN62139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41027335:41027731:1 gene:gene24675 transcript:rna24675 gene_biotype:protein_coding transcript_biotype:protein_coding MRENEGKKCYRRRETEEKSEPPLLSAARARYAPVVPLLLENPVRIWCWCDDSRWF >RHN62012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40042804:40044054:1 gene:gene24532 transcript:rna24532 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIWNVLSESIHIINSQPRHYLTLSLIFLLPFSFLILISKLIIKHLQQQQPPIIISLYLLFLILSSIFSYSAFIAITYSVYNAFFNQPVKLKEAIKSITTSFFPLLATEAIISPIFFVNFFLFVYIKSYSYLFMLFYMVLMLVFMSYLEVNLGLVKVIVVVESSWGLEPLKRSWKLVKGMRKLVLSIFYLFGFLEVILRWISGYNLVLIFVISPIQAMLMLYNIAVFTVIYTYTARRSTLKLERRNLKRQRTRLACP >RHN41128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27270559:27271696:-1 gene:gene47418 transcript:rna47418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, non-heme dioxygenase domain-containing protein MEVKNSNLTHENDDSTYDRNVEVKAFDDSKLGVRGLMERGVTKIPRMFYSGELNIIENSINNSMLSVPIIDFKDIHIDPSRRGFFQVINHGIPINVLDETINGIRRFHEQDPEVRKQFYNRDMEKKVVYLSTISLYRDKFANWRDSVGCFMAPNPPKYEELPKYLDIIIEYSKKIKALGGTILELFSETLGLDLSYLKERNYLEGLFIQGHYYPPCPEPELTMGTSEHTDPSFMTIVLQEQLGGLQVLRDNQWFDVAPVHGALVVNIGDLLQVSLFKGMVDNLF >RHN64268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57792436:57795603:-1 gene:gene27070 transcript:rna27070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MLSPEGEIKISFGYKCNSDRGIPNEITDGYKTLPEVRRTSSFSCLSGAALSANATLANTNICNGKLGGEILPSWDSPNSFRRIPSSPSLPRFDRLSSSLPSSLSYLSCSPSTPSDILEYDSLKSASDRSSSDGFLIAKEVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYDTIISYLDRLILELEPVSITASDHADLGEFLRYKLSDSLNCQEDHSLSRTSRGSFSRRVLDSLESALSQAENDFLYMVEQEMGERLDLVSIGSCVLILLLHGNDLYTLNLGDSRAVLATCSNGDNMNQSEKLNAIQLTDSHTVENEAERARLLAEHPDDHKVIIAGKVKGKLKVTRAFGVGYLKKKNLNDALMGILRVRDLTSPPYISTQPSLNVHKISNSDQFVIVGSDGLFDFFSNEEAVKLVESYILNNSFGDPARFLIEQLVARAADSAGFSMEELMNVPAGRRRKYHDDVTIIVIMLGMNQRTSKASTCM >RHN43109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43285623:43289886:-1 gene:gene49634 transcript:rna49634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MRNLLEEFNEDHGVRPPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLARPLKVRFHYGHPDVFDRIFHVTRGGISKASRGINLSEDIFAGFNSTLRRGNITHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQILSRDIYRLGHRFDFFRMLSFYFTTVGFYISSMLVVMTVYAFLYGKLYLSLSGVEAAIVKFARRKGDDPLKAAMASQSLVQIGLLMTLPMIMEIGLERGFRTALGDLIIMQLQLAPVFFTFSLGTKLHYFGRTLLHGGAKYRATGRGFVVRHEKFADNYRMYSRSHFVKGIELALLLICYMIYGAATPDSTAYALLSCSMWFLVGSWLFSPFLFNPSGFEWQKIYEDWDDWSKWISSRGGIGVPSTKSWESWWDEEQEHLQHTGMWGLIWEIVLALRFFLYQYGIVYHLHVARGDQSIMAYGLSWLVIVAVMIILKVVSMGRKTFSADFQLMFRLLKLILFIGAVVILILMFTLFSFTFGDIFASLLAFLPTGWALVQIAQACRPVVKAIGMWGSVKALSRGYEYLMGVVIFTPVAILAWFPFVSEFQTRLLYNQAFSRGLQIQRILAGGKKNK >RHN62850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46213653:46222217:1 gene:gene25474 transcript:rna25474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative N-acetylneuraminate 7-O(or 9-O)-acetyltransferase MVVSGPITPGQVSFLLGVIPVFVTWIYSEYLEYKRTSSPTKVHSDINLDELGKDTIKEDDRAILLEAGLTRSASAKLHASSVKLNLIRFLTMDDSFLLENRATLRAMAEFGLILFYFYICDRTDILGDSTKNYNRDLFIFLFILLLIVSAMTSLKKHNDTSSFSARSMLYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNSIRVFIAAYVWMTGFGNFSYYYIRKDFSLPRFAQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIYNKYNEIASVMAVKFLACFLVVILIWEIPGFFELFWSPFAFFLGYTDPAKPDVPRMHEWHFRSGLDRYIWIVGMIYAYFHPNVEKWMEKLEESETKRRVTIKTSIVSAALFVGYMWYEYIYKLDKVSYNKLHPYTSWIPITVYICLRNFTQHLRNFSLTLFAWLGKITLETYISQFHIWLRSNMPNGQPKWLLSFIPEYPMLNFMLTTAIYVLVSHRLFELTNTLKTVFVPTKDNRRLLHNFITGVAISVSLYCIALILLQIPHSTV >RHN81088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43208143:43214612:-1 gene:gene5085 transcript:rna5085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, nucleotide-binding alpha-beta plait MSRHLSRTVYVGNLPGDIREREVKHLFMKYGHITRIDLKVPPRPPCYAFVVFKDALNADDAICECDGYDFDGCRLRVEAAHVGYCNSSSRDRYSIHSNGQGGRGVSSHSEYRVLVNRLPSSASCQDLKDHMRKAGAVCFSQVVHDGRVTTGIVDYTNCDDMKYAIKNLDGSEFQNAFSRSYVHVREYDSSRDSRSPGRGPSQSRGRRYSHSRRCSRSRSYSPGHSQSKFPKGKSSQRSPARLVSRSRSRSRSCSLSGPRSRPRSPLPLRNKSPKKHSASRSPSRSRTRSKSLSRMDNLIMEAISSLNEVGGSNETRIANFIEDHHGSPSNFKESLSARLKSLTSSGKLIKVKKYRIAPTSAYPERGRQSPALEGRQNASMNCDRDLSYSPTQSELDFQLAMIMSVSAQEAATFAEVEALIEEAAEAYVEAAGERLKGRNNPKDGDPCF >RHN63638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52870450:52870939:1 gene:gene26363 transcript:rna26363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC transporter A, ABCA MEKADVIQEREKVQQLLLEPTINHAIVCDDLKKFYPGRDGNPGKFAVKEMFLAVP >RHN63602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52618553:52621848:1 gene:gene26325 transcript:rna26325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Band 7 domain-containing protein MIFSQMGNLLCCVQVDQSTVAMREGFGKFEEVLQPGCHCMPWFLGKRIAGHLSLRLQQLDIKCETKTKDNVFVNVVASIQYRALADKANEAFYKLSNTRGQIQAYVFDVIRASVPKLYLDDAFEQKNEIAKAVEEELEKAMSAYGYEIVQTLITDIEPDEHVKRAMNEINAAARMRLAAKEKAEAEKILQIKRAEGEAESKYLSGMGIARQRQAIVDGLRDSVIGFSVNVPGTSAKDVMDMVLVTQYFDTLKEIGAASKSSAVFIPHGPGAVRDVASQIRDGLLQGSHQ >RHN75649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44089787:44091026:1 gene:gene11883 transcript:rna11883 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAIKDGSQRKNFISSHKGSSRVQHFPSKSKNHVFHQAGANVDAVDRFKKAEESLRTVMYLSCWGPN >RHN66030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7768920:7769348:-1 gene:gene13940 transcript:rna13940 gene_biotype:protein_coding transcript_biotype:protein_coding MSISIHVSFFLLLLIAMCFNNLTFGSRVSIPLNSPISQAGKILMVTITNDLPRDSGELDFHIVNEKPKYLLKLGEPVGFVSNLAVKQGELRWTRYQPLHATFDLYDPKVDGDHTTVYWSVRLDGVHHSWDNVSWIETVFWST >RHN43574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46971044:46972848:1 gene:gene50177 transcript:rna50177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSRYLNSRSPETKHLITPFKIVFNNLVLRSPNLESVTIGVDRSLVEMPFDDFEDESDDLHLTDFNFIQDWLPSLSNSINSPSVSDFWVQSCWRRSRALPLISSTCYGLVQLVIRNAWLAVDGLCLMPTLTNLTLEFVRLDDEDLNMINTCFPNLKELNLIGVGGLEEPKINLSHLQTCQWSVSNAPLSLVISAPSLVDFHLKCVKPRLLFLEAPSLSNFNLSLENTDELMLKNCGNIQCLQLEVECFSLAYILSMFRHCVVLDRLSLDFVRRTESCRGWS >RHN43997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:641810:645865:1 gene:gene38041 transcript:rna38041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative solute carrier family 35 member SLC35F1/F2/F6 MIIDIKKYWTKNTLIGLALGQFLSLLITATGFASSDLAKKGINAPTSQSFLNYVFLMIIYGTILLYRRKPLKAKWYYYILLGLVDVEANFLVVKAYQYTSLTSVMLLDCWSIPCVMLLTWIFLKTKYRFKKITGVIVCIAGLVLVVFSDVHAGDRAGGSNPRLGDVLVIAGATLYAFSNVSEEFLVKNADREELMAMLGLFGGIISAIQIAILERNELKSIHWSAGAAFPFFGFSVAMFLFYSLVPVLLKLNGSTMLNLSLLTSDMWSVLIRIFAYHEKVDWMYYLAFGAVVIGIVIYSIGFGDEDQNPANAVEEPVAIRQDEEASSATHSKGNVSGSSKTWVA >RHN79614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30608385:30610790:-1 gene:gene3430 transcript:rna3430 gene_biotype:protein_coding transcript_biotype:protein_coding MILHPMNLLSCPLSKYGLKSPTWITWKHIPLDHKQLVNNYSPDEG >RHN40541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17405173:17412034:-1 gene:gene46702 transcript:rna46702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MEFTLLFVLALFFLTIVGINGSKSGEDYWKSVWPNTPMPEVLSDLLLPESGTSVPIKGQDEKQYWTVFFEHDLYPGKKMSLGVHKHSDVQPSQSKGHLQVKKANQFFGTRKWLEKTSAKARQPFGINIWWEQKESVKPKQPFGTHILIDKPTEEEMAKPNDHFGILVWTGKASQSFRTHISIDNVAKKETEKISQTSVAHKLDEKETHILHSYCGNPSAIGEEKHCAYSLESMMDFAISKLGKNIKVMSSSFSQSQDQYMVEEVRKIGDNAVMCHRMNLKKVGFYCHQINATTTYMVPLVASDGTKSKALTICHHDTRGMDPNMLYEVLQVKPGTVPVCHFIGNKAIAWVPNDVVSESDDHSCVI >RHN39272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5455054:5458156:1 gene:gene45280 transcript:rna45280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L30, bacterial-type MSMNAFKAFKSCVPIAYSPNLYITLVRGIPGTRKQHRRTLEALRLGKCNRTVMRWNTPTVRGMIQQVKRLVVVETEEMYKARKEKEEAHRALRPPLVINHQPASSA >RHN56598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32150124:32151230:-1 gene:gene32028 transcript:rna32028 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFWVDCDDVVIELMNKFWVDGDDVAVELMMMLRRREQKRQECRKATNRYCWVFLTKTMNKKEDMTRVVW >RHN70978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55571613:55573546:-1 gene:gene19618 transcript:rna19618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MQSNPKPPFLFLYLILFFFFLPCLSSTITHQFKEAPEFYNSPKCPSITDTSKSTVVQVAMTLDTTYIRGSMAAILSILQHSSCPQNIFFHFVCSSNAFNLRAAIITSFPYLKFHLYTFDDTTVTGLISTSIRSALDCPLNYARSYLPSLLPLSVNRVVYLDSDLILVDDIAKLAETPLGENSVLAAPEYCNANFSSYFTPSFWSNPSLSLTFANRKPCYFNTGVMVIDLERWREGDYTAKIEDWMELQKRMRIYELGSLPPFLLVFAGNIAPVDHRWNQHGLGGDNFRGLCRNLHPGPVSLLHWSGKGKPWVRLDASRPCPLDALWAPYDLLQTPFSFDS >RHN70475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51667566:51670901:-1 gene:gene19055 transcript:rna19055 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFQRSKSYANGQMMQIESYYGPSKPYDLRSYSSSYVQQTPKDLKLKKGKSFSSGSSFSKSLSLSDPELQRKKRVASYKMYSVEGKVKGSFRKSFRWLKNKYSQVVYGW >RHN71673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1833225:1834362:1 gene:gene7297 transcript:rna7297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S10, serine carboxypeptidase, alpha/Beta hydrolase MIGGSPVTSIALENNTKIIMAHRLTLISDSLYEEAKESCEGWYIDVNPSNTKCVKALQEIDELLTDINVANVLDPNCERLSPKPNDTRSRRVLKGKETNFQWQFQKQHHQKWWCKSYVYLLSYIWANDEKVQEALHVREVLKSKRDIYNKDISDAIDYQKNLTQTNLKVLLYNGDHDLVVSHISTETWIGTLHLTVEDPWRPWFVDGQVAG >RHN51626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21173231:21175523:-1 gene:gene36104 transcript:rna36104 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLRSRCCLSTRLRKVIQTTFTTLPCFSTTSAAFCRDQSPEKERQRRLELPKELTKNVIQLSCDSMEKGGVCDVYLVGTFHGNKESSKQVEEIVKFLKPEVVLSFRFQAFSSLIIFEHFLMLGFCLLQIVFLELCSDRQEVLLHDNMEALTMGEMNDAMRKEKYSIFRMVTSWLDAEIDSRCDGYPFAQFRSAYKEAIKYSGIVVLGDRRLEITLKRTWSKLPLWHIPKLLIACFPTLPCD >RHN67805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30386819:30388850:-1 gene:gene16041 transcript:rna16041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 10-deacetylbaccatin III 10-O-acetyltransferase MEYEKAPLKIEKEDVLLVKPSKSTPSCILSLSTLDNKGANNNIVQTVHVYRSSSAIHDYDSSFNPCHIFKEALSKALFYYYPLAGRLVRHADGKFRVKINIDSAEFGVPFLEATANCTLSSLHYLDNTNTEIAKHLVLDFPTPQDKNYPLVLMVTKFLCGGFTIGMGMSHAICDGFGASQFFKAVVELARGRTEPSVKPVWERETQVGSIAIQPFPQCPMDRKSVAFSPFVMCGGQEQEP >RHN39500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7614463:7616736:-1 gene:gene45527 transcript:rna45527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MAIAAPAIFHPSFFILIFNIIINFSMIYSLSETEALLNLKNSFSNANALNSWFANTLPCTEDDQWEGVVCYNGLVTGLRLEGMGLFGKIDVDALLELKGLRTISFMNNSFTGSIPELNRIGFLKAMFLSGNKFSGHIPKEYFQRMKSLKKVWLSNNEFTGDIPSSLAEIPQLVELHLEKNQFSGNIPNLNNPSLMIFDVSNNNLEGEVPQGLLRFNGNSFLGNSGLCGEKMGKICGQQPVQQTNPIPIDANNISNTSVTNNTVQQTNPIPSNVIVTVPDNSKHKSLQIIGIVITSVVIISLAIFLIIKSRKKKKEGKFNDIIGEKYERENINDSGSFEVQVSSSNSITSSTNQEKRDMNFMKKSCSKRGSSKGSQGIGELVMMNNEKGVFGLPDLMKASAEVLGNGGFGSSYKAVMANGVAVVVKRTRELNALGKDGFDAEMKKLGRLKHWNVLTPLAYHYRKDEKLVISEYVPRGSLLYLLHGDRGPSHAELDWNTRLKIVQGIAKGMHYLHTEYPSSDLPHGNLKSSNVLLGPDYEPLLIDYGFIHLVNPSSFTNTLLAFKAPEALQHNQISPRCDVYCLGVVILEILTGKFPSQYLSNGKGGTDVVQWVASAISEGREVELLDPEIASNKNPLSEMKQLVHIGAACTESNPQNRLDMMEAVRRIEEIKTNGVDQVQELRTIEVLPGFVDSSQACDNQGHVVDQSNKRHGTSSFGSKDNFEFGIS >RHN81880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49536258:49545731:-1 gene:gene5976 transcript:rna5976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative valine--tRNA ligase MDSSSDIKNVQASPVPEDPEKKKKKLEKEKEREREKAEKLAKFNKKKEAQQLAQQAASNASKKSEKKTAKRGAVVENPEDYIDPETPFGDKKQMSRQLAQKYNPTAVEKSWYEWWEKSKYFVADASSKKPPFVIVLPPPNVTGALHIGHALTAAIEDTMIRWRRMSGYNTLWVPGMDHAGIATQVVVEKKIMRERKLTRHDLGREKFISEVWEWKNKYGGTILQQLRRLGASLDWSRECFTMDDKRSKGVTEAFVRLYKLDRIYRDVRLVNWDCVLRTAVSDIEVDYIEIKERTLLKVPGYDRPVEFGVLKKFAYPLEGNLGEIVVATTRIETMLGDTAIAVHPTDDRYSQFHGKYAVHPFNGRKIPIICDAILVDPKFGTGAVKITPAHDPNDFEVGKRHKLEFINVFTDDGKVNSNGGSEFLDMPRFKAREAITEALQKKGLYRGSENNEMRLGVCSRSNDVIEPMIKPQWYVNCGDIAKEALHAVTDEENRRVEIIPKQYVADWKRWLENIRDWCISRQLWWGHQIPAWYVTLEDDILQELGAYNDHWVVAKNEEEARDEANQRYNGKKFHLIRDPDVLDTWFSSGLFPLTVLGWPDDTDDLRAFYPTSVLETGHDILFFWVARMVMLGMKLGGDVPFSKIYLHPMIRDAHGRKMSKSLGNVIDPIEVINGITLEGLHTRLEGGNLDPKELAVAIEGQKKDFPNGIEECGADALRFALVSYTAQSDKINLDIQRVVGYRQWCNKLWNAVRFAMSKLGDDYIPPANLTLDNLPFSCQWILSVLNKTISKITKSLELNEFSQATTAVYSWWQYQLCDVFIEVIKPYFSGNDPKFASERRFAQDTLWFCLDNGLRLLHPFMPFVTEELWQRLPSPRESKRAESIMIADYPSAIESWNNEKVENEMDLIESTVKSIRSLAKERRDRRPAFALCRTQVVEEIINSHQLEIATLANLSSLTVITKIEAFLSGYADTVVNESLSVYLELQAINSEDARLGKMKKIDELKKQIERLERSMNVPGYKENVSQQIQSKNEEKLKSLKDRLLLEETAVLSI >RHN61736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37923057:37926650:1 gene:gene24227 transcript:rna24227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MADESQKAVSNDSVNSKEVEKPQIQPLSLPTVEEIRGQDIWNNCAVRSVVSGVMGGGLGIAMGLFLGALDNPMMQEQMTGKQQFIFQAKQMGRRSWSSAKAFAVMGFVFSAAECVVEKV >RHN75082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39235292:39235600:1 gene:gene11234 transcript:rna11234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTSKRSRAIKDLPEGCIAAILSHTTPVDAGRFSVVSKGDVLD >RHN47712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41857000:41860470:1 gene:gene42341 transcript:rna42341 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PIN10 MISALDLYHVLTAVVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNYRFIAADSLQKTIILTLLFIWSRTSSRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGDDSGTLMVQIVVLQCIIWYTLMLFLFEYRGARILIVEQFPDTAGSIISFKVDSDVLSLDGKEPLQTEAEVGEDGKLHVKVRKSTSSRSEIFSRRSHGVNSGVSLTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVNNGRNVSPRQSNFGSLGFDEESGVAKANGNGGNGYPAPHSAGIFSPVANKKKGHGGGAGDGGKDLHMFVWSSSASPVSEGGIHVFRGGGDYGNDQLNGVAHQKDYEEFGHDEFSFGNRTVANGVDKEGPVLSKLGSSSTTELHPKAGSQGEAKPTNMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFRWNVVMPAIVAKSIAILSDAGLGMAMFSLGLFMALQPRIIACGNTVASFAMAVRFLTGPAVMAFSSFVVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILLGL >RHN79446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29038897:29039301:1 gene:gene3237 transcript:rna3237 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQRGNGEVKPYRVVLDHLKMNDVCWRLYEGHREIQKFEVIF >RHN52739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38308557:38309409:-1 gene:gene37441 transcript:rna37441 gene_biotype:protein_coding transcript_biotype:protein_coding MWCQLCHLLLLFPYLLFILSSVTSFRGDNWSDVQALDAKDKPVADTNVSGECKFNLTCFLLMLESVVICYGMGLIL >RHN51459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18024545:18025959:1 gene:gene35894 transcript:rna35894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MLSLYNHPTTTTTTTTTNLKSHFPSITHLRHSPTFPKPKPKTLTKSLLQWNRKPQLSGETPRVVVVTSGKGGVGKTTTTANIGLSLARLGFSVVAIDADVGLRNLDLLLGLENRVNYTVVEILNGECRLDQALVRDKRWSNFELLCISKPRSKLPIGFGGKALTWLVEALKARGDKTGGNGTGTNGSGSGSSSTGSGCPDFILIDCPAGIDAGFITAITPANEAVLITTPDITALRDADRVTGLLECDGIRDIKMIVNRVRTDMIKGEDMMSVLDVQEMLGLPLLGVIPEDSEVIRSTNRGYPLVLNKPPTLAGLAFEQAAWRLVEQDSMQAVMVEEEPKRGFFSFFGG >RHN79158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24734350:24735201:1 gene:gene2884 transcript:rna2884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MSVKITPCLEPSNQNITEYFGYFCDPINVTFNFAFGCDNSTGTYKVVAYRSRYIYDQLAAEVRVINMGDDVWRNIESFPVIPFCYDSVYYGAYKYVYLSGALNWLAIHNFICYDCNDITVDQFVIVSLDLETETYNQYIMPHGFDEVPPKEPTIGVLRGCLCFSYSYKETDFVIWEMKEFGVEDSWTQLLKVSYHNLLIDYDFSDPRIKFVFQLMPLFLSEDGDTLILESNQESQTIILYNRRDNRAERARITASKATTDNTTSDHVDLYYANDYVESLVPSF >RHN79634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30783819:30784145:1 gene:gene3451 transcript:rna3451 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPSASPLVTILSALISSRGWVLGVFLFFRWLRRRLDFFNIVACGCGCFQGGFWWSLHLLCTVFMVCFSGVICSFYGCFRDSFWWVKFFLWWIGGDSVVVMGWIGGG >RHN79364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28100993:28101481:-1 gene:gene3146 transcript:rna3146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MVPSGEPGIFFGGTVNWLAYSNSGLPAIISFNLGIESYKEISQPDYGMFVKLTMCMLRDCLCIVSHSDSFNDVWLLMDYENQESWVKLIRLPYFGGDHGYYAHGPKIVYISEDDDHVLLMFKEFAKLKWVVYDCKNSTIKTIKIQDFSWVDSMVYIESLVSP >RHN38634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:873651:874572:1 gene:gene44592 transcript:rna44592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MNTNAWNTNVNALDHFEPATTTLFHDTSAPAALAPISRSPSFRSIFFGENWAELPLKEDDTEDMVIYGALREAAATTGWFPPSNKVVNNVDMAVKIEDQGQSSGTSLASVAHVQQVPTTSKRLGYRGVRRRPWGKYAAEIRDPKRNGARVWLGTYETAENAALAYDRAAFKIRGSKAKLNFPHLIDSDYTGSVKFTRKER >RHN51595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20471044:20471570:1 gene:gene36060 transcript:rna36060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase II, Rpb4 MFQIYLSVSLFHELLLMFNVLFHSLEENAGALTNFEVLDFLRAKGASKDPSRVLAKVAMSEYKVYDYLVKTPAGSQTRESVKEYFTAIKQHDLSEAEVLNVLNIRPASEVEIYHMLH >RHN77262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5507063:5507431:1 gene:gene700 transcript:rna700 gene_biotype:protein_coding transcript_biotype:protein_coding MHCIGVTTSSRHQKTCTFISFHLLGGLKKAMFFVLLITFLVCGENQESSVVEPQKKHSQMQHQHQTTAQPFDVYMSQTRRVPNTSDPLHNR >RHN41442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30062019:30074601:-1 gene:gene47763 transcript:rna47763 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTLLSQMMQMKRRFYMLKWFQEKHATPVLINSKLVWEGLGGETDLGNWCRVCLEGGFEKQ >RHN60606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28706156:28711411:-1 gene:gene22946 transcript:rna22946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CMGC-SRPK family MERKGINNDYSSEDEGTEHYRRGGYHAVKLGDAFNNGCYVVQSKLGWGHFSTVWLAWDTLKSRYVALKIQKSAQHYTEAAMDEIKILKQIEEGDPDDKKCVVKLLDHFKHSGPNGVHVCMVFEFLGDNLLTLIKYSDYRGVPLSMVKEICFHVLVGLDYLHRELSVIHTDLKPENVLLHSLIDPSKDPRKSGAPLILPNTKNKAVSNNDITQNCKIGNGDPTKNQEKKMKGKGKRVAQGCVEKESPDESGEDCKEPEQDNCNNVKSCVESVENKPNSSSSKGESTQTIEKDLAPGNDAHKKSSRSMRKKLLAAVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVLLGSKYSTPADMWSFACICFELATGDVLFDPHSGDNYDRDEDHLALMMELLGKMPSKIALGGRYSRDFFNRHGDLRHIRELRFWPKKKILMEKYNFSEQDANEMADFLLPILDFDPEKRPTAAQCLTHPWISAGPRTLEPSLTSTQPDAINEHSFEKRRKDKEKAEQELVEVGVRNIAINGSPMSLKNSRPVKSST >RHN76548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51152801:51153782:-1 gene:gene12891 transcript:rna12891 gene_biotype:protein_coding transcript_biotype:protein_coding MIGITTSKSKIDCFELKSGTILGASIFPSTSLIKATVKATTTNKIARATDAAEVKLNESILSSEQSGARKTTITTRITSFL >RHN73165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13992361:13998988:1 gene:gene8952 transcript:rna8952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative condensin II complex subunit H2, condensin-2 complex subunit H2 MTKDAPESSGGAFHAVHAERDLQSNWEVDLAKKLEEYLLKICSGEITGEEHVNFAEAALLLQGSIQVYSRKVEYLYNLVLRALEFLSQKRQADPVDGESVQPDEAGPSAVAVEENDQFWGLDDIPVDEKNSLDSSAGKDVNLDQFIKPPANLVVLEGDCLDTGGDGGELESYLLSTTDLYQDFILLDMSDAVAVDEFMKGGKAGTTRNGTNKATSTRKSFLSPRRSGGSAHKSAAKSQRANSMCSPKNFNFEDKDARPNSPASAGFDNGDFGPNMDDGFDTQMDADNSDLEDEDDPWKPLNPHEPGNLKVKPFRKVKSSRKIRINVKHRVSMSTLFPLAKLHGPISPELMEMWEMRRCAHQRRKDSQSTPTLYEKLRQSLINDGNETGGAFLNTEDDNDDNEWDNGYPEIDMPGNDVMDEDLPPFNNEHEVEDVHANADEAVDLEFPDSQTSLEDLCRSHLNALLASIAETEKQTEMAARVSTWKQRIEHNLEEQESHPPFDIRDYGEKIMDKLSLEESSSNVLPFSDLVKGQVKYDVSRSFSSLLQLVNNGEVDLQRHDAPGESFCYTSVNPFHVRLLKHDKRQEVVKQFGISKKRAKSPTKKPSPNGEKKTRREKSPTKEPSPEGEKKTRREKSHSKKPSQEGEKKSRREKSPTKKPSPEGEKRTRREKSPTKKPSAEGEKRTGREKSPTKKPSQEEGEKRTGREKSPTKKSSPKGEKKTRREKSPISSSSREHGTTGLTSPTNSSSSSRKHGRTGLTSPTSCKFSVNLGKASAMKFSPQSKRRRRSPLIEPVNVHSAG >RHN62116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40862816:40864380:1 gene:gene24650 transcript:rna24650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MHPQPSSRWSPTPVQLLVLEELYRQGMKTPSAEQIQQIASQLRQFGKIEGKNVFYWFQNHKARERQKRRRLEMEETTEDKKEKEKYVMGNSKKQEGAGGGVKETKKWATTSNCSEQAEDIAEKGSIQVLRKNIAERQGKCQNIEIPYCFNPFTMPTTTSSAAYRTCSNNNTQLLTPQNYDLLPSNKVNFNYYEEQNADYPRTLDLFPAKEDKQDGISERKSMFCVNASMMDTHEINSSSSQFFEFLPLRN >RHN52200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32631090:32635326:-1 gene:gene36844 transcript:rna36844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MTKSNKQMTKSKKKLKNAKKKVATEGKRNDAKKKNMKVATEGTTQMNKEGGNASEKLLFNCIFHHGGEFVRDDILFYRGGKQAIVGDIDLQTWGMDAIDEIVTGWGYDKQHYRIWGAVHGEDGKFFQIYVDHLAEEVAVRAIGDEIDGHIYLEHNQQDVLERDLEIREPIYFDMKFNSDVDDSGYSSYDVELDDSENERATALDDGFDVVETEEVPVQKLLIGNMSSANGGKGNSKAHMEEPHKQNLCNGKGKGKGPMVEPEQKINLSKGHGKGPMVEAMDEYFSEELDSSDPDDSDHEAGPIYEKFRKEQLNKDYEFMLGMEFNSLKEFKDAIIEWNVLNGHEISFEKNESYRVRVVCKEDALKKGEKRKEGEKKCGYLCLCSKVGDRHTYQIKSYKKNHTCGRVTKNRSAKSKWVANFAVVNKLQTTEKVTIKDIMNDMRKNYSVDITKGRAWKAKQIAQKIVDGDADRQYSMVWRYAAELIRVCPGNTAKVNVERLGPTIQPRFGSFYFSFDGCKRGFKAACRPFVGVDGCHLKTKYGGQLLLAVGRDPNDQYFPLAFGVVEVESKASWKWFMELLMNDIGNDKRYVFISDQQKGLMSVFEEMFDSIEHRLCLRHLYANYKKKFGGGTLIRDLMMGAAKATYFQAWKAKMDELKKVDVGAWEWLMSHPTKSWCKHAFSHYPKCDVLMNNLTESFNATILVARDKPFLSMCEWIRTYLMNRIGTARNKLDRWQHSIMPMPRKRLDKEVFLSGQWAPNLSINDEWQVTHHYGEQQFIVDTAKRTCSCGFWELVGIPCRHAVAALSYRKQNPSDFVDQCYSRAKYIECYSYGVSPINGMEMWPEVDIEEPLPPHYKRGPGRPKKLRIREVDELGSRMRRPGVSYRCTKCDKFGHNKRRCKSTIQDPNAAKRKRKPKKQTEGGENVDVHENGETSNGQTETNTAKRQKQVSSQIGVDNMFDDITDELIASIPDVHVSGNENMPVSDSENMPADVPLSGTTTTPTDVPVVAAATDVSVSGTTAQNGPRMLNGPRMLNGPRMLNGPRMFNGPRMLNGPTVTNAAKLKNTSQQVRRRTSNRLRKLKSKNITGPGATAAAPMVLDESEEGVLTQEETTQGTKE >RHN60124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21181281:21184948:1 gene:gene22355 transcript:rna22355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative O-methyltransferase COMT-type, S-adenosyl-L-methionine-dependent methyltransferase METVLSNHSPPSVFKGVSKEEEESLLGQIEIWKYMTQFTDSFALKAVVELRIADIIDRQCKPLSLTEIVQNLEDAPSPDSTLLLRVMRVMVRRKIFSAEKSETGEILYGLTRASKWILQDTKVTLAPLVLLETHPIHMNPFNFMSDIVKEGTKNGTAFFRCHGYDQFEMASVDPKFNELFNKGQACTTGFVFRAVIAGYKDGFNQIQTLVDVGGGIGGSMSEIVKAYPHIQGINFDLPHVVSTAPKYDGITHVGGDMFVSIPSADAIYIKWILHDWSDDHCVKILKNCRKAIPEKTGKVIILDHVLDPEGDEPFTDIGIAFDMILFAHNSGGKERTEENWKYLFKETGFPRYNIIKINALLSIIEAFPI >RHN81084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43194211:43195862:1 gene:gene5081 transcript:rna5081 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGMKWHRNMEDERIRMGTNHKKKNKNRNKSDGGGGGGNIVGLALAVVGFIAVVSLSLINKNRKKGSESNPKPKPQKASLDKKCKSHEDHEIETKQGLDALVQPSCSITTTKGDSAPCNVTTDTKSINHTLIQEEKIGIVPNINTITEVVSTISFQEEIVLSDDSNSESAASSHDSRIDEECLASLGAEKVEDNVVSDIVEEKYCSSETILNGAEIGIRNEEHVEAETETEVTNDGVNVKPEITSDEKGKSSMEVNDQHQPISVSDSFQLTTLLMLLPGLILLLVLLLLMYLTQKVSFLQ >RHN67985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31941172:31942572:-1 gene:gene16257 transcript:rna16257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MGGTGKTTMSIEVGKELKQSKQFTSVVDTTVSLSPDIRKIQNDIAGQLELKFDDCTESDRPKKLWKRLTNGEKILLILDDVWGDIDFNEIGIPYSDNHKGCRILVTTRNLLVCNRLRCSKTIQLELLSDEEAWTMFQRHAGLTEISTKSLLDKGRKIANECKGLPIAIAVIASSLKGIRHPEEWDRALKSLQTPMHGADDELVKIYKRLKVSYDNMKNENAKRLLLLCSVFREDEEIPTERLTRLGIGGGLFGEDYVSYEDARIQVVISKNKLLDSCLLLEADQSEVKMHDLVRDAAQWIANKEIQTVKLYNKKQKAMVEREANIKYLLCEGKLKDVFSFKIDGSKLEILIVSMHKDEDCHNVEVDVLNSFFENIRGLRVFHLIHDHSYSRLALSLLQPVQMMKNIRSLLFKLVNLGDISILGNLRSLETLDLKISIVELGIL >RHN64317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58118884:58122960:1 gene:gene27121 transcript:rna27121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galacturan 1,4-alpha-galacturonidase MLVALVLLLLALSNEVRVNGERGDRQCGFNPSLKPRPHSVSILEFGAVGDGKTLNTIAFQNAIFYLKSFADKGGAQLYVPPGTWLTQSFNLTSHLTLFLEKGAVIIGSQDHYNWDVLDPLPSYGRGLEVPGGRYQSLINGYMLQDVVITGNNGTIDGMGSTWWESFSSHSLNYSRPHLVELVASTDVVVSNLTFLNAPAYNIHPVYCRNVHIHNISIFAPPESPYTVGIVPDSSDHVCIEDCTIATGYDAIALKSGWDEYGIAYGRPTENVHIRRVHLQASYGSTLAFGSDMSGGISNVFVEHVHLYNSKGGIEFRTIRGRGGYMKDIILSDIEMKNIYRAISATGYCGSHPDDKFDPNALPLLDHFIFQDIIGTNITIAGSFAGLQESPFTNICLSNITLSVNYASSTSWECSNVSGFSDSVLPIPCPDLDSPSNYSSSCLSKLSMKGKIAGSGSVSM >RHN63868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54644183:54645394:-1 gene:gene26623 transcript:rna26623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MSLDIPRKVVSEIETGFIEFARRHYVQLGQPKIGIVSSGCLICIIERRTLYLANVGDSRAILGSKMGIGPFKRLCVKQMARDHSCNNQNIRDELAVLHDDNWICNYNDGAWRVRNTSSETSRCIGNAYMKKAPPFTTWTSYKVPWREDVLSTFTRPLLSAEPEIYSRVLKDTDRFIIFGSGGF >RHN59758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12853163:12853535:-1 gene:gene21872 transcript:rna21872 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVPYSTQLCRKIQARTQEIIKCKDQLARARKWQKVPDLHALNMPKASSQQANSPQ >RHN69760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46067520:46073670:1 gene:gene18273 transcript:rna18273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Band 7 domain, Stomatin family, Band 7 extension MANILKSNAGRIALRSITQSLTAASTATTNHSSSLPSRLSSVRFLRSGRDPVSRNYEITPPLNWGVRIVPEKKAFVIERFGKYVKTLPSGIHFLVPLVDRIAYVHSLKEEAISIPDQSAITKDNVTILIDGVLYVKIVDPKLASYGVENPLYAVIQLAQTTMRSELGKITLDKTFAERDTLNEKIVESINVAAQSWGLECLRYEIRDISPPRGVKAAMEMQAEAERKKRAQILESEGERQAHVNRAEGKKMSVILASEAAMEDQVNRARGEADAIIAKARATAEGLNLVSLALKENGGPEAASLRIAEQYIHAFGKIAKEGTTMLLPSSASNPANMMAQALTMYKSLLGNVPSNTHSGTAPLPLEGKKEGNHSSGEVNENSTTLSTTRGTPAHPGTSGFSLQSPPSK >RHN53252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:395126:395551:-1 gene:gene28142 transcript:rna28142 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRNQPLLSLLLLHHSTSFSYLSKTLIQNRDSILCIIPPSFFSLKDEMKLIIYI >RHN58194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44476465:44478649:-1 gene:gene33811 transcript:rna33811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosinetriphosphatase MEMQQLLSMGFPDELAAQALAATGGKSTVKATEWILTHKPPNSTIHTPSSSPSSAFQPKLDRFFQTPQQPIQAEQQQQQTEEPNKRTKLSPTQQNKPSFFFKPTSMKQQPQTHEPLYERLRPRTLDEVVGQDHLLSTNSILRSSIQRKRLPSILLWGPPGTGKTTIAKAIVNSSSTTKDSPLYRFVSLSAVTSGVKDVREAVDEARKVRVKTNQTTVLFVDEVHRFNKSQQDSFLPVIEDGSIVFVGATTENPSFHLITPLLSRCRVLTLNPLQPHHLILLLKRAVNDTEKGLVKSLGFGSCKVDVSVGEDVVDFVANNCDGDARVALNVLEIAGVNAAARVEHDSEECQDVAVSVSVEDAKEALQCKHLAYDKAGEEHYNLISALHKSMRGSDADAAIYWLARMLKGGEEPLYIARRLVRFASEDVGLADPLALNQAVSCYQACHFIGMPECNVILAQCVAYLALAPKSIAVYRAMGAAEKAVRESVGQNEGVPLHLRNAPTKLMKEVGYGKGYIYTPDNPSATQSFLPPSLQGFKFLHWPDRDPSEFDG >RHN81143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43612146:43614543:-1 gene:gene5144 transcript:rna5144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MLPLTLSFNQDQTCFSASTPTGFRVFSCDPLRHLFRRIFPGAGFTHLEMLFQSNILALVGNGSHPQFPLNKLILWDDYRGESFGSLSFRTAIRGVRLRRDRIVVALEFHIYVYNFKDLKHLHKVETYANPKGLCVVSQLADSMVLACPGLHKGEVRVEHYSKKKINYVMAHHSSLACFALTFNGKFLATASIRGTLIRVFDTANGALLQEVRRGANAAEIYSLAFSSTAQWLAVSSDKGTVHVFCLKVNISNTEHEKSQSSSNSSVASITSSFSSSLPFIKIKGSWFNCSRINVEGVLPKYFNSEWSFAQFHLHEHCRYTVAFGDQKNTLTILGMDGSFYRCEFDPVHGGQMTQLESHNFLKLEVA >RHN44681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7380947:7381907:1 gene:gene38829 transcript:rna38829 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta MRLTPTTSDTEVSGLEKKNIGRITQIIGPVLDVVFPPGKMPNIYNALIVQGRDTVGQEINVTCEVQQLLGNNRVRAVAMSATDGLKRGMVVINTGAPLSVPVGGATLGRIFNVLGEPIDNLVLYKIIHFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEKNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLGTEMGTLQERITSTKEGL >RHN73284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15117253:15119072:1 gene:gene9082 transcript:rna9082 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKLKYLKGFLTRTHLCVVDFVLKLQEWESRIRGCYAGLDSFNNNDFLKIIIIDACFIIELFLRCYFRNAYCLKNDPILSKPWLLDDIIRDLVLLENQLPYFVLEDIYKLAGLNPEFPSFTTITIHYFQHFNVQNINSERAQCPKHFTDLLRTFLLPLSFDFVQEVGNAIEHVYSVSQLSEAGLVFQVSESKCLLDLEFDKGVLKMPCFHVYDSTEMYMRNILAFEECNYSGQNYITQYLIMLDFLINSEKDVSILVEKGIIVNWMGDANALDTMINNLCKNISMYRFNSKYRSLCKGLNGFYENPRNKYKAIFVHEYFNTPWKIASTITAVLLLLFTFIQAVCSIWSLFK >RHN54062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6615907:6617881:-1 gene:gene29041 transcript:rna29041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MECVSNKHVFVFMFCLVFLTPNVCSQLYYNFYIRTCPNLNRIVKNNILSAIANDSRIAASLLRLHFHDCFVNGCEGSVLLDDTDTLKGEKNALPNKNSLRGFDIIDKIKSDLEYACPNTVSCADILTLAARDAVYQSRGPFWAVPLGRRDGTTASESEANNLPSPFEPLENITAKFISKGLEKKDVAVLSGAHTFGFAQCFTFKPRLFDFGGSGKSDPSLDSSLLQNLQRVCPNQADSDTNLAPLDPVTSNTFDNTYYRNVLSNSGLLQSDQALLGDSTTASLVNYYSKWPILFFRDFAVSVEKMGRIGVLTGQQGQIRKNCRVVN >RHN52820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39195119:39196790:1 gene:gene37537 transcript:rna37537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MTVKYFCMVMRINIDCNGCYRKVKRTLLEMPELESHFLEKKQTRVIVCGSFIPQDVAIKIKKKTNRRVEILDIQDLSENNAENIEEQKPSTSPQKPIERNMFGLIETKREMPALNHRVQYTTNCHF >RHN65223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:329950:333751:-1 gene:gene13042 transcript:rna13042 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYEFEDEERKFQQQDEDHTHNDSSPPPPPPPPPVDEEDLTDSKTNLGSRDNDRESSRSREKERENGREKERKRDKGRDRDRSRDRDREKSRDRESERSRDRESERSRDRVRDRERSRDRGRDSEKSKDRERDRDGEKERERDRDRDHHRDRHRDRTERRERVRDRDDDDYHRSRGYDRRREYDREDRHRSRRRSRSRSRSRARSEHRSRSRSRSRSKSKRTSGFDMAPPTSAILGATGVAEGNVGLLAPLC >RHN52925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40420207:40424876:1 gene:gene37662 transcript:rna37662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABI family protein MDVNFKSLSFEKSEAEPEVEENMHFLKSLQELRELRSQLHYAADYCETTFMESEKKRDVMDDTKEYICRAMVTVVDHLGNVSSNLEGLISHKNSFSDAEIRIQCLTQRLFTCDQYADKVALSNMQWREKLPRLHTRYLSSPPILERSKSDKLSNSKSEVPLKKEDKHIQGDLPLYMYTQNPCTTKNLKPATATVSKHNNLAFVMPVRDGLSVLAKVSNPAFDFHGTPKVTPRHRRSLHGSDILYLIKRSKRKH >RHN74920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37794040:37794337:-1 gene:gene11054 transcript:rna11054 gene_biotype:protein_coding transcript_biotype:protein_coding MARLNSVLLITLLVLVIYSLPSSIDARKILMKLETQDVSSLKGTLPLIEITNNNMPNIHGSGRLFAHLARNGRVLVSSNPSPGAGH >RHN60934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31684919:31685847:1 gene:gene23331 transcript:rna23331 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDSIIEFLPYPGCCFIIFASWPMIQSRLEQYLVFCFSLVLLLQLNFCISSGACFDLVDLSFY >RHN62577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44467303:44470589:1 gene:gene25169 transcript:rna25169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-I-2 family MNSHEMSRTLLILAIGICIGFMVGAGLVFSVLVFCRSGRKRVDVEKSGPLRTEAINVHGKGADSSVTSLSDSNATFESPRTSEWSNTSFWLEGLRRKNAVSVCGIPKYSYKDIEKATSNFTTIIGHGAFGPVYKAIMPTGEAVAVKVLGANSRQGEQEFLTEVLLLGRLHHKNLVGLVGYAAERGRHMLLYIYMINGSLASHLYGENHEPLSWNMRLGIALDVARVMEYLHYSADPPIVHRDIKSSNILLDKYMKAKVTDFGLSRPEMIKPRLSNVRGTFGYLDPEYISTRTFTKKSDVYSFGVLLFELITGRNPQQGLMEYVKLAAMESEDKIAWEEIVDPQLNGNYDVHKLNDMASLALKCVNGVSKTRPSMRNIVQALSQLYKKPKRNSSQAAPSALNEVSIEVEQPETRDFSIECSEELRRLHSR >RHN63102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48455260:48458958:1 gene:gene25761 transcript:rna25761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AT-hook motif nuclear-localized protein MAGLDLGSASFMQNIHRQNLHLQQQHHHQNQHDSEEQESNRASVGGGAPFSSNEEDDRSQGLELGSAAGPGDVVGRRPRGRPPGSKNKAKPPVIITRESANTLRAHILEVAGGSDVFECVSTYARRRQRGICVLSGSGTVTNVSIRQPAAAGGVVTLHGRFEILSLSGSFLPPPAPPGATSLTIYLAGGQGQVVGGSVVGELIAAGPVIVIAASFTNVAYEKLPLEEEQLQMQAEAGGGSQGSGGGGGGNNNNNPFPDPSSGLPFFNLPLNMQNVHQLPVDGWAGNNNNSASRQPF >RHN81265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44442355:44443820:1 gene:gene5281 transcript:rna5281 gene_biotype:protein_coding transcript_biotype:protein_coding MEYECSPLTWEFYNHDEGLLEDLKHSLLYTTLELEATIVSAKEEITRKECELIHANDLLSKVIKERDEARAKCQNLMLEKQEFQIDNKSGSENEYSTQNASSDCEENSSTTLPTPFQAVLELAEKKPLPEKGKLLKAVVEAGPLLQTLLLAGPLPQWQHPPPQLNSIEIPPVSISSPNSSSLSKKRDLVFSSGSDFPVSKCRKVVHHSPITPTTASNHFLPHPSFS >RHN60134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21536954:21547295:1 gene:gene22368 transcript:rna22368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAGILKFFYIVIIYVSLFLFVVESERECVTDADCQKNCHFLMQIILFA >RHN56216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28884657:28885276:1 gene:gene31583 transcript:rna31583 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFFISLLIIMRKIYLLSLVGIQSGMRQDGKSKPTLKWDLTVQLSDVNQSFGFLFCLSIHWWTEKPMVSFFHRPSCNWSFPISFKCL >RHN82153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51576110:51576658:1 gene:gene6279 transcript:rna6279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MSSDNTSYGKVPTMEAAVAYVEAVKDEFQDEIEKYDEFLNVMKDFKAMRIDTNGVIARLKDLFKGHKNLILGFNIFVPKGSEFDYGIDPFGVLEEAKAYVHAVKVEFQYEREKYVEFVKAVKDCKAERIDTKCLAKRVKELFKGHTNLIFGFNNFLPKKYQISTDDDDDDDMRLTKKQKTWK >RHN42633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39861036:39861569:1 gene:gene49098 transcript:rna49098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MNMDNLRKKNTGRRKIEIKKLEKETNKQVTFSKRRQGLFRKASELCTLCDVHAAIIVFSPAGKLHCFGEPNTDQILNSYINGTIEFDVSNSTGNSSTYKEYNKQYEEALKVLEMEKQKLADVENLTKIWNMGNWWNESIDEMNSDQLEEFMESISELKRKLLEKADEHANTMMFSML >RHN75934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46264343:46270053:1 gene:gene12196 transcript:rna12196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (E)-4-hydroxy-3-methylbut-2-enyl-diphosphate synthase (ferredoxin) MVAGTVPASFSSLRTCDSGLGFGKSIDFVRVSDLQRMKSSRRKVSIIRNSNPSQDIAELQPASKGSQLLVPRQKYCESLHKTVRRKTRTVNVGDVTIGSDHPIRIQTMTTTDTKDVAGTVEQVMKIADKGADIVRITVQGKKEADACFEIKNSLVQKNYNIPLVADIHFAPTVALRVAECFDKIRVNPGNFADRRAQFEILEYTEDDYQKELEHIEQVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDYHNFVFSMKASNPVVMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCTRLANLGTRAAELQKGVAPFEEKHRHYFDFQRRSGQLPLQKEGEEVDYRGTLHRDGSVLMSVSLEQLKTPELLYKSLSAKLIVGMPFKDLATVDSILLRELPPVDDVDARLALKRLNDISMGVITPLSEQLTKPLPNAIVLVNLKELSTGAHKLLPQGTRLVVSVRGDEPYEELEILKDVDATMLLHDLPYTEDRISRVHAARRLFEYLSDNSLDFPVIHHIQFPNGIHRDDLVIGAGSHAGALLVDGLGDGLLLEASDKDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAEIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRAIAMEQATDALIDLIKEHGRWVDPPVEE >RHN38638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:935182:937859:1 gene:gene44596 transcript:rna44596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylinositol N-acetylglucosaminyltransferase subunit Y MASKLWGWLLVSIGSLLFVGYFFLALFSKLLPPSNNPFISSFQNDWYYCFLVPLTLPIIVVAVYFHWLSMKMFKHA >RHN55069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14864105:14869356:1 gene:gene30195 transcript:rna30195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spermidine synthase MAAAPENTTLLESTDFPLKRQREEEDEDQLPHNGFSETVPKDPQPNGLSSVIPGWFSEISPMWPGEAHSLKVEKILFQGKSDYQNVMVFQSATYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVSRHASVEKIDICEIDKMVVDVSKQFFPDIAVGFEDPRVTLHIGDGVAFLKAAPEGTYDAVIVDSSDPIGPAQELFEKPFFESVARALRPGGVVCTQAESIWLHMHIIEDIVVNCRQVFKGSVNYAWTTVPTYPSGMIGFMLCSTEGPSVDFKHPVNPIDENDSQHAGRPLKFYNSEIHSAAFCLPSFAKRAIASKSN >RHN82137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51494217:51494411:1 gene:gene6263 transcript:rna6263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA polymerase delta, subunit 4 MTLREFDMNTAYGPCLGMTRLERYERARKWGLKPPPKIGMILKAFNVDKECLLHDRLGFFEKKF >RHN53989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5998203:6000068:-1 gene:gene28964 transcript:rna28964 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGLARIYFTLWHICDTKLRYLSYVMDVPTPTTDCLNLVYDLLEPPVITRKNSNASLSCLENETTRRDQG >RHN46726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34368029:34370479:-1 gene:gene41248 transcript:rna41248 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPYPSTMMLVLLLAFLCFESLPHVMAQSSSNKEAKALDAILQQYAYKALANPKTGTVYNATQLPSNLTGVKVAALRLRSGSLRRKGFDMYNEFEIPNGLIVSPYVERLVFVYQNLGKLSNKYYPLPNYTYLAPVLGLLAYDAANLSATNLSELDVNASGDNILVKFQDVKSVPHGTVAKCVWFDLQGSSNFSNVKGGNTCSTSQQGHFSIVVKSNGAPGPVSPTPHNHGKGEKKSSKKVWIIVGSVVGGLLLLVLLSFLVLCINKYKQKKKMQGMERAADSGETLQMASVGETKAPAATVTRTQPTLEHEYAP >RHN76452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50362570:50362987:-1 gene:gene12779 transcript:rna12779 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQYITITINSNHRRIKTSIPEMTELLPQDTSKDFCLRRWLSFRINNWKHLIQKTHSFNLTKKTLTNVTTYKSNPIKKRFNFYNETKERIKWVTSSSGKA >RHN62769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45719882:45723578:1 gene:gene25378 transcript:rna25378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MAKTTLVTTFDGAASCKFSMLLHWSTIESVKPVVLMIVVQSIYAVVNIMLKMVTNDGSSLSVLIAYRFVFSTAFTVPFALFFERKSLRNLTGTVLFQAFLCGLFGGSLQQILYVKSLALVSATYTITMLNLIPAITYLLVVSLRMEKPNLGTLAGKAKLMGTLSGICGAMILTLYKGKKLFNFSVHIDLLEKYVTSTTHHSHAGSHVCGLMLALGTAFSFSLWYITQSKMSENFPWHYSIAALTSIMGAIQSFIFALCTERDRSLWKLEWNLRLLTAASAGILASGLCFVLLAWCVGMKGPLYVSAFNPLLLVLVAFISSLLLNEYITVGR >RHN77250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5431175:5434219:1 gene:gene688 transcript:rna688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MMQILKSLQIVLLLIFCSRHITAQTKNTYIIHMDKSTMPETFTDHLNWFDTSLKSVSETAEILYTYKHIAHGYSTRLTNQEAETLSKQPGILDVIPELRYQLHTTRTPQFLGLPKTNTLLPHSRQQSQVIIGILDTGIWPELKSLDDTGLGPIPSNWKGVCETGNNMNSSHCNKKLIGARFFLKGYEAALGPIDETTESKSARDDDGHGSHTLTTAAGSVVAEASLFGLASGTARGMATEARVAAYKVCWLSGCFTSDIAAGMDKAIEDGVNILSMSIGGSIMDYYRDIIAIGAFTAMSHGILVSSSAGNGGPSAESLSNVAPWITTVGAGTIDRDFPSYITLGNGKTYTGASLYNGKPSSDSLLPVVYAGNVSESSVGYLCIPDSLTSSKVLGKIVICERGGNSRVEKGLVVKNAGGVGMILVNNEAYGEELIADSHLLPAAALGQKSSTVLKDYVFTTKNPRAKLVFGGTHLQVQPSPVVAAFSSRGPNSLTPKILKPDLIAPGVNILAGWTGAVGPTGLALDKRHVNFNIISGTSMSCPHASGLAAIVKGAYPEWSPAAIRSALMTTAYTSYKNGQTIVDVATGKPATPFDFGSGHVDPVSALDPGLVYDINVDDYLGFFCALNYTSYQIKLAARREFTCDARKKYRVEDFNYPSFAVALETASGIGGGSNKPIIVEYNRVLTNVGAPGTYNATVVLSSVDSSSVKVVVEPETISFKEVYEKKGYKVRFICGSMPSGTKSFGYLEWNDGKHKVGSPIAFSWT >RHN42523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39019820:39021940:-1 gene:gene48971 transcript:rna48971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MLSLKLFRSLPFNSNMHRSFLFSFSTTSSLSTPINQSSTEETTFLFNLLNSNSNLPKYQSIYISKRASGATFPQRPLSVLNYFKQVGFSQAQIHSIIRQRLPLLFSDVDKTLRPKVEFFQQLGFQGSHLRDFISKNPTILTASLNKKLIPSVEAIKKIAQNEKDFIQVLSNCGWLLPKYQLFVANIAFLESCGVVGDQVLFLLKRKSRFFIVPQSRIKNYVSQAVDLGFPQNSRMLVHALFTIHGLSNKTFRRKLDLIQCFGFSKDESLQMFKKAPYLLKASEKKLKVGIEFFLNTVMLPKSVLVSQPMVLMYSMEDRVFPRYRVFQLLKSQNLCKEVPSFVTMLWLSEDKFLDRFISRFKENAEALLIAFKGHHLDA >RHN57852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42156042:42158644:-1 gene:gene33441 transcript:rna33441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidate cytidylyltransferase MRDLNSDNSCISPKTPNFRQRRRSNEFPPEISKSNGAILLVNDKYKYRSMWIRAYSSLWMLAFVSLIIYMGHLYIWAMVVVIQIFMASELFNLLRIASQDKRLPKFKLLNWHFFFTAMLYVYGRILSQHLVNTVTSDKFFYRLVSNLIKYQMVICYFLYIAGFVWFILSLKKRYYKYQFGQYAWTHMILIVVFTQSAFTVANIFQGIFWFLFPASLIAMNDVAAYFFGFFFGKTPLIKLSPKKTWEGFIGASVATMIAAFTFANFLGRFNWLTCPRKDLSTGWLECDPDPIFKPEYIPMPGFVSHWIPEKEIAVLPVQWHALWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMVMAVFVYIYHQSFIVPHDYTIDVLLDQIMRDLGFEEQLALYTRLGQILHERQLMHT >RHN65958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7014714:7015112:-1 gene:gene13864 transcript:rna13864 gene_biotype:protein_coding transcript_biotype:protein_coding MIHIIGYSPTGLYVLQMATCGGVNWLVLCWFCYGVGRHVATVVLWDIDYGQLLVLMGSAKTASVVRNECKHCWPMLPTGFEKLS >RHN48866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50777581:50780826:1 gene:gene43630 transcript:rna43630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant organelle RNA recognition domain-containing protein MMMNKFKTAMLPFQNLQLQFQSRGIAKVRLKWVKNRSIDHIIDKETDLKAASLLKDAIKRSSTSFLTAKTFSDWQKLLGLTVPVLRFIRRYPTLFHEFPHPRWNSLPCFRLTDTAQLLDSQEQSIYAVHENDIVERFSKVLMMMKSRTVPIQSLYPLKFDLGLPDAFEKTLIPKYPDKFQFVKAGNGVSAIRLVDWCDEFAVSALQKSNQCESENASDQYRDFKRGKTALVFPMRFPRGYGGQKKVRFWMDEFQKLPYISPYADSSKIDPKSDLMEKRVVGVLHEFLSLCLHKKTKRNYLRSLREELNLPHKFTRIFTRYPGIFYLSLKCKTTTVTLREGYARGKLVDPHPLARHRDKFYHVMRTGLLYRGDGSLKLGEDASLMLDSVENDEMGDGDSEEEVETSDEFCEGDVSDSDDSE >RHN56799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33834356:33839850:1 gene:gene32253 transcript:rna32253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MEKRCSNKMEECLHRASLISVVFSWTLDDLLNETLFKYQVPKIPKTFLSTNDYTNSFFPALIEETHSDLYSSLMSVPKASFCEIRTMEISKVFNPPYDLFYKITLKNITDEVYGVGKYEPEVGDLIAFTNIRPRSVDDLSRIKRYCHIAYIHGSKDEFTDEIPILLSYEFDLKRNKAQKLYAVCLINMTTNVRIWKALNSEMEGSDMNIIQKVLQPYSRMEQNCQTCLSGVNLGRSNSRVKTIIKAQNLNESQKDAILSCLHMKKCHHNDPIKLIWGPPGTGKTKTVASMLFCLLKLRIRTLTCAPTNTAVLAVVSRLHSIAKDSLEHGSYGLGDILLFGNSKRMKIESYKGLGEVFLDNRVDDLLYCFSPMTGWKNCLESMISLLKDPEEQYALYLNSKHNENVMSLEEFAVGNYSHVKPAYLSYKKRCKYHCLLTLEEFVKKKYGYIVEQYDIYQDDKKVSVGMSMEQFFKQKFCCFGGKLKSLMKTLCTHLPTSFVSLKVAMKIFRVLELLKSLEVSLSQSKQKQSLNDHFGVGQRIFSWFGWLSFEKEEFLHTLCFLCETIKLPKLTSKYGISQFCLKNACLLFCTASSSSKLYTEGMKRVEFLVIDEAAQLKECESAIPLQLHGLKRCILIGDERQLPAMVKSKIADRAEFGRSLFERLVLLGYKKHMLDVQYRMHPSISMFPSKEFYDGQLSDANIVREISYNKRFLEGKMYGSYSFINISKGKEQCNHDHSLKNVIEAAAISEIIGRLKKEFVRARNKVSIGIISPYKAQVHEIQEKVKQYMVSDPNFSVSVRSVDGFQGGEEDIIIISTVRSNLSGKVGFLSNRQRANVAITRARYCLWIVGNATTLVNSNSVWRKVVVDAKERDCFHNTDEDKKLDQVIEDACFEFELLDESASAFNKLSIRDMSETSTFSRKPLKLWRR >RHN77364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6334273:6339218:-1 gene:gene810 transcript:rna810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthine/uracil/vitamin C permease MGHDSDNHQAPPSVQAPPPGPPPQNLGLSRGPTWTPAEQLLQLHYCIHSNPSWPEALLLAFQHYIVMLGTTVMIASYLVPQMGGSHRDKACVIQTLLFMSGINTLLQTWFGSRLPVIMGGSLAFYLPVMSIINDYNDQTFPSENQRFRYTIRTIQGSLIVSSFVNIFLGYSRTWGNLTKFFSPISIVPVVCVVGLGLFGRGFPMLADCVQIGLPMLLLLIITQQYLKHLHAKAHHILERFALLICIAIIWAFAAILTVAGAYNTSKEKTQTSCRTDRSYLLTRAPWIYVPYPFQWGTPIFKASHVFGMMGAALVTSFESTGTFFAAARLSGATPPPAHVLSRSIGLQGISMLIEGICGSVVGTTASVENVGLLGLTHIGSRRVVQMSCGFMILCSIFGKFGAFFASIPLPIFAAIYCVLFGIVAATGISFIQFANNNSIRNIYVFGLTLFLGISIPQYFVMNTAPDGHGPVRTNGGWFNDILNTIFSSPPTVAIIVGTVLDNTLEAKQTAVDRGLPWWVPFQKRKGDVRNDEFYRFPLRLTEYIPSRFL >RHN49379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54405763:54406374:-1 gene:gene44201 transcript:rna44201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribose-5-phosphate isomerase MSYSSKYIKIIVGADKFGSPIIPALLTHLRSLNIEFEYLGTFSSYYAAGAEVGRIVSQSSSSSSPEIIGLVACGTGIGVAIFANKFPGVYASTCLHPWEAVNTRSINNSNVLALSGKFTSPEIGIEIVNAWLNTPFKSPCLASDNKPWPQEVENLLDRSLVDMPKIGKKSFSIKWDGNLDMVFDEDLVDSAKLAIDKESGLAK >RHN60381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26023768:26024118:-1 gene:gene22688 transcript:rna22688 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSTDPPFVYNSEKSQAKVTRLQKNRPPPLSIVRPPIPVQVSEPVPPPNGAYNSLLKHPLQPISGHPFVYNSENNLFESPISAFMRKFQDSMMNMIIQEVTSFKPTLINHKYSTI >RHN47166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37616899:37620785:-1 gene:gene41731 transcript:rna41731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MENKVHCLVLSFPAQGHINPMLQFSKLLQQEGIIVTLVTTLFFGKKLHNLPPSVTLETISDGFDIGGIGEAKSFKQYLDHFAQVGPQNLEKLIDKLGRTSYPIDCVIYDAFFPWTLDVAKRLGIFGVSFLTQNVSVNSIYYHVLVGKLRVPLDVQEISLPVLPQLQHRDMPSFVLTYEKDPTFLELAVGQFSNICKADWILCNSFHELHQEGADWSMKIWPNFRTIGPSIPSKFLDKRIKNDEDYGATQFQSEEECMEWLNDKPKGSVVYASFGSLASLNEEQLEEVACALTDCESYFLWVVKPSEEPKLRKDFEKKTQKGFVVTWCSQLKVLAHESIGCFVTHCGWNSTLEAISLGVPIVAMPQWSDQSTNAKFIEDVWKIGIRVPIDEKQIVRRDEMKKCILEIMDSEKGRTIKSNAMKLKDLASNAVGVGGSTHQNITEFVNSLFHFASYKQTITN >RHN39141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4419083:4419424:-1 gene:gene45140 transcript:rna45140 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNRCKKLSSFDMDHYLAVIEERRKAIEKALQEDKEKLEVDIDRANATDMEEE >RHN46398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31340054:31341369:-1 gene:gene40880 transcript:rna40880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasaponin III rhamnosyltransferase MEDNPKKFHIAVFPWLAFGHISPFFELSKLIAEKGHKISFISTPRNIKRLPKLPPNLQPLVNFVELSLPHIDQLPEHAEATMDIPSHLVPYLKKAFDGLQQPLIEFLEKSNPDCVIYDFAPYWLSPILSKFGILSIFFSIFTAFGMCFGVKVMVGKSNDEDNIISADYLEQNESGVTDVFRVKETLFGADFIAARSCMEIEGKSLESIENLCKKKVIPVGLLPPSLQIGEEKNDENWDTILKWLDKHEKRSVVYVAFGSEVTLSDEEFTEIAKGLELSSFPYLWILKNQVKDDWLVENQSNKKGLIWSNWAPQLRILSHESIGGFLTHCGWSSVIESLQVGCPLIMLPFNSEQDLNAIPLEEKMVGVKVQRNDEKFNRDSVAKAMRSVMLKDEGESYRSKAEEMSKIVGDKELHQKYIDDFVDYVELHILTSKH >RHN67020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22902181:22902536:1 gene:gene15150 transcript:rna15150 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSLSLNNFHVYKSIVEVLIIVPSLSPSLSFGFDIRLSIWIFDDDYQYCEGCEFFST >RHN52128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31804248:31810145:-1 gene:gene36756 transcript:rna36756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cofactor assembly of complex C subunit B, CCB2/CCB4 MSLAFWTSDNSYETLARNEKMNSTTTTISLKPLIPRNNNVVFPKICSTKSTTTIVRANLQPPNNSTNTTQQQQQLNLSVLRFTLGIPGFDESYLPRWIGYAFGSLLLLNHFLGSDSATVTTAQLRTEVLGLSLASFSVVLPYLGKFLKGAQPVEQTTLPDEAQQVFVMSTDIADGLKEDLAWTSYILLRNTNAIAALIFIQGEICARGYWNVTNDSSKEILLGQFRKKIENAGLNDLKDTLYFPQDADSEFQDLAPKGTRSLLVQPILQVSLESATDSKKLVGFILLVSTTRYAFSIKDRAWIAAVANKLRG >RHN59811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13487679:13488562:-1 gene:gene21967 transcript:rna21967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MEAFSSKMLLIIFAFSFITHFIQAIERPYQQANTLFIKNSCSSTTYPRLCYTSLVKHADSIQTNHVLLTCTALNVTLASAKSTSAMISTLSKSQGLKPREAAAMKDCVEELSDSVDELRRSIGEMSRLRTSNFELTMSDVQTWVSAALTDESTCTDGFQEVNAPGNVQTTVRGKIVQVAQLTSNALALINKLATSHE >RHN66302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10502733:10506331:-1 gene:gene14255 transcript:rna14255 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFFSSIFLAFFFASSAFASLQPRIPEVYLQNGNFEEKPNPKDLKNTKLIGKFSLPKWEINGLVEYVTGGPQPGGMFFPVTHGTHAVRLGNEASISQTIKVKPGQLYALILGASRTCAQDEVLRISVPPQTGDVPLQTLYSLNGDVIAWGFKATSNVAKVTFHNPGVQEDPTCGPLLDAIAIREFYPPMPTRVNLVKNPSFEEGPFPIFNSTNGVLLPPQQEDLYSPLPGWIVESLKAVKFIDSKHFNVPFGLGAVELVAGRESAIAQILRTEANKVYKITFSVGDAKNGCHGSMMVEAFAAKDTFKVPFKSEGKGKFITVSFKFKAIAPRTRLTFYSSFYHTRIDDYGSLCGPVLDQVIVSPVA >RHN45326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16691216:16691945:-1 gene:gene39599 transcript:rna39599 gene_biotype:protein_coding transcript_biotype:protein_coding MRWCLAHFPEYYYPCDDNKESLANYHVAAKWIPHRDHGEVKPYCLALDRLVMDDACWMSYEGHIKRSRSLSGYPGFSDFCVHTIPEDQWGQQVDLPWRYKEGYMVWYGRVSPPKIFPPIPGSHLRQAIEEQIIAHQQQHHQERGSPDTIQMVSGDVSQTVEYLVQEGMSTEQLYQALRDVRERLAPVLTGRQQQD >RHN58971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6318502:6319413:1 gene:gene20943 transcript:rna20943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLNYLNFSHSSFKGEIPVEISNLTNLITLDISGPKHAIKNALKINNQNLQKFVQNLTKIRQLYLEDITLTSEGQEWSNALLPLRELQMLSLYKCDLAGPLDSSLSKLRNLSVIILDRNNFSSPVPETFANFQNLTTLSLSDCGLTGTFPQKIFQIGTLSVIDITYNSNLHGSFPEIQLSGSLQTLRVSFTNFSGAIPHIIGKMRHLYELDLSNSQFNGTLPNSFSNLTELSYLDLSFNSFTGPIPSFSMAKNLNHIDLSYNSLSGEVSSSFHSEGLLNLVKLDLSFNSINGSISSFLFTLPYL >RHN51530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19478614:19480884:1 gene:gene35986 transcript:rna35986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo-like helical protein MEWNPQTVEYLCQCFLQTVSPERESRCRTESHLSEAANHYNFGLFILRLVAEPSIDNQIRLAAAVSFKNHLQLRWLSKDNPILESEKEHIKTLIVSLMLSATAKIQSQLSEALAIIGDHDFPEYWPSLLPELISNLHKSSQASDYVSINGILTTVNSIFRKFCVNCKTNYLLDDLKYCLDNFAAPLLEIFLKTASLIDAAAVAVPAPAAAIIRPLFESQKLCCWIFHSLNSQELPEFFEDHMKEWMTEFGKYLTNSYPFLEGCGPDGLALVDELRVAVCENINLYMVKYEEEFKEHLSGFAQDVWNLLRNVSQSSGRDQLAVTAIKFLTTVSTSVHHALFAADATILLICQGIVIPNVMLREDDEEQFEMDPMEYIRKDMEGSDLDTRRRIACDLLKGIAMHYGHAVRQIVSTQIQSLLSSFAENPVKNWRHKDCAIYLVISLSTKIAGTGYVSIGLVDFQSFFEFVIVPELQSLDVNGYSMLKAGALKYFTMFLSQISKDVALMILGDLVRFINAESNVVHSYAAICIEKLVLVKEEGGRQCYSSADIAPIFPMLLNNLFGTLKNAESDENQYVMKCILTVLGVEDIPLDHYALICIEGLGSLLSEVSKNPRNPIFNQYLFESVAILVKRGSERDPSLVSVFETRLFPRFDIILKNGVTEFLPYTFQLLALLVGLNRPPIPPIYMQIFECVLSPHFWKSSANIPALLRLLQVFLQKAPNEISQVNELNKLQVISENVDPANQAAFLQLCNTFISH >RHN53645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3045955:3047362:1 gene:gene28574 transcript:rna28574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MNIFLSSFGDYSDSSSSSETSSSEVILASARPKKRAGRRVFKETRHPVYRGVRRRNNNKWVCEMRVPNINVNKNNKSRIWLGTYPTPEMAARAHDVAALTLKGKSACLNFADSAWRLTLPESNDAVEIRRAAMEAAKMFAIEENHNQRSDRDAVDMNMENSKKNVLEVQVPVLSSEFEDMHHNLLLSIANEPLRSNPPSPTNYYGSNYDDMEIFDTQIVSLWNFSI >RHN72205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5786939:5790335:-1 gene:gene7891 transcript:rna7891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation Initiation factor eIF-4e MVVEETPKSIDDQITNPKIEDINNDLEEGEINDDDSSAISKPLTAGHQSHPLENSWTFWFDNPQTKSKQQAWGSSIRPVYTFSTVEEFWSIYNNIHHPSKLAIGADFHCFKHKIEPKWEDPICANGGKWTVQFSRGKSDTSWLYTLLAMIGEQFDHGDEICGAVVNVRSRAEKISIWTKNAANEAAQVSIGKQWKEFLDYNETIGFIFHDDAKKLDRAAKNKYVV >RHN57670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40915610:40921812:1 gene:gene33249 transcript:rna33249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MARELVDERSTSFAAHKRRKTTDSGPQPENATIVDSQPGKDPSPFKFTWRIERFSWRNEIKLCSDVFDVGGYKWHVIIFPEGDNAMDHLSMYFGVADSENLPNGWSIYAQFTMSLVNQINAEDSVTKGTLFSIQFVPYRCYKMSNAGIKSKECCKFFIAFVEREFYTCCTALSPRSLHDCDLRHRFNEQECDWGEPSFIPLDELSDPSRGYVVNNTLVVEVEVTRNVDEKDIADHVRVVRDEDLAQQIGKDIYFDLVDPDKVSSFRVPKNTTFKDFKKLLAKEFDIPAGFQRFWYWARSQNHTYRPIRPMRQTEEARSVGQIVLFLEVERGPDLRPMAPVPVTMRKHDILLFFKLYDPEKEELRYVGRLFVNCYSNPSAILARINKLAGYDLDEEIELYEEIEFEPNVKCDPVDKKLTFTESKLNNGAIICFQKASAVDNEKHFRYPDVPSYMKYVHNRQVPICLSDIEPKDEESLEEKNENIISEETNVDKNIEAQQSKGENEGTSKSNTSKLVSIDSEEFDAMVDEDVIAAIDRVLSEGITLSLKSQHSVQGREYSKRDPNLPEQLLQELRDIAFKGDLVEKLKEGLTSKVNFNAVKEKIDANADAFSSLQLEQVGAVVNLLNNIVRMLEKIENLKKERNIAKKSTDQDSEALKETRQKILNSMTSFTNDQTELNSLDAQIAKLQEDRAKIAEIQDQEKDKITSLNKDVKSIFQHLVDDQIKLKSVGRSNSGNSI >RHN68062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32442349:32445871:-1 gene:gene16352 transcript:rna16352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MGKRNNKRRKEEISEDYCFFCKDGGELRVCDFRNCLKTYHANCVGQDDSFLKNDDYWCCGSHYCYICRRKPKFMCLCCPKAVCGNCYQGAVFANVKRNKGFCGHCSKLAFLIEENAEVDSDGEKIDMKDPDTFESYF >RHN44271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3079246:3080864:1 gene:gene38371 transcript:rna38371 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSRFLVLLLVSSILFLSFGYGFGRVAMMETIEDKDVSIKGLVEHDRKMREVYEIMDYSLPEPNTNPKSGYTLTPPTPTVTSPPPRA >RHN61552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36334048:36337249:-1 gene:gene24026 transcript:rna24026 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAEYKSGLKKAKVLKALLEDPILADVPKNPTLEDVETLIGLELGSAMRITVLKLDTTSFDVILMNTATLKDLKLAIKKKVNYMEQSSMGHRHISWRSVWANYCLSFDNNKLLNDDDVLQNLGVRNNSQIHFVPYVMTKESRRHSKRRKHRFFHGLSKLS >RHN78390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14684679:14692690:-1 gene:gene1939 transcript:rna1939 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAEGIETDELRSITFQRLKSHTLNLLQLLQNPQNQNNQNQKHFSITAIPQFLHFLHNSSPESLQPFFDYTLFPLVLLLDAAIQCRSTHKFDSQENYNVSDIPKTPFKVSDSVAEGIVHCLEELLKKCCLNSVNQMVVILKKLTYGALLSPSEASEEFRGGILLCFKALLLNLNSCSDASCSCKQIPGLPALSDNVYNHRLHKNLKNDSEPEECLLAFLRSQTASAAVGHWISLLLKAADTEAARGQRGSARIRIEAFNTLRVLVAKVGSADALAFFLPGLVSNLSKVLHGAKTMTSGAAGSMEAIDLAIRGLAEFLMIVLQDDANASVLDMEVSSSSDPNECKSSLSLLEELRHLQVKDSVKTKVVEDRSIESDKISCSETQLQEMGSTVPSGETLSLHVTRTKDWIQKTSSHVNKLLSATFPHICIHSSQRVRKGLVDATKGLLLECFYTLGDSRLMLLECLSALAVDESDDVSSTAQDCLECLFSQSWKSRIEHDAAEIFIRHLEKLPKVVLSNDEPLAVLHAQQLLTIIFYSGPHLLVDHLQSHLGVAKFLDVFAACLSHNSVFSGSLGKITLASQSSTVGYLPSITELKSGSNFFSRGLPLLNSGVCENPKSGLIDKKYVQEPVKAAQKKYELPRMPPWFSYVGSHKLYQPLARILRLVGLCILADQRGEGLLSHLAETLLGYFRKLITELRLKEYNKESWQSWYSRTGSGQLLRQASTAACMINEIIFGLSDQAINDFARIFHRSSISKGVLVQSNKLDCAVHESLWKIPKEADVKSYLVDCIGGILHEYLSAEVWSVPVDRKVSDLQLNVSVEDISLYFFQDAAMLHEERYCLHKLTLLFILFYNLIFSYLSLNQVHITLTMASF >RHN61189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33744875:33748904:1 gene:gene23627 transcript:rna23627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trigger factor MMMVGAVSNFNSITLGVRVASFTTPNAKSRGLSFYLPLSPWRRSLDILSTSNGTTLRHLNTPISAVNSGLEASITDSNDISAFLTDATVVAEPGDDNRIQLRVDLTGVQTQKVFDRILINLGRTAPPVPGFRMQKGGKSSKIPKDFLLQMLGEERVTKFAIQEILNCTMADYTKKENLDAKDKKVSTIQTIQELKKSFTAGKEFGFNVLIEPKNSEGE >RHN70388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51095462:51098583:1 gene:gene18960 transcript:rna18960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylcholine--sterol O-acyltransferase MKEHSLKICITNTLTLLVMVSLICCTNVAVSTSNNNLNPVILIPGNGGNQLEAKLTTKYKPSTLICDPWYPPFKKKNGWFRLWFDSSVLLAPFTKCFASRMTLYYDQDLDDYFNVPGVETRVPSFGSTSSLLYLNPRLKLVTGYMAPLVESLEQLGYIDGQNLFGAPYDFRYGLAAPSHPSQVGSKFLNDLKNLIEKASNSNGGKPVILVSHSLGGLFVLELLNRNPSSWRKKFIKHFIALSAPWGGTVDEMFTFASGNTLGVPLVNPLIVRNEQRTSESNLWLLPNPKMFDIDKSLVTTPYRNYSAHDMIDFLKDIGFPEGVYPYETRILPLIAKIDAPAVAMTCIIGKGVKTPEELFYRNGDFDEPPEFSYGDGDGTVNMVSLLALESLWKDEKNQYLKVIKIGGVTHTSILKDKVALDEIIGEISRINSHAQLGLSNISLATFDEK >RHN55789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23816532:23821073:-1 gene:gene31047 transcript:rna31047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MFNCFNRNRSVTSWYQSHGGRDDAAIAQALAAMAQVLAQSNEQAAIGRRNEGEAEERRLDRFLRNNPPTFKGRFDPDGAQTWLQGMERIFRAMVTSDDQKVRLATHMLAEEAEYWWTNAKGRLEIGGEVVTWTRFKAEFLRKYFPEDLRTRKEVEFLNLKQGSMSVAEYAAKFEELARFCPYINAEDAMVSKCVKFESGLRPNIYQYMCVQEIRDFDTLVHKCRMFDDAGKAKSNYYKAQGEKRGRGHGAGKPYSKDKGKKREAGGGSRPSLAEVKCFKCGAMGHYASDCKNDFTCHKCGKAGHKAVDCRGVARETTCYNCGEKGHISTKCTKPKKAAGKVFALNAEEVEQPDNLIRGMCFINSTPLIAIIDTGATHSFISASCVERLGLVVTPLLRGMVIDTPASGSHMDVIFGMDWLLAFGVSINCLTRSVTFSKPVEELDRKFLTAEQVKKSLDGEACVFMMFASLKVGGEKEVSDLPVVQEFPEVFPEDITELPPEREVEFAIDLVPGTSPISIAPYRMSASELGELKNQLEELLEKQFIRPSVSPWGAPVLLLNKVTIKNRYPLPRIDDLMDQLVGAEVFSKIDLRSGYHQIRVKAEDISKTAFRTRYGHYEYSVMPFGVSNAPGVFMEYMNRIFHPYLDRFVVVFIDDILVYSKSEEEHAEHLRIVLQVLKENQLCAKLSKCEFWLKEVSFLGHVISKGGISVDPSKVDAVLQWESPKSVFEIRSFLGLAGYYRRFIEGFSKLALPLTQLTRKGQAYVWDAKCEKSFQELKKRLTSAPVLILPNPKESFVVYCDASKMGLGGVLMQNRQVVAYASRQLKVHEKNYPTHDLELAAVVYTLKIWRHYLYGSKFEVFSDHKSLKYLFDQKELNMRQRRWLEYLKDFDFQLSYHPGKANVVADALSRKTLHMSALMVKELELIEQFRDLSLVSELTPDGVRLGMLKLTSNILEEIKNGQKEDLELVNRVTLVNQGKGGDFRLGETGVLMFRDRVCVPDVFELKRQILDEGHRSSLSIHPGATKMYQDLKRLFWWPGMKKEIAEFVYACLVCQKSKIEHQRPSGLMQPLFVPEWKWDSISMDFVGALPKTSKGFDSIWVIVDRLTKSAHFVPIKTGMSVAKLAEIYIEQIVRLHGIPSSIVSDRDPRFTSKFWESLQAALGTKLRLSSAYHPQTDGQTERTIQSLEDLLRACVLEQGVSWDECLPLIEFTYNNSFHASIGMAPFEALYGRRCRTPLCWFESGESALFGPEVVQETTEKVKMIQEKMKASQSRQKSYHDKRRKDIEFQVGDHVFLRVNPVTGVGRALKCRKLTPRFVGPFDVIEKVGVVAYRIALPPSLSNLHNVFHVSQLRKYVHDASHVIQVDELEVRDNLTVETLPIRIEDRELKRLRGKEIALVKVIWVGPTGESATWEPESRMRVSYPELFPSGKFSRAKILFRGGEL >RHN56649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32566684:32569307:1 gene:gene32081 transcript:rna32081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MSENGEEKLLAVARHIAKTLGHNNNNMADDILQIFSNFDGRFSKENLSEKVAAVEVTDRDPRAFAALDHCLKNLDRRISHYVSSDHPIWADSADAAAFLDAVDDLVASVAEWNHLTGDKSIATCLARAEDMLQHAMFRLEDEFRSLMERGGESFDLTPPYRNSDSVNLPFDSEEEDEEIDGGGEEDLIPVAMPVTDYEIVIDALPSATINDLHEIAKRMVAGGFGKECSHVYSSCRREFLEESLSRLGLQKLSIEDVHKMQWQDIEDEIERWIKGFNVALKILFPSERRLCDRVFFGFSSAADFSFMEVCRGSTVQLLNFADAVAIGSRSPERLFKILDVFETLRDLISEFELLFCDQYSVSLRNEAITIWKRLGEAIRGIFMELENLIRRDPAKAGVPGGGLHPITRYVMNYLRAACRSRQTLEQVFEDYGHPLKDYPKMDDRMHSSSSLSVQMDWIMELLESNLEAKSKIYKDPALCYVFLMNNCRYIVQKAEDSELGTLLGDDWIKKHTAKIRQYQMQYQRSSWNKVFGFLKVENNGSMQQNGVAKSMKEKLKSFNMMFDDLCRVQSTWFIFDEQLKEEIRISIEKLLLPAYANFIARFQNVAEVGKHADKYVKYGTEDIEAKLNDLFQGSSGSTGSRKQS >RHN44875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9353178:9353937:1 gene:gene39042 transcript:rna39042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MTSLLQRHLRLQKHFIASDAVYCETHFVPTLRSLLIPTNKKGLLYYHPVVSNQIQQLRHSLSSTLAFFQPLVGRLKITEYQDKTVSCSVICNNADVLFVHARSENTCVADILEPTYVPPIVDSFFALTGVRSYEGTSKPLLAVQVTELIDGIFIGCSFNHAVIDGKSVWHFINSWAEISRSCCHHQIFKHKSEQEYLKTK >RHN52330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34013998:34014910:1 gene:gene36993 transcript:rna36993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MKMNHLIICIFFAITIFLCNAAEDASIAKPFLPSPTQFLPSALEEPDVRKGRKKPPPPPRSPPPPPPLLEFNHFKLAETWPPTFCKINTCIDDYRPMKFIIHGLWPGDLTDCDPDKKMKPDYEKLSGIYPKLIEDWPNLHKVKNKFPVETNKELWFFEWYKHGTCSAQLFTFPEYMGMAIQLYGNKHNIVLILRNAGIKPGGKYSRDEISDAISKHIKFTPQIQCKKIGQISYLLEVRFCFTASKDPQYINCDGHGSLFGQECDAEVHF >RHN74938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37974162:37980374:1 gene:gene11073 transcript:rna11073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c oxidase assembly protein PET191 MSKSCKGLAMELVKCLSETDCVKVDNRSYKECAGEKTPSISAECVGLRETYFNCKRGQIDMRARIRGNKGY >RHN52493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36127204:36128694:1 gene:gene37178 transcript:rna37178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose synthase MNCTLHTILLRLDVSSFMLSMPGVGFLEDKKKPIIFSMARLDKVKNISGLVEWFAKNKRLRSLVNLVIVGGFFDPSKSKDSEETEEIKKMHYLIDERIQTARSVQMDCSAN >RHN76280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49118676:49119080:-1 gene:gene12578 transcript:rna12578 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTGLTLRDLRRILDPIFSSPCAYSIVPGRERAIIINVEHIQAIITADEVLLRDPSFVQELQARVRNDDSTTTVLETCLEAACSVLENEPKMLEQEAHTPLGELKSKTSTELLNNLEGLYKSNNERGIGTFSV >RHN68134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33145558:33147131:1 gene:gene16436 transcript:rna16436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MYTITSSHQALYSQSPQKRKMKKKMGSSSMATKKVKLENTLGLIRNWLEVPKDVTSNILKLLGAVDLVMNARLVCPMWREICRDPLMWKSIEMINGLHSPHNLEKICMYAVDQGGDHVEEINVEYFVTDDLIRRLAERTSNLRRIRISKCLEISNTVFTIAAKKFSLLEELELSFTSLNHVSLEAIGKNCPLLKTLKFNQPFKGILCGSYKGYKCNKEALAIAKTMPELRDLELWGNKLTNDGLIAIFDGCPYLESLDVRMCYNLVIHGNLAKRCFENSRVKYFRYPNEYINGYDNADDDDFVYEFYCECRVKGSKRMKRKFTHMNFYKFH >RHN52568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36695923:36696841:-1 gene:gene37260 transcript:rna37260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKNTLLAFFFLFTFLSSQPLLGAAEASNEQVVDTLGKKLRADANYYIIPVPIYKCGPYGKCRSSGSSLALASIGKTCPLDVVVVDRYQALPLTFIPVNPKKGVIRVSTDLNIKFSSRATCLHHSMVWKLDRFNVSKRQWFITIGGVAGNPGWETINNWFKIEKYGDAYKLVFCPSVVQSFKHMCKDVGVFVDENGNKRLALSDVPLKVKFQQA >RHN43654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47491552:47495431:-1 gene:gene50264 transcript:rna50264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA methyltransferase bin3, bin3-type S-adenosyl-L-methionine binding protein MDAKNGRKREQVETLKENHKNNNNNKKRQQVFPYGNYKSYYNYRIAEGVDEDPRLKVFKKEWFQGKDCLDIGCNSGIITIQIALKFNCRSILGIDIDSDRVEDANWNLRKTDRLKSARNKPSKVSKLKDNSHTDHSENSATVSSNVNTKEISKEPSSLKQIDLVNIVSFERENFVHCRHPPGKHYDTILCLSVSKWIHLNWGDDGLITLFAETWKLLRPGGIFVLEPQPWKSYESNRNASEITAANYRNIKFRPEEFQEILLDKIGFRTVEAITSDLTGSTTGFNRPILIFQK >RHN45314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16538247:16538438:-1 gene:gene39579 transcript:rna39579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf3 MRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYVRLSPL >RHN78098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11866074:11870579:-1 gene:gene1614 transcript:rna1614 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVICSSMVGVETVKVVVGTCNSMVVEEMVKVVVGTCNSMVYSMVVEEMVKVVGVIYSSRVVGEMVKVAVGICNSKVGVGWVTVVGETCSSMEVEVREMVVVEICNSKVVEGNVRGVGGFVIIRRWR >RHN55344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17513246:17513719:1 gene:gene30514 transcript:rna30514 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLRVVSDLQLVVGFLGMRMLKFRLRSICITPPPLKPSFMT >RHN63350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50586224:50590790:-1 gene:gene26038 transcript:rna26038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA polymerase III, subunit gamma/ tau, replication factor C MASSSSSSNTASYDVPWVEKYRPSKVVDIVGNEDAVSRLQVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPNYREAVLELNASDDRGIDVVRNKIKMFAQKKVTLPPGRHKVVILDEADSMTSGAQQALRRTMEIYSNSTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMVVVQAEKVPYVPEGLEAIIFTADGDMRQGLNNLQATFSGFQFVNQANVFKVCDQPHPLHVKNMVRNVIEGNFDEACSGLKQLYDLGYSPTDIITTLFRIIKSYDMAEYLKLEFMKETGFAHMRICDGVGSYLQMCGLLAKFALVRDTANAA >RHN68719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38002125:38002486:-1 gene:gene17105 transcript:rna17105 gene_biotype:protein_coding transcript_biotype:protein_coding MKIWGRRQSGCRRVQACKSAIGTRGKTVSPLFIGHCMKRNMLLGETTNEPTLDSLLSPSQHNRKCSKLQQVFLR >RHN41223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28380205:28388432:-1 gene:gene47521 transcript:rna47521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MSSSSSWLTSPSCTLLPIDSSSSTPQLILQWLTFLFLSPCPQRLLLSALDSLFLLSLLASAVHKLYSRANTTSSITKPLLKEKDSDYRVTLWFKLTLLVTTLLAITYTVLGILAFTQTNNLSSWKQIEAPFRLFQAVVNIVIVILMLHEKKFKSSKHPLSLRIYWIANFVIASLFAISAVFRIVNASEEKLELSLRIDDIFSLVNLPLSLFFFVISIRGSSGIHVIRISDVVATYTSVPTDGNLSPYAGSSFLSKTVWFWMNPLINKGYKTPLKLEDVPSLPLEFRAEKMSENFINNWPKPEENSKHPVIVALFRCFWKHIAFTGFLAMIRLCVMYIGPLLIQSFVDFTSRKDSTTSEGIILILILFAAKSVEVLSVHQYNFHSQKIGMLIRSSIITSVYKKGLRLSSSSRQAHGTGQIVNHMAVDAQQLSDMMMQLHPIWLMPLQVAVALALMYSYVGVSVLAALLGTSIVFLFALYRTKSSNNFQFQMMTSRDSRMKATNELLNNMRVIKFQAWEEYFGNKIKQFRESEHGWIGKFMYYFAVNFGVLSAAPLVVTVLTFATATFLGFPLNSGTVFTITSIIKILQEPLRTFPQALIMISQATISLGRLDEFMTSKEMDENAVQREENCDGDVAVEIKDGKFSWDDNDENDALRVEELVIKKGDHAAVVGTVGSGKSSLLASVLGEMFKISGQVKVCGTTAYVAQTSWIQNATIKENILFGLPMNLDKYREALRVCCLEKDLEMMEDGDGTEIGERGINLSGGQKQRVQLARAVYQDTDIYLLDDIFSAVDAQTGSFIFKECIMGALKDKTVLLVTHQVDFLHNVDSIMVMREGRVVQSGKYDELLKAGLDFGALLEAHESSMKMAETSDKTSNDSAQSQKLARIPSKEKESGGEKQSSSEDQSKSEKTAAKLIEDEERETGQVNLNVYKQYFTEAFGWWGIALVLAMSVAWVASFLAGDYWLAFATADDSSILSSTFITVYAVIAVVACIVVMVRGFLFTYLGLKTSQSFFIGMLQCILHAPMSFFDTTPSGRILSRVSTDILWVDIAIPMFINFVLIAYLQLLSIVIVICQNSWETVFLVIPLFWLNNRYRIYYLATSRELTRLDSITKAPVIHHFSETISGVMTIRSLRKQNTFCQENIDKVNASLRMDFHNNGANEWLGFRLDYNGVVFLCTATLFMIFLPSSFVKSEYVGMSLSYGLALSGLLSFSMTMSCNVENKMVSVERIKQFTNLPSEAPWKIADKSPPQNWPSHGTIELNNLQVRYRANTPLVLKGISLTIEGGEKVGVVGRTGSGKSTLIQVLFRLIEPSAGKVMIDGINISNVGLHDLRSRFGIIPQEPVLFQGTVRTNIDPLGLYSEEEIWKSLERCQLKEVVAAKPEKLEASVVDGGDNWSVGQRQLLCLGRIMLKRSKILFMDEATASVDSQTDVVVQKIIREDFADRTIVSIAHRIPTVMDCDKVLVIDAGFAKEYDKPSRLLERPSIFAALVKEYSNRST >RHN47587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40817501:40820649:1 gene:gene42205 transcript:rna42205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative COMM domain-containing protein MEQEVQLQLQLQLHKLHCIKSEETLNHILSTLWNTRKTGLPPSQKSHFQSLLNLSSSSQLDPLLASLRWLIRIFVSRNLTHDQLLKFFPPHLPLQLQTILLLSFQNNRDCWNHDFSQQQDLLQWTDASCQVRTNVHPSFSSEPSSSMSTSLWPRQDSDSLARLNCGDLGVPTSPVAEVNVSGLPTCFQCDITSSENLVLEESLPHLKSMTWTMESRGSSPAERVAIISLKLHDYSKSTAGETDVKFQLTRDTLETMLKSMTFVGERLRAVETSSRLANKKQKQ >RHN49761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:905105:905815:-1 gene:gene33969 transcript:rna33969 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRCSSDPAPVEHRRPQPHNRPQAPPSHHVFHSIPLLSSNYEDTFFKTFLQNFYAYLTFVICFIGIGNYFLDAGIQRNHRIAFYMFTLTIHSLFVSFLVSSFEKEVLLSDIMKTKTKMTLAFCIAISTSSLFYGSIGTGVIGILIWTLCFNAGRELWYLYFLRHVIKAFLYVITTILDIIRMIVLMPYEIVLGIILIYEILTTETDTVEDVNVDDINNHGDPNVEDLARPLLEE >RHN65566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3281577:3283467:1 gene:gene13416 transcript:rna13416 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNNNTLGMENDKGRSIREYVVFDLTSLHTSIVKSEVTTAQFEFKPIMFQMLRTIGQFSGVVIYDPYLHLNQFTEVAENFKIPCIEDDSFKLRLFPYFIT >RHN77438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6864348:6866129:-1 gene:gene890 transcript:rna890 gene_biotype:protein_coding transcript_biotype:protein_coding MMQIFSESFQNFVDNWNLRLMVVFSLNIQFILILFGTKRKFWTCIWLRIILWSAYLFADWLATTSLGVLSNKEGENKGDSVEPKHVIIALWGPLLLLHLGGQDTITAYSMEDNALWSRRLVTYVGQVAVAILIFLRSWTNTGLNILAIPIFIASFIKICERILVLWRASSQHFKESLFPDPDPGPNYARYMEGYNSASHEGYGVDVECLIQSPSTAAAAAVDHTHAPSQGNIIPLPQTDHDTYGPAVTVRNARRFLKISKLLFADLILNFQDVSESRSSLLLGNGKGGFEMMEIELGFMFDLFYTKASIVYSFFGCFLRFNQYPRVDVLITNVLLLGAIVLEIFSVILMLFSDWTMLWLTTLQRNKVTSKVISLIQSVKCNKRWSCSIGQFNLMSFCLLKARKKRHGKLGKLLRHKFGLNWGKTFKKKAEKCCVVQVITDVWLSYQKYKHTITNTVTVEGDLKEIIFEHFVSKINVAINKRKDEFAKHITRICDDRGNKALEELKQQIKDKEKAKKKIETIRRSTVEVEFDQSILLWHVATNVCDNSV >RHN63269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49907554:49915774:-1 gene:gene25950 transcript:rna25950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenine phosphoribosyltransferase MSGYKVQDSRLHGIKNKIRVVPNFPKPGIMFQDITPLLLDPKAFKDTVDLFVERYKGKNISIVAGIEARGFIFGPPIALAIGAKFVPLRKPKKLPGKVISQEYILEYGRDCLEMHVGGVEHGERALVVDDLIATGGTLCAAMDLLGRVGAEIVECACVIELPELKGRERLNGKPLYVLIECFECCDSQKMKEENE >RHN59951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15270967:15271536:-1 gene:gene22123 transcript:rna22123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MKQSKDPFEAAFEESPPDSPTETEPEPVNPNSNSLISQPSQIHEQQHLHVKNTISNDTKNNSNNKDDEEDEEEDEDNMDVELAKFRAVGDPHKMAKMHSILSQFTDEQMSRYESFRRAGFQKAKMTRVIFFLIFCSLLLFGFFLYNLADKNWFIPWF >RHN52234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32888647:32891631:-1 gene:gene36883 transcript:rna36883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSFSAFRYVVISNFTPNPNFIGLFPSSIRLFSQLHHKQDEENNLISSFNHLLLHKNPTPPTFQFNKILSSLVKAKHHSTALSLHQQMELNGIESDFFTFNILINCFSQLGLNSLSFSIFAKILKKGYHPTAITFNTLIKGLCLKGHIHQALHFHDKVVAQGFHLDQVSYGTLINGLCKVGRITAALQLLKRVDGKLVQPNAVMYNMIIDNMCKAKLVNDAFDLYSQMVAKRICPDVFTYNALISGFSAVSKLNYAIDLFNKMKKENINPNVYTFNILVDGFCKEGKVNDAKVVLAIMMKDDIKPDVVTYNSLMDGYCSINKVNKAKDIFDSMASGGVIANVQIYTTMVNGFCKIKMVDEAINLFEEMRCRKIIPNVVTYSSLIDGLCKLGRIFYALKLVDEMHDRGQPPNIVTYSSILDALCKNHHVDKAIALLTNLKDQGIRPDMYTYTILIKGLCQSGRLEDAQNVFEDLLVKGYNLDVYAYTVMIQGFCDKGFFDKALALLSKMEDNGCIPNAKTYELVILSLFEKDENDTAEKLLREMIVRGLL >RHN41820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33333076:33334265:-1 gene:gene48182 transcript:rna48182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MPIEIIDLTSSPDSPPSTPVSLSNLPTILNFAPVSTNPNLHHQIHPHQHQTTLFVPPPPGFETPQIATGWPQPGMYHSQIAMVLKPLPEPKTSQFSNGARLGVSWSQLEHDLFLMGLIEYGKGKWSKIAKNYVSSKTRQQVKSYGLSFFMYLPATFVHGFRKRKQIANPSNSASKRNRNSPSAPYYGEEASSSNTNNYEAITSMTLPSASDDGGVDLELRLGLSK >RHN80439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38168406:38170883:1 gene:gene4367 transcript:rna4367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructokinase MSGSKDPLVISFGEMLIDFVPDTSGVSLAESYAFIKAPGGAPANVACAVSKLGGNAAFIGKVGDDEFGRMLADILKKNGVNTDGVLFDTEARTALAFVTLRKDGEREFMFYRNPSADMLLKESELKMDMIKSTKIFHYGSISLISEPCRSAHMAAMKAAREGGALLSYDPNVRLPLWPSADAARSGIKSIWNEADFIKVSDDEVQFLTQKDPENEEVVMSLWHDKLKLLIITDGEKGCRYVTKNFKGRVSGFSVKAIDTTGAGDSFVGALLRDVARDTSIFEDEPKLRETLTFANACGAMCTTQKGAIPALPTAEEAQKFISSSKAK >RHN40483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16749879:16757501:-1 gene:gene46638 transcript:rna46638 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSFKSSSRRGNNNNNQSSSTNTSTRSSTTKNPPIRRSRSVSAFSRTTTTFDTEFLNKRDNPLFDQISKSNQTVPFLETSAPSSTSRGRSVARNVEPGRNKPGRSVSRVDTGRRSTRSASQCPVSRRSFNYSTSESEADCKDRNGLKLGGTNRKGCLFGRTDKDVMDQEKDLRRWSSQHSAVEVSDCFASTSSGLQTQICDDVDSTASSGFGCDDKTIKAVCEQKSVQRDQPGAGDIYETVRSEVRRAISEIQIDLESAIQKSNATDISVTDMADIHPDLLNPGTVELAFEIRREYTKKLEEAEERARRLRADLAVEEHRVRELDRILREVLPYPKTPNIPKSRPSRKSSIERKRMSKRLAEDAKAYFDECVSLSTFDSSDFSSQEDPPLSIVGPPTPSRLTEESGTREQLHDIHYDTLQLLTSIDSEEASHGQVSSTADSKETESKPCFSFAQKPFESTTVQHDIQQYIKKFEKSVSKVPTMRSNYGEIRDYSFQSSAESLLVDRVMLKSRIESGRFLLCGGGNIW >RHN45429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20668499:20668895:1 gene:gene39767 transcript:rna39767 gene_biotype:protein_coding transcript_biotype:protein_coding MLENTTDAETLAFTLSQPPKSIYRSCVQFSTTCFTPFSMTSSQFSSNSCNSPQQFFEII >RHN41182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27946650:27947093:-1 gene:gene47474 transcript:rna47474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSLRGIVSLNLGNLSFLVMLDLKNYSFDAQFPKVLCRLRRLKVLHINYHEFEGGIHVILGNLSKLQYLYLYTNNFTSYIPESIGNLQWLKELDTSHNKLIPQTVSNIYLLKVLYLFSNCFSGTPTSDIMRRLSLFFTIYDLRNLILN >RHN47626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41084705:41092029:-1 gene:gene42247 transcript:rna42247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MVRKRKLVKASQQEEPPLKQHQPEPKPEPVPEPEPEPYIPGEEYEEVEEEVEYEEVEEEVEEEEEEEEEEEEEEQQIQVEEDDEPIQKLLEPFSKEQISSLLCEAASKHRDVADRIRKIADGDASHRKIFVHGLGWDTTSATLINAFSQYGEIEDCKAVTDKVSGKSKGYGFILFKRRSGARNALKEPQKKIGNRMTACQLASIGPVQQTPVVAAAPAPLVVAGSEYTQRKIYISNVGPELDAQKLFGFFSRFGEIEEGPLGLDKVTGKPKGFCLFVYKSAESARRALEEPHKEFEGHILHCQKAIDGPKPTKIQHQPQQQQQHVNSVNHLNQLNPLSQRTQFQRHDNVGFMGGSSVAVSQPGHLMAPAGPVTGYNQAAASASAAQGLNPALTPALGQALTALLASQGATLGLGNLLGSLGVSSAVNPGVPAAGHGVQSGYSAQPTISPTVMGAYGNTVPQAGLQGLYPNQQLGQGGSGRGQYGGAAPYH >RHN51915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28355182:28355573:1 gene:gene36485 transcript:rna36485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA oxidase MIQSRLFNRRDVNGRVFVNPDYNQSMEQQREMTMKRIAYLLDRGVFRGWLTSDGLQEELRKQ >RHN53305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:858824:863082:-1 gene:gene28196 transcript:rna28196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5'-nucleotidase MEEGNNKRGRILITNDDGIDAPGLRGLVSSLVNTNLFNIFVCAPDSEKSAVSHSITWLHPISAKQVHIHGTIASFSVSGTPADCTSLGISKSLFPSVPHLVVSGINRGSNCGYHIVYSGTVAGAREAFFNNIPSISISYDWVEGKSNPQDFALAAQVCIPIINAVLVAIKKQSYPGRCFLNIDVPTNVANHKGYKLTRQGKSIFKMGWRKVTSETEGRRMLSDMTNTNTDMPKKIGTSSASPEHLLFAREVRGALPDYDDDTDHKSLKAGYITVTSLAGLSHADVDCQTYFEDWLQSIPKHLLSAAL >RHN75867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45708183:45712500:1 gene:gene12123 transcript:rna12123 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFEASDKPKFCGNGDDEGREAVSCEHFESEDDDVPLLPRFTRISSLVKYDVSVKKRDFEFHDNNSLPISVKKSKVSLYHDHDNDEDDIPLLDLIKRPSKSTDKSFSSLKKGLALLENSFQEGNKKTKLEENRLQSIKIDIQECRKELENKKKEISCFRGIFEAHEKMQGKIEECIKDFVVKEGQLYFMDDLIGERKLELKTKEMELNQVKGNISKEIELRRVIDNIDKDRERKEEELKALSQKIAEFTLELKAKEIELDAMNRLIGGQAEKLESKRKKLLKLTSEKENGRAQIKEFESIKKQLEGQVKELESKEKQCDEQVEALMSREKQLEGHMKEFESKMQELEGRTTQLESKEKQVEGRAMELKLKEMQFEGREKEFKLKEEKFEGLTKEMLFKKEHFENQLNVLESIDNQLVCQVKEFESKQKEFEFQKKELILKQKHFESRIKKLESEEKKHESRLKEHESKEREFEGQVTELESKKKHFESHVEELTSNLWQLKGQVKELESKEKQFDSRVKAFESKEDEFEGRAKEHESKEREFEIQAKELESKKKHFESQVEEFTSKLWQLKGQVKELEYKEKQFDSRVKAFESKEVEFKDRVREFEVKQLEVQLKELKSKENQFEGEVKDVESMQNEFDGELKKLELREDQYEALLKSFDEEIKSDDQSTPTIDGRSLQLLPSDETEILDNLQGSSDPSKVVLDIIQNPIIQKYKMGDNAVIIDDRDILLLKQLMRIKPHIKPRVREEAMKLALNLKSNISENTENLVAVLGFLLLVSIYGLAPSFDEDEVLKLFEFAAQDKIAVELFGTLGFADKASDFVQKLIMKKQNIEAARFIRAYIMPTRIKQTISCNQENRLH >RHN56382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30467540:30470212:1 gene:gene31773 transcript:rna31773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDYIHYKKIKSYSILCMQRRKKSMAKMLKFFFAIILLLSLFLVATEVGGAYIECEVDDDCPKPMKNSHPDTYYKCVKHRCQWAWK >RHN41566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31095222:31095785:-1 gene:gene47900 transcript:rna47900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (Iso)eugenol O-methyltransferase MVELVEAEESFIHATQLIYAIAFPMALQSATELGVFDVLQKAGKDAQLSADEIASRISCSNPDAPKMLDRILALLASHDVLKCLVIQDEQKLGTFHRLYSMTPVARFFAPNSDGVSLGPLLSLIQDNVFLASWLVTFMSFTFFK >RHN77278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5634823:5638778:1 gene:gene716 transcript:rna716 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEMMETEASSSGQNDAVRDLLTLARQFINQGKPSQALQAVVVAVKNKGGDEAVFQSLSRAREQYRSRLQQNAAADQLASLFAECAIAEVQPAMVEPSATNISNPSVTTDANGTSILAESGRTQVVLDAVSDGSSFICLKCGGLVSNTRRDEHYTYWCC >RHN76309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49289927:49292118:1 gene:gene12611 transcript:rna12611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MLESNFGRNPNLCTSGSCNKRNRNKVLVPLVTSLGGAFITLAVAMISFRIYYKRHRGSKLGAYSRIKQELESKKQEFSYEEVLSITRNFEKVVGKGASGTVYHGWIDHNTEVAVKMLSSSSAQGYLQFQAEAKLFAVVHHKYLTGLIGFCDDGTNMALIYEYMSNGDLAKHLSDINENILSWNQRLQIAVDAAEGLEYLHHGCIPPIVHRDVKSKNILLNEKLQGKLADFGLSKMFPNEDDTHVLTVVAGTPGYLDPE >RHN50309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6036450:6038270:1 gene:gene34581 transcript:rna34581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSRYSSSNPFATITTNSLYSDSDTDSLSQFVTDLYQNRSPTDPSCPWDDEEDTRINPFSNIADFHQVGGGGDSGGLRVVEFGTDSESSGNDEEFDYGGENDDERVSGLCWDSLCLEDDHRSVLNDWEEVIEGRVNENEIEEASSSLLIDEVEVDVDVNVEVEIDEQSMESGFEGEEDEAGEEALRYLEWEILLAFNNLERNGGLEHEDESLNNLYLAVHDGIISGNTDYDILFGQLLENDSGLKGSPPAAKSFVENLPLVELTEEELKEKDVVCAVCKDEVTVEEKVGKLPCSHCYHGDCILPWLNIRNTCPVCRYELPTDDDDYEQSKVRRVARDLLDFDA >RHN53968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5830932:5833526:1 gene:gene28943 transcript:rna28943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GH3 family protein MYTQMLCGLIMRHEVLRVGAIFASGLLRAIKFLQLNWAQLAHDISTGTLNPKITDPSIKECMSKILKPDPEQANFITKECSGENWERIIPRIWPNTKYLEVIVTGAMAQYIPTLDYYSGNLPKPCTIYASSECYFGLNLKPMTEPNEVSYTIMPNMGYFEFLPLDESPPKLVDLVDVEIGKFYEFIITTYSGLCRYKVGDILQVTGFHNSNPQFKFVRRKNVLLSIDSDKTDESELQKAIENASALLKEFKTSVVEYTSFAETKSIPGHYVIYWELLMKDSSCPPTDEVLNQCCLVMEESLNSVYRQGRVADNSIGPLEIRVVKNGTFEDLMDYYISCGASINQYKVPRCVSLTPVVELLDSKVVSFHFSPAAPYWTSERTTSLRDE >RHN50192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4985496:4990607:-1 gene:gene34455 transcript:rna34455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein LONGIFOLIA 1/2 MSKKALTSMKDENQELQKQIGCISGFFQLFDRHRFLTGHNNSHNMANQGGTGNNIKELNNAIQKTKAKNVKVPRENQQSSTESSSGTSMSSSSCSSSMSSIEFNRTIHIEPPSISPMKTTENSNSEAAAKQHDNQRNQSPNFHDIVKDSMHKDAQRLSVKTLAKEEKKGRILKHIDSPRPMVPWDSPRLSYDGRDIQSVSKFATKQKELPRFSLDSKERSIKSFNEGTKTRNLLKGPQNVRGGSNTMVKQMEEPESAKRPSSVVAKLMGLEAFPDWSETSDSCNTNKDDTFAGSRMSYEYMQQQDSSFQKTSKGTNMLQSRRDGSITNETPYSQFSKNNEPSTKASNRSLSVYGEIEKRMADLEFKKSGKDLRALKQILEAMHRYKESLDTASDQTSNSPYDNTSNSSVGESLNVQSPRTRQKNPTSVTAERLSSTQGSKSPIVIMKPTKVARKTNNSSSPEMPIHGKSSGNKVYRSDPTDGMSVVKLGRQATKGSPAIKHVKDPISPPFHSVDRSNRMRTSKLMQSSKVPRVINGENNTNSGVMAETRSPRLQKKFGLERRSPPTSPKSDISSNRRQQNRQSTDLSLPSKTSRQKLSPLKERNDQNAEQDLSKESFMVETMVTAEQPSPVSVLDASFYREDPPSPVKRKSDISKDLDEVLNTYDNSEEDSEGLSLSSNTNTKVNFGNGTIDIDLITDNLVQILQQFDHNDDESFANFSDHNDPDHKYISEILLASGLLTSPSSSQALHSSGYPINPILFLALEKLKTNKMHSYIAKTNNHEKMERKLIFDVVNEILFQKLILESSYKPYQPEGRKPDGQQLSDMLCTEIDKLQHKNRNVNLANEDEYLTSILLEDLVQHPTSTECYNEIPNVVLDIERLIFKDLITEVVRSEVANHSG >RHN46581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32932344:32934262:1 gene:gene41083 transcript:rna41083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MKSQQSHNPLHVTFLPFPSPGHMIPMIDTARLLAKHGVNVTIITTHANASTFQKTIDSDFSLGYSIKTHLIEFPSAQVGLPDGVENLKDGTSSEILSKINRGISMLRDPIEVLFKDLQPDCIVTDMMYPWTVEAAAKLNIPRIHFYSSSYFSSCAFHFIMKYRPHDNLVSDTQKFTIPSFPHTIEMTPLQIPDWLREKNPATAYFEPIYESEEKSYGTLYNSFHELESEYEKLCNTTRGIKSWSVGPVSAWAKKDDEKKGDKGHIEENGKVAEWLNWLNSKQNESVLYVSFGSLTRLTHAQLVEIAHGLENSGHNFIWVVRKNDMDESENSFLQDFEGRMKESKKGYIIWNWAPQLQILDHPATGGIVTHCGWNSILESLNAGLPMIAWPMFAEQFYNEKLLVDVLKIGVRVGAKENKSWDSICVEAMVRREEIRTIEEGGHSYNNLIQFIDELKSLKKSKALGDSAD >RHN72299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6594414:6595182:1 gene:gene7997 transcript:rna7997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin MKMNHIIIFFFALLISSSYTFHVSSSANDFCVANLHKTPDTPSGYPCKSPTVNDFVLSGFGPGSLNKAFNVKLAKASVTELPSLNGLDISAARVDIGPNGSVPMHTHPDATELLIMVQGRVTAGFINLTEVYVKDLKPTDIMVFPKGLLHFVVNSGAGEAIAFATYSSSKPSFQFLDSVLFGNKLPTSIIAKTTLLDVSQIKKLKAKFKGTG >RHN63232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49555568:49559707:1 gene:gene25909 transcript:rna25909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MVGMMNSRMMEDVVVIGGLIGVQFVYAGNAMLLKYLMSLGLQSFTIVIYTSFATFLLLLPFVLYFERSKWPKKFTFKLIVQFLLLAFGGVTLFQSLFLKGINLTSAAMGTAMPNLAPGFIFIIAWLFRLEKVDLSCTYSRVKIMGTLLCVLGAFTMSLMQSISTPLPIEELTVELTTPSPPFVMFDRNKIIGCLYLLVSILVLSGTVVLQAFTLGEFPAPMSVCAITSIFGGFITSAAQLIEFHEIKTGWPLVSVGDMIGYSILSGAVTGISLSFSGWALEKRGPVLVSMFSPVGTVCSIIFSIVTQGDSTVNIGSIAGMFLMFTGLYFVLWAKGKEDGLESTKQVELKEKNFVA >RHN49253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53574631:53576723:-1 gene:gene44065 transcript:rna44065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rapid ALkalinization Factor MASNFYSQLFLVICATLLMTTMMSSSPTVDAAGGFELGGMEWIHQTKTATCEGSIADCMLQQGEEEFQFDNEINRRILATTKYISYGALQRNTVPCSRRGASYYNCRPGAQANPYSRGCSAITRCRG >RHN56878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34417904:34418245:1 gene:gene32342 transcript:rna32342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MELKEAESSEKISMITLLKTTSVRRGLYAGMGLQIFQQFVGINTVMYFSPTIVQLAGFASNQTAMLLSLITAGLNAFGSLISIYFIDKTGRKKLALISLFGVVLSLVLLTVTF >RHN50786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10496022:10501042:1 gene:gene35114 transcript:rna35114 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLTESFEIPRGRGGGDIELGEYGRNSGELGLDSFFKKVQELEKQYVKLDNLLRKLQDAHEETKAVTKAPAMKAIKQRMEKDVDEVKKTAYFLKTKVEELDKENLANRQKPACGKGSAVDRSRTATTIALKKKLKEKMAEFQILREAIHEEYREVVERRVFTVTGARADEETIDRLIETGDSEQIFQKAIQEQGRGQVMDTLAEIQERHEAVRDVERKLLDLQQTFMDIAVLVDAQGDMLDNIESQVSSAVDHVQQGNNSLQKAKKMQRNSRKWMCIAIMILLIVVVIIVVSVIKPWVTKKGA >RHN40572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17711738:17712514:1 gene:gene46735 transcript:rna46735 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEAEHPLTPSKRKRDQDEDYISDSEPDSPPNPPQDPPQNRPAERSPDRSQQRSPILEEHQDLLEDFGNQTAIFNDIQATQVLQSFKSKLPPPTKVSNTSQLFTSPPHDFSPLFRSSSISKFFNSLPQFDGSNPLESFNTLQSNCPTLPSNPSKAAPSAPTHHNTTDERPPKRSKIEKDLSKIKKYLRRLVEGQQACLSHHVYNKMENQTHRNWISNTLSTRYNVPPPAELPFSPPQYFIPAPPEDSSSDDSSPTPK >RHN58127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43922122:43922538:1 gene:gene33737 transcript:rna33737 gene_biotype:protein_coding transcript_biotype:protein_coding MYIPNSNTWRTIDVDMFRSYDNVVVYMDGVCNWWAKIEAHAYLVSFDFNNESCITTLIPSHVDEFYSVWRHCLVLLNGSIAFILHYIETSILHILILGDLGIKDSWTKLFVVEFLPCLAYPIGAGKKGRILFRKKTVN >RHN58158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44178427:44179281:1 gene:gene33771 transcript:rna33771 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLSSSRGNLPLHSFCQIIIITIRNRGLFLRSTGQRKPNLRPKNRWYPFPNQLLQSNSISQCRKLQRRCKGTPLSYGCMKIPINTFTGLQCPPNSTLLSHLFPYLIQHIHIIHIPFNRKSVKVLKFHSYICSS >RHN54514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10168170:10168439:1 gene:gene29574 transcript:rna29574 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSRSFYRDNASLNPFLGVVKVVVVFHWWFLVSSLSPMLSLLSGFYDGFKVMRVVSYSLFSTPSLDMWVASYVVTFRLASDYVAQKGS >RHN63836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54349330:54349824:1 gene:gene26590 transcript:rna26590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MRGKTVGTKIRIPKTQFPLLLLLSHTSLSISIFFSSSPSSSSLVCIQNMSKQGSKTQSRVWVLDEGFFSIGSSRRRRTNMKCKCGDFPVIRTVNDSSNPNCGKKLWGCNNYRNSFEKGCGFFKLVEDENFRTESKEDGLELKLKSEKRKRKNMKLKMKMDLAKT >RHN60143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21687938:21696939:1 gene:gene22381 transcript:rna22381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphodiesterase I MESSNWVLKHVFILLFFFLSNPSMSSTFPSSTLDLTVTHTKALHQNFTALSDFRLINRRILNDCSHLSPYLKLNITSNSKLLDEEFVTVTVTGVSKPRDGDWVAMISPSNSNVKACLLNEFYYLQTGDTAKLPLLCHYPVKAQYLKNDPDYMSCKKKECKKEQNGKCSVTTCSGSIKFHVINIRSDIEFVFFTGGFLTPCLVGRSTPLSFANPKKPLYGHISSIDSTATSMRLTWVSGDKEPQQIQYGNGKTVTSAVTTFSQEDMCSSVVPSPAKDFGWHDPGYIHSALMTGLKPSSAYSYRYGSNSADWSEQTKFSTPPAGGSDELKFISFGDMGKTPLDASEEHYIQPGALSVIKAIANEVNSNNVNSVFHIGDISYATGFLAEWDFFLNLISPVASRVSYMTAIGNHERDYIDSGSVYVTPDSGGECGVPYETYFPMPTAAKDKPWYSIEQGSVHFTVISTEHDWSENSEQYNWIKKDLASVNRQHTPWLIFMGHRPMYTSNNGFSSKDQKFINAVEPLLLQNKVDLVLFGHVHNYERTCSVYQNKCKAIPIKDQKGVDTYDNRNYSAPVHAVIGMAGFALDKFSNNAESWSLKRISEFGYLRAHATRNDLSLEFVTSDTREVKDSFRITK >RHN49572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55739448:55745845:-1 gene:gene44417 transcript:rna44417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MERRRRRKEDLSSLMRKSWYHLRLSVRHPSRVPTWDAIILTASSPEQAHLYTSQLNRAKRMGRISPSTLTLAVPDPLGRRIGSGAATLNALHSLSLHYGTSASNVLACKHVLLLHAGGDSKRVPWANPMGKVFLPLPFLADDEPDGPVPLLFDHILAIASCARQAFRDQGGMLTMTGDVLPCFDASVMTLPEDTSCIITVPITLDVASNHGVIVAAETEVHSNQNYALSLVDNLLQKPTVDELVQSKAVLVDGRTLLDTGIIAVRGKAWLDLVTLACSSQEMISDLIRSRKEMSLYEDLVAAWVPAKHEWLRKRPLGEELVNRLGNQRMLSYCAYDLLFLHFGTSNEVLDHLSGVGSDLVGRRHICSIPATTASDITASAIILSSKIAPGVSVGEDSLIYDSSISGGIHIGSLCIVVGASISLDHDYICAEDSMKFMLPDRHCLWEVPLVGSSERVLVYCGLHDNPKSSLSGDGTFCGKPWKKILHDLGIQETDLWGSSGTDVKCLWNSKIFPILPYAQMLKVSMWLMGLVKQKTEDMLSLWRSAQRISLEELHRSIDFSTMCIGSSHHQADLAAGIAKACVTYGMLGRNLSQLCAEILQKEGSGVKICKDLLAMCPKVQEQNTNILPKSRAYQVQVDLLRACNDEKTACELEHKVWDAVADETASAVRYGFKEHLSESPSSVSCDEQKINSHDNGRIHKPFHLRQVKVELPVRVDFVGGWSDTPPWSIERAGCVLNMAISLEGSLPLGTIIETTKTTGVLISDDTHNELYIEDYTSICAPFDGDDPFRLVKCALLVTGIIHDNILADMGMHIKTWANVPRGSGLGTSSILAAAVVKGLLQMIDGDDSTENVARLVLVLEQLMGTGGGWQDQIGGLYPGIKCTSSFPGIPLRLQVVPLLASPQLISELQQRLLVVFTGQVRLAKKVLQKVVIRYLRRDNLLVSSIKRLVELAKIGREALMNCDIDELGEIMLEAWRLHQELDPYCSNDFVDKLFSFASPYCCGYKLVGAGGGGFALLIAKDTQRAKELRQRLEDEKDFGVKIYDWQISL >RHN50952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12179811:12186902:-1 gene:gene35308 transcript:rna35308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MENFWSMICGDCGNVDNEGKPFCYDLALLKDPSSCINHVLVFSFDVIVLTMLIFIMILKSSSRPFRSLVRYSNLQLVSAIINGFLGLLHLSLGVWILEEKLRKNHEVFPLNWWLLELFHGLTWLSISLSISLRIKQLSRAWLWMFSVLVFFVSGILCALSISYAIGSKELSLKVGLDVISFVGAILLLLCTYQTCKRKDVETEIDDSLRAPLIGQFDEINPVSHVTPFGNAGFLSKIWFWWLNTLMKTGQTKTLQDEDIPKLRESDRAEICYSSFLEQLNKQRQCESSYQSSVLWTIFLCHWREILVTGFFALFKVLALSSTPLLLNAFILVAEGNESFKYEGYVLAVSLFVIKIIESLSQRQWYFHTRLVGMKVRSLLNAAIYKKILRLSNSARLIHSGGEIMNYMIVDAYRIGEFPFWFHQTWTTILQLCIALVILFRTIGLATLASLAVIVLTVICNYPLAKLQHKYQSKLMVAQDERLKASSEALVNMKVLKLYAWENHFKNVVDFLRNAELKMLYAVQLRRTYNVFLFWTSPMLVSTASFLACYFLDIPLHASNVFTFVATVRLVQEPITSIPDVIAVIIQAKVAFARIVTFLDAPELQSENLKNECFDDNLKGSISIKSANFSWEGNASKPTLRNINLEVKHGQKVAICGEVGSGKSTLLATILGEVTKIKGIVDVYGRFAYVSQTPWIQTGTIRENILFGSELDDQRYQETLQRSSLKKDFELLPYGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAHTAKNLFDEYIMEGLKGKTVLLVTHQVDFLPAFDSVLLMSEGVIQQAGPYHQLLTSSQEFQDLVNAHKETAGSNELVDVTFSQRHSSSRKATQDSVEQQFKETNGNQLIKQEEREKGDAGLKPYLQYLNEMRGYIFFSLASLCHLLFVVCQILQNSWMAAKVDDPLISTLKLILVYFLIGSFSVVFLFTRSLLVVSLGHQSSKNLFSQLMNSLFRAPMSFYDSTPLGRILSRVSSDLSIMDLDLPFSLTFAMGGTIVFCSSLTVLAVVSWQVLIVAIPMVCVAIRMQRYYFALAKELMRMNGTTKSSLANHVAETVAGAATIRAFEEEDRSFEKNLDLIDNNASAFFHSFASNEWLIQRLETISAVLLTAAALCMVMLPPGTFTSGIIGMALSYGLSLNNSLVFSIQNQCTVANHIISVERLKQYMHIESEAKEIVEENRPPSYWPVAGKVEINNLKIRYRSNGPLVLHGITCTFEAGHKIGIVGRTGSGKSTLISALFRLVEPAGGKIIVDGIDISSIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLSQHTDQEIWEVLGKCQLREVVQGKEEGLNSSVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNSTDLILQKTIRAEFADCTVITVAHRIPTVMDCSMVLAISDGKLAEYDEPKNLMKREESLFRKLVKEYWSHFQSAESH >RHN48292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46376545:46384570:-1 gene:gene42994 transcript:rna42994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative iron-chelate-transporting ATPase MMEGEASFRISSSSIWRNSDAAEIFSNSFRQEDDEEALKWAAIQKLPTFARLRKGLLSLLQGEATEIDVEKLGLQERKDLLERLVRLAEEDNEKFLLKLKDRIDRVGIDLPTIEVRFEHLNIEAEANVGSRSLPTFTNFMVNIVLGLLNSLHVLPSRKQHLNILREVSGIIKPSRITLLLGPPSSGKTTILLALAGKLDPKLKVSGKVTYNGHEMGEFVPQRTAAYVDQNDLHIGEMTVRETLAFSARVQGVGPRYDLLAELSRREKHANIMPDPDIDVYMKILGLEICADTVVGNAMLRGISGGQKKRVTTGEMLVGPTKALFMDEISTGLDSSTTFQIVNSIKQYVHILKGTAVISLLQPPPETFNLFDEIILLSDSHIIYQGPREHVLEFFESIGFKCPDRKGVADFLQEVTSRKDQEQYWEHKDQPYRFITAEEFSEAFQSFHVGRRLGDELGTEFDKSKSHPAALTTKKYGVGKWELFKACLSREYLLMKRNSFVYIFKIFQLCVMAMIAMTIFFRTEMHRDSLTHGGIYVGAIFYGVVTIMFNGMAEISMVVSRLPVFYKQRGYLFFPPWAYALPEWILKIPLSFVEVAVWVFLTYYVIGFDPYIGRFFRQYLILVLVHQMASALFRFIAAVGRDMTVALTFGSFALAILFAMSGFVLSKGIMNLKQINNHSVCLSILSVLLSFICFMLADSIKKWWIWAFWISPMMYAQNAMVNNEFLGNKWKRVLPNSTEPIGVEVLKSHGFFSEPYWYWIGVGALIGYTLIFNFGYILALTFLNLRNGKSRSGSISPSTLPGRKETVGVETNHRRKRGMVLPFEPHSITFDEVSYSVDMPQEMRTRGVVENMLVLLKGLSGAFRPGVLTALMGVTGAGKTTLMDVLSGRKTGGYIGGNITISGYPKKQDTFARISGYCEQTDIHSPYVTVYESLLYSAWLRLSPDINAETRKMFIEEVMELVELKPLRNALVGLPGVSSLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELFLLKQGGQEIYVGPLGHNSSNLISYFEGIKGVSKIKYGYNPATWMLEVTTSSKERELGIDFAEVYKNSELYRRNKALIKELSTPAPCSKDLYFTSQYSRSFWTQCMACLWKQHWSYWRNPVYTAIRFMYSTAVAVMLGTMFWNLGSKIEKVQDLFNAMGSMYSAVLLIGIKNGNAVQPVVSVERTVFYRERAAGMYSALPYAFAQARISYFKISSFVVIELPHVFVQSVVYGFIVYAMIGFEWTLVKFLWCLFFMYFTFLYFTFYGMMSVAMTPNNHISIIVSSAFYSIWNLFSGFIVPRPRIPVWWRWYSWANPVAWSLYGLVTSQYGDVKQNIETSDGRQTVEDFLRNYFGFKHDFLGVVALVNVAFPIVFALVFALSIKMFNFQRR >RHN69976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47698719:47702624:-1 gene:gene18512 transcript:rna18512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease III MKMKMEDSVAAVEKIIGYTFRNKNLLEEALTHSSYPESVSYERLEFIGDAVLGHAISNHLFLVYTNVDQRQLSLLRSANVSTEKLARAAVSNCLHRYIRLKTHSLAEHIREFAAAVEQEKGRAIVLYGGAVKAPKVLADVVESVAAAVYVDLDFDLKKLWVVIRGLLEPIVTLNDLEQKPQPVTMLYEICHKNGKKVDIRHSRNGDKSTASVYVDGELFAVASSDQKDIAKLDAAKSAVQKLERVLPITTTTPDNCKGLDGTFEIEEPKQKLYALCGRKKWPTPVYSCGIERDEGTPQNKIFVTSVQVATPDGTLKMLGEEKSRVKDSQNSAASLMIRALLQGQLV >RHN80618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39475765:39481040:-1 gene:gene4563 transcript:rna4563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MTTPPSSSSSQPQFTYSNAPYFPVPFHLQQPATTSHYAAPYVAAPAVQLPPPPIVGPVPPPAAYSVPQYQAQQLFERDAQIITPEALENVKAAIASSDVEHKAETKKKAVPRKAAGQAWEDPILAEWPEDDYRLFCGDLGNEVNDDVLSKAFTRFPSFNMARVVRDKRTGKTKGYGFISFANPADLAAALKEMNGKYVGNRPIKLRKSKWRERTDYDALEKQKNHIQKKPKMSRKSILHK >RHN67045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23214489:23215401:-1 gene:gene15177 transcript:rna15177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MSCFPCCTSEERIKKRSLKKSIKQYHDAKVLTSFTNISFKTDSGKRRFIAEELAKLGKGNVTSKIFTYRELCVATENFQPTNMIGEGGFGRVYKGTIKNTNQIVAVKALDRNGFQGNREFLVEVLILSLLHHPNLVNLVGYCAEGDQRVLVYEYMANGCLEEHLLGKLLCFLINTMPLSCNT >RHN45905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26718785:26722366:1 gene:gene40332 transcript:rna40332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MRIISLMDFSYRENLFSVLFILCCYLVHVSVGIDTITSSQFIKDPETLISKDGNFTFGFFSPKNSTNRYVGIWWKSQSTIIWVANRNKPLNDSNGIVTISEHGNLVVLNGQKQVIWSSYVSNIVSNTTSQMSDSGNLVLLESTTGNNLWQSFQQPSDTFLPGMKLSVNKRTGKSIKLTSWKSPSDPSVGNFSCNSVQRQNIFEVFIWNETRPCWRSGPWNGGVFTGIQIMKLSYRNTFQGGDDGEGNIVIFYTIPNDKELMIYHLNSEGILEEIWWDDQKKEMMVAWKSQYSECDVYGLCGAFTSCNSLSTPICSCLKGFDPVNIQEWNRNNWTGGCVRRKPLQCKRIGNKTTGRKEDGFLKLQMVKVPDFAEGLEGTPDRCRILCLENCSCVAYSHDDGIGCMSWTGNLLDIQQFQSGGLDLYVRVSYLELDKWRNKTIFIAITVIIATLIIVICAYITWRRTSNRPANSNDNIIGEMSQDKLQELLKFDFEKVATATNNFDLSNKLGQGGFGPVYKGKLQDGREIAIKRLSRASGQGLEEFMNEVVVICKLQHRNLVRLIGCCVEGDEKMLMYEYMPNKSLDSFIFDPSKNKLLDRRTRYSIIEGIARGLLYLHRDSRLRIIHRGLKASNVLLDEKLNPKISDFGMARIFGGGEDQANTRRIVGTYFGVLLLEIVIGRRNSSFYDDVHNLSLLGYVWTQWSEDNILSLIDQEIYDHSHHNYISRCIHIGLLCAQERAGDRPTMASVISMLNSESSLLPPPSKPAFILWESMLNSKFPEECQNGCSINNVSITDISGR >RHN63472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51524460:51524750:1 gene:gene26171 transcript:rna26171 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAYNLIFKNDSPQVYVKIGNVNLQYNFNILVIGLLLVTCVGNLSEKEFQNSAFTYLVKPSNNSLRIVMKLEGHTLTPVYAPLNTQLEVSGFDSF >RHN75977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46699414:46702369:-1 gene:gene12243 transcript:rna12243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-BEL family MQDLVQVRNIRNANYKLDEPVYSSTEMLNFLTTTTSNPLEYQELGAASEPSRLMMQHYHQQHYGSLPHSELSDFGNWRNSVPAPHQLTDQYWLLNNANNSLSYVYGAEKQLGLMHQNQNNSLQDVVKSITSNRSDSEMASLMHHNGQGICVGNASELQLQPKPQSLSLSLSSNNITQSKPYVSHFEEGTSSTDEFRSMKHMKPSIISRDCGKSHQDLVVGIIPNSKSPASTSYGNLGPLGPFTGYATILKSSRFLKVAQNLLDGICSPKLVTACDVSETEVASKGSNYSCSSSSMFHSADWGNRSSLGVSLRPDYQQNKAKLMFMQEEVNKRYKQYHQQMQMVFSSFESVAGLNSATPYIDLALKTVSKHFKCLNNAISNQLNHISEVLRNDLSIPTTTNSTKLVDTNNVASLRCMDQSLQKNKPETVPSGFHDPQQHVWRPQRGFPERAVAILRAWLFEHFLHPYPTDTDKHLLATQTGLSRNQVSNWFINARVRVWKPMVEEVHMLDKKTADASENSSLNERTYGTEVGTCSQPRMDKALNKFVMHSIPENQIQCIDTNAEENELNEAEQWSREKRYKLECGMSSRMDGTLMDFLPYRHSGHDVVRSLGLDLFH >RHN42718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40434723:40437327:-1 gene:gene49201 transcript:rna49201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MRHTHFNKFHTTKQGHTNFCLSSLYIYIFSYTCKSCAWIPNILDQNKLLTFFLFDMDHKTKLWMVFFFFSSATYCVVGKPQVPCLFIFGDSLSDSGNNNNLATDAKVNYRPYGIDFPAGPTGRFTNGRTSIDIITELLGFDHFIPPYANTHGADIVQGVNYASGAAGIRNETGTQLGPNISMGLQLQHHTDIVSQIAKKLGYDKVQQHLNKCLYYVNIGSNDFLNNYFLPQHYPTKGKYTTDQYAAALVQELSTYLKAIHGLGARKFSLVGLSLLGCVPHEISTHGKNDSRCIQEENNAALLFNDKLKPLVDHLNKELTDSKFIFINSAVIRLSQLKLQDLVKCCKVGSNGQCIPNTKPCKARNLHPFFDAFHPTEVVNKLSANLAYNAPAPSFAYPMDIGRLVKL >RHN41918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34087697:34091144:1 gene:gene48293 transcript:rna48293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MADSPPPRNSRSPSPSPWKAQSRSRSRSRSVPRQRPRSDSRGRGRSRSRSPVREVNATNPGNTLYVTGLSSRVTDRDLEDHFSKEGKVASCFLVVEPRTRISRGFAFVTMDSHEDAERCIKHLNQSVLEGRYITVERSRRKRARTPTPGHYLGLKNTRDYGPRGDHRGDHRGDFRGDHRGDFRGDHRGDYRSDHRGDYRGDYRGDRGRNRGGSGRVDYSDRRSPRRSPYRGGHDHSPQRSPYVGRSRRERSRSIPRSPYSPDRRYAAGSR >RHN55118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15268994:15270551:-1 gene:gene30248 transcript:rna30248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAEAVLEVVLDNLSSLVQKEIGLFLGFEKDFKSLSSLLTTIKATLEDAEEKQFTYKAIKDWLLKLKDAAYVLDDILDECATQALEMEYKGSKGKPSHTVQSFFVSSFHPKHVAFRYKLAKKMKRIRERLDEIAEERSKFHLTEIVRERRSGVLDWRQTTSNITQPQVFGRNEDKDQIVDFLVDDAYTCEDLSVYPVVGLGGLGKTTLAQVVFNHEKVVKHFELRIWVCVSEDFSLKRMTKGIIEAASGHACEDLDLEPLQRKLLDLLRRKRYLLVLDDVWDDGQENWQRLKSVLACGGKGASVLVTTRLPKVAAIMGTMPSHDLSMLSDTDCWELIKQRAFGPNEDERPDLVVIGKEIVKKCGGVPLAAKALGSFLRFKREEKEWRYVKESNLWSLQGENSVMSSLRLSYLNLPVKLRQCFAFCALFSKDQIISKQFLIELWMANGFISSNEILEAQDIGNEVWNELYCRSFFQDTKTNEFGKIVSFKMHDLVHDLCES >RHN79042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21814073:21815251:-1 gene:gene2734 transcript:rna2734 gene_biotype:protein_coding transcript_biotype:protein_coding MMFWSYSSCMKDMFLQLGNRLVRHLYGLVPRRLQCRLHQNQHRKVKMENRLYGGCVDICATGVGKQHKDEKSKDGYVPVSKPMESQASNSVGSKRVRQPENYEDEDYDISSKKPRQNRSFNNDEVEKNNMSEETFLRNTIEDEHSYVQGDKVYLDCPDPDFNNFEKETADDCFAVNQFWAVYDTTDAMPRFYALVKKVTFPFKMHITWLEADPDKDSDVHSYNAGLPIACGKFKLGKSQKTTARGMFSHQILCIKGSGKGSYLVFPKKGETWAIFTNWSSNPENFRKREFAYVEILSDFAENVGVQVAYLGKVRGFISLFEKTRKNGANTFHILPNELYKFSHRVPSYKMSVDERKDVPKDCFELDTAALPTDIFEAEKNSSILGRSREKV >RHN51434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17728993:17739954:-1 gene:gene35867 transcript:rna35867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein METPLLIKSFTSENDYLAVKSLKDVKHVLWSETVKIWKIALPVALCSLFQFLTNSSTSVYAGHLGDVELSSFSLFQSIINCIYSLLFGMSTALATLCGQAYGARQFQSAGIYLQRSWIILFTTCILILPIHIYATPILKFLGQEQEIADLAGKYAIQIIPCMFSFAINLPIKKFLQAQCKVNVIMCISLLFLLIQNGLLYIFISVFEWGIFGLALASNITGWGFSIALVIYVIGWSKEGWNGLSWMAFRELWEFTILSLGSSGMICLEQWYSVCIILLAGHLDNPVIALGSYSICLNIQGWNSMLLLGVSTALSVRVSHTLGMSHPRASKYSFLVAMSQSLLIGIIFMTVIFLSKQEFATIFTKSVDMIHAASELAYFLGITMVLNSISQTISGVVIGCGWQVMVGYINLGCYYIIGLPIAIFLGFNQHLGVKGLWGGTICAIILQIIILVVIICKTNWTKEVEQTANRMQIWISNK >RHN71999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4268161:4277572:1 gene:gene7666 transcript:rna7666 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPHQKFKLQLKGLLLLIHFRWWTSSIAGPTAETFIHENKRLSNLLNKSLLEYVAEHSMKEIPNQMAPEISNLLTMLEYRLCWLWFVQPRRQPPAILLCVHVSKDHPSIGFLSQGEDKKENNKNVPFSHLTYSINTVTTSLVALELLLESVEFTLREIATVLILALLLKRCAKTKATVS >RHN71914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3535011:3537335:1 gene:gene7565 transcript:rna7565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydroflavanol 4-reductase MMERRCKVCVTGGAGYIGSLLVKKLLEKGYTVHATLRNLKDESKVSFLRGFPHANTRLVLFEADIYKPDDFWPAIQGCEFVFHVATPLLHQTDSQFKSIEEAAIAGVKSIAETCIKSRTVRRLIYTGTVFAASPLKDDGCGYKDYIDETCWTPFQNLHLPLTPFHKDYAYSKTLAERELLTSYGKDENGSGGFEVVSLVLGLVGGETPLSYLPGSVAVITSQLQDNEALYQSLKFLEDICGKCPIVHIDDVCEAHIFCAELPSINGRFLVANSYASSAEIANYYSQNYPEFNLKEKYLEGPNRAIKLASTKLIDNGFVYKYNLKKILDDSIRCARRTGDLSMS >RHN67370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26506564:26514331:-1 gene:gene15551 transcript:rna15551 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRYDMRGPMISNGMLKQTPLRGPAPQNPTAPGVIRVQNPNYRSSASTQPQYMEFIPTSGFTKH >RHN67448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27325210:27329496:1 gene:gene15637 transcript:rna15637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MLQCLEGFKSLLASVLRCCDIDINNQSRGLEDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >RHN67345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26265879:26267685:-1 gene:gene15525 transcript:rna15525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MALLILYLPYIFSFILLTLVVQKIGNKKSYSTYNIPPGPIKLPIIGNIHNLLTSQPHRKLRDLAKKYGPLMHLQLGEVSAMVISSPECAGEVMKTHDIHFASRPQILATEIMSYNSTNIAFAPYGNYWRQLRKICTLELLSLKRVNSYQPIREEVFSNLVKWIASRDGIPINITEAVRSSIYTIVSRAAFGNECKDQDKFISVVKQSIKVAGGFDLGDLFPSAKWLQLVTGMRPKLERFHRQTDQILENIINEHKEEKYTKAKDDQGKVEEDLVDVLLKYEDGSNQEFTLTKNNIKAIILDVFGAGGETSASTIDWAMVEMIRDPRIMKKAQDEVREVFKMKGRVDENCINELNYLKSVVKETLRLHPPTPLLLPRECRQTCEINGYHIPIKSKVIVNAWAIARDPNYWTEPERFYPERFLDSAIDYKGSNYEYIPFGAGRRICPGSTFGLRSIELALAMLLYHFDWKLPSGIRSEDLDMTDEFGATMRRKDDLLLFSFVYHPL >RHN50026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3242208:3245756:1 gene:gene34274 transcript:rna34274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldose 1-epimerase MTKIFMPLCLLFLAFSGFVNGSMNKKEKNHDDIKLFELKKGDLTLKVTNWGATLVSLVLPDKNGNLGDIVLGYDTPKAYTNDTSYFGATVGRVANRIGGAQFTLNGVRYKLIANEGNNTLHGGPRGFSDVLWKVEKYVREGDQPLIKFGYHSFDGEEGFPGDLKVTVSYILRKNSLTIIMQAKALNKPTPVNLVNHAYWNLGNHNSGNILDEVVQIFGSKFTPFDNNLIPTGKFSSVKGTPNDFLKPQIVGARINQLQKTNGYNVNYVLNKGKLENKEGLKVAAIVMDKKSGRVMKLSTNAPGLQFYSANFVKNDKGKGGFVYQPRSALCLESQAFPDSVNHPNFPSTIVTKEKPYKHVMLLKFSTKVPHAFSQF >RHN54695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11376773:11378772:-1 gene:gene29769 transcript:rna29769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MGKSKVLVVGGTGYIGRRIVKASLEQGHETYVLQRLDIGLETEKVQMLLSYKKLGAHLVEGSFSNHQSLVDAVKLVDVVICTMSGVHFRSHNLMLQLKLIEAIKDAGNVKRFLPSEFGMDPALMGHALEPGRVTFDDKMAIRKAIEDAKIPFTYISANCFAGYFAGNLSQMGTLFPPRDKVVLYGDGNVKVVYMDEDDVATYTIKTIDDPRTLNKTIYIRPPENILTQRELIEKWEKLIGKQLEKSTISEQDFLSSMKGLDLAGQVAVGHFYHIFFEGCLTNFELEDGEEASKLYPEVQYTRMDEFLKAYA >RHN76346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49545575:49551420:-1 gene:gene12655 transcript:rna12655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative malate dehydrogenase (oxaloacetate-decarboxylating) (NADP(+)) MISATRCTFLGCSGLGGSGNICGGQRRGYGPWRVTCMSPINDRNGSVVNVMETPLKEIKKEAVVEDVDDNPISGGGPRDVYGEDKATEDHFVTPWSVSVASGYTLLRDPHFNKGLAFTEKERDAHYLRGLLPPTTIPQETQVRKMIQHIRQYQVPLQRYMAMMDLQERNERLFYKLLIDHVEELLPIVYTPTVGEACQKYGDIFMRPQGLYISLKEKGRIQEVLRNWPEKNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNERLLNDELYIGLKQRRATGQEYSELMHEFMTAVKQTYGEKVLIQFEDFANHNAFDLLEKYRSTHLVFNDDIQGTASVVLAGLVAALKLVGGNLADHKFLFLGAGEAGTGIAELIALETSKQTNAPLDEVRKNIWLVDSKGLIVSSRKESLQHFKKPWAHEHEPVKNLLDAVNKIKPTVLIGTSGQGSAFTKEVVEAMASINEKPIILSLSNPTSQSECTAEEAYTWTQGRAIFASGSPFSPVEYKGKVFVPGQANNAYIFPGFGLGLIMSGTIRVHDDLLLAASEALAEQVSEENFEKGLIFPPFTNIRKISAHIAAKVAAKAYELGLATRLPQPKDLVKFAESCMYTPAYRSYRHEQLRK >RHN48984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51567875:51572845:-1 gene:gene43762 transcript:rna43762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase MMESCECIDTPYPPDELLVKYQYISDVLIALAYFSIPVELIYFVQKSAFFPYRWVLMQFGAFIVLCGATHFINLWTFSAHTKAVAVVMTIAKVSCAIVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLILTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRSGLNLQLSHTLTYHVQVGSTVPTNLPIVNEVFSNPRAMRIPHTCPLARIRPLVGRYVPPEVVAVRVPLLHLSNFQINDWPELSAKSYAIMVLILPTDSARKWRDHELELVDVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETELTPEQRVMIETVLKSSNVLAALINDVLDLSRLEDGSLELEMAKINLHGVLGEVIELIKPIASVKKLPITLILAPDLPTHAIGDEKRLMQTLLNVVGNAVKYTKEGYVSIRASVAKPESLQDWRPPEFYPASSDGHFYIRVQVKDSGCGILPQDIPHLFTKFTQSQSGPVRSSSGSGLGLAICKRFVNLMGGHIWMESEGHDKGSTATFVVKLGICGNADPSDHQAASRGQAYSGSGGLARFKHLVNDDDIGFSNRRNQRSF >RHN48562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48481596:48483550:1 gene:gene43293 transcript:rna43293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MVSQDPKVHFVLFPMMAQGHMIPMMDIAKILAQHQNVIVTIVTTPKNASRFTSIVARCVEYGLDIQLVQLEFPCKESGLPEGCENLDMLPALGMASNFLNALKFFQQEVEKLFEEFTTPATCIISDMCLPYTSHVARKFNIPRITFLGVSCFHLFNMHNFHVNNMAEIMANKESEYFELPGIPDKIEMTIAQTGLGGLKGEVWKQFNDDLLEAEIGSYGMLVNSFEELEPTYARDYKKVRNDKVWCIGPVSLSNTDYLDKVQRGNNNNKVSNDEWEHLKWLDSHKQGSVIYACFGSLCNLTPPQLIELGLALEATKRPFIWVLREGNQLEELKKWLEESGFEGRINGRGLVIKGWAPQLLILSHLAIGGFLTHCGWNSTLEAICAGVPMVTWPLFADQFLNESFVVQILKVGVKIGVKSPMKWGEEEDGVLVKKEDIERGIEKLMDETSECKERRKRIRELAEMAKKAVEKGGSSHSNISLFIQDIMKKNKDMMSSFIHGNANSK >RHN74533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34471885:34473201:1 gene:gene10621 transcript:rna10621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MSRFSVLTLIFFYLSYFIYFSHASKKGLSVEMIHRDSSKSPLYHPAVTKFERAYNVVHRSINRVNYFTKEFSLNKNQPVSTLTPELGEYLISYSVGTPPFKVYGFMDTGSNIVWLQCQPCHTCFNQTSPIFNPSKSSSYKNIPCTSSTCKDTNETHISCSNGGDVCEYSITYGGDAKSQGDLSNDSLTLDSTSGSSVLFPNIVIGCGHINVLQDNSQSSGVVGMGRGPMSLIKQVSSSSVGSKFSYCLIPYNSDSNSSSKLIFGEDVVVSGEMVVSTPMVKVNGQENYYFLTLEAFSVGNNRIEYGERSNASTQNILIDSGTPLTRLPNLFLSKFVSYVAQEIKLPRIEPPDHHLSLCYNTTGKQLNVPDITAHFNGADVKLNSNGTFFPFEEGIMCFGFISSNGLEIFGNIAQNNLLIGYDLEKEIISFKPTDCTKY >RHN51834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26312937:26314571:1 gene:gene36374 transcript:rna36374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSRFRSSSSSSVPTFLFPFLRTRLYSQSRFVPSNVDVDVDNSVSSFYDMLSINPSPSIVEFNKILGSIVKTDNKHYTTVISLSHRLEFHGITPNLFTFNILINCYCHLGEMDFAFSMLSKILKMGFQPNTVTLNTLVKGMCLNGKVKEALHFHDHVIALGFHLNQITYGTLINGLCKMGKTTEALQVLRKIDGKLVNTGVVMYNTIIDSLCKEKLVTEAYELYSQMIVKKISPDVVTLSSLICGFCNVGQLKEAFCLFHEMLLTNIHPNVYTFNILVDALCKEGKIKEAKNVIAVMMKEGVEPTVVTYNTLMDGYCLANEVNKAKNVFNVIGKRRMTPNVRSYTIIINGLCKIKMVDEALNLFTEMHCKPNTVTYNSLIDGLCKSGRISHAWELLDQMHDRGQPADVIAYNSFLHALCKNHQVDKAIALVKKIKDQGIQPNINTYNILIDGLCKEGRLENAQVIFQDLLIKGYKVTLWTYTIMINGLCLEGLFDEAMTLLEKMEDNGCIPNAVTYATIIHALFKNDENDKAEKLLREMIARGLL >RHN40756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20391672:20391953:1 gene:gene46953 transcript:rna46953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVLGSEGAVYSQSLNEKQQLTETLTSPSLPTLPFDLIPEILSRLPVKFLLQFRCVCKSLNSLISDHKFANKHIRVSTTTLVHTLTYSTPFSRT >RHN66570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14123942:14126501:1 gene:gene14583 transcript:rna14583 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRTGTFICSSHSKKVALIWLISALCFFILFQMALRNSSNTLPSPSSDSLNLNTERRSKLYDKMARDLDEHGAAFLKHGETSQSLSISDIFTLKDGSVTPVLKPASPPIRANVLYLSTEFSFPIASYIIQLGISTHNPHTQNWISRA >RHN53806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4293292:4294034:-1 gene:gene28754 transcript:rna28754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MQSLPRFIRANITKIMPRHLMFLITWFCMEEAQVLRVELDAKLCCNYHWPKINNLTNMELILKHNHCEKWKWLLEILECCPKLLNLTIHEDHRNAEEVVYNWIDPIIVPKCLSTQLRTCLLNDHKSSTESGLQFAKYIMQNSKVLNTMTIKSTSSRNRKAKYQMLLKLASLPRASTTCKFVFD >RHN76914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2336441:2344428:1 gene:gene306 transcript:rna306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MAKKKNSMVFMDVSVDGDPYEKMVFELFYDVAPKTAENFRALCTGEKGVSPNTGKSLHYKGSFFHQILKGSIVKGGDFINRNGTGGESIYPKFPDESPRLKHDSPGLLSMAIADRDTLGSHFIITLKADHHLDRKHVVFGKLVQGYDILKKIEDVGNEEGLPTVTVKIINSGEHNEDGKKTHKSKIGKNASSEANSHEVRRKGKHKKSSGDRRKKRKHYSSESDSSSDSDLESSESDSDSDSDLSSSSYTSSSSDDRRRKRKRSRKDKHRHGKRRDKRREKRRRKQDKRSKRKSRRESGSHSDADSASKSNDNSNGKGLDTQEKILKQKDHSQIAAEVQSSSVLEKELPPLNHKKRDGVGMLDEEELPKENGAQPSNGIRANYRPDRSEERQHDVMDDNPGKSRSRSMSPKQTVSKSMSISPKCVCKSPSISPKRRFSRSPSGSKSPRAPSQRSLSRSPVRSISRSLNRNVSRSPVRGRKGRSISRSPMRTTHIHKSVSKSPVRSPDRRSLSKSPARSVSQSRRSSARVSSRRTVSRSPVRMSRKSISRSPVRSSARSLSRSSGRVPLRSISRSPVRVASRGNHRSYSRSRSPVRRARTPRGRSLSKSVSPDASPKRIRRGRGFSERYSYARRYRTPSRSPVRYRYNGRNDRDRYSGYRRYSPRRFRSPPPRRRTPPRYRSRRSRTPSVSRSPPYRARRYSPSPSPVRNRSPSRVNRRASSSLSRSPSRSRSSVESLSPRKLSKDNRSRSPSRSSDGKKGLVSYGNGSPDSS >RHN79746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31808424:31809047:-1 gene:gene3578 transcript:rna3578 gene_biotype:protein_coding transcript_biotype:protein_coding MIEYFSYLVEKDLSLHKCRYLTKPLDTHLSPFSFKLGVISIYSPAEAY >RHN40605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18084628:18087907:-1 gene:gene46772 transcript:rna46772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MERMFSPKKPSTMMNSHDRPMSCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNEQSIKDGMRVSAFELQRNTGTSSSMTGRNMNEMQMEVHRRLHEQLEVQKHLQLRIEAQGKYMQSILEKAYNTLAGENMAAAATNFKGINGTQSIPDMKDFVSPLNNFPHFQDLNICGSDDEQLDLHHNIERPTLDRIIIPNNNNNNENLFVGKKRTNPFNGSGKSPIIWNDNDLRLHDLGTTSSSCISPEDVPNFKGDSCDESDPIVDIYDTKVSEKKFDASMKLGITLPMINAVAAGRSSSPFG >RHN42950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42142087:42143895:-1 gene:gene49461 transcript:rna49461 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEELYPQLLELTEGLVRMWKAMLECHHAQYITISLAYHSRNTTGTLPGDARREIMTRLLEEIELFGLSFANWINSHTSYVEALNGWLQHCILLPRERTRSRRPFSPRRALAPPIFVLCRDWCAGIQALPSEELSNAIRNFLSDLHNLMEQQNDELLKKQNSANASTPPESEIKTNEDSGGESANLCCVHASLTKVLDRLTKLSEASLKMYEDIRQKSEVARTAYYNCRTIRAEKC >RHN49627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:56062490:56064192:1 gene:gene44476 transcript:rna44476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MMGSNNGSPSQFGDTTLTKVFVGGLAWETPKDTLREHFEKYGDILEAVIISDKVTGRSKGYGFVTFKDADSAKKACEEPTVIINGRRANCNIASLGARRPRSSPITPPPSPPPLPYTQGGTKNIHRRNQQQVQWYYPVVTPTPPSSIFHHHHALPFYGYTPTYIATDHMNYNYNQQKLSYGMGGGYVYPPPRQAIVGAMPIMYHHPAPVYRSTEAMAMGVPPPQIFHQTHQYHYAPSITPTTGTVGTGECFKRVVV >RHN55975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26262473:26267255:1 gene:gene31276 transcript:rna31276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MSDTVVNDEVVEVVSSTKNNIPEPEKESKPSPPAVIAGRSRSQGGGRRVTPTSFTVVSDGRSVVERVLPNGDFYAGSFSGNVPNGSGKYLWTDGCMYEGEWKRGKASGKGKFSWPSGATYEGEFKSGRMEGFGTFVGSDGDTYRGSWSSDRKHGFGQKRYANGDLYEGWWKRNVQDGQGRYVWKNGNEYVGEWRNGVISGKGSLVWVNGNRLEGLWENGVPKGQGVMKIQHGFGGFVWNDNFAPVMMRKRSSVDTTVSGRGSLNEKNFPRICIWESEGEAGDITCDIVDNVEASMFYRDGTCSDPDEFRKHPCCFSSEVKRPGQTISKGHKNYDLMLNLQLGIRYTVGKEASKLRELKPSDFDPKEKFWTRFPSEGSKITPPHQTAEFRWKDYCPVVFRHLRKLFQVDPADYMLAICGDDALRELSSPGKSGSVFYLTQDDRFMIKTVKKSEVKVLLRMLRSYYKHVSKYENSLVTKFFGVHCVKPIGGQKIRFIVMGNLFCSEYPIHRRFDLKGSSHGRATDKPEDEIDETTTLKDLDLNYVFRVQRNWYQDLIKQIERDCEFLENEKIMDYSLLVGLHFRDDNTYDKMGLSPFLLRTGTQDSYHTEKFMRGYRFLEAELQDRDRVKSGRKSLIRLGANMPARAERLARRSDFDQYTNGGISHLNPYSSGEAYDCVLYFGVIDILQDYDISKKLEHAYKSLQVDSTSISAVDPKLYSKRFRDFIGRIFIEDW >RHN69546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44309093:44312383:-1 gene:gene18028 transcript:rna18028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II MATSLSTTTLLSYRRNPQHMYIQPCSVKNEVSRTMHASVSIDSLSINEESDKCSSLLANRRAILASGVSLLGFPGVSLAVVKQGLLAGRIPGLSEPDEQGWRTYRRPDEKSGGHGVGWSPIIPYTFKVPQEWEEVPVSIADLGGTELDLRFSSSKEGRLFVIVAPVLRFSDIIGDDATIEKIGPPDKVISAFGPEMIGENVEGKVLSSNVAEHEGRTYYQFELEPPHIFITATAAGNRLYLFGVTGNGLQWKRHYNDLKKISDSFRVV >RHN58979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6364343:6367925:-1 gene:gene20953 transcript:rna20953 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSKSKKPESFGKGKVTPIQIAFIVDRYLCDNNFTETRKLFRIEASSFIANSPINEVPKSLMSLGEMLDEYICLKEQKVMLDQERVCMEQEKNRVQMFLNGVQNVMNVYNASKSIPLTNANGVPAAVPQANLGAPTTAAASPATQTTSNMLSAPQSNNTNSENGSFETPVLSVSDRKRKNTKAVEHVPSIAKRPRGRSSTTSKKIPVSGQDTLPKSNKVVNHQVVYHPSSATQSSAVNCVPSGTQVQGSSVVKCLFNPARTSIPTNSKVPKTPPRTNSSHSNTNISPPEISQAAPSNVEGTSTCYTVISTKRVMVSPAKQMAYIESSHCISPVKMNSDKASKRDHVRSRLNFDSSDMPQSFDSDKSLPNEISTSESNNEVHLYDIDFPNFDTLGSDFSFAEMLSDLDFSCEGVDFSIVSNDNQVIPELPSTSVEALCEKNMNIQGSDCSNVTNTFTRSITILSPEKKNQSCLDQKNC >RHN70828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54319893:54320060:1 gene:gene19453 transcript:rna19453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MKRFLIPISFLNEPLFQELLSQAEEEFGYCHPMGGLTIPCKEDVFLHTASHLNRL >RHN50314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6074472:6074828:1 gene:gene34586 transcript:rna34586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphogluconate dehydrogenase (NADP(+)-dependent, decarboxylating) MLVKADSSVNQTIKTLSAYLEKGGCIIDGGNEWYENTELREKTMNKLGFLYLGMGVSSGIEGKDCQQIWSKLKEITLVLIHMKELTWRVLSTLIGSRLLNNQEVRFMVFQTHGNSQEM >RHN42886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41683757:41687312:1 gene:gene49386 transcript:rna49386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MAKLVKPTMKLKQQLREAIDLLFTRGPASSSDYTRLVLHCAQSNDFNQAKRLQSHMELQLFQPTDSFIHNQLLHLYAKCGKISDAQQLFDKMSKRDIYSWNALLSAYAKVGLVEDLNLVFDRMACRDSVSYNTMIACFASNWLSGKALRFFVRMQEDGFRPTQYSYVNALQACSQLLDFRLGKQIHGRVVVGEFEKNVFVWNAVTDLYAKCGDIDRARWLFDGMVNKNLVSWNLMISGYVKMGKPDECISFFNKMQLSGLKPDQVTVSSVLNAYFQSGRVDDARNMFDKIDKKDEICWTTMIVGYAQSGREEDALMLFSEMLRGNVRPDSHTISTVVSSCAKLASLYHGQAVHGKVILMGVDSNMLVSSALVDMYCKCGVPLDARVIFETMPIKNVIIWNSMILGYAQNGEAEEALTLYERMLQENFKPDNISFVGVLSACINTNMVKEGRKHFDSISEQGMTPTLDHYACMIILLGRSGNIDKALDLIKGMPHKPDCRIWSALLSVCSKGDIKTAEVAANHIFQLDPHNAGSYIMLSNLYAACGRWKDVAVVRSLMKSKNAKKFSAYSWVEIGKKVHRFVSDDHNHPEMEKIYSELNRLIGILQQIGYNPDTGIVLHNVGEEEKLRSISHHSEKLALAFSLIKKSNGAAPIRIIKNIRICDDCHEFMKFASIAITRPIIIRDSSRFHHFFGGKCSCKDHR >RHN43568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46931413:46933627:-1 gene:gene50170 transcript:rna50170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-aminocyclopropane-1-carboxylate synthase MGIEIEQSCVQLSNIAISDTHGENSPYFAGWKAYDENPYHELTNSSGVIQMGLAENQVSFDLVEKYLEVHPEDYNGFRENALFQDYHGLKSFRTAMASFMEQIRGGKATFDSERIVITAGATAANELLTFILANPGDALLVPTPYYPGFDRDLRWRTGVNIVPIHCNRSNNFQITPKALEAAYKEAESMNMKVSGVLITNPSNPLGITVKRSVLEEILDFVTKKNIHLISDEIYSGSVFNSSEFVSIAEILETRRYKDAERVHIVYSLSKDLGLPGFRVGTIYSYNDKVVTTARRMSSFTLISSQTQQFLANMLSDKKFTEKYININRERLKKRYEMIIEGLKSVGIECLKGNAGLFCWMNLSPLLKESTKEGELELWNIVLNELKLNISPGCSCHCDQPGWFRVCFANMSEHTLEVALKRIRNFMANRTGTKKM >RHN73686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18848691:18849728:-1 gene:gene9533 transcript:rna9533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MVKSNVEKPTTERSDSSLYRGVRKRKWGKYVSEIRLPNSRQRIWLGSYDSAEKAARAFDAAMFCLRGSGAKFNFPNDPPNIAGGRTMTPSEIQAAAARFANSGVHIENSGQPGNVAVEYESSSEGTALLAMESEALSPAMSDATVQTDYDSKQNGLFSDLFAVDGSGRFEPDYSVFPGFDDFGGNFYVPDMPIYDYGEENLDGLVIHDSFLWNF >RHN57533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39899436:39900494:1 gene:gene33092 transcript:rna33092 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLCLENMMRLMSLFCFLWFLLLVLFLFNTSFSAQEYAKSQNFRTKSMSKFSPNFQAKGGSRQNSGEEGNEDVLGDEKRKIYTGPNPLHNR >RHN79661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30998787:30999330:-1 gene:gene3480 transcript:rna3480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Lung seven transmembrane receptor MCGCVVVFTTQYCIRFLKKVANSRDGNNKALGLKLNIFKNFYVLLVLYLVFIRSLDFVLNHITKYRFRWVSSLTYEMLNIIFYLVVFYTFVPNDEKNPYFILEEEAEQSVAGTELEERSFERLKKSGISSAMQALDFHLARTCFIQILLQLGLLSFEYISKT >RHN73379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15841517:15842784:1 gene:gene9182 transcript:rna9182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSHTHQINAVVKPIIFREYIGVKDIPKNLKDFPAEMINDDIEEFHFILGTLREVYSGDGKGKGEFYRTWNFNNFSPAKVAKLKKDHKNVKVIISIGGFGAENPFNPKEIESWSTKAKQSIKKLINEYQEYSKDSSSTDECHCDDIIDGIDINYEYSNCNPDEFSSCIGELIRKLKKSSKSIKLVSIAPTELLKPHYHKLYWANKDIINWVDYKFYNQTVSSADELVNLYNKLLNEYGTDVKLLPGVSTDPDSNTNMTRDVFIKGCKSLLESESLPGIFVWNANDSAMPSNEDNTPYFLEEVLQDLLTDN >RHN82614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55203937:55217513:1 gene:gene6789 transcript:rna6789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAGEENNTGAETVPLKVDDVTQIVNIVADTASLKDGEIGDDVAVEEESVPDREKQAIVSETALLKNDLASVEGDDVAAGDSSSIQVIRKYGRRKKKPGRKSNAEIEKEKIGNGTKEETSKGDDDVADGDSISVHASTDATTPAKKRGRKRNTEKENETGKDAEVEGGFSSVGVRKSERPRKIKSLKEDYVSDLEEDVRKKGKRGRKKVIIGVSDENVKTEKKKPGRKRKELFSSGDENEAENEGGTVKKEEKKKPGRKKKVSYSSEENDEDEQDGENGRNVKIEENELISEKNSESGVLSDDNKGYSLRKAKKTKPDCSEQEQTVPKFNKRNPKWIEEESLMCHQCQRNDKGRVVRCTKCKRKRYCIPCLNNWYPHLKEEKIAEACPVCCGNCNCKACLRSSVLINEIKKKTKTNNSHEVEPSKYMLKVLLPYLSRLDEEQMAEKEIEAKIQGLSLSELNIKVADIPKKERVYCDICKTSIFDYHRSCTKCSFDICLLCCCELRGGKLLGGADPIEFEFIFRGRDYLHGGEEERVRKKEPRAAALPEIPEWSRSGWHANDDGSIPCPKADGDHGFLELRSTLPPNCISELVCKAKELEATITLQDVKETFDSRCSCLKPVRNEEDIHNNTRKAASREDSSDNLLYCPRAVNLHNEDLQHFQQHWSKGEPVIVSNVLECTSGLSWEPLVMWRAFRQISNTKHKTLLDVKAIDCLDWCEGDINVHQFFTGYTKGRPDWLNWPQVLKLKDWPPSNLFEESLPRHCAEFISSLPYKEYTDPFKGVLNLAVKLPENVLKPDMGPKTYIAYGFDQELGRGDSVTKLHCDMSDAVNVLTHIAEVKLNSVGLAAIKKLTEKHLEQDKRELHGDNQDGETTVNKLDNSSSINASDEKNCVPVMENRGDSLDGALWDIFRREDVPKLEEYLKKHFREFRHVHSSPLKQVIHPIHDQHFYLTIEHKKRLKEEYGIEPWTFFQKLGDAVFIPAGCPHQVRNLKSCTKVALDFVSPENVGECFRLTEEFRKLPVNHRSTEDKLEVKKMIIYAMLELVEKLEKARSGKTKVPM >RHN67692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29392420:29393367:1 gene:gene15909 transcript:rna15909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MQNSPIHPMNSLKEIFQNIFSDNSNIMLAAIISLLLVILFVLLLHLYAKWFLAQAHAQAQARRRRRRRTTVTVSDVLGPARFHHFHTFNIEDSPLSSSHTKGLDSSIISSIPMFIYAEKNDCKDEIEHELECVICLSGFEDGEMGRCLPKCGHGFHLECIDMWLSSHSNCPICRASIVVFENDSSSVVENNGDDSSIVEIVVDENSSSEIREGEQGNDNERVSDTVSETSSSLFGCSLKTVFSKVFPSSNVNVIESQS >RHN42946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42124067:42124890:-1 gene:gene49457 transcript:rna49457 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCDLSLYFSKDQNLLLKLHLRHTFLFCWIIFWTFLFANSSDVFDSSIL >RHN38656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1043889:1044575:1 gene:gene44614 transcript:rna44614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase, RNA-dependent DNA polymerase MNPDGTVSKHKARLVARGFLQRYGVDYNEVFALVARLENGRLVVALDSRMEFKASKMGKVMHHQKYIGELLEKFDMTDCNTVTNPSETNAKLDECSNEEKVEAT >RHN45976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27469449:27471081:1 gene:gene40406 transcript:rna40406 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIKSAWKFGARVIAIATKIKTYFFLAVIAFISISNDRSYPTKVTTNSKVSFRVRIRQPFHIFSLVTVIQ >RHN74326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31866152:31866586:1 gene:gene10367 transcript:rna10367 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSKRQRHPLFKVKVDSDEDVSAAAKLAAESVKIEKNEMIGEKWLTMKELFYTDFLDGVLVVYVLLFTSFIFFPGLFLQIPFWVVFLVPTAAGSSLVVVLPLFGGSAVTAISSESDWWFMFVGFQWCLCVSVVGSSDTRPLFP >RHN55235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16315972:16321829:-1 gene:gene30380 transcript:rna30380 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLEVVLGNLSELIRKELGLFLGSDQEFNRLASVLTTIKATLEDAEEKQFTDSTGAVKNWLLKLKDAAYILDDLLDKCATESLEMEYLGFNCGLADKVRRSFLSSFHPKHVAFRYKLAKK >RHN43940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49611936:49614225:1 gene:gene50578 transcript:rna50578 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAATAVLLLSPPPSSITTGSCRLLHFPPSNNKVCASVPIPRHKHERLSVGIAANTITRRRRRRSFVVRAATSSSSSPDPDSSHKIAPLQFQSPIGQFLSQILINHPHLVPAAVDQQLLQLQSDRDVAHHQQNQDPSPTTSTDLVLYRRIAEVKANERRTALEEILYTLVVQKFMDANISLIPSITPDASGRVDSWSNEDGKLEQLHSNEAYEMIQNHLALILGNRAGDLSSVAQISKLRVGQVYAASVMYGYFLKRVDQRFQLEKSMKVLTSASDDSSIHQTIVDDARPGSEVDTSQVTSHPEVSTWPGGDVSPGGFGYGIKPTRLRNYVMSFDGDTLQRYATIRSKEAVSIIEKHTEALFGRPGMVITHEGGIDYSEDETIKISFGGLRRLVLEAVTFGCFLWDVESYVDSRYRFVLN >RHN78231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12984372:12985872:-1 gene:gene1765 transcript:rna1765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MSFYHPKKFFKIMRNQELQNGELRVPKYFVKLHWKNVSNPVAFTLPNGSKQDVFWVEHNGDIWFQKNWETIAKSLIRGHVLVFKYKGGSCFKVEIFGCNTLELDYSNIKVEAEEVVVVSNDNVTNTNGAGTSQRRKGGKRKMNMDLDANQKKIKGSNRGGMIKKAKKCLRTEAVKERVRNYHPLENPSFEVEMSQSYAKGCYLRIPRDFSRKYLTKLEGTARFRVGEDMAIEVKLNFYDVTKSSTVTTGWNTFSKRYNLQLGDVCKFVMTQREPLLFTIIITRAREEPNSKKLKMQGLLFYFS >RHN43084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43041854:43042960:-1 gene:gene49607 transcript:rna49607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MALFNDMESLPSQFPEDLNVLVVDHDTDVLNAAVEMSIPCNYQVTTCSKASFAFKLLTETKGCVDVVLIEAQMPDMDSYDFVRHVTQQINISVIMMCDDGSTNAVMKAVTNGACEFWIKPFIENQIKNMWQCVARKVWNENKHDLGILKVKSQSKRGSDYNADFQPLTKKSYIMNLALIAKPKAIHEAMNVPSHLQRVIIDLKSSTQENRKSKRGRKKSKFDQCHVPNETQLNSEVVPSIQDPEVLMMTFDDYQDFDDIANIPT >RHN81375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45341401:45342931:-1 gene:gene5400 transcript:rna5400 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQLLSVFNLIAQPAVVVSAMSGAFAAAVLFEVSDGFLGLYSLCSARLFLAERLLCRHCSAHVFDSFCGFI >RHN60461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27029608:27031908:-1 gene:gene22773 transcript:rna22773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I MAATTFPTVLGMAGASRLTSGFVKPQVIARNPLKQAMALGNGGRVTCFQRDWLRRDFNVIGFGLIGWLAPSSIPAIDGKSLTGLFFDSIGTELAHFPTPPALTSPFWLWLICWHLGLFISLTFGQIGFKGRTEDYFQK >RHN66407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11963206:11964482:1 gene:gene14378 transcript:rna14378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I MTYVKLITLALFLVTTLLMFQTKNVEAEFCSSVGSFCSPFNTNPCGYLGNCRCVPYYLYGGTCENPFGFEHNMKMIEEHPNLCQTHAECIKKGSGNFCARYANADVEYGWCFASVAEAERYFKIGSNTAVKSLFKIASKSKEQDYLKMALEIAT >RHN41663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31907158:31909515:1 gene:gene48007 transcript:rna48007 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQNPVCRMIIRNSPQIFQVPFPYFKRETYNNSFQVSGTPGKHQWLQSSYSEEEFCFIDVL >RHN72541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8488207:8490711:1 gene:gene8267 transcript:rna8267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative petal formation-expressed MEKSLISDRISSFCYCNTRGISARNKVQKIQLTKFSIPRLPNRSLVAELNYVNNYKIKDDPYNSKVNNSSIASNQNSKEVVKLHLIMEVISDRLEMHKIIGIQRDNWNNLLLSSINMMTLSASSMVGLAAVASTGGEASLVALKVSSTILYMAATGLLLFMNKVQPSQLAEEQRNATRFFKQLQGEVRTKLALGNFSEADVNEAMKKVLALDKAYPLPLLGSMIEKFPQIVKPATWWPQMKKQKYQTEKGGKNNGWNLKLEEEMKKIVNVLKNKDMEDYLKLSTKVLMFNKILAFSGPILTCLAAFGSIFLGSVNAPWPVMLGIICGALATVVNTLEHGGQVGMVFESCRAASGFFKLMEETIELNINEEDPLKRENGELFEIKVALQLGRSVSELREFTDVLSEDDDNACEEFASKLF >RHN51561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19869830:19873960:1 gene:gene36021 transcript:rna36021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MTDVLLGTVIQILGSFVREELSTFLGVGELTQKLCGNLTAIRAVLQDAEEKQITSRVVKDWLQKLTDVAYVLDDILDDCTITSKAHGDNKWITRFHPKKILARWHIGKRMKEVAKKIDVIAEERIKFGLQAVVMEDRQRGDDEWRQTTSVVTEPKVYGRDRDREQVVEFLLSHVVDSEELSVYSIVGVGGQGKTTLAQVVFNDERVDTHFNLKIWVCVSEDFNMMKVLQSIIESTDGKNPDLSSLESMQKKVKNILQNKRYLLVLDDVWNEDQEKWNQFKYFLQRGNGTKGASVLVTTRLDIVASIMGTYPAHHLLGLSDDAIWYLFKQKAFETNREERAELVAIGKELVRKCVGSPLAAKVLGSLLRFKSEEHQWLSVKDSKFWSLSEDNPIMSVLRLSYFNLKLSLRPCFTFCAVFPKDFEMVKEALIHLWLANGFISSVGNLEVEHVGQEVWNELYARSFFQEVKTDKKGEVTFKMHDLIHDLAQSITGEECMAFDDKSLTKLSGRVHHISCSFINLNKPFNYNTIPFKKVESLRTFLEFDMSLPNSAMLPSIPSLRALRTCSSQLSTLKSLTHLRYLEICSSYIYTLPESVCSLQNLQILKLVNCPYLCILPEKLTQLQDLRHLVIKDCNSLYSMPSKISKLTSLKTLSIFIVVLKEGFGLAELNDLQLGGRLHIKGLENVSSEWDAKEANLIGKKELNRLYLSWGSHANSQGIDTDVEQVLEALEPHTGLKGFGIEGYVGIHFPHWMRNASILEGLVNITFYNCNNCQWLPPVGKLPCLTTLYVYGMRDLKYIDDDIYESTSKRAFISLKNLTLHDLPNLERMLKAEGVEMLPQLSYLNISNVPKLALPSLPSIELLDVGELKYWSVLRYQVVNLFPERIVCSMHNLKLLIIFNFNKLKVLPDDLHSLSVLEELHISRCDELESFSMHALQGMISLRVLTIDSCHKLISLSEGMGDLASLERLVIQSCPQLILPSNMNKLTSLRQVVISCYSGNSRMLQGLEVIPSLQNLTLSYFNHLPESLGAMTSLQRVEIISCTNAKSLPNSFQNLINLHTLLIVGCSKLEKRCKKGTGEDWQKIAHVPELELIAKKTYYMRNWKEEDRNILRHRYQAIEISSRNEFQCIVDAL >RHN73140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13715604:13716051:-1 gene:gene8926 transcript:rna8926 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEYHIVVVFSNVQMISFVLRNDIATVVEILVKDLKIFTTFNEGLYKELANLITLENIRTSTILLIAELKKYIDINPCFNDKLRSPSLNSLRLRQLLNQR >RHN79126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23612937:23614070:1 gene:gene2843 transcript:rna2843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDVCAERMNWSSLTSDLQIEILVRLPVKSLMRFKCVEKSWNILFKTPYFVNKRRRHNSENDKGHSLMIFPRPIDFRPPYITLLSCDGGSADEELVQFSSLFPGNRSILKIESYGNCNGVFFLKAFYWNSTNPGQLILWNPTIKQVHLIPPTPSFCDSKYDDSLYGLCAFNDSNNFKVVRLQHDVVVGKPMLFASGAEVYDLSTQSWTPVHHPPPNRIAVHYNPAYTLFVNYVYYWITTVNLFTISNILCFDFCNNQFHELKAPCIAVEHSFENIVGIKGSLGYVLEYNLPSPIQLEIWIMGQNGWVKQYNIGPVEWTCCRRRFWKDVDQVFGGKVGQLLTSYEDQGNSHSESQTYLLNFDTCSWGREYLESITPLST >RHN47894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43377386:43384799:-1 gene:gene42547 transcript:rna42547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MESKKKASSPLSLDQFISITTPLLDLEKDAEISSSIATGASRNLDTAQKRGSTILNLKCVDVQTGLMGKSLIELQSTKADVLPAHKFGTHDVVVLKLNKADLGSPALGQGVVYRLKDSSITVAFDDIPEDGLNSPLRLEKVANEVTYHRMKDALIQLSKGVHKGPASDLIPVLFGERQPTVSKKDVVFTSINKNLDYSQKDAISKALSSKNVFLLHGPPGTGKTTTVVEIILQEVKRGSKILACAASNIAVDNIVERLVPHRVKLVRIGHPARLLPQVVDSALDAQVLRGDNSGLANDIRKEMKVLNGKLLKTKEKNTRREIQKELRTLSREERKRQQLAVTDVIKTSDVILTTLIGASSKKLGNTSFDLVIIDEAAQALEVACWIPLLKGTRCILAGDHLQLPPTIQSVEAEKKGLGRTLFERLAELYGDEVTSMLTVQYRMHQLIMDWSSKELYNSKVKAHACVASHMLYDLEGVKKTSSTEPTLLLIDTAGCDMEEKKDEEDSTLNEGESEVAMAHAKRLVQSGVLPSDIGIITPYAAQVVLLKMLKNKENSLKDIEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDRRRMNVAVTRARRQCCIVCDTETVSSDGFLKRLIEYFEEHGEYQSASEYQNE >RHN42208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36374487:36374618:-1 gene:gene48622 transcript:rna48622 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTIFIHSTTNHHITLHLSNRLCLTSYHTLIYMTLSPNNKTI >RHN80022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34406212:34410532:1 gene:gene3895 transcript:rna3895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MGLRDVGASLPPGFRFYPSDEELVLHYLYKKITNEDVLKGTLEEIDLHTCEPWQLPEVAKLNATEWYFFSFRDRKYATGFRTNRATTSGYWKATGKDRTVFDPITHEVVGMRKTLVFYRNRAPNGIKTGWIMHEFRLETPHMPPKEDWVLCRVFHKGKTENNAKLSPQEMYETIVPSLTNQTMPIGYNQFASFSSSMTTHHCHLNENQNNSLMNLLQFSKETNNNCSSVTQISPKCDDGYGYLWDMDLEDHHDGVASSDMEGMRFQVDNNSMVLL >RHN42724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40472092:40481092:1 gene:gene49207 transcript:rna49207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MPRKVNYGIDYYDDDYEDYDDYDYDVEAENYGVESDTKKETIKPGVWSCSICTYDNDESMTSCDICGVLRHPLVINGTSNTNKTDPFKFDVPSPDDVVHTGLHSSKMGLKDKVKNSKDSRLSSSSREKNELETNTESADNLSSLMQKNKLSKNVEIDHEKFGKTSNSLSASLPKDKGNNANKINSSKNGTNGIQSSEEKSGSLSALPKVEESDKLSLSSNKDGKSESASSSFNHTVPDARSQNSDNTNAKGPHQQVSYQPEKWMLPQQSEDTLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKEMHKYEKEAKLQGKGSFAYAWALDESSEERERGITMTVAVAYFDTKKYHVVVLDSPGHKDFIPNMISGATQADAAVLVIDASLGAFEAGMDGGKGQTREHAQLIRSFGVDHVIVAVNKMDAVSYSKDRFDFIRHQLGMFLRSCGFKDSSLSWIPLSAMENQNLVASPSDAHFKNWYTGPYLLDAVDSLQPPTREFAKPLLMPICDVIKSTAQGQVSACGKLEAGALRSGTKVLVRPSDVVGTVRTLERDSNVCSVARAGDNVAVTLHGVDGSHVLAGGVLCHPDFPVAVAKHLELKLLVLDGTSPILIGAQLEFHIHHAKEPARVSRILSVLDPKTGKVTKKNPRCLTSKQSAVIEVILHEPVCVVEFSRCKALGRVSLRSLGRTIAVGLVSRIIEEQD >RHN41088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26890417:26897159:-1 gene:gene47374 transcript:rna47374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >RHN76396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49928702:49931404:1 gene:gene12715 transcript:rna12715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MESIGVLMTYPMNTNLEEQLSNRFNLFKLWNYPSFQSFSETHANSIRALVCNTKIGADANTIDSLPNLEIVSTYSVGFDKIDLKKCREKGICVTNTPDVLTDDVADLAIALALAVFRKIPMSDGYVKSELWKCSDYPLTSKFSGKAVGIVGLGRIGSAIAKRAAAFGCPVSYHSRSEKPEAGSYKYYPNIPDLAANSQILVVACALTDETRHIVNREVIDALGPKGVIINIGRGPIIDQPELVAALVERRLGGAGLDVLENEPDVSEELIGLENVVLTPHIGSDTVETCNDMSDLLIANLEAHFNGKPLLAPVL >RHN63549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52139979:52141186:-1 gene:gene26262 transcript:rna26262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Myosin heavy-chain] kinase transcription factor WD40-like family MEYQRSFSNDSNNFQKKLTTTRTLSSQHSLASIPSLNSNSQQFHNSSFTCLTTLKFHTYISSLTLVGKFLYTGSSNTEVTSWNLSHVHSHPQQSINTNTIVSGNGAVKSIVVHSDKLFTAHQDNKIRVWKITNINNESQQQKFTHLATLPTFIDRFTKIFIPKNHVNIRRHKKCTWVHHVDTVSSLALSKDGTLLYSVSWDRTIKVWKTKDLTCLESLQNAHDDAINAITVSNDGYVYTGSTDKKIKVWKKNKGDKKHLLVDTLEKHRSGINALALNSDGSVLYSGACDRSILVSEKGENGNLIVIGALRGHTKSILCLAVVSDLVCSGSEDKTIRIWRGNTNNVLREYCCLSVLEGHKGPIKCLTIVFDHFDQPSEASFLIYSGSLDCDIKVWKIFVPLL >RHN51489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18843326:18845345:-1 gene:gene35931 transcript:rna35931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MMFKVDFEKAYDSVDWGYLDSVMGKMCFPTLWRKWIKECVYTATTSVLVNGSPTEEFSLGRGLRQGDPLSPFLFLLEAEGLNVLMKAMVDVELFLGYKVGLGDSVTLSHLQFADNTLLLGEKSWANVRALRAVLVFFEAVSGLKVNFHKSMLVGVNITDSWLNEAAAILRCKMGKIPFLYLGLPISGNPQRLSVWEHAVNRIKSRLSGWQSWFLSFGGRLTLLKSVLTTLHVHSFLLQGSIRCYEIKAVFSSAYFASDRLDHME >RHN57579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40264385:40264993:-1 gene:gene33148 transcript:rna33148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLGVGFCPDAATFNPVLVESFRKEDVWEAERVFNEMLQCGVVPDLISFSSIIGVFSRNGELGRALAYFEKMKGVGLVPDTVIYTILINGYCRNNDVSGALKVRNEMVERSCVMDVVTYNTLLNGLCRGKMLDDADELFKEMVERGVFPDFYTLTTLIHGYCKDGNMTKALSLFETITLRSLKPDVVT >RHN40543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17416984:17417523:1 gene:gene46704 transcript:rna46704 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNVCLPLLLMVSHNSLQECVLHFHLMCVLQNVDVDLFFLFLLQLPYQSICEQEVVDLFYHSMYVFQKVFQNVGLCFSCPLQFLSRSKRKTQKVDLFYHSMYVFQKVHLLFQSLLQIRLLSRSMKKIQKVDLFYHSM >RHN47966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43888828:43891195:1 gene:gene42627 transcript:rna42627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MAEPVKELDIMLNQIAAGLPLDIMPGPCDPANFSLPQQALHKCLFPGSSVYNTFKSCTNPHCFELDGIRFLGTSGQNVDDLEKYSEAKDKLDFMERTLRWRHLAPTAPNTLGCYPYTDRDPFFIESCPHVYFVGNQDKYDTRVIKGSEGQLVRLVCVPKFSETGVAVMLNLRDLECHALSFGTPIIPKKAECDIVEKAECDIVDNTKS >RHN62916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46745043:46746035:-1 gene:gene25552 transcript:rna25552 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-Cys peroxiredoxin MPGLTIGDTIPDLEVDTTQGKIKLHHFCSDSWTILFSHPGDFTPVCTTELGKMAQYASEFNKRGVMLLGMSCDDLESHKEWIKDIEAHTPGAKVNYPIISDPKREIIKQLNMVDPDEKDSNGNLPSRALHIVGPDKKIKLSFLYPAQTGRNMDEVLRVVESLQKASKYKIATPANWKPGEPVVISPDVTNDQAKDMFPQGFKTADLPSKKEYLRFTNV >RHN56307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29704792:29706193:1 gene:gene31686 transcript:rna31686 gene_biotype:protein_coding transcript_biotype:protein_coding MINSLTVAIIYTVNKLLEVSPSFSFTKTPMVYLHRYYLHHIFNTRKRTDKTHDRSLMKKHLSILRLELLTILSNNSPPVMNSNMI >RHN41461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30202099:30205647:1 gene:gene47788 transcript:rna47788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDLPHRPSQSRRPPNSPSSQPIFPDEILTEILSHLPVKSLIQIRSVSKFFNSLISDPIFIKMQLHRSAENPHLTLVSGKSVAEFRLVTVPLTQLVENPLITFPDNPPSFTSSVMDQCWLVGSCNGLLCFAHYSALDHSYRDTWLRVYNPATKILSKRLGYFQDYCKDCRYFFSRYTFGYDNLTRTYKVVALRLIGDGTTILRTEVKVFRLGDNVWRCIEGFDVAPLRLTLPSENHGVYLNGTLYWLALHNCFNAVRFYDSSGITIDQFVIISLDLSTETHTQLLPPRGFNEVPHVEPTICVLLKCLCFCHDFKQTHFVIWKMEELGVEESWTQLLKVSYQNLQPIVSWLPLHLSQNSNALLLANKQNCQAIIYNLKDNIVERTTNKGWWIFCKDYVESLVSIS >RHN58251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:275085:275504:1 gene:gene20142 transcript:rna20142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MKISLVFFPKLPRWISLEHCSYRSRSIGNLRHLSELDLSHCQFNGTLPNSLSNLTKLNHLDFSFNNFTGPLPSFGMAKKLTHLHLFQNGLSGAIPPSSHFEGLQNHLSIDLNNSSIHGAFLRLFYPSVTAGDSTFVQPV >RHN50832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10914876:10915523:-1 gene:gene35168 transcript:rna35168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA glycosylase MESKHYLYVDNPFSKYVCKGNHLRKIEVEQECKVRVLSRYFHKVEECKVEHIRVVSRSTKSIEDLDQYRYQSEKVSSLRECVNKREHKGTVEEDSYPLPPKIPKDSRRKSKRKTKPFLKANRCREVYKRKTPDNNWVPPRSTPPLVEKPLLQEDHFHDPWRVIVICMLLNRTKCEQVHSSKYFFIASLIFYADVSPDFIIMILFLSILVINEYCF >RHN69441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43418217:43423026:-1 gene:gene17916 transcript:rna17916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde dehydrogenase (NAD(+)) MSGEDHSQKKVMFDGEAASSLVKELRVSFSSGKTRSYEWRISQVKALLKMVDEQQDQIIDALRSDLAKPPLETVVYEIGMFKNSCEVTLKELKQWMAPEKAKTSVTTFPSSAEIVPEPLGVVLVISAWNYPFLLSLDPVVGAIAAGNAVVLKPSEIAPASSSLLANLLGRYMDNSSVRVVEGAVDETTALLQQKWDKIFYTGNGKVGRIVMAAAAKHLTPVVLELGGKSPTVVDSNVNLEVTARRIISGKWCCNNGQACISPDYVITTKDFAPKLVDALKKELESFFGKNPIESNDLSRIVSSNHFARLIKMLDDDKVSGKIVYGGEKDESKLRIAPTIVLDAPQDSLIMSEEIFGPLLPIITVNKLEESFDVINAGTKPLAAYLFTNDNKFKEQFVKNVSAGGLLINDTVLHLVVHTLPFGGVGDSGMGAYHGKFSFDAFTHRKAVLYRGFTGDASIRYPPYTDTKQKLMKALVAGDVTGVVRALFGWS >RHN64887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62689261:62695964:1 gene:gene27759 transcript:rna27759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, EAG/ELK/ERG MFDRSDYKSQYMDGQRESSFRWDFLDSKLSSSSDVRVTKSGFSIDKLNHGSHGSSTTSRSFKKGMRKGSEGLKLIGRSLGLGVSKAVFPEDLKVSEKKIFDPQDKFLLLWNKLFVISCIFSVFVDPLFFYLPVINDQLHCLGIDRKLAIIVTTLRTVIDAFYLLNMALQFRTAYIAPSSRVFGRGELVIDSAQIAKRYLRRYFIVDFLSVLPVPQIVVWRFLQRSKSSDVLATKQALLFIILLQYIPRFLRMVPLTSELKRTAGVFAETAWAGAVYYLLLYMLASHIVGAFWYLLAIERNDSCWQNACSDNGCNKNYLYCENQHTEGYSAWQNKSKAIFKSKCSVDDDPPPFDYGIFKQALSSGIISSKKFITKYLYCLWWGLQNLSTLGQGLQTSTYPGEVIFSIALAIAGLILFALLIGNMQTYLQSLTLRLEEMRVKRRDSEQWMHHRLLPKELRERVRRYDQYKWLATRGVDEDILVQSLPKDLRRDIKRHLCLALVRRVPLFESMDERLLDAICERLKPCLFTENTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRTYLKEAEFCGEELLTWALDPRSGSNLPTSTRTVKALTEVETFALTADELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYCKKKIMKLRMKEEEADESHGNASGSSSSLGAALLASKFAARTLRGVHRNRLAKTARELVKLQKPPEPDFTADDAD >RHN42376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37866285:37868943:1 gene:gene48811 transcript:rna48811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MSGKGILSVLILLALQSLVGGDYIPPKKYDGFVYKNRHHLSYDTIQIEAFYDPLCPDSADSWPPLKKALHHYSSRVSFVVHLLPLPYHDNAFVASRALHIVNSLNRTATFPLLEAFFKYQAAFFGAPTRNLSRAFIVDEIVKFTSAIVGNSYHTSIKNGFNDTNSDHLTRISFKYAASRGVSGTPFFYVNGFVLPDAGNALNYSDWRSIIDPLIGAKKSTKNEESLHYLL >RHN55510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19114437:19115947:1 gene:gene30703 transcript:rna30703 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYNNNYYGGHGKEQRHSQNHSSTELFSSAKVLSDAAQSVYNHEPGKVNKAKVAVAASNILGATGVVDESKGVGMYVDKAAGYLSQHDSSSGVPTGRKKGYSGGGFGGNGGYYGNKDNKYHESGYGRYGGGTTGGGYGLDNRSNSGYGGGRSGGATGGGYGSNGRSGGGYGNNNESGYGGGRFGGGYGSDDRSGGGYGANDRYESGYGRSGGGYGDGGRSSIGGYGEENRSSGGYGYGGRSSGYGNEQSFGGYGRDGRDSRFSGGYGYVN >RHN75927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46191715:46194773:1 gene:gene12188 transcript:rna12188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MRATEIVLVLLWFLPTMFCTNVDYDHRALVIDGKRRVLISGSIHYPRSTPQMWPDLIQKSKDGGLDVIETYVFWNLHEPVKGQYDFDGRKDLVKFVKAVAEAGLYVHLRIGPYVCSEWNYGGFPLWLHFIPGIKFRTDNEPFKVEMKRFTTKIVDLMKQEKLYASQGGPIILSQIENEYGDIDSAYGSAGKSYINWAAKMATSLDTGVPWVMCQQADAPDPIINTCNGFYCDQFTPNSKTKPKLWTENWSAWYLLFGGGFPHRPVEDLAFAVARFFQRGGTFQNYYMYHGGTNFDRSTGGPFIATSYDFDAPIDEYGMTPSTFLLKSIVHVVAVTNTTLKRNYTGRLDFLISSLHAAGVIRQPKWGHLKDVHKAIKLCEEALIAAEPKITYLGPNLEAAVYKTGSVCAAFLANVDAKSDKTVNFSGNSYHLPAWSVSILPDCKNVVLNTAKINSASTISNFVTESLKEDISSSETSRSKWSWINEPVGISKDDILSKTGLLEQINITADRSDYLWYSLRYTSLQI >RHN58927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5958786:5959907:1 gene:gene20883 transcript:rna20883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MASSSTSTKKITQKQKMIKMGKQQQSKKITLVSSDGDTFEIDEAVALESQTIKYMIEDNCVDDTGIPISNVTSEILAMVIEYCKKHVEAAAFAEEEEKPWKTDGLVYRKKVSSTDEKKSGEDVSKAAAAVSSEEKVVSKEDELDKWDAEFVKVDNTTLFELVRAANYLDIKSLLELTCKTVGEIMHGKTSEQIRQAFKIANDFSPEEEEEIRKENQWALE >RHN49980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2854793:2855736:1 gene:gene34226 transcript:rna34226 gene_biotype:protein_coding transcript_biotype:protein_coding MIALEQFHYPHESYILDYVTIMDFLINTGKDADILIQKEILENWFGDNHSVANMFNGFCKYIIHSNISPHFSILCKDLNAFC >RHN49227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53415753:53416082:-1 gene:gene44036 transcript:rna44036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endo-1,3(4)-beta-glucanase MWWHVKGKVNMYDKVFSEENKVIGILWSNKRDSGLWFGPAKWKERSLGIQLLPLFPISEVLFCDVTYVEWTLPALKWCWEKFVYALREIYDNKGALKKIRKLKGFDDGI >RHN41698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32163384:32164178:-1 gene:gene48048 transcript:rna48048 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSATVLLKYDLPYCYLSRIGSSFVELTMGYNLRNTTMAEICTASRRDNQEAWCCSWQEMTLG >RHN63577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52372226:52376974:1 gene:gene26295 transcript:rna26295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactosylxylosylprotein 3-beta-galactosyltransferase MKRARTTTKVTSLFSSLCKQRSFQILIIIAVLYVLLFTLEIPFVFNKTAVTRSERHVLEQQQQLQPSTHQKADSILSSLILNDAAFDSKEYQLSVKDGKRVWNELQSAKTQTPIQTAPKPEKRPGSCPRSVSVTGSEFAANGSLMVIPCGLTLGSHITVVGKPLSSEGKNHFFLELQGLKTVEGEDPPRILHFNPRLKGDWSGKPVIELNSCYRMQWGTSLRCDGWKSRADQDTVDGQVKCEKWIGGDDRQAEEFVSKWWLNRFVGRPKKVTVDWPFPFNEDKLFVLTVSAGLEGYHFNVDGRHVVSFPYRTGFTLEDATGLTVHGDIDVHSIFAASLPSTHPNISPQQHLEFSARWRAPPLPKFGVELFIGILSAGNHFAERMAVRKSWMQHSLIKSSEVVARFFVALHPKIEINAELKKEAEYFGDIVIVPYMDKYDLVVLKTVAICEYGVNRVSAAYIMKGDDDTFVRVDAVIDEVRKVPDSMGAYIGNINYHHKPLRYGKWAVTYEEWPEEDYPPYANGPGYILSYDIAHYIVSEFEKHKLRLFKMEDVSMGMWVEQFNSTISVHYSHSLRFCQFGCIEGYYTAHYQSPRQMMCLWDKLQRHTSPQCCNMRR >RHN79069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22088222:22089071:1 gene:gene2765 transcript:rna2765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MFYTGNSNLIKSIQYYVQGYVIKTTGPVFVTAFTPLRMIIVTALACIFLKEKLHLGSIVGGMVVVTGLYLVVWGKSKEQKAMMSDESEGQQQLPIIVPKIDVNDDNKPQSVIIED >RHN55120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15277523:15281061:-1 gene:gene30250 transcript:rna30250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MLRATAFPAPNNSTVILSRNHTCPYLEPTRVIFPRSSFISRLQFRRTSVKSLLTVHGGGFIPAAAKKEVRGSTSIVDEIEEEFDEEGEYEEEEGEEDEEVDVEEEEIVGYDEMKEWWEKKPKGFGEGKVYDTSVEDKLFEEMQKSKQAQALNLKKLKTNPIKNNVTKKIVYEIVVPVRSRVRLVNLPKKRKIDRDLKSAFQGIPGITNIVPAVIGNKKTRDPVCKGFAFVDFKHEDDAIRFVELYTGQTITFGKIQKPIKCELVNAQSSSPPGLNQNINTALPLLPSFEEDSNEDSNIDDSAFNTWDETEVDDSDELQESDGESQEFATVLTVDSDDSVQMTNGSEIESLLSKQVDRKPSADKKSAVNVKQENAPKKKSNQKENTKKVLDVPGSAKRLKIKEKAVLSDVFSKYGSKAALASKES >RHN54445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9652143:9655735:-1 gene:gene29487 transcript:rna29487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Nin-like family MDSSLITLLLFNNTIQPELMRSVHVYRECDGGEKEVEREFVFSENGSYVEMKATPILRLLKSFVCEEIFEGYKNGVWLCVFAFHAYNVPQFSHIPTFLLVTRNPKLQAIPNLLNDLHMIYKLEPKKEQKSTPESSASEELKGNNNDFQPPKKVLPVLNQDLNCLPYEEDEAELLDDETDFGSSAGFLGKKKRAASDHVAKITLSDLAKYFDMPIAEASNNLNVGLTVLKRKCREFGIPRWPHRKIKSLDSLIHDLQEEAKHQEMEDKDAAMAVIRRQKMLESEKENIEKRPFMDIQIETKRFRQDIFKRRHRARVIEKQNLQLQAPKPSN >RHN76632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51837163:51840191:-1 gene:gene12986 transcript:rna12986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-transporting ATPase MLNISNRLTSYLFILPNSMVLAMTVVEAYAGGREKLNPPDDSSKLHPEVLSLINEGIAQNTTGNIFIPKDGGETEISGSPTEKAILSWAVKLGMNFELVRSRSKILHVFPFNSEKKRGGVAVKLADSEVHIHWKGAAEIVLGTCTQYLDSNGQRQSIEEEKAFFRKAIDDMAAQSLRCIAIAYRSYELEKIPSKEEDLDQWSLPDHELVLLAIVGIKDPCRPGVKEAVRLCTEAGVKVRMVTGDNLQTAKAISLECGILVSVEDAVEPNIIEGKTFRELSEKEREQVAKKITVMGRSSPNDKLLLVQALKKGGEVVAVTGDGTNDAPALHEVCIFLGCTVFRLKTPKNKYVT >RHN75676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44231310:44234966:1 gene:gene11913 transcript:rna11913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate formimidoyltransferase, 5-formyltetrahydrofolate cyclo-ligase MLKTILGCCKVYISESRNKSALESIEKAAKFFPLAPIINKFEDVAYNRVGYTLVSELDSVSSGKSSCDLTNAVLAMVKAAFDNVDFEVHSGTHPRLGVVDHICFHPLVDASLDQAARTARCLASDMGSSLEVPTFLYGAAHEEGMKLDSVRRAFGYFKPNSSENQWIGMQRSDTLPLKPYSGPSQVIPTKGVVVIGATRWVDNYNVPLLSSDISAVRRIAKRISGRGGGLASVQAMALTHGEGIIEVACNLLDPKNVGGERVQQEVEQLAKEEGISVDKGYYTDFSQEEIVKSYLELFEERI >RHN45640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24043959:24045254:-1 gene:gene40021 transcript:rna40021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MANFENQTQLLEEREPKIASSDSLSDDMIQNIFTFLPIKHAILTATTVSPKYKRSWCHNRRFLFDRDLCFRYNQENLAAIVDQLFNSHEGDEIKTFQLHIDPVGIEVLLKRWLQICTKKDLEDLELSFYQPGFTIESTVFSALHKLKTLKLAQCVIELPEVPSGLQFLQKLKLANIHITEKMSGMLIEHCKMLEMIVLINCSTIKKLKLIARQNKHFKELRIDYCEDLKEIEIDSPTLSSIFYHGKFPIIRIAQGMQLYDALFRFTPSREYMQSTQLEALAKDFLHVSILTTTPQLIEGMAVRIRDGVLREAQYCFVNLRELQLFMDGAKFCNPYDITMFLKNCPSLVKLFIDVSTTTSFSFIS >RHN82468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54007000:54012747:1 gene:gene6636 transcript:rna6636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gdt1 family protein MSSIVQGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRRLVLTGCLAALIVMTILSVLVGWAAPNLISRSWAHHITTLLFFGFGLWSLKEAIFGEGESEELAEVEAELDKDWKAKNGATKDSKKVDDATKKHKRPFLSQFFSPILLQAFSITFFGEWGDKSQLATIGLAADENPFGVVLGGILGQALCTTAAVIGGKSLASQISEKVIGLSGGILFIVFGIQSFLSPV >RHN47202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37826849:37832821:-1 gene:gene41768 transcript:rna41768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MGRYILSLHHLLFSSLLIFILMLNHVHASKNCYIVYMGAHSHGPTPTSVDLETATSSHYDLLGSIVGSKEEAKEAIIYSYNKQINGFAAMLEEEEAAQLAKNPKVVSVFLSKEHKLHTTRSWEFLGLHGNDINSAWQKGRFGENTIIANIDTGVWPESRSFSDRGIGPIPAKWRGGNVCQINKLRGSKKVPCNRKLIGARFFSDAYERYNGKLPTSQRTARDFVGHGTHTLSTAGGNFVPGASIFNIGNGTIKGGSPRARVATYKVCWSLTDAASCFGADVLSAIDQAIDDGVDIISVSAGGPSSTNSEEIFTDEVSIGAFHALARNILLVASAGNEGPTPGSVVNVAPWVFTVAASTIDRDFSSTITIGDQIIRGASLFVDLPPNQSFTLVNSIDAKFSNATTRDARFCRPRTLDPSKVKGKIVACAREGKIKSVAEGQEALSAGAKGMFLENQPKVSGNTLLSEPHVLSTVGGNGQAAITAPPRLGVTATDTIESGTKIRFSQAITLIGRKPAPVMASFSSRGPNQVQPYILKPDVTAPGVNILAAYSLFASASNLLTDNRRGFPFNVMQGTSMSCPHVAGTAGLIKTLHPNWSPAAIKSAIMTTATTRDNTNKPISDAFDKTLADPFAYGSGHIQPNSAIDPGLVYDLGIKDYLNFLCASGYNKQLISALNFNMTFTCSGTHSIDDLNYPSITLPNLGLNAITVTRTVTNVGPPSTYFAKVQLPGYKIAVVPSSLNFKKIGEKKTFQVIVQATSEIPRRKYQFGELRWTNGKHIVRSPVTVQRK >RHN74308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31744780:31746653:-1 gene:gene10347 transcript:rna10347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase (putative), gypsy type MANEEAVALDSSWLGEEPLQMESDFSNDGVGEIPFGSIGDVEDWELMLPSTSDLVCSLYENHVFPMYEVVFKDMGFQLPFSEFPREMLRWTKLSPSHVHPNSYAFMRAFELLCDNLRLPASKYVLFSFFTVQRGTDWISFRQNQKMYEVFAGKVQSFKECFFLVRPRSATALDILFEAAKDGIQERRPFFPLCRSQDHFRYEPKNFEKMKDLGVYVNAAHKKIYAKKRRKNVQSLEHHIVGSGVGSSFGPVVDLEGEDPPEELVQESVKKQKVGTPSKQPVTPIRAFLIRSERGDFLQLPKVRSEPDQCGPHSTLFFYDSELRIIQNLGPAGRSKAIADGAIATMKALELAEEKASLEETLKKADLPREDEMEDTTVLRRADLVDTIGELEGSLVDVVKIGFDRAVAQLKVVNPDIDLNVEGIHPLSDVNDGVISPPPDPEEDNGHVDEAQA >RHN60326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25272696:25282146:-1 gene:gene22625 transcript:rna22625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aconitate hydratase MYYITTSSSLLRTTTKSNKLFSSSISRTFFSSPLSSRTSRSFFYSLPRFNRRFHSSSHLSLRPQITAVAPLVERFHRKIATMASENPFKGNLTSLPKPGGGEFGKFYSLPSLNDPRIDKLPYSIRILLESAIRNCDNFQVTKEDVEKIIDWENTSTKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGSDSNKINPLVPVDLVVDHSVQVDVARSENAVQANMELEFQRNKERFAFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLGRVVFNNEGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGNLQNGVTATDLVLTVTQILRKHGVVGKFVEFYGDGMSKLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIESYLRANNLFVDYNEPQQDRVYSSYLELNLSDVEPCISGPKRPHDRVPLKEMKADWHACLDNKVGFKGFAIPKEAQGKVAKFDFNGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKAHELGLQVKPWVKTSLAPGSGVVTKYLLQSGLQKYLNEQGFHIVGFGCTTCIGNSGDLNESVASAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGTGKDGKNVYLRDIWPSTEEIAETVQSSVLPDMFRSTYESITKGNPMWNKLQVPADTLYSWDSNSTYIHEPPYFKNMTMDPPGSHGVKDAYCLLNFGDSITTDHISPAGSINKDSPAAKYLLEHGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTVHIPTGEKLYVFDAAMRYKTSGQDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCYKPGEDADTLGLTGHERFTIDLPSKISEIKPGQDVKVTTDSGKSFTCIARFDTEVELAYFNHGGILPYVIRNLIKQ >RHN47780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42503099:42508245:1 gene:gene42421 transcript:rna42421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium/calmodulin-dependent protein kinase MNPNAEIESVSSNQEKLESKKKMKKVRSMKLQRLSSRGRKPQYDHKVRTTEMVEEASPNYMKATGSSHAKDGFQIIQKRKMKSSRSIKLLTVKGPKSTTKLYSESTDGIDGNNRNSTSDAGNKSQRVMTRRLSLKPVRISAKKPSLHKATCSSTIKDSHFPNHIDLPQEGSSSQGVSAVKVCTYAYCSLHGHHHGDLPPLKRFVSMRRRQLKSQKSTKKDGRSKQVGNARKGTQKTKTVHSEDGNSQQNVKNVSMESSPFKPHDAPPSTVNECDTSTKDKHMVTDYEVLQKSSTQEEPKPGSTTSVAYGVQERDQKYIKKWHLMYKHAVLSNTGKCDNKVPLVEKEKEGGEEDNEGNNSYRNYSETDSDMDDEKKNVIELVQKAFDEILLPEVEDLSSEGHSKSRGNETDEVLLEKSGGKIEERNTTTFTESPKEVPKMESKQKSWSHLKKVILLKRFVKALEKVRNINSRRPRQLPSDANFEAEKVLLNRQTSEERKKSEEWMLDYALQKVISKLAPAQRQRVTLLVEAFETIRPVQDAENGPQTSATVESHANLIQSLDASSNHSKEEINDRRDFEVTERARNDKNMDACKKNDESATVKSTATKAVKFPVCDTGIMEEEVTAEGEYKVQEKSIVKEDLKHGTSTTDVPYGVQERDQKYIKKWHLMYKQAVLSNTGKYDNKLPVVGKDKEGREQGDAVFNGGNNSSCHNYNETDSDMDEEKKNVIELVQKAFDEILLPETEDLSSDDRSKSRSYGSDELLEKSEGEREEMNATSFTETPKEAKKTENKPKSWSHLKKLIMLKRFVKALDKVRNINPRRPRELPSDANFEGEKVFLNRQTSEERKKSEEWMLDYALQKVISKLAPAQRQRVTLLIEAFETLRPIQDAENGLRSSATVESLENPLQSLDASSVLSAKTLLGKVSFSNDSTMEFSDKASDNPMPELCKPIKPVETISSCHEEAPTKRMVDEVPEDLVSDLNTKTKDVIGGHGEQFSVTKSLILNGIVRSLRSNLVVPEAPSNRLDEPTTDIKDVVEKDQLEKSEAPTSAVVESKNQLEKQGSTGLWFTVFKHMVSDMTENNSKTSTDVADEKDSKYEDITTREISVSYENTPVVIQDMPFKDRAVVDAEVELRQIEAIKMVEDAIDSILPDTQPLPDNSTIDRTGGIYSEGLNQKEQKMESGNGIVEERKEESVSKEVNKPNQKLSRNWSNLKKVVLLRRFIKALEKVRKFNPREPRYLPLEPDSEDEKVQLRHQDMAERKGTEEWMLDYALRQVVSKLTPARKRKVELLVEAFETVVPTVKH >RHN57079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36292028:36296939:-1 gene:gene32574 transcript:rna32574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MEDNGRMNVRLSDVVLDCVMPYIHDPKDRDAVSQVCRRWYEIDSQTRKHVTIALCYTTTPDRLRRRFPHLESLKLKGKPRAAMFNLIPENWGGFVNPWVREIENYFDCLKSLHFRRMIVTDDDLSILARSRHQSLYSLKLEKCSGFSTHGLYHISHSCKNLRVLFMEESSVLENDGKWLHELASNNTVLETLNFYLTDIANIRIEDLELLAKNCPNLVSVKITDCEMLNLVNFFRYASSLEEFCGGSYNEDPEKYAAISLPSKLSRLGLTYIGKNEMPIAFPYASQLKKLDLLYAMLDTEDHCTLIEKCPNLEILESRNVIGDRGLEVLARCCKKLKRLRIERGDDDQGMEDVDGVVSQRGLIALSLGCPELEYMAVYVSDITNESLEHISTHLKNLCDFRLVLLDREEKITDLPLDNGVRALLTGCKKLRRFALYLRPGGLTDVGLGYIGKYSPNVRWILLGYVGETDAGLLEFSKGCPSLQKLEMRGCSFFSEHALAVAATRLTSLRYLWVQGYGASASGHDLLAMARPYWNIEVIPSRRMVVNNQQDRRPVVIEHPAHILAYYSLAGPRADCPDTVRPLHPAAAADGVDT >RHN54616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10786393:10787681:-1 gene:gene29684 transcript:rna29684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MHQRVTELNLAGYQLHGSLSPYLGNLTFLINLNLQNNSFSGEIPQEFGQLLQLQQLYLLNNSFTGEIPINLTYCSNLIDLILGGNKLTGKILIEIGSLKNLHSFALFGNNLNGGIPSSFRNLSSFRNLSSLMRFTCASNKLGGDIPQEICRLKNLTFLSFGENNLSGNQFSGTIPVSIANASVIQLLDIGTNKLVGQVPSLGNLQHLGLLNLEENNLGDNSTMDLEFLKYLTNCSKQHALSIAVNNFGGHLPNSIGNFSTKLEKLYLESNQISGKIPVELGRLVGLTVLSMPLNQFDGIVPSTFRNIQNIQILDLSKNKLSGYIPPFIGNLSQLFTLALTGNMFHGNIPPSIGNCQKLQYLDLSDNKLSGTIPVEVFHIFLFINCIELVT >RHN52177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32357251:32358619:1 gene:gene36814 transcript:rna36814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MFGQFSEKSDIYSFGVLLFEIIAGKKNKSSFTPHHVADGLLNHVSAMI >RHN53550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2455467:2460671:1 gene:gene28468 transcript:rna28468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MTSNTTAGNVCPPPMKSTSNGVFQGDHPLDYALPLAILQICLVLVVTRGLAYLLKPLRQPRVIAEIVGGILLGPSAFGRNKSYLHAVFPPKSLPVLDTLANLGLIFFLFLAGIELDPKSLGKTGGRVLAIAMVGISLPFALGIGSSFVLKETIAKDVNTSAFLVYMGVALSITAFPVLARILAELKLLTTSVGRMAMSAAAVNDVAAWILLALAVALSGNSQSPLVSLWVFLAGCGFVVCSILIVLPIFKWMAQQCHEGEPVDELYICATLASVLAAGFVTDAIGIHAMFGAFVFGILVPKDGPFSGALVEKIEDLVSGLLLPLYFVSSGLKTNIATIQGLQSWGLLVFVTFTACFGKIVGTIVVSLLCKVSFNESLVLGFLMNSKGLVELIVLNIGKDRKVLNDETFAIMVLMALVTTFMTTPLVMAAYKRKAKISDYKYKTVERKNADSELRILACFHGARNIPSVINLIEASRGIKKRDALCVYAMHLKEFSERSSSILMVQKVRKNGLPFWNKGHRADSDHVIVAFEAYQKLSQVCVRPMVAISSMANIHEDICATAERKRAAVIILPFHMQQRLDGSLDVTRNDFRFVNKRVLEHAPCSVGIFVDRGLGGTCHVSASNVSYCVAVLFFGGGDDREALAYGARTAEHPGIRLVIIRFLVESTILGEISSVDVGDSSIGKSISEDEEFLAEFKLKTASDDSVIYEEKIVKDAAETVASIRKFNSCNLFLVGLRPTGELACALERRECPELGPVGGLLISQDCPTTASVLVMQQYHNGVPMNFVPEMEEHSHSHEGDT >RHN56438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30867715:30871968:1 gene:gene31843 transcript:rna31843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MGIMCIRIIFLLAAYCLLPLSVDAMVRHYKFNVVMKNATRLCSTKPIVTINGNFPGPTIYAREDDTVLVKVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQVYMYNFTLTGQRGTLWWHAHILWLRATVHGAIVILPKLGVPYPFPRPHMEQVIILSEWWKSDTEAIINEALKSGLAPNVSDAHTINGHPGPVQHCASQEGFKFQVEPGKTYLLRIINAALNEDLFFKIAGHKFTVVEVDAAYTKPFKTDTIVITPGQTTNVLLKTNHASGKYMVAASTFMDAPIAIDNVTATATLHYPNTLGSTITTLTSLPPINSTKIANSFTDSLKSLNSHKYPARVPKKIDHSLFFTVSLGVNPCATCPNGSRVVAAINNVTFVMPKISLLQAHFFNISGIFTDDFPRKPEIVYDFTGNKQPSNFRTNKGTRVYRLAYNSTVQLILQDTGMIAPENHPIHLHGFNFFVVGKGQGNFNPKKDTKKFNLVDPVERNTVGVPSGGWTAIRFRADNPGVWFMHCHLEIHTTWGLKMAFVVDNGKGPNESLLPPPSDLPKC >RHN76974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2861432:2863374:-1 gene:gene374 transcript:rna374 gene_biotype:protein_coding transcript_biotype:protein_coding MNYHFLILMLFSLSQRKKTSLKYVGIHMLRISNIYVSHSFSSNSKLEHDKLPCFFSNSCGVSDPQTHDLKHIG >RHN72155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5449097:5451879:-1 gene:gene7836 transcript:rna7836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MGDFSSSNGSLDVVMNVNDGANKKCDATTIDDHVPFLQKLVAEVVRTFFLIFAGCGSVVVNLNNDKVVTLPGIAIVWGLVVMVLVYSIGHISGAHFNPAVTIAHTTTGRFPLKQVPAYIIAQVAGSTLASEALKLIFSGKENQFAGTLPAGLDHQAFVVEFIITFYLMFVISGVATDNRAIGELAGLAVGSTVMLNVLFAGPITGASMNPARSLGPAIVHHEYRGIWIYMVSPILGALASTWTYTFLRITNKSVRELTKSSSFLRGKGAE >RHN81736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48575935:48582602:1 gene:gene5821 transcript:rna5821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosinetriphosphatase MMEQKHVLLLAALGVGIGLGVGVGTWVGEKDVLLSVFSVVIGLIVGLGIWFGFGGDSDESNHISENQIVKGLKKLVIDGKDSKVTFDDFPYYLSEKNKILLTSAGYFHLRQHDLSKHTRNLSPVRRAILLSGHAEHYHHKLAGALAHCFESKLLSLDIAHFSLKMQGKYGCPRKEPYFKRSIFEATREFVSGLFVSLSILPSKGAIRAPSNSTSCYFFDEKLFLHSLYKVLVSISEAGSVILYIKNVEKVFLGSPRMYRLFQKTLNKLSGSVLILGSRPYDLKYNCTKVNEKLTMLFPYNIEITPPQDETHLKIWKSQLKKAMKKTHLKDYTTHIAEVLAANDLYCDDLDTVDHNDMTILSNQTEEVVASAIFHHLKDAKNPKYRNGILIISAKSLRHVLSLFQEGESSEKDNKKTKKESKRDDSRKEKPKESKKDGDIKASAKSDSPDNAFEECIRQELIPANEIKVTFSDIGALDDVKESLQEAVMLPLRRPDLFKGDGVLKPCKGVLLFGPPGTGKTMLAKAIANEAGASFINVSPSTITSMWQGQSEKNVRALFSLAAKVAPTIIFIDEVDSMLGQRSSTREHSSMRRVKNEFMSRWDGLLSKPDEKITVLAATNMPFDLDEAIIRRFQRRIMVGLPSADNRETILKTILAKEKSENMNFEELSTMTEGYSGSDLKNLCMTAAYRPLKELIQQEKEKEMTKKKKVTEVEILEEASIATEEDKEDQVIALRPLNMEDMREAKNKVTASFAAEGSIMTRLKEWNDLYGEGGSRKKEEQLSYFF >RHN73719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19297830:19299669:1 gene:gene9573 transcript:rna9573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MSLNWGVRKCIIDIFYLNKLNILAILMEYTLTNTHSFVAEAYGLPFLPAYKTLTKGQDVTKGVNFAFAGSTALNYNNYLNKSRILVPASNYSLGVQLKMFKEFRNSTCKSKKDCRSYFKKSLFLVGEIGGNDLSSHISQNFSNFRNVVPLVVAAITKATTTLIKEGAVEIVVPGNFPIGCGAFLLALATVYGNKTENYDEFGCFKAFNTMAEYFNDKLIYSINTLRENYPNVKIIYFDYYNAAKRLYEAPEQYGFDKSKTLKACCGGPNTTVCSDPSKYINWDGPHLTEAAYRQIAKGLVEGPFANLPLKPASFKIA >RHN52684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37722055:37722396:-1 gene:gene37382 transcript:rna37382 gene_biotype:protein_coding transcript_biotype:protein_coding MKIERKEIIEEAKKQLWLAVPMVIVCIFQNLQIITLMFVGHLNQDLLLAGASLAISILNVIGFNVMVSILNFTFQFFLVMFLREYMKISWICSLHTTIMCLTVLRFISILIFV >RHN80823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41058901:41069773:1 gene:gene4790 transcript:rna4790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase D MSSEPLIQSSDSVSASRQCGEPVRIFEELPKASIVSVSRPETGEISPILLSYTIELQYKQFKWRLRKKASQVLYLQFALRKRAIIEEFHDKQEQVKEWLHSLGIVDQMVMVQDDEEPDDGAIPIHHEDSVKNRYVPSVAALSIIRPSLGGQQSVADRAKVAMQNYLNLFLGNLEIVNSREVCKFLEVSKLSFLQEYGPKLREGYVTVKHLSNISQDSDVTCFPFHWFHFCDNNWTKVWAVLKPGFLALLDDPFDNKPLDIIMFDVLPSSTGKGETKVYLAEPTKERNPLRFTFKVTSGNRSICLRTISSAKVKTWVTAINEAGLRPLEGWCSPHRFGSFAPIRGLTGDGSQAQWFVDGQAAFEAIASSIQDAKSEIFITGWWLCPELYLRRPFDSFPTFRLDSLLEEKAKQGVQIYVLIYKEVSIALKINSLYSMRRLLKIHENVRVLRSPDHFTSGVYLWSHHEKLVIIDYKICFLGGLDLCFGRYDTPEHKVGDSPSVIWPGKDYYNPRESEPNSWEDTMRDEFDRQKYPRMPWHDVHCALWGPPCRDIARHFVQRWNHAKRTKAPNEHEIPLLMPHHHMVIPHYMGRSKEIDIDDEKDEDNKKEIDKQDSFSSQSPPQDIPLLLPQEADGAVTSNGDDRNSSECSPLLSQNLEGETLVSDNQNKGFQDESVPFNLEAQCIVDSIDDWWETPEGTNDATTLEYGQVGPRTTCHCQVIRSVSQWSVGTSQPEESIHTAYCSLIEKAKHFIYIENQFFISGLAMDDTIQNRILEAIYRRILKAHKEQEDFRVIVVLPLLPGFQGGLDDGGAATVRALTHWQYRTISRERHSILHNLDAIIGRKTHDYISFYGLRSHGRLHPDGPMATSQVYVHSKLMIIDDRVALIGSSNINDRSLLGSRDSEIGVVIEDKEYVESLMNGKPWKAGKFSHSLRCSLWSEHLGLLTGEISKIMDPVADSTYKELWAATAKENTRIYHEVFACVPNDQIHSRAALRQSMVQWREKLGQTTIDLGIAPDKLIYQENGETKVTDPIDRLKSIKGLLVSFPLDFMREEDLRPAVIESEFYVAPQVYH >RHN78680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17443310:17445179:-1 gene:gene2317 transcript:rna2317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MALNSSSDKVITPTDDELLQQFLYNKIHNKPLPNHITILEHDLFGTAKNPWEIWEEFGTSNSNRGKDLYFFTTLKKKSATSSRVVRTIGLGRWEGEDSGKNIFAKDKNQILGMKKRFRFEKSDTSQDGGWILHEYSLHNSLISNPLDNNYVLCRFRKNCTHLPVSKNIVAQDKPRKIVVPEPAAITIPGKRKYIIYEEPTKESNGTVVNTKSHRKEKEKYVEWTKENFVLALEAPTKSENNNVWLKENIDQNPNNQLNNGKNKIEDDGDVNTSKEEDGDAIMSWPELFSLQLREMNEGCLIEEEDVPMISNQFYKDLLSENININ >RHN64615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60457180:60458444:-1 gene:gene27446 transcript:rna27446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein LIN37 MEFKYRAIDNINPSTTPPRSLPSATANQAVGSYPPPWPPLLYSHWGSVRGVNLDNLSNTLHMTRPLSHEQFPHLAATMPPPIEGHRKGTSRSTVSDVNGYKDASARKRSRDDELTVVRDRKVRITEDASLYALCRSWLRNGLNDESQPPQHKGVTLALPKPSPASMMVKCTLNNKKDNENDKDEQEEDEKSVEHLSTQDLLKGHIKRAKRVSTHLREERLKRIARYRSRLRLLVLLPTSTV >RHN57814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41857216:41858161:-1 gene:gene33403 transcript:rna33403 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSDPTTPSPRESPREGFFVLPIILLTPCLVIYFMVWIVIAIEADYPSVRVDYASLSSFKVSNTTKLSAEWNIKLLLSNPNGHLRISYHHDAFHGKIFYRNQQGRPDNDIILETSTLQSFFNGNNMVQIKLNVDTYVGSYMAEKIDLSRRNHGMVEFGLIVSTSMRFKSDFFVFSGSKSSNVCYPLRFEISQNVYNTTPGILLQGVTCDLGYDYV >RHN48242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46064625:46065745:1 gene:gene42937 transcript:rna42937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MIYSYTKNINGFAALLEEKEAADIAEHPNVVSVLLNRGRKLHTTHSWEFMSMEHNGVAPSHSLFRKARYGEDVIIGNLDSGNYDFFSLVYIYHYITSNFYNKIDD >RHN62587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44533280:44534212:-1 gene:gene25179 transcript:rna25179 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGGDDNCVEPSSAFTKLNSLVIDRCAIRDAQTLRISSETLVNLAMHESSYDFDKIQLFTQSLCTFTYTGHPDQRICGSGLSSVKLVNIAAEIYFCWEKPAMLLLSWLQDLADVKSLTVSSTTLQDDRSSNEDKVENCQPNVATA >RHN44942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10209788:10213121:-1 gene:gene39129 transcript:rna39129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MADIIKFIYVIIIVLFVFFSGKNTDAKNICIDDVHCQKYKCSPGLYPTCINGWCECK >RHN60444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26849591:26851295:-1 gene:gene22756 transcript:rna22756 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFSLLPSPSCASFLSNKKSSRFCSLASIQSRKSNVKVLRVRAVKEKTEEIKSSSKQSSPEEVTKKYGLEAGLWKIFSSKEEGDQQKSKGDQAKELLAKYGGAYLATSITLSLISFALCYVLINAGVDVQTLLQKVGISTDATGEKVGTFALAYAAHKAASPIRFPPTVALTPIVAGWIGKKADKDK >RHN49646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39574:40652:-1 gene:gene33848 transcript:rna33848 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMLLPIHTNCFLPLVYAAFPHKIQDVRFMVNNCAPTFTKYCQFGIHYSYYYYHHTTTRRRRSYCRSGMRDTVVSDWELQEGRIAVSIFLQQMGVSAEESKSIASNSPAYLNMLVESVRDLEHFSSSMLDANANADGAFNLNFNYRDKILHIAALKGDNGKLAYLESLGFTLSSSMNVAR >RHN44290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3245675:3246268:-1 gene:gene38390 transcript:rna38390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SPX domain-containing protein MKFGKEFVSQMVPEWQEAYMNYSSLKSILKEMSKIKRKNKSEAPTASTPKGSLKKRLTLYRSFSGLNKKQKGPSNKNEDEVILVRSEQGLASKGMYQTMFLKPSEDGAEIDLEFFKKLDIEFNKVNAFYKKMVKEVVHEADELSEQMNFLIAFRIKVDKAGFENFDSNEISSSTSFMHHEDDAKHGKPLSCSVFFSF >RHN42847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41433489:41435768:-1 gene:gene49344 transcript:rna49344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ceramidase MKEGTAQAWVVAVASFYLFMKLTPSIPQPQMYHDFADKRQFFGIPNALNVISNLPFMVIGLIGLMLCHRSNYFNLSSQGELWGWTCFYVAVTSVGFGSAYYHLGPNDNGLVCDRLPMTVAFTSLVAILIIERVDAKKGTISIFPLIMAAMISSVYWRQANSVSFTGKNYFSYSYLDFVFIFPYSLMNSFF >RHN66366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11490041:11494984:1 gene:gene14333 transcript:rna14333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAATLVGGAFLSASVQTMLDQLTSTEFRDFINNKKLNVSLLKQLQTTLLVLQAVLDDAEEKQINNRAVKQWLDDLKDAVFDAEDLLNQISYESLRCKVENTQSTNKTSQVWSFLSSPFNTFYREINSQMKIMCNSLQLFAQHKDILGLQTKIGKVSRRTPSSSVVNESVMVGRNDDKETIMNMLLSESSTRNNNIGVVAILGMGGVGKTTLAQLVYNDEKVQEHFDLKAWACVSEDFDILTVTKTLLESVTSRAWENNNLDFLRVELKKTLRDKRFLFVLDDLWNDNYNDWDELVTPLINGNSGSRVVITTRQQKVAEVAHTYPIHKLEVLSNEDTWSLLSKHAFGSENFCDNKCSNLEAIGRQIARKCAGLPIAAKTLGGVLRSKRDAKEWTEVLNNKIWNLPNDNVLPALLLSYQYLPSQLKRCFSYCSIFPKDYTLDRKKLVLLWMAEGFIDHSQDGKAMEEVGDECFSELLSRSLIQQLYDDSEGQIFVMHDLVNDLATIVSGKTCYRVEFGGDAPKNVRHCSYNQEKYDTVKKFKIFYKFKFLRTFLPCGSWRTLNYLSKKFVDDILPTFGRLRVLSLSKYTNITMLPDSIGSLVQLRYLDLSHTKIKSLPDIICNLCYLQTLILSFCLTLIELPEHVGKLINLRYLAIDCTGITEMPKQIVELKNLQTLAVFIVGKKSVGLSVRELARFPKLQGKLFIKNLQNVIDVVEAYDADLKSKEHIEELTLHWGDETDDSLKGKDVLDMLKPPVNLNRLNIDMYGGTSFPCWLGDSSFSNMVSLCIENCGYCVTLPPLGRLSSLKDLTIRGMSILETIGPEFYDIVGGGSNSSFQPFPSLENLYFNNMPNWKKWLPFQDGIFPFPCLKSLKLYNCPELRGNLPNHLSSIERFVYNGCRRILESPPTLEWPSSIKVIDISGDLHSTDNQWPFVENDLPCLLQRVSVRLFDTIFSLPQMILSSTCLQFLRLDSIPSLTAFPREGLPTSLKALCICNCKNLSFMPSETWSNYTSLLELKLNGSCGSLSSFPLNGFPKLQLLHIEGCSGLESIFISEISSDHPSTLQNLGVYSCKALISLPQRMDTLTSLECLSLHQLPKLEFAPCEGVFLPPKLQTISIKSVRITKMPPLIEWGFQSLTYLSKLYIKDNDDIVNTLLKEQLLPVSLMFLSISNLSEMKCLGGNGLRHLSSLETLSFHKCQRLESFPEHSLPSSLKILSISKCPVLEERYESEGGRNWSEISHIPVIKINDKVTI >RHN62432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43400016:43401960:-1 gene:gene25009 transcript:rna25009 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKAGVRKRESNLERIKPDKKKQNFNLDFDFDLSDDLKGIVSALHLIRDKAQKDGQKKNEETISSVASEIKSTIEGLRTKFEKERQTFAKALSKSSKEFESSLKNETNKFQALHENFYKEKATSLQALQDIISKFEEEKEKLFLKYEQLRKKEKTMISEQEKACNDKITQLEGSLKKKKKDDKTFSILRKTLGSFLESTSDEDFPPDD >RHN76946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2584700:2590279:1 gene:gene340 transcript:rna340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MSLKTSNSSEKPMLPLSDPPKNQIDDKLFKGSAMTKRGAYAAISYMSCAVLLVMFNKAALSSYNFPSANVITLLQMVSSCFFLYILRRWRIISFTASESLLISDNSTKFVSLKTLKHTFPLAGAYLLYMLVTMEAVRGVNVPMYTTLRRTTVVFTMLVEFVLVGQRYTHSVVFSVGLIVFGAFVAGARDLSFDTYGYSVVFLANVTTAIYLATIARIGKTSGLNSFGLMWCNGILCGPVLLIWTFIRGDLKTTIDFPYLFSPGFLVILLFSCILAFFLNYSIFLNTTLNSALTQTICGNMKDLFTIGFGWIIFGGLPFDFWNVIGQFLGFTGSGLYAYFKLIGK >RHN49129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52632060:52632801:1 gene:gene43924 transcript:rna43924 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMSLQYLATKRMRFGYEKLTSKRMMRVGKRCIWVKSLNGKLRGLRLSRSRKFFSTMLYSTRIVRIYNDIVNKIMNMENSYPVIVLPTQWGLPVLSHPSVVCRRSVMVPPNRKVTFY >RHN55165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15709495:15711849:1 gene:gene30302 transcript:rna30302 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLASPIFFQNYHLSIPDFIIRNGIFLCYRVKNGVTGFSGFCNPETSKNRARYMMFPDYIIRKPPKHPFST >RHN40089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13135927:13137382:1 gene:gene46190 transcript:rna46190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAAAIHKLVSTAYIPNDLAFSILSKLPLKSLKRFTCVKKSFSLLFQSPDFMNMFRTNFISKHNEDNENIRLILKEKTQMIPFPYTLCTFSGEKLEDGERLDCPPPFHEDDLGIEIFGFASVNGTLCLYQGIYYDTKIVLWNPATTKFKVVPPSFQPYDNIEVKTPPLAFGYDHVRHDYKLIRIAHYPLDFEGHWVSVPDKDSYFWDVDYDHTLWDGRVVEMNDPFWELYSLKSNSWRKIDGIEMRINWIDSYPVNLNEFCHCLELNRIVSFDFINEIFFATTLPSVGLKRPRECETFFRLPRIRLNSYLAVIHGSIAFISNIEVLSFYDIWILGEVGVKESWIKLFVVDAPCKMRPFGIGIKSFIFFIKGDEEIAWYDLSTQRIEEIKVKGESAGLQIIVYKENLLSFEGINI >RHN56173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28491254:28498599:-1 gene:gene31533 transcript:rna31533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MQKRKFISLVLLRKLLTAAIISITFLALFTGHLHIPSSKDHKFNNKFPTVQNLQRTQELAPPHLSKLPLSTSKLNRLRGDSDYVKLWKPPSNRGFLPCTKPTPNYTAPAESRGYLLVHTNGGLNQMRSGICDMVAVARIINATLVIPELDKRSFWQDTSNFSDVFDEEHFINSLANDVKIIKKLPIELVMVNETGMVKQQFRSWSGMDYYENEIARLWEDHEVIRASKSDSRLANNNLPPDIQKLRCRACYEALRFSPRIEQIGKLLVERMRSRGPYIALHLRYEKDMLAFSGCTHDLSLDEAEELRIIRENISYWKVKDIDPVEQRSKGFCPLTPKEVGIFLTALGYPSKTPIYIAAGEIYGGESHMAELRSRYPLLMSKETLASIEELEPFFNHASQMAALDYIVSIESDVFIPSYSGNMARAVEGHRRFLGRGRSISPDRKALVRLFDKLDQGTMTEGKKLSNRIIDLHIRRLGSPRKRKGPISGTKGMDRFRSEETFYANPLPDCLCRTEPPSRNISDIIK >RHN53363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1206538:1208295:-1 gene:gene28264 transcript:rna28264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylenetetrahydrofolate reductase (NAD(P)H) MPVDKIDHALETIKSNEIQNVLALRGDPPHGQEKFVQTGGGFACARDLMHGRDMKRDLV >RHN41719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32338208:32340684:1 gene:gene48071 transcript:rna48071 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFDSSPQTSHSNSNNSTSPSGGGGDVLLKWGQRKRSRVSRTLIEDSSSSVHTNQRKKFPTKFSSASMPPPPPLVSASNGRGRKHNIPRNLEDPSEPSRMNQNVSRSIAQKNSTPSCMEKSNKRMPCSSGSAKCKKPNGSSTKQATEKLNNNHGDTNGEKVSVEVIEWPKIYIALSRKEKEDDFLAMKGTKIPQRPKKRAKNIDKTLQYCFPGMWLSDLSKSRYEVREKKSVKKQKRCRGLKGMESLESDSE >RHN62592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44554046:44558869:-1 gene:gene25185 transcript:rna25185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MFDYLEPKMSMKRPCSSNEESMILEKMNKRRKCENQSNEESEDRLSDLPDGIILHILSFLNTKHVVRTCVLSKRWRHLWKRIPTLMLYASRFSTVKQFAMFVSNILTLRDTSTALHALDLDRHGNIEPQLLKKILNYVSSHNTHLQDLGISVNGDCGLIMSCVSSCRALTSLMLSIYPKDGICSNSGILFPKSLNLPTLTNLYLTNFNFCGGENGCAEPFLAFPKLTSLVIRSCRVRDAQILRISSETLVNFKMRNYSEDFAKIELCAPSLCTFTFTGTPVQKICGSGLSSVKQVKIKAEMFSRWDEPPLILFDWLLNLANVKSLMVSSTTLRILSLVPDLLEVKLPSLCNLKSMEIKLETCSNQMALPNLVKEAMLKKAAAKSRKEAAKLRKAFKARLEPPPIPDGIVEFLRQNSQSAEVNITTNYPACFNLKQVEESIKGAKVINYHSQFAVPASSAAPVHAAESASATVPASSTPPSLHLCCAEKED >RHN44551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6043660:6045180:-1 gene:gene38682 transcript:rna38682 gene_biotype:protein_coding transcript_biotype:protein_coding MYIDSPMQEDDARCSNENDGYHRHEIYGEKNRRKKKVDEEESDDSMASDASSGPMNYQHQTNYGRGQGKSGLKKDKQDHGSKSCSKKNGNKQEKKRVDSRSKK >RHN52230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32844847:32845437:-1 gene:gene36878 transcript:rna36878 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGPSHHHLPDLRRRVFSPAGGGSAFGWWFSGGVHTGFSSASVDRKRRVAASKMGGWRLLVVVLW >RHN44679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7379344:7379568:-1 gene:gene38827 transcript:rna38827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribulose-bisphosphate carboxylase MRWRDRFLFCAEAIYKAQAETGEIKGHYLNATAGTCEDMMKRAVFARELGVPIVMHDYLTGGFTAILLGSLLPR >RHN43978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:455370:465613:-1 gene:gene38021 transcript:rna38021 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVYKVKYLKAFEIAQQIEVPASSLAREDVTADAQKVIKLAEDVQKFAIIEAENLLLMTFEGAEGEKTDEPEAVNPEASRGISKSVWSHTGEESGIERRGSHVNQRCG >RHN61119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33136611:33140846:1 gene:gene23550 transcript:rna23550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MAPFNFNVFMLLSLLSIVVTHIDAIKPLHLQEFSDFNRTSFPPGFVFGTASSAFQYEGAVREGGKGPSIWDTFTHKYPEKIRDRHNGDVADDSYHRYKEDIGIMKDLNMDAYRFSISWSRVLPKGKFSGGVNQEGINYYNDLINEVLAKGMQPYVTLFHWDVPQALEDEYDGFLSRRIVDDFRDYAELCFKEFGDRVKHWITLNEPWSVSMNAYAYGKFAPGRCSDWLNLNCTGGDSGTEPYLAAHYQLLAHAAAVKLYRTKYQASQNGKIGITLLSHWYEPASQAKSDVDAALRGLDFMFGWYMHPITKGNYPKSMRSLVGNRLPRFSKKESKNLKGSFDFLGLNYYSSFYAADAPHPRNARPAIQTDSLINATFEHNGKPLGPMSASSWLCIYPRGFRQLLLYVKKHYNDPVIYITENGRDEFNDPTLSLEESLLDTDRIDYFYRHLYYLQTAIRDGVNVKGYFAWSLLDNFEWESGFSLRFGLVFVDFKDNLKRHPKLSAHWFKNFLKRS >RHN73598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17999096:17999353:1 gene:gene9426 transcript:rna9426 gene_biotype:protein_coding transcript_biotype:protein_coding MYENPNPHRRQLGKETMTGSVRGERVADNTTKWGRRPRNPDGVEGSHGGQSHVISPTKNQYHATEEQIYDIIEHHYHDDTKDQYY >RHN72470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7936629:7940894:-1 gene:gene8184 transcript:rna8184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MATFTSFSSPSSSSSTPPPFSAPSSSSAFDDDSFEDSCSICLESFSVHDPSTVTCCKHEYHLHCILEWSQRSKECPICWQSLSLKDPACQELLDAVEAEKSLRSRNNHSPSLANSRVPFGYSNGDHDDSSDEIDFDEEIMQHLYAAASRARFVQRQRRQGSSGAGPSEILVFNSSGPVSGMQSTLTTSPAGGSSPTTDLPSTANARPEVARNTSPEVDVPYRPRVLYSPSPPQDERKLNTSEMFSFPESFKSKFSAASARYKESISKSTRGLKEKLLARNVTVKELSKGVQREMNAGIAGVSRMIERLDLASKRSGSPLIPVRNGGTSGFSEGKSVEEKDTGNSKTEASGSSDAPSHISSTVASRMEIPPYVQRGHDAVKT >RHN55257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16469220:16472933:-1 gene:gene30408 transcript:rna30408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monodehydroascorbate reductase (NADH) MSSNLYNFVYIDRSTESSHVLTFHSTAKWNAHFEAFKETNKLMVIDFTAKWCGPCKVMDPVMKDFAAKYTDVEFIKLDVDELMGVSQTFQVHSLPTFLLIKKGKVVDKVVGARKEELQRMIEKQRN >RHN47049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36786663:36787047:1 gene:gene41600 transcript:rna41600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MHLGFKEEFKELLGEWYYGKGGLPKVLIEMKYIGGVEEIQKLHDDKKLEKLLDCCERINDIEGGDGGCEACGDIKFVIMKKMIIVRFVGECGFQRCSHCNENGLIRCFMCCF >RHN80259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36592510:36594334:-1 gene:gene4162 transcript:rna4162 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIWWSLLGAAVPVVVAGQAFRVKKRNAEEQRLKSARGRERSSDEIFVCERVCTSKRMLKKVGSFSKDPIPDTCVTVCGVSDLDACAEACARTVCVNQHQVPNWNDTCLRRCQSECLKLSSQSSQ >RHN57695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41105163:41110936:1 gene:gene33276 transcript:rna33276 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNCVRVTTATTMAVPSFMAIRRRPICFLCCASSSTIINTEQLRSQLDHLHAEADTTRTKANNARLRLLRLSESAEKLKKQAAISMQKGDENYARDMLVQRKKVLQALEKSKNRIELLDELSTKLSEVISLKESQLVGNVTMNMEDTTEDASSPVRIVAPKEEVLKDSPKDDSDLNMMKFGDIQDMQISSESQENPPDDTETENLLRSLKTATGNENNIPNSLSEISSYKDFMEHIDQKLHEIETELVAFLNFSVLVLDSEERPKNSRWQQTMELLESIHGIRQRIRSAKEAKVTI >RHN62759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45669614:45674187:-1 gene:gene25366 transcript:rna25366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MGSMSNSLKPALLMVGVQMVFSACNIIYKLAIYDGMNIIVIAAYRLAFAAVTTIPLALIFERKRPKMTWRVFYLSLLSGLFAGLLFQNLFYGALVLTSATLVSAIYNLIPSVTFVLAVSFGFEELNWGAVTGKAKVVGTILGFAGALVLTFYKGVEFDIWPFAINILDPKNDHTEHVTDTTTELLGVLCVLLSCFCFSIWLIIQAKISEVYPCPQSSIALMSVIGTIQCVIFGFIVERDLNQWKLGWDIRLLTVVFSGVFASGIMIFVMAWVVQKKGPLYASAFNPLMLLIVAFVASMLLDEKLNLGSILGGVLIVCGLYAVLWGKGRETHKKIELEPSEIIGDSEAARPLLSP >RHN55583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19731270:19733387:1 gene:gene30785 transcript:rna30785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MPPSQSQSATTMVVSINNFPDEILTHILSFLPFKQAFKTSVLSKRWRPLCYSLPDLHITVIRRVHNFRRFMDAVMFSPHSHNLTLNSFYLTIISCSKFLETEADCFDKWVEAAKQRRVKDLQLHFLPSIHVPLAPTVFCCKTLVVLGLTGIHIGTLFHGSVDLPLLKTLTMFNIHLENIEDFMKLLSGCPILENLKTRYVKTTTNVTTGGNFKSLSKLNNADIRLFDLPFRAIYNVRFLRVHEMETNLANEEINLYYKGFSVFENLTDLQLSWCNGAHDWDEVVKMLQNCPKLQTLAIKKWIGSLKTTKDWKHPYHVPECVTSNLTTCEIEDYQAMEADFQFATYILQNARVLQVMAIHSPDFQNPMASPRLLEDLISFPRISPVCKLSLIDINVSSS >RHN64283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57895991:57899130:1 gene:gene27086 transcript:rna27086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronate decarboxylase MSSELIHRNQTRDQTTDSSSHRDNPLPRTRTNIINMLLNRVPFLLIGIAISTFFFHYLPSRSTLPQHHDSSSFVGTELTLPTRRVLLEEHGRDERKRRVPLAVGLKSKRQKRVLVTGGAGFVGSHLVDRLIERGDNVIVIDNYFTGRKENVVHHIGNPNFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQAETYWGNVNPIGVRSCYDEGKRVAETLAMDYHRGAGIEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKDPLTVYGDGKQTRSFQFVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAQVVQETIDPNAKIEFRANTEDDPHKRKPDISKAKELLGWQPSVSLREGLPLMVADFKQRLFGDGDKGAAAA >RHN54160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7440863:7441288:1 gene:gene29152 transcript:rna29152 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMLKDMKERCIFLWGIGVLLMELLTGRKPSDRVKSGEMGFPFHGYQLVMEEEEDNVERVIGIFFEFCFLISPILQEYNFGLLLLLMNMEDKKVIDEDYDFEVVVVEIEI >RHN65531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2945832:2950554:1 gene:gene13378 transcript:rna13378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MYQQDRHLSSHDLKPAEKTTEPLNPILFCSSNQIGANNMDSEGNHQRDTVSSPSLTDETLAKTLTSSCSLHAPPQLPTVTLDLISEILTRLPVKHLLQLKSVSKSWNYLISDPKFAIKHLRLSNTYLVHPNRSSNNVLQSYLLDSIFTDDVTTNSSIAQLELPSNQFSCVGSFNGILLLVSEHGGFLNLRLWNPSIRKFKELPSLEKKQNVLRHELIYGFGYDVTANAYKVVVGLHVRDTNHEVKVHALGTNSWKTIPKFPFGCVPLQFLGKSVSGTINWLVARQYDNKFQDCIVSLDLGSESCKEVLLPKEVDTSTLRLRWYLGVLRDCLCLVFGHDVWIMKEHGNNDSWAKLYSVSFMRDFPSSFATIEVLHIFKDGCLLLDCIYEGERTRKLVFHNSRNGTFKFSESKIMRDVCVESLISPCS >RHN62370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42983431:42984521:1 gene:gene24938 transcript:rna24938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MNLFSVLDLKYNFYLGELYSAKGLQRKMKALILAGTDTTAATLTWSVSLLLNNPEVLNKAIQELDTQIGMENMAIESDFAKFEYLKAIIKETLRLYPAAPLDVPHESIEDCTVGGYHVPAGTRLITNLSKLQRDPMLYSDPHEFRPERFLTTNKDVDVKGQHFELIPFGAGRRICPGISFSLQLMQITLATLLHGFDIVTKDGGPVDMVEQSGLTTIKASPLEVILTPRLSTEAFSQN >RHN70948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55333804:55335999:1 gene:gene19584 transcript:rna19584 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSVAPATTSTPNNRRNRSVRPCLRNPNQQLKNTNLHTTTNKNGDEPRGYGAIDHWRRSTQQTTHLRRRGLKKESLDSTGRKGGVVEKRRKHHLRPDLHLG >RHN65445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2310690:2312483:1 gene:gene13284 transcript:rna13284 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGHYQDPGASTSHDQTNNQESHTPPANNQSRTNQESGEQDSGESNRNSEGNFFMYEEEVVDEGIKQCRNSILGKLLTTKQIPKQVLYNSLMGIWCSIQELEFQKARLWIQLLGHPLHCKTVAMGLQLGAQLGTVEEAAIYDYPNNAKIIKIKVQFDINNPIRAGMYIGNENDGINWVDLGMKISLYFVSTMG >RHN55131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15431435:15433719:1 gene:gene30264 transcript:rna30264 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPTYFGSFILCMQVNMKGYSDPCKLLSHIIIISSLLFVFFFHKKESSYQLAELLEITKGSYKKGQKKFSKGFYN >RHN68316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34516884:34517576:1 gene:gene16652 transcript:rna16652 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTMSQTTSRFSISASIEDLMIQRMLMGASISLPSPRWFNKIINNNFIISSSVISLIKYGRRYLY >RHN61754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38058971:38065512:-1 gene:gene24247 transcript:rna24247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MREICQHFLRGRCRFGTTCRNIHQQQQRTSNNNGFGGGGGGGQQNTNPFGFGSTSTSASNNHQPKTNPFGFGSQNNGAPRSDFKPNQSQPLENNKWSRSSSKPQNGTPRQSDNNSQTVNHKCTDPEACKRQIVEDFQQEKPLWILTCYGHCKGAPCDIVGDISYEELRAAAYEDNKKGMSLPQIVEKEKNILQSKLVEFNKLLSEPYKMPLTSSLNIQNFQSNGANVNAFQPATQNFQSNGANANAFQPATQNNGPLSVSSFSQLGASLNPGFGRPSAPLMSTPVQPSSIGGGGNFFTPNSGNLFGSGISGGQVNPFPTPVGLTGFPGSTSQFQQPPFGFNNTSSTTMFQTAPDVQLNTSQVENVSVDDSIWLKEKWNPGEIPEQAPPDRFVW >RHN42079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35252922:35260420:-1 gene:gene48470 transcript:rna48470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MESVTHSRHHQPNKVSLALPKKLTSNGSLYDDVYGGPPKFTGSSISPRFEDYGEIFASFHAGRSSSIPVLDLPAVDAGEILFDFRRNAFDYAEVFGGSGGLDFWSSHEDLFREGDSDEEDDEVWSPEETDSFSGDLGNFGYNQGVFQSVDGNTEFNISYNKVNGTSNEDTSKGKAHATQLHAVPGVTRVVDETMVFCRSDPSLQVVDDIDLDMEFNAGRGKRNHHEKMTSRSCNVTSGEQIHCCDLDLDDGCNRNDSQSSEMFITVSDVSLRTIPSQVPPPCRPPPLLDPTKGYTSESHSNNERIDSEDTLGDGSPPFFDVEVDINSSAYDVKEATDRQEAKARRAKDLKGRKKRGSESNIKSSFDVKSNEAKMSENIARFNSLNDERVPATCDRRSGKMKNSATDERQKARKAAPVIPEPLEKGRHLNMFEEKHMKESRSSQESDQSIGVGTWKEATDFFELVGTEESQKVIHPINHTKSLVQDARTFEHWRKEGEASNVQEECKKVKAHLESYQPDEYKKKSKAARGDYEQGKTIRRSKSSNVECRSREPARNDEFTEVFELEKSEKIRMTHQRGKTEKKATKANQVEGEISKEVDGQEQSEVLFSLKLEENEKKLKQNGEQQLGVKKHKQSQIMKESENIQREAFAPEAAESKERAQDSEELEKVKGRSNEAIKLDKPEENLTCKRENEIISKQQIQNRSGLKEACESEENEKSHKGSYRNKKSGEGPKHAHGQVENEKRLREEDFEPGLNKPKTKEAFDGGENEACVKDQSKGKFRMVSDEYGKGNRLEELERRSGNEAQMKQETESFSNQTSDGDGTLGISNENGHCGQSEKTPKVAISSEKDEGFDITLEQMEVNREGTSMKFAKETNGTWKALHGENLMAAHSSTIHEENIRKPGVSLEPVADHKIGEARSDCKTGGRKLEEVGVEKLRASGKVTLFEMSQGKEAGSGTPSGNVDCSVRNTDELCFSSEQACSEKAKAVPQMEFDSRSQERKIGHELGESRTIQHHVNVALNQEGSRNLKSSSQVNTCDDYIKNTTVGEPTAVQEEVNVHNTSQRSCAAHSTKSKEKCVSKTPASVDKDDEKQKIERELEKERLRKIEELERERERQKDRMAVDRAMLEAEREREREKDRAAVDRATFEARDRAYAEGRERAERAAFDRATAEARQRALAEARERLEKACAEARDKSYTDKATAEARLKAERAAVERATAEARERAMEKVKVERAAFGSRERLERSVSDKFGISSRNDGRQGSSSDMLDSQFRNFSSATGSRYPYSVNGASSFSGRSEEGESAQRCRARLERCRRTADRAAKALEEKNMRDLQAQKEQAERTKLAETLDTEVRRWSSGKEGNLRALLSTLQYILGPDSGWQPIPLTDVITSAAAKKAYRKATLCVHPDKLQQRGASIQHKYICEKVFDLLKDAWSKFNSEER >RHN45094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11713268:11715584:1 gene:gene39297 transcript:rna39297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerol-3-phosphate dehydrogenase (NAD(+)) MVKYIVKDANMLVFVTPHQFMEGICKRLDGKIRTDAEGISLVKGMEVKKEGASMISTLISNQLKINCSVLMGANIANEIAMQKFSEATIGYRENKEAAERWVQLFNTPYFNVTSDVEGVEMCGTLKNIVAIAAGFIDGLEMGNNTKSAIMRIGLKEMMAFSKLLFPSVKYSTFFESCGVADLITTCMGGRNRKVAAAYARNGGKRSFDELEAELLKGQKLQGVLTAKEVYEVLTARGWVERFPLFTTVYEISSGLLPPSAIVEFNNKSKL >RHN41421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29890315:29894013:-1 gene:gene47739 transcript:rna47739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MDKFFLVSLLGLLIVANGVSGSMMCDNNFGMLEELNNLDTEEDYEVEELSDIPSWTSERGGKVLVNIDSFGAAGNGETDDTEALQKAWGVACSTPKSVLLIPKGRRYLVNATKFIGPCKDNLIIQIDGTLVAPEDPKNWDPKLPRVWLDFSKLKKAVFQGSGVIDGSGSKWWAASCKKNKTNPCKGAPTALTIDTSSSIKVKGLTIQNSQQMHFTISRCDSVRILGVKVASPGDSPNTDGIHISESTNVIVQDCKIGTGDDCISIVNASSNIKMKRIFCGPGHGISIGSLGKDNSTGVVTKVILDTAFLKGTTNGLRIKTWQGGAGYVKGVRFQNVRVENVSNPILIDQFYCDSPTSCQNQSSALEISEIMYQNVSGTTNSAKAIKFDCSDTVPCSNLVLSNVDLEKQDGTVETYCHSAQGFGYGVVHPSAECLNSSDKTSQDDESQSIISEDDYTRHTEL >RHN38610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:700239:702502:1 gene:gene44567 transcript:rna44567 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATSSTPLPETEPQIEDIIIDIPKEIKDAKHGICCIYKVPPNLRKLNNGEAYTPYLISIGPFHHTKENSMHTQKQRYFHYFWERMTNKKALVKYKNFLKVKIEVIKNFYFEFDHTIKDDEFVDMIMLDSVFILELFLRKSKESEREKDYMFTTSWIYKGIQRDLLLLENQLPFYVLEQLYQKVCKDNNDLSFLELAFNYFEDYNPQRSKKDQNEEMIKYCKKSCRHFTDLVRCFYLPKEVYADDWSPSLHFKHINSEDKCVLKTASRLNEAGVSFEKVHHKKLLEIKFNKVQVLNWFLCLGCLPCFKFVKTKLQIPQFKVHQTTECVLRNLIALEQCHYSNQPFICNYVSLIDFLINTQEDVELLVDKEIIVHELGSHAELATMINGLCKNVVVTCNYYGKTSKNLNDHYYNYWKHYMGMLRSVYFRDPWRFSSTIVGVFIFLFAIAQFLRVTGIYHPRYY >RHN45486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21349405:21349599:-1 gene:gene39831 transcript:rna39831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin repeat-like-containing domain-containing protein MSNMGKKSFAIEAYKHRVVMDADYADKTWNILEHAIHDLYNHNVRNISFAELYRFFLMILIICS >RHN38926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2854959:2856610:1 gene:gene44903 transcript:rna44903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MSVLNLNSNVVVVEKANNVRRETCSYVLESNIIGREDDKNKIISLLRQSHENQQVSVVAIVGIGGLGKTALAQLVYNDEEVQNLFEKRMWVCVSDNFDVKTILKNIMESLTNRKFDDTLSLQNLQNMLRDNLTGKKYLLVLDDIWNKSNEKWDQLTTYLMRDAQGSKIVVTTRSESVAQTMGVSSPYVLNGLTPQESWRLLKTVITYGNEKQGVSRTLESIGEKIAEKCKGVPLAIRSLGGILQSKREEREWIEVLQGDFWKLCEDKDSILPVLRLSYYNLSPQQRQCFAYCSLFPQDWKFMKDELIQMWMAQGYLDGSVEKQCMKDVGNQFVNIFLKNSFFQDATMNYHGDIDGFKMHDLMHDLATQVAGNDCCYLDSKAKRCLGRPVHVSLKWDAFCMLESLDSSRLRTLIMLDSNSEKVDEEELSVISNFKYLRVLRLVTSRHHSKLVGSIQKLKHLRHLNLSKRDGLKSHPKFISNLVCLQTINLLMNDDDKIEHTTTQGFDFVQLAFSTHKYN >RHN78030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11389515:11400326:-1 gene:gene1544 transcript:rna1544 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLHSTDHTSHQFRRDSMVDHLKESNCTRGGTKLLFMKLLHSTDHTSHQFRRDSMVDHLKESNCTRGGTKLFNHGGAGVVDGGEVDCGDFRLGEI >RHN50068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3798177:3801051:1 gene:gene34318 transcript:rna34318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MCSSSRSQSENGDIIDCVDIYKQPAFDHPVLKNHTIQKIPTFFKKSQHSSIKYTSKNNFKLSQTWHKSGRCPKGTVPIRRIQKQDLLRAATLDRFGLKQSSSFVNSKNTTISNFSKLSGSSNVVSEDHSGVHLATSGSNFIGAEADINVWNPKVDLPDDSTTAQIWLKAGNGNEFESIEAGWMVNPGLYGNHDTRLFSYWTTDSYKSTGCFDLTCSGFVQTSNTVALGGGINPISSDSGTQYELNIGIYLDEVGHWWLKENHDIPIGYWPVELFTSLKHSATLVQWGGQVFSSQVKKSPHTKTQMGSGHLADEKYGHACYMRNIRIKDNSLMLKYPESINVASQEPNCYSAFNDEDVQEPTFYFGGPGQSSPSCP >RHN69037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40504049:40504786:-1 gene:gene17463 transcript:rna17463 gene_biotype:protein_coding transcript_biotype:protein_coding MWWENNWWLRNIRWCYRYNWWFRNIGWSHNRWFRDIWWWFHNWGFWYVRWMYYWRFNNWWFWNIRWMWFWNMWWVYCWGFGMMRWFWC >RHN81093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43255429:43257574:1 gene:gene5090 transcript:rna5090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MAFKHRSIAYLLSILIFVSLHVANGIPPETICGSTVNPTYCKNILANQNGNIYDYGRISIRKSLSQSRKFMNSIDSHLQGGSSLSQSTIRALEDCRFLAELSFEYLSNTYTTTNQSSNVLPTSQAEDFETFLSAVLTNQQTCLEGLNTIASDQRVKNDLLSSLSDDMKLHSVTLALFKKGWVPKNKIRTSWPQNGKHLNFKNGRLPLKMSNKARAIYDSARRNGRKLLQTNTNEDSVVVSDVVVVSQDGSGNFTAINDAVAAAPNNTVASDGYFFIFITKGVYQEYVSIPKNKKYLMMVGEGINQTVITGDHNVVDGFTTFNSATFAVVGQGFVAVNITFRNTAGPSKHQAVALRSGADMSTFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNGAVVLQNCNIYPRLPLSGQFNSITAQGRTDPNQNTGTSIQNATIKAADDLAPKVGTVQTYLGRPWKEYSRTVFMQSFMDSFINPAGWHEWNGDFALNTLYYAEYSNRGAGSSTVNRVTWPGYHVIGATDAANFTVSNFLSGDDWIPQTGVPYSSGLI >RHN56551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31839774:31841072:1 gene:gene31967 transcript:rna31967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exodeoxyribonuclease III MKLLSWNVRGLGGYEKRKDVRALVRDKQPLILCLQETKLQMCDVNVCSSVWDRQSTEFSFRPSQGASGGLLTVWDASEVEVWSSGCFDHVLSIHGRFISSDEEFHLFNVYAPCDGGARQILWVALTTRLQALRGKKVCVCGDFNAVRSREERRSATVYGGVSEYGPFNQFIEENGLLDLPLCGRNFTWFKGDRRSMSRLDRFLVSEEWSLVWPNCIQVAHLRGLSDHCLLILSVDEENWGPRPSRFLKCWSDTPCYKQFVTDKWKALQVEGWGGYVLKEKFKLIKLALKEWHISHSQNVAVKIDSLKVRLAELDGKGEVEELSDAECVELHGVSENIHLLSRLHNSICWQQSRNQWLREGDANSKFFHSIMSSRHRHNSISSILVDGTLVEGIDPVRKAVFTHFATHFQS >RHN56453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30987132:30989285:-1 gene:gene31858 transcript:rna31858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVRGGNHGVNGVKIFGNENINVNDPLMDRFLLGQMIHYPNHQQNNASMFPYSYGSNHEFMWPNYTQQSSFVVDGVLANEEALKWTNFNQTPTLCLKDLQGYGENTNIVGRKTKKETSEILIKGQWTNEEDRKLIKLVKQYGERKWAQIAEKLEGRVGKQCRERWHNHLRPDIKKDSWSEEEEKILVATHAKIGNRWAEIAKKIPGRTENAIKNHWNATKRRQNSRRKNKKNETNFKGSKPKSYILENYIKTNTFITTKITNIPSSSHATLSLNLEENQSNTLFNELPYDSFSNELHFIQQILMDDNNNQNVVDDVNESELVHSIQYPNYNMHLDTPTSMNNFLPHDLYLSQLLNM >RHN62711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45320915:45329977:-1 gene:gene25312 transcript:rna25312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amidase MSQSLKLIKDHTSNPKLWLIVGIGLAGGIVVLSETRRRRRCRNAPKPDFGAFIERFELLPFPQSPPPAAKQLLSSLTFAISDIFDVKGYVTGFGNPLWKRTHEEAEKTAMVVTALLFNGATCVGKTVMDEFSFGFSGENKYYGTPINPQMPSCVPGGSSSGSAVAVAAGLVDFAIGTDTTGCVRIPASLCGIFGFRPSHGAISTIGVLPNAQSLETIGWFARDPSVLHRVGLALLQLNSVDTKKSRCIIFADDLFQSSKDATQKIIYVIGKAIENMSGYQSPKHMDLCEYIVKKVPSLRLHEQSAHQQNGTSILKTLSSVMLSIQRYEFKSNYEEWVKSVKRRLSRDVPKHVYEAITTTHDNIKALYKVRHEMHAAFQSLLKDGGILVIPSVADSPLKLNAKKGFCSEFHDRSFALSSIATMSGCCQVTIPLGYHDGCCISVSFISNHGGDKFLLDTILDMYTNLQEQASSGFNSMPLPNINGNTEPSELLKEKGNAAFKEQQWDKAVTHYTGAIELNGTNATYYCNRAAACLKLGCFQLAEEDCSKAILLDKKNVKAYLRRGTARESLLRYKEALEDFKHALVLEPQNKYASLAEQRVRKLTS >RHN62291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42364714:42366258:1 gene:gene24849 transcript:rna24849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAD-superfamily hydrolase, subfamily IIA, hypothetical 3 MILGLHHWEDLVFISLGVGLDLRVVENVEEAEFVLAHGTEALGGANGNARSMKLEDLEQILELCAAKRIPMVVANPDYFHLIPNCVCTSNLSGTLAAKYEKLGGEVKWMGKPDEIIYKSAIAMAGADVSDCIAVGDSLHHDIKDANAAGIQSILTTGGIHAAELGLHGFGEVAEPSSVQSLVTKYNAYPSYVCCPHSVAEPGNLWSLGEKLIVNSHVT >RHN56713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33082062:33083746:1 gene:gene32151 transcript:rna32151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MASNSAYSSSSRDIANGFQLEVVSATNEKKVTSFIHDDIAFSILSKLPIKSLKRFECVRKSWSHLTEDEDSPFMTMYRNNLFLSQPYDGDTSLLVNMCPKVERFHSLSGERFANRLSLINPIQSDQVLQIIGFGSVNGILCLQYGETRISLWNPTTNEFKVIPPAGTRLPHIVHTFKPVDPFYIQTTIHGFGYDSVADDYKLICLQSFESYYFYNDKQRMKQSLLLQHKSLQPFWMIYSLTSNSWKKLYVNMPRSSPTFQLEYYHGNHRLYMDGVCHWLSLPTSGACMVSFDLNNETFFVTPIPSYILRVRRRAWQQLMVVNHSIALVSLPYHNTQTFHISILGEVGVKESWIKLFTVENPCTLVEYPIGLGMNGEIVFANEDNKLLLFDLNTKKIAELGLNREDKMGFHQVNFYKKSLLPIKIINN >RHN53728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3600435:3602706:-1 gene:gene28667 transcript:rna28667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentapeptide MALNSFTPLSINSHHVSCYPSSSKVSKSSQVICKMSLNNDHPQESNKNWGKLVSATLAAAVIVFSSDMSALADLNKFEAEVRGEFGIGSAAQFGSADLKKTVHVNENFRRANFTSADMRESDFSGSTFNGAYMEKAVAFKANFTGADLSDTLMDRMVLNEANLTNAILSRTVLTRSDLGGAIIEGADFSDAVLDLPQKLALCKYASGTNPVTGVSTRVSLGCGNKRRNAYGTPSSPLLSAPPQKLLDRDGFCDEASGLCDSK >RHN78151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12331294:12334457:-1 gene:gene1674 transcript:rna1674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 3-dioxygenase MGDIDSSFIQATEHRPKLSTFVQVDEIPIIDLSESSQQNLISKIGKACEEWGFFQVINHGVPSDVSTKVEIEAKKFFEQSIEEKKKVKRDAVNAMGYHDAEHTKNIRDWKEVFDFLVENSPQIPSSHEPDDLELRTLTNQWPQYPPHFRETMQEYAREVEKLAYKLLELILLSLGIGGEKFHDCFKNQLSLVRLNHYPPCPSPDLALGVGRHKDSSALTVLAQDDIGGLQVRRKSVGDWIPVKPTPGAFIINVGDIVQVWSNDKYESVEHRVVVNTKKERFSIPFFFYPGHHVTVKPAEELVNEKNPARYKPYNVGKFYANRNRSDFNKREVENIQIHHFKIVD >RHN76073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47487349:47490983:-1 gene:gene12349 transcript:rna12349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MEKQSEDRQPNNSERNAKWWYSTFHNVTAMVGAGVLGLPFSMAALGWGPGLIILILSWIITLYTLWQMVEMHEMVPGKRLDTYNELGQHAFGEKLGLWIVMPQQLVVQVGVDIVYMVTGGASLQKFHNTVCPSCKPIKLSFFIMIFASAQFVLCHLPNLNSLSSVSFVAAVMSFCYSAIAWVGSVHKGVQANVQYTSKATTSAGSVFNFFNALGSVAFAYAGHNVVLEIQSTIPSTPEKPSKGPMWKGVIFAYMVVALCYFPVAIIGYYVFGNEVKDNILMSLEKPAWLIAMANFFVVLHVIGSYQIYAMPVFDFIENKVLVEKLNFKSSKILRFVVRNVYVALTMFLAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLKLYNPKRFSLSWWINWICIVLGVCIMILAPIGALRSIILEAKSYQFYS >RHN75413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42103983:42106729:-1 gene:gene11614 transcript:rna11614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arogenate dehydratase MNTLSPPPSSNTLNFNHITITRPWKFPNRLGPNRVSVKCAYGSSYDTGSTRTDWQSSCAILSSKVVSQEQSSTSTAVNAGADENFAAINGHKTNITDLQLVPIGNLTGEISKPLPPKPLTISDLAPAPMHGSNLRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGIRKEYLTRVISHPQALSQCEHTLTKLGLNVAREAVDDTAGAAEFVATNNLRDTAAIASARAAELYGLNILADGIQDDPSNVTRFVMLAREPIIPRTDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRLVDDANVGTAKHFEYLFYVDFEASMAEVRAQNALAEVQEFTSFLRVLGSYPMDMTPWTTP >RHN43184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43844535:43849129:-1 gene:gene49722 transcript:rna49722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-II family MLPPNSSRAPFKILMRWFIFLVVLKLSSAIKDPDIEGEALLDLLNYLNDSNNQIQDWDSHLVSPCFSWSHVTCRNGHVISLTLASIGFSGTLSPSITRLKYLVNLELQNNNLSGPIPDYISNLTDLQYLNLANNNFNGSIPVSWGQLSSLKNVDLSSNGLTGTIPTQLFSVPMFNFSDTPLDCGSSFDQPCVSKSDHPASTNKSKLAKAMPYASCGAFVLLCLGAIFTYRHHQKIRHKSDVFVDVLGEDESKISFGQLRRFSLRELQLATKSFSESNVIGQGGFGKVYKGVLSDNTKIAVKRLTDYHNPGGEAAFEREVDLISVAVHRNLLRLIGFCTTSTERILVYPFMENLSVAYQLRDLKSDEKGLDWPTRKRVAFGTAHGLEYLHEQCNPKIIHRDLKAANILLDDEFEPVLGDFGLAKLVDARMTHVTTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELITGQRAIDLSRLEEEEDVLLIDHVKNLIRENRLEDIVDNNLETYDPKEAETILQVALLCTQGYPEDRPTMSEVVKMLQGVGLADRWADWKQLEEARNQEIELSLMTHQFPWSDESTLDQEAIQLSRAR >RHN62909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46721701:46725861:1 gene:gene25545 transcript:rna25545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 23S rRNA (adenine(1618)-N(6))-methyltransferase MGGKNKKRKREDRPTIHPNNKYSENPPDFAHLASLYPSFQPFVQYSHTGYPTIDWTDFNATRELTRVLLHHDHSLNWWIPDGQLCPTVPNRSNYIHWLQDLLLSNIIPNTISSGIKVRGFDIGTGANCIYPLLGASLLGWSFVGSDVTDVAIECAESNVNSNPHISELIEIRKVQSNSTTSCDKIDLCDTEVEPLPILPLDLNLRENKNYHGPPILVGVVKDDEKFDFCMCNPPFFESLEEGGLNPKTSCGGTSEEMVCPGGEKAFITRIIEDSTQLKQHFRWFTSMIGRKSNLKYLRSKLWEVGVTVVKTTEFVQGRTSRWGLAWSFLPLVQKKSSISLPNKNAMSFMLEGLQRQHSAINVLEGVKSYFSLHGLSCTMNTSSFTVDVMATKDDCDSILNELPIINESIDYQPTEKTSNGPSCDRSGLRISVFQQIPGILLVKGSVQDRNSPLSGAFSAIFQKLEEALRNKFCTRGV >RHN55136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15457296:15458666:1 gene:gene30270 transcript:rna30270 gene_biotype:protein_coding transcript_biotype:protein_coding MYATRLLSMYKMNPSALSDPPPSGPNSSYLVILDEEAQTYCCFGLCKDHRIKNFPIPQNKEVTINYTSCIENMITREINTYSEEAMFIPVLNQPLSSNRYYVIRREGKYQGQASTSSKEEDKTTCLCCSFVRDVKPKALEPFNDYQQVEIIKKGNGFRAKSVASDGIPPGLLRKKSWKLNASTPRNYHFSEALGSNDSLRFKLPNFNFPIFNDCSESVVVGKWYCPFMFVKEGMNLKEHMKMSTFYELTLEQRWEKIFSKENSGEGDVLVDVVIQTEIAKVEGKDAVWDENRLVDGVLWFKSVEEKSVGLSLEVVEAMKWEQRRFGWNAGNERQVRVTKVEEFDGANKWKKFSSYVLVESYSLRRMDSKLVLTYDYRHSHQIRSKWE >RHN79706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31382102:31383203:1 gene:gene3531 transcript:rna3531 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSMTFLSFSFIFLCYLSTFDSVNSHQFGRHKYTETNRVRMDFSVLRKTIESVELVDGHAHNLVAVDSNFSLIHAFSLAHGDAVASTQYSLSFKRNLRDVAELYGCEPTLEAVEEYRRISGLESVSSTCFKAARISTVIFDDGIHLDKILDTEWHRSFTPHVARLVRVERLAENILNEGLPNGTSWTLDSFTKAFLSKLESYPS >RHN44880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9378093:9379486:1 gene:gene39047 transcript:rna39047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L15e MGAYKYVSELWRKKQSDVMRLMQRVRCWEYRQQSSIVRLTRPTRPDMARRLGYKAKQVLILYVMNMAVNQKSGNLTKQENHEKQGF >RHN69987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47781244:47787220:1 gene:gene18523 transcript:rna18523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan 1,3-alpha-glucosidase MTNQTLRFTLLLLLCTTVFSWKKDEFRNCNQTPFCKRARSRSPGSSDLIATHVTISDGDLTANLIPKSQPDSSKPLLLTLSVHQDGILRLIIDENEHSSSKKRFHVPDVVVSQFANTKLWLPRINSEDLNGPSSSVYLSDGYSAVIRHDPFELFIRDDNSGDRVISINSHGLFDFEQLREKNEDENWEESFRTHTDKRPYGPQSISFDVSFYDADFVYGIPERATSLALKPTRGPNVEESEPYRLFNLDVFEYIHDSPFGLYGSIPFMLSHGKGRGTNGFFWLNAAEMQIDVLASGWDAESGISLPTSQNRIDTMWMSEAGVVDAFFFVGPRPKDVLRQYAAVTGGSALPQMFAVAYHQCRWNYRDEEDVKNVDAKFDEYDIPYDVLWLDIEHTDGKRYFTWDRVLFPNPEEMQKKLDGKGRRMVTIVDPHIKRDENFHLHKEASEKGYYTKDSSGNDFDGWCWPGSSSYPDTLNPEIRSWWADKFSYQSYVGSTPSLYIWNDMNEPSVFNGPEVTMPRDALHYGGVEHRELHNAYGYYFHMATSEGLLKRGEGKDRPFVLSRALFAGSQRYGAIWTGDNSADWDHLRVSVPMVLTLGLTGMSFSGADVGGFFGNPDPELLVRWYQLGAYYPFFRAHAHHDTKRREPWLFGERKTELIRDAIHVRYALLPYYYTLFREANTTGVPVARPLWMEFPSDEATFSNDEAFMVGSSILVQGIYTERAKHASVYLPGKQSWYDLRTGTVYKGGVTHKLDVTEESIPAFQRAGTILTRKDRFRRSSSQMTNDPFTLVVALNSSQAAEGELYIDDGSSFGFLEGAFIHRRFIFANGKLSSVDLAPTSGGNVRHTSDVVIERIIVLGHAHGSKNALIETSNQKVDIELGPLWVQRAHSPAFMTIRKPNVRVAEDWTIKIL >RHN81178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43862566:43863380:1 gene:gene5184 transcript:rna5184 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVYKIDFSTMNYVKLEALGNIALFYAGKRNFYALSNPNRWGYESNSVYVINLSTYTQCSVYSGDGKKLQKCITLPAPHGTFSSMLD >RHN56692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32924930:32931426:-1 gene:gene32130 transcript:rna32130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MLSGDIPPNQTIYIKNLNEKIKKDELKRSLYCLFSQYGRILDIIALKTPKLRGQAWVCFSEVTAASNAVRQMQNFPFYEKPMRIQYAKTKSDCIAKEEGSFVPREKKKKQEEKAEKKKYADESKQSAVPNGGTHGASNGGSTQASFRPGSGAQEAAAPNNILFIENLPYETTGRMLEMLFEQYPGFKEVRLIEAKPGIAFVDFEDDGQSSMAMQALQGFKITPQNPMIINFAKK >RHN39942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11856316:11863231:1 gene:gene46026 transcript:rna46026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyclic terpene utilization MEVNAMEAHDGEEIHNCLIELRSNPKRRRDKVYIGCGAGFGGDRPLAALKLLQRVKELNYLVLECLAERTLAERYQIMLSGGDGYDSQISSWMNMLLPLALERGTCIITNMGAMDPLGAQQKVLEIATTLGLDVSVAVSHEVSVTNLGSGFSPTHKYIMEGGISTYLGASPIVHCLEKYQPNVIITSRIADAALFLAPMVYELGWNWDELEHLAQGSLAGHLLECGCQLTGGYFMHPGEKYRDMSFQQLLDLSLPYAEISFDGQVSVAKAEGSGGVLDFNTCAEQLLYEIGDPSAYVTPDVVIDFQDVSFLPLSSCRVLCSGAKPSTISVPDKLLQLVPKDCGWKGWGEISYGGYKCVERAKAAEYLVRSWMEEIFPGLHDHIVSYIIGYDSLKAASSNGNASRQTTTEDIRLRMDGLFEQRDHAVQFTREFTALYTNGPAGGGGISTGYKKEILLEKHLVRREDVFWRIGLKRNTKSQSNKVLDHEYNLKNISTLQPKSQAETDESSSEFVSPGRSYTPAPLGQKIPLYNVAHSRAGDKGNDINFSLIPHFPPDIKRLKQIITSQWVKSVVSPLLDLSPSLDLDARNQRDKWISENVKVEIYEVKGIQSLNIVVRNVLDGGVNCSRRIDRHGKTISDLILCQQVELPP >RHN60104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20307839:20308561:-1 gene:gene22326 transcript:rna22326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MGISMAAETQFHVLAVDDSIIDRMLIERLLKTSSFHVTTMDSASKALKFLGLVEDVASEVHGDVDVNLIIADYCMPGMTGYDLLRKIKVRI >RHN75418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42143398:42145010:1 gene:gene11623 transcript:rna11623 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSNIISCFSENAVNVSHSSSCSSYSNKSCISQNLTPSTQNSVTSIYKTILSNKKQILITVTWCKSYSNQGLTIIFNEEDPLAARTFRLNTNSRFFRKKKGSKLVEVEHFHDSKVEVFWDLSNARYETGSEPVDGFYVAILVDSEIGLILGDINEENLPKKLKKRTLMDKVSLFSRSEYFSGNTLYYTTKAKFCESGIMHDILIKCSGENEGFKSPVLNVCIDKKIVICVKRLKWNFRGNQTIFVDGLLVDLLWDVHSWFFKPVSGFAVFMFRTRSGLDSRLWLEENLVVKDKDRVEFSLLIYACKTT >RHN49196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53212143:53214084:1 gene:gene44002 transcript:rna44002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative drought induced 19 type, zinc-binding protein MDSDSSSSHWNTPLSSYSSVTSSKSYLSALQSRSDMFMAGTFDENDVIVVDDNNNDDLKEEFLCPFCSEYFDIVGLCCHIDQDHPLEAKNGVCPVCSSRMGLDMVAHIALQHGSILKISFSLLVNICFCQLLHGLVYYLVFQVNPGSLF >RHN70914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55000066:55000500:-1 gene:gene19548 transcript:rna19548 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRNNKIRNYDPINDELLDDHHDNWVLEDSPPFLTVEELESLRNDLANMTIQPISNDIDGLNLDEDDDYGNDAPDTNAENMDQSNVFDEAAGEDVEFLDELQIQSILTPWN >RHN64726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61315529:61319831:-1 gene:gene27575 transcript:rna27575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VI family MRYIRTGSFKRLFSFTKRGLGEPVLSPKGEENEKSLKILPYEEETCQKPTWKCFSYEELFDATNGFNSENMVGKGGYAEVYKGTLKNGEEIAVKRLTRASKDERKEKEFLTEIGTIGHVRHSNVLSLLGCCIDNGLYFVFELSTTGSVSSILHDEQLAPLDWKTRHKIVVGTARGLHYLHKGCKRRIIHRDIKASNILLTKDFEPQISDFGLAKWLPSQWTHHSIAPIEGTFGHLAPEYYLHGVVDEKTDVFAFGVFLLEVISGRKPVDVSHQSLHSWAKPILNKGDIEELVDARLEGEYDVTQLKRLAFAASLCIRASSTWRPSMTEVLEIMEEGEMDKEKWKMPEEEEEQEEEFWGFEDLEYEYDSSFSMSLIDSIESN >RHN81256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44380252:44381080:-1 gene:gene5272 transcript:rna5272 gene_biotype:protein_coding transcript_biotype:protein_coding MENIMSGQEIDFDDLSLQEKKRFHRAIASGELSKMVSPWDPWWSKHSARKIRLSKEGTQLLQPLSEQEPVDEIGSNEFSEIPLGPEVPLPPLMDILCSYCFTRCLCNGDWRSDPIGQRLYWKLSLISWRRYALHLTVHMGGLQFGLGVIDDVSSLPSLGNPAMVCALCDMRRLIHEGEKEVKSENPRKEDIFHHVLGS >RHN40079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13053488:13054189:1 gene:gene46175 transcript:rna46175 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDSYGVLQQRVLQKLNSHMFDLEAKFSLEKDELKNKWTCKMSEMKKQLTSKLEDCESRNSELENKLRQYEAEKMKNEELNATQQMALEDTICYLREELGQRKHEIEASKKGFDMLKIERDEGHVKIAELQAEIWSHEDQISNMNRYIRELKVGLKELEINKTTLNQVIHKLTVRVGELSKEVTRQNGVISDRAEEKREAIRQLSFSVDHYRSLYLELQEFIGYWCRGGTLL >RHN43647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47473172:47473489:1 gene:gene50256 transcript:rna50256 gene_biotype:protein_coding transcript_biotype:protein_coding MWLERNNVIFNRKVANYLEVVDHIKSLSWSWFMCREGGIEHKLSMVRLVVESTCLYPWYMIVDCF >RHN52829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39274241:39275655:1 gene:gene37547 transcript:rna37547 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIYDSIGLTNRFGLCFVASSECEDDENNHISQNYQIGEVPKEEGCDKSKSEVSDDDEDHDDRDDDDDDDGDDVDDDEDHDDNNDINDDVGDEEDDDDD >RHN77647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8419626:8421865:1 gene:gene1121 transcript:rna1121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamyl-alcohol dehydrogenase MAKTTPNHTQTVSGWAAHDSSGKITPYTFKRRENGGTDVTIKILYCGICHTDVHHAKDDWGITMYPVVPGHEITGVITKVGSDVEGFKDGDKVGVGCLAASCLDCEYCKTDQENYCEKLQFVYNGIFWDGSITYGGYSQMLVVDYRYVVHIPESLPMDAAAPLLCAGITVFSPLKDHGLVSTAGKRIGVVGLGGLGHMAVKFGKAFGHHVTVISTSPSKQAEAKERLGADDFIISTNPDQLQAAKRSLDFILDTVSADHALLPILELLKVNGTLFIVGAPDKPLQLPAFPLIFGKRSIKGGIIGGIKETQEMLDVCGKHNITCDIELIKADTINEAFQRLLKNDVRYRFVIDIANASPAT >RHN72756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10462699:10466978:-1 gene:gene8509 transcript:rna8509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative QWRF family protein MQQTNTVNVSDNPTPPPPPPPPPTNNRRPRTREVSSRFMTPSASTTHRRQQRDDSDDENSESSFPIGYSFQKKQLQQRAIKLFKESTNNRVFENTPNPNPNFHSHSHHPPKSTTSRIERINSTSTPCASRPDTPTISVSSRYRHTPNHHQQHHRSISNSRSSAATKLVQSSVNSLSRGNSISLNSHSHDDIGISCSTQSLPELRSESDSDREVLIQQQSNVNNGSVAEKIGNNNLSRSVSLSSSGIDHLLVRGSERQHASVTKPPVAPQFAKPVVDTRKGKKGSSHQEDVHSLRMFYNRYLQWRFANARAVNAMKVQQKECEKALFSRAMKISEMRDSVHRKRLELELLRRSKTLSIVLEAQIPYLDEWSAMEEDYSVSINEAIQALLNASVRLPTGGNIRVDVREVGESLNSALKVMETIISNTQRLMPKAEETDTSISELARVVGGERALIEECGGFLSKTHKSQVEECSLRAQLIQLHSICHKNKNNEITSN >RHN65683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4354614:4354886:1 gene:gene13555 transcript:rna13555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEAAEQFAYIGLSSNLVLYLTKALGESLTEAAKNKNTWSGVSAIFPLLGGFIADSYLGRFKTIIISAIIYLLVISFHSSSIFFFVMNFCN >RHN76128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47890328:47896320:1 gene:gene12409 transcript:rna12409 gene_biotype:protein_coding transcript_biotype:protein_coding MADDNNMNHDVLSLSNNNHTMVPTDEDFITELTHQMSQFMLQEEDIDDSFDFSSIASQSHNSELSWDLISSPDSTLWSPMSCNQGSSEGSSLDSSPPATPCWKTKTYANVVKGIQNNTMKRKETGTSKQNIHGSDIQSFKSCNVDRFSSHKALIEEQIKAIELRKKHYISDIKQHALSPKQNEAKEKEIQKQGNGGNCTRLPRLASVQTGGGGMMQAVFLGGSGSRNGTGVFLPRAGTVVAPSESTKKKGKGCSTVLIPARVVQALQLHFDKTAPKVVGVPPLRDLVLNHREGMFSLENHQSTKAATEVQNDMILPQEWTY >RHN77127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4288006:4294219:1 gene:gene551 transcript:rna551 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ZIP5 MSTLFDEFMTNSSCESGESDLCRDESAALILKFVAMASILVAGFSGIAVPLLGNRRGLLRSDGEILPAAKAFAAGVILATGFVHMLQDAWKALNHSCLKSYSHVWSEFPFTGFFAMMSALLTLLVDFVATQYYESQHQKTHDRHGRVVGNGEGLEEELLGSGIVEVQGETFGGGMHIVGMHAHASQHGHSHQNHGDGHGHGHSHSFGEHDGVDSSVRHVVVSQVLELGIVSHSLIIGLSLGVSQSPCTMRPLIAALSFHQFFEGFALGGCISEARFKTSSATIMACFFALTTPLGVAIGTLVASNFNPYSPGALITEGILDSLSAGILVYMALVDLIAADFLSKKMRCSLRLQIVSFCLLFLGAGSMSSLALWA >RHN61141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33401917:33402136:1 gene:gene23574 transcript:rna23574 gene_biotype:protein_coding transcript_biotype:protein_coding MTETKMERDGPSAISAYPIPAATSEKQKKLEDGVSDLRILVSGRISNPFVLS >RHN49022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51842811:51844795:-1 gene:gene43803 transcript:rna43803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase MLVISKNESLPIGGNILFSRMNRPPCTFYSPDHQVLGEEDRSNKPVRTIRMPSINRLPPYTSWIHLARTVFDEHGLTEEVLSIVKDVIGGTSSEIQERYKNIKEKDQNDEDRRESESQTDTFLNKSLSVSLDTFDNFYCRRCMIFDCPLHGCSQKIIYPAEKQPVWQEPEGPKEPCGEHCYLHNKDVTISNCMRGLNLDANNDEKNDMDERKSKHLSDSIEGQAEEESIPSDWKLLEKELYLKGIEMFGRNSCLIAKNILFMMKTCTEVARYMYAEESIPHGSMGENGQSNAMRIVSTIIHFISPSPLCFFLVQVLNCPYHIL >RHN38925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2843962:2853118:1 gene:gene44902 transcript:rna44902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative von Willebrand factor, type A, Zinc finger, Sec23/Sec24-type, sec23/Sec24, trunk MTTEFIDLETQDGVRMPWNVIPGTKQESVNVVVPVAAIYTPIKHFPTMPILPYSPLRCRTCRSILNPFCIVDFAAKIWICPFCFQRNHFPNHYASISEDNMPAELFPQYTTVEYDSVNDSGPHVPPVFLFVVDTCVIEEEIGFLRSAISQAVELLPDNSLVGLITFGSFVHVHELGFGIVPKTYVFRGNKDLSKEQLLEQMSFFAKKPKPAVGVVAGARDGLSSESISRFLLPASECEFALNSVLDELQRDPWPVPGDQREARCTSTALSIAASLLGACVPGSAARIMAFIGGPATEGPAPIVSKQLSEPIRSHKDLDKDSVPHYHKCVKFYDGLSKQLVHQGHVLDLFACALDQVGIAELKTAVERTGGLVVLTESFGHPVFKDSLKRVFQSGDYDLGLASNGIFEINCSKDIKVQGIIGPCASLEKKSPLCSDVVIGQGGTSAWKMCGLDKSTSLCLFFDIVRKETPDATMQSTSNQFYFQFLTYYQNNSGQMRFRVTTLSRRWVAGPGSIQDLISGFDQEAAAIVMARQVSFKMETEAEFDPIRWLDKALINLCSRFGDFQKETPSSFSLSPRLSIFPQFMFHLRRSQFVQVFNNSPDETAYFRMILNRENVTNSVVMVQPSLISYSFHSGPEPTLLDVAAIAADRVLLLDAFFTVVIFHGSTIAQWRKAGYHNEPEHQAFAQLLRAPHDDSDLIMKERFPVPRLVVCDQHGSQARFLLAKLNPSATYNTEASLPGGDIIFTDDVSFDVFLDHLQRLVVQ >RHN67396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26823201:26823575:-1 gene:gene15583 transcript:rna15583 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQQELFKFKHYMIGALISLLATFTFFHLLPAIITLIIFFSPLLFTTTLFTVIVVILTNTTPFNNDRIHVPRRREEIVEYYVIANHHHQPHPDNHNHHPALPPPDKDDGDEDDDGDDDDNVED >RHN60673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29299471:29300180:1 gene:gene23023 transcript:rna23023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVYKDKHNTLLHFKTLNNNSNFKNEDFDIINDRTIFYCYMGIRKNMAEILKFVYIMIIFLFITEIKGGKFVFDRNRGDRCRSILDCPQDKCFPLLTLVCTNFICDCLHV >RHN80783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40844322:40848323:1 gene:gene4749 transcript:rna4749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSNITSCDSGSFSTENTREDAVKQQQTDQILGQFHSPHSHTSTTTNNNNNSNGSNTDLQPPLKKKRNLPGNPDPSAEVIALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSAEIRKRVYVCPEPSCVHHNPARALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTIFSRRDSFITHRAFCDALAEENNKANEGVLSNLQHQPISNLVSSLPLNPINNPQICGTVSEFNNHSDHKLPLSSPHELMSMSVPPKPFNNNIFTRSLSSSTSSPSLQLSSNNSLNNILEENGSLHLSAATSPHMSATALLQKAAQMGATVSNNNAGIMTDKTTVATNMMAPPQFGLVQQQGHAFMNHYMQQQQQHYNNNFNANGIINGGGVGMNGVDMFNAILDQSKALSKIIEQNNQTQSINSVVGGGGGGASSNSNNVMNISGSKGGGDVMTLDLLGIGGGGAHSNFYGGGTQQQAESAAAAAADEVWRNWSTKNGGFESFSATSNI >RHN45138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12162668:12167637:1 gene:gene39352 transcript:rna39352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MAREWLINIRGFAKKLRSTSLSSADQIKDCGACRECPNCHSRIDNSDVSSEWPGFPLGIKFDPSDVEILEHLAAKCGAGNIKPHMFIEEFIPTLEGEQGICYTHPENLPGAKKDGSSVHFFHRTMNAYSSGQRKRRKIHHRGSTEDHVRWHKTGKTKAIIEHGEHKGFKKIMVLYIRSEKESKSYKSDWKMHQYHLGTDEDEKNGEYVVSKIFYKQNEKNEEKPMAEEPDSITSRTSPRTPKPNPPNPPRTGKCVDNGNIDETSLMPFAEDAKFIPVESNAMQSDIQDQENTYNFPWLAGESQAALQFDIQDHDNFAWLAGESQAALQSDIQDHDNSAWLAGESQAALQSDIQNHDNSVWLAGESQAVLQSDIQNHDNSAWLAGESQAALQTDIQNRDNTNNSAWLAGESQAMENSEYAGLDDLLLCDEIFDSSTLFTDSQLDSINDLTHYENRMTGNYNVSSETSTAVLDTLELDTPPDFDLSNLTFGSQESILGMTGLL >RHN44159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2097153:2097555:1 gene:gene38244 transcript:rna38244 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLFLFCRIIKEKLHKGDVPESTNSTFNRCTHMSNIKSCEFFPFSQFYLFKSLITMLSPNMGESIKCLV >RHN67794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30324416:30327859:-1 gene:gene16027 transcript:rna16027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alanine--glyoxylate transaminase MQPRKLIMWDKICMWQNRVFSTTIARSNEDGVNFLPKMPQFNHTPSPYNGPSASDLIQRRNQYLPTFVNTYYNHPLNLVEGKMQYVYDENGRRYLDAFGGIATVSCGHCHPDVVEAIVNQTRLLQHTTVLYLNHNVVDFGEALAAKMPGELKVVFFTNSGTEANELALMMARLYTGCHDIISIRNGYHGNATSTMGVTAQFYHKFNVVQTGVHHVLNPDPYRGVFGLDGAKYANDVQDIINHGTCGHVAGFVAEAIQGVGGVIELAPGYLPAVYSIIKKAGGVFIADEVQSGFGRTGSHFWGFEAHGIVPDIVTLAKVTTLL >RHN65452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2341620:2347396:-1 gene:gene13291 transcript:rna13291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, CRAL/TRIO domain-containing protein MSGFEGQCSNDEIRERRLDVEYSEDDRRQYSKIGTLRKKAMNASSKFTHSLKKRGKRKIDYRVPSVAIEDVRDAREETAVLELRQRLVERGSLPSRHDDYHTLLRFLKARDFNIEKTIEMWEEMLTWRKEYGTDTILEDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMHITTIDRYLKYHVQEFERALQEKFPACSIAAKRQIFSTTTILDVQGLGMKNFSRTAANLLASMAKIDSCYYPETLHQMYIVNAGTGFRKMLWPAAQKFIDPQTIAKIQIVDSKSLYKLQEVIDSSQLPDFLGGSCKCPSEGGCLRSNKGPWNDPDIMKLSGNAEATFVRQITRASNEQNNFDSFQLHSLKGRCSDSSAESGSDFNDYSSPTRQRRCSYPRLAPVCEEVRVPDVNGYYSCDDSALSAQNVIENDQHRLTREQSLQTNDMENIACRTNSEGTVVSNLLSIIKEKIEKMNFLYVPQVLTSFMERLAAFICSLRFEFWRTRNIVHPSNSTERVIINHSAAIESTSERDYILPCEQRLQRLEKVFNELNNKPDGMPLEKEQMLMESLDRIKSVEFDLEKTKRVLHAAVMKQLEINDLLENMQQPKCRQRRLFC >RHN67405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26893782:26896255:-1 gene:gene15594 transcript:rna15594 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MDEAGESGDPMDQFHRNEAISAVADDGFLAEEDDDYEDLYNDVNVGEGFLQSLRKNDDSGGRIEGVDEKKVQSGSGVQDPVVGVVGEGVKSVGVDESRVSGFQNQGFRGGNSGVGSGAGGGGIRVELGQASGKLSEIEEQRGGNDGVGVQGIVQQQQQQQQQQQQQHGGVVGNDGLVRQVPSGVVGGGGVNLNRVGGNGPGNNVIAIGGGGGEGGGVGGGGGGGGSTLLFVGDLHWWTTDAELEAELCKYGQVKEVRFYDEKASGKSKGYCQVEFYEAYAATACKEGMNGHPFNGRPCVVAFASPFTVKKMGEAQNNRNQQVNQTAGGGVNPGRRGPADVGAKPAGSNIATGGNYQGGDGNNNNNNNNNNRGYGRGNWGRGNNPGMGGRGPVNPMRNRGGGIGGRGIIGNGGNGFGQGIGGAPPMMHPQQMMNQGFDPAFAGPMGRMAGFGGYPGGPAPPFSGMMPQFPGVGGVGLPGVAPHVNPAFFGRGMPVNGMGMMPQSGMDGPNMGMWPDPNMGGWGGEEPGGGRAGESSYGEEAASDHQYGEVNPDRAGWQNTMREKDRGSERDWSGSSERRYRDDRDQGYERDAPREKDAGHDPEWPERKHRDDREVVRERSRDRDRGREKSRDRERERGDRDRERDRYREDRDRYADHHRYRDREPEHDEEWERGRSSRTHSKSRLSQDEEHHSRSKDADYGKRRRLTSE >RHN40739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20108850:20113227:1 gene:gene46934 transcript:rna46934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MMISFEIKKQVVLIYLWLWWNTTSICVKAINDSLKPGDKLDANSNLCSKQGKYCVQFSPTLQNEDAHLIVSVNEDYGAVVWMYDRNHSIDLDSAVLSLDYSGVLKIESQSRKPIIIYSSPQPINNTLATILDTGNFVLRQFHPNGSKTVLWQSFDYPSDVLIPTMKLGVNRKTAHNWSLVSWLTPSRPNSGKFSLEWEPKQGELNIKKRGKVYWKSGKLKSDGLFENIPANVQTMYQYTIVSNKDEDSFTFKIKDRNYKTLSSWYLQSTGKLSGTEGDIGNADMCYGYNRDGGCQKWEDIPTCREPGEVFQRKTGRPNIINASTTEGDVNYGYSDCKMRCWRNCNCYGFEELYSNFTGCIYYSWNSTQDVDLDDQNNFYALVKPSKPAQKSHGKKWIWIGAAIASAILILCPLVLCLVKKIQKYALQDKKSKRKAGKSNDLADSIESYDVKDLEADFKGHDIKVFNFTSILEATMDFSPENKLGQGGYGPVYKGILATGQEVAIKRLSKTSGQGIMEFKNELVLICELQHINLVQLLGCCIHEEERILIYKYMPNKSLDFYLFDCTKKKLLDWKKRFNVIEGISQGLLYLHKYSRLKIIHRDLKASNILLDENMNPKIADFGMARMFTQQESVVNTNRIVGTYGYMSPEYAMEGVCSTKSDVYSFGVLLLEIVCGRKNNSFYDVDRPLNLIGHAWELWNDGEYLKLMDPTLNDTFVPDEVKRCIHVGLLCVEQYANDRPTMSDVISMLTNKYELTTIPRRPAFYVRRDILDRETTSKVPDTDTYSTTISTSCEVEGKI >RHN80129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35373538:35382892:1 gene:gene4016 transcript:rna4016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-carotene isomerase MKINNYSQMDSKMIAHNMSLTPTLAQWKKLRLKPKHTFVVGVLARPTDDISEETLRKTNVYKDNWFDKLAINHLSKSVQAATGISNNKSGFDSLVEAATVASQKFNTTQQQGIILDALDRAFPKPILSVIRRVMPPSKLAREYFAVFTTIFFAWLLGPSEVRESEINGRREKNIVHIKKCRFLEETNCVGMCINLCKMPSQLFIKDSFGMPVNMVPNFDDMSCEMIFGQEPPASTDDPALKQPCYKLCKAKKNHATQCLS >RHN74019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23699238:23700281:1 gene:gene9945 transcript:rna9945 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVFLLRPDNSSSIIRPPRNPNPNHTSRKKRIPTTVNPISPDRNCTVMKHPGSNLVMGQVKILKRGEKLSPDNLMMKSEECYDLILGSTNRLGPDPVTVMNQVRVQERLYAGLTVLSPPPSSVPVPKFLVMEKP >RHN71466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:385251:386038:-1 gene:gene7071 transcript:rna7071 gene_biotype:protein_coding transcript_biotype:protein_coding MYIHELHIIFYVSVCFNILILFRCVTKLVNLYYPSTNSRLFH >RHN38490.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:51537:52736:-1 gene:gene50595 transcript:rna50595 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPVWITEDVIAFVLRRPVEGDYKAGITKPKDSPWNDVVNKTLYNKVKNFAYADMNAKTKVMLKIQNENLLPKGGGSDQPSLEHKILLHFFITGVKANVPRYIFRHMVQQLRESQLKKRCWVPYGRLLSEIFHQGGIIKMLKEADIFSDEQLGTVRGKIINGETLKSMKLIGVDDVKKLPTDLKASDAKLALIPNFPPICKQDPLDVQMNYIREHFERTKEKISLKDVPEQMFRGALPVAKSRKSKSKPLSKEEYLEEEEALRKPSKRTRKEAKVEVAAPKPKKSKANVSTSEEAVASEEVPTSEEDVQKQRNKKADAREAALQTIRNKKTRNLKTSQGRMEEYAEQLGAWEEEPSPKKAKKTKNTEPMVMPSFVPSEEQLQYAREYSASELAKQKQM >RHN73346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15529078:15529727:1 gene:gene9148 transcript:rna9148 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQPSIQQVLYPTTRPSSSSTATAPKPSGTKRPTMDKIFGIRN >RHN50996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12742994:12743323:-1 gene:gene35356 transcript:rna35356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative squalene monooxygenase MFVSHVEVPSYFVGLVLENCNLPYANHGHVILGDPSPLLFYPISSTEIRCFVDVPAGKRLPSLVNGEMTHYLKTMVAPQVYQLMYL >RHN46950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35972525:35974247:1 gene:gene41491 transcript:rna41491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative meiosis arrest female protein MGNIHIRAYGDFNSSEVDKEALNSTNISLHGSITELNTVGKNNRYKHFLMDLNDWVSANPPPVHLFLIFGSKEFSSSGILHRLRMCNYNILLACPGMPHVALCHAPTIMWDWSSLLKGEDLTGKHFNHPPDGPTNSRYGNSNVPLENPFSLVDFHTSSQNAEEIYKPTLDIKLCEASKSVSRQVMKILCSHPNGISIGDLRAELTKCDLPLDKRFYGNKKFSDFLVSMSYVQLQYLGGGNFWVRLVPSTTSAVKNKQKDCVLTQNLHDEGKNMDRSADGVPRISSSCVSCEGDDLKSFQFLPSQGNPLGEYADGKPSFPSLESNVHRPPDELQKKSLEVDVTHAPLLQIQLPPNDSNISKKSDNDIVKSDDVNPEIMEKINPSKNPSTCNDCNMLQNKHEIPTTKEVDEVCCSPFTSTFGSWISSWWTFWRSNAKSEVYESASHFEESKSSGLQYDLCHPQQPYWDNFKCRVSVWWDFDSCAVPSDISFLNVAPSIMGVLRANEIKSPICIDTYGDVSQLSKIKQEALFLSGIDLHHIPGSFFLPYS >RHN71861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3131332:3134985:1 gene:gene7501 transcript:rna7501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MEEKFEVVVHHNGEFDGFTHSSYYGLRDSWLCDPNVWSYFGVLGDLKEMGYNNLESLWYYDPVLEDEIVRLRDDVGTNRIKNIAYDEGEAHLYVIHTMEEPVVEEYPTLCNFIQGPNAGGNVGPDVVDAGPSNDIVDVDNGPINDTVEDKDSGENVVEKECGPSYAFIPPVAINNDGEGVDAINTERVDAELRDNSDEDSALGSTFDSEDEIALEDYFDDFAQVPEPEPQPSLNTNSQTVLTDIGSQTFQTDIPSTLRPITQPTIDEPSSNTNSKAASGSKPKKKRGRPRKNIVTEIVVVEEAAGADNDNGESSQAPLFGLSDAEDYNSDQLNSDCDIEGDNGQSKTKFQTFKLLDSMCDYKWEVGTFFVTKKAFQDGIRTYAVHNGYDLKFIKNDKKRMRVVCKKVLCPWKAFCAKIDGEETWQLRKIIDDHNCGRVFKVKMLTSKWLGGKLNNTVRENAGIELNKIMTKTQQKWNLVINKTKAYRAKSYAIDVVDGSYRDQYTRMYDYCHEILSSNPNSTVRVQTQPFQGVEGEEQNTDRSFSPHFKRVYICFKACKDSFEQCRKIIGLDGCFLKGYYGGQLLTAIGRDPNDQMLPIAYAVVEGETKESWTWFLDLLISDLGGARVCNTYTFISDQQKGLLPAMADLLPDVEHRFCVRHLYNNFRKKYPGKKLKELMWKAAKATYENAFEKHMNEIKAISEEAYNHLMQTPAKVWSKSKFTNGPLCDTLVNNMTEAFNSVIVGPREKPVVTMLEELRVYLMERWEKNRQKGANYEDSILPNIKKILAKESSYTNNLLVRRGSEFDYEVRYLSMTGNGEETFVVNLLRRECSCRKWLITGLPCCRAIASMKSQNIDIDQYVPDCFRKENYEACYRSIIYPTNGQALWRRTEYADLQPPPIKRQAGRPKKKRRKDADEKRDEQQLKRSKNGVKCSRCKEEGHNKSTCKLPPPPPATATDGNTGANATASASAQSAATITTTANAIGGNSTTGAAASASAQSAATITTTANAIGGNSTTSAATGNASTHSMRSGTTLKPSQKSKNDKAPKRASLSQPTTKKKKTSLGKKKGSTSTQPQNT >RHN71971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4053586:4055600:1 gene:gene7635 transcript:rna7635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MASSSTSSANREGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAALAYDGAARSLRGAKAKTNFPPAPVAGGLCLDLNAPSSDLRWPSVPSRGRVLTEFLQTGVLKDFNPPPPPPIGGVVGQRHNEVTLAVAGRTMVAENSPTAAYLGLVRRGIPIDLNEPPPLWL >RHN42699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40300637:40313159:-1 gene:gene49179 transcript:rna49179 gene_biotype:protein_coding transcript_biotype:protein_coding MKHCVLTDIHLSFSLNLMTGTSSMAKFKVTQFFNIPFRSSRLVSAAEVRLLPPAAGRIKVNIDGSSFGPVPSRAIGGVFRLSHFWVDLPRIQVVLQLKRLSFVLPCLRLRKLRN >RHN51338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16415208:16416884:-1 gene:gene35762 transcript:rna35762 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTLQLGKFQLIMIFMTESFFALKLLHNTGDEIRKGCLQSCCRNIMKMIADKQRHKTKEIKEKACISHVQLDDLKEKGGNCVG >RHN77246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5392597:5400546:1 gene:gene683 transcript:rna683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MHSSPGLPVRLQDSASGQPQPPWPRMTQTDKQKYTNIFMEVDKDRDGKITGEQARDLFLSWALPKDVLKQVCDLSDQDNDSMLSLNEFCIALHLMERHREGHALPAVLPNNILLDLPTSGQPANLLSPVTWGNQSGVQQQQMMTGSGAQHLNPTAGWPPRPAAVPPSDEGPQSKQQKSKIPVLEKHLTNQLSSDEQNSINLKFQEATEANKMVKYNSRCDNRLNETTERISADKHEVEILAKKYEDKYKQVGDVSSKLTTEEATFCDIQGKKFELYQWIVKLEQDVNTDDTVQVRADRINFVLDELVKSLNEQCKKYGLRAKLTTLLELPFGWQPGIQEGAADWDEDWDELEDKEFALVKEYTLDVQNTIAHPNQKQPKAVSTKALDINSPKFVVSPKSDDKSEKPQTTNEQGVGNGSVFDKSNDGSEKSAPNSPFASSTIGRPHRDFVDSDIRKTSGENSSPRNQNDTQETQSDHGGEKSVFSEERVFDEPNWGTFDTNDDIDSVWGFNASSKEERELDGAGDNYFFSSGDLGLNRIKTSSPQAGDLFLKTSG >RHN49615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55933364:55937725:-1 gene:gene44464 transcript:rna44464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative caffeate O-methyltransferase MASIPSEKQELEDEESFSYAVQLSNSIVLSMALQSAIELGVFEVLQKAGRDTPLSSDEIASRLSCTNPDAPKMLDRILALLASHSVLNCSVHPDQHNLGSFHRLYAMTSVAKFFAPNSDGVSLGPLIALHQDKIYLQSWSQLKDAIREGGIPFNRVYGTNDFEYASIDSRFNQVFNTAMINHTTIVMNKVLQSYKGFEDVKRLVDVGGGLGVNINLITSKHPHIHGINFDLPHVIQHAPSYPGVEHVGGDMFETVPKADAIFMKWILHDWSDEQCLKLLKNCYDAIPDDGKVIVLEAVLSIIPENNAAWKFAAQSDVLMMTQSPGGKERTEQEFMDLANGAGFSGIRYECYVHTFWVMEFFK >RHN69958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47574361:47577250:-1 gene:gene18491 transcript:rna18491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ent-kaurene synthase MQMALLMNSSTSTHVLYPALKTEEPKTENMVSIFDSNLLQNQVNMPKEFIWPSMDLVNTAQEELKEPLIDLSVMKSGDEEAIASAAELVRKACLKHGFFQVINHGVDQELINDAYCEVDPIFNLPINKKLSAKRVHGGVSGYSGAHADRYSSKLPWKETFSFVYNHQNDSNSQIVNYFKSVLGEDFQQTGWVYQKYCEAMKELSLVIMELLAISLGIDRLHYRRFFEDGDSIMRCNYYPPCKSSNLTLGTGPHSDPTSLTILHQDQVGGLEVFADNKWVAVRPRPEALVINIGDTFMALSNGRYKSCLHRALVNRYRERRSLVFFVCPREDKVVRPPENLLSKNEARKYPDFTWSSLFEFTQKHYRADVATLQSFFQWHSSCNL >RHN49467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55004755:55006257:1 gene:gene44303 transcript:rna44303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MSNPKVFFDILIGRMKAGKIVMELFADATPKTAENFRALCTGERGIGQCGKPLHYKGSGFHRIIPEFMCQGGDFTKGNGTGGESIYGSKFADENFKLKHTGPGILSMANSGPNTNGSQFFICTSKTQWLDGKHVVFGKVVEGYDVVQAMEKVGSQNGRTSEHVVIEDCGQIS >RHN70581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52459959:52468505:-1 gene:gene19175 transcript:rna19175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ClpA/B family, Clp ATPase, P-loop containing nucleoside triphosphate hydrolase MATRRTTKLIKSVFAAVTASRTRTPLTRSLSAPLFNGSFLHPSQNARKHLSRSQIIDPTTNVASAKFLSHSFTRNFHASAPSYRSAGASQISQTEFTEMAWEGVIGAVDAARVNKQQIVESEHLMKALLEQRDGLARRIFTKAGLDNTSVLQATDNFIAQQPKVTGDTSGPVIGSHFSSILDNSHRHKKEMGDEYVSVEHLLLAFHSDKRFGQQLFKNLQLSEKTLKDAVQAIRGSQRVTDQNPEGKYEALEKYGNDLTELARRGKLDPVIGRDDEIRRCIQILSRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLMNRKLISLDMGSLLAGAKFRGDFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGATSGAMDAGNLLKPMLGRGELRCIGATTLNEYRKYIEKDPALERRFQQVFCCQPSVEDTISILRGLRERYELHHGVKISDSALVSAAVLADRYITERFLPDKAIDLVDEAAAKLKMEITSKPTELDEIDRAVLKLEMEKLSLKSDTDKASKERLSKLENDLSLLKQKQKELAEQWDSEKVLMTRIRSVKEEIDRVNLEMEAAERDYDLNRAAELKYGTLMSLQRQLEEAEKNLAEFQNSGQSFLREEVTDLDITEIVSKWTGIPLSNLQQTEREKLVFLEQVLHKRVIGQDIAVKSVADAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELGKALANYLFNTENALVRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTEVVRRRPYSVVLFDEIEKAHHDVFNILLQLLDDGRITDSQGRTVSFTNCVLIMTSNIGSHHILETLSSTQDDKIAVYDQMKRQVVELARQTFRPEFMNRIDEYIVFQPLDSSEISKIVELQMERVKGRLKQKKIDLHYTEEAVKLLGVLGFDPNFGARPVKRVIQQLVENEIAMGVLRGDFKEEDSIIVDADDTPSGKERPPLNKLIIKKQESLVADAMVAND >RHN63922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55063623:55064658:-1 gene:gene26678 transcript:rna26678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glyoxalase/Bleomycin resistance protein/Dihydroxybiphenyl dioxygenase MGNPLQLKSLNHISLVCRSLDKSVDFYVNVLGFFPIKRPTSLAFNGAWLFNYGIGIHLLQSDDPESMTKNVHINPKDNHISFQCESMAAVENKLQQMKIEYVKNLVEENGIYVDQLFFHDPDGTMIEICNCDNIPIVPLSENSTIWSCSRFNCNIQNQQQQIQQMISM >RHN45625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23845173:23846562:1 gene:gene40005 transcript:rna40005 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGKGVHVGGTSCGTKKQGKLNERGLILNKSERERYKMLLNRDIVPNRYPDSATLQALGIDDNVTTLISNIGWKDFVGETHFTFETSTFEFLSTVNFNHDTHNTTHPNHTVSFSLGNVEYNMSLTEFSDIMGFESTGVIHVSRNHDVRHRGYDKHGFWFQITGRKRYECKTAKASMIQNSVFRYLHRVMACTIFGRPETATVRSDELFLLWAMVHKCPVNTGYYLLDHLTYVAEQPKGKIVAGGGGGGGGGGGGRKRN >RHN61213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33888748:33894292:-1 gene:gene23652 transcript:rna23652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-fatty-acid--CoA ligase MVDVYTVKVEEARPASHEKPSAGPVYRCIYAKDGLLELPSHFQSPWDFFRDSAARCPSKPMLGRRQKTDSKAGTYEWVTYEEAYDAAVRIGSAMRSRGVNPGDRCGIYGSNCPEWIIAMEACNSYAVTYAPLYDTLGPNAVEFIINHAEVSIAFVQQSKIPSILSCLERCTNLKTIVSFGNVSTTQKKEVEELGASCFSWEEFLQLGSLDLDLPLKNKTNICTIMYTSGTTGEPKGVVIKNEAFMSEVLSVDQMLSLTDKGATEDDVYFSFLPLAHVYDQIMVTYSIFKGASIGFWQGDIRFLMEDIQALKPTIFCGVPRVYDRVYAGINNKISSEGSIKKALFQYAYNYKLGYLNNGLPQDKAAPFFDRLVFDKIKQTLGGRVRMLLSGAAPLSRHVEEFLRVTFGSPLAQGYGLTESCSGCFSAISNVFSMMGTVGVPMTAIEARLESVPEMGYDALSSQPRGEICLRGNTLFSGYHKRQDLTEEVMVDGWFHTGDIGEWLPNGAMKIIDRKKNIFKLSQGEYVAVENIENKYLQCPLIASIWVYGNSFESFLVAVVIPERQALEDWAAKHELSDDFKSLCGNPKARKYILDELNITGQKHQLRGFELVKAVHLEPVPFDVERDLITPTFKLKRPQLLKHYKDCIDQLYKEAKGAMV >RHN79120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23450493:23451136:1 gene:gene2836 transcript:rna2836 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSKTPASKRPIAIRPLCPSYSVLVTYIWSEPSLSIRGPGIHLMIDSNNGPRSSARSSGDNPAFPFIPLAYIT >RHN52779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38715264:38725197:1 gene:gene37486 transcript:rna37486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MATPLIPQQQHLDGGGGVAVLTTLVPPSSSNGGGGFNRSSLTESPILIFSFFQKAIGNELDALHRLALDFATGNCFDIGPLSERYHFLRSMYRHHSNAEDEVIFPALDRRVKNVAQTYSLEHKGESNLFDHLFELLNSSGDNDESFRRELASCTGALQTSVSQHMAKEQQQVFPLLIEKFSVEEQASLVWQFLCSIPVNMMAEFLPWLSTSISPDESQDLRKCLSKIVPEEKLLQKVIFTWMEGRSSAKTVENCVDHSQVQCSPSPLAHQNGKATCACESTVCGKRKYSASLLEVPDTMGSHPIDEILLWHNAIKKELNEIAAETRKIQHSGDYTNLSAFNERLQFIAEVFIFHSIAEDKVIFPAVDGDFSFFQEHAEEESQFNDFRSLIERIVSEEATSSSEVELYSMLCSQADHIMETIQKHFHNEEVQVLPLARKHFSLQRQRELVYESLCMMPLKLIERVLPWFVGSLTEDEAEIFLKNIQSAAPAMDSALVTLFSGWACKARKNGRCLSSSASRFCPAKKIVRSSCACASALSGKDCSVLAESDGTQRSVKRSILELQKNGDVSKTPENEPALKPCCGGRSCCVPALGVNSNNLGLSSLSAAKSLRNFTSSAPSINSSLFIWETDSSSCDVGSAERPIDTIFKFHKAIRIDLEYLDVESGKLCDGDEATIRQFTGRFRLLWGLYRAHSNAEDDIVFPALESKETLHNVSHSYTLDHKAEEKLFEDISCVLSELSVLHEALQSTHLSEDLSEPNSGISDANDSDDIRKYNELATKLQGMCKSIRVTLDQHIFREELELWPLFGKHFTVEEQDKIVGRIIGTTGAEVLQSMLPWVTSALTQDEQNQMMDTWKQATKNTMFNEWLNESLIESPGSTSQTETSEHSTSQRGAEYQESLNLNDQMFKPGWKDIFRMNENEIVSEIRKVYRDSTLDPRRKAYLVQNLMTSRWIAAQQKLPNSQSGESSNKQIEGCAPSFRDPEKKVFGCEHYKRNCKVRAACCEKLFTCRFCHDNNSTDHSMDRKATTEMMCMACLTIQPVGPICMTPSCNGLSMAKYYCNICKFFDDERNVYHCPFCNICRVGQGLGIDYFHCMKCNCCVGIKSVSHKCREKGLEMNCPICCDDLFTSSATVRALVCGHYMHSSCFQAYACSHYTCPICSKSLGDMAVYFGMLDALLAAEQLPEEYKDRTQDILCHDCDRKGTSQFHWLYHKCGFCGSYNTRVIKSETTNSSCP >RHN73001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12493221:12494387:-1 gene:gene8770 transcript:rna8770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFDDYNTLQELPKCLPLFQGLQSQFATRLDQVMLHYQGSIILSIRLNFLLGHEHSDVIDRMISKGIAKGVKRIELLFSYQTTNDPPSPYYLDQIEPYTFPFAFFSETDSLLYLHLEKCHLVAPTTDFSGLKNLRTLVNIDIIASNLSSLEYFCNGRVVHKINIKEAHMLSKFSFRGSIISKRVGLSGLKHLTTIVLDGLSECLRLTVLPLLFSEYLQLEDVTFKNCSITWELEIISPKLRHLNIIDCAFEGKRTPDIIIDALNLSSFEYSGHTKAFYVKAPKLLNVFWSAAKRDKNPYLFGPIATLNQIENLALILNHSQVNQSKPVFVI >RHN82427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53683520:53686101:1 gene:gene6592 transcript:rna6592 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRESVVCPKPRRVGLLNFAVNDYPSRSFRWHLSCQVEPCDSNSSASNPLDTILTKDDDFDREQLSPPVASSPPPFFCGSPPSRVANPLIQDARFGDENFSPSSWVVVPAPSGLPPSPPSSARKGGCVRANFGNNPAVRVEGFDCLDRDSRNCSIAALA >RHN50700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9585168:9585500:1 gene:gene35022 transcript:rna35022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MNAGVDSLEIDMENQKVTVTGYVDKSKVLRMVRKTGRKAEYWPFPYDSEYYPYASQYLDESTFTSSYNYYRHGFNESVHGYFPDQVYSTVPDETVFLFSDDNVNAPCTIM >RHN59889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14470875:14471366:1 gene:gene22056 transcript:rna22056 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSIKEIVLCFLVLLHITLFAFLEYLKLIHVKIKIMIKNGIHNIHEENKNFILIKCKFDKINTICISINLSIFCGNIVIFFCQYVNKIGQEMLSKKKSEKTKVLSRRLLSITGSHPPNCINRCDNCTPCKRVIVPTHPPQSAGYYPTSWKCKCGNKLYLPQ >RHN62080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40578203:40591165:-1 gene:gene24613 transcript:rna24613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, ZPR1-type MEEAKREQIVDVGSVVETLSADASGDAPLYTLESLCMRCHQNGTTRFLFTIIPNFRKILLSAFECPHCGERNNEVQFAGEIQPRGCCYSLSIPAGEPKMLDRQVVKSESATIKIPELDFEIPPEAQRGSLSTLEGILMRAAEELQALQEERRKVAPETADAIDQFLAKLRACATAESPFTLILDDPAGNSFIENLFAPSPDPSLTIKYYERTPEQQALLGYVADSTQNEGAHAGETVVARRAGGHPHGSVGAVAGHRAIAQSNSAAIADAFFRYSSPEEVMTFPSTCGTCVAKCETRMFVTNIPYFQEVIVMASTCDNCGYRNSELKAGGAIPEKGKKITLRVKNIKDLSRDVIKSDTACVKVPELELELGSGTLGGIVTTVEGLIVKISESLEKVHGYSFGDSLDENRRSKWLDFQARLKKLLSLDEAWTLILDDALANSFVAPATDDLKDDDQLTFEEYERSFEQNEDLGLNDMDTSSADVAYESTSITS >RHN38559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:240350:243605:1 gene:gene44512 transcript:rna44512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MQTMVKYNAILFIFVSVVLLSIIILVCDAIESDDESSKTYIVYMGSLSKGTSYYPTSHHQSMLQQIIDGSNAENRLVRSYNRSFNGFAAILNDQQREKLIGMRGVVSVFQCQNYHLKTTRSWDFLGFPQSIKRDKLLESGLVVGVIDSGIWPESKSFTDKGLGPIPKKWRGVCAGGGNFTCNKKIIGARSYGSDQSARDYGGHGTHTASTASGREVEGVSFYDLAKGTARGGVPSSKIVVYKVCDKDGNCSGKDILAAFDDAIADGVDIITISIGSQIAVEFLKDPIAIGSFHAMEKGILTVQAAGNSGPKPSSVSSVAPWLFSIAATTVDRQFIDKLILGNGKTFIGKSINIVPSNGTKFPIVVCNAQACPRGYGSPEMCECIDKNMVNGKLVLCGTPGGEVLAYANGAIGSILNVTHSKNDAPQVSLKPTLNLDTKDYVLVQSYTNSTKYPVAEILKSEIFHDNNAPTVASFSSRGPNPLVLEIMKPDISAPGVDILAAYSPLAPPSDDINDKRQVKYSIESGTSMACPHVAGVVAYVKSFHPDWSPASIKSAIMTTAKPVNGTYNDLAGEFAYGSGNVNPKQAVDPGLVYDITKEDYVRMLCNYGYDANKIKQISGENSSCHGASNRSFVKDINYPALVIPVESHKNFNVKIHRTVTNVGSPNSSYTATVIPIQNIKISVEPKILSFRSLNEKQSFVVTVVGGAESKQMVSSSSLVWSDGTHRVKSPIIVQRLS >RHN45848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26253736:26255146:1 gene:gene40269 transcript:rna40269 gene_biotype:protein_coding transcript_biotype:protein_coding MRISPKIKPGVREETLKLALDLKANMKSTENSLVVLGFLLLLSVYELLTYFDEDEVLELFAFVAQHKTAVELFQTLGFANKLSEFFEDLIRKKQFVVLTAWLRRIKKFLF >RHN56806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33874432:33874730:-1 gene:gene32260 transcript:rna32260 gene_biotype:protein_coding transcript_biotype:protein_coding MIFYHVLITLFCYLFFITIQFLPSPCETDDDCQEEIGVRKICIREVCRYFAKIH >RHN65211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:248290:258494:-1 gene:gene13030 transcript:rna13030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of nonsense-mediated decay, UPF3 MKARSEKGRTKVVIRHLPPSLTESDLIQHIDNRFSSRYHWFVFRSGNTSYRNQKYARAYLDFNSPDDVFEFAEFFNGHVFVNEKGVQHKAVVEYAPSQRVPKLSTKKDGREGTIYKDPDYLEFLKLISKPQEHLPSAEIQLERKEAEQAGASKEAPIVTPLMAYIRQKRAVDSGPLVSSAATRVGRRARAMQGKPGPSNTRRGSEKKKYVQKDNVKNANRKDSKDKSAFTVVPRREDHSSESSIKGVYEIDSSHVIDEFAVHGIEGSISGIPLTSDSGKKKILLLKGKQREIPKATEGMVKQQNAQSANLPIPTTAKQNQRREAGGRLIRSILLNNESRQSQSTSTAQHKIQILTSENGRRPPRPFGSRSGLSDQVSSHDAGHVNSEGESKRDLDEKFVRRDFHGSGIGDKTERRTRNKDRPDRGVWAPLRRSDSSHSSNELSSSSLAQSAPSNPESVEGEVKENAYSGNRSGEFSASAGGRSSPSVENGSQRIFTRRGAPYIVKDDGAVSSSEGKLSKKGVGNSTHEKQVWVQKSSSGT >RHN76590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51490109:51492470:-1 gene:gene12940 transcript:rna12940 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NIP4 MEHINNEEIPSTPATPGTPGVPLFGGFKSERSGNGSNKYKKSLLKNCKCFGVQEWNLEDGALPTVTCSLMPPPPPVPLAKKIGAEFIGTLILMFAGAATAIVNQKTQGSETLIGCATSTGLAVMIIILSTGHISGAHLNPAVTISFAALKHFPWKHVPMYIGAQILASICAAFSLKAVFHPFMSGGVTVPSGGYGQAFALEFIISFNLMFVVTAVATDTRAVGELAGIAVGATVMLNILIAGPITGASMNPVRTLGPAIAANNYKAIWVYLLAPILGALGGAGTYTAVKLPEEDDNAKTNASSNHPSFRR >RHN55863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24822754:24826129:1 gene:gene31140 transcript:rna31140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK-LRK10L-1 family MSSSNFVFCFLFLFSNLPLLLSAAPECEKGITLCGYLGEISSPFTTVNDSECGYYISGCDNSSLVKTIQLRDQTYIIDSMYYMNNSIIIYSPNSHKLNLESFKNRPIFSINTINFHRCNHHREHMFKYRNCSDYDIYFTSIPDYPMFPIFPFPCLPNFVPHDCAEILFSILEIKVDLEFSKNEVDLKFCEECHSKGDLCLPDQKTLKWNCVPDLSYVAPTPQPQIEYHSDIHTKRKEQHLMLAVIGLSIGLATMIVFIGLYCWRIKSFGVKNISRTNYQGISRNTTFPEGGAVYFGIPVFSYEELKEATNDFDKARELGEGGFGTIYYGKLVDGREVAVKRLFERNYRPVESFTNEIQILTRMRHRNLVSLYGCTSRHSRELLLVYEYIPNGTVSSHLHDNKADQSSSLPWSVRMKIAIETASALTYLHASDVIHRDVKTTNILLDNNFCVKVADFGLSRLYPNDVTHVSTAPRGTPGYVDPEYRLCYQLTNKSDVYSFGVVLVELISSLPAVDLTRDRDDIKLANLAIRKIRRSEFCDLIDPSLGFQTDKRLKNVITSVAELAFRCLQEEKELRPTMSEVLEVLQTIESRKDEAGNHEGIDFHPEVGVAQSYVHPSVPNTWMTPRRHRQTL >RHN73374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15774812:15775435:1 gene:gene9177 transcript:rna9177 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFEALYGRRCRTPLCWFESGESVVLGPDLVHETTEKVRLIREKMKASQSRQKSYHDKRRKDLEFQEGDHVFLRVTPLTGVGRALKSRKLTPKFIGPYQISERIGTVAYRVGLPPHLSNLHDVFHVSQLRKYVADPSHVIPRDDDVQVRDNLTVETMPLRIDDRKVKSLRGKEIPLVRVVWGGATDESLTWELESKMRESYPELFA >RHN79785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32176413:32178993:1 gene:gene3622 transcript:rna3622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSTSATSLVLPSLFTKNSPQCFSSLPSLSLNPNFKPFSFSSVSLRPSLSISHRFISRVAVSSEFDQEEDTFDDGDDTPSYSPNQRLFVGNLPFSVDSAQLAEIFENAGDVEMVEVIYDKSTGRSRGFGFVTMSSAAEVEAAAQQLNGYVVDGRELRVNAGPPPPPRSENSRFGENPRFGGDRPRGPPRGGSSDGDNRVHVGNLAWGVDNLALESLFGEQGQVLEAKVIYDRESGRSRGFGFVTFSSADEVDSAIRTLDGADLNGRAIRVSPADSRPKRQF >RHN66561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14086654:14093309:1 gene:gene14574 transcript:rna14574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MATKGNPGDNRNNKGPLSIFIVVALCGFFYILGLWQRSGFGKGDSIAVEITKHTDCSVLSDLNYETHHDNDAGTPDDVDEQVKEFKPCDDSYIDYTPCHDQARAMTFPRENMNYRERHCPPEEEKLHCLIAAPKGYSTPFPWPKSRDYVPFANAPYKSLTVEKAVQNWIQYEGNVFRFPGGGTQFPQGADAYINQLASVIPLDNGMIRTALDTGCGVASWGAYLFKKNVIAMSIAPRDSHEAQVQFALERGVPAIIGVLGTIMLPFPSGAFDMAHCSRCLIPWGANGGLYMKEVDRVLRPGGYWILSGPPINWKNNFRAWQRPENELEEEQRQIENTAKLLCWEKKHEKGEIAIWRKALNIDECGEQNTQPTICESSNSDDVWYKKMDNCVTPAKPSGAWKPFPERLNVVPTRITSGSVPHVSTQLFEDDSRLWKKHVNAYKRVNKIIDSGRYRNVMDMNAGFGSFAAALDSPKLWVMNVVPTIAEKATLGVVFERGLIGIYHDWCEAFSTYPRTYDLIHANGVFTLYKNACNAEDILLEMDRILRPEGAVIFRDQVGVLKQVKRIAKGMRWNTKMVDHEDGPLISEKVLYAVKRYWVAGDNTTST >RHN77279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5639842:5650756:-1 gene:gene717 transcript:rna717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MEAGEDCCVKVAVHVRPLINDEKLQGCKDCVTVVSGKPQVQIGAHSFTFDHVYGSTGSPSSAMFDECVSSLVGGLFQGYNATVLAYGQTGSGKTYTMGTGSKDGYQAGIVPQVMSSLFSKIETLKNQIEFQLHVSFIEILKEEVRDLLDPSFLSKPDTTNGHTGKVTIPGKPPIQIREASNGVITLAGSTEVSVATLKEMSACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKLNNPSEISLNDTMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIRNKPVVNRDPMSNEMLKMRQQLETLQAELCARSGGSSDEVQVLKERISWLEAANEDLCRELHEYRSRCSGAVQSEKDAYDGSMYNVKTDGLKRGLPFTAPDYPTSEATGDSREIEEVAKEWEHTLLQNSMDRELHELNKRLEQKESEMKLFGSSDPETLKQHFGRKIMELEDEKRTVQQDRDRLLAEVENLAAGSDGQTHKSEDIHAQKLKALEAQILDMKKKQDSQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQQRIKQEAEQFRQWKASREKELLQLKKEGRRNEFERHKLQALNQRQKMVLQRKTEEAAMATKKLKELLEARKSSNRDASVTVNGSGTTKQSNEKSLQRWVDHELEVMVKEHEVRFEYEKQSQVRAALGEELAMLKQVNEFAASGLSPPKGKNGFARAFSMSLNARMARIASLENMLSISSNSLVAMASQLSEAEERERAFTNRGHWNQLRSMGEAKILLQYMFNSLADTRCQMWEKDMEMREMKDQIRELVGLLRQSEIKRKEVEKELKVRELDDATTLAKPVSGNSPNSLKHSVDDIKEPLSPDPVPASKQRKYTPGIANGQVRESAAFIDQSRKMVPIGQLSMKKLAVAGQASGKLWRWKRSHHQWLIQFKWKWQKPWKLSERIRHSDETMMRARPRSHTLPQIK >RHN48666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49345887:49347369:-1 gene:gene43408 transcript:rna43408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylethanolamine-binding protein MARMSQEPLIVGRVIGEVLDSFTTSMKMTVSYNKKQVFNGHEFFPSTINTKPKVEIDGGDMRSFYTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDATFGKEVVSYEIPKPNIGIHRFVFVLFKQKNRESVTASPSSRDYFNTRNFASQNDLGLPVAAVYFNAQRETAARRR >RHN81772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48772181:48774596:-1 gene:gene5859 transcript:rna5859 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTVRLFQDQNVIDHVNDAGTMSIKTDFAGKLKSRAGGRKPLGDLSNAVKPIDIADGKKTFNGSTVKPSVNQTPNLLKSKNNPTIVLHKDKEVVSAKGKNLEINKRTGSKASKKSNTGSRRALTDISNSLHVPDMKNKDSLETSSFKGKYLHPDAIAEERMFHNHEECIKSQSHALDMHHFFKTAILGDDLDDDMKISLEQPAFSKLKSDDAFLELKEMPEELPDMPSPSAKHGSPVYCKSPEFSRISMWDDPAFDFNFTLIESPKSSKN >RHN49756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:891504:892541:-1 gene:gene33964 transcript:rna33964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase METKIHIALVTVPVYSHLRSILEFTKRLVHLNQNIHVTCINPTFSSSICNNVKSLFETLPSNINYMFLPPINLEDLPKDIHPALKVEATLHRSIPSIYDALDTLHSSSKLVAVISDGLINEVLRLTKKLDILAYSYFPSTTMLLSLCLHSSSLDKTISSANKDLLEPLEIPGCIPIHGTDLPDPMLDRSSEAYKIFLEANDRFYLADGIMVNSFLALEETTIRALQEKEDEGIPSIYPIGPFVQNVSCDNGSDLEYFCGTLFSEQILELAFGLELSGQNFLWVLRPPNKHGVIDDLDSGEYEDDILYNFLPNEFLERTSYKPVLRTG >RHN64012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55916322:55921635:-1 gene:gene26785 transcript:rna26785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MQRLLLHLVLLASFVFLSRDVYAGITSSFVRSEFPSVDIPPNHPAFAVPKGHNAPQQVHITQGDYDGKAVIISWVTPDEPGSSHVQFGTSENKFQSSAQGTVSNYTFGEYKSGYIHHCLVEGLEHSTKYYYRIGSGDSSREFWFETPPKVGPDATYKFGIIGDLGQTFNSLSTLEHYIESEAQTVLFVGDLSYADRYQYTDVGLRWDTWARFVERSTAYQPWIWNTGNHEIEYFPYMGEVVPFKSYLQRYTTPYLASKSTSPLWYAIRRASAHIIVLSSYSPYVKYTPQYKWLSDELLRVDREKTPWLIVLMHVPLYNSNEAHFMEGESMRVVYESWFIKYKVDVIFAGHVHAYERSYRFSNVDYNITTGNRYPVADKSAPVYITVGDGGNQEGLASRFRDPQPEYSAFREASYGHSTLEIKNRTHAVYHWNRNDDGKKVATDSFVLHNQYWGNNRRRRKLKHFLLTVIDEVVSI >RHN58676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3870404:3871210:-1 gene:gene20608 transcript:rna20608 gene_biotype:protein_coding transcript_biotype:protein_coding MADACWALSYLSDGTNDKIQAVIEAGVCGRLVELLSNPSPSVVIPALRTLGNIVGGDDMQTQAIAVIEAGLIAPLVNLLQNAEFDTLKEAAWALSNATSGSTHEQIEYLASQGCIKPLCDLLVCSDPKIVTVCLQCLENFLKVGEAEKNPGYTGDVNLYALMIGDAEGLEKIGNLQSHDNYEIYEKAVKILETYWLEDEGETLPPGNGSQLRGSDLPVPSGGFNFSGM >RHN47842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42975355:42979553:-1 gene:gene42488 transcript:rna42488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MASSSSDPGKSAETSEAVAAANDQLLLYRGLKKAKKERGCTAKERISKMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNVSREEYLASLRRKSSGFSRGLSKYRGLSSRWGPSYGRMAGSDYFSSIHHGIGDNSAAESEYVSGFCVERKIDLTNHIKWWGSNKNRHPDAGTRLSEEKKHGFAGDIYCELKTLEQKVQPTEPYKMPELGPLHNEKKHKSSSISALSILSESAAYKSMQEKAAKRQENSTDNDENENKNIVNELDRGKAVEKPSNHDGSNNRLDIAMGMSGALSLQRNVYPLTSFLSAPLLTSYNTVDPMVDPALWTSLIPMLPAGLSRTSEVTKTEPDSTYNMFQPQE >RHN77816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9578401:9580463:-1 gene:gene1309 transcript:rna1309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-phosphogluconolactonase MAFSTTLTNSCTLQSSLFCAQKSNPLSLKTSILPTQIGKNFVFQPLRHSKVFSPNRCVGKIEASLKWEKGYKNVEVFSKEHLAVSLAYDVAQLSNKFIKERGYFTVALSGGSLIKYLRKLVESPYAETIDWSKWHVFWVDERVVPKDHLESNYKLASDGFLSKVPIPPLNVYAIDDSLPPDGAADVYETTLRRLVTSNVISTSTNGLPKFDLMLLGMGPDGHVASLFPGHALLQEDQKWVTFLTDSPKPPSERITFTFPVINASSNVAMVVTGAGKSTAVYTALEDEKQTVMLPVEKVSPLEGELKWYLDKGAASKLFKE >RHN76301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49255808:49259183:1 gene:gene12602 transcript:rna12602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MNWLTNRWTGVAAAIWIQSSCGASYTFSIYSPLLKSTQHYSQSTLDTVSVFKDIGANFGVLSGLLYSAVTPYGDGPSSSKKSRWNSLGGPWIVVAAGAVQCFVGFLFMWGCVVGLIEDVPVPVMCFFAWLSANGQTFLNTTNVVTGLRNFPEYSGTIIGIMKGFLGLSGAILIQLYHTFFDGDPATFLLMLACLPAFISVLFMFLLRIYQVQDCDYKKHLDGFSVVTVIIVVYLMFTIVLQNFVSLPYWARVFTFTVLMVLLASPFGIAVKAHWEDSRMFSQAHSIETTAPTIEYQELPSEEVQVQDTSDNTLLVEEEMNLLQAMCTVEFWMLFVTMIAGLGSGLSMINNMSQIGESLGYSTIQIGNMVSLWSMWNFLGRFGGGHVSDYIMHKRGWPRPLLLTVTLGVTILGHLIIASGFPGNFYLGPVLVGICYGTNWSLMPTVTSEIFGVKHMGTIFNAIAAASPLGSYILSVKVVGNIYDKEASEEDNSCFGIHCFRLSFLILAGVTFVAFLVSLALYFRTRRFYKLVVLKRLKHYVR >RHN69286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42343554:42343829:-1 gene:gene17732 transcript:rna17732 gene_biotype:protein_coding transcript_biotype:protein_coding MHDEYNFQLTYEKKLGCEDVASGCLVWFIAFACYLWDCTLQFSMTPRPNFKIGGGWDRMVKARKIKEGARVVVAAPAMGMNLTLFITWIRQ >RHN43490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46253184:46255298:-1 gene:gene50075 transcript:rna50075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-acylglycerol-3-phosphate O-acyltransferase MPVPKNVLVPRIKGFVKAVTHTRTFIPVIYDCTFIVSKSEPSPMLRILKGIPYTVKVQVKRHKMEELLETPDGIAQWCRDTCVAKGSVFSHQSGCFTGEI >RHN82174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51701680:51702231:1 gene:gene6301 transcript:rna6301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MSSGRKSRGRQKIEIKKMSNESHLQVTFSKRRSGLFKKASELCTLCGADVALVVYSPGEKVFSFGHPNVDTVIDRYLSRVSSQNNGTMQFIEAHRSANVRELNTQLTQINHLLDIEKKRAEELSHLCKSVETQFWWDGPVDGMNRVQLELFKNDLEELKKLVAHHADRLVIQSAPTQTLPLFF >RHN58320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:760305:760829:1 gene:gene20221 transcript:rna20221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MFVGIATAIVNQKIHNSETLIGCAGATGLAVMIIILSTGHISGAHLNPAVTISFAALKHFPWKNVPLYIAAQVLSSICASFTLKGVFHPFMSGGVTVPSVEYGQAFALEFIISFNLMFVVTAVATDTRAVSILYNLYIHADFVS >RHN59334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9660920:9662247:1 gene:gene21346 transcript:rna21346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MAYAKLPLLVLFLLATFFAVFPMKKVEACESHLCWAWQPDKVCYSGSEREPGCYCHPETFLNGFCRDYISEHDMMKKMEEDPNLCQSHADCKKKGSGSFCARNPNTDIKYGWCFLSGSHAQTAFRNALNSEFENLSLKMPSEVST >RHN50186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4924089:4924827:-1 gene:gene34448 transcript:rna34448 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQIKDTNMYYNMLLQKSVVWVLGWFGSVAAVSSCCWLPRIAAGALGPSCHAFLMLLLVVKEPFVATFGNTADDHCAYRH >RHN64606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60353471:60356956:-1 gene:gene27435 transcript:rna27435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MEEISSSVAVPFTLGNLIQKDAAVTTHMEITGLKLMANTAAALILNPTVGNENHADVSLQHQITVSAEVKENQVGASVLSEMLIECESNWILSESHNQAIKDDEIMLAVDFQCLHNSGSQSVADSPIIVTVGDEIHGKFSINEVLPALKAEQNTVSIAMDIERENRSVSEEADPKLSAILLDQLPKENKSLRTSNQNGLELSSGPLWGSSSICGNRPEMEDAIAVKPQFFQVPSQMLMDDHLNENTKYPQAHFFGVYDGHGGFQVANYCQERLHSALIEEIEARQSSLDETNGRNNWQENWNKVLFNCFQKVDDEIETLAPETAGSTAVVAILNQTHIIVANCGDSRAVLYRGKEAIALSSDHKPNREDERARIEAAGGRVIHWKGYRVLGVLAMSRSIGDRYLKPWIIPEPEVNMVQREKNDECLILASDGLWDVMTNEEACDIARKRILLWHKKYGDNGATVLDKGEGGVDLASQSAAEYLSKLALHRGSGDNISVIVIDLKAVRKLKRKT >RHN77268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5569324:5571863:1 gene:gene706 transcript:rna706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MGQAASTVTGTNRRESAGNRSTKTTRSTALVSPMISTDDGDDVADPVDGDGNDGIGNSDYISDLPDECLAIVFQSLNPSDRNQCSLVCRRWLHVEGQSRQRLSLNAKLDLLPVIPSLFNRFDSVTKLALKCDRRSVSIRDEALVIISERCPNLTRLKLRACRELTDAGMEAFAKNCKGLRKLSCGSCTFGSKGMNAVLENCAALEELSVKRLRGIAETAVAEPIGPGVAAASLKTICLKELYNGQCFGSLILGAKNLKTLKLFRCSGDWDTLFTLMAERVASMIVEVHFERLQISDIGLQAISNCSNLEILHLVKTPECTDMGLVAIAERCKLLRKLHIDGWKANRIGDEGLIAVAKFCPNLQELVLIGVNPTRVSLEMLASNCPNLERLALCASDTVGDPEISCIAAKCLALKKLCIKSCPVSDLGMEALANGCPNLVKVKVKKCKGVTPEGGDWLRHTRVSVAVNLDAVEAELQDASASDGGAQDNGIEFPSMPGSAASTSANIASRSTVRSSSFKQRLGLLSGRKIVASTLRRWSGGSTSARHG >RHN42223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36478735:36485377:1 gene:gene48639 transcript:rna48639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MVDTTHFVALSTRYQDILNKTSQLVEKGRKNQSIRKMLRSTLKGLTTVVQDIKQYNENMDHPSKEISTLIEENVAEDCTCKNSWLNNCFSWLRSDSYVVDDNQSLTVNDVKETLYKAREILELLNKENFEQKFNENGPPIFKGPFGVPENPEFIVGIDIPFSKLKMELLRDGSSTLVLTGLGGLGKTTLATNLCWDEQIKGKFKENIIFVTLSKTPMLKTIVERLFEHCGYPGPEFQRDEDAVHRLELLLKKFEGSPLLLVLDDVWPNSETFVEKLQFQMSDFKILVTSRVAFPRLSTTCILKSLVQEDASILFCHYAEMEKNGSDTINKDLVEKVVRSCKGLPLTIEVIATSLRNRPYDLWTKIVKELSQGHSILDSNTELLTRLQKIFDVFEDNLIIKECFMDLALFPEDHRIPVAALINMWAELYELDDNGIEAMEIINKLGSMNLANVIIARKNASDADNYNYNNHFIILHDLLRDLGIYQCTKEPIEKRKRLIIDMNENKHERCLGEKHQSLMTCILSKLLTLCVKQNLQQLAARILSVSTDETCAPDWSQMQPAQAEVLILNLHTKQYMLPKLMEKMSKLKVLIITNYGFHPSELNNFELLDSLHNLKRIRLERISVPSFGTLKSLRKLSLYMCNTRLAFEKGSVLISDAFPYLEELNIDYCKDMVRFPTGICDIISLEKLSVTNCHKLSELPQDIGELKYLELLRLSSCTDLKAIPSSIGKLFNLRHLDISNCISLSSLPEEFGNLCNLRNLYMASCASIELPFSVVNLQNLKTITCDEETAASWEDFQPMLPNMKIEVPHVDVNLNWLQ >RHN75816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45319587:45320507:-1 gene:gene12069 transcript:rna12069 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVEASDTRKTCGGDDNVKKEHASCQDFDSSLRTCSSKCDTYALPVKRLFENSNSLDVSVKKSKASPYDDQGKDGDGIPPTSTTKKPSKSADESFSSLMKELQLVQKSFKKCIRKRQVEKGRLQSIQKDIEECCKELEDKNKLVSCVNEIHNKMQRKVEMKEEELRTLSLKVTECTLVLKTKEEDLDAVNKLISEETEILESTRKKSINIISEMKNSCALMKEFESKQKQFKVRVKELESKEKQYEGRVEELQSKEKHFEERVTELESREKQLEVHAKEFESKKKQFEGQMMDLLSKEKHVENQM >RHN74666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35591645:35592067:1 gene:gene10773 transcript:rna10773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MAKYNVVLLFIVSLVLSQIISFVCVAIETGNENVKLYIVYMGSLPKGVPYFPTSDHRNLLQQVIDGSEIENLLVRSYKRSFNGFAAILNDQQRKKLASMNGVVSIFPSEEFHIQTTRFWDFLGLCQSIKRDQLMETDLVI >RHN72266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6273113:6276070:-1 gene:gene7960 transcript:rna7960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TIFY family MRRNCNLELCLFPPYNSSNHQNHPMVEEEEEEDSNESTPMQNQHQPLTIFYDGKMCVTDVTELQAKSILMLANRIKVQEKVMTPIGSEPSTPTTIVQSPHQLYSPGPGLSMKRSLQRFLQKRKNRVQEASPYYH >RHN66915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21600641:21602591:-1 gene:gene15037 transcript:rna15037 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNQTFYFFVQKEMEQTIETEHEFVENVPRREMPSVAVEGSTLSATSETTNELPIQLVALKRKALQKHLILLVYSFSLFVNKGIELNYNLKHLFNYKQGNEISVEEGTTSANAKRITSAAHSKSVNSSLSQLATSKHKTTSQEDGDVQIAIASPPIATQDVDVEIWQETRKTNDDQVSLNDDDVVKVSSNIEDQFPKNDEILVSKSIPSCIASQYPSKPTGEDPSQKEDFSSSLFVKRELDQLVSKKHFDYENLSFLTDFFVKHPSILLKDTSLSNRYKGYAYNCLAELLKFLQTRSVLDVLGSSNSEFVELLQDVRRFPFDKKWLDGVEKRALFPGLQVSQDALQKLLDSKHILTQHVMDLKHQLDSSEAVLQNITQQEAQILQTRAALSDPIGY >RHN64715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61208222:61210821:-1 gene:gene27564 transcript:rna27564 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLSQQSFLIPLPTLLNPNFRSSRTRKTVVVYCSCTQPQHDDQQQQSLTPLRKKNENKLGKLAMIAVAAGVLTFGSVHDASAAKTGGRIGGQSFRSSAPRPSSPRINNNNSRTNIYINPRVAPPLVGGYGYGGGYGYGGGWGWSPFSFFAPGPSVAVGVGGGFDTLLLFMFFGAAAAVVRKFLGGSRNVDDDDDY >RHN51072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13633312:13635817:1 gene:gene35445 transcript:rna35445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxo-5-alpha-steroid 4-dehydrogenase (NADP(+)) MVCMFPRIYAPHILSTNIIYFSSDPKHSSKTTQKTTQNKQTMTFSTFLNFLFPPPPSLFITTMSVITCVSLANAGINEVRGKHLNYSKFWNVENNNGDKKKKKMIKLSSKSGMLLLYTPAFVAGAASFLVFPDDGFRSLVLQGAVTFHFFKRVFEVLFVHKYSGSMALETAIPITLSYFLSSATLIYAQHLTSNLPEPSIDLLYPGIALFLVGVTGNFYHHYLLSKLRGKGEKEYKIPKGGLFDFVICPHYLFEIIGFYGFSFISQTLYSFSFAIGTTFYLLGRSYATREWYLSKFDDFPKNVKAIIPFWF >RHN50945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12076740:12077633:-1 gene:gene35301 transcript:rna35301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MASSSSSSTPFCTYAIHHATNLHPRQNGTNNSRFTPRKTQPLRMGNPSIQPKLNHHQTPHQHKNVNFAHFLQEGNVNQVLELLGQTDFADYSDFLSLLKLCEDLKSLELGKRVHEFLRRSKFGGNVELCNRLIGLYVKCGSVKDARKVFDKMPERNVGSWNLMISGYNVNGLGIDGLLVFKQMRQQGVVPDEETFALVLTVCALVDGVEEGLMQFESMKEYGIVPGMEHYLGVVNIFGCAGRLDEAHEFIENMPIEAGVEIWETLRNFATICCAKNTLRASVLYRRSSIKDYRIHRD >RHN82236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52193478:52195771:-1 gene:gene6381 transcript:rna6381 gene_biotype:protein_coding transcript_biotype:protein_coding MASSICPWPVLASPATVAPEVSRKSFAQAVAVDCDSQVTPLPPRVEEGVNGKRMKVWSLVKE >RHN68461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35752696:35755895:1 gene:gene16822 transcript:rna16822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MEETLRGNGNVSLSFNSQGVLKICVKNDRNVGSYGIFSGDNPFDFTLPATLFQIIIIVVLSQTLYFLLRPLRTPKFICNLIGGIILGPSLLGRNEIYWKALFPPRQSDVLSVLSLLGAIYFLFLIALKMDLLMTIRAAKSTSLLGILPLMASFSVLSLLLKVFYVPHNPHIKIEASRTALSATIAFSNFPAVSDALIELNLIATELGQIALSAATLNDCIQFFFIVSHRIVGEHTPELKLSIMGFSSWILFMFCSFYILQPMMNLIARSTPVGKPVKQMYVVFILLGALVMAAITDMIGLTFLIGPLIWGLIIPSGPPLGTTLVEKCELIISEFLLPFFFVYVGMTTNLAALEDWRECITMQLIFFAGDIAKVVACVLVSMIYNIKPKHGTVLGLMLNIKGIPHLITFIKLHQIKLMDDETLSHLMIGVVVTTAIITPLIKLLYKHRPRVLSSSSIFDEEMRTIQNTPRNSEFRIVTCLHSEGNVRGMTALVEICNPIQESPLCVFVIHLIELLGKSASILLPINYKQNRKFLSVNYPNTNHIMRAFENYAKNSCGPVTVVPYINVAPYMSMLDAVCNLAQDNMVPFIVIPFHENDHIDLHGHVSTSIRKLNSRFQARVPCTLGILVDRYSRLGVNDQTKPYFHVGILFIGGPDDREALALGIRMSERLNMKVSLFRFIVTNRKYVTRIDSSRTDPLDEEQEEMLDEGLIDEFKSMKFGIGNIFWYEIVVDDAVEIMEAIRGLEADYDLVMVGKRHNVGNLKDEEMGNFIENVQILGLFGDMLSSTEFCIGMVPVLVTQCGRDKRVINKLDRVGSGSVTVSQMSLKG >RHN57156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36953970:36956248:-1 gene:gene32659 transcript:rna32659 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLNLCQCRRQEIVYYIIYSLICNIFKRLYIFVRFKNNRLCFCLVCMLVICWTCIVDILKLKLLTSKLWFT >RHN79645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30862730:30863012:1 gene:gene3463 transcript:rna3463 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSFLGHHQSFLQLENLVHLHHSSENFVVAVCSWMKKMEETHVSLNDDDVDLCQCHDVGEHRKQLGNGAIDEDFVVVD >RHN68549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36430136:36434475:1 gene:gene16917 transcript:rna16917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MRQSLLSNHNNSSSSDSQPPVATTGRTRSHFSSRKISPTSITIEFEKTKRTAKKHLPNGDSYMGTFSGNNTPNGSGKYTWNDGCIYEGEWKRGKASGNGKFSWPSGSIYEGEFKLGKMEGSGTFTGSDGDIYSGSWSSDRKNGYGKKRYVNGDYYEGWWKKNVQEGKGRYVWKNGNEYIGEWKNGVINGRGTLVWLNGNRYEGEWENGVPKGQGVFTWPDGSCYVGNCNNNDFQSSLLNGSFYPGDDFAVTMRKRCFVEGGKDFGKICIWESDGEKGDITCDIVDNVSMLSRIGSESVSDPKEIKQFRRNSGCFASEVKRPGETICKGHKNYDLMLNLQLGIRHSVGKEASISRELIPSDFDLKEKFWTRFPSEGSKITPPHQSMEFRWKDYCPMVFRQLRKRFQVDPADYMLAICGNAALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLLRMLRSYYEHVSQYEDSLVTKFYGVHCVKPIGGQKTRFIVMGNLFCSEYPIHRRFDLKGSSHGRMTDKTEDEIDETTTLKDLDLNFVFRLQRNWFKDLITQIERDCAFLEAEGIMDYSLLVGVHFRDDNTCDKMGLSPFFLRTGKHDSYQSEKFMRGYRFLEAELQDRDRVKSGRKSLIRLGANMPARAERMARRSDFDQYTSLGMSHFTPCRIGETYDVVLYFGIIDILQDYDISKKLEHAYKSLQVDPSSISAVDPKLYSKRFRDFVGRIFSEDR >RHN74071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:26236549:26237589:-1 gene:gene10028 transcript:rna10028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-lipid omega-6 desaturase (cytochrome b5) MSTFTVVHHTAPHIPFKYYQDWNAAQAQLNGTVHCDYPKWVEILCHDINVHIPHHISPKIPSYNLRAAHKSLQENWGKYLNEASWNWRLMKTIMTECHVYDKDRNYVAFDELDPKESRPITLLRKTMPEYV >RHN41042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26354334:26359578:-1 gene:gene47315 transcript:rna47315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative centromere protein C/Mif2/cnp3 MEKHESEVEDPIANYSGLSLFRSTFSLQPSSNPFHDLDAINNNLRSMDLGSPTRLAEQGQSILENNLGFNTENLTQDVENDDVFAVEEGEEFPRKRRPGLGLNRARPRFSLKPTKKPSVEDLLPSLDIKDHKDPEEFFLAHERRENARRELQKQLGIVSSEPNQDSTKPRDRRPGLPGFNRGPVKYRHRFSQETLDNNVDVLSSQEVFESDNLDLVGDNTDTGDASPTSLDNEVAGSPAVEENKGNDILQGLLTCNSEELEGDGAMNLLQERLNIKPIVFEKLSVPDFPDIQPIDLKFLRENSSKPRKALSNIDNLLNRIDIKTPLRRDVGYTEKQLGSPTPPRSPFASLSKLQKQILRSKPSVDPFSAHEIDHISKRNSSPTDTINQEVNIVGSSKPADELSAPVIEDVIAAGETNTILDTSEKSKEEVSRKSSEQVNAPLIEDKVGVSETSSVDNPVINCTSTPLKSMVDNSREPEFNANVDSNEPPVDMDVDIGSSGMGKRAMDDIVGRQNVEPQPYQSEDNLPENMHEFTASLPTDDANLNLVIPLADQSNASNQDEHQANSMDKRSGRSNDGPELSLQENTVGSVAPVNGQKRVKVCAQKVSKGKKREQHRMSLADAGTSWESGVRRSKRFRTRPLEYWKGERMVYGRVHESLSTVIGVKRFSPGGDGKPNMKVKSFVSDKYKQLFEIASLY >RHN52108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31596861:31601097:-1 gene:gene36731 transcript:rna36731 gene_biotype:protein_coding transcript_biotype:protein_coding MCINCALHSKSKSKSSIIPWMKIKCPMQTNGIDCGYIVMQFMKEIILANQDMIPENYFGDYKCKTYSKDKLVQVEEDWATFMVEYLSEFHFLFQQFSFAFFN >RHN76120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47841345:47842373:1 gene:gene12400 transcript:rna12400 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVLQLSFIFHFMWCLRSDDFAITGDFFKDLQEEFKNWEASAASQGKPKSLWEELSEIGEEFVEFLEKELNIVDSNDNPQGGNSSNLYETETPSNSTQGGANVEDNLDEIEATLARLKKELGL >RHN57445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39216545:39219193:1 gene:gene32990 transcript:rna32990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MAFDCPQSIKVSQNLVENPGISIDIVELNPKPNSKAISISFPDFVTNTKSESGKGEIFKGKSKLNSKKGIKAASAGALNQSSVPGDVVIGNCRSITDLPPVLISEILNCLDPKELGIVSCVSLILRSLASEHHAWKEFYCERWGLPAVPEAVLDSDSGVGDSVKDEKSWKDIFVERDYRSKTFMGRYSMDVLYGHTEAVRTVFLLASTKLIFTSGYDTVVRMWNMESGLSVASSKPLGCTIRAVAADTRLLVAGGTDGFIHCWRAVEGLPHLFELRNSQQNKNEVRLWGHDGPVTSLALDLTRIYSGSWDTTVRVWDRHSMKCTVVLRHSDWVWGLVPHDTTVVSTSGSNVYVWDTNSGNLATVVLNAHVGNTYALARSHTGDFIFTGGEDGSIHMYEIVDGSYVTEALHVATWDPHSGPVYSLAFEFPWLVSASSDGKLALIDVRKLLRRSKRAIGKRATKAKYSGEVNVEPPQRMLHGFKSNLFSVGIGADRIVCGGEEGVVRIWNFTEALEIESRVRALRGMRLENRMRRRKNQTELNSKGGKSDQCSAAAKKSSVTCIWPSKRGMGGKTKA >RHN45761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25343993:25345127:1 gene:gene40154 transcript:rna40154 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLLKPITFIVVTLCSLLTRLIFNTIAYTFVLLIQGLKSSGEGSLGIFQQVAEIIRACFEFILQLLIDSIISIMSSMFDVVKDTITGSVSATGSIAAELAEKLKSSFEESLKQVPELFEEVLEMMSNMVTEVWNNYKEAVGYVTENA >RHN53062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41626664:41627378:1 gene:gene37812 transcript:rna37812 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGTSKTNEGISSLQHYISLLLRNFSCFGCLLWSFSRNSAMKLELWSQYFSLTFSQYFSLTFSQYFSLTCSQYFSLTFSQYFSLTFSNGIKNILLQILLLF >RHN77212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5106998:5107423:-1 gene:gene641 transcript:rna641 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRKLLYENIYFSTTILHVARFLWMGNRFSCIAHKDFYTTFQIYILDFDTGKWSHYHEMGPFDYVAACGHELDTLYVVFRLWINDQIIFKVSLLGRDIKHIHFGYNVKTKHLTKIEGITMGNFEVWPHVNSLVSFPSTPT >RHN77041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3452616:3455312:-1 gene:gene448 transcript:rna448 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLEQSRPWFLYAVPLLVFLLIAFHVLALVYWIYRLSTDTKPQQQTLQQQIQQQQQQRRKAH >RHN40168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13819431:13820094:1 gene:gene46282 transcript:rna46282 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLKHPRVAVLSLSLYHNQSLQQVVCSTGMLLVAFLPRSVATIIGTLVAFLIVPTRSLGSDKTLA >RHN58660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3740225:3740664:-1 gene:gene20592 transcript:rna20592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVEILKFVYALILFLSIIVSTSSAPLMLKPCITDKDCPKHRGVNGKCRKGYCVGVGRNY >RHN70788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54010733:54011179:1 gene:gene19405 transcript:rna19405 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHAQVRTWNLANKWNQEFRDDRDFDFFFLKSVPNDLPSLGNGQEKTIYVSFSARCNRPKTGLLNITEPAPVDGANEASISGDENNASSTNNASCASVRASNKILIYLFLAGCKQNSSAS >RHN79314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27496836:27499837:1 gene:gene3089 transcript:rna3089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDDDTKVEECTITDLSSIHSQNDVVCTEPLSETTVKNEQPLPPTAGDEAPVLPEEVNFDILLRLPVRSLLQLKCVSKSWKTLISNPQFAKSHLLSSTSYPQLFTSAVKIGDREILSYPMKPLLENPSTPVEPVTITMRHKYNILGSCNGLLCLYDSSQSNFRLCNPSIKLKSNGFPIVASFDNKIITHHGFGYDQVNDKYKLLVVVLNKDDFSEKVTRIYTFGENSWTTVSNFPCNPGMWLGKFVSGTLNWIINEGGVNSNQRVILSVDLEKETYGEVLLPQHDGNRFCNPMMYVLSNCLCVCCDHSETHLAVWIMKEYGVAESWTVLTIIPREKLIWNCPRQPHVEPLFVSKDGVLLLRTMRSKLVLCNLNNAGIDYARILGILGRDVHIYYESLVSPF >RHN74328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31880534:31881463:-1 gene:gene10369 transcript:rna10369 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQSSAKRHYDITMSRRTRKQQSLANEKPISKVETPKDVSLETTIPEVKEGNENNIDHKSLKQLIVGEEKESTKKCSSSDEGESKGRNSLGEHFTEEEKQLQLVRMQQKDNLQGLKFKKLVRRYAKVLGHMMKSKRDPHLGDAGKKPVFKLSA >RHN64608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60385837:60388196:-1 gene:gene27437 transcript:rna27437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived nuclease domain-containing protein MEIDSLFPSSFEDYSHFFPELEQPNVNTKKRNHPEDSSQSQSELHYQWIDVKNTNNNFNDTNSLNNFLTTLIQMDTPQQQIPTTTTTTTMNNSSFEIDFDFDELTSSPPKKQRRDTHAAVATASSSSVMGGPVRRLWVKERPKDWWQKCSSPDFPEEEFRRCFRMSKATFEFICQELESAVSKKNTLLRDAIPGRQRVAVCIWRLATGDPLRLVSKRFGLGISTCHKLVLEVCAAIKKVLMPKFITWPDENKMNLIKQEFEGLFGMPNVGGSMYTTHIPIIAPKNNVNSYFNKRQTQRNQKTAYSVTVQGVVDAKGVFTDVFLGYPGSYNDDQVLEKSVMYQRAMTGNLKDSWVVGNSGFPLMDGILVPYTHQNLTWTQHAFNEKVEDIQKLSKDAFAKVKGRWSCLQKRIEVKIEELPGVLGACCVLHNICEMRNEKMDPAWNFELFDDEMVAENGVKSVAAAQARDNIAHDLLHRGRVGNTFL >RHN65416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2029574:2033569:1 gene:gene13252 transcript:rna13252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-Xb-1 family MKKRENKPRNMSCKCSSTRTRTCAIVLHVIVSFITLTLTSSTITTFDSATLLNNFKHSNIISDPTNFLSNWSLSSSPCFWQGITCSLSGDITTVNLTGASLSGNHLSLLTFTSIPSLQNLLLHGNSFTTFNLSVSQPCSLITLDLSSTNFSGTFPFENFVSCYSLSYLNLSRNFITSTTKNHSFVGFGSSLVQLDMSRNMFSDVDYVVEVLTKFESLVFVNFSDNKIYGQISDSLVPSVNLSTLDLSHNLLFGKLPSKIVGGSVEILDLSSNNFSSGFSEFDFGGCKKLVWLSLSHNVISDFEFPQSLRNCQMLKSLDLSQNQLKMKIPGAVLGGLRNLKELYLGNNLLYGEISKELGSVCKSLEILDLSKNKLSGEFPLVFEKCSSLKSLNLAKNYLYGNFLENVVAKLASLRYLSVSFNNITGNVPLSIVANCTQLQVLDLSSNAFTGNIPSMFCPSKLEKLLLANNYLSGTVPVKLGECKSLRTIDFSFNNLSGSIPSEVWFLPNLSDLIMWANRLTGEIPEGICVNGGNLETLILNNNLISGSIPKSIANCTNMIWVSLASNRITGEIPVGIGNLNELAILQLGNNSLVGKIPPEIGMCKRLIWLDLTSNNLTGTIPPDLANQAGSVIPGSVSGKQFAFVRNEGGTNCRGAGGLVEFEDIRAERLEDFPMVHSCPLTRIYSGYTVYTFTTNGSMIYLDLSYNFLSGTIPEKFGAMAYLQVLNLGHNRLNGKIPESLGALKPIGVLDLSHNNLQGFIPGSLQSLSFLSDFDVSNNNLSGLIPSGGQLTTFPASRYQNNSNLCGVPLPTCSASNHTVAVRMLKKKKQPIAVLTTTCLLFFLLFVVVFVLALYRVQKTRKKEELREKYIESLPTSGSSSWKLSGFPEPLSINVATFEKPLRKLTFAHLLEATNGFSAESLIGSGGFGEVYKAKMKDGSVVAIKKLIRVTGQGDREFIAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKYGSLETVLHERIKSSELAWETRKKIALGSARGLAFLHHSCIPHIIHRDMKSSNILLDENFEARVSDFGMARLVNALDTHLTVSTLAGTPGYVPPEYYQSFRCTAKGDVYSYGVILLELLSGKRPINSSEFGDDNNLVGWSKKLYRERRISEILDPELVVQTSSEGELFQYLKIAFECLEERPYRRPTMIQVMAMFKELQVDTDNDSVVDGFSMKDNVIDEA >RHN60366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25846710:25855007:-1 gene:gene22671 transcript:rna22671 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVLRDGGSIIYFIPTPFRSLRFGERTERAVSTIRKRKFHSISSSSSCCTPAMARSEKAQKRDELAVQDFMISVAQEGWNIDQDIVLLKLTVDAHTGKGKFLNFGLKPLNCNDGWQKLAELFNKGINPFDVHVEELKTAKDVCRILDLLKENYEKVNVRV >RHN56803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33850817:33853788:-1 gene:gene32257 transcript:rna32257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family METCNKENEEIVDSAEGVNKNSSVKVMISFPKDFECCICLQPSSSIPVFQCDNDHIVCSTCFPQLMNNCHKCSMPISSKCCKVIENISQSIQMPCPNKKYGCRETISQSGKRKHEEECIYVPCYCPVKGCDFVASLEVLSNHFNHKHGDSLIEFSYGHSFTVSLNSNDEAAVLQEENDGKLFTLNNSTMLLGNAVNISCIDVNSSEAGYSYDILARSKTSRLKFHSSPKNIQRSTSATHSSEYLMIPFGYFGSSKPLELEICITPKMQIFIAHGCGKMTPLKVESSDTIANVKDKILDKETIPVHQQRLMFANKLLDDSHTLAYYNIQEKATLHLILRLP >RHN68730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38077471:38077791:-1 gene:gene17118 transcript:rna17118 gene_biotype:protein_coding transcript_biotype:protein_coding METKHKSAQNVKPLMKAFESKEIAKWKARYEDEKKKTMLISLIVFGWFVKN >RHN60367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25862753:25864238:-1 gene:gene22672 transcript:rna22672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MKWNRGHAIGHGSTSTVSLATVSGEIFAVKSSELSRSEPLQREEKILSSLCYPNPHVVSYKGCDITKDNEKFMYNLFMEYMPFGTLSQHGGMLDEQAIMCYTRQVVKGLEHLHSKGLVHCDIKGANIMIGEDGAKIGDFGCAKSVNEAAAPIRGTPVFMAPEVARGEEQEFSSDIWSLGCTIIEMATGSSPWPNVDDPISTLYHIAYSNDVPQIPCFLSNQAKDFLGKCLRRNPKERFSASQLLKHPFLGELLCSNDKQVLESNSISPTSILDQCFWSSMEESQSLSFCSLIHTTSFENSLVDDRIKRLAGEPCLAWWHDDHDDENWITIRGKEVDGFCNCGSEIGSTSDELVKSSVKDRISGHFCNDHTHICRVSSFVGSNVNFQPDIVKMLLPSTLDVL >RHN38397.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000028.1:9763:10648:-1 gene:gene50783 transcript:rna50783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MFFLQSFHHRQTPSSYPPSQSSNSIVPNRYPNRNGYIKFRTTYNENLHYLKALTIINPNTKPNNLPHPDTINHILTIITFLKSHSFTEADIPRLVHHSPHLFTTSISPTSLSPVFTFLASDLLASVEDSHGLILRCPNLLFTDVNHILKPTLHFLREEVGVSNLNRPTNRNAHLLNTRVEKMRMRVRFLEEVVGFTYEEARNVCARLPAILGYDVENNLWPKFVYLVKEMEREVEELKKFPQFFGFSLDKRIVPRHLHLKERGVRIPLNRMLMWGDEKFYAKWK >RHN73081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13277116:13293184:-1 gene:gene8860 transcript:rna8860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PH domain-containing protein MGAPDKSQENVNSLQRVKVYRLNDDGKWDDQGTGHVTVDYLERSEELGVFVYDEEDNETILLHRIISDDIYRKQEDTIISWRDPEYATEIALSFQEPGGCSYIWDHICNVQRNMHFNTLNSEAFHSVNSELSELPAVELSTLPLILKTVVESGITDQLRLTELILTDQEFFPKLVEVFRVCEDLENMDGLHMVFKIMKGIILLNSTQIFERMFSDEFIMDIIGALEYNPEVPHAQHHREFLKENVVFKEAIPIKNSTVLSKIHQTYKIGFLKDVVLTRVLDEATAANLNSMIHANNATVVSLLKDDNAFIREFFARFKSPTTSPESKKNMINFLHEFCGLSKSLPMVQQLRLFRDMVNEGIFDTVTDVLQSEDKKLVLTGTDILILFLNQDPNLLRSYVVRQEGVTLLGLLVRGMVTDFGDDMHCQFLEILRILLDSSTLSGAQRDTTIDIFYEKHLCQLVKVITASCPPENVANGSNKFVDPDKGDQNHSGTKPEILLNICELLCFCVLHHPYRIKCSFLLSNVIDKILLLTQRREKYLVVGAIRFVRTILSRHDEHLINHFVRNNLLKPLVDVFVANGSRYNLLNSAVLELFEFIRKENMKLLITYIVDSFWDQLVKFENLVSIHSLKVKYEQCLDNDGTKGTVTNDLRRRIEERALEKEEEDYFNEDSDDEDSTSTSISHHQKGQQQKPLHVLSNGAAASHSNLSPRSGGLVDYDDDEDDEDYKPPPRKQPEASEEDEGALELLGTKRKLPLKDKMTDVVHKQKMSKSLKSKDTVFSALCSTLSQAVLPGKKTAMNDHTGDQTIDGRMSSTEDNQEKEIKVSRSCSENSNTTAEENHVEKETDTPRNFSDGSNGTTDNGQLGGEEHSLVSPKSSPEMAVKGS >RHN78424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14972270:14979636:1 gene:gene1976 transcript:rna1976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-associated serine/threonine-protein phosphatase MDLDQWISKVKDGQHLLEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRVIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRTGVPYFL >RHN58169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44283448:44285399:-1 gene:gene33785 transcript:rna33785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fasciclin-like arabinogalactan protein MKKQQLAPLVLFSLTLILSLIHSTTAAAATSGNHNITRILAKHPGFSTFNHYLTITHLADEINRRQTITILAIDNAAMSSLLDKHLSITTLKNVLSLHVLVDYFGAKKLHQITDGTTLVSSMFQATGSAAGTAGYVNITNLKGGKVGFGAEDNDGLHSYYVKSVEELPYVISVLQISNPLSSADAEAPTAAPSDIDLIGIMSKQGCQSFADLLRVSKALPTFKESVDGGLTVFCPTDTAVSGFSAKYKNLTDSQKVSLLLYHGVPVYQSLQMLKSNNGVMNTLATEGHNKYDFTVQNDGEDVNLETKVNTANIVGTLIDQDPFVVYKISKVLMPRELFKGVKEEKDLAPAESPKAAKSKAKKKKAAPAADEDADSPADGPDADADSDDQKAADNENGVNGLNQGLRFIMVFFSLFIGALVL >RHN69075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40813458:40816561:1 gene:gene17503 transcript:rna17503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MMMRSRAITSKLYTSCLLATSKSFLTSSVPPLLAKTKTSHGSIIPQFQGYKNSFLFPRAYWSASSALLDEEVRGRCATGLLKAVEDQHGGVIINIEEPMDSFDFASMLDASLSQWRIQGKKGVWIKLPREHSNLVASAVEAGFKYHHAEPDHLMLVYWIPNTPDTIPANASHRISIGAFVVNANMEVLVVQEKNGRFSGKGIWKLPTGAVNEGEDVCAAAIREVKEETGIETEFVEVLAFRERHKCFFQKSEILFVCMLKPRSFNIQSQVSEIEAAQWMAIEDYVAQPFVQQNELFDFLTKVGLSKLEGKYSGFSTMLTSTSSCKSHVYINTKDSSHLLTSKIGES >RHN77059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3625508:3625762:1 gene:gene469 transcript:rna469 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKLRWKSSQAKILGSTVSILGALLVVLYKGPIIIPSPSTQSPPIIHSPITSSTTESNWILGGSLLVIEILIVPIWYIIQVY >RHN58861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5498350:5504249:-1 gene:gene20815 transcript:rna20815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MMINSFAVMHSLAAVVLVSVVCVANAFTRNDFPPHFLFGASTSAYQVEGAANEDGRKASIWDTFAHAGNGGLYKGNGDIACDQYHKYKDDVQLMSKMGLDAYRFSISWSRLIPDGNGPINPKGLQYYNNLINELTNQGIQPHVTLNHWDLPQALEDEYGGWVSRRVIKDFTAYADVCFREFGDRVKHWTTVNEGNVCSMGGYDAGFLPPQRCSSSPIFNCSKGNSSTEPYLVTHHMLLAHASATRLYRKMYKVKQQGFIGFNLLVFGFVPLTNTSEDIIAAQRAQDFYLGWFLNPFIFGEYPATMKKNVGSRLPFFTSREANMVKGSLDFLGINFYYSFYVKNNAKSLQQKNRDYTADMAVELTPYTVNGTSTDEIPVIPWTLEGLLHSLKDIYGNFPIYIHENGQQTRRNSSLDDWTRVKYMHEYIGSLLDMLRNGLNIRGYFVWAFLDVFELLGGYEASYGLYYIDLEDPTLRRQPKLSSVWYSNFLNNRTTDSVITMKIEENSSLLSNTPLKNIAT >RHN42598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39603595:39605613:-1 gene:gene49055 transcript:rna49055 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGSSNGRKGYAWAVSAGLNAALAAIFAKFTFHILIKYGFVVFFNVTMWCCYVNSLKALSSLQATVTNFATNFISSGLAGFFFFHEHLSFQWFAGASLIIVGVIILSNSSIEKKVSAD >RHN60824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30677255:30683179:1 gene:gene23209 transcript:rna23209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative interactor of constitutive active ROPs MHTPKTRSGSSEVVPKKVSPRAVRQLRTTTTLDTDSVSSSSTQTSKISKERSSKLADRKSPRSPVPERKRPSKISELESQISQLQDDLKKVKDQLILSESCKKQAQQDFEESKEQLLALSAKLEESQKQYLELCATGEARDIELQKITEERDSAWKSKIDVSQQHLSVDSTALSSALKEIQLLKAQLELVATCGSVQTQQAESADKELLNLNQNLSESLSQMENMKNQLKNSKEPETRAQIFIDETLLQLESAKRTVEILRDDVVRDVDGYNSIALELEHSRARVNTLATLVSKFKTRINDNEPIHSDQNLVDDCKFEKESEILRNGEEPNHIEEEICSLKSEVGRLRSAVETAETKYQQQQIQSTVQISNAYGLMEQIKSELGQRQCELEAELQRKKTDIEELKANLMDKETELQGIMEENENLNSKLEKNMSSQNEHGLQKELKRLEECVAELKADMMDKETTLQSISEENEMLKMKINKSCLDDSKVREEIEKAEAAKREAVTKLGIVMEEADRSNRKVARVTEQLEAAQVASSEMESELRRLKVQSDQWRKAAEAAAAMISAGNNGKLTERSVSLDNNNNYKYSPNYAEDVDDDFQRKKNGNMLKKFGVLWKKPQK >RHN62251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42069155:42071227:1 gene:gene24805 transcript:rna24805 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHRIELCISMVRMAIEFVMAVAETVVIVQERNSEPFSPINRANTPLPFYGYLR >RHN41603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31424646:31425736:1 gene:gene47941 transcript:rna47941 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCESRMMGFPDLELGDRKSNSNTHPFSNLQLTLELGEKKMNSKLPCFENEFQGLLMDFWMKKLNTC >RHN43251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44328107:44336649:1 gene:gene49796 transcript:rna49796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Arf GTPase activating protein MHFSNLDDTPMFRQQLLCLEESAESLRLRSCKFYKGCRKYTEGLGESYDGDIAFISSLDSFGGGHTDPHFVALGGPVMNKFTIALREITTYKELLRSQVDHMIDDRLLQIVNVDINEVKEARKRFDKAALVYDQAREKFMSLRKSTKIDIATVIEEELKNARTSFEEARFSLVGALNNIEVKKRFEFLEVVTGIMDAHLRYFQQGYQLLNQMEPFISEVLDYVQQSKENFDKDQILLYKKMQEYKKQVYQDSRLSLNGPNGSPSGDSAHPFSRISNEVVDVVKESAANGKVQIIRQGYLSKRSSNLRADWKRRYFVLDSRGMLYYYRKPCTVSYGSNPSSPRKNSPTDNGSGLLGRWLSSHYHGGVHDERSVARHTVNLLTSTIKVDADQSDLRFCFRIISPSKNYTLQAENAVDQMDWMEKINGVIASLLSVQTLGSPLSAKSENGDAYFADMSSLDEDHTEVKRSSYKSFSPRNHLRASKSMQLQKHSIRNEKPIDVLRKVNGNDKCADCGKPEPDWASLNLGILICIECSGVHRNLGVHISKVRSLTLDVKVWDHSVLSMFESLGNHFANSVWEELLCSSSTLQDVDTSVGSCKENRDNPFHARKPKHDDSISLKEKFIHAKYAEKIFICRTEKNLAQQMLKSICANDKKAAYQHIVKSGMDVNTISRQAFSGDSFNAASLINSNISSENESQLTDDIQNGSSAIHLACLTSDAGMVDLLIQHGADINACDSRGQTPLHYCIIRRKPAAAKVLLTRGANPHVADKEGNTPLKLASETDCVGNEILTLLEKR >RHN51674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22397784:22400125:1 gene:gene36164 transcript:rna36164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol O-acetyltransferase MAQSLLFKVKRSEPELISPSKPTPHEIKRLSDIDDQQSLRFHVPLIQFYNYNPIMEGKDPVVVIRKALAKTLVFYYPLAGRLREGPGRKLMVDCTGEGVLFIEADADVTLKQFGDALHPPFPCLGELIYDVPGSSDVLNTPLLLIQVLSLSLTHVCMRAHTLFMILFSYVLFIFA >RHN53015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41233215:41249078:1 gene:gene37759 transcript:rna37759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed RNA polymerase MATEQPPVTLPASVENLINQICVKQSQPPLDPSTIRLLATMAENQALQILNVISNTPIRTTFNAFTLFMINKHSSQSQSPSQSQSFPLPSQFQTSPLAFPLLEDSGVLTALGELEFRKSFLMLSYAGGESIEKVVTAEYVRSLKDLPMRDFESKIWEAFGKHWCIDYRDRRSSLEWDFGSTLRYECHVSPNGSLRFKGPFWQSTRTHLQKSLGDDNVLVVKFMDLNESKENTIVQDAHELYGRFGKEGIHVGLRLYRFFVSKDGGKEAKKEDSTASSVKCYFVRTESSCSADERESYILSNKTMSDCRSFFMHAHLLPSIEKYMARFSLILSKTYTLNVDFTTVVVQKIPDEYCRDGNGKVVYHNEKECILTDGTGFISEDLAKLCPQNVFKGTNTKNTYIKEISNLVDQDTSQAVGVTALSTHQPPLLIQCRLFHMGSAMKGTLLVNRKLPQNTIQVRPSMIKVETDSLTNIPSLNSLEVVTTSQKPNRAYLSKYLIALLSFGGVPNEFFMDVLKRNLEDAEHIYTNKRAALRASVNHAEMDEYNAAGMLLCGIPLDEPFMRYHLSILAKTEKNRLRAGKLYLEDCFYVMGTVDPTESHCLKPNQVCIIHENGQITGDVLVYRNPGLHFGDIHKMQATYVEELESYVGHGKYAIFFPCVGPRSIADEIAGGDFDGDMYWISKNPQLLQYFKSSKPWIESPPCNSARSFCVKKPSELSAEELEEALFRLYLETRFQPSSAIGMAADGWMALMDRLLILPNDRSIEKEQVKENIYKLIDLYYEALDAPKKGGGKMKIPSNLNVEMFPHYMERNISFTSTSILGSIYDEVCRWQTTDMSGIVISKLPCFDVEIPMDRIEYWGKLHKQYRLDMSSALRDTSKKSNEEAAEVIKIYKQKFDAVANFEDHSKNISEIYNEALAVYNVTYDHAIKSNNDVAKCAFAWKVAGSVLIRFYAEKHHLKTLPCNPFVLREIFGS >RHN46598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33055599:33055864:1 gene:gene41102 transcript:rna41102 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRQRFRLFLAYSDLSGWCAGGSTDLHLVRVAEAIGGFSGSVADVITVVMWKMKLVVVDPDLGLL >RHN67261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25279645:25279983:1 gene:gene15433 transcript:rna15433 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMSVSLVVDQLLPLLREEAKLLRGVHKEFAEIKDELESIQAFLKDADKRAAGAEEDNTSEGVKIWVKQLREAAFHIEDIIDDYLIQVGQQPRDPGCIDVANSMKITPIAT >RHN47304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38662112:38663764:-1 gene:gene41883 transcript:rna41883 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNISVNNGDSVFGHALLTSKQLTKLVEFVNLSILGELETWSKSQWQPKYM >RHN48912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51088260:51089103:-1 gene:gene43678 transcript:rna43678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MSSKGATTTTKGGRGKPKASKSVSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGAVTIANGGVLPNIHQTLLPKKVGKGKGEIGSASQEF >RHN67674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29123568:29124323:-1 gene:gene15883 transcript:rna15883 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEARSGLYFSFFFLFLLCSARARDPTLFSRNQNSQYEIDDYGPPRSNPGHDPRKPPPTPVYNEENYEGIGAKPKHDPHSATTLMSEGTVLEPSKLSSIT >RHN76734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:840275:845456:1 gene:gene104 transcript:rna104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MFAETGLLFPYLQNYSHEFQQLEEYCMTHKSNASMSDLVQSSAMSEFDLFVEGDLFKAPETIIEEPEMDLDQMQAAMSMMSGGEDMSSQGLKSSDIDDVLQSEQLLSEVYYDCKKDLLEKATIESPLSEILEIKIPALNIDENSIQENKPIPDVLLSKSVSSGNLSSMDWMHGPAMKPAFLDFPGIDFNAVYGMRRAFSEGDIKTLGNGNMSVGQSTLERPFLLSNCTSEQRQEKLSRYRNKKTRRNFGRKIKYACRKALADSQPRIRGRFAKTEELDVKKQ >RHN73397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15951297:15955115:-1 gene:gene9201 transcript:rna9201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLQSSMEGTLFPNRPVLPLPTKKPTQALKFKPTFSHSPPPTPSQQSQSQTQTPPSFPIDSLLHHLKHLSSTPIITHTQTLVPNNNNNNTHFTSLQIQLDEKDENLQQGHTKRPTSSSVDYNHFDDVKFGFLSGKSKFMLNCIVGSSLNDLIEFFNSVKGELLESDITGLLKGLDLSGNWERAFLLFEWVWLNFGSENMKVDDQSVEFMVKMLGRESQYSIASKLFDIIPVEEYSLDVKACTTVLHAYARTGKYKRAIEIFEKMKETGLDPTLVTYNVMLDVYGKMGRAWSMILELLDEMRSKGLEFDEFTCTTVISACGREGILDEARRFFDDLKLNGYKPGTATYNSMLQVFGKAGVYTEALNILKEMEDNNCEPDAITYNELVAAYVRAGFHDEGAAVIDTMASKGVMPNAITYTTVINAYGKAGDADKALEVFGQMKELGCVPNVCTYNNVLVLLGKRSRSEDMIKILCDMKLNGCPPDRITWNTMLAVCGEKGKQKFVSQVLREMKNCGFEPDKETFNTLISAYGRCGSEVDVAKMYGEMVAAGFTPCITTYNALLNALARRGNWKAAESVVLDMRKKGFKPNETSYSLLLHCYSKAGNVRGLEKVEMEIYDGHVFPSWMLLRTLVLTNYKCRQLKGMERAFHQLQNNGYKLDMVVINSMLSMFVRNQKLEKAHEMLDVIHVSGLQPNLVTYNSLIDLYARVGDCWKAEEMLKDIQNSGISPDVVSYNTVIKGFCKKGLVQEAIRILSEMTANGVQPCPITFNTFMSCYAGNGLFAEADEVIRYMIEHGCMPNELTYKIVIDGYIKAKKHKEAMDFVSKIKEIDISFDDQSLKKLASCIRESLGS >RHN67252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25187260:25192340:-1 gene:gene15423 transcript:rna15423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MASAYAESSPLISSDGVKAAVSEFARGFNHSYYLPLHLAILKGDWESTEAFLDNDPRALTAKVTVHGRTALHVAAVGAQWNLVEKLVEYMPANMLTELDFMGCTCLHYVAMGESVNAVKALVAKNPSVTQVTDFKGFTPLIYSLTSTRHRDMVWYLLMNTTDERPGCPFSGPSASQLVALLTASGFHDITMHLLQRYPDLATISDSNGSIILNVLSKLPSHFPSGNTYTNNFDYKLAPVELELSPNQPYCGNITWNAFQYCFGSSMKRVRDAKLRHLSAVRLTEFVFSQASAMNDYQFYESFVSADIIFNATSSGIVEILRICFQFFPDLVWTHIPNEGYVIQIAIKNRQEKVIRLLSKMPIICKLLVLAIDESNNTTSHLAARFSSNNKSTLGAAFQVERELQWFQEVEKLDHPLHKDVKNSDGKTAREVFIEEHKQLVEEGNNWVKDRSNACMLVATLIATITFAAAITVPGGNNQDKGIPIFLLQKKFDLFIVSDVIAFFYSMGSLLMFLPKSNGPKTQKGFANILTFRLKLGLYFLLFALVATTIAFTAALSMLLEKRFKFSIISISIFACIPIIYATGLRYPNLRRIKLL >RHN75114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39500885:39503890:1 gene:gene11273 transcript:rna11273 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLSKFFLVFTFFSFNPLFSLASKSSYKEYCASIIPETTPTTKKFNSFPLSDHNTGYYIGGDSIINIDASWNRFSLYFPPRNTYATSHPHLFKIEGTISFTTNNDASYYNHHHHMGYLTFKLDGFWSQSSGNVCMVGKSKGVSKKGDSLNLDVVFKLNNVFNSINITSLVSGSLESLSSEKDDDDHYFEPISLMMFPKANYSYSLDSKEVENEFSFGSDDDEEGLSLNFDSFSFCKYPLSSAIRRLQLEYTHECNSTKNCTPIISGSSNQLPSHMSLKGIECSSKKKDRIRVLGEFSNSFVYYWNRNNSQSFNAKTMLIGEGWWDEKKNMLCVVLCHFNGSKSTSLDGTHVGDCSLRLRLRFPSIWSIKNSSSIVGQIWSNKSANDQNYFKTITVRKDDANYGVGGKDLRYEYSQLDRVNQSCPPHKVIENQGKRVQKKVAWGSSSPLFVDDEYVSTSSVSISRSEFDAGILNNDSLFNISYKISLSAMSSSPSDKNSLFNMSYYSVKISAEGIYDARYGTLCMIGCRDLVSNNGTPTANSLDCEILMKFQFPSLDTKDRSYIKGSIESTRPKSDPLYFNSLEVSAVAYYIQEVRRNVWRTDMEVIMALISTTLACVFVGLQLNHVKRNPNVLPFISIFMMSILTFGHMIPLVLNFEALLAKNPNNTTYVLGNVEKWLEVNEISVRLITMVAFLLQFRLLHLTWSSRKTDESKNNHWIAERKASYVTFPLYAVGLLIALLLKLKKDRDSVTSMYQVYRQHDPSWESIKSYGGLVLDCFLVPQVILNLFSNMNENVLSCSFYFGTTFVRLLPHAYDLYRAHNYADQDSDLYFYADPSQDFYSTSWDIFIPLVGIVFAIIIYLQQRFGAQCVLPHRFKGSKGYAKVPDSEGEVETTNM >RHN54648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11020897:11026217:-1 gene:gene29718 transcript:rna29718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, formin, FH2 domain, protein-tyrosine phosphatase MSLLSRFFYKRPPDGLLEFIDRVYVFDSCFSTEALPDGMYQLYLHEIVTELHEEFPESSFLAFNFRDGEKRSNFAEIMCDYDVIVMDYPKNYEGCPLLPLSLIQHFLRVCESWLLLGNHQNVILFHCERGGWPLLAFLLASLLIFRRVHSGERRTLEMVHREAPKGLLQLLSPLNPQPSQLRYIQYVARRNIAPEWPPPERALSLDCVILRGIPSFDSSNGCRPMFRIFGRNLLSRGGLSTQMIYNMHKKKHLRHYSQVDCDVIKIDIQCLVQGDVVLECVHLDLDPEREVMMFRVMFNTAFIRSNILMLNTENLDILWDSKERYPKGFRAEVLFGEVENISPQRAPTETAILNGEEKGGLPIEAFSRVQELFSGVEWVDSGDAAAVWLLRQLTVLNEAKEFSRMQGKGSWFSSPADSEEENNGSSIADSSDEAFDVTPKSSAVPSKLLTSDTPDLDHLAFENNGGNCVNLTSRMPDQLLTDNVSPPHHTGGSPLGCNTDNASGPPPPAPPPMTSNASSASTFHSSPLPGPVHTSSVPLPPPPPPPPGFAPIGSAPPPPPPPPTFPTRIGLTPPPPPPPPPPVPSQTGSAPLPPPPPPVPLQTGSAPPPPPPPPVSLRTVSAPPPPPPPPVPLRTGSAPPPPPPPPPGSTRTGSVPPPPPPPPPGSTQTTSAPPPPPPPPGSARTGSAPPPPPPPPPPGSTRTGTAPPPPPPPPGSTRTGSAPPPPPGSTRTGSAPPPPPPPPGSTRTGSAPPPPPPPPGSTRTGLGPPPPPPPPGPPRTGSAPPPPPPPGPPRPGSAPPPPPPPGPPRPGSAPPPPPPPGSGRTGSAPPAPQPPNAPPPPPGRGSLPAPPPIAGRAPGVPPPPGKASLATTNVGRGRGTGTTGIAVKKTLLKPLHWVKVSRAVQGSLWADSQKQDNSSRAPDIDISELETLFSAASISDGNSTKGGVRRGPNINKPEKVQLVDLRRAYNCEIMLSKIKIPLPDMLNAVLALDSCVLDIDQVENLIKFCPTKEEMEILKALLSSLA >RHN47128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37332893:37335698:-1 gene:gene41686 transcript:rna41686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acyl carrier protein (ACP) MAARRTGLPLINYLRVQVDSRPLNHQSPFRLIPNFFLRRFSEEVRGTFLDKSEVTDRVVSCVKNFQKVDPSKVTPSAHFQNDLGLDSLDAVEIVMALEEEFGFEIPDNEADKINSVNLAIDFIASHPQAK >RHN58086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43644098:43646389:1 gene:gene33693 transcript:rna33693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, phosphoribosyltransferase MALAKVDFSLKAISPITDNLGITSQTDLVEINLFLFVKIVRARNLFAHNGHNNLDPYVEVTAGRFLGRTFCLQGNTNPEWDQVFALENDQIEKEGIKTVEIFVKDNVARYDPYLGMISLEIFHIPKRFPTDSALAPKWFVLEDECKRRYRGELMMCCWIGNQADEAFHEASHLQLGHVLISARHTLNTCSRVYIMPRVWCLRLNLLQVEGLILEIDDPSESSDIFITATFGNGTRTLASKSVKSNNGNPIWNEKDILFAVAEPLDEILFLTVEQGTLARCKRLGTCVFPVKKAQTPLQNPDRLVTMDVIQNERFFVGKLSMRVTLDGGYHMFDDDPRYSTDVNPTDNGVWRPNIGVFEMGILNATGLPEMKPQGRTDAYCVAKYGSKWVRSRTVVNSLSPKWNEQYSWKVYDPSTFFIISVFDNSQLHEEYIAAGANDTRIGKVRISLSEMEINTVYNYSYPLVQLQPSGLKKMGEIQLSFKFTSPSKANLYKKYTMPMLFPQHFEDPLSQAQLYGLRQQTIELVRSNMSKAEPPLRNEVVDYMLDSREIVWSMRRCKADFERINVFLNCLVGIYTYFDDVRKWKDLVSPIIAHLLLVVLFFLPQSLLPAIFLALIVHMLQEFQIKPKTLSHADLHLSHVHTASEDELQEEFDPMPSKFEDIILMHRYDRLRVSAGRVVTQMGEFAATMERLQSLLSFQDSTATMLVMISCLIIGIVALAVPFRYLVFVWFLYFLRHPMFRSPFPPFYENWIRRMPSKLDSMI >RHN79179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25254129:25258137:1 gene:gene2910 transcript:rna2910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MDSNSNTHHQLKQQNQSYSSGLLRFRSAPSSLFSNLTPSADSNKLTKHFWDGSESERFVSNDNNNISGLSCSKEMNSGYGAGGSGLPPHYPRHGSTSTSSSAMDGSFGLVGSLGMDQETLHDKSFGSNLLRQGSSPAGLFSNISFQNGFSTMKGVGNYATVIGSNGELSPSINRLNTQLSFPSRNASSLGILSHISEIDNEDIEATSPDDGGSNADSTHYGSGFPYSSWNDTQSFTENLSGLKRGRSSNEKMFSDIQRGGLGNQVHTLSHQLSLPNTSSEMIAMEKLFQFPDSVPCKIRAKRGCATHPRSIAERLRRTKISERMRKLQELVPNMDKQTNTSDMLDLAVDYIKNLQKQFKSLSDKRANCKCMRMQMADKNQIT >RHN58121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43877787:43879403:1 gene:gene33730 transcript:rna33730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetraspanin/Peripherin MDEKTKQFSSFLTSIFRLLSYISPAFKLANNGIKEIDYKQKKQYEDSEFNNILTGVLSLLALMVSIPVLNLGLEAWRTEEVKTACDASYEKHVILFGIFLFVVSLMGFVGACFRSFKILGFYLLILFLAFFFLFYINIFNLVDRYKGDAWMQEKVNNNYSWNRIKSCLQPQQFCGSENRNDFRKSYADHFSPIQNSCCKPSSDCGFTYLNSTTWTKPENVTYTNPDCDAWKNNPNISCFDCQCCKDDVVKLMKSDRDTTNIVCIISLLSMAKMLHIATSAFGYTVLIRIRKYTVFSSSKKIIVPQRVYMNNNLVFNSD >RHN38932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2877164:2877665:1 gene:gene44909 transcript:rna44909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MWVCVSENFDVKTIVKNMVESLTNSKIDDKLSLENLQNMLCKNLNGKRFFLILDDIWNESFEKWAQLRTYLMCDAQGTKVLVTTRSKAVAQTMGVREPYFLNGLTPEES >RHN42612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39684730:39692476:1 gene:gene49069 transcript:rna49069 gene_biotype:protein_coding transcript_biotype:protein_coding MCKKYLLFIKVNHANFCSNFNLNSVFAFIKVNPMLTFALILT >RHN38728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1585708:1589084:1 gene:gene44693 transcript:rna44693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L37ae MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKFFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >RHN67456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27399704:27409738:-1 gene:gene15647 transcript:rna15647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MPQEGSLSQNSFLISPSKRGLKGIVSMNIKEASCPAIEESFNDHDLAHRKAEEAASRRYEASEWLRQIDNVACSLLPPKPSEQQFCLSLRNGLILCNVLNKVNPGAVVKVVDNPALAAAASVEGAAHSAIQYFENMRNFLYAVKDMQLLTFEASDLEKGGSSNKVVDCILCLKGYYEWKLSGGIGVWRYGGTVRITSLPKMSPSSSVVGSESADDSLDESESSQYEHLLEFLHLSEEFLNEETKTTNVLAFLFDHFGLRLLQAYLRETDGIDDLPLNTMVIDALLGKVVKDFSSLLVSQGAELGLFLKKILKGDIGCLSRREFVEAISLYLNQRSSLASNDFSKFCSCGGKRDSVRQNVNYSAKYAEVINTQQKQLETVKYYFEDTKLEVKQIHSEWEQELIRLEHHVKSLEVASSSYHKVLEENRSLYNQVQDLKGAIRVYCRVRPFLPGQSNGQSTVDYIGENGDMMIVNPIKQGKDARRVFSFNKVFGTSVTQEQIYADTQPLIRSVLDGYNVCVFAYGQTGSGKTYTMSGPDLSAEDTWGVNYRALRDLFYISKERSDSIIYEVFVQMIEIYNEQVRDLLVSDGSNRRYPLSKHSLTRYTLDVRNTSQLNGLNVPDAYLVPVTCTRDVLYLMRIGQKNRTVGATALNERSSRSHSVLTVHVRGRELVSNSILRGCLHLVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVISALAQKSPHIPYRNSKLTQVLQDSLGGHAKTLMFVHINPELNAIGETISTLKFAERVASIELGAAQSNKETGEIRELKEEISSLKQALERKETELEQLKAGNARNISESPKRRAVSPYHLPRYGTSGSMKPETSQRVMDDRNLEARSCSSGKQRRSRFPSAFMDKESMPKMSLLTEEKLAGSGKGRSPSPPVRRSTSTDRGSVIKNKVKSDTTDNQPVLKHPFPARVPVNKFLGTMPMAAALENNARLHLNSPEPVKYEEEQFKQALSAVRQGGVRKSKVESKAKTKHHQLSPFKIQKSDLIPTFISGMETPPKSDHSDPENDLRFVDSSVHGALNLSKIRQNFPRNFQNLESRRTMQGGEPLSASKVDNKLLNGSASNHKEGNNTSMPEFRRSRSTPRGNFFGLS >RHN56017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26839097:26840665:1 gene:gene31332 transcript:rna31332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MSLPLPPRVDPKIWQICVGPDVKIPKIHSKVYYFPRGHLEHACSSPTAATRTILDRYRSSIPCIVSSVDLFVDPHTDEVFAKLLLTPVTDQEPPPPVVPGQEDDDGDNLVSYVKTLTQSDCTRVLCVPIECSNLIFPKLDLDKSQSITVTDLKNQEWRYTYTYSNSSRLHTGWLNFVREKKLVANDSVVFIKNSAGKISVGIRRNTKFTTDEAAEGSENLTDEIKVLDAAELAEKNTAFDVVYYPTASGWRDFVVDAKTVDDAMKIGWKSGMRVKLPLKKYESSNSKMTISQLKGTISFVFNHSSNVPNWRILEVNWDGLDIPQIPNLVNPWQVEVYNIHAPSTSSSTVNNPRLAESSSPQQIPYSMPGTSGTLPDT >RHN79075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22140359:22142296:1 gene:gene2772 transcript:rna2772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MFLKLVFMLFNLVTLVASEDNSFIYNGFQSSHLYLDGIAELTSNGLLRLTSDTDHEIGHAFYPNPIVFENTSGESVFSFSTTFVFAIRPQYPTLGGHGIVFVISPTKELPNSLPTQYLGLFNNSNNGNSSNHVFGVELDTVQDFEFDDINNNHVGIDINDLKSANSTSAGYYDDNDGFNDLSLFSGYPMHVWIEYDGEKKKINVTLAPISVGFNKKPARPLLSLTKDLSPVLNNSMYVGFSSSTGLLAASHYILGWSFKVNGLAQNLEISELPEVTVFSEKKKSKFLTISLPLILLSLVFMITLGVMYYIKRKKFSEILEDWEHEYGPHRFKFKDLYFATKGFGEKGLLGVGGFGRVYKGVIPSSKLEVAVKRVSHESRQGIREFVSEIVSIGRLRHRNLVQLYGYCRRKSELLLVYDYMPNGSLDNYLYNQPKARLNWSQRFRIIKGVASSVVYLHEEWEKVVIHRDIKASNVLLDSEFNARLGDFGLSRLYDHGADPHTTHLAGTIGYLAPEHIRTGKATKSSDVFSFGAFLLEVVCGRRPIGHVGDNESLILVDYVFECWKRGEILEAKDAYLGTKYVSEEVELVLKLGLLCSHSEPLARPSMRQVVQYLERDIPLPDLSSLSLSSSGLSSVSIAESVLSGG >RHN52236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32912427:32912650:-1 gene:gene36885 transcript:rna36885 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMVGEAATIYPFELEDMFGDPMIFKVEGKANVDKSDARSIIRGC >RHN56891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34494245:34505624:-1 gene:gene32355 transcript:rna32355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, EAG/ELK/ERG, ankyrin repeat-containing MKMKEEEDEGEKNRINNQTATCSGSSSLTPSSSSDEREYQVQDLRDKLKSSRGSRFNLIEKELGLKIGWRKFSRRTLLHEFVINPLNRWYRAWLKFILLWAVYSSFFTPMEFGFFRGLPENLFILDIVGQIAFLVDIVLQFFVAYRDSQTYRMVYKRTPIALRYLKSTFVIDLLGCMPWDLIYKACGRREEVRYLLWIRLYRAERVVQFFRNLEKDIRVNYIIARIVKLLVVELYCTHTAACIFYYLATTLPESQEGYTWIGSLKLGDYAYSKFREIDIWKRYTTSLYFAIVTMATVGYGDIHAVNLREMIFIMIYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDRMTDLMKYMNRNKLHKDIREQIKGHVRLQFESSYTDAAVLQDIPISIRSKISESLYSPYIKNVSLFSGCSSEFINQIVTRLHEEFFLPGEVIMQQGYVVDQLYFVCDGVLEEVGIADDGSEETVALLERNSSFGEISILCNIPQPHTVRVCELCRVLRIDKQSFSNILDIYFYDGKKVLDNLLEGKESIRGKQLESDITFHIGKLESELALKVNRTAFDGDLYQLKSLIRAGADPKKTDYDGRSPLHLAACRGYEDITLLLIHERVDMNVKDNFGNTPLLEAVKNGHDRIASLLVREGASLKIDDGGSFLCSAVARGDSDYLKRLLSNGMDANLKDYDYRTPLHVAASEGLIFMAKLLLEAGASVFTKDRWGNTPLDEARMSGNKNLIKLLEDAKSAQLTEFPFPQEITDKVHPKKCTVFPFHPWDPKEQRRNGIVLWIPHTIQELIITAAEQIGFSSDACILSEDAGKIIDISMIKDDQKLYLVNETH >RHN72759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10476813:10477878:-1 gene:gene8512 transcript:rna8512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger, CPA1 family MGSENEISPADVHKAPPGKEQQAAGVGILLQIMMLVLSFVLGHVLRRKKIYIIPEASASLLIGLIVGILANISDTETNIRAWFNFHEEFFFLFLLPPIILYPY >RHN39657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9233097:9236765:-1 gene:gene45699 transcript:rna45699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rho GTPase activation protein MTRLFRSKSCNIAGFSVSEFNPAAQVTNNKKKEEQQEQEEEDEEEELESDDNDNDDDDEIYSSNNASRQFTKGSNNNHQNQFAILDIVMAALKKSIVTCSVEREDVSSLDISWPTEVRHVSHVTFDRFNGFLGLPSEFQPEVPTRVPSASVKVFGVSAKSMQCSYDDRGNSVPTILLRMQKQLYSEGGLKAEGIFRITAENSQEAFVRDQLNKGVVPHGIDVHCLSGLIKAWFRELPTGVLDSLTPEQVMQCNTEDDCTNLVKLLPSTEAALLDWAINLMADVVENEQFNKMNARNVAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKMLREREESIDNARLLSHSMDFASCNDEFPPFSFNKEESSCEQIEDACDKNSSTTKRKFSRTSTLGRIEWSVEKLRSSEEKRNREEVFRSFSSDSVTPRYESGPLENSYSYRRRYDSEHWSRLRNGVRKLCRHPVFQLSKPSKKPASLGIVNTREGGGEAWA >RHN79277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26960114:26960803:1 gene:gene3045 transcript:rna3045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MEEQASRRIAMDIDLNLEPNPDQLSYAIGAINLNDVINPPLDRNSNLLDRHTWENVSMELDWFLGISRNSSVERIAEIPQPQQPSLIALSEISNVGVALDKSKTSEKKENVDNGCGSKGGFYDCNICLGLAKDPVVTRCGHLFCWPCLYRWLHSGSYGNKECPVCKGEVKDKKVIPIYGGGNDVEVGHEDSSSTLQIPRRPNARRKDSNARQSREGRVRHLGRDIMTIQ >RHN76433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50212026:50212612:-1 gene:gene12757 transcript:rna12757 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGNLDLVCSNILKLQQNISNIREQTYATWKHRKHVDAESEVEAEAIVVEWRGWKDRTRSRRC >RHN62237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41921839:41923883:1 gene:gene24791 transcript:rna24791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived nuclease domain-containing protein MDQWFLVMLSNLLHLHNSLDPTTDTLSSTATSSTSSSSSLLQSSSIAPLLLFTMASVLSHVASTRTPSSSSNHRNKHLRRNRNPNASDYSVAAFRALSTEHIWSLEAPLRDAQWRSLYGLSYPVFTTVVDKLKPHIAVSNLSLPSDYAVAMVLSRLAHGLSAKTVANRYSLEPYLVTKITNMVTRLLATKLYPEFIKIPVGRRRLIEITQSFEELTSLPNMCGAIDSTSVKLRSGPSSNPATYLSRYGYPSVLLQVVSDHKKIFWDVCVKAPGGTDDATHFRDSLLYQRLTSGDVVWDKVINVRGHHVRPYVVGDWCYPLLPFLLTPFSPSGMGTPAQNLFDGMLMKGRSVVVEAIALLKGRWKILQELNVGLHHVPQTIVACCVLHNLCQIAREPEPAELWKDPDESGAQPRVLDSEKSLYFFGESLRQVLTEDLHQKLSSR >RHN43096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43132068:43133299:-1 gene:gene49620 transcript:rna49620 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPEFPSGVGTSTPSPRRELQIQGTRPPPLRVSKESRTIRKPPLPPAAAHHHQPAGASQHRQPLIIYSVSPKVLHIPVSDFMDVVQRLTGPSAGEEAPQQSGAVSPAARLASIERTSPTERERIQQTVDEDLTWLLEGVEMGQFPGILSPAPATLPPISQGFFSPMNEPQTTPFWHDTSPFWYGNSFVASPSGLLSATVVSPLPSPDLFSIFD >RHN64923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62996323:62998103:-1 gene:gene27802 transcript:rna27802 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TIL MGNTVGKDKEVVKGVDLERYMGRWYEIASFPSFFQPKNGENTRATYTLNSDGTVHVLNETWNNGKRTSIEGSAYKADPKSDEAKLKVKFYVPPFLPIIPAVGDYWILYLDEDYQYALIGGPTNKFLWILSRQPHLDETIYNQLVEKAKEEGYDVSKLHKTPQSDPPPE >RHN73576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17784389:17796398:1 gene:gene9401 transcript:rna9401 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNKFDVSSTSPDRPLYTGQRGSHIAASLDRSGSFREGIENPILSSLPNMSRSSSSATQGDVMNFFSCVRFDPKLVALDHKSNRPMDYKRHVSAALGISPDESPSSYVKGKQLTSIVPEDIKRLRDGLHANFRRARDRAKMFSEALSRFNKDFPNINSKKRARSENFSTDRSSFTLSDRPVLGPNIGKVGIHGHAVTGSFEHDQQKLEERAKTAVPNKRTRTSLVDVKMDVRTNSLVRSSGTVDREKDILRLANNGTVHGEERIFPIVGDGWEKSKTKKKRSGIKPDGSSSITSAKPVNNFQETKQGMQQRLATDARSKLSNDSHSFRLGLPNGTAGAGKSDGISQQAGLGTRVSTPRNDTDSNSAVSDRRDRPLNSDKERMNFRAANKATVRDEFNSTSPNSSAKLNTSIRAPRSGSGVSASKMSPVVNRETVPNDWELSNCTTTTKPPAGVSTNNRKRAASARSSSPPVAPLWQPPHKSSRTARRTNFIPVVSSNADSPALDSVSDASGSDLGLGVAKRLSGGSPQQIRLKGDPSSSAAFSESEESGVAEMKPKEKGRKPDGIDQKAGQNVQKVSNMVPPTKKNKLASREERGDGVRRQGRTGRNFPATRSLTPMTSEKLGNIGTVKQLRSSRLGFEKSESKAGRPPTRKLSDRKAYTRQKHSAISASADFHGPEDGHAELLAAVKGLINSGRAITGQFWKQMEPFFGMIIEEDVAYWKQKINLESSGLMATPVSSNIDDCEAVTNGLGLMGCARDIGHDAQRGAGIVGEQSQLTKGDCKAIPLCQRLLSALISEEGCSGSENFNFDAYDTQFETNGELELNHLDSHPQANYNFTAHSACNGYRTTQKPGHHDTINDVVDIPSNGLEYDAMDMNERLLLELQSIGISPDPVPEISQTDDVAIFDDLTGFEEKYQRQVFKKKDLLEGLLKSASVTKECQEKDFEQRALDKLVVMAYEKYMACWGRNPSGGRNTSSKVAKQAALGFVKRTLERYHQFEDTGKSCFNEPLFKDMFFAASSQQSGMEAESAKPHASSVSLEARTGSISSRRSPSQFSPNMNNHDVNLSDIYPVINNSSEQTSGKEDIWSNRGKKRELSLDDVGASSVPSGIRGSLPSSTKGKRSERDRDGKGQSREVQSRNGTTKAGRPALNNTKGERKPKSKPKQKAGQHSVSVNGLLGKLSDQPKPELPSGSKSNEKSTNSNAKEKNECAMGEDEPIDLSNLQLPGMDVLDDQGQDIGSWLNIDDDGLQEDGFMGLEIPMDDLSDLNMMV >RHN60803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30528927:30530239:1 gene:gene23185 transcript:rna23185 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDKGWRRWHNDAIFVPPNWASLDSQIMRFSITVFERHSQILHYFIFFYLFVWPYKFWKTSFIFQ >RHN46852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35324551:35324961:1 gene:gene41386 transcript:rna41386 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSLQPFKRASQPFWIHLLAHQFDITDVVFYKGLVFADSEGDAIISFKFNNPPCDDSYDPNFTYYEKIARIRNSVPSQCYNEILYFVKSLNGDIWMVRRYLINWRDKSSYKIDVYKLELDVQSGMLEQNVFNINP >RHN63783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54007822:54008951:1 gene:gene26529 transcript:rna26529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase MLNINNSMVMVVTATLAIFLLCSPSSVAILLNKLQLPPPVTGPESLAFDRNGGGPYVTSSDGRIFKYVGPSEGFKEYAYTSLNRNKTVCDGLAEFSALQPTCGRPLGLGFNHQTNDLYVADAYFGLVKVGPNGGNATQLVGPTQANSTVSADGLDVDPNTGIIYFTIASTKFQLKFPDSSNQWH >RHN47916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43529060:43530831:-1 gene:gene42570 transcript:rna42570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA-intron lyase MAPRWKGKDAKSKKEAEAEALKEPMSKIISQLQSSLVQSNTCGFLSDNCVHLTVQAEQLDLLDKACFGRPVRIVEKDMYWFQLTVEEAFYLCYSLKCLKIKINVGADTGPLNDEELWHYFRSKKETFPYFYKAYSHLRMKNWVVRSGAQYGVDLIVYRHHPARVHSEYGVLVLSHDKDGDLNGRLRVWSDVHCTTRLLGGVAKTLLVLYVNKNGNNDESLLCLTNYTVEERTISRWSPEQCRERSI >RHN62556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44331658:44333609:1 gene:gene25147 transcript:rna25147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSFVTLDKMMRQINHDSSVEENGAPNNRHPNLPFFSFKTIMTATKNCDHKNKLGQGGFGSVYKGCLVNGQEIAVKRLSRDSGQGKVEFKNEITLLVKLQHRNLVRLLGCCFEKEERMLVYEYLPNKSLDFFIFDQNQRSSLDWVKRFEIICGIARGVLYLHQDSRLKIIHRDLKASNVLLDAAMNPKISDFGMARIFGEDEIQARTKRVVGTYGYMSPEYAMEGRYSTKSDVFSYGVLLLEIIAGKRNTHCEIGRDSPNLIGHVWTLWTEERALDIVDPALNQSYPLDIVLRCIQIGLLCVQENAINRPSMLEIVFMLCNETPLCPPQKPAFYSMATMNCKNHQHQDLQ >RHN57144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36821810:36822286:1 gene:gene32645 transcript:rna32645 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSIYFYKWRGSLIVQPHSLHPLSLSSQDFLLSNLDLYYATLPSV >RHN70907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54956516:54964485:1 gene:gene19541 transcript:rna19541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arginine--tRNA ligase MFIELDAPASVRKQLAKLFVEALNATLPTQSDAIVPLIDACVAKNGIKPADYQCNNAMSLFAKIKGKQQEFINPRSLGEGIMRNLPPSQMVQSCSVAGPGFVNIVLSKNWIAQSLQRMLTDGIDSWAPRLPIKRVMVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFCQPECLIRRNHIGDWGTQFGMLIAYLFEKFPNPEDVNESDIGDLQAFYKASKLRFDSDPAFKLNAQQSVVKLQGGDPKYRTAWKQICDVSRTEFNKVYQRLGIRLEEMPESFFNRLIPPTLEKLEKLGLIEDNEGARVIFVEGVDIPLIAVKRDGGYNYFSTDLASLWYRLNVEKLDWNIYVTDVGQWQHFDMLFKAFRRAGWLPKDPNEYPICTHIGFGLVLGDDGKRFRSRSSETVRLVDLLDEAKRRCKVALLERDNAKDWTEEEIEKTSEAIGYGAVKYADLKINRTTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRKSGKDIEELKKNGNLVLEHEDERTLGLHLLQFTEVFVESCSNLLPNVLCEYLYNLAEIFTKKFYSSCQVVGSPEETSRLLLCEATLVVMRHCFYLLGIDPVYKL >RHN49299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53908173:53911440:-1 gene:gene44114 transcript:rna44114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal peptidase I MHSFGYRANALLTFSLTILALMCAIASLTDSFNSPSPSAQVQVLNINWFQKQPNGNDEVSMTLNISGDLQSLFTWNTKQVFVFLAAEYETRKKPLNQISLWDGIIPSKEHAKFLIHTSNKYRFIDQGTNLRGREFNLTLHWHVMPKTGKMLADKIVMPGYRLPKEYR >RHN46735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34445159:34454888:1 gene:gene41257 transcript:rna41257 gene_biotype:protein_coding transcript_biotype:protein_coding MESWNETVTESITNRLKQFQQRSNESPTVWVTELIEYFNSVGVELPSSELVELLVSQMCSENVKDHPSTWKFLHHALSSKLIFPLQLLSLLAYKVFRNRFSHPHAYALFLPLLDQHAFNFQPIASVSCSNKIIKSVDSVLHFSETFKIHDLELGHVFVLFYFNIIIALIDSTLNDWGLQVNFNERSCLVPTGDQHMEIDHNMTHNFKKGDYREQIRKRNAITALEVLERLSENKKATILLQSVLLNMPENFNCLLQRLQFLESLDLASSELKVVNQVLRKVSAKIRGVSHFDYSLNKHQVVGISVDVGPCKTLLKCNYRSCWIPLDIYMENAMDSRQIPIKSAIEVLTEGIKTLQIFNQASWHETFLALWLSALRLVQRERDPPEGPIPHLEARLCMLLSIVPLVIVNVLRDDTEHNLSTAPVSVGSEYKHEMKSDLSMKLGLISSVQVLGHFSGLLCPPALVVDAANQAARKASSFIYNSMKEKGEPFTSINANANSNAGGNLRHLIVEACIARNLMDTSVYFWPGYVSTSVMSLSDSTPLGKSPWLTFMEGTPLNNSLINALAATPASSIAEIEKLYYIALSGSEVERPTAAKILCGASLSRGWYIQEHVVHYVVKLLACPVPHSNSGTRGLFVDNMSMISAVLRGASSVDTLHILSLHGVVPTVAASLLPLCEAFGSISPTPISTGDESSTSVYMAFSLAFLFLIRLWKFCRPPLDQCITEGGIAVGGLEYLLSLHNNCVMSSQDKQKSNQNLFDSASFKPVYIDSFPKLRALYCQYKSCVASTLSGISTGNSIHQTASVILSMIYQKMSKGGISSSNSSSPNSSNACSALINSGEDALQRPVLPAWEVLEALPFVLEAILTACVHGRLSSRDLTTGLRDLVDFLPASIAAIIDYFSSEVTRGVWKQVPMNGTDWPSPAAVLQSVESEIKAILTHVGVEVPNCSSGGSPVTLPLPMAALVSLSITFKLDKSLEYIHAITGAALENCASGCPWPSMPVIGSLWAQKVRRWHNFIVVSGSRSVFRHNNESVAQLVRSCFTSFLGVLSGSNSKLTAECSVNGLLGSSITAPGAFPFVAPGFLYLRSCRDIHNVQYLNDVIVGLVTEYSNELAGIRASSGSSRLKSNESSLFLAAQSAKEMATLGASLLCSAGGIQLVQELYKETIPTWLLSSRDVKRKNDNVMSYILEGYAIAYLLTFSGSILWGVGTKLPSPKLSRRNHTIGVHLDFLAEVMERKISLSCNPITWKTYVCCLVGLMVSFAPAWLQEMKVDSLRKLAHGLSRWNEHELALSLLQRGGTAAMGALAELINVIEFEHKKPCS >RHN82727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55914836:55920216:1 gene:gene6909 transcript:rna6909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MYLYNLTLQRPTGIICAINGNFSGGKGQEIVVARGKTLDLLRPDDNGRIQTILSVEVFGSIRSLAQFRLTGAQKDFIVVGSDSGRIVILDYNKEKNVFDKIHQETFGKSGCRRIVPGEYIAVDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTLVFSICGVDCGFENPIFAAIELDYSEADNDSSGMAAAEAQKNLTFYELDLGLNHVSRKWSDQVDNGANLLVTVPGGADGPSGVLVCAENFVIYKNQGHPDVRAVIPRRADLPAERGVLIVSAAMHKLKGGFFFLLQTEYGDIFKATLEHEGDRISELKIKYFDTIPVTSSMCVLKSGFLFAASEFGNHGLYQFKGIGDDDDVEASSASLMETEEGFQPVFFQPRKLKNLVRIDQVESLMPITDMKVSNLFEEETPQIFTLCGRGPRSSLRILRTGLAVSEMAVSKLPGVPSAVWTVKKNVIDEFDAYIVVSFTNATLVLSIGETVEEVSDSGFLDTTPSLSVSLIGDDSLMQVHPNGIRHIREDGRINEWRTPGKRTIAKVGSNRLQVVIALNGGELIYFEVDVTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDKTIRILSLDPDDCMQTLGIQSLSSAPESLLFLEVQASVGGEDGADHPASLFLNAGLQNGVLSRTVVDMVTGLLSDSRSRFLGLRPPKLFPIIVRGKRAMLCLSSRPWLGYIHQGHFLLTPLSYETLEFAASFSSDQCVEGVVAVAGEALRIFTIERLGETFNETVIPLRYTPRKFVLQPKRKLLVVIESDQGAFTAEEREAAKKECFEAAQAGENGTESADQMENGGEDEDKDDPLSDEHYGYPKAESDKWASCIRILDPKTGNTTCLLELQDNEAAFSVCTVNFHDKEYGTLLAVGTAKGLQFTPRRSLTAGFIHIYRFLEDGRSLELLHKTQVEGVPLALSQFQGRLLAGIGPVLRLYDLGKRRLLRKCENKLFPNTIVSIQTYRDRIYVGDIQESFHYCKYRRDENQLYIFADDCVPRWLTASYHIDFDTMAGADKFGNIYFVRLPQDVSDEIEEDPTGGRIKWEQGKLNGAPNKVEEIVQFHVGDVISCLQKASLIPGGGECILYGTVMGSIGALHAFTSRDDVDFFSHLEMHMRQDNPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPTLPMDLQRKIADELDRTPGEILKKLEEVRNKII >RHN59865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14160946:14183580:1 gene:gene22030 transcript:rna22030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative structural maintenance of chromosomes protein MKRGRTTWESSSSSSVSPSLEAGIIKKLRLENFMCHSNHETEFGSHVNLITGQNGSGKSAILTALCVAFGCRAKGTQRASTLKDFIKNGASNAVIHVEIQNEGEDAFKPDIYGDVIIVERRISESASSITLKDHQGKKVCTRKADLQEIIEHFNIDVENPCVIMSQDKSREFLHSGNNKDKFKFFYKATLLQQVNDLLESISIETTTARGIVDELEASIRPIEKELNELQNKIKTMEHVEQISIQVEQLKKKLAWSWVYDVDKKLEEQNVKIEKLKNRIPTCQAKIDKQLHLLEKLSENCSTKKAEIKSMMTSQVKQMKESLSRSMSLANKEAYELQRDCKHKISDIQKMAQQLKRLEVRMQDIHEQNVKNTQAEESDMEEKLKGLRDEVDHAKSELDRLKEEEETLINNKNRQKDEIKRIDDEIRDHGKKYSEIMYSIRNLQQQQSNKTTAFGGNKVLNLLHNIERCHQRFKKPPIGPIGAHLKLLNGNKWAVAVEHAIGRMLNSFIVTDHKDLHLLKQCAKEVNYGHLQIIIYDFSTPRLTLPEDMLPNTNHPSTLSVLQCDNHTVFNVLVDLGNVERQVLVNDYNTGKVVAFEERIRNLKEVFTVEGCKMFSRGPVQTTLPPNKKQRYGRLSSSVEGDIQKLRNDASNEQKVVDNYKWNKREADLKLEDLDNKMNSIKRLCVTAGRTFTSKKLALEMTMKEQAAKSSSTPLSSVDEIVEEISEINKKIKEEQVLLEDLEQRRHEAVGKADDLKGKFDELCESVNTELASLEKAESELMEIEREIDSAKKAKDHYDNVMKTRVLHDIKEAEEHCLELTKRREVNLEKASIICCQNELATLGGCDGVTPEQISGQLESLNHTLRRESRRYSESIEDLRMLYAKKERKIIKRQQVYKTLRQKLNACQRALEFRRTKFQKNADNLKLQLCWKFNSHLKKKGISGVIKVDYEQMTLSIEVQMPQDASNRAVRDTRGLSGGERSFSTLCFALALHEMTESPFRAMDEFDVFMDAVSRKISMDTLVDFAEAQGSQWILITPHDTSLVKAGNRVKKMQMAAPRS >RHN69822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46534784:46535068:-1 gene:gene18341 transcript:rna18341 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVFTLIFCCYSSCCADFRVLSFSYFCSAVGLQAVPAIVFVVFALLDVAVGFFWSLTVRFCLRLGRLQLCQLAVSRVLLLPATFVVFSVGGWG >RHN64183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57116139:57116769:-1 gene:gene26970 transcript:rna26970 gene_biotype:protein_coding transcript_biotype:protein_coding MWCILYNWFLVRSSLFKVIQWVFAIFYEVWRASSQKCFEGKDAPDAMTFHHNAIRSVWSFDNAADVLHKISFASIPVPNCNVHWSAPVSGSFKLNADAAGLDDEDRWGLASVVRDAEAVVVAVACWNRPLLLESDIAECMATLKG >RHN48392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47185809:47187092:1 gene:gene43104 transcript:rna43104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Tudor domain-containing protein MEEGKEENKEGSNSSAARVYDFPGEPAIVIDGVPEIVSGSSSSAVPSSSNALSIVEPHRKLGLGEWFVGRDVQKLFMGRYYSGRVTEYDMESGWYHVEYEDGDSEDLDWLELKEVLSPLEVGISLKTMAQRVVRNSKKSIPKSGKTGARSQNLQIKTKRTRGN >RHN60650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29093349:29101776:1 gene:gene22999 transcript:rna22999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA ligase (ATP) MLALHFKSCFSLPFTTRISVKSSSSLFPFILSLPLSSIPRRAMSSKPPPSAFDKLMSGARAAAKKKPSPPSSSPKKRKSPTPPSPLKTPDTVQNPNNNLKTLETVQKPEETVHEEPPSKIRNTSSSSKGIVAELKEKVPQLKKKPASFDPSSVVCWEKDKPVPFLFLCLAFDMINEESGRIVITDIVCNLLRTVIHATPEDLVPVVYLSANRIAPAHEGLELGIGDASIIKALAEACGRTEQQIKIQYKEKGDLGLVAKASRSSQSMMRKPDALTIRKVFKTFHLIAKESGKDSQEKKKNHIKSLLVAATDCEPLYIIRLLQTKLRIGYAEQTLLTALGQAAVYTEEHSKPPPEIQSPFEEAAKIVKKVYSVLPDYDKIVSALLKDGVWELPKKCDFTPGVPVGPMLSKATKGVSEILNKFQDVEFTCEYKYDGERAQIHFLENGSVEIYSRNAERNTGKFPDVVTAVSRLKKTSVTSFILDCELVAYDRTKQRILPFQVLSTRARKNVALSDIKVDVCVFAFDLLYLNGQALLQENLKIRREHLYTSFDEESGFFQFATSTTSNDVEEIQKFLDKAIDASCEGLIIKTLHEDATYEPSKRSLNWLKLKKDYMENIGDSLDLVPIGAFHGRGKRTGVYGAFLLACYDNDNEEFQSICKIGTGFKEEVLEERSTSLRSKVIPKPKAYYKCGESINPDVWFDASEVWEVKAADLTISPVYRAAVGIVDSNKGISLRFPRLVRVRPDKAPEQASSSEQVAEMYNAQKHNHNDKQDDNEDD >RHN64125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56781760:56783981:1 gene:gene26910 transcript:rna26910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MMNIFSVSGAVACKPLVSFQPPLMDNNSLFQQHRNKEKVLVIMGATATGKSKLAIDLATHFPPAEIINSDKIQVYKGLDITTNKVTEEECRGVHHHLLGTIDPNSDFTSKEFCEQATMATGSIVARDGLPIIAGGSNSYIEALVNHHHEFHTRYECCFLWVDVSRQVLQSSLSTRVDRMIEAGQVDEVREIFNKENHDYTRGIRRAIGVPEFDQFFRAELEGKVDERTMKKLLEVAIDALKINNIKLANRQVQKIHRLYGMWKRNMHRLDATEVVLKEKTWEDCVLTKSLRIVHKFLYDDCSVRVRNGGGSGGGLVVPANIGSATVATAVTH >RHN52051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31023361:31024956:-1 gene:gene36667 transcript:rna36667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MEANRNRGGREKKAKECTKTKDGNERSCTNSHPNENPSFTLKLSRYYVEGDRLRIPIWFSKENMNELLQGKATIRSVGEDRARYVTLNFDKSKSSFVMQVGWKSFTKEHDLKIGDACKFEMTQREPLSFTITIIPAPKEPSLEQFQDSVSPDSHEKFKVFVTSLDKLIVLPSLLKRHNIFSGDSVELKVGEGTWFVEVSYNQYLDYGWFTKGWPEFVRECNVKIGDTCLFELIDVQNHVFEVSIILDV >RHN64293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57962802:57968177:1 gene:gene27096 transcript:rna27096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-oxoisovalerate dehydrogenase (acylating) MLSRWICQRRALIYGQRLFRLSVSDTFTSGCSSSFRSSFSHSFLYDKAYSRIDFNLKHVKGYCFSAQPVLDIPVGNLVEVPLAQTGEGIAECELLKWYVQEGDYVDDFQPLCEVQSDKATIEITSRYKGKVSNILHGPGDIVKVGATLLKILIDEPSCPSTTFGDSENAKSPDSDQIFVNESAFTTVNFDDSENVKNLDSDIGKGKQAGVLSTPAVRSLAKEHGIDINEVCGTGKDGRVLKEDVLNFAVKKGISKTPSAFLHADFGEQLQGTEGYSCDAKNKYDRPSVDIILPLRGFQRAMVKSMSLAAKVPHFHYVDELNCDALVELKTSFQKNNPYTDVKHTFLPILIKSLSMALIKYPSVNSCFKEDAFEVILKGSHNIGIAMATPHGLVVPNIKNVQSLSILEITKELARLQQLASDNKLSSEDICSGTITLSNIGAIGGKFGSPLLNLPEVAIIAMGRIQKVPRFTDDGNVYPASLMTVNIGADHRVLDGATVARFCNEWKKLIENPELLVLHLK >RHN49798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1190832:1192174:1 gene:gene34017 transcript:rna34017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDAILKFVYTLILYLFLLYVVPFHRCEKDEDCAHAQMAQCVLTNCICY >RHN74958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38165076:38165545:-1 gene:gene11099 transcript:rna11099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MRFQYLVIFLLVLLASAARNQAIPGGYSPIKNLNDHHLIEIANFAVTEYGKQQGIKQIKLEKITKGETQVVDGTNYRLLLSAIIESMSYPYQAIVYENRLKNFKKLISFVPIN >RHN48951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51329820:51332308:1 gene:gene43726 transcript:rna43726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative universal stress protein A MAQVSDKQVMVVGVDDSEFSTYALEWTLDHLVTTLPNPIFKLVLVFAKPSPSTNVGFVGPAGAAEILPIVEADLKRTATIVIERAQEICTKRSVKDVVVEVVDGDARNVLCDAVDKHHASILVVGSHGYGAIKRAVLGSVSDYCAHHAHCTVMIVKKPKPKH >RHN73033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12884405:12884692:-1 gene:gene8808 transcript:rna8808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MRLKAALDNCIASYTKISKELVPQAQKCVDKSDYNGVKQSATTAGNNWLILVRRNAMAISPLGDSNQYVKNMCAITVSIVTKLPKSIHQTLTEVV >RHN51771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24751615:24756248:-1 gene:gene36289 transcript:rna36289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSWLLLSSRFRSSFSSSSFIFPFLTRRPYSHSTFIPNNFDDVDNAVSSFNHMFRMNPSPSIIQFNKILGSLVKSNNKHYPTAISLFHQLEFNGIIPDIVTFNILINCYCHLREMDFSFSMLAKILKMGYQPDTITFNTLIKGLCVNGKVKEALHFHDHVLSLGFHLDQVSYGTLINGLCKIGETRAALQMLRKIEGKLVNTNVVMYSTIIDSLCKDKLVNDAYELYSEMITKRISPNVVTFNSLIYGFCIVGQFKDAFRLFHEIVLKNINPDVYTFNIMVDALCKEGKMKEAKSVIAVMMKECVMPDVVTYNSLMDGYCLVNEVNKAKHVLIIISKIRVAPDAHSYSIMINGLCKVKMVDEALSLFYEMRCRGIAPNTVTYSSLIDGLCKSGRYSYAWDLVDEMHDHGHPPNIFTYNSLIDALCKNHQIDKAIALVKKIKDQGIQPDMCTYNILIDGLCKGGRLHNARDVFQDLLIKGYSLDVQTYNIMINGLCKVGLFDEAEVLLSKMEDNGIIPDAVTYETIIRAFFHKDENEKAEKLLREMIARGIVLETRCNWQQKIFS >RHN54571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10568510:10569122:1 gene:gene29636 transcript:rna29636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MFPAFSLLLYFLFTFNFNAKSISSTLGNQTDHLSLLKFKESITSDPHRMLDSWNGSIHFCNWHGITCIKELQR >RHN76847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1763218:1764629:-1 gene:gene228 transcript:rna228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative G-protein gamma MDRQQSIEVTDSEDGRESEEFIEKKEKVPTPFAQVGTVSFPGFYGKHRLQASISNLNNQINILQEELEKLETIGESSTVCNDVISSVESMPDPMLPWIKGTVDAGSGWDRWFGGAHNSRNHKRWI >RHN66805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19085548:19086070:-1 gene:gene14895 transcript:rna14895 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLFVVSFLFVSTVVACVSHTTVAGGGFECGGVKGVGSILFKVSLNALVVHCYVLWFAIFVFCLGSRVEVI >RHN50802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10642297:10643295:1 gene:gene35136 transcript:rna35136 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEEAPPPQPEHHSVNDTRRLATVVSYSIKLFLLISTFLFKNLEGPIHHYLINHKDVKNSFFSFVTAFHLLFVFSLFATIVQRPRNGSLFECLVLFGHQL >RHN45065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11278875:11280298:-1 gene:gene39264 transcript:rna39264 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPDHLDFKLPGKILNLELNSSTICPGWKFVCTIFLSWYFMTFSLYNLEDS >RHN55904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25302864:25304333:1 gene:gene31189 transcript:rna31189 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPLIDRLNDFQAGFNSLQQNPSFPSQITATSFNGIQSVSIAFNFCKWGAVILALVATFTSLINKVTIFIIHLRKKASSLPSITFDNDDDFSSDDEDNENDDIVSLSSSSEFEDDEPSMSSSSSFKDFFRLTGNSNDYDVNNVFQTQNSGHRRQHSIGDFFSLLELANGDNVVKLWDSIGFGLGLDFDEYEDGVISSTNPHAPLTSAAASPDVIVSAGEGAHGNLAVEIWDTRLRRRKPSVVAEWGPTVGNTLRVESGGVQKVYVRDNGRQRLTVGDMRKVSFPLGNVTESDADNTWWDADAVIVTDESYGKH >RHN62901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46630669:46637820:1 gene:gene25536 transcript:rna25536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-N(4)-(N-acetyl-beta-glucosaminyl)asparagine amidase MALRNAIKNSVRPFLTNTNNLPSRISKSSLFAATLASSSYSSHLSFHQSRSLSSASASPGFISVNSEEEFNKILTKVQDDSLNAVLYFTAVWCGPCRFISPIVGELSKKYPNVTTYKIDIDQEAIQDTLSRLQITSVPTLYFFQNGKKTDELIGADVARLNHLTEKLFKKD >RHN53341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1071408:1073341:1 gene:gene28239 transcript:rna28239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 12-oxophytodienoate reductase MAGIVESDPIPLLTPYKMGKFNLSHRVVLAPLTRNRSYGNVPQPHAILYYSQRAAGSNGGLLITEATGISDTAQGYPDTPGIWTKEHVEAWKPIVDAVHAKGATFFCQIWHVGRVSDTVFQPNGQAPISPTDKPLTPQLRSNGIDVAEFTPPRRLRIDEIPNLVNDFRLAARNAIEAGFDGVEIHGANGYILEQFMKDQVNDRTDEYGGSLENRCRIVLEVVEAVANEIGADRTGIRLSPFSEYAECVDSNPRELGLYMANALNKYGILYCHVVEPRMKTVNERIECSHSLVPMRKAFKGTFLAAGGYDRNDGINAIAENRTDLVVYGRLFLANPDLPKRFALNAPLNKYNRATFYTSDPVIGYTDYPFLE >RHN67796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30357936:30358310:-1 gene:gene16032 transcript:rna16032 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYETIKLIKETKNIAFTADYVTNSINSLELEASGAVTSLSELKHLGFQENLNFEGYELVNFLTAPCKMLGTLDTCIFSSPNKLDDHDLSMEGGVRIFTSLPVAAMPKFKDEIEALSFLHSKL >RHN40100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13292681:13293298:1 gene:gene46203 transcript:rna46203 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRGVSFAKSGSKGIRFPILSFVLLCVLTPVVFFFGRGFHVTG >RHN39355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6343355:6350952:-1 gene:gene45369 transcript:rna45369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MASSSSSSSSSSSSSSSHAATMPMKKYDVFISFRGDDTRAGFTSHLYADLCRSKIYTYIDYRIEKGDEVWVELVKAIKQSTIFLVVFSENYASSTWCLNELVEIMECCNKNEDDKVVVIPVFYHVDPSHVRKQTGSYGTALIKHKKQGKNDDKMMQNWKNALFQAANLSGFHSTTYRTESEMIEAITRAVLGKLNQQYTNDLPCNFILDENYWSIQSLIKSDLTEVQIIGLWGMGGTGKTTLAAAMFQRVSFKYEGSCFLEKVTEVSKRHGINYTCNKLLSKLLREDLDIDTSKLIPSMIMRRLKRMKSFIVIDDVHNSELLQNLIGVGHGWLGSGSTVIVTTRDKHVLISGGIEKIYEVKKMNSQNSLQLFSLNAFGKVSPKDGYVELSKRAVDYAKGNPLALKVLGSLLRCKSEIEWDCALAKLKEIPNTEIDFIFRLSYNELDDKEKDIFLDIACFFKGHERNRITKILNECGFFADIGISNLLDKALISVDFENCIQMHDLIQETGKQIVREESLKNPGQRSRLCDPKEVCNVLKNNRGSENVESIFLDATEFTHINLRPESFEKMVNLRLLAFQDNKGIKSINLPHGLDLLPENLRYFQWDGYPLQSLPSTFCPEMLVELSLKGSHVEKLWNGVLDLPNLEILDLGGSKKLIECPNVSGSPNLKHVILRYCESMPEVDSSIFLLQKLEVLNVFECTSLKSLSSNTCSPALRKLEARDCINLKEFSVTFSSVDGLDLCLSEWDRNELPSSILHKQNLKRFVFPISDCLVDLPENFADHISLSSPQNREDDPFITLDKLFSSPAFQSVKELTFIYIPILSEFPDSISLLSSLKSLTLDGMDIRSLPETIKYLPRLERVDVYDCKMIQSIPALSQFIPVLVVSNCESLEKVLSSTIEPYEEPNPCFIYLLNCKNLEPHSYQTVLKDAMDRIETGPSLYDDDEIIWYFLPAMPGMENWFHYSSTQVCVTLELPSNLQGFSYYLVLSQGHMGYDVDFGCECYLDNSSGERIYITSFTRANFFSWLLRFDPSIHMISDHLVSWYDQASCKQIMAAVEEIKSINDVNSTSCNPKLTFRFFIEEDLYDEVSIKECGFHWIYKEETIPSTIFESHDQEETASASSSNFQSNHREETIPPTNFESDDLEETIPPSNKLKLDIFGTLPSNFELDETYDMRSLLEELMHIGFGGEHMNTLLGSTEGKSNS >RHN44597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6616717:6621706:-1 gene:gene38735 transcript:rna38735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor/ chromatin remodeling BED-type(Zn) family MGRGKHEHCWKHVTRVENENKWICKYCNDKFSGGASRIEAHLGLNGKGGGIRRCSNYPPVAGNEGVQNNNNMASTSSNPPPEVAINRVYSTQDKVAEGIPEMICTPTSSSVNHQNNAEIMNLSEGVNGSDGNRCVSISEIDQIKQMVLDLECEENVIAKQQQSLESRGKKRKREVDVWLRKLQDMKGNLSNDTSDVSKLIENLKKLKEEKPLTLSTEFVGEELDLNIKRVFKLLEDDKVFVIGICGMGGVGKTLLATLVEDEVKRKATFKDVFWVTVSHNYNISKLQHDIAQRIDVKLDEDDERIRAKILSLAFEKKGKSILILDDVWKYIDLQKVGIHPKVNGIKVILTTRLKHVCHQMDCQTNDIIQMFPLCCLKESEDEVDEDKVDEGWELFMLKLGHDETPRTLPHEIEEIVRCIVERFKGLPLGINLMARTMDGNDDIHQWKHALSRLQKLEMRQVMEEVFKVLKCSYDNLMEKDLQNCFLYCALFSIDDEGWKINKDELIMKLVDNGQINENMSLEEIFDEGNTILSKLESHSLISSTNNSSVYTHPLVRNMACYILKECQRNVIVKLNKRLTEIPLSHRWATDLELVHMRDYDIEEIPEGMSPNCPKLFALILNELSISRVPESFFMYMNNLSILDLSYNEDLESLPDSITKLRSLVSLILKGCDSLKHVPPLGELQRLSRLVISNTSIGEVKGLEKLIKLKWLDLSCNKSLNLELGSLSNLTKMQYLDLRNTCAMMAVKDVQGMNMLECFGGTFDCKDYDCYRKTKLELKAYHLTFANVCGQEIWGACCSNGSEERWKPIRKPHWHSKAIVCRSGREHACSYWCSCGCRDSCS >RHN70769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53892886:53897144:-1 gene:gene19386 transcript:rna19386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MEIRTDAESTSFSSSLIAAVSYGIASMAMVFINKAVLMQYAHSMTLLTLQQLVTTLLIHFGRKMGYTRARGVDLATAKQLLPVSFFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLIAGCFMGKGRPTTQVTLSVILTAAGVLIAALGDFSFDLFGYSMAFISVFFQTMYLVLVEKSGAENGLSSVEIMFYNSFLSLPFLMFLIIATGEFPYSLSVLFAKSYSFSFLVILILSLVMGIVLNFTMFLCTIVNSALTTTIVGVLKGVGSTTFGFFLLGGVQVHALNVTGLVINTAGGVWYSYAKYQQKKSKTVKVVTDVEAHRK >RHN73769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19789175:19795192:1 gene:gene9631 transcript:rna9631 gene_biotype:protein_coding transcript_biotype:protein_coding MHATPVAMSVIVVLAIEREYHLQSALGGRFSGNWLDRLKGLATKIQMTYICRMKDLFKAKINHCKILE >RHN74924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37857648:37857830:1 gene:gene11059 transcript:rna11059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II cytochrome b559, beta subunit MTIDRTYPIFTVRWLAVHRLAVPIVFFFEININNAVHPTINLIRIIELRHNQTQMNKMLN >RHN76264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48982333:48986312:1 gene:gene12559 transcript:rna12559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleosome assembly protein (NAP) MSDHSADLPAAAAALSAEDRAGLVNALKDKLQLLAGDRVDVMETLSPNVKQRVEVLKVLQSEHDELESKYLEERAQLEAKYQKLYEPLYTKRYEIVNGVIDVEGITNEAGQGEESKAAEEKGVPSFWLTAMKTNEILGEEITERDEEALKYLKDIKWSKLDNPKGFKLEFYFDSNPYFQNSVLTKTYHMIEDDDPILEKSIGTEIEWHPGKCLTQKVMKKKPKKGSKNAKPIIKTEKCDSFFNIFNPPHIPEDDDEIDDDVVEELQNLMEHDYDIGSTIRDKIIPHAVSWFTGEAGESDFDDIEVDEDDEDGDEEDDDDDDDDDDDEEDEDDEEEDEGKGKSKSKRGSKAKPGKDQSTERPADCKQQ >RHN69440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43416630:43418071:1 gene:gene17915 transcript:rna17915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperone DnaJ MGLNGDASREDDLTMSPRIGCCLCRHRSLEISRLPSNSMPSTSRNNTINDTKMNKQKRSRSVGSSDNFIPTPSSLNSSISGNLTMPSRMQSRNGSSRRSGTPIMYSNSSGRLKPPPIEKNIECTLDELCHGCKKTVMITRDVLTDIGGVVQEEELLTINVQPGWKKGTKIKFEGKGNERPNYAYSEDIIFYISEKRHQLFKREGDDLELCVEIPLLKALTGCTISVPLLGGEHMDLTLDEIIYPGYQKIITDQGMPISTEPEKRGNLRITFLVEFPTHLTDNQRSDVFGILQNSC >RHN56252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29288836:29289243:-1 gene:gene31623 transcript:rna31623 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWRALRDQPRYGSQVGGNVDSGSSGSKRSYEDSVGSSARPMGRDAAKKKGKKKSKGETLEKVEKEWVQFKELKEQEIEQLKELTLVKQQKNKLLQEKIQAKKMKMYLKLRDEEHLDDRKNELLGKLERELFEN >RHN45939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27036233:27040450:1 gene:gene40369 transcript:rna40369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DedA family protein MSLTPVFSCGPLLNLPTTNLRGFRTKPVTVRLLSSLALPQRLFAHWNTNGHVSHLFRSRIKCSKHEGEENYEALKSKDISDTQDRFSNEVKANGKERHNKTEIPFLAMIAIALGIAALATIASIRQQPILGSPSGLQILSDGSSSSAVAPVAVGFTFKVFGFSVIIPQCAPGWIYFWLLMAAGCGLFISEEALNIWVGTSIARLLSLDGTWQSFAESFSRNAPYIISTVLWVYWGVCISDMIPFYLGKLFRQSGASADVTSRLGIGKEKAIEITDVVQKYGNLIGFVERFSLGVRNPTAFLAGALGISPELFFAGVCGGGLFTLSIQLGIGFLLRERPIFALATVATVMGIWTIFPYAVAASTALFFYVRRKYLS >RHN46966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36120799:36125461:1 gene:gene41510 transcript:rna41510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MAISGLISNRSFITSSIASGNLYQLRKDLSLQRGSIFSVQHGGHIASNLFARSRSHVEQREVYGLGVRKLNKINRTCVHYSSEEYGIDETKVDPVASDEGTGEAIPLEGNGSPVSPWWQQLPKRWLIVLLCFTAFLLCNMDRVNMSIAILPMSQEFNWNSATVGLIQSSFFWGYLLTQILGGIWADKLGGKVVLGFGVVWWSMATILTPIAARIGLPYLLIMRAFMGIGEGVAMPAMNNILSKWIPVSERSRSLSLVYSGMYLGSVVGLAFSPFLIQNLGWPSVFYSFGSLGSIWFAFWLRKAYSSPKDDPDLGVEEKKLILEGGVSKTPVSDIPWKLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVSKGVSITTVRKIMQSIGFLGPAFFLTQLSHVKTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWNDVFKVSVALYIIGTLVWNIFSTGEKILD >RHN77030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3370626:3373166:-1 gene:gene437 transcript:rna437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAECERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMIFAATRYLNMDATTPKYSKGRYEEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFAPTGLTTEVKSVEMHHEALTEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIANGDAGIIKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKAVEKKDPSGGLNKTKSALKKK >RHN60327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25287137:25288540:-1 gene:gene22626 transcript:rna22626 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFVQVHDLDEDDAGIVRRYFHLLPETTFEDDDELSKLLHIITSNDFIKEMSWEKEVTVSLKNSKNVLHIP >RHN62005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40004989:40011007:-1 gene:gene24525 transcript:rna24525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase TKL-Pl-5 family MSSGSSNSKEKEKARVSRTSLILWHAHQNDAAAVRKLLQEDPSLVNATDYDNRTPLHVASLHGWIDVANCLLEFGADVNAQDRWKNTPLADAEGSKKSNVIELLKSKGGLSYGQTGSHFEPRAVPPPLPNKCDWEIDPSELDFSSSARIGKGSFGEILKAHWRGTPVAVKRILPSLSEDRMVIQDFRHEVNLLVKLRHPNIVQFLGAVTDRKPLMLITEYLRGGDLHQYLKDKGSLSPATAINFSMDIARGMAYLHNEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLITVQSSHDVYKMTGETGSYRYMAPEVFKHRRYEKKVDVYSFAMILYEMLEGEPPFASYEPYDGAKHAAEGHRPPFRAKGYIPELQELTQQCWAADMNQRPHFIEILKRLEKIKENLPTDHHWHLFAS >RHN74160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29479187:29482130:-1 gene:gene10162 transcript:rna10162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEEINSTAMNVSSSSSWLSDLEMDEHNLFAEECNLNFLDTGVEDFLSHDITNIFQEQNKQQCLISGSTSTTTLSNTFSDETKLDCFDFNIDKTIMEMKTIDHSDKINETFTQKRSSSFQVQIPSFDSPPNSPTTSSQQYPTLNSIQNERVSVSPTELENKNHSTKTSKTKRSRANNGEDHIMAERKRREKLTQSFIALAALVPNLKKMDKFSVLVDTIKYMKELKKRLEVLEEQNKRTKTESHVVLTKPDLCSEDDSSSFDERNESVVGSIFQVEAKVLGKYMLIRIQCKEYKGLLVKIMVEIQRFQLYVVNSSVLPFGDSILDITIIAQLGEGYNLSIKQLVKNIRKEALKFMSL >RHN71834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2917059:2922491:-1 gene:gene7474 transcript:rna7474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (non-electrogenic) MLSFGFCERFCKTLRDNRKTIKFVLIGTTVSGVGYVAYREFYPSEVEAVTDRKKVVVLGTGWAATSFMKNLDSPKYEVQVVSPRNYFAFTPLLPSVTCGTVEARSIVEPVRNIFRKKRVDVQFSEAECFKIDAENKKVYCKSNANNNLNGQEEFVVDYDYLIIGVGAKVNTFNTPGVVENCHFLKEVEDAQKIRRTVIDCFERANLPDVSEEEKKRILHFAIVGGGPTGVEFAASLHDFVNEDLVHLYPGVKDLVKITLLEAGDHILSMFDKRITAFAEDKFQRDGIDVKTGSMVVKVDGKEISTKELKNGGKITTIPYGMAVWSTGIGTRPFIKDFMAQIGQASRRALATDEWLRVEGCNNVYALGDCATINQRKVMEDIASIFKKADKGNSGTLTVKEFQEVMDDICVRYPQVELYLKNKQMHNIADLLKEAKGDVEKESIKLNIEELKTALSKVDSQMKFLPATAQVASQQGTYLAKCFNRMEECEEKPEGPLRFRGEGRHRFKPFRYQHLGQFAPLGGEKTAAQLPGDWVSIGHSSQWLWYSVYASKQVSWRTRALVVSDWGRRFIFGRDSSRI >RHN64451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59183625:59187468:-1 gene:gene27270 transcript:rna27270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-V family MASNLSSRLSKKTSVFGLEVWELMGLIVGLFIVIILLLVSICLTSKKKSRRVNGLLPLSHRLSVSEEIKDIKMDQVSTNSHPQNGAFMSLYDKFNDKESEKILLHTKNGEYSSQSGSFVHIEKDAAGSQSGEESGAKSVSAHRPSLTSPSPLSGLPEFSHLGWGHWFTLRDLELATNKFSKDNIIGEGGYGVVYQGQLINGNPVAIKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGFCIEGTHRLLIYEYVNNGNLEQWLHGAMRQYGYLTWDARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKISDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVLLLEAITGRDPVDYNRSAAEVNLVDWLKMMVGNRHAEEVVDPNIETRPSTSALKRVLLTALRCVDPDSEKRPKMSQVVRMLESEEYPIPREDRRRRKSNARSTDVEMQKEASDTDKSDHPDSKSNGRRNQRK >RHN65568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3289293:3290878:1 gene:gene13419 transcript:rna13419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FHY3/FAR1 family protein MRVLELEKKIVTGNLPFLDKDIRNFIQSRSCIGKENDASDVLKLCKNLKDIDDAFKYEFTIDESNKLEHIMWAFGDSIRAYESFGDVVVFDTT >RHN69007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40243471:40253756:-1 gene:gene17433 transcript:rna17433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MEDAEEEQRRRLKLEEALEIQSLRRIISAYLNYPDAADEDVRRYERSFRKLPPAHKALLSHYPLKFQRLRRCISLNSHFIFSMLQAFEPPLDMSQDIDLSEDQHPEYAQNDHLFREGIDSCSCESAPLRITCSVSNRHGCVESNNDSCRSSVLVHPNEEMSIDSHHQSDTGSHPSNTIHAKETSGYGEITIADSNENATVTSSQQQWLDPSFQLNVPLVDVDKVRCIIRNIVRDWAVEGQKERDQCYKPILEELNLLFPNRSEESPPACLVPGAGLGRLALDISSLGFICQGNEFSYYMMICSSFILNHCQTVGEWTIYPWIHSNCNSLSDSDQLRPVTIPDIHPASAGITEGFSMCGGDFVEVYSDPGQKGSWDAVVTCFFIDTAHNIVEYIEVISNILKDGGVWINLGPLLYHFADTYGQDEMSIELSLEDVKRIALHYGFEFEKERTVETTYTTNPKSMMQNRYFAAFWTMRKKATAAQQQVP >RHN59823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13628360:13629312:1 gene:gene21982 transcript:rna21982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived nuclease domain-containing protein MYITTFAEKYYLGDAGFMLKKGVITPYRGVRYHLKEYSARGPQNMKELFNHRHASLRNVIERCFGVLKKKNSILSGGAEPFYSFEVMSDIVLACCILHNFLMGFDIDEALIAEVDRELPQHENERPHPHQRDEDYRQGTLIRDNVAVGMWNVYEI >RHN43278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44562876:44563130:-1 gene:gene49826 transcript:rna49826 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIPTHTVEISNTCLRGCNIFDIHVACGKFGSVRLINPNIFKRLKYNDCLVNGGKTLANGATISFKYANTFSYPLSISSVRCK >RHN52808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39060049:39061038:-1 gene:gene37522 transcript:rna37522 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPPNNHTKEPRDGGSSSSNSNGINLAQRKIITDSKFQRVLHTPLMTEPPGALINQVGNKFYEAFQQKHGSYVDLPSAKISELMKSSSLDNAPTQSLLSVVNGILEESVERRNGEIPPRVACLLRKVVQEIERRISTQQEHLKTQNNLFKTREEKYKSRITVLEALASGTTEESKVSKVVLSIYLIKNDESNVHCKFQ >RHN62932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46818223:46823915:1 gene:gene25570 transcript:rna25570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidate cytidylyltransferase MDNNAQDFQSFLQILPPVEFACVYGSSLHPTNHDKTTMVDYILGVSDPIQWHSENLKMNKHHYASWMVHLGGERLITAVADKIGVGVHFNPFVTWNGKMFKYGVVRMHDLLQDVQYWEKFYLCGRLQKPVQIVVDNLDIRKINYINLRAALSASLLLLPSEFTEADLYAKICNLSYMGDVRMLFAEDKNKVKKIVAGQFDLFHSMYRPYLEEFEAKKLLKLSSTANHQIEVSQDCDLSVSRSLVSALPPSIRSQLSMKQGEEVKPSQTGRVLHDTKISSREEAANCLQRILRRRVMVSSARQAISGLLAVGGVNATMYVANKINKAWKSWR >RHN68331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34636785:34649727:1 gene:gene16668 transcript:rna16668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanylate cyclase MWPICLLFNKILKTEDERELKGENLSTIESYLFQHSSCNTDSHPPSLRRSLFNEVPLINQIYTWDCGLACVEMVLKTIGVNNFDIEALAELCRTNSIWTVDLAYLLQRFSVTFSYFTVTVGANPNYCGESFYKEELPNDLERVDMLFQDAVEAGIDIQCRSISEKEISFLILSGKYIAIALVDQNKLSYVRQDVHIPGALSDDSEYTGHYVLICGFDAGADMFEIRDPASSRKRKRISSKTLEEARKAFGTDEDILLISLEKSKNGQQPSLQLPINSNTDS >RHN54663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11124704:11125229:-1 gene:gene29736 transcript:rna29736 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIIKSASIMRWLYTYITTIYTKSLILFYKLTKDLIFFRGSTPNLISQYLSPY >RHN52249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33053777:33054121:1 gene:gene36901 transcript:rna36901 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDKRKGKKTHKFIIFKHKSSSQRFEDTVNLKFGNPITLMDKTIRCNSSFTTFFSKSLNRMKL >RHN55194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15958506:15958721:1 gene:gene30335 transcript:rna30335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MLSQLKYFFSADCAGACDVRCSATMYKKACLTYCNYCCAKCLCVPSGTYGHKEECPCYANMRTKRGGPKCP >RHN78435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15054824:15059910:-1 gene:gene1991 transcript:rna1991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L22/L17 MVQWQRHIFPILRRIHKGLEPANHSATNLALYHSRSSLSQGQLQRQWSIGVPSISRPFDHRFQYQGISSSTQLLKNSSEEAPISSPLVPVSSFGSSQGQEQNKKADKVQAILKKIKQSPKKVNLVAALVRGMLVKDALLQLQVLVKRASKTVYQVIHSARANASHNHGLNADRLIVAEAFVGKGDFKKRVSIHGKGRSGIMHRPECRLTVVLREITPEEEAQIARLKVHNFKKLTKKEGRLVPHQLIETTPVWGRKNKSSSQNETTPVWDRKNKSSHHKTTRVWDKTKANLSHQNETTPVRGQKNKSIRRGRKNKSSRQNSSAAVA >RHN42430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38299174:38299479:1 gene:gene48870 transcript:rna48870 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAPNVHRRTRSLHPLLFVPTLSLYWFRLFVFSFLRPKGFNASLNFEIHLQPFKFDGIHVSLVSPSLEPIKVGQAIVYSVTVWLVVDRLLIVFSMTNVVV >RHN70321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50644198:50646814:1 gene:gene18891 transcript:rna18891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MQIQVFFLLFLLFTKTTSSQSTTNILPQGSSLSVEKSNNTLISSNGDFSAGFLPVGDNAFCFAVYFTKSKQPTIVWMANRDQPVNGKHSKLSLFKNGNLILTDADRKRTPIWSTSSFSPFPLQLKLQNNGNLVLSTTNGNISILWQSFDFPTDTLLPGQEINERATLVSSKSETNYSSGFYKFYFDNDNALRLLFKSPLLSSVYWPSPWVLPVDAGRSTYNVTKIALLDSFGHFMSSDAYQFVTIDYPKKLHRLLKMDHDGNPRVYSFNDKTKTWEVSWQAIAEPCEVHGICGENSMCSYDPVNGRTCYCLKGYKLKNRNDWTQGCEPEFKPADLSCDSARVEDFGFLHLQNMELYGYDLYVAKVTSLKQCQKLCLDLCEKCKAVQFKFNGVATYDCFPKTLLANGRDSHNIDGDIYLKLPKNTLLSSTIPFKHSPLNCSIALFQPLNRFYEKPSKNSILSFLTWLALGIGVFEFSIILFVWFFLFRTNKNHDDVDQVQRHLLSATGFQRFSYSELKTATRGFSKEIGRGGGGIVYKGTLDDDRVAAVKCLNEAHQGEAEFLAEISTIGMLNHMNLIDMWGYCVEGKHRLLVYEYIEHGSLAENLCSNSLDWNKRFNVAVGTAKGLAYLHEECLEWVLHCDVKPQNILLDTNFQPKVADFGLSKLLNRDERDSSAFSRIRGTRGYMAPEWVYNLRITSKVDVYSYGIVLLEMVSGKSPMEIHSVVDNSGGLEHHHRMVSWVMEKVKSAPTTMFWIEEIVDGNLEGKYDVNQVENLVKVALMCVKDDMNERPSMSQVVEMLLQSHEKRGTPR >RHN59188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8293208:8294452:-1 gene:gene21184 transcript:rna21184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MTLSPIFKTRLITTLIVIFPFCKIRVYKMVESTQEKKQKTLPYLPHELIIQILMRLPVKSLIHFKCVCKLWFSLISDPHFANSHFQLTTTTHTPRIMCISSLSHEIRSIGFEAFLNDDDTASVNLNFSLPESYFPAEIRGSCRGFILLYRDPNIYIWNPSTGFKKQIPGSPFRSKLAKLCSIHIHGFGYDQVRDDYLVVVLSYHITVVSTRLKFFSFRDNTWKETEGAPFAYCVIPSRRKGFLFNGAIHWLALRRDLRWNVIVSFDLMERKLFEMPLPNNVHHSALVHSGLWVFGEYLSVWAKDNANDTVEIWVMKEYKVHSSWIKSLVLSVEAIPDHYFQPIYSTKNGDIIGRNMHSTNMSDVIGSNHGTRLVKYNYQGQLLRHHAFCNSPSEVVMYTESLLSLPGPGDNKQI >RHN65785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5321860:5323287:-1 gene:gene13674 transcript:rna13674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MDDEVKVIHQCQVSPPQGSLPSSITIPLSYLDLPWFRCPYMKRIYYYNFPHSTQHFLQTSLPILKNSLSLTLQHFFPFSSKTVFPPKPKTPYILYSQGDSISFTICESKSNFNHLISNSPKDLAISNPFAPLIPSPSILQDGTLLFPILAIQITLFPNSGLTICLTFRHEIADGKSFHHFIKYWSLLSSGNLGNSSLSLPLHNRDIIQDTKNLKQSFLEQLWNSPPKTIESTSSNNNMVRHRFILTHHQVEKLKRWIVTKSKTIGLETLHLSTFVVTCSLFWVSKVKTQSQHDTNKSIVDCVFDNDFDDNYGFGFLMDLRDHFKISTNYFGNCLGSCIVALPKRKLSGENGICEAVNSIGIEIKGLIDPLKRVEDLMFLQRIRDLDIKFQNITSVAASPKFNVYETNFGWGKPVLSEILHVENSSTFCLSSSKDEDRGIEVGMVLEAAQVKKFSDVLEAQLRDIVGLDEIISRGK >RHN58276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:525073:525366:1 gene:gene20172 transcript:rna20172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein TIC214 MTERLTKEFQIRSAKAERMVIFNGNTDSLTLNIGPRNDNDAIPEVDLNHEFFLVNFLREPDFDRDIIKGSMRPLRRKIATTKLSQGNAQPHSPFFWR >RHN58813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5138070:5139912:-1 gene:gene20763 transcript:rna20763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MQGSFSLTTLANHSNLQHLYISPENSGVLIETEKTKWFPKFQLKTLILRNCNLNMDEGSVIPTFLSYQYNLVFLDLSRNNLVGSFPSSLIDNHNMNYLDISNNNLSGLLPKDIALKEIYLKYLDLSQNHFSGELPEQLATELNELQYLKLSNNFLRGNIPKFCNLANLLWLLLSNNNFSGTLEDVLGNNTRLTMLSISNNSITGKIPSSIGKFSNMVSLVMSENQLEGEIPIEISNMSSLYILDLSQNKLVGAIPKLSGLTVLRFLYLQKNNLPGSIPSELSKGSQLQLLDLRENKFSGKIPHWMDNLSELRVLLLGGNNLEGDIPIQLCRLKKIDIMDLSRNMLNASIPSCFRNMSFGMRQYVDDDDGPTFEFSISGYLPTISFNASLSIQPPWSLFNEDLQFEVEFRTKHYEYFYKGKVLENMTGLDLSWNNLTGVIPSQIGHLQPVRALNLSHNHLSGPIPITFSNLTQIESLDLSYNNLSGKIPYELTKLTSLEIFNVSYNNLSGTPPSTGQFATFIEDSYRGNPDLCGPLLDRKCEGAKSSPSSQSNDNEEEETNVDMITFYWSFTPSYITILLTFITVLHQSTLAFGLVLLH >RHN56352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30189351:30189833:-1 gene:gene31737 transcript:rna31737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MRYFKVTSLPKFRLKRRKFSTLKIRIKWVRIEESGQNLGYDMQVWKSWREGTTTNIIDSSLFDDSSRNEIMRCIHIGLLCVQDNVARRRTMATIVLLISSNSLTLPIPSEPAFFMDSRTVSLPEMRLCEENSGTTRSSQSTTKSAPVSVNEASFTDPYPR >RHN49911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2244502:2245091:1 gene:gene34143 transcript:rna34143 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHADFVEDQIITKLNDLCSSNEEVVLIVIACYFGVEEGIDMWLSEKETIFLLSDCVSMMVAHDTCDVLMSLVGFMQCTLQVAVLFKMEDLLCILMRWKIYLVRNCLNMLRLLKLLNLLMIHLICLSPMVYKSRRGETPVLLEELLVGM >RHN45889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26545787:26546864:1 gene:gene40313 transcript:rna40313 gene_biotype:protein_coding transcript_biotype:protein_coding MASKYSLVLLILGMLVLTTVVSDKRNAIGYVPILELEEDWPDHFFPPPTVMVGRKPISYGYPSHNR >RHN54804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12506409:12510452:1 gene:gene29892 transcript:rna29892 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLESGRRNLINGKQNPTQAVASGVFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSDKLKQASEIDHHADVNATKKIADAKLAKDFQAVLKEFQKAQRLAAERETAYTPFVPHEDQPSSYTGSEVGVSSDKSQERHAFLLESRRQEVISLDNEISFNEAIIEEREQGIQEIQQQIGEVNEIFKDLAVLVHEQGAMIDDIGSNIENSHEATAQAKSQLVQASKTQRSSSSLACLLMVIFGVVLLIIIIVVAA >RHN47577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40748400:40751982:1 gene:gene42195 transcript:rna42195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MFLQAQSKNKIIAYLAAVSISIHVFMSWLLTVKFKFGVNGAMTSILLAYWIPNLGQLVFIMTKCPDTWKGFSFLAFKDLWPVIKLSLSSGVMLCLEIWYNTVLILLTGNMENAEISIDALSICLNINGLETMIALGFFAAAGVRVANELGGGDSKAAKFSIVITLLTSFFIGFVLFLIFLFLKERLAYIFTPDPDVAKAVGDLSPLLSISILLNSVQPVLSGVAVGAGWQSVAAYVNIGSYYLIGIPIGVLLGNLLHLQVKGVWIGMLFGIFVQTIMLMIITFKTDWNKQVEIARNRVNKWAVVDKDESNNTSRISS >RHN74738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36262306:36263378:-1 gene:gene10846 transcript:rna10846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase 3 MNPIHKKIPVLIHNGKSICESAIIVQYIDEVWNDKASFMSSHPYEKAQARFWVEYSDKKVYDTWKKMWLMRKGRWN >RHN54352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8927854:8930920:-1 gene:gene29371 transcript:rna29371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MVKGCFFSFYGSVHYPRCPPEFNFEGNYDLIKFIKMIGIMICMQHLELVHSLKHNEIMAVQQAYKEHGMRYVQWEGNMAVGLDTGVPWIMCKQVNALGPVMNTCNGRYRAFGDPPSERTAEDIAIAVARFFSKKGTMANYYMYYGGTNFGRTSSSFVTTQYYDEAPIVEYGLPREPKWGHFRDLHDALKLCQKALLWGTQPVQMLGKDLEVGQKQFGSYVSMLPFKWKPCPKEWFGYHTPRAILQPKNNFLVVLEEMGGKLDGIEILTVNRDTICSIAGEHYPPNVETWSRYKGVIRTNVDTPKPAANLVCLDNKTITQVDFASYGDPVGNCGHFILGKCNAPNSQKIVE >RHN68571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36651820:36655100:-1 gene:gene16939 transcript:rna16939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S54, rhomboid MEEESSEFIDIKLSSPAKKLPLDLPQDPQLKSCRRLRRRGDTWVISVMVLIQLGFFIATMLVNDCFTNSHGDCTFPSLGRFSFQPLAENPLLGPSMSKLDEMGALQKNFLTERHQTWRLFTFPFLHAGLFHLVINLCSVIYVGIRLEQEFGPLRIGIVYILSAFVGALMASLFLQNIPVVGSSGALFGLLGALLSELVWNWKYHTKKISEVASFVFIFVCNFLLGFLPYVDNFSSIGGFISGFLLGTVLLFAPQFQQVTPSKGDQIDYDLKSYIKLKLKQKLDRPVSRIVSLILFTLLLAGCLLAVLYGININSYCTWCPYVDCIPFTSWHCKDRETFCETMVSNAHLTMTCLGNGNFKVFHYTNISRARINDLCNLIC >RHN45014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10783256:10783961:1 gene:gene39207 transcript:rna39207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MVPSEMRGVNYLTPLENSFHVQPNFVLPQNEIPNYHLSNILGTLPNFHYPSAGHDQFAPPSCLSSNSTTSDEADELQFNIIDERKHRRMISNRESARRSRMRKQKHLDELWSQVVRLRTENHNLVDKLNHVSESHDKVVQENARLKEETFDLRQMVADMQIGNSFPCNMEDLCEIPCNTSQHKDDDSSKIHD >RHN41187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27981464:27981913:-1 gene:gene47479 transcript:rna47479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MNLIGTVFPDLGNLSFLIILDISHNSFGGQFPKEICRLHQLKLISITYNEFFGEIPEALGDLSQLQYLYLGANKFSDFIPQSIGNLHRLKALKIGQNNMSDPIPQGVSNMSSLEYLDLSSNYFSGNASSDIMWHIFNISYIHTIYNFSI >RHN74034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23941505:23947403:-1 gene:gene9964 transcript:rna9964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin-containing monooxygenase MEKNVAIVGAGISGLLACKYVLQIGFHPIVYEADDDIGGIWRHTIQSTKLQTKKQDFQFTDFPWDSSVKEDFPSNQQVQDYLNSYAQHFSIIPYIRFNSKVIDIDYVGESHEEMKTWELWSGNGSPFGSKGTWHLTVEDTKNFTTEVHKAEFIILCIGKYSGFPNIPKFPLGKGPEVFKGKVMHSLDYSALDNKAAAEMIKNKRVTIIGSGKSALDIAAECANANGVTYPCTIIQRTTHWYLPDFNVAGINLGYLYFNRFAELLVHKPGESFLLSLVATLLSPLRTGISKLVETYLKWKLPLKKYGLVPDYSFLQDTSTCRAGVLPDHFFDKIIKGSINIKKSQSFSFCKEGLTINGEDKPQEADLVILATGYKGDQKLRSIFRSTIFQNYINESADSMVPIYRQTIHPRIPQLAIIGYDESLSNIFSNEMRCQWLAHLLDENIELPSITEMENDVKMWEENRKQHTNNLNSRSCIVTCGIWYNDQLCKDMKCNPRRKKSFFAELFEPYGPIDYNGLVRK >RHN42775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40889386:40894232:-1 gene:gene49262 transcript:rna49262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MANSKSSSGVRNLMYPGRHAHALLPPKSPFPSTSQAYADYVSNPTVGPKPVNKPREGNSHHQRTSSESHLIEEQPSWLDDLLNEPDTPVRRGGHRRSSSDSFAYIDNINASNINYADHDEYKYKNLSIPAWSPPDFDRNKDARHVPMYAEINAAKQRNRSWDSFSNMSGVPSGKDNVAFQRSGSPTPCTLYEPDRILLTANENHDSLEAGVQDTKSPFEKKDGLHAKSSASETDSKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELEFLNQQNLILSMENKALKQRLESLAQEQLIKYLEQEVLEREIGRLRGMYQQHLQQAQQPQQQPSGSHRRTNSRDLDSQFANLSLKHKDTNSGHDPANGALRI >RHN38426.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000020.1:17159:17844:-1 gene:gene50754 transcript:rna50754 gene_biotype:protein_coding transcript_biotype:protein_coding MNDHMGFQKLPLFLGIENNGNFQRQRHLLKTQCYHPLIRMSRYI >RHN80379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37567495:37569456:1 gene:gene4294 transcript:rna4294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MCNGSNRKPCQTGLIRENECERQEEHHHKISALLEFSAKDDVMAFTDAVEKDDHNVDEVGLWYGRKVGSKEMGYEERTPLMVAALYGSKGVLSYILGTGRVDVNRVCGSDRATALRCAVSGCSAASAEVVKLLLDASADVSSADAYRNRCSNLVVSVSNSLYGSRKRILQGILEGVDDVDDEDDNFLKEIGFQMVEKQQDVGTPHTEKKDYPIDPSLPDIKNGIYSTDEFRMFTFKVKPCSRAYSHDWTECPFVHPGENARRRDLRKCHYTCVPCPEFRKGSCNKGDASEYAHGIFECWLHPAQYRTRLCKDETRCTRRVCFFAHKPEELRPLYASTGSALPSPTSYSNSPSASSMDSFTLSSLSSLIQSASTPPLTPSAASSPTAGTMWQTQIQLHAAVPTLQMPRSRFKTAMNVRNNAEFLKLENRLTGLPSPSNRLAGVNPTNLENIFGSSIQSPTSIQVHQSTNQQLWGNPFDLTNSNVIGSSQFRVDAFSKRSQSFIECSSMARFNSELPSASSVAMEPSAFSGWGSPDGKLDWSIRGNELNNMRKSISFGFQNRSSTSTMAAALSSVAMEPSAFSGSGSPDGKLDWSIRGDELNKMRKSYSFGFRNRSSTSTMAAASSVDDPDVFLSHESWVNSLVEDGPTMEFDQH >RHN69480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43743662:43745761:1 gene:gene17957 transcript:rna17957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminocyclopropanecarboxylate oxidase MAVPVIDFSKLNGEERAKTLAQIANGCEEWGFFQLINHGISEELLERVKKVSSEFYKLEREENFKNSKTVKLLNDIAEKKSSEKLENVDWEDVITLLDDNEWPENTPSFRETMSEYRSELKKLAVSLTEVMDENLGLPKGYIKKALNDGEGDNAFFGTKVSHYPPCPHPELVNGLRAHTDAGGVILLFQDDKVGGLQMLKDGEWLDVQPLPNAIVINTGDQIEVLSNGRYKSCWHRVLTFTEGTRRSIASFYNPPLKATISPAPQLAEKDNQQVDDTYPKFVFGDYMSVYAEQKFLPKEPRFRAVKAI >RHN48867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50783344:50783835:-1 gene:gene43631 transcript:rna43631 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHRSGYQSHNESCRPKNGMLMRAQAFFHVTPEDTDQEKMKLLRMANKLQDQQKEQKDSYMSEEINRRQTTGVSYKGNYSSAHQYHSQDLHEGRFSHSLDHSRGSGRCNHGNMLHQCHKYSWIPFKLMQQVLHIVLNVDHSCYPCSNISAYPSLVTTCSFPT >RHN69076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40818802:40819582:-1 gene:gene17504 transcript:rna17504 gene_biotype:protein_coding transcript_biotype:protein_coding MGWESKVTYQMLSCYFCLLRIVSSWLWIASPFALLMFFSTYKLVGLGFFSLFFSSMAILLSTLLYIWKYKLVQVDENFATTTMLMNESMIEAMERKEEHQASDIGVVDIYDSYSELDGSISDEENLIEIALPSGHFMDQQKQEFKYNKCSLQQQKNLMELLAEYNEMYEEENLIEIDLSIGSIKCSRFENET >RHN64306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58049425:58052111:-1 gene:gene27110 transcript:rna27110 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMIADTVTAAKSLCILRAYQFSIADPSSDQISAMIHPCDAVAPPPVKPSTRRIPRRTLTRRKRRTRRKLSGDDNGGEGLFFGDGGDGIFGGGGGFGGGGGGGGDWNFNRFGEGENWDEHSSSLQDPAFDFVYRVLSWIMLSNCLHFAVKKIVRIIADGSIVDSDREKVPARLAPIC >RHN58256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:324851:330026:-1 gene:gene20148 transcript:rna20148 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKERTPTMSSVAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGPLNNAPSRTGSFAGAASHSGPIMQNAAARSAYVTSGNLSAAGMSTSASMKRTNSGPLNKHGEPVKKSSGPQSGGGTRQNSGPIPPVLPTTGLITSGPLNSSGAPRKVSGPLESLGSMKLNSASVVHNPAVTTLSVDDEYSFRKNFPKPILWSVILIFVMGFIAGGFILGAVHNAILLIVVVILFAAVAALFTWNSCRGRKAIVGFISQYPDAELRTAKNGQFVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANSKHRRFTWGLRSTERHVVDFYISDFQSGLRALVKTGFGARVTPYVDDSVVIDVNPENKDMSPDFLRWLGKRNLSSDDRIMQLKEGYIKEGSTVSVMGVVHRNDNVLMIVPPPEPLTTGCQWAKCIFPASLEGIVLRCEDTSKIDVIPV >RHN73931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21772851:21776918:1 gene:gene9831 transcript:rna9831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phytochrome, histidine kinase domain, GAF domain-containing protein MSFGSKEKLKGVSLSSSAESKMNTNKETEKTLAQYGVDAELLAEFEQSRVYGKSFEYSKTILDPPRLVSEEKMITYLSRIQRGGFIQPFGCLVVIEESTFRIIGYSENCFQLLGDIGSEHFMGLIGVDATTLFTPPSGSSLVKAVASREISRLNPIWVRARTTEKPFYAILHRIDVGVLIDLEPARSSGPALSLSGSFQSQKMAVSAISRLQSCRREDISLLCDTVVEEVQKLTGYERVMIYKFHEDDHGEVVSETRRSDLESYLGLHYPSIDIPQAARFLFKQNRVRLIYDCHAKPVKVIQSRELKKPLCLVNSTLRSPHDCHKQYMANMGSIASLVMAVVINEKDTTRLWGLLVCHHTSPHHVSFPVRHACEFVMHTFGMQLYMEIQLASQMEEKRILKTQTMLCDMLLRDAPFGIVTQSPSIMDLVKCDGAALYYDENCWLLGITPTKLQVKDIAEWLLSNYSDSTGLTTESLVDAGYPGATLLGDAVCGMASARINQRHILFWFRSHTAKEIQWGGAKHHPTDKDDGGKMNPRTSFKAFLEVLKSKSLPWEISEINAIHSLQLIMQDLFQDTDNTCPKTLKDFEKSDALIGGSHEISSIALEMVRLIETAAVPIFGVDSDGLINGWNVKIAELTGLPTSEAMGKSLENEVVHVDSRETLTNILRRALQGQDNKNVELKINRFVLHQEKEVVYLMISSCISRDYTNAIVGVGFVGQDITFEKVIVKKFIKLEGDYKAIMHSLNPLIPPIFASDENACCSEWNVAMERVTGWKKDEVIGKMLLGEIFGSFCRLKGQDALTDFMILLYHGISGQDSEKSPFGFYDRNGKFIETYITTNKRTDASEDIIGCFCFLHVVTEDLNQPFQGHRSKCRQRISKSKELAYILQEMKNPLNGIRFTHKLLENTGISENQKQLLDTSEACERQIMAIIEDIDLGSINEGTWKLNMKEFLLGNILDAVVSQVMMLIKGKDLQMFHEISDKIQTLSLYGDQIRLQMVLSDILHNIVSHTPSPNGWIEMKITPGLKIIQDGNEFIHLKFRMTHSGQGLPSSILHDMFYGENQWTTQEGLGLFMSRKILSRMNGDVHYVREQNKCYFLIDLELRTRKERQRNLKTETSMIRSL >RHN72514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8308572:8311623:1 gene:gene8238 transcript:rna8238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative autophagy protein Atg8 ubiquitin MSKASFKTQHPFERRQAESSRIREKYPDRVPVIVEKAGRSDIADIDKKKYLVPADLSVGQFVYVVRKRIKLSAEKAIFVFIENTLPPTAALMSALYEEHKDEDGFLYMTYSGENTFGSSH >RHN42013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34765697:34770080:1 gene:gene48398 transcript:rna48398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Coiled-coil domain-containing protein MAAICRHMRQLSGLRELGISRIAQSSSSLQIQTSIFDPYLSFSASTTRSFSQSQLVKSNGKHLFLVDTLALVRRLEGQGVPSKQAEAITAAITEVLNDSLENVSQALVSKGEMQKTEMIQESNLSKFKSEVQSSQGHHFSLLQHETEKLRNDIEKMRSELRYEMDKVTAGQRLDLNLERGRTREELSNQSAETNNLTNKLDREIHSLRAQLEAAKYEVIKYCIGTLVSISAVGLAVLRILM >RHN57737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41397710:41400413:-1 gene:gene33320 transcript:rna33320 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTLESIKGKGGSIKLGTTGTIGSLMTRELDRVSSVTHKQHVSSRTKPRTLPVSVPCSSSSSTGTSATPRRLQPRKSSDEASGSGSSKITNNRTKANSTRRNTHRIPMLGSADNFSVDRTPVREKKNDKKKPNIVEVVDIKCGSAEKTWATPLASRLKKLGFSKLSESII >RHN77200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4930892:4934016:-1 gene:gene626 transcript:rna626 gene_biotype:protein_coding transcript_biotype:protein_coding MILVSHIQPPFGAMFSNSSISSSSTKFITSISPQQFFNNQPPKFNTIRTFIKPLHLTLAKAEGNIDSSSPTKPSSSFANDQTVFVGDKDVPLEGVIQFDKPNNSSSRIEKWGRVALFAGGDVLALLLFSTIGRYSHGLSVFDFETLHTADPFIAGWFLGAYFLGGFSEDGRGMNGLPKGVIATAKSWAIGIPIGIAIRAAKSGHLPNYGFVLVSLGSTAVLLIAVRALLYAALPVDNSKKSDVYRRGSPFELFELLTSLVRRW >RHN41931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34163706:34166958:1 gene:gene48306 transcript:rna48306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-dependent channel, 7TM region phosphate MRDPLPPPPSSGDDGDPIGLWYGNIDYLLNISAIGALFCLLIFLLVKLRSDHRRMPGPSAIASKLLAVWHATGREIARHCGADAAQFLLIEGGSCAVLLAVAALALVVLLPVNLHAGTGVLDDQFSKTTINHIPKGSPLLWIHFIFAVVVVLLVHFGISATEERLRITRFRDGYGNLSDPSANSSAIFTIMVQGLPKIIGADRAVLQEYFQYRYPGKVYKVIVPMDLCALDGLATELLHVRDEISWLVARIDSRLLPDDGEEDGGSVPPGLWSWVVYCRKWLKDLYADIMAKFGYTDEERLRKLQELRAELETELAAYKEGRAPGAGVAFVMFKDVYTANKAVQDFQNEKRRRVGKFFSLTELRLRRNQWKVERAPLASDIYWKNLGTPKLSLKLRRVCVNTCLLLMLLFFSSPLAVISAVQSAGRIINAEAMDNAQMWLAWVQSSSWLGSLIFQFLPNVIIFVSMYIIVPSALSYLSKFERHLTVSGEQRAALMKLVCFFLVNLIILRGLVESSLESAILKMGRCYLDGEDCKRIEQYMSASFLSKSCLSSLAFLITSTFLGISYDLLAPIPWIKRNIQKFRKNDMLLLVPEQSEEYPLEHQDADSLQRPLIDSSADAYEASNGDNQEGQDLFVYPVTGSSPNPKQTFDFAQYYAFNLTIFALTLVYCSFSPLVVPVGAVYFGYRYVVDKYNFLFVYRVRGFPAGNDGRLMDTVLCIMRFCVDLFLLAMLLFFSVKGDSTKLQAIFTLGLLVMYKLLPSRRDSFQSPLLEGIQTVDNVVNSPVDYEVFSQPRFDWDTSQR >RHN80182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35913992:35916154:-1 gene:gene4079 transcript:rna4079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MATSTTSSNSTPPYKPYRHHKTLTTHTRAVSCVKFSNDGNLLASASLDKTLIIYSSTTLSLLHRLTGHSEGINDIAWSSDSHYICSASDDKTLRIWDANTGDCVKTLRGHGHNVFCVNFNPQSNYIVSGSFDETVRVWEVKTGKSVHVIKAHAMPVTSVDFNRDGSLIVSGSHDGSCKIWDTNSGALLKTLIDDKVPAVSFAKFSPNGKFILVATLNDTLKLWNYAAGRSLKMYSGHVNRVYCLTSTFSVTNGRYIVSGSEDRCLYLWDLQQKNMIQKLEGHTDTVISVTCHPKENKIASAGLDGDRTVRIWVQDS >RHN45229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13305955:13306901:-1 gene:gene39463 transcript:rna39463 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSDSFSMVNPMEVSNSNSKNAASGESSGHNTYMGIAVKFHYGGRFVRDWVICYNGGEETLIEVDIPNYDIVGEELRQSYQVVNNEKGESSQAVNIDKGKGPLVYSDNKEGDVGGITSGDDQDEDNNDGYIDSEEERALGFEDRFEEVDNDNLNDDSGVKMAEKGKDVQVDNIADVDDTDWGGVVLE >RHN58197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44492185:44497300:1 gene:gene33815 transcript:rna33815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, SWIM-type, MULE transposase domain, FHY3/FAR1 family MSSTRQRTLGGGGHQVLDYLKRMRAENHAFFYAVQSDVDNAGGNIFWADETCRTNYSYFGDTVIFDTTYKTNQYRVPFASFTGFNHHGQPVLFGCALILNESEPSYIWLFKTWLRAVSGRPPVSITTDLDPVIQVAVAQVLPPTRHRFCKWSIFRENRSKLAHLYQSNPTFDNEFKKCVHESVTIDEFESCWRSLLERYYIMDNEWLQSMYNARQHWVPVYLRGSFFGEIPLNDGNECLNFFFDGHVNASTTLQLLVRQYEKAVSTWHERELKADFETSNSNPVLRTPSPMEKQAASLYTRKMFMKFQEELVGTMANPATKIEDSGTITTYRVAKFGENQTSHTVAFNSSEMKASCSCQMFEYSGIVCRHILAVFRAKNVLTLPSHYVLKRWTMNAKTGVVLDEHTSELPNSSRESATVCYNHLRQEATKYVEEGAKSIQTYHVAMKALQEAAKKVSTLKKQIIGTSEVLTIANGDRSELLTGNEDVSSYQSVAEKQKKIRELTAELETTNQRCNVYRANLLAVLKDMEEQKLKLSVKVQNARLSMKE >RHN66070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8266563:8267003:-1 gene:gene13982 transcript:rna13982 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVWFHLFALVLQLEAAVITVLFLLLLLLCVYHGLCPLASVFVPCFYAIFENKQDNIEPINDMS >RHN65072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63996476:63997563:1 gene:gene27965 transcript:rna27965 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGMFYQPHEEAEGEEVGHDEDVEADYLVADDIVSEAQPKPESRRRRRGPLIPSCPVVGPPFPGGLETTVLLSNYARHVEIPLWVNHHNVSV >RHN66950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22091555:22092449:1 gene:gene15074 transcript:rna15074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKNMESLDLSNNKFFGEIPHGMSLLTFLSYLNLSYNNFDGKIPVGTQLQSFNASSYIGNLKLCGSPLNNCSTEEENPKNAENEDDESLKESLYLGMGVGFAVGFWGICGSLFLIRKWRHAYFRFIYGVGNRLYVTLKVKLNSFCRS >RHN65928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6589904:6592380:1 gene:gene13824 transcript:rna13824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MFIFKVFWVIYLLTLQVFLANSYRAKSLVPALYVFGDSSVDAGNNNNLNTIAKVNTFPYGIDFNNCSTGRFSNGKTFADIIALKLGLPMPPAYLGVSTTERYQIVSGINYASGSCGILNTTRNGECLSLDKQIEYFTSTVTNDLPRNFRRKAKLSHYLSKSIFLLSIGSNDYILNYFKQEMETNQKGNPEEFADYLLEQLGSKITKIYDLGGRKFVIGSIGPIGCAPSFINRTSSSKDCNEDMNQKVKPFSNKLPWKLQELQTQLSGSIFTISDNLKMFKKIKNSPEQFGFTNIWDSCVGQDAKPCENRKQYLFYDFGHSTEATNEICANNCFSGRDACFPLNIEQLVRAH >RHN44642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7168460:7196281:-1 gene:gene38785 transcript:rna38785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase MALPPQPESNNGGIVANATEETDGTPIRYLPLDHLYSATSPCSGSSNVMSKKVKARKLSNNNNEDSEIQFSNGEIDDSPIEIEKTLSKMTLFSVEDYPKPPLLFVYTRRRRKRSSIEVDCERTVLKRRRIGSNELERLGIDLNLIGKIDDGPGLRKCRNQIGNFSENCDSVAKNSKLVPESYTLKRWVGLSFDDANPEAFVGLKCKVYWPMDLKSYTGLVKSYDREAKIHHIEYDDGEEENLILSNENVKYHVSRNDMERLKLSYAKVHDNNVSDYNVEEMLALAASMNDCQDFEPGDIVWAKLTGYAMWPAVVLDESLASSCKGLKTFIGGRSVPVQFFGTHDFARVRVQQVKSFLSGLLTDLHSKCKKPSFIEGLEEAKRYLSAQKLPLGMIELRKRYDCNNVSGEDGGCSDSGEDYVSDKGAWAALQKIDTFPYEVGDLQILNLGKIVGDSTAFRDGRSIWPEGYTAVRKFTSVTDPEVSVPYKMEVLRDPESRFRPLFRVTVDGGEQFNGYKPSTCWNQVYERIKKLKKAVSEGSVVDSVVESGYESGSDMFGFSNPEVAKLIKGLSKSKVSLKKSSCKSGSRLPVGYRQVHINWFDLDKCNVCHMDEEYENNLFLQCDKCRMMVHARCYGEHEPVNGVLWLCNLCRSGAPPPPCCLCPLIGGAMKPTTDGRWAHLACAMWIPETCLADVKRMEPIDGLRRISKDRWKLLCSICGVSYGACIQCSNNSCRVAYHPLCARAAGLCVELENEDRLYLLSVDDDEDQCIRLLSFCKKHRQPSHDHSVADERVQVIGQCSDYEPPPNPSGCARSEPYDYFGRRGRKEPEALAASSLKRLFVENQPYLVGGYCQHGLLNDSEPSGRGVCSKFFCSEQRLRTSMVDAADSILTVAEKYKYMSETFRKQLAFGKSRIHGFGIFAKHPYKGGDMVIEYTGELVRPPIADRRERFIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCAPNCYSRVISVNGDEHIIIFAKRDIKQWEELTYDYRFFSIDERLSCYCGFPKCRGVVNDTEAEERAGTRYAPRSELVDWKGE >RHN80279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36777746:36781283:1 gene:gene4184 transcript:rna4184 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAFSSKKTSYLPPPPTPTTAIVKANSNKKQSPRSPLQDLNRISSSSNGSDASSSVSTEVPKGCLRFLASSHFKTPVNRPKSISKTPNSAPRGLALKQSKSNSNSSKENLPKGNNVGLQTKTLVSNKAKKNPPCLYQWQSGKKSSSRTGQKSKLTSTLNEHGQISPAFPSTSKELKQKEDIVGGISDNDVESTHLKSSNRDGNSTPSSKKVSESNYEEAEDNLNRSISKTPPIHSSLSPEIQGGSSLDSTTTPGCYAAGYIVSGVTDKRKCRPRGILTVEENYSCSAKSVADSIDDGEDDDDDEKKTMDVIKEDSPSLLPLPTEALVHWLSSPTRKGEKILNRKSEIGLVESITLGSSTSPSSSSKKFWNLCDSSKTVWNASDSSDMSGTANGMRRKMSSSISPGSLSEFQVPFDSILFSPKSSPNCKADRSENFIDENSPFSLNSISSGNVIQTPQSDCSSDLHVGLSLAHIDNQRKDNFNPDFNSFSDVLQSENILLNSSLPLEDSVNSSFQFDCLTMPYESIDLSKLPKKLDARDPPWLSSSTIEDGSQSQMRISWREGLMNQVNEVDEFDSCRCLSDEDLSDDNDCGSNRVSGTLVNIEVDDIKKPNYDVGLTETEDKELETDGIGNEMFSGAESISTD >RHN44565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6257045:6258471:-1 gene:gene38700 transcript:rna38700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-l-methionine decarboxylase leader peptide MESKGGKKKSSSSSSSFLYEAPLGYIIEDVRPNGGVEKFKSADYSNCTRKPS >RHN49766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:968167:969195:-1 gene:gene33974 transcript:rna33974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MDPLTLKKMKSLAIDVETTSQEKYKSTFSDVNLTSNAIHDILFVHKEGTLKGEKPYMLMQILHIVNFPITEGLCNKPHLLLWDGQNAHYALLSVSLSRTYLREGYRVGSIVRLMQYCCRTLDSGDKIIVVLKMNMMKADAMVVGDPPNAEDIRLPNYSFSRPPIPLKRRLSPILGGYQLEYGESSNTRSDDQYSFKKMKIRRCRSI >RHN60203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23478980:23480170:-1 gene:gene22461 transcript:rna22461 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFSKSKLSQCFRPVVDLDDILESKVVAHHHSKNKHTVLKVIKAMVLETILNRRARHKTCYGFDCFSVSKNIYSTYKKVTKATQSSLTTLSSDSSKLSEPKKMSTKEKHEKETSRGSAILDKQKKFEFYAMCLVLISLVFTVCFGKLFGIFLTSICIFLFSLCNSNYSAAKRCCYMAQNTWISPNKAL >RHN61179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33687194:33692738:-1 gene:gene23617 transcript:rna23617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, bms1/Tsr1-type G MAVNDADQSHKAHRTRQAGPKKKTKSRKKQDGDGDDGQIMQNPKAFAYSSSKKVKKLQSRAVEKEQRRLHLPIIDRSYGEPPPFVIVVQGPPQVGKSLLIKSLIKHYTKQNLPEVRGPITIVSGKQRRLQFVECPNDINGMIDAAKYADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDGFKDVKKLRKTKQRLKHRFWTEIYDGAKLFYLSGLIHGKYVKREVHNLARFISVMKFHPLSWRTSHPYVLVDRFEDITPPEQVHANNKCDRKVTLYGYLRGCNLKKGNKVHIAGVGDYGLAHVTGLPDPCPLPSAAKKKGLRDKEKLFYAPMSGVGDLLYDKDAVYININDHFVQFSKVDDENFAMTSKGKERDVGVDLVKSLQNTKYSINEKLENSFINLFDQKGKVSSEALGGAQGTNEDVEEDGKVETSDNNEIDSDASESSDRDEADAITNDDGNHLKEKIEFHNGRQRRKAIFGNDIDQSDQMDSEEEEEEEEEEGEDEEDEDDTHEDDMGNISKWKESLAERILARKSPSLMQLVYGESTNNSTSMDEENDSSEDEENGDFFIPKEEIKKQYTRDGLDDGMVHTEDCSKCAKLMSQKWDEKDHGEIRNRFVSGNLAKAARRNALQKANTEEEEEDEDEDVYGDFEDLETGENHENYKTDDAFAITTQKGVDREAEERRLKKLALHAKFVSRYPFLALLIPV >RHN67163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24489605:24492501:-1 gene:gene15313 transcript:rna15313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ubiquitin domain-containing protein MSSGAAAPNNEEDKKPEAGGAHINLKVKGQDGNEVFFRIKRNTQLKKLMNAYCDRQSVDFNSIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGSVV >RHN77004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3124123:3129271:1 gene:gene411 transcript:rna411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGTTLSIPKTEKFSEDGENDNLRYGLSSMQGWRATMEDAHAAHLDVDSSTSFFGVYDGHGGKAVAKFCAKHLHQQVLKSEEYIAGDVGTSLTKAFLRMDEMMRGQRGWRELAVLGDKVKGFNGIIEGLIRSPRSNDNKDQSDDWAFEKGPHSDFDGPNSGSTACVAIIRNNLLFVANAGDSRCVISRNGQAYNLSRDHKPELVIEKERIYKAGGFIHAGRINGSLNLARAIGDVDFKNNRFLSAEKQVVTANPDINIVDLHDEDEFIVIACDGIWDCLSSQQLVDFVRQELLLETKLSEVCERVLDRCLAPSLAVGDGCDNMTMILVQFKKPLQTSAPAQEQSSSNEQDASEPTLENS >RHN73515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17265868:17266271:1 gene:gene9337 transcript:rna9337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-CTR1-DRK-2 family MVVRDFGLSRRKYNTYLTHRTDMGTWMAPEVLQSELYDEKCDVLSYGVILWELFAKQKH >RHN52481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35867092:35872982:1 gene:gene37165 transcript:rna37165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, ELK MESQKTQTSLKNGHDGALCSVVVIENKVDNFKTEKVSPFNVKGEKHWNNNHDGENEHLEKSGLLGMCDDPYCTTCPTYFKASQHTNPKYSNEFNPKFQNALYGDAKGFGRDFFSFCSSCVPGVINPHTKLVQQWNKFLAIFCMLAIFVDPLFLFLIYVQKDFNCIAIDWRMTETLVLLRSMNDFVYFLNILLQFRLAYVSPESRVVGAGDLVDDPKKIAVRYLQSYFLLDLFVVLPLPQIMILTVLPRHLGLSGANYAKNLLRAVILVQYIPRLFRILSLLNGRSPTGFIFESARANFIINLLMFMLASHVVGSSWYLFGLQRVNQCLRDACNKSNIKGCIDIIDCGHGRNGTYQLSDQTSVMWNNNSDAIACLNPSPNGFRYGIYVTAVPLTFETNVVNKYVYSHFWGLQQISTLAGGLTPSYFVWEVLFTIAIIGLGLLLFALLIGNIQNFLQGLGRRRLEMLLRSRDVEQWMRHRRLPEDLKRRVQQAERYNWAATRGVNEETLLENFPEDLQIDIRRHLFNFIKKIRIFSLMDEPILDAICERLRQKTYINGSKIMSKGGVVGKMVFVVRGKLESIGENGIGVSLSEGDACGEELLTWYLEQSSVSKDGKKVRLPGQRLISNRTVKCLTNVEAFSLKAADLEEVTTIFTRSFRSFLVQGPLRYESPYWRSLAATRIQVAWRYCKKRQKLSNPTLKL >RHN64483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59464479:59469521:-1 gene:gene27302 transcript:rna27302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinacetylesterase/NOTUM MCETNKSFVSMKLLFVFGFIGFVIVKGVDVELKGLENGNVTDMQYVRGRGFNYRPLMVGLTLINGAAAKGAVCLDGSLPAYHFHRGYGSGSNSWLIHLEGGGWCGTVRNCIYSKKTRHGSSYFMEKQIPFIGILSNKAAENPDFFNWNRVKIRYCDGASFSGDSQNEAARLYFRGQRIWQAAMEDLMSKGMRYAKQALLSGCSAGGLSAILHCDEFRELFPRTTRVKCFSDAGLFLDSVDVSGRRSLRNLFGSVVTLQGAHKSLPRSCTNHLNPILCFFPQHLIASVRTPLFLLNAAYDTWQIQASLAPPSADYHWNWYDCRKNYARCSSPQIQYLQGFRNQMLRVTRRFSRSRQNGLFINSCFAHCQSERQDTWHARGSPHIGNKGIADSVGNWFFDRVGVQAIGCPYPCDKTCHNLVFN >RHN49995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3005699:3006570:-1 gene:gene34241 transcript:rna34241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MNIIKPNKACAACKYQRRKCTRECVLAPYFPADEPKMFGNAHRLFGVSNIQKILNEIKDGGQRDEAMKSIIVESKIRANFPIHGCLGVIHMYAGMINKSSKELDQLKWLLAYCKQNNHLQQQNLYSSIPSTSFQVFNNYGDVGNYYQNSENNMMIPSSSYVSQTTPHDVNNSPIDTNLNINSMDTRGAKLSGDSNLGGDNVKLELDNNEDLDDFDIQIRTIFDWEETEGLLDTDELPSSDFNIDMNGPTL >RHN54914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13471480:13473389:-1 gene:gene30013 transcript:rna30013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MGVLREGQKAHGLAVVLGFEVSDGFVATGIVDMYTKFGKMKDAQFVFDRVLDKDVVLFTALIVGYNQHGLDGEALEVFEEMVGRRVKPNEYTLASVLVSCGNLGDLVNGKLIHGLVVKSGLESVIASQTSLLTMYSKCSMVEDSIKVFNQLSYASHVTCTSFIVGLVQNGREEVALSMFREMIRCSISPNHFTYLVFFMLERVDQMEESQVKRGRGRPKKTIRETIRKDLEVNELDPTMVFDRTLWRHLIHVADPTYLAMLEAGEKIHAVTVKLGGNKYVDAALIHLYGKCGNVEKARSVFDSLTELDVVSINTMIYAYAQNGFGHEALELFKRLKKLGLEPNVVTFISILLACNNAGLVEEGCQIFSLIRNNHSIELTRDHYTCMIDLLGRAKRFEEAAMLIEEGENPDVVQWRTLLNACKIHGEVEMAEKFMRKMLNQAPRDGETHILLTNIYASAGKWDNVIEMKSAGRDLRLKKSPAMSWLISIESEKLAIAFALWKTCGKSTTIRIFKNLRVCGDCHSWIKFVSLLTGRDIIARDAKRFHHFKGGICS >RHN38830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2322113:2326329:1 gene:gene44799 transcript:rna44799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MGQIFDKLQGEEWRKKQIRKITDRVFQNVRNQVQTEYLSFEDLYIAVLLVYNDINKIIPGPHFDPPSKETVKQIMQECDMNLDGAIDHDEFCDFIQKMTADTFTVVSQKLIITLVVAPSVAMATKRATEGVPGVGKVVQKLPNAIYASLVTLAVVWFQKMGDEPVI >RHN64242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57566334:57587411:1 gene:gene27035 transcript:rna27035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGPTRKSRSVNKRFSNIREAAASKDKDAANTGRNRQKACPGIQKKRKLADMLGPQWSKAELERFYEAYREYGKDWKKVALAVRNRTMEMVEALYTKNRAYLSLPEGTASVVGLIAMMTDHYSILGGSDSGKESNEDSEIMKKSKKRPRGKPNDNKAVDGHFSDHSQPHSVASDDGCLSLLKKRHSGIRPHAVRKRTPRVPISYSIGKDNEGKFFSSARQDSKQMIDTTDVTHKIALALSEASQRGGSSKKVGSPNKKNMPSPNLKSGKKHVKSGIVGAKFRKSDMDEASSELSLGSTEGDNGDYSGKLIHRNSRENTGRVRNQEKGIKHYRKSLEPQKNTNKHLNDIKEASSGTDDGKNQSSFKSNFDTDFANAKSVRSSYKGPRKKSKKQHFEEDEGSAFDALKTLADLSLMMPETNPDTESFVQFNEGNLDESKMETDNGNSSRKSGKVFSDKGDAAPKAEGAYQLSAGSRKRKQKSLTLNNDETHTGSPLSGSQKIKVTDEVKKSTVKGKRSSVSTAHSRNLNMVKSLGNMSSNIVDKAERGDSSFSPIIFLSTNQVGQANRVRPRRKMEKPKPMVQQDHTMSENNFSGQHDKSIALYWRNSMERHKGMLINCLSSHQTRRWCISEWFYSAIDYPWFSKREFVEYLEHVGLGHVPRLTRIEWGVIRSSLGRPRRFSEQFLTEEKHKLNQYRESVRSHYAEVLAGTKEGLPADLAQPLIVGQRVIAIHPKTREIHDGSILTVDHCRYRVQFDQHELGVEFVMDIDCMPLYPSENMPMSLIRHHITPARMNENLRDLTHNGKLTERKISEHTMLSPTEKSDAIKGRCVPSAMHGFSSSCKSQAKVAGSEICNGQSASSSHSSFLEQLQSKEADILAISELTRALEKKELVLSELKHMNDGVSESQKYGENSVKDSEPFKRNYASVLKQLTEANEQVSSSLFCLRQRNAYQASSSVLSLKPIANLEDPGGHASSSNCSACHNQESISQSHIAEIVESSRRKARTMVVQATQAMSVFRKTESKVERVEDVINFINNRLSVDDSTASATNFLAIDSITLASRDQLTASSTLNILARCPVQDDELNSSSDQNEMKIPSELISHCLATLLMIQKCTERQFPPADVAQVLDSAVTSLQPFCSKNLPIYGEIQKCMGIIRNQILALIPT >RHN67660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29026998:29027357:1 gene:gene15868 transcript:rna15868 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNVGSGSSGSKRSHEDSVGSSARPMGREAAKKKGKMKSKGETLEKVEKEWVQFKELKEQEIEQLKELNLVKKQKNKLLQEKTQAKKMKMYLKLRDEEHLDDRKKELLENLERELFEN >RHN56784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33730486:33731635:1 gene:gene32235 transcript:rna32235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MADNQRIHPLHDVPLHDVEAPQQQPSAPLVPRNMSKSDDPEQQHPPPPFPSTQQTLPIPINQSKPPKKRRSCCCKFFCWIFSILIILIIALGITIGILFLVFRPKIPKYSVDELRVTQFDLSNNNSLAVTFNLTITARNPNKKIGIDYRGGSRISAWYIDTKLCEGSLPKFYQGHKNVTVLSIPLTGQTQNATGLSSSLQQQFQSEGSVPLKLNVKQPVRIKLGKLKLPKINFRVRCTIVVDSLSANNSIRIRSSSCKFRFRL >RHN67788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30290043:30290993:1 gene:gene16021 transcript:rna16021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wound-induced protein, Wun1 MKITPNEIMEENVSNASWTESELENRNRRVVKMVYKALLRGGETEKIAKVVGKELEWRYHGPPHCQHMMKMLTGESTQKSFKFRPRRMRSVMGDRLIVEGWEDVGEYWVHVWRVKDGIITQLREYFNTLLTVVSEDGNEGRLWRSTPWARVQGSLPDLVLSI >RHN66080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8397623:8399485:-1 gene:gene13993 transcript:rna13993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MEEAQDMVAAAQHIIKALSSSKTVSNELRKTLLDLEFQLCSINERKESCIKQLERKLKCVEDKVMSLETNHGIISSSEYLKLVGEIQTLQQNFDSMNENWKQKELVQRANGILQVVMSRLEDELVQILLNHMQYFEPDYMSFNSNRVDIVYDGSFGSVEDENINEASQSSDGGRFEESSTIDLVHPSVLEDLKSIAKAMFASNYHQEFCHVFIASRREALAEYFVILEIEKLSIESVLKMEWHCLNSRIKKWIRAMKVIVQTYLVSEKRLCKQILGDFGSIYQLCFSEISRSSVLCLLNFGEAITMGTHTPEKLFCLLDMYEVLELLAVDIDILFIEEVDSFVRGEFHKLLRSFGDTIKSTFLAFRNAIATNPSNKCFPGGGVHHLTRYVMNYIKALVEYGDSLNLLIEDETSTDLAASDDNGENSTLSCCPIACNLRQITATLESNLCNKSKLYTDVALQHIFMMNNIHYMVQKVKCSKNLCNFFGDFWLRRHVGMFQHYARSYEKVTWSAVLSVFSEESLSNCRVKRKLKKKCKDFSTAFGEVYKTQTGWSVPDKELREDLQISVSQKLIPAYRSYTGRNSSNIDEKWIKYTVDDLQCYILDLFHGSQKSLHHSQHRK >RHN41122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27210184:27218400:-1 gene:gene47412 transcript:rna47412 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLMRSTTHVYSDREKPSSTPTESPPPPRVDALPSPSPPPPGAHSLETLLSEAQYSPRVDRFEGGEIDGENGDLKNDVTVLAKHLDVNEEEGWITIPYKELPEDWNNVSDIQSLRPLDRSFLIPGEQVHIVACLSACKQDTEIITPFKVAAVMSKNGIGHSPNKENGNIEKRNNSVAGEEQLSPSSQDQNKENLVKADRPADVSSGESLLRMEVHRRQTASLLEKFKSSHFFVRICESDEPLWSKHRSSEKSNSSETNDQKISTIEVKESAKHESSISAVIDRANFDATISGGVARNSVKCCALPNGDIVVLLQVNVGVNFLRDPCIEILQYEKYEDKILSSENQDNSVYTNKDPCGDLLKWILPLDNVLAPASRPLPPSPLSTNSGVSGTSQKSHSSASSGPQIFSFGSHFRSYSMSSLPQTQSTSAPTISLKAASSKPNFDIDDWDQVSSQKFLKKKNGAEELLSFRGVSLEQQRFSVCCGLQGIYTPGRRWRRKLEIIQPVEIHSFSADCNSEDLLCVQIKNVAPAHAPDIVIFIDAITIIFEEATKTGPPSSLPFSCIEAGNDHSLPNLALRRGEEHSFILKPATSVWNNLKVHDESPRFPKLQSGNSASKLSLSSNSLDRSNISSIDDQYAVMVSCRCNYTTSKLFFKQPTSWRPRTSRDIMISVASEMSGESPGPCERNSQLAVQVLTLQASNLTSEDLTLTVLAPASFTSPPSVVSLSSPATPMSPFIGFTEFLGRINDDGRPQTVSTNDDVIPSSGVSCTHLWLQSRVPLGCIPSQSTSTIKLELLPLTDGTITLDSLQIDVKEKGLTYIPERSLKINATSSISKGIV >RHN81388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45478757:45482953:-1 gene:gene5417 transcript:rna5417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MSWWSGFFELRPLFHLLLPLSIHWIAEEMTVSVLVDVTTTALCPQQSSCSKAIYINGLQETIAGIFKMMVLPLLGQLSDDHGRKPFLLLTMSTTIFPFALLAWNQSEEFVYAYYVLRTISYIISKGSIFCISVAYVADVVNENKRAAVFGWITGLFSASHVVGNVLARFLPQNYIFVVSIALLIFCPVYMQFFLVETVKLAPRKNQELGFCSKVSYVVSRRYKSMRNAAEIVIFSPALRGMALVSFFYELGMSGITTVLLYYLKAVFGFNKNQFSELLMMVGIGSIFSQIVLLPILNPLVGEKVILCSALLASIAYAWLSGLAWAPWVPYLSASFGIIYVLVKPATYAIISRASSSTNQGKAQTFIAGAQSISDLLSPIVMSPLTSLFLSSDAPFECKGFSILCASVCMMISLIFACMLNPNTPSSYDLEDNIEDPLLNHS >RHN48196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45699187:45699891:1 gene:gene42885 transcript:rna42885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MDFYSSANLFDISWEELFMFNNIDSNSSSYSGEMDLQELTYNGHVEEENSPQPSLAPKTLNPKPPSCKSTNHSHVNPTKREKRLYRGVRTRPWGKFAAEIRDTTRNGVRVWIGTFDTAEAAALAYDQAAFLTRGYRAILNFSEHVVKESLQNMNFKTLLNQGCSPLLELKRMHVLRTRSKNPSKKGKRDSKSMVLNNAQNVLVLEDLGSEYLEQLLMSSVSLEGVGNHQVFIQS >RHN81590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47354462:47364362:-1 gene:gene5660 transcript:rna5660 gene_biotype:protein_coding transcript_biotype:protein_coding MGGICSRSWKATVDGVAVDNVPGESSRHSANGHAGNNNDAGTTTYQPISSNINSNSHLPPLADELDKHQREPFSFTGPEKVPYGPGAEDINDGIPRLPRSLSHKSRKTVNVEVSEVSSLLGRAGTAGLGKAVDVLDTLGSSMTNLNISSGFTSGVTTKGNKISILAFEVANTIVKGANLMQSLSKENIKHLKEVVLPSEGVQNLVSTDMTELLRIAAADKREELKIFSGEVVRFGNRCKDPQWHNLERYFEKLGSELSPQRQLKEEAEMVMQQLMTFVQYTAELYHELHALDRFDQDYRRKLQEEDNSNATQRGDSLAILKAELKSQKKHVRNLKKKSLWSKILEEVMEKLADIVHFLYLEIHEAFGSADTYKQVKDSHSTLGAAGLALHYANIITQIDTLVSRSGSVPPNTRDALYQGLPPNVKSALRSRLQSFQVKEELTVPQIKAEMEKTLQWLVPIATNTTKAHHGFGWVGEWANTGSDVNRKPAGQSDLLRIETLHHADKDKTEVYMLELVVWLHHLVSQVRIVNGGIRSPVKSPIRSPNQKTAQLFTQKGGSTSPLLTIEDQQMLRDVGKRKLTPGISKSQEFATAKTRLSKHHRLSKSSNHSPISETKNDIFSTRRLPSVPVIDFDIDRMKALDVIDRVDTI >RHN79710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31423160:31424878:-1 gene:gene3535 transcript:rna3535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MQVSMRLISKAFIFLLSIIVMQSSTTYSKKKTYETHIKSATYLSEKLELGPGEVVSKSLMDIEFPRGHIGVKSFDIDLVDEQGNSIPLYETYIHHWFALKYDESDDKNMSHDPNDNTKPFGGPIIKRNQGTCNDLILPLYWGLGGESRGTISKLPDPFAVEVGNPANITKDWKEKWLFYVMFIDTRGTKNRKSCSECRCDQFNLPKNFYNKTHDIHDKPLSHDYKGGIFCCHNKFQCKLRKGLPAPRRKLAIRYKITWVDWNEHQIPVRFYVMDSTDRAKTNGSKTIHDCLTEYIIPGNNSSDPIHVQKASFPMEKGGYLIYGTAHMHTGAINATLYGQDGRTLYTSKTKYGTGKKAGNEKGYLVGMSVSYPKLGSIKIKDGEIVSMESIYKNEFRTGAMGHMYFYLADRLPHGKYSLYSYDL >RHN48367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47020952:47021956:-1 gene:gene43078 transcript:rna43078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MPPTKAEKKPAEKKPAEKAPAEKKPKAEKKISKEGSSDKKKKRTKKSVETYKIYIFKVLKQVHPDIGVSSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >RHN41718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32327521:32336911:1 gene:gene48070 transcript:rna48070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MEPYPQRPSANADRLEGFRNSVDEFRTQVDNLQKQVIEVEHYYESSGIFQGNSSRGGSVVKEKGREKTLAGTKTPLQDALRTETAAGKRMQELMRQFSTILRQITQHKWAWPFLEPVDVEGLGLHDYYEIIDKPMDFGTIKNKMEAKDGTGYKNVREIYADVRLIFKNAMKYNNEKHDVHVMAKTLMEKFEDKWLLLLPKVAEEEKRQIEEEAQVQMDIHLAQETTYADMAKDLSNELNEVGIRLMEFREKVIQNCRKLSTGEKKALGKAIAKLSPENLQRALDLVAEINPSFESTADEVVLDINAQSDYTVWRLYHFVKGALEGQQGTAVNNDTEEKRYSSRKRREFSDDHAKNPSKSRKLSTS >RHN73644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18454357:18454796:1 gene:gene9482 transcript:rna9482 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSERKEIVLIFVMMMIVVAQANDSAHEESFKRAICALKCPFKCKGNIKHYAVCVVTCELLCTQKTSKVDYDCATNCAIFKSVNANNDVGDVNAYVNPCIEVCKNK >RHN49737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:737610:738797:1 gene:gene33944 transcript:rna33944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like jelly roll MKSTYLFFTTFLAMTSFAFALDLSPLQDFCVATNDIKTGVLVNGQYCKDPKLVTADDFFFSVKEGVVSSPVGTQVTLVTVNEILGLNTLGVSLARIDFAPKGINPPHTHPRATEILMVLDGTLNVGFYTSNQESSTPITKDLNKGDVFVFPIGLIHFEHNTGDGNAVAISGFSSQNPGIIRIIKDTFEFNPKNYSELLTKAFQMDNNIHT >RHN76136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47926245:47931034:-1 gene:gene12417 transcript:rna12417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MAEKESLFSIGDWMRIPICTFFKDARLVFKLDDLGREILSIALPAAMALTADPIASLVDTAFIGQLGPVELAAVGVSIALFNQASRIFIFPLVSVTTSFVAEEDALSDASSQVEENGCLEAATPPDAETKEFLPQKNSVVESFNVVKDDQHKRRQIPSASSALYFGGVLGLVQATILISAAKPLLNFMGVTSDSPMLHHAQQYLKLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDLTNIALDPLFIFVFRMGVNGAAIAHVISQYLLSAILLWSLNKQVDLIPPSIKHMQFDRFAKNGFLLFMRVIAVTFCVTLSASLAAHHGSTSMAAFQVCLQVWLAVSLLADGLAVAGQAILAGAFANKDYEKASTTATRVLQMGMVLGLALAFILGTGLHFGAKLFTKDIDVLHLIRVGVPFVALTQPLNCLAFVFDGVNFGASDFAYSAFSMVIVAIISIICLLILSSAGGFIGIWVALTIYMSLRAFAGFLRIGTGSGPWEFLRS >RHN81561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47024447:47032540:-1 gene:gene5626 transcript:rna5626 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRQSGTERLGVRVGSLDLHSTNGSSDHVAIGIRGGVGIKQPRLRRSARSDRGVRFSVIAILVFLFIVLVVTFMVFSYISREGEVLSSELSNKGDDTDDIKGDSDFLTNVPRIEKKVLDFGHDSGGRGRDSRYWDKDDRRRDGGYDEDMKDQISKDLGDANADDANRVKMNHSIKFSQDGSHTGLRRKGVGLYNEAGRHELKRYEAEYEASLKNVRHSAGDGEKLPHDADMEKKNAAVDIDDEYDDFFDSHDPQTEDSADSGNMGGKHSNALSLDSEVQNESHDSSDMGTNDDVTPEEDIDGESSLNKKNSPGGKTNSRLSDIANERTNRKSRPETKRKGKRRKYSGSCEMKVLNSTSQLVEPLESRKFARFNLQYVETEEKPLGVEQWTPRFAGHQSLEERENSFLARDQNIKCGFVKGPEGSPSTGFDMSEDDESYISRCHIAVISCIFGNSDRLRTPATKTISRLSRKNVCFVMFTDEITVRTLTSEGHPPDRMGFIGFWKLVVVKNLPYDDMRRVGKIPKLLAHRLFPSARYSIWLDSKLRLQLDPLLILEYFLWRKGYEFAISNHYDRHCVWEEVAQNKKLNKYNHTVIDQQFAFYRADGLQKFNASDLNKLLPSNVPEGSFIIRAHTPMSNLFNCLWFNEVERFTPRDQLSFAYTYQKLRRMNPDKPFHLNMFKDCERRHMAKLFHHRMDEKRNTRKKAIE >RHN40734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20078281:20079939:1 gene:gene46929 transcript:rna46929 gene_biotype:protein_coding transcript_biotype:protein_coding MISFKIKKQVVLIYLWLWWITSTNICVMQFFYFLCLLHLRCFSFPFQS >RHN67400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26853195:26864085:1 gene:gene15587 transcript:rna15587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Homeobox domain-containing protein MGEEEVVAEVSKTDSNGGSVPVKSLGDVVEKKDLETDGGKVLESNGVKEVKENDVKEIEEDKKDEGVEEVQEDKKDEDAVGEVKEDKKADGGDEVKEDKKNDGVEEVKDDKKDNVADEVKEDKNGDVVEVKEDKKDDVVEEVKEDKKDDAVEEVKEEKKDEGAGEVKEEKKDDGVEEVKEDKEVDGADEVKVEKNDNEVEEVKDDMEVDSVKEPVEDKNDDDDIQEMEEDDKNVAESENEKMDVDAEVKETTEDKEEKEKIEAEKEAEGSIEEKEEGNDEEKTEVEEKEEGDDNEKSEEETEEKEEGDEKEKTEAETEEEEEADEDTIDKSKEEDKAEGSKGEKGSKKRARGKVNEEKVKVKKKELKLPEPKTPTSDRPVRERKSVERLVALIDKDSTKELQIAKGRGTPLKDIPNVAFKLSRRKTDDSLKLFHTILFGRRGKAVQVKSNILRFSGFVWHDNEEKQMLKVKEKLDRCNKEKLLEFCDVLDITITKSTTKEDIIAKLTDFLVAPHATTTVVLADKESSKKRRRTVKRGTPRSGGASTSRGSGKRQKKNEDSSGVEKKSTTDTEDESEGEEKNEENDDEPENDIPEKSEDETPQKSEREDKSDSGSESEDVKKRKRPSKTSSAKKESAGRSKTEKSTVTNKSRSPPPKRAPKKSSNTRPKSDDDINESPKVFSRKKKSEQGGKQKISTPTPTKSSSKSKEKTEKVTKGKGKKKETSSSPTDDQLHDAICEILKEVDFNTATFTDILKLLAKQFDVDLTPKKSAIKLMIQKELARLAEEADESEEDEEEDNEKDEDRS >RHN73503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17178804:17180927:-1 gene:gene9322 transcript:rna9322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific polyamine oxidase MVVKKPKIVIIGAGMAGLTAANKLYTSTASKDLFELIVVEGGTRIGGRINTSEFGGDKIEMGATWIHGIGNSPIHKIAQQIHSLHSDQPWECMDGNNSNDESLTTISEGGFNLQPSIVDPVSKLFKYLMEYSQGKLTKETAKGEEVLSYYNMAVKAASSNFASKKNLSIGSFLRQGLDAYFESLKDEEEEVKGYGDWNKKLLEEAVFAMYENTERTYTSAGDLECLDYEAESEYRMFPGEEITIAKGYLSIIEYIASVLPPGLIQLGKKVKKIEWQSQKKSYDDNCFRPVKLHFCDGSIMYADHVIVTVSLGILKASISHHDDDDDKGMLFSPNLPSFKVEAISRLGFGVVNKLFMQLSTQKTTNLDDENSEGLFPFLQMVFHSPQNETKDKKIPWWMRKTATLFPIYNNSSVLLSWFAGEEALALESLKDEEIINGVTSTVSSFLPQNEVKFDKVLKSQWGTDPLFLGSYSYVQVGSSGEDLDTMAEPLPMMKDNSNFSYPLQILFAGEATHRTHYSTTHGAYFSGLREANRLLQHYHCVGIFNN >RHN61738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37930097:37931717:1 gene:gene24229 transcript:rna24229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasaponin III rhamnosyltransferase MGHIYPYFELAKILAQNRHTVTFISSPGIIDQIPKPPKTIQPFINLVKSPLPYIEQLQGGESTQNVPFNMLGYLKLAYDGLQDDVTEILKTSKPDWVLYDYAADWLPSIAKSLNIPCAHYNVVPAWNICLSNPPKDQINIDRCSPPKWVPFQTSIHYKPYEMMRMKSLFKNNSEKRTPTIKLDKVYSSCDLFLIRTSRELEGEWLDYISYQYKVPVVPVGMLPPSMQIRDDEEEESNPSWVKIKAWLDSKESSSVVYIGFGSELKLSQQDLTELAHGIELSRLPFFWALKNLKEGTLELPKGFEERTKECGIVWKTWAPQLKILAHGSIGGCMSHCGSGSVIEKLHFGHVLVTLPYLPDQCLFSRALEEKKVAIEVPRSEQDGSFTRDSIAQTLRLAIVDEEGSMYRNNAKDMEKVFSSKDLHNEYIKDVIAALQKYRVRYVN >RHN73629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18353840:18354618:1 gene:gene9465 transcript:rna9465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MKMILTIFFIFSLLSLAHASVVDFCVADYNAPNGPAGYSCKPPSKVTVNDFVYHGLAAAGNTTNIIKAAVTTAIDAQFPGVNGLGISIARLDIAVGGVIPLHTHPGASEVLVVIKGTISAGFVSSDNVVYLKTLHKGDVMIFPQGLLHFQINVGGSNALTFNSFSSANPRLQILDYALFESDFPTKLITATTFIDPAVVKKLKSVLGGSG >RHN80650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39777145:39778142:-1 gene:gene4598 transcript:rna4598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone H5 MSPNAAAQPKPKKTAAAKKPLSHPTYAEMITEAIVSLKERTGSSQHAITKFIEEKHKDLSPTFRKLILLHLKKSVASGKLVKVKNSFKIAPAVAKTAPVKAAIAPAKKAKAVTKPAAKAATKPKAKAAAVKPKAAAKPKAAAKPKAVVKPKAKSVKATPVKKAAKKVVAKKPKSVKTPVKKAKK >RHN79925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33549824:33552525:-1 gene:gene3783 transcript:rna3783 gene_biotype:protein_coding transcript_biotype:protein_coding MENHHPSTLLSMDSSASSHEELDLEMNRQIILSRPPDINLPLSAERSPPPQSWNSDPCDILDVGLGTQGYETETFLTLPKVGRKCAKRVDSIWGAWFFFSFYFKPALNDKSKAKIVRDGNGISGFEKSDLNLDVFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIELFPSPNLMNLDEDDRKRWIELTGRDLSFTVPPEASDFGSWRNLPNTDFELERPLPSIKSAANGHPKKLLNGSGLNLSTPLANHTNGDLLDLSSANGKKRKDFFPHANGNGNFPHGSGNGNVNGNEEECYLAVNPPSERIQDIEMHPSEPHWLHDFSGVIKNVYGPVTAAKTIYEDEQGYLIIISLPFVDLASVKVSWRNTLTHGIIKVSCMSTSRKPFIKRRDRTFKLTDASSEHCPPGEFVREISLSTRIPEDANLEAYYDEPGSVLEIMVPKHRVGPEEHEVRVCLRPHLGGNDLMLT >RHN42251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36723317:36723985:-1 gene:gene48668 transcript:rna48668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative encoded peptide MAQNKSIFSMIFLGIIIFSQTFMSIDGRYLKSNEGKQSLMKHNEASNDDIIHVSISISNAEILNMTPPNMVVNGATGESSPPPPPPGRDISDFRPTTPGHSPGIGHSIHN >RHN71539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:866712:867771:-1 gene:gene7151 transcript:rna7151 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLSQFTFLSDQSLHDKSFDPSTIEDLMKLFEIESYKAWAAAELEQEREVEEAEDAMQEAEEHLNSAMESAMDEFRRFEEELERISRDEVESLVQTAEKARNMGNLMEKKASIASKKYIEAALNSATASMKSAWKGISSGKVHPS >RHN46332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30861007:30862535:1 gene:gene40812 transcript:rna40812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MATFFIIPFTILILCLKIHAFDPVSSFSFNDFGKDPNFKSVLGFYGNAKVVNGGSEVLLSGYGDSGNGKVMYKKPIRVVDVEDEPKLLVSFSSYFEFSLSFGDGNGLAFVMVPKGYEGEVFGNDSFGLKKKDSKVVAVEFLASRDVRNKSSDSFGMAIDVGDSVPVKRINVSSVNMVIRNGGKLHGWIDYDSNSRRLEVRLSRYGHSKPVNPLLWQSVDLSYNWTTEEIFAGFSSMKGKTSQACFLYSWSFDVRHFRHWMHSEPMDTKAYYAKNTYSPPADKPRSDCVLRILAAMIFGSGCGALAAFTVLYLWTMFGNRRPVVPEECVMQPVDCEYKKVNIVVDKPLKDGKE >RHN76855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1827211:1836149:-1 gene:gene238 transcript:rna238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MGEVAVLHSEPLQFECNDKKHMTEEGVYPPKSNPESLPGEESSTYDLQEKGYMEHGCQHYRRRCRIRAPCCNEIFDCRHCHNEAKNDINVDYKHRHDMPRHQVKQVICSLCGAEQEAQQNCVNCGVCMGKYFCDTCKLYDDDISKQQYHCNGCGICRTGGQENFFHCNKCGCCYSTLLRDSHPCVEGAMHHDCPVCFEYLFESRNDVIVMPCGHTIHKSCLNEMREHFQFTCPLCSKSVCDMSKVWEKLDMEISATPMPEPYQNKMVWILCNDCGKTSNVRFHFVAQKCLNCNSYNTRQTRG >RHN45750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25234686:25235960:-1 gene:gene40140 transcript:rna40140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MQHKLLLFFKIFNYSTTEIMAIIGKTLFMLFFLSSIFSIASSRKGPSSSNIDWWCNLTPHPKPCKHYTTQMNNHFKIKHRVEFREMLVKLALNQALTMQKEAQENSQQQQNSSVHKTVHADCFKLFENTIFHLNHTLEGLNNASKNCSPNDVQTWLTTSLTNIETCKSGALELNAQDFNFIMQTNVIEMIRNILAINMHFLKHNKETEEGSFPNWFSMHERKLLQSKSPVKYNIVVAKDGSGQYKTVQAALNAAAKRKYKTRFVIHVKKGVYKENIEVAVHNDNIMLVGDGMQNTIITSSRSVQGGFTTYSSATAGEL >RHN63809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54163125:54163972:-1 gene:gene26561 transcript:rna26561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MTAIFKASSHTFFLCLILFSASQFLLINCTEFEVGGKTGWVVPNSKDGDEMYNKWASQNRFKIDDTIHFKYEKDSVMVVSEEEYENCKSTRPLFFGNNGNTVFKFERPGLFYFISGVSGHCTRGQKMIIKVLDVEPEPTASSPQSANENAPIAHSKAAQITPITITAFTLFALSFLGMIYA >RHN60384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26056644:26062182:-1 gene:gene22691 transcript:rna22691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative condensin complex subunit 2/barren MAETLSPTKHRLPVAARIQSPTSPFILGSNDDQLERAQARAARAAAIRRKNLPVSQSLEADSDPCLNKQQIFDLFQNCIKLASENKINQKNTWELNLIDHLTDIIKAEEENDTETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGMNRAGQEAEQDTTLEGVNVENGQEGSRKETDKKLSPLSTLESSFEVLNVKKFDAAFVVDPLYRQTTAKFDEGGAKGLLMNNLGVYGGCRLLFDSLEVPAKCMESQNEPDISDTIDLSFARDCVEEIVLEMRVKDEISPTLRTIVNQFDENNKRPTGFQLPGQNSAEELDADFNCENGADREEYDNGATWSDDHDDQPVIADLGSNDADPSFSSYPQENEQFPSTDSDMDDRFENVDGFLFLSLGFNSKHNAWAGPDHWKYKKSKVSEVQPTSEDGSTLKPRQTKSKKQAEVDLDFTNSLEKDLSDIFAPPKNPKTLLLPESRSPCNTKLPEDCHYQPEELVKLFLLPDVKCLGRRAKRFSDADGSRDQFNENDPFPSWDNGSACGDDEAGDYEGDHHSDIEDPGTLITQPRQVSKIEVQYDKTSKQVDVQVLKVTLWDHVQESVKLSPVQDQEEIVSFKNLLANFPGECNAAANISDISPHLCFICLLHLANEKGLSIQSFPNLDDLSICLPPVGDTH >RHN76918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2355121:2361454:-1 gene:gene310 transcript:rna310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Tesmin family MDSPEASKINNISSSLSTINAATNNPSDESPQVQESPFLRFVNTLSPIKPVKASHATHGFLGLNSPPLVFKSPRISAPHRETQYSERLEGTHLSDTETSQSNIGDNSLGEAHSDLKKLNSQLPLPEVFIPVAQKDFDLKNDANTQSSSPPASVDEYLADPVDDQMYPVNPEMEQSTDAVGSSSLTESEKVILKFDRSDGPSNIAEELLPLLEESNMVHQERTEYVENPATIEGEKNGAELVSQEHINLGSSSGADVFDKQYCHDSLPQCTGNDQRHHSDCAPQLMPDPIQVVKEVENCSEMVSTSQVNSENIPQDGSEASLKYHGIRRRCLQFGEAASVVLGSNKSHVKLNTTSSNAKMVSVTVSKPPGIGLHLNSIINAMPPSCASTTGVRLSDGLPGSKSSISLHIVENATRSSTPSNMDGQSFIDTRNESNETDASVVADSFISESPILTESIDLYPANAPDKRRVSPTDAEEFNHPSTSRKKKKTSTDDASGPKTCNCKKSKCLKLYCDCFGAGIFCGDGCACEGCGNRVEFQDKVVETKQQIESRNPQAFAPKIVPCAADVPPNNMEDVNMTTPASARHKRGCNCKRSKCTKKYCECFQANVGCSTGCRCDGCMNAFGKREDFVAMEHASSNERESSIVEEGLDDKLHKRQKIVTIRTDLLRPANHLSPVTPLLQCSDQGKQGAKSRHSSTNLTKSSKKPRSSLAHSESNDSQKNAPPTHSSSTENEWIDLPPYQLSNRCGIRQLSGGSLRWRGSSPITPSANLDDESDGKLFDILEDETPDVLKEASTPIKSVKANSPIQKRVSPPQSHLLRIGSSSSGGLKSGRKFILQSVPSFPPLTPCADSKVLNDNEDSGNSTDKVT >RHN79596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30402536:30407299:-1 gene:gene3409 transcript:rna3409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rRNA methylase, S-adenosyl-L-methionine-dependent methyltransferase MLSLSFRFSCFTHSSSSHRRLLFLLPSPTISQCCFSVKPIKQNNGNNLQSSSSFSKGSPFSGLEDVFVSFLFGKKRATDVAHMVWKHVVQKGDTVIDATCGNGFDTLALLNLVADESHNGYVYALDIQKDALDKTSLLLEESLSSNEKQHVKLFNICHSKMEEVVQSNAFVRLVAFNLGYLPGGDKEIITRSETTLLALEAAKRILIPGGLISIVVYVGHPGGREELEVVETFAARLSVENWICCKLQMLNRPCAPIPIFLFRR >RHN66746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18248446:18249254:-1 gene:gene14822 transcript:rna14822 gene_biotype:protein_coding transcript_biotype:protein_coding MDISLRFTVLFAISILAMLCNPRVTAIEGLEASFTPSTTATTPSTPQELQEHSFFSHTALLPPILSHLGFHELATAAPSLSDSAATAASAWTGLFTIDYMRRGTKIETLSPGRCIPLTSDSVNRKTSTTASAKVFIGGVEITQPDLFNNGMVVIHGLQGFISPLSPFYCDVERMTSLSFPFHPDHHSGQHIKTSGSVQPAIMRLMLRDAMLRLRNNGFSILALAMKVKYAELVTLNNTI >RHN51772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24771575:24771958:-1 gene:gene36290 transcript:rna36290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MKFREIDTQEEFEEILHKIKQEPFDCSKKDNCRCDDPADIKYDSSRTWVKYKPNIPKTPKEFKRISVLRDDYSKLDSYYITPTGKQLRSHNEIAAYLKDHPQPNVYLLWILIFHPQRSCKTPFQILL >RHN68893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39407889:39413287:1 gene:gene17309 transcript:rna17309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5-methyltetrahydropteroyltriglutamate--homocysteine S-methyltransferase MNKVLSFQCLTPSFKFSLSSLSFSHHYHSPPRSFLRFSVRASSSSSRAMSSHIVGYPRMGPKRELKFALESFWDGKSSAEELKQVAANLRSAIWKQMADAGIQHIPSNTFSYYDQVLDTTAMLGAVPDRYKWNGGEIGFDIYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVKFSYASHKAVDEYNEAKALGVNTVPVLVGPVSYLLLSKPAKGVEKSFSLLSLIDKILPVYKEVVTELKTAGATWIQFDEPTLVKDLDAHQLQAFNYAYAELESTLSGLNVLVETYFADVPAEAYKTLTSLKAVTAYGFDLVRGTKTLDLIKQGFPSGKLLFAGIVDGRNIWANNLESSLNTLKELGDIVGKEKVVVSTSCSLLHTAVDLVNETKLDQEIKSWLAFAAQKIVEVNALSKALSGQKDEVYFSSNAAALVSRKSSPRVTNEDVQKAAAALKGSDHRRVTSVSSRLDAQQKKLNLPSLPTTTIGSFPQTADLRRVRREFKAKKISEEDYIRFIKEEINNVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFTFTANGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQSLTKRPMKGMLTGPVTILNWSFVRDDQPRFETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEESFYLNWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPPTEEIADRINKMLAVLESNILWVNPDCGLKTRKYTEVKPALTNMVDAAKLIRKQLASSK >RHN53600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2778024:2782714:-1 gene:gene28524 transcript:rna28524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, phosphoribosyltransferase MINLKLGVDVVGAHNLLPKDGEGSSNAFVELYFDGQKFRTTIKEKDLNPVWNESFYFNISDPSNLHYLTLEAYVHCHSKATNSSSFLGKVSLTGTSFVPQADAVVLHYPLEKRGIFSRVRGELGLKIYITDNPTIKSSIPNPSVESMPTNNHAEVHGPTGSMRNGLSRDKVESSRHTFHHLPNTNHQRHQHQQHSTGYADTHYVPKYEADEMKADQPQPMKLVHMHSVTSLQPVDFALKETSPFLGGGRVVGGRVVHKDKTASTYDLVERMYFLYVRVVKARELPSMDLTGSLDPFVEVRIGNYRGITKHYDKNQNPEWHQVFAFSKERMQASVLEVVIKDKDLIKDDFVGIVRFDINEIPLRVPPDSPLAPEWYRLDDKKGEKVKGELMLAVWIGTQADEAFSEAWHSDAASPVDSTPATTTVIRSKVYHAPRLWYVRVNVVEAQDLIPTEKNRFPDAYVKVQIGNQVLKTKTVPARTLNPQWNEDLLFVAAEPFEDHVILSVEDRVGPGKDEIIGRVIIPLNAVERRADDRIIHSRWFNLEKPVAVDVDQLKREKFASRIQLRLCLDGGYHVLDESTHYSSDLRPTAKQLWRPPIGVLELGVLNAIGLHPMKTRDGRGTSDTYCVAKYGHKWVRTRTLVDNLSPKYNEQYTWEVFDPATVLTVGVFDNSQISGEKGHNKDLKIGKVRIRISTLETGRIYTHSYPLLVLHPTGVKKMGELHLAIRFSCTSFANMLYLYSKPLLPKMHYVRPFAVMQLDMLRHQAVNIVAARLGRAEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMTVFSGVFAVGKWLGDICMWLNPITTVLVHVLFLMLVCFPELILPTLFLYLFLIGVWNFRYRPRYPPHMNTRISQADVVHPDEMDEEFDTFPTSKNPDLVRMRYDRLRSVAGRIQTVVGDLASQGERIHALLSWRDPRATSLFITFCLLAALVLYVTPFQMVAGLAGFYFMRHPRFRHRLPSAPINFFRRLPARTDSML >RHN80101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35117429:35117776:1 gene:gene3984 transcript:rna3984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MGGPSSLASTVSGSSCLGKTKLVCYCGVDSPLVTAWADENSGRRFHGCGKYWQRRKCSFFRWFDPEVPDRQKKLIRGLLKKNDALKNKEKMLLLTIVILGMLLFVSVLVILIKLG >RHN55423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18158381:18160581:-1 gene:gene30601 transcript:rna30601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAGGTIGTNGSGKEYPGKLTPRVVFVCVIAAFGGLIFGYDLGISGGVTSMDPFLQKFFPSVYEKEANIRPSDNQYCKFDSQTLTLFTSSLYVAALIASLGASWLTRVLGRRITMLSGGVLFLAGAAMNGFAQEVWMLIVGRMLLGFGIGCANQSVPIYVSEVAPYKYRGALNMMFQLAITIGIFVANILNYVFSKMKNGEGWRYSLGLAAVPAIMIITGAIFLPDTPSSLIERGQNDKAKKELISIRGTTDVDEEFQDLVAASDISKTVEHPWASLLTRPYRPHLTMAIAIPFFQQLTGMNVITFYAPVLFKTIGFSSNASLMSALITGGCNALATFVSIATVDKFGRRTLFIEGGIQMFICQIVIAIFIALKFGVSGDPGVLPKWYAIVVVMCICVYVAGFAWSWGPLGWLVPSEIFPLEVRSAAQSINVSVNMICTFIIAQIFTTMLCHMKFGLFIFFAFFVVVMTGFIYKFLPETKGVPIEEMSTVWEKHPYWSDFVKAKPKPNDQELGQR >RHN48030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44396657:44397132:1 gene:gene42699 transcript:rna42699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSSTSKKMITLKSDDGETFEVSEAVALKSQTIKHIIEDDCADNVIEYCKKHVEATSSDEKVSEDDLKNWDADFTKEVDQQTLFQILLAANYLNIKNLLDLCCQTVADDIKDKKPEEIRKIFNVENDFSPEEEAKVQNENTWAFE >RHN79192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25491568:25494055:1 gene:gene2927 transcript:rna2927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3,9-dihydroxypterocarpan 6A-monooxygenase MFQLQSHLNHHILLPKISSMAEMQYYIQLFFVLVISTVAIQTLLTRKKNKKHLTPPSPLALPIFGHFHLMSKLLPAHQSFHKLSIQYGPIMKLFLGSVPCIVISSPEIAKEFLKTHETFFSNRLINYAIDYLSYGSQDFMFAPYGEYWKFMKKICMSELLGGRTIDQFRPLRQQETVRFLRLLQKKGEAGEAVDVSGLLLNLTNRIITRMTMSKTFNENDSNVEDLRNMVHDFSELAGKFSMLEYIWFCKNLHRYRISKRLKGMQKRMETMMEMAIRDRQKERKKIKENSEGSHVRDLLDILLEIHENNNTEIGIKLNKENVTSFIVDIFLAGTDTSSTTIEWGLAELINNPRVMAKAREEIDSVTGKSRLIQESDLPNLPYLQAILKETLRLHPTVPLVVRESSENCNVCGFEIPRKTILFVNLWSMGRDPKLWENPYEFKPERFMSEENKFDVRGQNFQLMPFGTGRRGCPGASLALQAVPTNLAAMIQCFEWKVGGDGTVNMEEKPSTTLPRAHPLICVPIPRFHSFPFGE >RHN77848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9903355:9907182:-1 gene:gene1344 transcript:rna1344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MRNKMSLLFIGAMLFVCVGAEPAADKQALLDFLHKMNHSSLLNWNKKSSVCKKWNRVTCNTEKSRVISLQLQSLGLNGSIPVNTLSRLSALENLSLAFNKFSGPLPLDFSVWKNLTVVDFSNNSFNGSIPLSVSNLTHLTSLILANNTLSGEIPDINVPSLKDLHLENNNLSGFVPKSLQRFPSLVFSGNNVTYVNVFPANVHKKRKKTKGLKEKALLGIIIGGCVLGILIIAAFLIVCCYEKRGEDGEVIKSQKNKEVFSEKKESSESLERNKIVFFEGCNLVFDLEDLLRASAEVLGKGTFGTVYKAALEEATTVAVKRLKEVTVGKREFEQQMEMVGKIRHENVDALRAYYYSKEEKLMVYDFYEQGSVSAMLHGKRGVERIPLDWESRLKIAIGVARGIAHIHGEQLIHGNIKASNIFLNSKGYGCISDIGLATMITSPISPRAAGYLAPEVTETRKSTPASDVYSFGVLLLELLTGKSPLHGGEEVVHLVRWVNSVVREEWTSEVFDLVLLRYPNIEEEMVEMLQIGMACVVRLHDERPKMDEVVRLVEEIRKVNSGNRTSTESRSECSTPTPHIVDVN >RHN81977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50304621:50305917:1 gene:gene6088 transcript:rna6088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MESSYSPKLLISFIVILISINPSSHQSLPPLNPRLTKAYIALQAWKQTFTSDPKNTTLNWYGPNVCNYTGILCAPSLDDPYINTVAGIDINHANIAGSLPEELGLLTDIALFHINSNRFYGSLPNSFNHLHLLHELDISNNQFSGTFPEVVLCIPSLKYLDIRYNNFQGNVPKGLFDLKLDALFINNNKFKFSLPENFGKMPASVVVFANNDIQGCIPSSVAYMKDTINEIIMTNSGMKGCLPNDIGKLDKVTVFDVSFNEFVGELPESISGMKSLEQLNVAHNKFSGVIPESICRLPRLKNLTYSYNYLSGESEICVKLDDKDDTKNCIPHMHFQRSSHGCDAFYEHPVHCTGVGCSFVTLPPPPPSCPPPPPPAAYHHYL >RHN54730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11682449:11683364:1 gene:gene29808 transcript:rna29808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MASCSISARETGSESATVPNWLELPTDIITNILRRLDTIDIVKNACIVCPLWWSICKDPLMWRTIRMIGERSYFNANFPEICHYAVERSCGHLEEISVEYFATDELLEFIVENGTNLRCMRLVECQYISDEGFCKAVRKLLQLEELEISLCSLSKESLEVLGRSCRLLKSLIFSREWNRPVADDGDALIISETMSRLRRLHLDGNRLTDIGLLAILNGCPLLESLYIGGCYHLELSQGLEERCLEQIKDVRLSFTIDYLQVLL >RHN80697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40174167:40178190:1 gene:gene4654 transcript:rna4654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzyl alcohol O-benzoyltransferase MAFSQEVESPSPSLVFQVRRNQPELIIPATLTPHETKLLSDIDTQGGLRANVPIIQFYRNKPSMAGKDPVEVIRNAIAQALVFYYPLAGRVKEADSSGKLMVDCNGEGVMFIEADADVTLEQFGELKAPFPCLQELLYDAAAPEGVLNTPILLIQVTRLKCGGFIFALRFNHTMVDGVGTVHFMLAVTEIAKGAKQPPIQPAWHRELLNARDPPHVTFNHREYEQLTDVQTDTVLTATEFSERSFFFGPVEISAIRNLLPRHLDNASTTFEVLTSYIWRSHTKALKLNPTEEVRMMCIVDARGKFNPPIPVGYYGNCFAFPAAVATAAEICENPLGFSVELIKKASGEVSEEYMHSVADLMVTKGRPLFTVVRSCLVLDTTYGGFRNLDFGWGKAVYGGLAKPGAGSFPSVHFHVPGQNAKGEEGIFVLISLPTKVMTAFAKELDDLIAATN >RHN74346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32038795:32052725:-1 gene:gene10388 transcript:rna10388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NRAMP family protein MLTLAAIFFQYLSARVGVITGRHLAQICSDEYDTLTCLLLGIQAELSVIMLDLNMILGMAQGLNLIFGWELFTCVFLTAIGAVFHMLLAVLFDIEKAKFLAQFVAGFVLFSFILGVFIQSEVPVFMNGILIKLSAENAFMLMSLLGATLVPHNFYLHSSIVQWHQEPANISKDALCHNHFLAILCVFSGLYLVNNILMTTSANEFYSTGPVLLTFQDALSPMEQVLHSPIALLGFALILFLANQTTALTWSLGGEVVVNGFLKLDIPGWLHYATIRVIAVLPALYCVWSSGAEGMYQLLIITQVLVALQLPSSVIPLLRVAKSRSVMGAHKISQSMELLALTIFLGMLGLNIIFLVEMIFGHSDWAADLRWNVGNGVSVSFSVLLIAGFLSICFMLRLLTTPLRSVDINALVLNWDMPEAVLNPLVDGEEM >RHN67742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29920865:29922182:1 gene:gene15965 transcript:rna15965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDCDKGIELYLLSEEDAWIMFKMYAGISSSSSKTLIGKGCKIAKECKQLPVAIAVIASCDRVHEWDVILKSLKKPVSMQDVDDDMVEVYKCLKFSYDYLKDEKVKGLFLLCLLFQEDVEIDVETLVRICTGMGIFRDDYCSYNDARNQVVVAKNKLIDSCLLLEVNERNVKMHDWARDGAQWIGNKEFRAVNLSDKIEKSMIEWETSIRHLLCEGDIMDMFSCKLNGSKLETLIVFANGCQDCECMEVPSSFFENLPKLRTFNLSCRDELPLSLAHSIQSLTNIRSILIETVDLGDISASGNLPSLEALDLYDCTINELPSEIAKLEKLKLLFLQDCVIRMKNPFDIIERCPSLEELHFRNSFNGFCQEITLPELQRYLIYKGRCKLNDSLSKSVNFDARRGNECFFSKETFKYCMQTTKFLWLNGMKGGMEKSHA >RHN72161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5479471:5480589:-1 gene:gene7842 transcript:rna7842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MQMKCVCKSWNTLISEPFFVKMHLKEYNTVKMHLNYQVMKRSARNPNLALPIRKRQKYTTPWFCGVVPIPYQYLIYSRINQSPLLGYHPRSGFLIGSYNGLLHFREPQSTRLYPNGVKIRKTYFRISNPATRKFTFGYDDSTDTHKVVAFRPGGLEVKVFNLGDNIRRDIQSLPVIPYFEINEGVYFSGSIHWLALYNYSHSLYEWAGITIDQFAIIISLNLSTETYNKLLLPRGFNEVTREVPILRVLNNHLCFSHEFKGTHLIIWQMTKYGVEESWNQLLKISHQNLPSIHPHPYWVPLYFSETCDYILIFANKLEDQAILYIWRNNAVERTKIKLKKSMWLYARDYVESLVWYR >RHN74281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31461445:31462592:-1 gene:gene10318 transcript:rna10318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase Pif1 MINFTFWESYGSKFLNYYNQNKNYGAIVIILTQAMIKDAQVFKGSDRAALLKLTKLIIWDEAPMAHKFYFEALDRTLKDIMTGSKSSSKIFGGKVIVFGGDFR >RHN75911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46104963:46106366:1 gene:gene12171 transcript:rna12171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein transport protein SecG/Sec61-beta/Sbh MAKGASQSQSSTSTSSRPGGGGLAAPRGSAAATAGMRRRRLTSGNSTASVGGGSSGGSNMLRFYTDDAPGLKISPTVVLVMSLCFIGFVTALHVFGKLYRYKAGAGV >RHN74808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36815263:36815622:1 gene:gene10928 transcript:rna10928 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNVGSGSSGSKRSHEDSVGSSARPMGREAAKKKGKMKSKGETLEKVEKEWVQFKELKEQEIEQLKELNLVKKQKNKLLQEKTQAKKMKMYLKLRDEEHLDDRKKELLENLERELFEN >RHN62487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43810559:43811704:1 gene:gene25068 transcript:rna25068 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRPLRQRSVSRCGSFGDSVVTAVDDGAEKEGSSAEAVEPSEMKRVLTEESISKCNASAWWACNARG >RHN68897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39419467:39421649:1 gene:gene17313 transcript:rna17313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative double-stranded RNA-binding domain-containing protein MHKSKLQELCHRRRWSLPKYSAFHVDGPPHNPSFKGSVFVNGLTFTSSDTFNSSGEAQNQAAMKAFRNFTSPLSSSSKPTNEHGSKEEVKAVKPQESPVLQQSPVIKSDTDHQNCARKNDLDQPVFTIKTEGPPHDIRYKAIVVIDGKSFESPTSFNTIKEAEQAAAKFVGMFQKDEPCPSKSLLQELSEREGFSKPTYKTTQIGPPHMPTFFSTVEVEGIGFHGKASKSKNKAEEDAAKIAYITLKECGSHTYADLSSSIGEKQAVKSTHESHIVKSKPKLKPVPEDELLYDEILPTDFQVNNGIQNESLPLPPNKKMKISKAKTPNTNSYMLGNKLKVYTSFPDIVFPEGITVVPIGEDKWIAASLEFPK >RHN41444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30076850:30080930:1 gene:gene47767 transcript:rna47767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Translin family MLRSFSSSFHRLSLFMASEKTQRLHQITGTNFQNTSKRPKTMSIATDTATVTDSAMKEPFTKYTEYLNNLNDKRERVVKASRDITMNSKKVIFQVHRMSKYNKDEVLEKAEKDLAAVTNQHVSRLVKELQGTDFWKLRRAYSPGIQEYVEAATFCSFCKNGTLLKLDEINKTLLPLSDPSLQPLQINILDYILGLADLTGELMRLAIGRISDGELEFAEKICSFARDIYRELTLVVPHMDDSSDMKTKMETMLQSVMKIENACFSVHVRGSEYIPLLGSNDPSSFLVGVPDIEL >RHN40323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15313616:15317961:-1 gene:gene46466 transcript:rna46466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MAQAIRNAKVPPNSVNLSEARQRVFEFFRSACRSLPTVMEVYNLYDVATVSQLRSTIAAEIRKNDHITNPKVIDMLLFKGLEELKNVVNHSKQRHHIVGQYVVGRRGLEQQELAAKEQGISNFLKNFYDTNYS >RHN58213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44675283:44677991:1 gene:gene33833 transcript:rna33833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative homoserine kinase MAASTCFFQSSSPLPFNFKQKNNQIHISIFRIRCYNTSQKHSSVVTLTTDPPPVYSSVKAFAPATVANLGPGFDFLGCAVDGIGDTVSLKVDPDVHPGEISISHISGQTPNIHKLSKNPLWNCAGIAAIEAMKMLRIRSVGLSLSLEKGLPLGSGLGSSAASAAAAAVAVNEIFGKRLSVDDLVLACLKSEEKVSGYHADNVAPSIMGGFVLIRNYQPLELMPLKFPSEKDLYFVLVTPEFEAPTKKMRAALPLEIGMPHHVWNSSQAAALVASVLQGDLFMLGKALSSDKIVEPKRAPLIPGMDAVKKAAIQAGAFGCTISGAGPTAVAVTDNEEKGHIIAQHMVLAFQKEGNLNASANVKQLDRLGARLISSVHLN >RHN40625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18301713:18302609:-1 gene:gene46795 transcript:rna46795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate dehydrogenase (NADP(+)) MDYFLKRCFYHSGLYNSEEDFLDLDSKLKEKEVMLEEKKTYLIFYAI >RHN46565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32821024:32823252:-1 gene:gene41064 transcript:rna41064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MKKKCTVATMEHAAAKPSSFQNLLIRLLLTGVFVIAVRFAYVISIAGESCNVADFCFFSLPETISLAISGNEPLSDESSSGGANTSSPAVAFYSSVFRDLIGGGYLTTESKSLCVETVTGRDVFALREVGVKNAVGIARKSVKPLVKSGSGERIPFGDGEFDFVFSGEGSFRKSAKPAVFAAEIARTMKHGGFAVFHFTNRKDTYSFNSFLDLFHCFKVVKLHVLEGFDSSMPYIHETVLKNECVDYEKFDSDYYSSSNGNCYVPGYKKDLVRIAEPLIEKEPLKPWITLKRNLMNIKYLSSMVDISFKNRYLYVDVGARSYGSSIGSWFRKQYPKQNKTFHVYAIEADKHFHKEYGLKKGLTLVPYAAWVKNETLAFEIHRDPGEHVEVEKIKGFDFANWLKKTVSKNDFVVMKMDVEGTEFDLIPRLFKTGAICLIDEIFLECHYNRWQRCCPGQRSSKYEKTYDQCLELFNSLRQSGILVHQWF >RHN47345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39032559:39034824:1 gene:gene41935 transcript:rna41935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein Networked (NET), actin-binding (NAB) MFMENKDAASWSSAPFYQSQWLQTTISDLDEKLGAMMTILEDGNSPKQGHMHCNWREDLIQMLEEFGQSYRVLAISYNQLKSKSSTGTFHSRSLSSSATSKTLRASCNRRATGNLEGKKLKKDFNSHSKYLRGHSDVRFDGSDLDFEILKKQEDESDELSSCNPCSMKLESEVECSDIQTEDKMIDFSINENILMKIEDLELNQRTEDPSTINSEFESMWPTLKYQMTKLTDDNLHQLEELVQRNDEKRETIKRLQLEVETLKRENKALQISSRFSNADSECSQSQTSRPRRKSVSKLFKGCSP >RHN81029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42740314:42743485:-1 gene:gene5023 transcript:rna5023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MACSCLCSPKFLAILFLLTAIPIGIIISLERTQPSTHVYHYHSKGFFRECAKWDSDNHRFINSFFEGGIGQISVPEKESEAGTVFEEATVLKETELAGNASLGMTIDRSRNRILVVNADVRGNRYSALVAYDLSTWKRLFLTQLSGPSDEKALADDVAVDAEGNAYVTDVKASKIWKVGVGGNLISIIRNPLFTPKEWHKTLVGLNGIVYHPDGFLIVIHTFSGNLFKIDLTKGEEVKIINVVGGPLYFGDGLELLSPNKVVVAGNPSGRLVESLDGWNTASVVAKFSGPKHRLATSATVKDGKVYLNHMVGIGYPKKKHAIVEAVF >RHN56299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29616674:29617604:1 gene:gene31676 transcript:rna31676 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLSNQRHACYLVPRYCTVPSKYVYGMSVLKTWFIFLIVNGTNISTY >RHN54980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14191611:14196546:1 gene:gene30095 transcript:rna30095 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYDSDGWPGIELSRPLTDYLSDPDKITHPLYRFLANYIATKTSSLTEVEIARLTTAEINNLISQLPEPEINRILNAGVSELFAGITEQIAPYLAMFFAGCFGLTLLSCFFIYRGWNRRLLSSRHAGHPRHAVIFFTNVAFEEVEHNEEGTSDVSSTGSTVNDPESHP >RHN49977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2834826:2835832:-1 gene:gene34223 transcript:rna34223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MYNKSKWSHTTFEHPARFETLAMEPEKKEEIINDLVKFKKGKEYYAKVGKAWKRGYLVYGPPGTGKSTMISAIANFMNYDVYDLQLTIVKDNYELKRLLIETSSKSIIVIDDIDCSLDFTGQRMKKKEKGHNDEEKDILFKKSEEDEDKDEEEEINKRKDHNFTTNFVDKLDPALIRRGRMDMHIEMSYCSYQVFKMLAKNYLDVESHDDLFPIIEKLLGETNMTPADVAENLMPKTIIEDVETCVKNLIQSLEISKKKEKEDAKKKTEKAQLKADKDKQQLAQEDEKVEEATEIFEEKVEEASEKYEEDFLII >RHN74845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37123408:37125964:1 gene:gene10970 transcript:rna10970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MHSYFSQFSPNMYFATTMLLLTLAYISINFFSLHSRTKNQNYNLPPGPSRFTIMLNVFELGKKPQYSLAKFSKIHGPIMHLKLGQISTIVISSAEVAQEVLQTHDILFSNRTIPQAVAVLDHDYFSLPFMPVCDLWRDLRKICKNQLFSSQTLDASHALRCKKLQELLSDIEKSSLIGEAVDVGRAAFKTSLNFLSNTFFSMDFVNSAGETDEYKGIVENLVRAIGTPNLVDFFPVFKMIDPQGIRGISATYVEKLLQIIDSYIGKRLKLREEKDYVTNNDMLDNLLNISQENGQKMDNTKIKHLFLDLFVAGTDTTSYTIERAMAELIHNPHAMSKAKEELQEIIGIGNTIEESDIIKLPYLQAIVKETLRLHPSAPLLLPRKAKIDVTIQGYTIPQGAQVLINKWAMGRNPKIWDNPTLFSPERFLGSEINFKGHDFQLTPFGSGRRICPGMPLAIRMLHTMLGSLINFFDWKLENGDSDIGQPLRAIPVKVNKEQIL >RHN43842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48893494:48894813:1 gene:gene50472 transcript:rna50472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ANTH domain-containing protein MKRRFQKVCTSLKEQSCISYAKIASAAGFSDMNLIIIKATSPDDLPVHEKYIQHLLKLFSLSPSSCHSFTISFTRRFGTTRSWRVALKSLILLHRLLRSVQGNSPLWTELLWTRSNGLISLYPCHFKDATSSSTCSISYTKFVTSYAHLLDEALNCVALDNTKLENQQHLEEKNVTFQEKMKEMGETLEILPQLQSIIDRVIDCYPIGVATKSFIVQSAMKHIIRDSFICYTMFRREIVAVMENLFEMSYRNSIAAFNIYKKASVQTNKLCEFYEWCKAKGLCGYYEYPLLEPIPHIQIKALESFLSGMWQLTESCSSSLSDQESSSVFTEEDGRQQQMMKGIEVEKPLIDLEGEYDDVSWETVLESSVSFCHSYDQIHSDLINSNGCQQGLMDNDHSFDGMWKISTYKSAAYNPFSQQSYESSYNGRFDHNPLYPWGL >RHN68949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39800231:39800950:-1 gene:gene17372 transcript:rna17372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MPKYIDANPWEMEKICYNAVKLSCGHLESIIIEDYYGTSDLLKLIADNGSHLRCMKVMNYNIVTDEEFSDVVRKLPRLEKVFVPVFHTAEATLEALGRSCPLLKWLQYNSCSLDSCDSDKMAFLIAETMPGLCHLDMRGHKLTELGVLAIIDKCPLLEYLDISFCLNLNEDLKKRCIDQIKDLQLPYVIRKGALTRKGD >RHN66695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16668996:16669337:-1 gene:gene14745 transcript:rna14745 gene_biotype:protein_coding transcript_biotype:protein_coding MENSVHILHKHIRNISNTERHHLIFIVSISCPKGRLLHILILHLNLMVT >RHN79160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24755896:24756252:1 gene:gene2887 transcript:rna2887 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFLEQGDAMIDGTKSAGYGAFLDKKAYFDFLILCGVERIDHALKISTMMKADGCEPDVKTYDFLMKKLGAHDRVDKVNSLSNEACSRGFDVTPKEYVVDPRFSKKKENKTVMGEK >RHN61976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39699615:39702833:1 gene:gene24494 transcript:rna24494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MNIPVIMIILTYILVNTLKHSIAADSLGLSQSISNNNTLVSQNGRFELGFFTPGNSSKTYLGIWYKNIPVQNVVWVANRNNPINNSTSNYTLKLNTTGNLVITQNSSFVWYATTDQKQVHNPVAVLLDSGNLVVKNEGETNQEDEYLWQSFDYPSDTLLDGMKLGRNLRNGLDWKLTSWKNPEDPSIGDVSLGLVLNDYPEYYMMKGNEKVFRIGPWNGLHFGGLPEQDSNNFLRYETVSNNDEIFFRYSIMVDNVISYAVVDQTKEHRYVWSEQEHNWKIYGTRPKDFCDTYGRCGPYGNCITTQQQVCECFDGFRPKSPQAWIESDWNQGCVRDKHLSCNDTNKDGFVKFQGLKVPDTTHTWLNVSMSLEECREKCFSNCSCMAYSNSNISGKGSGCVMWFGDLIDIRQFENNGQDLYIRMFGSELVNSEEPEHGRKRNKRTAIIASTVIFICGVLLVCIYFINRVQRKIIDRSERHVDDLDLPLFDLPTISTATNGFSENNKIGEGGFGTVYKGIIVNDQEMIAVKRLSSISGQGMTEFINEVKLIAKLQHRNLVKLLGSCIQGEEQMLIYEYMANGSLDSFIFDDTKSKLLDWPTRFHIICGIGRGLVYLHQDSRLRIIHRDLKASNVLLDDNLNPKISDFGTARTFGGDQIKGKTERIIGTYGYMAPEYAVDGLFSVKSDVYSFGILLLEIICGKRNRAYYHTDETLNLVRQAWALWKEERALELIDSNLGETYVVSEVLRCMHVSLLCAQQNPEDRPTMSSVILMLGSSTEMELREPEEPGFISKKFLTKQKLLTNQKDCSTVNEVTISLLHAR >RHN51509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19094859:19100380:1 gene:gene35958 transcript:rna35958 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFTQETILKWPEFFDGREASNAGLNNNDYVRTESVKKKCNSESVKTQCNVKQANVMDEENPEEQKLLESDTDFALEAIPLRSVLPDEIIDLDIVETV >RHN59586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12298853:12301359:1 gene:gene21635 transcript:rna21635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator GNAT family MAHLFSSNPHISHKHVICSNFRYYDVITTPKNACYRVRRKRKTWSVSKIQCCSSNSTSSSEQLYFADQKVKLEIENSSENMKQNLDFLVCEYGWRIRRLIENADEIKMAALVQAEAFHDPVALFNDLFFQFFKAEVLSGLLYKLKYSPPDRYACLVAENDQDSPKELVGVIDVTVMRDQDVLEHLPADAQEYLYISGIAVSNAFRRRKIATAMLKACDIISNLWGNEFLVLRAYEEDLGARTLYTNAGYQLVSKDPPWTSNWVGRKCRVLMIKRISLLPNSVEY >RHN59327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9590183:9590527:1 gene:gene21338 transcript:rna21338 gene_biotype:protein_coding transcript_biotype:protein_coding MASISIPTRSIKNACGEGEWFGFASVSCNDEDYHTGDVDSYREGDDDDDGDYDYAPAA >RHN70822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54295713:54302255:1 gene:gene19445 transcript:rna19445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGSESTIPALSDGVQKIRALHGRTTGPTRRSTKGQWTPEEDNILRKAVERFQGKNWKKIAECFKDRTDVQCLHRWQKVLNPELIKGPWSKEEDETIVDLVNKYGPKKWSTIAQHLPGRIGKQCRERWHNHLNPSINKEAWTQEEELALIHAHQIYGNRWAELSKFLPGRTDNAIKNHWNSSVKKKLDSYLASGLLAQFQNVPLVGNPNQPIASSSARLQFSVDDNGPRGTEGEEVSQCSQESINASHFPSGRELSIAVIQNVEEYRQNEESNQASCSEPYYMSLDGVTLQEVCSSQFLEQKYSNEPGSSSANVDCQFNLHALPTSLDFGQESTQLQNDSLASGENMMIVPYEYRPSSMGNAKGEQNMLITDDECCRFLFSEAMSDECFSSGGVNNVDLSGYTSSLCHSSLPSDSRMIMSTAEANQLVGSVDQQFDSREDVVKDDSLKLEPVSNSGCGSDTMQTCYPIDEKPNVHTQQEETGGTLCYEPPRFPSLDIPFLSCDLIQSGDMQQEFSPLGIRQFMMSSMNCLTPFRLWDSPSRIDSPDALLKSAAKTFTSTPSIMKKKKRNRERDLLSPLSDRRMEKKHEIDMTSTLIRNFSRLDVMFDDNETQGIEHDKENRGPAFMVEDKSNSEEKIEQPPLDADSKMKNDIDTTAEIVQQPSRVLIEHDMNDPSLYSPNQVGLKSDIVLSLSARSHQKSVSRFSSPCVRLKEHERLSVSVTCVQSICSSSIPGENMDDQTGNDDGFETNNIFGGMPFRKSFESPSAWKSPLFINTFLSSPRIDAEITIEDYGCFFSPGDKSSYDALGWIKQIGEHTAAQYANALEALENETPKALPNDASGDDQENNDPHNQSGNHSKSPSNASVERRMLDFSECGTPNKGDKGKSSAMSVSSPSSYLLKGCR >RHN45244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13622032:13624934:-1 gene:gene39482 transcript:rna39482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MALPKAKEIVSSNPVVVFSKSYCPFCVQVKKLFTDLGVTFKAVELDSESDGSEIQGALAQWTGQRTVPNVFIGGNHIGGCDSTTNLQNQGKLVPLLTSAGAISGSTS >RHN60123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21072923:21081911:1 gene:gene22353 transcript:rna22353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative O-methyltransferase COMT-type, S-adenosyl-L-methionine-dependent methyltransferase METVLSKHSPPSAFKGVSKEEEESLLGQIEIWKYMTQFTDSFALKAVVELRIADIIDRYGKPLSLTQIVQNLEDAPSPDSTLLLRVMRVMVRRKIFSAEKSETGEILYGLTRASKWILQDTKMTLAPMLLLENHPFHLNPANFISEIIKEGTKNGTAFFRCHGHEQFEMTGLDSKYNDLFNQGMVCTARIVSRAVIAGYKDGFNQIKSLVDVGGGIGGSLSEIVRAYPHIQAINFDLPHVVSTAPNFDGITHVGGDMFVSVPSADAIYMKWILHDWSDDHCIKILKNCRKAIPEKTGKVIIVDHVLDPEGNEPFTDTGIAFDMMLLAHNAGGKERTEENWKYLFNETGFPRYNIIKINALPCIIEAFPI >RHN39967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11935581:11936702:1 gene:gene46052 transcript:rna46052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEIVKFVYVMIIFVSPFLFSMNLDAENICDGDYDCNPNEWWCPPNYVLKCINYQCSCIGFTPAIYALD >RHN52648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37363820:37376773:-1 gene:gene37342 transcript:rna37342 gene_biotype:protein_coding transcript_biotype:protein_coding MHFIYKIPNIRDSSRFANPSINILYVYTFGSTPKSFIFVNNAIALSKLWFLHKPSIKEVYVITLAG >RHN77814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9571013:9578747:1 gene:gene1307 transcript:rna1307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MVKLFSLGTEPVVGNLNSSRKKEYRVTNRLQEGKRPLYAVVFNFIDSRYFNVFATVGGNRVTIYQCLQGGVIAVLQSFVDDDKDESFYTVSWACNTNGAPLAVAGGVNGIIRVINGSDEKLHKSFVGHGDSINEIRTQAMRPALVISASKDESVRLWNVHTGVCVLIFAGAGGHRNEVLSIDFHPSDIFKIASCGMDNTVKIWSMKDFWGYVEQSFTWTDIPSKFPTKYVQFPIFNASVHTNYVDCTRWLGEFILSKSVDHEIILWEPKVKEQSPGEGAADILQKYPVPECDIWFIKFSCDFHFKACAIGNREGKIFVWDLQSSPPILNAKLVHAQSKSPIRQTALSHDGSTILCCCDDGTIWRWDDANSEA >RHN62548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44298399:44299997:1 gene:gene25139 transcript:rna25139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MDSAKNIFYFVTAKNHKHKLIIHNSWFLINSLILLLPTFSFCSCSTDIISTDKPIRDGELLVSKSKTFALGFFTPAKSTSRYVGIWYNNLPIQTVVWVANRNSPINDTSGILSIDPNENLVLNHNRSTIPIWSTDVSLPQSQRNSTRVIAQLSDVANLVLMINNTKTVLWESFDHPTDTLLPYLKIGFNRKTNQSWFLQSWKTDDDPGNGAFTVKFNSIVKPQLFMYNHDFPWWRGGHWNGAILVGAPNMKRDMAILNVSFVEDDDNYVAISYNMFDKSVIARIVVQQSGFFQIFTWNNQKSQWNRFWSEPTNQCDNYGTCGSNSNCDPLNFEDFKCTCLPGFEPKFPRDWYERRDGSGGCVRKKGASICRNGEGFIKVASLKVPDISVAVTKGGLSLEECEEECLRNCSCTSYAVADVSNGGSGCLAWYGDLMDIQKLSDQGQDLFVRVDAVELAKANNHKRSKGVLGQKRISAILVASTVAIVLLLSFVFCRWKKTRNGM >RHN82721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55889836:55892245:1 gene:gene6903 transcript:rna6903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative angiotensin-converting enzyme 2 MGDSSSSASYIHLVQHLIEKCLIFHMTKEECMEALSKHANINPIITSTVWNELEKVNKEFFEPYNMKPKNSKEMMMMMSQEETTQMLQKMISASDSSKGVP >RHN68877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39302380:39303267:-1 gene:gene17292 transcript:rna17292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyanohydrin beta-glucosyltransferase MKDISLKDLPSFIRITDLNDVMFNFQGSKAHNCLRSSMIIINTFEELEGEALDTLRAKNPNIYDIGPLHMLGRHFPEKEHGFTAIGSSLWKSDPECITWLNKWKPCSVLYVNYGSIAVMANHQLKEFAWGIANSKLPFLWIMRPDVVMGEETSSLPQEFLDEVKDRGYITSWCYQDQVLSHPSVGGFLTHCGWNSTLETISYGVPTICWPFFGEQQTNCRYLCNTWKIGMEINHDVKREEITELVMEMMEGEKGKEMRQKSLVWKKKATDATNLGGSSYNNFHKLIKEVLHHKAI >RHN76779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1238768:1249675:1 gene:gene155 transcript:rna155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-arabinokinase MRIDQESGAVSSSRKHLVFAYYITGHGFGHATRVTEVARHLIDAGHDVHLVTGAPDFVFTSEIKSPRLFIRKVLLDCGAVQADALTVDRLASLEKYSETAVKPRAQILTSETEWLNSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGLHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSAKEVRKELNVPDGVKLVILNFGGQPSGWKIKEDFLPPGWLCLVCGASDNADLPPNFRKLAKDAYTPDIIAACDCMLGKIGYGTVSEALAYKCPFVFVRRDYFNEEPFLRNMLEYSQCGVEMIRRDLITGHWRPYLERAISLKPCYDTGINGGEVAAHILQETAFGKNYASDKLSGARRLRDAIVLGYQLQRAPGRDIAIPEWYASAEDQQPGSPVNSGGYAFHSGIEDFDILHGDVQGLPDTVAFLQSLSELVVKHTKRERKAAANLFNWEEEIFVTRAPGRLDVMGGIADYSGSLVLQMPIREACHVALQRVHPSKHRLWKHAEARQNDKGGPHTAVLQIVSYGSELGNRAPTFDMDLSDFMDGGKPISYEKARKYFAQDPAQKWAAYVAGAILVLMTELDVKFEDSISMLVSSAVPEGKGVSSSASVEVASMSAIAASHGLNIGSRDLALLCQKVENHIVGAPCGVMDQMASACGEANKLLAMICQPAEIVGLVEIPNHIRVWGIDSGIRHSVGGADYGSVRIGTFMGMKMIKSKASTELTEMSAANGLNSDEVEQDDIELLKQETSLDYLCNLTPHRFMALYAKTLPETIDGDKFLKEYKDHNDPVTVIDEKRTYVVRAPTLHPINENFRVKTFKSLLTSASSTDQLNSLGELLYQCHYSYSACGLGSDGTDRLVHLVQELQHSAASKAEGGTLCGAKITGGGSGGTVCVIGRNCLKSSEQIFQVQQRYKKATGYMPFLFEGSSPGAGKFGHLKIRRRATPKKIDSVGDVNAVLAEKKS >RHN46517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32434386:32436740:-1 gene:gene41010 transcript:rna41010 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTPSMCKFSSFSFSFPLKKSICCSGFKPVLCASSFLTSPKED >RHN47728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42016748:42018423:-1 gene:gene42361 transcript:rna42361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MMSLENHSVPRLKNQGDCKVSLLDLPDELVDCILKCLSPQDLFRVAQVCTHLRNISRRDHLWEKHVEQKWSRLLGNDAYHEWEYHTTKYKELLVDRNLSDSLGTTSGNSSFQRIHSYLRINRSMTDLIKNHSKMALYIFLETGRFWFPAQVYKATLLTLHCYDAIVSYDSRTDTFQTRCPYGRKRLIERDISWDMLRMPPPKTYLVDYYEYNDLNNLKPGDHIEIQKRGRRLFPIYVCHLESCDQDVNHCSCKDSDILVMGFSRCNFHLEYSLSKSTKHAEAYREFNFLNGIRKLDEEEIEKWNNVILTRNNRLAPIE >RHN71145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56768916:56770436:1 gene:gene19794 transcript:rna19794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MMNTSFWNVKWRGKRCFRLKYPRLYSISNQREARVGEVGVVSEVGRVWLFSWRRHLFVWEEELLVSLMEDLEGMRWYNREDEWRWNLEELGVFSIKLAYGYLMGLVEPEDSWNIEEERMFVRLWKSPAPSKVVAFAWKVLLNCVPTKANLALRNVLTPGTTSLCVLCNGSGETTNHLFLHCHMVSMVWSRLMIWLDWYFLTPPNLFVHWECWSRRGGDKNRLTGLWLIWQATIWVVWKARNYKIFKGSNYEIGEIVEDIKVLS >RHN77490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7200537:7204799:-1 gene:gene953 transcript:rna953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKTHVVLACVNKANSELSSHQKKIFKVDDHIGVAIAGLTADGRVLSRYMRSECINYNYTYESPLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERKFNNFTASSREDLIKDALIATRESLQGEKLRSSVCTIAVVGVGEPFHILDQETVQQLIDTFEIVREEETAPAEPEAAAEQDAATDQASGADQGGAGNQGGADQGGSPMDI >RHN44015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:867548:873257:-1 gene:gene38059 transcript:rna38059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transaldolase MASSLSVSKITTPNTNSASLPESLRSRSIKTCFLPFNNTNHFKTNLSASSLTTTRENRFVTSLRIRCSQTDGNGVPAKRTVLHDLYEKQGQSPWYDNLCRPVTDLIPLIESGVRGVTSNPAIFQKAISSSSAYNDQFRELVQAGKDIDSAYWELVVKDIQDACKLFESIYDQTDGGDGYVSVEVSPRLAEDTEGTIEAAKWLHKVVSRPNVYIKIPATAACVPSIKEVIANGISVNVTLIFSLERYEAVIDAYLDGLEASGLNDLSRVTSVASFFVSRVDTLIDKMLEKIGTPEALDLRGKAAVAQAALAYQLYQRKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVAPLIGPDTVSTMPDQALQAFIDHGAVARTIDSNASEAEGIYNAIQKLGIDWSYVGSQLELEGVDSFKKAFDSLLDSLQEKAKSLKLVSK >RHN73144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13735499:13735892:1 gene:gene8930 transcript:rna8930 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLRLKCAKILDKNAPGIFSAKKIIKKDWKMKVRTEMKLKTVWTKNAILGPQLRDQNAIKNKIE >RHN39459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7268936:7269571:1 gene:gene45483 transcript:rna45483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin MRIIYITLFYFSLLLSYASYATSVNDFCVADLQLPNTPSGYPCKSETNVTVDDFLFSDFVPRNTIDPFNVRLTTAFVTSLPGLNGLGISAARGDFGLNGTVPMHFHPDANELLIVVKGQLTAGFITPTKVDLKTVKPGDSLVIPKGLLHFGVNTDVGNAIASAFFSSSNPKMEILDYLLFGNDLSTSIIANPTLLDVSQIIKLKAQFRGSG >RHN63988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55727849:55732760:1 gene:gene26760 transcript:rna26760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small nuclear RNA activating complex (SNAPc), subunit SNAP43 MNTDNFKKDIDELIDQFAQDESKTLADMKRVWISKKFSYIYEASPSKNLAFFMQSLYAHCIGYMVSNGSLSYKLGGLYCLYCLYETQPFKPPFKVYISLGELKNLRILVIDAKANDIGVVPALVKRMLESNTFLFGAVDLAECSATETVNQLEQLQKARIQAAYEKLFDSMPIENYVRMDLGLEVDLNLLKKMSAEYAEAKNVAIKASSILDVQNIKHISEDKELIGDVVEKIAGDWHVQKQTFYKQTGLGETDEYEQELEQLLLQQYSDDD >RHN63991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55745075:55747124:-1 gene:gene26763 transcript:rna26763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative telomere-associated protein Rif1 MSKEEILEIQTLISSNDNSNKSSGYSTLLQFQQHSCINPSSLQSLAQNSNSIISSTLSDIEHHDEEIAAQALKCLGFMIYHPSIVSELRVDDVNLVLDSLAKLITTTKLKTVCNLGVWCFSVQQLGVSFLVAHFHSLLRAIVHALDNPMGSLSTTFEATQAIMKLSGQLSEQMRDSSHIWAPPIYRRILSTDKREKDSSERCLLKISSIVIPPSLELSKVLVKDMKIKLLNGMKDLLDR >RHN80287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36857472:36859963:1 gene:gene4192 transcript:rna4192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MEQKIFSLLLVYREFKPHLLMVLTQVGYTLIFFITQASFNHGMSPYVYVTYRHVVAGIAMFPFAYFLERSARPKLTFALLVEIFVLSALGISLSINLYFASLKYTSPTFLASMYNTIASLTFIIAVALRFEVIDLRNPRGIAKVLGTLISLAGVMTMTLYKGPIMRNLWGPLIHIQQKSASTHESWLKGSLVTISCCVTTSIGYIMQASTLKRYPAQLSLTTWMCFMGAVQSAVFTIIVEHDNPSAWIIGFNIDLWSILYGGIFVAGLLTYIQLWCTEKKGPVFVTVFNPLSTIFVAILAYFVLGENFYLGSLIGAFIVIMGLYLLLWGKEGDKEIDLKTKQCNSSENQQLEVYASK >RHN76389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49870429:49872933:-1 gene:gene12708 transcript:rna12708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MSFYSIKKTQHTSFIFNLFPFSQSFYHSLATHQTASVDSFPPQPTTHYGYTSLLQSCIDSKALNPGKQLHAQFYHLGIAYNQDLATKLVHLYAVSNSLLNARNLFDKIPKQNLFLWNVLIRGYAWNGPHDNAIILYHKMLDYGLRPDNFTLPFVLKACSALSAIGEGRSIHEYVIKSGWERDLFVGAALIDMYAKCGCVMDAGRVFDKIVVRDAVLWNSMLAAYAQNGHPDESISLCREMAANGVRPTEATLVTVISSSADVACLPYGREIHGFGWRHGFQSNDKVKTALIDMYAKCGSVKVALALFERLREKRVVSWNAIITGYAMHGLAVGALDLFDKMRKEDRPDHITFVGVLAACSRGRLLDEGRALYNLMVRDYGITPTVQHYTCMIDLLGHCGQLDEAYDLIRNMSVKPDSGVWGALLNSCKIHGNVELAELALEKLIELEPDDSGNYVILANMYAQSGKWEGVEKLRQVMIDKRIKKNIACSWIEVKNKVYAFLAGDVSHSNSDAIYAELKRLEGLMHEAGYAPDTGSVFHDVEEDEKTSMVCSHSERLAIAFGLISTSPGTRLLITKNLRICEDCHVAIKFISKIMEREITVRDVNRYHSFKHGMCSCGDHW >RHN78046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11496745:11500718:-1 gene:gene1561 transcript:rna1561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA:m(4)X modification enzyme METKRCSFWLPNKNRFCANTLLSGSLFCGNHNSRAEGEWIQCPIDPSHSVLEQNLNWHVKRCPLLKQVQSLSDQPFYKKGINAGSDGEQQEEETSGFNDSKLTTMTVSSEMKRNALHGMSVPKFCNLIEKIESVHESLCKDIQDSFQMPEVCRLWIKSKEEERKLPFQEKHIMQQASIVGNLENFAVLKNSLESKPSKCGESNEGKEDGVSTVIEFGAGRGYLTQMLADCYGINRVFLVERKAYKLKADRSLRQNENLTLERLRIDIEDLNLNAVESLQGVPFLATGKHLCGAATDLTLRCCFPEYRKDSSEQNITNINFEGLAIATCCHHLCQWKHYTNKKFFLDLGMTKGEFHAITWFTSWAVDANHDSDLPDTTNCISHFQSIKEQGNEYSDGVEKILSEMEATKRAALGFKCKWIIDIGRLMWLRELGLDAKLVRYVPPSISPENHLLLAKPLN >RHN68174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33393152:33393929:1 gene:gene16487 transcript:rna16487 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFRKTSSLPFFFRRPIPSRIGIITTSLTIIYHIVVEIEIGVFVVFEKVDVADIRNVIIVDGYMRTNIIVVCNK >RHN48782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50178013:50182488:-1 gene:gene43535 transcript:rna43535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-IX family MYHTTLLLFLFLLSPSLVLTTTNPDDLKILNDFKDNLDNPDILQWPKNNNDPCGPPAWKFIFCDGDRVSQIQTKNLNLSGTLPQNLNQLTHLFNLGLQNNKLKGPLPSLKGLSNLKYAFLDNNEFDSIPMDSFQGLTSLDTLALDNNNLNASNNGWNFPSSLQDSTQLRDLSCISCNLVGPLPDFLGRMNSLVNLKLSGNSLTGEIPKTLNNSGLQMLWLNNQKGELLSGSIDIVATMVSLTSLWLHGNRFTGSIPENIGDLVSLKDLNLNGNELVGLVPSSLGDMELDKLDLNNNRFMGPIPKFKASKVSYSNNDFCLNETGVPCSFEVMALLGFLGGLNYPSNLVDSWSGNNPCLTWLGIKCNADGKVSLINMQHFNLSGTLSPSVANLGSLVQIKLGGNHLNGVVPSNWTSLRNLNLLDLSDNNISPPLPVFSNGLKPMVDGNSLLNGGTEGPSPGKNSPSGGSGNTGEDMKGGSNSSPSDSVETKKSKKKSLVLIVAPIAGVAVAAFLLIPLYAYCFRRTKDGFQAPSSLVVHPRDPSDTDSTIKIAIANNTNGTGSGTGSRSSSAIGDSHTIEAGNLVISVQVLRNVTKNFAPENELGRGGFGVVYKGELDDGTKIAVKRMEAGVITNKALDEFQAEIAVLSKVRHRHLVGLIGYSIEGNERILVYEYMPQGALSQHLFHWKSFGLEPLSWKRRLNIALDVARGMEYLHTLAHQSFIHRDLKSSNILLADDFRAKVSDFGLVKLAPNGEKSVVTKLAGTFGYLAPEYAVTGKITTKVDVFSFGVVLMELLSGMMALDESRPEESQYLAAWFWNIKSDKKKLMAAIDPTLDINEETFESVSIIAELAGHCTAREPNQRPEMGHAVNVLAPLVEKWKPFDDDPDEYSGIDYSLPLTQMVKGWQEAEGKDTSYMDLEDSKSSIPARPTGFADSFTSADGR >RHN68515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36137518:36140593:-1 gene:gene16878 transcript:rna16878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MSAKNQISRLILLFINAKPYLLMIGLQFGMAGNYIFGKDILNHGMSRFVFIVYRNAMAVIALAPFAFFLERKSRPKMTLPIFLQIMVLGFLEPVFNQSFTYLGMKYTSASFTSAIMNAVPSITFLLAVIFRLERLKCKEIRSQAKVIGTLVTFGGALLMAIYKGPGFNIFHSGTQNQNGTHESSHNHQTAGALYILMGCVALSSFYILQSITVKRYPAELSLATLICLAGGAQATAVALVAERHSHAWAIGWDYRLYAPLYTGIVSSGIAYYVQGLVMQMRGPVFATAFNPLCMIIVACLGSFFLGENLYLGSMIGAVIIALGLYTVVWGKAKDYSESDTKLPSSTIEGETKSMPITAIDDPKIDIIAVNLENQPPSKDKLEEPNKLEKESELYVAITV >RHN53726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3583109:3586682:1 gene:gene28665 transcript:rna28665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative squalene/phytoene synthase MSGGSASSNLRQALSYCVQQVRSYDYHHYLCLLELPPSMRKAAFTLRALNVETARAMDVASDPRIGLMRLVWWQEAIDKLFANKLIEHPTALALSSVIAETKISKTWLKRSVEARINDARREATDIPETMEELEKYAENTVSTMLYLTLQAGGITSTAADHAASHIGKASGILLLLKSLPYHAGHNRHFSYIPRAIASKHGLIFKQEGQGERWVDSREGLCEAVYEMASVANAHLEKARKLAGSVPAEAVPVLLPAVPAQVLLDSLRRVQFDVFDPRLARGVLGIPPLWYQLQLKWTSWRRKY >RHN41133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27321701:27322736:1 gene:gene47423 transcript:rna47423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEDREIKQCGSEFGSNRFLLGINSCISSETTTTNPTKKPRGWKAMPYILGNETFERLAIFGFFANFMVYLTRELHLDQVYATNILYIWGGITNFAPLVGAFISDAYVGRFWTIAFASFASLMVMVLFYYFFPFFYSVIFIILFS >RHN50536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7980074:7981429:-1 gene:gene34832 transcript:rna34832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MKKRRKLRESKEKWFHYSASSSTVQVNCYSQEALYNCENDLVDRISDLPDELLLYILSFLQTKLAFTTSILSKRWTALCYSLPVVESFRSFVNNVILSPLSTNKPIKKISLKYDFRYHPENSRFNVTNWLQEAKKPHIEEIHLTLPFHTLKHVIFVSQTLVVLKLQSLYVGKDTSSVHLPSLKTLNLTSVSFENRDDYINFLNACPILEDLHAELIYFMRHDENNAAEEGLKPLTLPMLVRVSIGIMDGLFNGINNVKFLRIVMECTGAFSLKAIPLFPNLISIELLFPSYSYICWYGVVKLLRHCPKLQILFIKKWRYRFTSLYGELDCPYPVLDCDTSNLRSCTILNFDGSDNDLRFAKYILKNGSLLQEMRIGVTTEGMVLGIDEIIEEFSSYSRITQGCKFIFV >RHN57610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40498708:40501810:1 gene:gene33186 transcript:rna33186 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MATE1 MENQPFLVGLDSHSHTHIADLSSDAIEEFLEHRPIGLRWWLKLVAWESRLLWILSGASIVVYLCNFMLSFVTMMFCGHLGSLELAGASIASVGIQGLAYGIMLGMASAVQTVCGQAYGAKKHAAMCITLQRAIILHFGAAVILTFLYWFSGDFLKVIGQTESIAVQGQVFARGLIPQLYAFAFSCPMQRFLQAQNIVNPLAYMAVGVLLLHALLSWLVVVVLGYGLLGAALTLSFSWWILVFLNALYIIFSPKCKETWTGFTMKAFIGIWPYFKLTVASAVMLCLEIWYNQGLVLISGLLSNPTVALDSISICMNYLNWDMQVMLGLGAAASVRISNELGAAHPRVAKFAIFVVNGNSILISVVLSAIILIFRDGLRNLFTSDSEVIEAVSDLTPLLAISVLLNGIQPILSGVAIGSGWQALVAYVNLACYYVIGLTVGCVLGFKTSLGVAGIWWGMILGVFIQTVTLIILTARTNWGVEVEKAIVRVKRSAEDDTLDRLVADV >RHN46299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30474924:30477662:1 gene:gene40779 transcript:rna40779 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLDDGEFWLPPQFLSDDDGDDTITTKAKPSSFSLFNNGEDPLLFPYGFACSDLSSPIDSLAASSETESDDDEQLFAELTRCLSRSSLHVDSKASENHNNNNNLGGSPQSTLCAFDSKCRKGSSQGSSNGVCDAASSNATLNLLHAAAGEVETLRLNQQTVPQRNSSPVTLPKNNAVSPNDLSFFAPQSISHYQLQIANLQRMRQQQIAERLNTIREGMQRQNGGVFQQRQTNGRRNNNVAGLGLSSSAWPTLQHAQQQQPPIHTSPPCFPMGAVFHGNGYGSSTGTGVFLPRPVESRNSPKKPACTTAYVPARVAHALNLKLEDYIVGCQPHRFNSTSNVENVAAVAPPRHRGNNVNSQKKRSNNTTSRPQPAAVSNEIKLPQEWTY >RHN51584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20210076:20211051:-1 gene:gene36049 transcript:rna36049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MKKHFSYGIVYAIVVVAIVFLGEETYMAKALTCTPVEFSPCLGSITTSSPPTSACCQKLREQRPCLCGYLKNPSLKKYIYSPGARRVASSCGVPFPTC >RHN61947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39568892:39570908:1 gene:gene24463 transcript:rna24463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MGPPPVYMRNLTSRGVFDRTLEPWCEDHPGVKRTSFCSKWAGIFGHLSDSFLGRKGSLTIVCILNSIFSILTAFSPNYISYFFLRFLTGFSTGGVGLCAFVLATEPVGPTMRGAAGMSTFYFFSTGIAVLSGIANIFPRWRELYIASSIPSLVFLLFVLPFISESPRWYLVRGRIKEAMNIMSTIATSNGNHLPHRVFLTLDEEPSSCNNNMDDKDAVTGSLVDVIRSPVTRTRLVLATIINLLCSVVYYGLSLNVVNLETNLYLNVILNAVAEMPAFMITAILLDKFGRKPLTIGTLWFSGFFCFVGSLMRNKGVWKGMKMVCGILGIFGMAGTYNLLFIYTAELFPTVVRNAALGCATQAAQMGAILAPVVVVLGGSLPFALFAFCGIAAGVFAFFLPETLNQPLYDTLTGMEAGQKVTSATSSV >RHN81263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44427456:44431804:1 gene:gene5279 transcript:rna5279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal RNA-processing protein MKKWIMECHQSRPRLEALQNQIDDFVTSYEEKLEEERKTKEALAAEGGWTVAAYHKGRKKTTDSESGIAVGSVAQAAVENKLDKKKPKEVGLDFYCFKKREAQRNEIMELQGKFEEDKKRLQQLRAARKFRPY >RHN70924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55106597:55111807:1 gene:gene19559 transcript:rna19559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MTKRPKKSESNRRPKRRKPSGVFSPLDVSSSVVFRLLCPASKIGGVIGKGGSIISQIRHETGVKVKIEEPVPGCEERVITFLKESEEGSAEQGKEVNDNDESESKVKDDEEKGNGDDNEDKDSVSVEDSQSEKVNSNPTIMRAVMLVFERVAEDEGGDESKKGYFGLRLLILSNQVGCILGKGGSVIKRMSAESGAQIRILPKEEIPACASDSDELVQITGGVEVVRRALQSVFQQLVENSPRDHESLPTNLTGPSSHSYGQFPPNKRTFAGQGASFATGPNEIPVFHSAPMIPKFHEGAILGRMRPPPEILTFRLLCPSESVGNLIGKGGSIIKMLQQETASEIKVIEAIPGSEDCIIIISGPAHPDDRISPVQEAIFRVQNRISRAMLDSKEHSMLARVIVSSKHIGCLLGKGGSIIAEMRNLSGAHIRMLGKDKGPKCVSEDDEVIQVSGVIEAVHDALLQITTRLRNNFFRDAFPSANFPSNSAFLDQHSPFPPYLGRRGLSPPGMYSDLGPRHPHADFPLDDHPPFMNNMYRSGIPPLISERKPWGPKGILEGGGHMGLPEFAGGPRRISGFAGGSQPIITSTTVEVVVPRALVAEIYGENGECLKQILQISDANVIITDPIPGAVETKIIISGTPEQTNAAQSLIQAFVMSERESG >RHN79900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33344346:33358361:-1 gene:gene3755 transcript:rna3755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MENSDHDEPNNKKPHLLTPVSSRVSPNSTNHSPNGKNADAGLLQLQNQQLVQQTETQKHALQDLEEKTRELKERQNSYDDILIAINQRWDQLVDDMAFLGIQAGRGKDSLETLDYLDNLQGSLPSCHPDDLLLCRLIQKDSIEGSSNDEITNYVEEALALRRLSTRELLKLIQDTVDDQMERIEDIGQVLQGDLSTEDVIIQISKIDDMTKKEADNFREVIDTLHAKHKEYTVGIQNYITECSQDQSDIKRLTGELDEIVAELEESRRKIVSLKMQKDAAMGMNSSNADSLNGNLSPEKPADRAMGLSELKHSIEEAKIVNADRLSELQDAREENQILTKKFQELQNELNDDKYVRNSRVYSLANDQLQHWIAELDRYKSLAESLQAGRVNVSKREKELKLKLESAVNARHIHDNSDSRIDELKLQLQKCIIEKNDLEITMEEAKQDTGRKDIKAEFRVMASALSKEMGMMDAQVKRWKDAALEAVSLREKAHSLREKLSGKTSELKSFANKCAEQVLEMKSSKALIEKLQEENRELEFVLDMYGLEDYQKSLPEVRESERKARSQAEILKNALDEHGLELRVRAANEAEAACEQRLAAAEAELEELRAQFDENERKNLEMTEAIKVKEAEAKTYISEIETIGQAYEDMQTQHQHLLQQVAERDDYNIKLVSESVKAKQLHSTLLSEKQALADQLQQINSLIENSKMKIANSEEQIKFILSEAAKCTQEEKHLAAALEFARWELADAEKELKLLKSVASASEKEYDQIQKDVEACEKELDSERSSRKKLEEELMQVNNQIAELNSEGRKTAVQQLEEEIRVCKNMIKCTVCSDRPKEVVIVKCYHLFCNPCIQRNLELRHRKCPACGTAFGQSDVRFVKI >RHN66502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13092801:13093166:1 gene:gene14505 transcript:rna14505 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGDCDKNPSHSSSTVSIKLETTDSPRSDHSEYSESSSIDIIDDGINRPGYCRRPWRYQSSNVEEKGLGYDNGDDKCICWGCHGISSFCFYFSAVFAAVVIPLLVIWAVSRQKPDLFLEV >RHN47440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39757630:39759451:-1 gene:gene42042 transcript:rna42042 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFLRTKDSRGNEEKPVIVVTETVCKCNYYYYEILVYVL >RHN70046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48302569:48304382:-1 gene:gene18586 transcript:rna18586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MKMACFLVVSLALWSMLLISVSTYDSPRGPLFSAMFVFGDSLVDNGNNNRLYSLAKANYRPYGIDFPGDHPTPIGRFSNGRTIIDFLGEMLGLPYLPPFADTKVQGIDISRGVNFASAGSGILDETGRNLGEHISFNHQVSNFETALSQMKTLMDDKNMSQYLANSLTAVIIGNNDYLNNYLMPVFYGTSFMYSPKNYAEILIEAYKNHILALRDLGLRKFLLAAVGPLGCIPYQLSRGMIPPGQCRSYINDMVVLFNTLLRSLVDQLNTEHADSIFVYGDTYKVFSEIIADPNSYGFSVSNVACCGFGRNKGQINCLPMAYPCSNRDQYVFWDPFHPTQAVNKIMASKAFTGPPSICYPMNVYQMAQKHLPSTQNNASIASNLSN >RHN54428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9462636:9467778:1 gene:gene29466 transcript:rna29466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine O-acetyltransferase MACVTHHNWLNLSNMVSEKRFPLSSSYRLEKVFPVYAMGLPDPDPESILDVSDPIWEAVKQEAKHEAEKEPVLSSFLYASVLAHECLEQVLAFVVANRLQSPTLLATQLMDIICNVFMHDKAIQRSIRLDVQAFKDRDPACLSYCSAILYMKGFHSLQVYRVAHALWHQGRKVLALALQSRVSEVFGIDIHPAAKIGEGILLDHGTGVVIGETAVIGNRVSLMQAVTLGGTGKESGDRHPKVGEGALIGAGSTILGNIKIGEGVMIAAGSLVLKDAPPRSIVAGIPAKVIGGLREHDPSLTMRHDATKQFFSHVATNFRDEKSSGEQNPDKIEVNT >RHN81624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47624972:47626147:-1 gene:gene5695 transcript:rna5695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MGDDECTVTTMSVHHSPNDTVCTQPLPDDTTVNNQQLPSPTADVLSKQLPKELIIEILLRLPVKSLLQFKCICKSWKTLISDPQFANNHFLTSTAYPQLLSAALGVCRNPYEILSYPVKPLLENLSTPITPLNFSTGHCYDILGSCNGLICLYDIHQSNFTLWNPSINLKSRTYPTIASSDNDVVVYHGFGYDQINDKYKVLVVVEDEDEDEETLETVTRIYTFGENSCTTVPNFPCDPHSNLGIYVSGTLNWVGNKNNKEMIISIDLEKEIRGEVLLPQHDDADNARSSELYVLSDCLCMCFDKETHWTVWRMKEYGVVESWTKLMIIPHEEFQLTWNPLFMSENGVVLVRTTRSILVLYNLNNGQIDYHKIWDNLVGEMHIHRESLVSP >RHN40490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16808367:16815282:-1 gene:gene46646 transcript:rna46646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VI-1 family MAKPFPHSQHLLLFIFFLSTIHLSQQLQFSQSQTLYKLQEILGYPSSLKTLSSTTDFCNIEPTPYLTLVCYEENVTQLHVVGNTNEFTSLPQNFNSYTLFSTLSTLSSLKVLSLVSLGLWGPLPETIAQLSSLEILNISSNYFSGEIPSQLSHLNNLQSLVLDDNHFNGQIPNILGSLHGLVVLSMKKNFISGSLPNSVNDLVTLRVLDLSNNQLFGELPHFHKLVNLQVLHLENNTLGSDFPSLPRKIVSLVLRNNSFRLGIPSNISSLYQLQKLDLSLNGFVGPFPPSLLSLPSINYLDVSSNKFTGMLFKNFSCNEDLHFVNLSSNLLKGELPSCLRPKTRVVLYARNCLSNEKQDQHSYNFCSSEALAVNISPHRQQKHKGTISKAVVVSSSIGVVGLLIVGVVILVVNQVHRKNATKETSLSTLEHVIISQINNEEKVKSTARSIVEYFSRWVPDKNVMKNLTRSIKKHIMSRFNNRRLVRAPSRSIIEHVSSLNTAKLLTDARCISETMKMGTGLPAYRTFPLDQLKEATNNFDASSLISEGPLGQIYKGVLSDGMHITIRGMKIRKRHSPQAYMHHVELISKLRHSHLVSSLGHSFECNQEDSSVNTIFLIFEFVQDKSLRSRVSGSNGEKLSWTQRIAATIGVVKGIQFLHTGIVPGLYSNNLKITDILLDNNHNVKISSYNLPLYAENKRMVSNGTSPAVKGNLQARINDGDKNDVYDIGVILLEIILGRPIMFHNEVGTLKDLLHVSIKTDDIARRSIVDPFVHKECSDESLMKMMEICVRCLSSEPNERPSVEDILWNLQFAAQVQNSWKRETSDHRDSPISSSREI >RHN42398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38037851:38042400:-1 gene:gene48835 transcript:rna48835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (S)-2-hydroxy-acid oxidase MNITNVNEYEAIAKEKLSKMVYDYYASGALDQWTLKENRNAFSRILHYPHGLLPVLRRLLQPDLAFVVSNSTCLFKDRNLVTQLVRRAENAGFKAIVLTADSPVIGRREAGIKNRFTLPSNVRVKNFEGMDLEKLDKTKDSGHTSVVNGLYDQSLTWKDVRWLQTITSLPILVKGVLTAEDTRLAIQAGAAGVIVSNHGARQLDYVPATIMALEEVVQAAEGRVPIFIDGGVRRGTDIFKALALGASGVFIGRPVVFSLAADGEAGVRKVLKMLHNELEITMALCGCRSLKDITRDHVVTEWDRPRISPRL >RHN41028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26111917:26112566:1 gene:gene47300 transcript:rna47300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKIVNFLYSMIIFLSLFLVATKSEPGGHRCSTDSFCPPNMCPPGMTPKCVRFRCKCVPIGWKNLSHVLA >RHN59326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9585492:9585927:1 gene:gene21337 transcript:rna21337 gene_biotype:protein_coding transcript_biotype:protein_coding MASISIAIATKSIKNVYDGGEWFGYASVACIEDYHIGDVDSYKEGDDDDDGGYDYAPAA >RHN69085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40919265:40920580:-1 gene:gene17514 transcript:rna17514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MADDGLPPEILAEILSRLPVESLLRFRSTSKSLKSLIDSHNFINLHLKNPLNQSVIIRNNSDIYQLQIDDNDFSNRINSIIPLNHPFKGNSPNIDPYTRRDSTMALIGSCNGLLAISNGQIAFTHPNAANEITIWNPNTRKHLIIPFLPMPVPYIMESNNPNRGCLCVHGFGFDSLTGDYKLLRISWLLDLQNPFYDPHVRLFSSKTNSWKIVPSFPYSLEYCQTMGVFIDNSNSIHWVANNELFEPRLIFAFNLTFEIFNEVPLPVEISQIKSNKSFGLDVAVLGGCLCMTVNYKKTTKFDVWVMKEYGSRDSWCKLCTVDKSCFTSPLKSLRPLCYSSDGSKVLLEGIQVLLKVDHRKLFWYDWKSEQVSYIEGVPNLNGAAMICVESLVSPPFPVDNCRKKEDRTSKSKRS >RHN77458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6970000:6970768:-1 gene:gene919 transcript:rna919 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIHLTQIPCVVAYIPHTRITCLSRIHDFLSCQLKFTRTYTANKFCKESK >RHN42433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38332202:38335932:1 gene:gene48874 transcript:rna48874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MLVLNMVFQKFTNNSYSLLWLPSLLILLSSASVNGVEQKKFYIVFLGAHPVSREGSIESHLNILSAVKQSHVEAKESIVYSYTKSFNAFAAKLSEDEANKLSGMISFFSTTTMNEVLSVLSNKYRKLHTTRSWDFIGLPLTAKRKLKQESDTIVAILDTGITPEFRSFKDDGLGPPPAKWRGTCDKYVNFSGCNNKIVGAKYFKLDGRSESSDILSPIDVSGHGTHTASTAAGNLVPNASLFGLANGTARGAVPSARLAIYKVCWKEDGCADMDVLAGFEAAIDDGADVISVSLGGENSNYLQDSISIGAFHAMRKGIITVASAGNDGPTMATVENHAPWVVTVAASGIDRDFHSTVELGTRTNVSGEGVNTFSPKQKQYPLINGMDAARNSSSKDNAKFCAKGSLEPNKVKGKIVYCKFRTWSTEAVVKAVGGIGTIVEYDEFQDSPETFMAPATFVNRSTGQIITNYIQSTRSPSAVIHKSHEVKIPAPFVASFSSRGPNSGSQHVLKPDVTAPGISILASFTLRHSITSIEGDTQFSVFTLMSGTSMACPHISGIAAYVKSFHPNWTPAAIRSAIMTTGEKLKASMYF >RHN81549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46915742:46922282:1 gene:gene5614 transcript:rna5614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol O-acetyltransferase MELDASSTSNGYLFILKGLSLIPIWHYLLTLTTLLTCFLYNFLEIHFLQDLFSGFSCSPAEFSYNSCSQIYDAVVSKCPILQGGYSVTPWLSSPHLQTIFLNFFGNAPSFKYKRQLFTTSDGGTLALDWVTSSDVSGSVHHDDDVVTKDDSTPIVICIPGLTSDSSSPYLKHLAYHTAKRGWKVVVSNHRGFGGVPITSDCFYNSGWTEDTRTVVNYLHKQNPKAPLFIVGTSIGANILVKYLGEDGENTPVAGAVAVCSPWDLLIADRFISRAPVQKFYNKALAGGQKDYAKLHQPQFTRLANWEGIEKALSVRDFDDHATRMVGKYETVDTYYRRCSSSTYVQSVSVPLLCISALDDPVCTTEAIPWDECKANKNIVLATLKHGGHLAFFEGITASSLWWVRATNEFLGVLHSSHYMHEQKKVSTPNTLLDSSIDQGPYINVTEDGMVAALNDESTTDNQKKYM >RHN51619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21098133:21098978:1 gene:gene36095 transcript:rna36095 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta MRLTPTTSDIEVSGLEKKNIGRITQIIGPVLDVVFPPGKMPNIYNALIVQGRDTVGQEINVTCEVQQLLGNNRVRAVAMSATDGLKRGMVVINTGAPLSVPVGGATLGRIFNVLGEPIDNLGPVDTGTTSPIHRSAPAFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEKNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFTSIQF >RHN56249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29278825:29281123:1 gene:gene31620 transcript:rna31620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 peptidase MMHISDKVPEKSLRLPCILHMDSIKGYHSGLKDLVQSYLCEEWKERKMGTCGEDLSSRFLNMRFLQAAVPQQENKFDCGLFLLHYLERFLDEIPSDFNPLILIKFSNFLNVDWFPPAEAYFKRTLIHRLIVELVKNHDNEGFSHDNVDDRHSSEYKDNKIGGQCHLINGEASTSHAGRGIEMAPSFDTSSMALKDHFEPEATLGTSLRHCQSFERRSSNHCFNGSIFKMEEDMDLNAHLQAEHVKAESSLDTSSRTSDDSDDVEIIENLPVKKESRSNWMFLENLRPLQDTNNSTATSTLPDLNDPVESMETPQVENESRSSHEDGRREKKCKSIEKLEYLPDMNDSVDSATTLNLPVKKESSYWMSIENIRHLLQKWHLYHVSDAVHNKVIDDDDVQIIDNLNLGFLKERPPKKRRRMSPWVEVMES >RHN82279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52514435:52519187:1 gene:gene6429 transcript:rna6429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arylformamidase MKYQAVVALALVVTISVTAVNGDDNLIPPRREVYDNGKIFDITHRYQPDMPAFETNDGIGQFLWLPKSMKNGSIANNSEMKLPTHTGTHVDAPGHVFDHYFDAGFDVDSLDLHVLNGPALLVDVPRDSNITAEVMKSLNIPRGVKRVLFRTLNTDRRLMFQKEFDSSYVGFTVDGAKWLVENTDIKLVGIDYLSVASYDYLIPSHLVFLKDRESILVESLKLDDIPPGLYSVHCLPLRLAGAEGSPIRCILIKY >RHN40592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17955396:17956990:1 gene:gene46757 transcript:rna46757 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase (ATP-hydrolyzing) MVDFKVTMKPEKIATTEDEDKEELRRKFNLTCTVSTSNMYLFDAEGKIMKYNSPEQTLEEFCRLRQEYYVKRKEYLVKNFKQLLRSLKMKRTFITNVVYASCRIYRIAEFLTEILKKEKQADEQETVATLGDYEYLSSLPLDNFTRESLVKLQAELDEKQKELKTLKDTSPDSMWLNDLMLFEKEFDKLQKIQTEKERYRSIMVKKKLSQPRKNNQKEADDDIPSLACHVESSGEKTRDSDNAVDADDLVQEKAAPAKKGPTKAATTSKRKNVQPAESAGRKKMRKTRESPFNKKNGSILGRAGNLDLSLNTDLFDDSTTSYPTVVDEVVRNLEYMSDSDPDGDNDSDDEHLSGFMH >RHN62740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45566875:45567446:-1 gene:gene25345 transcript:rna25345 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFYFLKHKYDMNVTFFKKNYMNVYKLHFYLVDYNLLILIEIELKLIILKIFSIRVKQQ >RHN74635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35369608:35369757:1 gene:gene10739 transcript:rna10739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DYW domain-containing protein MVYKNLRICGDCHNAIKYMSKVVRVIIVVSDPLRFYHFKNENCSCNDLW >RHN46663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33873317:33874924:1 gene:gene41182 transcript:rna41182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MASSMLIKVTCLSVMCLLLAIPLANADPDPKCKNVAESIIPCVEYIMTPDASNPPAPCCNGMTSLAGQVQALPERQFACRCIKDGIFDLPDLNLAALAALPNNCGVDLRFQITPDMDCDNLN >RHN64912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62920606:62921813:-1 gene:gene27789 transcript:rna27789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA pseudouridine(38-40) synthase MENSDKTPSISSSPALPSAVAEEPATKKVKMSTTTSDDEGCTTAEGSKVRYKRRKVAIFFAYCGVGYQGMQKNPGAKTIEGELEEALYVSGAVPEQDRGLSKRYDWARSARTDKGVSAVGQVVSGRFYIDPPGFVDRLNSNLPSQIQIFGFKRVTASFSAKEVF >RHN48074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44754535:44757532:1 gene:gene42746 transcript:rna42746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MVTLELETDDVSSDIYLSLGLKDLDKGVGVPRVLSLLSSLLERSVQKNEMLVETEHIEDVVTVFHGLSAPTLSLRKYIDRIFKYSGCSPSCFVVAHIYVDRLLQNTEIKLTSLNVHRLLITSIMLAAKFMDDAFFNNAYYARVGGVKTCELNRLEMSFLFGIDFRLQVSVDTFHKYCWQLEEEGLETLQIERPMQACRIKESWSNKDDPSCASTIARW >RHN80334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37265175:37269993:-1 gene:gene4246 transcript:rna4246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ureidoglycolate amidohydrolase MVHHYHYVIVLLLLFFTSISSHQHHDHDHDPSIISTMEQFSGYSILEPTSFSSLSYDAQALQNQIDELSGFSDAPAPSVTRVLYTDKDVLARRYVKNLMGIAGLSVREDAVGNIFGRWDGSEPGLAAVATGSHIDAIPYSGKYDGVVGVLGAVEAISVLKRSGFKPKRSLEVILFTSEEPTRFGISCLGSRLLAGSENLANSLKTITDSQNVSFLDAARSAGYARDEDDLSSVFLKKGTYSAFIELHIEQGPILEDEGISIGIVTAIAAPASLRVEFEGNGGHAGAVLMPNRNDAGLAASELALAVEKHVLESGSVDTVGTVGILQLHPGAINSIPSKSHIEIDTRDIDEERRNQVIEKIHETAIRITKTRGVKLTEFHIINQDPPALSDEAVVNAMETATKELNLTSKLMISRAYHDSLFMARVSPMGMIFIPCYKGYSHKPEEFASIKDMSNGVKVLAFTLAKLSLQ >RHN66100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8552342:8552997:-1 gene:gene14015 transcript:rna14015 gene_biotype:protein_coding transcript_biotype:protein_coding MKREREMTKWASLVSFGFGGGGYDKMASGSKSNYARCIRKSLCTITTPNVKQGSPVKSAQSRSDVAGKPRRKSEQRMQSRKRRKIAVSRKRSKIVGSELRTIGSLQVSCYLMVVINLCMVHKLWLMHHNFCRSLV >RHN57648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40777552:40781492:1 gene:gene33226 transcript:rna33226 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLVKRGLGFLLRFFFRSVKAAMQCFFGIRDDNRHPPPPLFISNPPRSTADVLISWNRLSSIVSKEEKEGLARNADVGTQDYDQGLRDEVKFLKACGTIAGTPAEIRKTSAKLKVSPVCDSDSDPLRFHSWLPNTSVEKLQLNVPLVDPPTPIKICQELGDSTDSFEHTPSSFVFKAQGTQHDSPDYVEGSWTRSIHTAYKTRKNEASVTPWPAADTQKQNKSVRFKCECDLVSYQSPPDDWHMKKNKSPDSQSACKQSPYPTPLKLFDDMQTPGTVYPTSLEDLYDGKHRVRSQFIYPNCNPGQNIFLSKLLEEQVFNPEQDLSELGDSIERDQNQTPAPEKGLKKIENETESKIEASLSSWLKPASIIMEGENEEMETADCKIPQSADRPIIGVVSAQLNEDKNSHISPPKWRIGNGIPNSTKKYKEDQMVKWHATPFEERLDKALSEENFVSQRKLAIAKPVAFEEIEE >RHN70429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51393603:51395502:-1 gene:gene19008 transcript:rna19008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MKEGSQGGMGKCKVAILLLWMFFGLCNTILVSKVEATSSSLEREIEAKLKLLNKPAVKSIKSEDGDIIDCVDIYKQPAFDHPALKNHTIKRIPAFLLGSQSSSTKVTSNASSNVFQTWTKSGSCPKGTVPIRRIQKDDLLRAVSLDRFGQKPPEPYVNSTNTTNLNFSNLSATDDVSSITINRSDAHLATFGYNFIGALGNINIWNPKVERPEDFTTAQIWLKAANGLEIETIEAGWAVNPKLYGDHNSRLFTAWTVSSFIFIYIFCLSTFFPSIFVVVGYFLILYQVVLYDSTNLERATLIFGFLIAVQKDSYQSTGCFDLTCSGFVQIATEFALGSTVEPYSARFNQQYGRPLIISYHPYTPIYLRGERWMKRKRDKVFVGSRPHVTCLCGGLSVYATNRCACITTHNC >RHN59271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8945486:8953252:-1 gene:gene21275 transcript:rna21275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative site-specific DNA-methyltransferase (adenine-specific) MASFKDLSKTAQIRLVSSHNEVYEPCDDSFALVDALLADRTNLLEHHPALCMEIGCGSGYVITSLALILGQEGSGVNYIATDINPHAVKVTRETIEAHGVDAELIITDIASGLENRLAGMIDVMVVNPPYVPTPEAEVGSEGITASWAGGENGRSVIDRILPVADALLSEKGWLYMVTLTANNPSEICLEMRKKGYTSKIVVQRSTEEESLHIIKFWRDLDNGLDENGQSTSGFMGSLLSQVPLISYLRGNNSDNKC >RHN62162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41326269:41327180:-1 gene:gene24707 transcript:rna24707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polysaccharide biosynthesis domain-containing protein MKNNSNPKLILLHPSPHHKQSSTTVPFLSNHLFIFIITFFTIVFTLTLITTMISSSTSSAPSSSTSPLPPSITKALVHYASSSNSTTKSMSFSEINAITTTLHLTPNPNFLIFGLTQESLLWSALNNNGRTVFIDENEYIISKFEQSNPGIEAYDVQFTSKVSEYPKLLSHAKEESKRDCKPVQNLLFSECKLGINDMPNHIYQVSWDVILVDGPRGYFPAAPGRMSAIFTAAVLARSKKVGKTHVFVHDFWREVEKIFSYEFLCEENLVNNVDQLRHFVIKSETENGESFEFCKSSSPSKIV >RHN48731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49791700:49792655:1 gene:gene43478 transcript:rna43478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative secoisolariciresinol dehydrogenase MIFFEGLEGKVALITGGASRIGKRTAEIFVQKGAKVVIADIQDELGHSVAQTIGSSTCTYVHCDVTDESQIKNVVDTTVQTYGKLDIMFNNAGIGGPNNSRIIDNDKADFERVLSINVTGVFLGIKHAAQAMIPARTGSIISTPSISSYVGGAASHAYCSAKHVVVGLTKNAAVELGQFGIRVNCVSPYALATPLATQFVGCNDGELETTMNTLANLKGVILKTDDVVNAALYFASDDSRYVSGHNLLIDGGFSIVNPSFHMFQYSDS >RHN71394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58696211:58698515:1 gene:gene20073 transcript:rna20073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Mago nashi protein MKMIMKIKNLWKSVGYKRSGPGGKGPTRRRRRRRRTLELALIFFNSAGCWLKINRRLLLIINIIRMGSEEENAEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTIIRKEVYLTPAVLRECRRIISDSEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQSSADPEGLRIFYYLVQDLKCFVFSLISLHFKIKPI >RHN41575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31183195:31187864:-1 gene:gene47909 transcript:rna47909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative caffeate O-methyltransferase MANNISNMVELVEAEESFIHATQLIYAIAFPMGLHSASQLGVFDVLQKAGKDAQLSAHEIASRISCSNPDAPKMLDRILALLASHDVLKCLLIQDEQKLGSFHRLYSMTPVARFFAPNSDGVSLGPFLALIQDNVFLASWSELNNAIREGGTPFNRVHGRHLFDYPSFDSRFNQVFNVAMDNHSQIVMRKVLECYKGFKDIKRLVDVGGGLGANIHLITSKYPHIHGINFDLRHVIQHAPSYSGVEHVSGDMFESVPRGDAIFLKWILHDWSDEHCLKLLKNCYDALPDEGRVIILEAVCPIIPANSFAAKSTSQLDVTMMTLIPGAKERNRQEFMDLATNAGFSGIKYVCCVFPSLEDVAEFVKIGRYYAVESILILDHNFLHWVMMVVGSYLDLMMMNVCMCFFFSFQLSTIIFNC >RHN50034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3362716:3372464:-1 gene:gene34282 transcript:rna34282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MAEASDVKASLPEAEKKKEQSLPFFQLFSFADKYDWILMISGSIGAIIHGSSMPVFFLLFGQMVNGFGKNQMDLKKMTDEVSKYALYFVYLGLVVCISSYAEIACWMYTGERQVSTLRKKYLEAVLKQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIIAEQAIAQVRTVYSYVGESKALNSYSDAIQNTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLMEIIKQKPTIVEDLSDGKCLAEVNGNIEFKDVSFSYPSRPDVMIFQNFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNDGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMDEVESATSAANAHSFITLLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRLMVGRTTVVVAHRLSTIRNVDSIAVIQQRVVVETGTHEELFAKGGTYASLIRFQEVVGNRDFSNPSTRRNRSSRLSHSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNAETDKKNPAPDGYFFRLLKMNAPEWPYSIMGAVGSVLSGFIGPTFAIVMSNMIEVFYYRNYASMEKKTKEYVFIYIGAGIYAVGAYLIQHYFFSIMGENLTTRVRRMMLAAILRNEVGWFDEEEHNSSLVAARLATDAADVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILGTFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQNKMLSIFCHELRVPQSQSLRRSLTSGLLFGLSQLALYASEALILWYGAHLVSKGLSTFSKVIKVFVVLVITANSVAETVSLAPEIIRGGEAVGSVFSILDRSTRIDPDDPDAEMVESVRGEIELRHVDFAYPSRPDMMVFKDFSLRIRAGQSQALVGASGSGKSSVIALIERFYDPLVGKVMIDGKDIRRLNLKSLRLKIGLVQQEPALFASSIFDNIAYGKEGATEAEVIEAARAANVHGFVSGLPEGYKTPVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTIRGVDCIGVVQDGRIVEQGSHSELISRPEGAYSRLLQLQHHHI >RHN74893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37614821:37615425:-1 gene:gene11027 transcript:rna11027 gene_biotype:protein_coding transcript_biotype:protein_coding MALAASSFIQMPLMLQTPNYKSSTKKSMTITITCRNNGYKPKPKPKSSGSGGKGRDPGTNSILQSTTRNLQLDSLNYAINSLSVSDQDHENRSPKRIGMQ >RHN43870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49106274:49107591:1 gene:gene50504 transcript:rna50504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase MTDEHKDHSPHHTGIMSPNNSPKYILHCSLNASNGNLTVKSLSDVYQNTHKIEATPTCNNNTRTSKGNIDIGSSSPLGEVKSSLNCDDALRTDLSLNRNGSRQTLSEDKKKSFRYVEDITKGSEKNIKISLLEETNSEDFPKFNYIPCNTMYQSANVNISLARITDESCCSDCSGDCLSLSVPCTCSQETGGEFAYTSQGLLSEKFLTDCMSMVKEPHHHHDVYCKECPIERTKNETKPEPCKGHLVRKFIKECWRKCGCVMQCGNRVVQRGLSRKLPKGYFVCEYAGEILTNSELYDRIVYSTGIMLGCNT >RHN71885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3355097:3356376:-1 gene:gene7532 transcript:rna7532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-farnesene synthase MELPLSCIERRVRKIKKNIFSSNFDLYNFVFPSTYDTAWLAMIPHSKYPSQPMFNNYLDWLLNNQKPQGYWGESDTIECLPPTIVSMVALIKWNTGKSMVDKGRSFIHANADKLLNEVKDDCPRWLAIVLPAMIELADEIMGLDVLFTKSSRDTMSYIANRRKSFLNKEEVVGDFDWYPPLMSYLEALPPSYVNEKDICKNLSADGSLFQSPSATAKAFMAYGTQECLDYLQSLAQRCPKAVPQAYPMDEDHIKLCIANQLQKFGLGEYFVGEIEVFLAQVYR >RHN71867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3186003:3197214:-1 gene:gene7509 transcript:rna7509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MAKRGYKIQEFVAHSSNVNCLNIGKKACRLFVTGGDDHKVNLWTIGKPTSLSSLSGHTSPVESVTFDSGEVLVLAGSTSGVIRLWDLEESKMVRTVAGHRSNCTSVEFHPFGEFFASGSMDTNLKIWDNRKKGCIHTYKGHSQGISTIKFTPDGRWVVSGGFDNVVKVWDLTAGKLLHDFNFHDGHITSLDFHPLEFLLATGSADRTVKFWDLESFELIGSARREATGVRSMAFHPDGRTLFSGHEDGLKVFSWEPVICHDTVDMGWTKLGDLCIHDGKLLGCSYYRNSVGVWVADISLIEPYGDGLDLKKDIGTEQKHSLKGSKLENVEVDVGPTAGFRSISPDESKEIKNIYIDSSGGKPVSLQRSASYHSAKVDLAEESKEIYDLETLKQNPATKVHVKSNEQETRKSIIVPNIPDSKDSAKPVKETITFSKTKPGMLLKPAHVRRASTGRFDVDRFSDVNSRTFCDTASKLDSAKFPKFESYVGSQNEVKESCEDKHPIKNVTDKSNKTVSPYKIFNLAKRVESSTCNEEITPVKYVNGVAVVRGRTRSLVERFERREIVQVNEDEINAPLPTINEAGVNIHNEDQTNSYPTSVFERKEIIPCNEDQTSETPSVVFERKEKIPRNEDQTSESPSTAFERKERIPRNEVQTNAYPPMVFERKEKLPRDEDQTNESPSSVFERKEKIPRDEGQTNASPSTVFEMKERIPRSEVQTNAPPSVVFERKDRIPRTEVQTNAPPSTVFERKERIPRDEVQTNASPSTVFERKERIPRIEVQTNASSSVVFERKERIPRNEVQANAPPSTVFERKERIPRSEGQTNASPSMVFERKERIPRSEVQTNAPPSLERKERIPRNVVQTNASLTLVSERKERISRNGVQANASLAMVSERKERIPRNEDRNNFPSIPNTPSDIDESPSPNIPKLEPQVSQRDSSSPNEMAIIEGLMETHDVTLSNLRSRLTKLQVVRHFWERNDIKGAISALRKLPDQSVQADVISVLMEKMEILTLDLLASLLPVLTGLLDSKTERHVKVSLDMLLKFAAVFGSTITATISAPPSVGVDLHREQRRECCNECFMELQKVQTILPMLIRRGGLLAKSSMELNLVLQRS >RHN47330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38929113:38934311:1 gene:gene41918 transcript:rna41918 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYQRAPQDPYPPPYGTPYPPPQGYPITQPPPGYPSAPPPPSYEGYPPPPPPGYATYPPQHPPQYQSYQGYFNDGYPPPPPPPNYHCHHVQHHCHDNDHGSGCTSFFQGWYLDSALLLLYARGVFFMKTLKAVSSSVWCTLVGYSSLGKMLLKCNVLLDLCGIWVSNVWSFL >RHN52818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39184241:39186789:1 gene:gene37535 transcript:rna37535 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVATYGGAGILYNKTTTTTPYTLLPSSSRRTLHIVSAKKISSRSRRNQPTETVDEKIEPRNEVEIPFYSDEDWPFEWRPPGTYKDPDFFEGSQWNTVGFVGEWLWILGVLFAVFGGGYAAINYNLGASDFKETPAYKESQSQELLEQPETSESDIFDSNPTEVAPSLN >RHN71468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:390429:393608:-1 gene:gene7073 transcript:rna7073 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKLLFLFFFFASLCIFSTLVHSDSHFEGFEAEDDDSEFEEPSIDPASLRSPPSQFLSTDPNPNPINPTPSPSPPSDLPKSTPPSTTTFDFWDDDEFEGLPTQPHPDFQVPTTDPQSTDNTNTTASDNQNVKPQPRSFTVEIVCGSFLIMFALNYFTGKKENENIALSWASHFAAKDSIFEKNFSLLGIGDGGDDTPLLLKEGQTTFKFYASGRRYCQGLLATMELKSRHDLIARIYNMVVPSKDEITFEVYMNDDAMDHVVFAMARKKAAKAMHKDYRDLQRFATIMTPPTSRKWVSDDLAVISESREVASDLITDALIDQVFGDKSFEKFGKGLISVHFSDNHPGIHKKVLLFRFVLPAAKNMADMTRLVALVPYYIDLIGRYKLSSQARSKTEAARQKVAQEVQKELRNIQQEAMQRRKAERKKMMEEAEAKLGAEAIRKKEAKDRARQMKKAMPRMKMSRGA >RHN71660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1720966:1723360:-1 gene:gene7284 transcript:rna7284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Caspase-like domain-containing protein MATRRARCSKCGRAILVPIELENYGYSTSITMICSGCNSQGFSQQPNYPFLNNNNAPPPPAYGRRQSWPQTPMAPQYSMTPPSPYGNKRAVLFGISYGSHANSLKGSLNDVHSMKYFLTQKLGFPTDSIRMLTDEPGERNPMRIPTKYNMRMAMRWLVEGCQPGDSLVLHFSGHGSREVDYSMDEVDGYDEAICPVDYESEGKILDDEINATIVRPLPHGSKLHAVIDTCFSGTVLDLPFMCRVNRKGYYGWEDHRNPRAAYKGTRGGLAVCISACDDDGSAADTSAFSGMESAGALTYSFIQAMQVERRLTYGQLLNAMRSTIRGAREEYGPNNQQYVMDNRQQYAHEPQLSCSEKFDIYSKPIAM >RHN68337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34723955:34740209:1 gene:gene16674 transcript:rna16674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MKHCVLTDIHLSFSLNLMMGTSSMAKFKVTLFFNIPLRSSRLVSATEVRLLPPAAGRIKVNIDGSSFGPVPSGAIGGVFRNDESLLGGFTQNTGHASAQEAELCAAMFAIEKAAELNRK >RHN43924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49484292:49489046:1 gene:gene50561 transcript:rna50561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Transposase-associated domain-containing protein MDKSWLLEKRSSIRYLYGLHNFLDFAFRTVAEGNEILCPCKNCKNCSWGNREDVFEHLFCDGFDASYKKWIFHGEGLSSRKPYDKNHEKYNLHDDLDGLLEDTFIEKQFSNGEFDDIYDDDSEDLDEDATRFYKLVHDAQQEVYPGCKNFTKLSIIVRLLHIKNLYGWSNLSFNMLLQLLIELLPKNSCLPSTFQDCKYIIKDLGFSYEKIHACPNDCILYRKEYENEDTCPKCGLSRYKKREVPKSNRKVPCKVTSPPIPAKVLRYFPLKPRIKKLFMSSKTAHLMKWHHEGRTKDGKLRHPADSIAWKTFDSLHTNFASDPRNVRLGLASDGFNPYKTMGSKYNIWPVVLMNYNLAPWECMKQPYLMLSLLIPGPSSPKRNIDVYLEPLIDELKELWERGFEVYDASSKQLFEAHAALLWTISDLPAYTMLSGWSTSGKLACPVCSYDTCSMYLKHSRKTCYMSHRRFLDPKHRWRKDKKGFDGKKEIRVAPFPLSGSAILRKLGNRQNLFGKIRKRKRKDKDPWTKKSIFFELPYWKTNVQRHNLDVMHIEKNICEIVVGTLLNIEGKSKDHLKARLDLVKMGIRKEIHPINIGPNKILLPPSQFTISSKEKDIFCGVLKELKVPENYGSNISRCVQLEQHKIHGLKSHDYHILMQDLLKVAVRSALPKEVARVLIKLSSFFNVLCSKVVKVEEFQCLDAEIALILCELERIFPPSFFVLMIHLSIHLAHEARIAGPVHYRWMYPIERFILTLKNYVRNRNYPEGSIAEGYVANECLTHCSRYMSDGVQTKFNKPPRNLDGPVGEGVMTTLEPLTWEQAHRYVLFNCDIIKPYIKKHEEFLCSYGSTNVWNKVKEQHLTFHEWFEDHVNKSGACEDVKWLSRWPNTAARRFSAYVINGYKFVVESCQRKTQNYGVVVTSSTTKFRSQKDENPEVENVIYHGVLKDIIELDYFGHSKFVLFKCDWFQSKRDKLGLVLVDFGKLIYKNDPFVFATQAKQVYYVEDPSDGWHVVFNTTPRDLFDIHGDLETDDMESQFGNQLFEEPIVIDDKNVSWFRNDVPGTTVDTCVVAPKS >RHN58358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1075242:1079002:-1 gene:gene20263 transcript:rna20263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MAVSYSFLALSFFFFLFTLSPLPVSATDHIVGANRGWNPGINYTLWANNHTIYVGDYISFRYQKNQYNVFLVNQTGYDNCTLDSAVGNWSSGKDFILFNKSMRYYFICGNGQCNNGMKVSVFVHPLPSPPPSSSQHNHSSPNSAAPMVLEYLGHKFLMLSFVFVMFGYVLV >RHN42803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41126822:41131561:1 gene:gene49293 transcript:rna49293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-arabinose 4-epimerase MLNLVRSRTQARTTRPTTMGSMEYADPKKKGNFVGKIFLAAALTSLCIIMIKRSPSLKSPSPFAFHEPGVTHVLVTGGAGYIGSHAAFRLLKDNYRVTIVDNLSRGNLGAVRVLQDLFPEPGRLQFIYADLGDKKSVNKIFLENKFDAVMHFAAVAYVGESTVDPLKYYHNITSNTLLVLESMAKHDVKTLIYSSTCATYGEPEKMPITEVTPQVPINPYGKAKKMAEDIILDFSKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREQGRISGACFDAARGIIPGLKVRGTDYKTSDGTCIRDYIDVTDLVDAHVKALEKAVPAKVGIYNVGTGKGSSVKEFVEACKKATGVNIKVEFLPRRPGDYAEVYSDPTKINRELKWSAQRTNLEESLRTAWRWQKSHHDGYGIPNVY >RHN46601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33132153:33133356:1 gene:gene41105 transcript:rna41105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQMKKMATILKFVYLIILLIYPLLVVTEESHYMKFSICKDDTDCPTLFCVLPNVPKCIGSKCHCKLMVN >RHN79767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31981052:31983301:-1 gene:gene3603 transcript:rna3603 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLGINFINRHNLFSHFACWSDVTAPRRFMKPFCLIWHTSIWRERNSRIFKNQTKTFDVLVDDVKALSWCWALSRLRIASCLFYEWCWNPRECLKRKR >RHN73620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18259307:18260207:1 gene:gene9455 transcript:rna9455 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVQSELKLARSLIAERDSEIQCVRTTNNQYVEENERLRAILGEWSTRAAKLERALEAERMSNIELQRKIPTVRSQTHMSVEATDQGA >RHN54189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7682676:7683298:-1 gene:gene29187 transcript:rna29187 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRKSVRLSRSEGILLSSESVTSECAKVGGYERLSQTMEINEEYEHKKNKRGIGFLNKVLNLTRISSPHEAAEKKEKKRSSWLPDPQKRWPVQGW >RHN63300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50201758:50204604:1 gene:gene25986 transcript:rna25986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor/ chromatin remodeling BED-type(Zn) family MAATTNTTAALDTNSSEEATAKAIQKRYEGLVTVRNKAIKGKGAWYWSHLEPFLIQHNETGLPKAVKLRCFLCDAVFSASNPSRTASEHLKRGTCPNFNSAAKPISSISPETCSGAGAVVVSSPPLLGSSVHRRKRNSPPAPTLPPQQQQLLQYGVDPMRVVTQQQHLMLSGGKDDLGALAMLEDSVKKLKSPKTSPGVVLQKTQVDSAIDFLADWVYESCGSVSFSSLEHPKFRAFLTQVGLPPVFPREFVGSRLDAKFEEVKVESEARIRDAMFFQIASDGWKIKDYENDQSLVNLTVNLPNGTSLYRRAVFVNGSVPSNYAEDVLWETITGICGNLAQNCVGIVADKFKSKALRNLENRNHWMVNLSCQYQGFNSLIKDFTKELPLFRTVTENCMKVANFVNYTSQIRNSFHKYQLQEYGHTWLLRVLPMREFEDFNFEPVYAMIEDTLSSVRALQLVLLDEPFKMVSMEDRNAREIGDMIRDIGFWNDLEAVHSLAKLVKDMAKEIETEKPLVGQCLLLWNELRTKVKDWCSKFNIAEAAIEKLIERRFRKNYHPAWAASYILDPLYLIRDTSGKYLPPFKHLTPEQEKDVDRLITRLVSRDEAHIVLMELMKWRTEGLDPVYAQAVQMKERDPVTGKMRIANPQSSRLVWETYLTEFKSLGRVAVRLIFLHATSCGFKCSWSMWKWVCSHGHYKTSLDKVQKLIFVAAHSKLERRDLSSDEDKDAELFTLANGDDDVLNEVLVDSSSV >RHN49566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55694989:55695659:-1 gene:gene44411 transcript:rna44411 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPETNSYLPEGGTFNSTSNVPFQGWNKNHNNYVIGNSIGSSTTPVVNVVEREEGPSTKPYEAYMMSNKMECKSSCISDDICSLEDVIKVMTKQKVLRRRPPSLIGYPPSTAVSMWFIHGPKE >RHN80516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38757050:38757322:-1 gene:gene4453 transcript:rna4453 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEEVIPTTANVTQLDKQLVVFSPNHGVKTGTTFHMSLQNVFDEIVRPPLPNNDVQPVLPLVSDFPDVRKIMAGHGVEQLQLNSQDENA >RHN80570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39132304:39134982:-1 gene:gene4510 transcript:rna4510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MAAFEELPEECVAAILSRTTPFDAVRLSLVSKTFRSAANSDEVWNQFLPSDSQFIDTVISQFPSLANASTKKDLYLALSDCRIITEDRRKSFQLDRKSGKNCYMIDSRSLTFDDGYFLPQWKRISIPMHESRFPEVAELDDVCGIDICGMINTIALSPNTQYVAYLVFKMADVFGLNRIPVEFTVRVENPHYHNATIVCLYPNVESRGHKNSEVGLQLVEVLSRARSDGWFEIEIGEFFNLGIEYEEIQMNVNVEIKDGFLNTCLLVEGIEARPK >RHN43645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47446472:47450773:-1 gene:gene50254 transcript:rna50254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAGGGLTNGGPGKRAHLYEHKFTAYFAFTCVVGALGGSLFGYDLGVSGGVTSMDDFLEKFFPDVYRKKHAHLKETDYCKYDNQVLTLFTSSLYFSALVMTFFASYLTRNKGRKATIIVGALSFLIGAILNAAAQNIPTLIIGRVFLGGGIGFGNQAVPLYLSEMAPASSRGAVNQLFQFTTCAGILIANLVNYFTDKIHPHGWRISLGLAGIPAVLMLLGGIFCAETPNSLVEQGRLDEARKVLEKVRGTKNVDAEFEDLKDASELAQAVKSPFKVLLKRKYRPQLIIGALGIPAFQQLTGNNSILFYAPVIFQSLGFGSNAALFSSFITNGALLVATVISMFLVDKFGRRKFFLEAGFEMICCMIITAVVLAVEFGHGKELSKGISAFLVIVIFLFVLAYGRSWGPLGWLVPSELFPLEIRSAAQSIVVCVNMIFTALVAQLFLLSLCHLKYGIFLLFGGLIVVMSVFVFFLLPETKQVPIEEIYLLFENHWFWKNIVREGTDQEQGKPNGKPIAP >RHN43806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48641386:48648302:1 gene:gene50435 transcript:rna50435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dipeptidyl-peptidase I MCKMSEGNIHIECIEDVVGGFTCEEVLRTIRDSGIPTEKAFKYTGLPVVSYANEWKTRIIGVYWGPPVPFHLTNHTVLLVGCGVHNKTRYWIVRNTWGTNFGDGGHIKIVRGQNCFGIESEIWVPIIDLDTLCF >RHN44426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4524046:4524489:-1 gene:gene38545 transcript:rna38545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone-7-O-beta-glucoside 6''-O-malonyltransferase MKAKEIENKNALMALNIDCRNCLEPPTPATYFGNCIGGRLAIVETSELLGKDGLIVAVEVLGEALETLKDVVLNGAENMCSSLLGGLAIADVKAIGAAGSPKFEVYSTDFGCGKPKKVEMVSIDRTGAFCLSDCRNGDGVEIGFVSN >RHN54806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12520171:12520428:-1 gene:gene29894 transcript:rna29894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase STE-STE7 family MEGGSLHDILQQHHRLPEEVICVLARNALEGLNYLHGMNIVHRDIKPSNLFLNEKGEVKIAYFGVSHVIEGIFEANDMNAVHVHT >RHN57790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41738440:41743361:-1 gene:gene33377 transcript:rna33377 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAQDPFYVVKEDIQDSIDRLQSNFHQWENTSDTGEHLNLSKEVLAACGSIEWQVDELDKAISVASRDPSWYGIDEVEIENRRRWTSNARTQVRTIKRTVEAGKGSNPTTHASISGMHRELMRLPDSHQSTSKSNQYASGDNDDFIESESDRQMLLIKQQDEELDELSLSVQRIGGVGLTIHEELLGQEKILDELGNEMDSTSNRLDFVQKRVAMVMKKASAKGQMMMICGLLALFIFLFILVFFT >RHN58778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4804958:4811584:-1 gene:gene20722 transcript:rna20722 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDDGSDSFGYTVHQMLLSNKLLNHTDSNNSDRQPFSFALSSKDKNWSENERDTSDFNSGLANQMNVLSEEQLQHEGSDIDLESLRLEEDIDLNSLSREAVVELYTRPKNGAIYDEVWGTIKERLKFLSKSDENLSIDEAIEIYDASVLMKFLKKDKDEIELAGRMAYRGALMMLQAEILSKKGRELLAQSKLKLQMADL >RHN58766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4630411:4633314:-1 gene:gene20708 transcript:rna20708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-transporting ATPase MEDVNIKAGVNEEDGLYTEDGTIDIHKNPANKKKTGNWKACRFILGNECCERLAYYGMSTNLVNYLEDRYGLGNAAAANTVTTWSGTCYITPLLGAFLADAYLGRYWTIASFSSIYVIGMGLLTFSAIAPGLKPSCDTDGCHPTSGQTAALFIALYLIALGTGGIKPCVSSFGADQFDENDETERKKKSSFFNWFYFSINIGALIASSVLVWIQMNVGWGWGFGVPGVAMVIAIIFFFIGSRWYRLQIPGGSPLTRICQVIVAAFKKLGQQVPDDKSLLYETTDVESNIKGSRKLEHTNELKCLDKAAVETESDRIKDLPNPWRLCTVTQVEELKSVVRLLPVWASLIAFATVYSQMGTMFVLQGNTMDQHIGPKFKIPSASLSLFDTLSVIFWAPVYDRLIVPFARKYTGNEHGFTQLQRIGIGLVISIISMIVAGILEVIRLDIVRKNNYYDLETIPMSIFWQVPQYFLIGAAEVFTNIGQMEFFYGQAPDAMRSLCAALSLTTNALGNYVSTLLVTIVTKVTTRNGSLGWIPDNMNRGHLDYFYWLLTILSLINFIVYLLIAKRYTYKKVTHLR >RHN65723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4743585:4744548:-1 gene:gene13601 transcript:rna13601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MADRESKSKQKRMILETISNQTKVSLRIAKHLFSKESEKNIPAEALKEVNLWAEKETNGLIKNLLPPGSVNDLTVLIGANALYFKGAWEEQFDISYTEDNDFHIQNCNSVKVPFMTSCEEQFIGVFDDFKILRLPFEQGGGKRRFSFYLFLPDAEDGLLDLIEKLASEFEFLQHKLPSRKVKVGTFRIPRLNISFELETSSMLKELGVVLPFSDIGGVAKMVDNESLVVSKIFHKSFIEVNEAGTEAAAATFECMGFGLCLDDTPRIEFVADHPFLFLIRDDLSGTVLFIGQVLNPLDM >RHN68194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33584331:33585476:-1 gene:gene16509 transcript:rna16509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MHECTKKGSGNYCAHFPNSDIKYGFCFASVSKAQDAFKMASSYKFKNDFLKMSLPA >RHN76861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1879468:1882631:-1 gene:gene244 transcript:rna244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MVNLVGTVAADSTPLNTCSHTCGDKEIPYPFGINDTSSNSDCFVGGRLIQLHCNESKIYMGDNLEVSNINTTKAEIDVLFYVSEYCSVDNYTYTKVGLNSGTYTISSKENKFVTVGNSYGYFNSYSGNDIAYSTGCLTRTFGDQINDGKCSGVGCCQIDIHPKMRNVSIQASNFNTSSKFCSYSFVVRNGSYDFSSTHLSQGLPSRDYRWFLTGPLARKTAVLLLPRRMESTMGARRIAIVMTRTHILVTAMPLQSRF >RHN54815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12594376:12595100:-1 gene:gene29903 transcript:rna29903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >RHN59717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12706426:12707142:1 gene:gene21821 transcript:rna21821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloroplast envelope membrane protein, CemA MKLSSLKTKNGKKKAFIPLLCLTSIVFLPWCISFTFKKSLESWITNWWNTKQSEIFLNIIQEKTILKKLIEFEELFLLDEMLKEYPETHFQNLRMEIYKETIQLIETNNQDRIHTILHFCTNIICFLILSGYSIRGNQELIILNSWVQEFLYNLSDTIKAFSILLLTDLCIGFHSTHGWELIIGSVYKDFGFTQNNQIISGLVSTFPVILDTILKYWIFRYLNRVSPSLVVIYHSMND >RHN58340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:963969:966128:-1 gene:gene20244 transcript:rna20244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidyl-N-methylethanolamine N-methyltransferase MGIVLAIIVLSQFPFYYYVWNWPQSWVDLCGKGRDPTKMMAYAGHFLKIIQFISLFSVSSFHWPPPFYFWPLFAFGQFLNFRVYQLLGEAGTYYGVRFGKTIPWVTEFPFGVISDPQYIGSIMSLIACLPWVPFQYILLWVLGYVFMIRVESKEDPSTRAKPLN >RHN65439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2254718:2255722:1 gene:gene13277 transcript:rna13277 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIFCLIPTTNIPSCSIIVRSQKKAKLIHSRNSNYNNKLK >RHN44248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2896718:2901288:-1 gene:gene38344 transcript:rna38344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MEEIDRSRSTSRLVTFTNELQNRITQKQSMYPLGFSKKVFAEVIGTYLLVFVGSGAAAMNSIDENKVSKLGASLAGGFIVTVMIYAIGHISGAHMNPAVSLAFATVKHFPWKQVPFYIAAQLTGAISASYTLRVLLEPSKQLGATSPSGSNIQALIIEIVTTFTMVFISTAVATDSKATGELAGVAVGSSVTIASIVAGPISGGSMNPARTLGPAIATSSYKGIWIYMVGPITGALLGAWSYVVIQETDHKQDLATSQSPLSVKIHNEMNGIEL >RHN74384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32492038:32496766:1 gene:gene10440 transcript:rna10440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAAAMIGEAFLSAIVQTLVEKLVSTQFLDYIKNTKLNVSLLRQLKTTLLTLQVVLDDAEEKQINNPAVKQWLDDLKDAIFDVEDLLNEISYDSLRCKVENTQAQNKTNQVLNFLSSPFNSFYREINSQMKIMCESLQLFAQHKDILGLQTKSARVSRRTPSSSVVNESVMVGRKDDKETIMNMLLSERDTTHNNIGVVAILGMGGLGKTTLAQLLYNDKEVQRHFDLKAWVCVSEDFDIMRVTKSLLESVTSRTWDTNNLDVLRVELRKNSREKRFLFVLDDLWNDNYSDWEELVSPFIHGKPGSMVIITTRQQKVAEVAHTFPIHKLEPLSTEDCWSLLSKHALGSKEFHHSINTTLEEIGRKIARKCGGLPIAAKTLGGVLRSKVDITEWTSILNNDVWNLRNDNILPALHLSYQYLPSHLKRCFAYCSLFPKDYPLDRKQLVLLWMAEGFLDCSQGGKELEELGDDFFAELLSRSLIQQSNDDARGEKFVMHDLVNDLATIVSGKSCCRFECGDTEKVRHVSYNQEEYDHFTKFKPFYSFKCLRSFLPTYPAWGYSISFKMIDDLIPTLKRLRVLSLLNYTNITKLPDSIGNLVQLRYLDLSFTKIKRLPDTICNLYNLQTLILSNCEALTELPLHIGNLVKLRHLDIKGTNISEFPVEIVALENLQTLTVFVVGKRHVGLSIKELRKFQNLQGKLTIKNLHNVVDATEAEDANLKRKEKIEELELLWGKQSEDSLQVKFVLDMLHPPINLKSLKIDLYGGTSFPSWLGDSSFSKMVSLHITNCEFCPTLPPLGQLPFLKDLEIHGMKMLETIGPDYYYVQIEEGSNFSFQPFQSLERIKFNNMPNWNQWLPFEGMNFSFPRLRTIELHNCPKLKGLLPSNLPCIEEIVIKGCYHLLETPSTLHWVSSIPKINIHGLGKRTILSLLKSDSPCMMQDVVIQNCVGLLGLPKLILRSTCLQHLKLYSLPSLTTFPSSGLPTSLHSICIRDCENLSFLPLETWSNYTSLVNIELYHSCDALESFPLDGFPALQSLKIYDCRSVDSIYISETPSHRPSSLESLKIKSHDSIELFKVKLRMDTLTDLEQLYLDCRELSFCEGVCLPPNLQSIDLWSQITTPSVTEWCLQDLTTLSELTIKEGHDTFNTLMKELLLPISLVSLQIMDLYEMKSFDGNGLRRLSSLQNLHFINCEQLKSFPENCLPSSLKYLQFYGCEKLESLPEDSLPDSLEMLEMSSCPLLEERYKRKEHWSKIAHIPVIQINQQVII >RHN54826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12670012:12675471:-1 gene:gene29917 transcript:rna29917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MATLESTLTVFTHQRFSNNNNYRFLSKSPDSIKLHASTSIPSSSSFSLFSHNNHKLHFSSSSKSKTLCYALQEVTEASATTEEEEAKTETLNNVKKNLIVFNLPWSLSKPDIKDLFGQCGTVIDVEIIKSKDGKGKGYTFVTMDSGEGAQAAVDKFNATEISGRILRVEFAKGFKKPRPPPPAPTPKEARYVIYASNLAWKARSTHLRDIFTENFKTPVSARVVFQVPGGKSAGYGFVSYHTEEEAEAAISALQGKELLGRPLLVKISERKVKEAGSEEVEEKVDDVQPEAGSEEVEEKVDDVQPEAGSEEVEEKVDDAQPEES >RHN70836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54354719:54359646:-1 gene:gene19463 transcript:rna19463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation initiation factor 3 complex subunit L MANDEERLQAQDLGYDPNFVPDPVKTFVVHLYRHIREKNVYEIHQMYETSFQTLSERFFKDTPWPSVDAVAQYVDNDHVFCLLYREMWFRHLYARLTPTLRQRIDSWDNYCSLFQVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKTEQEIALLKQFDQAWNVFGVLNFLQALVEKSTIIQILEQEKEGLEQFTSNDGYDYNGGSNVLKVLGYFSMVGLLRVHCLLGDYHTGLKCLEPIDISQSGVYTIVIGCHITTIYHYGFANLMLRRYVDAIREFNKILLYIFKYKVYHQKSPQYEQILKKNEQMYALLAISLSLCPQSRLVDETVNSQLREKYGEKMSRMQRYDDEAFAMYDELFSYACPKFITPSAPSFEEPLVNYNQDAYRLQLKLFLYEAKQQQLLSGLRTFLKVYSTISLAKLASYMEVDEPTLRTILMTYKHKTHAVDSAGKIISNADVDFYIDDDTIHVVESKPSKRYGDYFLRQVVKLEKVINEMDSIKLE >RHN40333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15415718:15420063:1 gene:gene46478 transcript:rna46478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MLMRQEMHSCNEVVDAIWYKNVHLKVSICVWRLLCNRWPINDNLVHRDIIPSDSLLCVSECGNNETNIPPFNSLFNFRCAMATCQNLD >RHN47744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42203638:42207411:1 gene:gene42379 transcript:rna42379 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NOOT1 MSLEDSLRSLSLDYLNLLINGQAFSDVVFSVEGRLVHAHRCILAARSLFFRKFFCGPDPPSGLDPSGNRVNPSGSARSGVIPVNSVGYEVFLLMLQFLYSGQVSIVPQKHEPRPNCGDRGCWHTHCTSAVDLALDTLAAARYFGVEQLALLTQKQLASMVEKASIEDVMKVLLASRKQDMHQLWTTCSHLVAKSGLPPEVLAKHLPIDIIAKIEELRIKTSLSRRSLMPHHHHPHHHDHLTAAADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALPYAVENCSREVVKALLELGAADVNFPAGPTGKTPLHIAAEMVSPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAALVMSREEGNNNNSNNNNNATASSATNMYPHHNMNEDHHHSHNNNNMDSRLVYLNLGANTQMSTSRMDSGDDDNTHREAINNSMYHHHSHGHDY >RHN63161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48981798:48982843:1 gene:gene25831 transcript:rna25831 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQNYISKKRRKVVNSNLKSTSVEPFDGNYNWITLSIWGLNGSFINTSKTPFSNLKHPIKTIGSISKFLKSENSEALFFLSIQILHTSRRRYRASRVCCPFRRRTLVLVLVLQFWS >RHN55524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19194136:19208714:1 gene:gene30720 transcript:rna30720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MEDKDRAPTEEFVGNSNWNLGVDDSGDRFGHIGWNLVPETTDDKLLQRVQTGSIETASVSASASSYRSNNQSISSSSSEDLPEKSTVSDEKPPETPSKSKKKGEKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSHEDPTIVITTYEGQHCHHTVGFPRTGMISHESSFTSQFAPTMPQFYYPVQLPTELNTCTTTVSQLCQTHDHHESAGGSSSATMLADASPPLDLADEGLLGDIVPRGMRNRDERNI >RHN49910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2227121:2229469:1 gene:gene34142 transcript:rna34142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDFVLSNLINSTTIALLYFIIPLCLFLFGFSKFVHSKTKEAPIAEGAWPILGHLSLFSGTQSPHRVLGTLADKYGPLFTIKLGSKRALILNNWEMAKECFTTHDMVVSSRPKLVATKHLGYNGAMFGFGPYGPYWRQLRKIVTLEVLTNRRIEQQQHVRVSEVRASIKELFDVWSTKNNESYSSNYVLVELDQWFTHLTFNMVLRMVVGKRYFGLITSSEEDESKICVKALKKLMHLFGVITVGDVIPCLNFCDFGGYVKAMKETSKELDKIVDEWLKEHRHERTNLVEKLDDQGNQDIMDVLLSLLDGTTIEGFDGDTIIKATILTLFAGGSDTTSVTLTWALCLLLNNPLVMEKAKEELDAQIGKERCVCESDINKLTYLQAIVKETLRLYPPAPLSGPREFSENCTLGGYHVIKGTRLITNLWRINTDPNIWPDPLEFKPERFLTTHKDVDVRGSNFVLLPFGSGRRICPGISLGLQMLHFILASFLHSFDILNPTPELVDMSESFGLTNTKATPLKILIKPHLSINCYEIM >RHN55201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16070357:16072269:1 gene:gene30344 transcript:rna30344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MDYVAVTQGEISDRSLVDDVWHKNIPSKVSLLLWRLLRNRLPTKDNLVHRGILLPTDATCVAGCDHIESATYLRIIWFATVWVIWKEMNNRVFQNTVSTPFILIDKIKLHPFLWLKSKQVAFAYSYTDWWLEVGRHFAPVFCTFWRCFAL >RHN47333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38956285:38960083:1 gene:gene41921 transcript:rna41921 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKSTSASGSSEKSLSLPETLPSESSSLSSLSSLSSSSSSSSSIIFPFPLSSRIIPFPFLSTTGSSSEFSSSSSSSSSSSVLFSGSLLGTASEEELTVVGSSVSWSTVVVEVSLGFLLGR >RHN51331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16313458:16315161:-1 gene:gene35755 transcript:rna35755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase chromatin remodeling SET family MNFQDPNPSISRTIVPVSNELQNYDSNTLAGKASKSKQKLRGQKKELVHTAMDADHRPYREMVRRTRILFDSIRVSGLLEEENNRKNKKNLKASSLINQTEEVNNSRVRNDLIASTLMNDHGMYLYPDVKIIGTVPGVSIGDIFLYRSEMCVIGLHGQPQAGIDYLHASMSSNGQPIATSVVVSDGYNDDDQGDSIIYSGHGDMKQDQKLERGNLAMVTSMQYEIDVRVIRGFRYEGGTSTTSSKVFVYDGLYKIIEYWFEKGISGFGVYKFTLSRVQGQPKMGSMILKEASMLMRGHLCDNHMYILSDDISNGKENIGVRLYNDIDSDLYPMQFEYLPKAAFPMFLLPHSMTTRKKMRVIECSECVDGCVSSIMNGNTTPYSKSGILLKGRSLIYECGPFCSCPSHCRNRVTQKGIKYRLEVFRSNETSWGVRSLDPILAGTFICEFTGLVLTREQAEILTMDGEHNSFIIYPNRFLNRSTQEWGDLSMIDANHVHPAYPTLDFALDVSMMRNVASYISHSPTPNVFVQLVLFDHGNWMFPHLMVFAMENIPPMRELSLDHGVEAG >RHN65976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7197476:7205500:-1 gene:gene13885 transcript:rna13885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAELVAGAFLQSSFQVIIEKLASVGIRDYFSSNNVDDLVKELHSALDSINLVLDEAEIKQYQKKYVNVKKWLDELKHVVYEADQLLDEISTDAMLNKLKAESEPLTTNLLGLVSALTTNPFECRLNEQLDKLELLAKKKKELGLGESPCASNEGLVSWKPSKRLSSTALMDESTIYGRDDDKDKLIKFLLAGNDSGNQVPIISIVGLGGMGKTTLAKLVYNDNKIEEHFDLKTWVYVSESFDVVGLTKAILKSFNSSADGEDLNLLQHQLQHMLMGKKYLLVLDDIWNGDAECWELLLLPFNHGSSGSKIIVTTREKEAAYHVLKSTELFDLQQLKTSHCWSLFETHAFQGMRVCDDPKLESIGRKIVDKCGGLPLAIKSLGQLLRKKFSQDEWMQILETDMWRLLDGDNKINPVLRLSYHNLPSNRKRCFAYCSIFPKGYTFEKDELIKLWMAEGLLKCCRRDKSEEELVSGEFCKQIKGAMVEGSLEMTRHIWFSLQLNWVDKSLEPYLVLSSIKGLRSLILQGSYGVSISKNVQRDLFSGLQFLRMLKIRDCGLSELVDEISNLKLLRYLDLSHTNITRLPDSICMLYNLQTLLLQGCRKLTELPSNFSKLVNLRHLELPSIKKMPKHIGNLNNLQALPYFIVEEQNESDLKELGKLNHLHGTIDIKGLGNVIDPADAATANLKDKKHLEELHLTFNGTREEMDGSKVECNVSVFEALQPKSNLKKLTITYYNGSSFPNWLSGFHLSNLVSLKLKDCVLCSHLPMLGQFPSLKEISISNCNGIKIIGEEFYNNSTTNVPFRSLEVLKLEHMVNWEEWFCPERFPLLKELTIRNCPKLKRALLPQHLPSLQKLQLCVCKQLEVSVPKSDNMIELDIQRCDRILVNELPTNLKRLLLCDNQYTEFSVDQNLINILFLEKLRLDFRGCVNCPSLDLRCYNYLERLSIKGWHSSSLPFSLHLFTKLHYLYLYDCPELESFPMGGLPSNLRELVIYNCPKLIGSREEWGLFQLNSLIEFVVKCGIIKEKYEKEGGERWHTISHIPNVWIDDI >RHN74352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32111121:32118670:1 gene:gene10396 transcript:rna10396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CTP synthase (glutamine hydrolyzing) MKYVLVTGGVVSGLGKGVTASSIGLLLQACGLRVTSIKIDPYLNTDAGTMSPFEHGEVYVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIEKERRGDYLGKTVQVVPHITDAIQEWIERVAQIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPILNVVGEQKTKPTQHSVRQLRGLGLFPNLLACRCSKELDDNAKAKLAQFCHVPLSNVLTLHDVPNIWHIPLLLKDQKAHESILKALNLPGVATKPNLKEWTVRTKNYDKCHETVRIAMVGKYTGLSDAYLSVLKALLHASVAHNRKLIVDWVPAGDLEDVTYGEDPNAYRAAWSLLKGANGVLVPGGFGDRGVQGKILAAKYAREHNVPYLGICLGMQIAVIEFARSVLDLHDATSTEFDPEAKTPCVIFMPEGSKTHMGGTMRLGSRTTYFQVDDCKSAKLYGNASSVDERHRHRYEVNPDMVSQLESAGLSFVGKDETGSRMEIVELPSHPFFIGVQFHPEFKSRPGKPSPLFSGLIEAACEPKRPVLSNGHAKLTNGIYNGHSPILKAHQNGNGFISSNGSLNGVFTNGNGVYVDGSC >RHN50835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10926357:10927723:1 gene:gene35171 transcript:rna35171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MKFILVCMHEIYLWFHVHLQATRQSQMESSIEKALKDSGLGNRDVTPFMRLRVVGLTYKNCQDKSKEGIVTIWNPTQKQCQELAEGEAYAIAGLTPYGSDSDVLRFQTRGSTTKWLPLSSNARNSLGQQKKQWVFVTDGSIMKGLQSEKFTDTLLAIFFCSPLIDHDSFPPINHNLAGSTVGFCNLIKKEKDHTYQIWVADANENSTYYLKFDSSHCSFSSQKSCQFRHKMG >RHN64017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55941896:55944306:-1 gene:gene26791 transcript:rna26791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MAFPLIARNPTFHTFPSHTHHFTAPSSFRTKSLTIINFAKMEGSEITQQAVDDDLSLKKKVFVAGATGSTGKRIVEQLLAKGFAVKAGVRDLDKAKTSLSANPSLQFVKVDVTEGSDKLAEAIGDDTEAVVCATGFRPGWDLLAPWKVDNFGTVNLVEACRKVNVNRFILISSILVNGAAMGQLLNPAYIFLNVFGLTLVAKLQAENHIRKSGINYTIIRPGGLKNDPPTGNVVMEPEDTLYEGSISRDQVAEVAVESLAYPEASYKVVEIVARPDAPKRAYHDLFGSIVQR >RHN68803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38717580:38721039:1 gene:gene17206 transcript:rna17206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha,alpha-trehalose-phosphate synthase (UDP-forming) MVLSYDEDSIFRQLKDGLSSDIDVVYVGSLKVDVDESEQENVSQLLEEFNCFPTFIPSEIQKKFYDGFCKNYLWPLFHYMFPIYHSYCNGFDGSLWQAYVSANKIFANKIMEVLNPKDDYVWIHDYHLMVLPTFLRKRCCYVRLGFFLHSSFPSSKICIEIPVGTEILKSMLNADIIGFQTFDYACHFLSVCSRILGLEYESKRGQVWIEYFGRTIFIKILPAGIHMGRIESTLNHLSDSDKVREVSKQIKGQKLIIGFDELDMFKGVILKLLAFVQLFIRYPTLQGKLVLVQIINPPRSDGWYVEKAKEQAYTISNRINERFGFLGYKPVVIIEGYVPFDEKATYYALAECCIVNAEQDGMNLVPYEYIACRQGCSKMDTTLDIPSNSPRISSIVVSDFVGCSSSLSGAIRVNDWDINAVVEALKLAITMPNEEKQRWHEKNYQYVSSHDVLYWAKHFEQGLVFSCKDHGKKLCWGFRFGLEFRVLSLSPNFKKLSRNYVVSAYKRTKCRAIFLDYDGTIVRHDSIVASPGPEVVSVLNKLCSDVNNTVFIVSGRGRPSLINFDYQFENLGIAAEHGGYIRWGGESDWKTNYGCEDYAWKSDTEKVMRSYTDETDYSFIEQKDSALVWNYHDAEPYFGSQQANKMLDDLRSKLANMPVVVKKGKHIVEVKLQGNTKGSVVDEVLSILTMSSKSPDFVLGIGDDKSDEDMFESILNKSYASTSSSSPEIFACTVGQKPSKASYYLDDSKEVMMLLAGLAAAAAESRTRFSTDTPSGSVL >RHN68161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33275043:33276225:-1 gene:gene16472 transcript:rna16472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTKIFKFIHAMILFLSLFLVAESYFADILCKVHEDCPQKSTHKYYCIDDECFLYYWEAP >RHN78395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14734481:14746040:-1 gene:gene1946 transcript:rna1946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative intron-binding protein aquarius MTKVYGTGTYDFRRHRVAEYPLAEPKPVEWSQKSGGGGLPNNITVSEIQRDRLTKIAESNWLKGSEKKKEFDGELVKKIYETELLVKEGQGNNKPVPLQRVMILEVSQYLENYLWVNFDPETASFEHVMSIIIMVNEKFRENVAAWVCFHDRKDVFKEFLERVIRLKEGRELNIAEKTNYLVFMINAFQSLEDEVVNEAVLRLADLKSWFSLSYGRFQMELCLNPGLVKKWKNMLKKEPVKGGKHLDPSTTVEVTFLRNLIEEFLEILDSQVFYQRQLSGEDDELINETGSWLINDACVLYCERFMEFLIDLLSQLATRRYLRPLVADVAVVAKCHLSALYRHEKGKLFAQLVDLLQFYEGFEINDHTGVQLTDHEVVESHYSRLQSFQLLAFKKIDKLRELALTNIGSIHTRANLSKKLSVLSPEELRDLVCCKLKLVSKDDPWSERVDFLIEIMVSYFEKQQSQKEAINALPLYPNEQIMWDESVVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLAYINIDGETAFRGWSRMGVPIKEFRIAEVKQPNIGEVKPSSVTAKVTYSISSYRSHIRSEWDALKEHDVLFLLTIRPSFEPLSAEEENKASVPQKLGLQYVRGCEIIEIHDEEGTLMNDFSGKIKREDWKPPKGELRTVTVALDTAQYHMDVNNIAEKGGEDVYGTFNVLMRRKPKENNFKAILESIRDLMNEYCIVPKWLENIFLGYGDPSDAQWTSGSKLLPDLLETVDFKDTFLDADHLKGSFGDYEVTFVNHDGTENLNPSPPFKIKIPRTLKGSNGALPGRAVSTSGAANDINMVDTNHQKETLVIETYTPPDPGPYPQDQPKQNSVRFTATQVEAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQLPEDVGYTCETAGYFWLLHVYSRWEQFLAACAENKEKPTFVRDRFPFKEFFCDTPHPVFTGESFEKDMRAALGCFRHLKTMFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSIAKLYNWRYRDLGDLPFLKEEAIFNRANAGFAYDYQLVDVPDHNGKGETTPSPWFYQNEGEAEYIVSVYIYMRLLGYPANKISILTTYNGQKLLIRDVINRRCVPYNFIGAPSKVATVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLVVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLKRPDHLALNMNEITSYTERNAEDPGPRHHVHLVSGIEEMSSIIDRLYQEKMRFQFEQNGSYFSHLEPSVSTDLVQNGQQTADTHQEQSVDTDTVQNRQQIADTDMPEQHESSEAITVDNHVARDIPSERSMEDGTIVDGSAGVENGSIAP >RHN41427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29913160:29916325:1 gene:gene47745 transcript:rna47745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MSLSHSSSSNHYCALLKLCCETHNFTKAKNLHSHIIKTLPYPETFLLNNLISSYAKLGSIPYACKVFDQMPHPNLYSWNTILSAYSKLGRVSEMEYLFDAMPRRDGVSWNSLISGYAGCGLIYQSVKAYNLMLKNDGSFNLNRITFSTLLILASKRGCVKLGRQIHGHVVKFGFMSYVFVGSPLVDMYSKMGMISCARKVFDELPEKNVVMYNTLIMGLMRCGRVEDSKRLFFEMRERDSISWTSMITGFTQNGLDRDAIDIFREMKLENLQMDQYTFGSVLTACGGVMALQEGKQVHAYIIRTDYKDNIFVASALVDMYCKCKNIKSAEAVFKKMTCKNVVSWTAMLVGYGQNGYSEEAVKTFSDMQKYGIEPDDFTLGSVISSCANLASLEEGAQFHARALTSGLISFITVSNALVTLYGKCGSIEDSHRLFNEISFKDEVTWTALVSGYAQFGKANETIGLFESMLAHGLKPDKVTFIGVLSACSRAGLVEKGNQIFESMINEHGIVPIQDHYTCMIDLFSRAGRIEEARNFINKMPFSPDAISWATLLSSCRFYGNMDIGKWAAEFLMELDPHNTASYVLLSSVYAAKGKWEEVARLRKDMRDKGLRKEPGCSWIKYKNQVHVFSADDKSNPFSDQIYSELEKLNYKMIKEGYVPDMNSVLHDVGDSEKIKMLNHHSEKLAIAFGLLFIPPGLPIRVVKNLRVCSDCHNATKYISKITNREILVRDTARFHLFKDGTCSCGDFW >RHN72559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8618076:8618678:1 gene:gene8285 transcript:rna8285 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLNFVVPDLHILVGHAYESTMRLVIVIDVAVFGGCLCMSVNYETTNSSVFHFIFGSFKAFMLFK >RHN80097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35096970:35098356:-1 gene:gene3980 transcript:rna3980 gene_biotype:protein_coding transcript_biotype:protein_coding MREISQNIGYFSAVMADFFDVMIAIKTTKQKGCHSLCKETGSQNVVLAYKKSKLEHLY >RHN54636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10933866:10935398:-1 gene:gene29705 transcript:rna29705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MGLWLYSVASIFLCIFLQAIFNAIRNKKLPPSPPTIPFIGNILWLLKSSKNFAELELVLRSLRSKYGDIVTIFIGSRPSIFITSHEVAHRALVKNGTVFANRPATLLTTNIFFPKQRTISQSPYGPIWKLLRQNFMQATQPSRLNSYSRCRKWALNILKKNILADIELGNKAIHIDDYFNFALYTLFTYMIWGEKFDKEIVESIQRVQHCLIYNFIKFNVLNFAPMLSRFVFRGLWREIMQIRENQMNVFLPIIKARQEKIKNKINVGIEDEDKEDFEAYVDTLFDLKLPESGENFNDEELVSMCSEFMLGGTDTTATTLLWTMANLVKNQKIQEKLYDEIKEVVKHNEEIEDEHLKRMPYLKAVVLETFRRHPPGHFILPRAVIQETIFDGHKIPKNAMINFPVAEFGWDPSVWENPMEFKPERFLSEENANFDLKGIKEIKMMPFGAGRRVCPAINIATFHLGYFVANFVRDFKWTIQDGCEVDLSEKQSFTIVMKNPLKPCLSPRIT >RHN38747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1747206:1748211:1 gene:gene44712 transcript:rna44712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoporin protein Ndc1-Nup MLIPYLYTHHIATWEFVAEQIVFLVPTFAIFFCWELTHTLHRVLHTKRFIFAPPKGSAAAEKNPSELLLSVLEESNPTSLLRYHAYLDLCMVSENNVDAWRRAAFFEETGETYKRVIAVCLRPLEQLASRLGEDLGNSADKPTNLSNQLSSPTDVKHIEELDNFQVHV >RHN69221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41872065:41882194:1 gene:gene17661 transcript:rna17661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor CG1-CAMTA family MLPGLQYNINDLFQEAKKRWLKPIEVLYILQNHDTCKFTDFPLNQPRGGSVYLFNKRVMRFFRKDGHNWRKKKDGRTVSEAHERLKVGNVEALNCYYAHGEENRSFQRRSYWMLNPEYEHVVLVHYRETNEGTSNSGPVTQSSPFSQSRSSYTTPNPETTSTVGDSCEPNQNFSSPGFLEVTSDIVIMNNGTDHVEKTNAQALRQLEEQLSLNDDSFTEIPPFYSEHEIPVAFAEPDDHKQPYDGYNGTKDCSGNRYRELLDHDFPGGHEKTLSWTEMLESSKSSFVNKLPEQHAYKEFENETPLSSFGREMIANQETSYRIHPNSNNDENSWFLLPQDTGGVQFSPYSSIETQGTNSDYYETLFDQSQIQEPRDAYSSLTVGQKQKFTITAVSPEYCYANEATKVIIVGSFLCLPSDSTWACMFGDVEVPTEIIQDGVICCEAPSHLLGKVALCITSGNKEPCSEIKEFEFRNKTNSCIHCNVLETEVAHSPEELLLLVRFAEMLLSASTIKDDSSESGGQFSTEQKADDDSWSHIIDALLVGNVTSSGTINCLLQELLKDKLRHWLSCRSNERDEDAGCSLSKKEQGIIHIVSGLGFEWALNPILSCGMNVNFRDINGWTALHWAARFGREKMVTSLIAAGASAGAVTDPSSQDPNGKTAASIAASNGHKGLAGYLAEVDLTSHLSSLTLEKCEVPKDSSELEAELTVSSVSKKNLEASDDEDSLKNTLGAVRNAAQAAARIQAAFRAHSFRKQMEREAASTTCLNGYVTGLGGIGGYVRSSRDYHSAALSIQKKYRGWKVRKEYLAFRQKVVTIQAHVRGYQTRRQYKLMIWAVGILDKVVLRWRRKRVGLRSSPQEIDSKEETDDEDFLKVFRQEKVHAAIQKALARVISMVSSVPARHQYNRMLGMRRRAEAEHGNTSDEMETRLSTSVDDAWNIDDAWNIEDDDLYQFPWAL >RHN80276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36741580:36744761:-1 gene:gene4179 transcript:rna4179 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAWILKMGNQVSANIKQALLLETSSTSRKHGPKRKENKKVETIGILSFEVANVMSKTIQLYKSLSESEITKLRNEILNSEGVRNLVSSEEGYLFELVRREKLEELNRVAGVVSRLGKKCSVPALQGFEHVYGDIVSGVIDVNELGFLVKHMEGMVRKMDRYVSATRSLYSKMGGLNELEQTVKKFQNNSQNEESRRGFEQRLVWQKQDVRQLKEISLWNQTFDKVVELLARTVCTLYARICMVFGDSTVRKDGLGIGNCEGSPLVQNECGSASSLINVEVNLSEKLKRSHSKKTGYNLSSIGGNGSSRSHIDMKRGELAYVQLEDFGFPCGTSPGRLFMECLSLSSSVSKFDDFDDVAIDREDHYSCVSSSQSPIGIGNIVKKKEHLCSTFGANSGLAVVYAPPSTLGGCALALHYANIIIVIEKVLSFPHLIGEEARDDLYKMLPTSLRLSLKAKLKTYVKNLAIYDAPLAHDWKATLDGILRWLAPLAHNMMKWQSERNFEQRGIVSRTNVLLFQTLYFADKIKTEEAICELLKGLNYICRYEQQQNALLGCASSFNLEDCMKWKLQCGDSLLD >RHN48059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44630687:44639976:-1 gene:gene42730 transcript:rna42730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mRNA (guanine-N(7)-)-methyltransferase MNYQMNGEDARRDLKRKLPQMDRGQERRPYNYNTNSTTYDRNALPPGWLGCPAHGQELGCIIPSKVPLGESFNDHIPNNKYTPKQAILQQRALGRELGLVIDLTNTTRYYPLSDWRKERIQHVKIRCQGRDSVPDDESVQKFCNEVLDFRSRRPNAEKYILVHCTHGHNRTGYMIVHFLVRTESISVTEAIHKFSQARPPGIYKQDYIDSLYMFFNERKPESLVCPQTPEWKSLPDPDFHGVSVSATDNYADILQEENIVRNEVMTNDDVLGDPIPSNQLRAMREVCYQLLKLGTGGKGLHFPGSHPVSLNRENLQLLRQRYYYATWKADGTRYMMLITGDGCYLIDRKFLFQRISMRFPCRYSKGGTPERNHHYTLLDGEMIIDTDPHTHKQERRYLIYDLIAINQVSLTQMPFYERWKLLEKEVIEPRNMEREALSKSASPYYIYDLEPFGVRRKGFWLLSTVSKLLHKFIPGLSHSSDGLVFQGWDDPYVPRTHEGLLKWKYPEMNSVDFHFEVGAGNRPLLFLFEHGKKKLMEGNTVIFKDAEDISFYSGRIIECYWDAAEHHWVCMRIRTDKATPNEFITYKKVMRSIKDNITEEVLLNEISEIIRLPLYADRIDRDIKAHQHMVSSRRK >RHN80052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34635995:34636660:-1 gene:gene3928 transcript:rna3928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSLPFFLNSDMEMLQQSPSEIPFESLGIHDCLTFDMVDFSSSLQTIINENEPKQSMLKSSEAKQVMQNIYKIDQKSYIGVRKRRWGKYAAEIRDTTRGGRRVWLGTFDSAEDAALAYDQAAFSMRGNNAFLNFSFESVKESLQEIQYDCRKGSSPALALKERHYNQRKLRSSSKSKKGKAGKFQESAEGSSSVLVLEDLGVEYLEQLLSMSDNQSTSLTNF >RHN63068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48094157:48095520:1 gene:gene25725 transcript:rna25725 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVLFRELHDKLPLTSFEVRFPSHLQMALSQFHPLSWALVTTFQYLSEY >RHN50671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9281722:9282189:-1 gene:gene34989 transcript:rna34989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNFMSVAEVTFWHYWVFLLLQEIRNPVERLRTKYNDHDNEDKLSDLHDENEDKLSDLADCVLLHILSFLNTKYAVQTCILSKRWKNIWKCLPSLIIRYSHFKNLRDFEYFIHWFLGTRDRSIALEVLDFCQENLDSYQISHLGWIVRYAFSHNVK >RHN48456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47617209:47622822:1 gene:gene43173 transcript:rna43173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain, acetyltransferase A, auxiliary subunit MLNLIGFSLLTMDSVPYRGLLQTFFILNYVLVCQLVIIQPFVSASGNAAELFEKASRSIKGKHYTEALNDLDAAIEADPNLSEAYLSQASVLRKLCRYEQSERSYKKFLELKPGHSIAEKELSQLLQAQSALQTAQSLYESANFTKSLEYIDKVVLVFSPACTKAKLLKVRLLIADKEYEGAIAESGFLLKEDENNLEALLLRGRAYYYLADHDVSTRHYQKGLRLDPEHSELKKAYFGLKNLLKKSKSAEDNASKGKLRVAVEEFKAALAVDPDHLAHNVHLHLGLCKVLVRLGRGKDALNSCSEALKIDEELIDALVQRGEAKLLTEDWEGAVEDLKSAAQKSPQDMNIREALMRAEKALKISKRKDYYKILEISKHASAAEIKRAYKKLALQWHPDKNVDKREEAEAKFREIAAAYEVLSDEDKRTRYDQGEDLEESGMGGGGGGGGFNPFGGGGQQFHFTFDGGFPGGGFPGGGGGGYEFHF >RHN66124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8762066:8763254:-1 gene:gene14043 transcript:rna14043 gene_biotype:protein_coding transcript_biotype:protein_coding MALISSFLSCFGHSLDSSSQVSDYDEKSSKLKSSSLEKQKSKEKSKGAPIVVYHFPANSYLSRL >RHN67736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29894320:29897928:-1 gene:gene15959 transcript:rna15959 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma interferon inducible lysosomal thiol reductase GILT MVSSSSNTFSLSLILFISFFSLFSPSQSHSKVSLELYYESLCPYSANFIVNYLPKIFKHDLLSIVDLKLVPWGNAKLRGNSTFDCQHGPYECLLNTVEACAIDIWPQLEKHFSFIYCVEDLAYQGKRTEWESCFEKLGLDSKLVNDCYRSERGNELELKYADETNALQPPHKYVPWVVVDGEPLYEDYENFLTYICKAYQGTDAPKSCTQASYIRVSTIREVEAKAKHSFCVMERVMPTWEKIRSTVASWMSQMNFLGEV >RHN55507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19103982:19106069:-1 gene:gene30698 transcript:rna30698 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAIWKEIDKKNKMIGLIIKWVSPSLIIFCFFMSLYIFLKETNGKNYKWRGSYLEVTLPSLQ >RHN69210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41831373:41833347:-1 gene:gene17650 transcript:rna17650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MLKSLTKILSLQSNYGIKQRREHDENIVALPVQLANCNVCVEENRHCNCDHTIAEDRNNTTTAEGVNVDVEHDSNADSSFAHAVINMVGMLIGLGQLSTPYAVEKGGWASTLLLVGLGVICAYTSHILGKCLEKNPKLTSYVDIGNQAFGSKGRFLVATFIYMEIFMSLVSYTISLHDNLIIVFLGTHLKLKLAILSTSQLLTLVAVLIALPSLWIRDLSSISFLSSLGILMSLLIFVCVSVTAIFGGFQANNNHSIPVFKLHNIPSISGLYVFGYGGHVVFPDLYKSMKDPSKFTKVSIVSFTIVTALYTSMGFMGAKMFGNDVKSQITLNMPPNQIITKIALWATVLTPMTKYALEFSPFSIQLEQTLPNSMSGRTKLVIRGCVASFLLLTILTLALSVPYFEYVLSLTGSLVSVAICLIFPCVFYMKIFWGKITRPLLVLNITLVIFGVLLGVIGTISSTELILRKIMSHHST >RHN44311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3453675:3453842:1 gene:gene38414 transcript:rna38414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-copalyl diphosphate synthase MQELVELVFQKSPNDIDFNIKNTFFTVARSFYYAAFCDSKTINFHIAKVLFDKVL >RHN55952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25949805:25957968:1 gene:gene31248 transcript:rna31248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative N-acyl-aliphatic-L-amino acid amidohydrolase MATTRQLHSILSLTLLTLLLSTTTHSEHENDTPISRFQRYLRINTAHPTPDYTSAISYLISQSNSIPSIYHKTFEFSPGKPLLLFTWPGSHPSLPSILLNSHLDSVPAEPSKWLHPPFSAVRDADGLIFARGAQDDKCIAMQYLEAIRSLNSKGFVPVRTVHISLVPDEEVGGFGGWAMFVESDEFEKMNVGFALDEGQASVGDEFRVFYADRIPWNLKIKATGQPGHGSRLYDDSAMENLMKSVEVVSRFRESQFDVVKAGKALNSEVVSVNPVYVKAGVPTHDGFVMNVQPSEAEAGFDLRLTPTTDPDEMKRRIAAEWAPSVRNMSFEIIEKGPLRDCLGHPLMTATNDSNPWWLVFKQAIASAGEKLSRPEILASTTDARYIRQKGIPVLGFSPMKNTPILLHDHNEHLRDTVYMKGIQVYESLISSLSSFLEASH >RHN38401.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000028.1:21821:23063:-1 gene:gene50787 transcript:rna50787 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRGGSFVAVRRISQGLDRGNAYHSSSAEFVTGSTAWIGRGLSCVCAQRRESDARLSLI >RHN60195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23179665:23181401:-1 gene:gene22449 transcript:rna22449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MARTPSCDKKSGMRKGTWTAEEDRKLIAYVTRYGCWNWRQLPKFAGLSRCGKSCRLRWLNYLRPNIKRGNFTQEEEELIIRMHKNLGNRWSTIAAELPGRTDNEVKNYWHTSLKKRVEHNQITNIEETKSKDIESTQDRDISFLQVTPPASSQTLDITGPFSPLSSSSEFSSTDYSDHSTTYVDDFGFLDGFIDYVGEKSWDEHSNTPTEIVQNNTNRDFESFLDAYKESTIDSFWTQPYEADMSHVSSQLLAPLPMEPEYFSIVYDDDIWN >RHN48041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44477177:44481320:1 gene:gene42711 transcript:rna42711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ-MATH family MGKILRETAKPSSNPSSPSSSSEPATTSSTSITETVKGSHQFKITGYSLSKGIGIGKYIASDIFSVGGYDWAIYFYPDGKSVEDNATYVSLFIALASDGTDVRALFELTLLDQSGKERHKVHSHFERTLESGPYTLKYRGSMWGYKRFFKRTALETSDYLKDDCLSVNCSVGVVRSRTEGPKIYSIAIPPSNIGHQFGQLLENGKGSDVSFEVDGEVFTAHKLVLAARSPVFRAQLFGPMRDQSTQSIKVEDMEAPVFKALLHFMYWDSLPDMQELTGMNTKWATTLMAQHLLAAADRYALERLRLICEASLCEDVAINTVATTLALAEQHHCFQLKAVCLKFIATSENLRAVMQTDGFEYLKESCPSVLTELLEYVARFTEHSDFLCKHRNEAILDGSDINGRRVKQRL >RHN53904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5216482:5218213:-1 gene:gene28869 transcript:rna28869 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLVENAFDYIRKRKKWTLILIGIGFSSYVSYRAYHAPFIARKRNKISKLLNTMVSVAEAVSESADTIGIVTKDVKNFLQSDSDHVPNSLNQIAKLSRSKQFSDSLVSVTRAVTVGVVNGYQSMNRVDENQSGSNVADQVFDKLFTPAGSGFASVVVGSFARNIVLGFYSDAGVKFSGGSDSSSGESGCSDSNAPGVPKWVDVVCSDKCGELIGNFVQLFVSTLVAVYLDKTMHINTYDEFFSGLTNPKHETRVREMLVDVCNGAIESLVKTSHEVFNTSNPNDSSGSGSYFDAGETLSSVETSCVESERDVCDEEDKGGWVSKVSSTLAIPSNRRLVLDVTGRVTFETVRSFMEFILQTLCGSVRRCAHNVHKEVVEIMRYAAAKFSVIVAICLVLCLHIMDCNWALVPA >RHN54307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8500762:8507302:-1 gene:gene29318 transcript:rna29318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-mannose 4,6-dehydratase MADSSPKTPTPSTTNGDTTPPPRKVALITGITGQDGSYLTEFLLNKGYSVHGLIRRSSNFNTQRIDHIYVDPHDAHKAHMKLHYADLSDASALRRWLDTILPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHIDATGRSHIRYYQAGSSEMFGSTPPPQSETTPFHPRSPYAASKVAAHWYTVNYREAYGIFACNGILFNHESPRRGENFVTRKITRVVGRIKIGLQSKLFLGNLSASRDWGFAGDYVEAMWLMLQQEKADDYVVATEDSHTVEEFLEVAFGYVGLNWKDHVVIDKRYFRPTEVDNLKGDASKAKKVLGWKPKVSFEELVRMMVDNDVEMAKKEKVLVDAGGFQLFRYGRDFVIRRLQP >RHN64809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62066270:62067257:-1 gene:gene27671 transcript:rna27671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MPPHHHHGDPEQPKPNPNLLSLFLKPIIMLLLTSLFFLFLGFAAFLLLNLFLLAGALHRLRFRPTPTRRLQSLTDAFLPREINNLPNFRITKGSVSEPDSRCAVCLDGFRNGQWCRNLAACGHVFHRRCIDTWLLKVATCPTCRTPVRSNPQTSQGSVVEQDEEGSSRFWNCSNNNNGFTLL >RHN46932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35861377:35865078:-1 gene:gene41469 transcript:rna41469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I12, Bowman-Birk MMKLALLVFLLGFTSTVVDARFDPTSFITQVISNGEAAYDVKSTTTGCCNSCQCTRSFPQACVCTDVREKCNSPGCLDCVCTKSIPPQCRCHDVTTFCYQCD >RHN43693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47755326:47758014:1 gene:gene50308 transcript:rna50308 gene_biotype:protein_coding transcript_biotype:protein_coding MILSLVLIISFGFCHFVKCACLKLYHFFPLVAEPIMHFSMCIYIYI >RHN71447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:233891:239439:1 gene:gene7051 transcript:rna7051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif domain, regulator of nonsense-mediated decay, UPF3 MSDRTKVVIRHLPPTITQDSLLPLIDSSFAGRYNWFSFHPPKITSHNHTSRAYIDFNTPDDVIDFAHFFNGHLFLNQKGTHFKVTVEYAPSQRVPNHSSKKPEDARDGTIFKDPDYLQFLQQIAKPVENLPSAEIQLDKREAVRKDIPIVTPLMDFVRHKRATKNGPRQQQHRSLSNGKVTRRSLTTSNGSSTSAPSRRGYTKNRLSTTMYVARDPGKSSTVQDKSTYILVPRQGDQNPSNKSSNTASSDGNQTFDENGIAGSNDSGKKKLLLLKGNERETITVSDSDSMSQHHTSSTKTILSSTALKQNQRHEGRGRIIKSILTNKDFRQSQSSRAHSERQIQTSNLEREKQSTRPVHVQLILKGTDGAPENRITVHGLHVSSERQERRFRHKDRPDRGVWTSRSNGGGESLSSSASSQVDPLEGGHTELKHDTPSARSGEVKSLGSFRASHSSENGFSKHFGRRGPIYGVKDVDGYSILSEGKHPRKSSTSAYGSNEKQVWVQKASSGT >RHN80081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34889348:34889758:-1 gene:gene3960 transcript:rna3960 gene_biotype:protein_coding transcript_biotype:protein_coding METKHKSAQNVKPLMKAFESKEIAKWKARYEDEKKKTMLISLIVFGWFVKN >RHN47235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38200452:38203422:1 gene:gene41808 transcript:rna41808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MAPSKSKVKHVLLFLSFYILQMTIGDDGTFMSKLAKSLSPTPSGWSISSNFCTWNGVKCDQAHRVTSIDLSSKSLNGTLPSDLNSLSQLTSLFLQSNSLSGALPSLANLALLQTVSLGQNNFLSVPVGCFKGLTDLQTLSMSFNNDLAPWTFPTDLAESSSLVSLDLGGTNLEGSLPDIFDSLVNLQELRLSYNNLTGDLPKSFSVSGIKNMWLNNQNDMFGFTGSIDVLASMTHAAQVWLMKNKFTGEIPDLSKCTNLFDLQLRDNQLTGVVPPSLMVLSSLRNVTLDNNQLQGPFPSFGKGVRFIPNEPDFNSFCRNTSGPCDPRVTNMLHIAGDFRYPLKLASSWKGNNPCQNWRFVVCSGEKIITVNLAKQKLKGIISPAFANLTDLRNLYLGDNNLIGSIPESLTSLAHLQILDVSNNNLSGEVPKFSSMLRFDSTGNVLLGLGSSSQKSTSSLLLLAWILGASFGVGAVLFIAMIVCKREGYLSLVQTRIFKKTRISIDQDHIEDFIKRYNLSVPKRYSYAEVKRFTNSFRDKLGQGGYGVVYKASLPDGRHVAVKVISECKGDGEEFINEVASISKTSHVNIVSLLGFCYEKNKSALIYEFMSNGSLDKFIYKSGFPNAICDLDWNTMFHIAISIARGLEYLHQGCISRILHLDIKPQNILLDEDFCPKISDFGLAKICQKKESVVSLLGTRGTIGFIAPEVFSRAFGGVSSKSDVYSYGMLTLEITGERKSRDTRGSDMTEMYFPDWIYKDLEQGNTLSNNLTISEEENDIVKKITMVSLWCIQTNPSERPSMSKVIEMLQGPLHSIPYPPKPVLFSPERFSLQMLDTSSSNLYDSNSIIIEKE >RHN45503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21631721:21631978:-1 gene:gene39854 transcript:rna39854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MLVILSQDSPCDPCMVHCCLHWCAICQEHREMKNHLSSDNTNTDGTITNPPPVQEIKSDLNNESTSSASSSTKDHEHNNLEIQPV >RHN57873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42304701:42305898:-1 gene:gene33463 transcript:rna33463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-alcohol O-fatty-acyltransferase MVTYILRHTVYIPVRKLMSKTILGPQCTQSVGIIATFLVSGLMHELLFYHVTHVTPTWEVTCFFMLHGVCVVVEIGVKKWLDHKWRVHWAISGPTTVVFVVSTAAWLFFPPLLRDGADQRTIEEFKFFDCLVGMF >RHN48655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49268128:49271900:-1 gene:gene43395 transcript:rna43395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MNGLVNNGNGGVKAVLDKAEKLIIDTDPGIDDSMAILMAFHCPEVEVIGLTTVFGNAQTEDATRNALLLCEIAGRQNVPVAEGSTEPLKGGRPRVADFVHGKDGLGNLFLPDPKTNKIDKSASEFLVEKVSESPGEVTVLALGPLTNIALAIKRDSSFASKVKRIVVLGGAFFALGNVNPAAEANIYGDPEAADVVFTSGADVVVVGINITTQVQLTDADLLELKESKGKHASLLSDMCKFYRDWHVKSDGVYGIFLHDPVSFVAVVRPDLFTYKKGVVRVETQGLCVGHTLLDQGLKRWNSSNPWTGYSPISVAWTVNVEGVLSYIKELLMKP >RHN61330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34858372:34870764:1 gene:gene23783 transcript:rna23783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-XI-1 family MKSITCYLLLLCMLFTTCYSLNNDLDALLKLKKSMKGEKAKDDALKDWKFSTSASGHCSFSGVKCDGEQRVIALNVTQVPLFGHLSKEIGELNMLESLTITMDNLTGELPTELSKLTSLRILNISHNLFSGNFPGNITFGMKKLEALDAYDNNFEGPLPEEIVSLMKLKYLSFAGNFFSGTIPESYSEFQKLEILRLNYNSLTGKIPKSLSKLKKLKELCLGYDNAYAGGIPPEFGSIKSLRYLDISNSNLTGEIPPSLGNLENLDYLFLQMNYLTGKIPPELSSMRSLMMLDLSINELSGEIPETFSKLKHLTLINFFQNKLCGSIPAFVGDLPNLETLQVWDNNFSSVLPQNLGSNGKFIYFDVTKNHLTGLIPPELCKSKKLKTFIVSDNFLSGPIPNGIGACKSLEKIRVANNYLDGLVPPGIFQLPSVTMMELRNNRFNGQLPSEISGNSLGILALSNNLFTGRISASMKNLRSLQTLLLDANQFVGEIPTEVFALPVLTRINISGNNLTGGIPKTVTQCSTLTAVDFSLNMLTGEVPKGMKNLKVLNILNVSHNSISGQIPNDIRFMMSLTTLDLSYNNFTGIVPTGGQFLVFNDRSFAGNPSLCFPHQSTCSSLLYPSRKSHAKEKVIVIAIVFATVVLMVIVTLYMIRKRKRHMAKAWKLTAVSRGGCLMVEIGREWWIYGEIYVQEVGGEILEAMKVLSWRWTLSRLKISACLFYEWSWNPKGCLMRQGSGFTCAASTNFEAAVCEFLCYQVLIGLVAFSCSCYGLIVSCFFVLFSAFCGTECESGVVPKREIKYTQCKHCKPIHRYKTEQKRKMKNITCYLLLLCMLFTTCYSLNNDLDALLKLKKSMKGEKAKDDALKDWKFSTSASAHCSFSGVKCDEDQRVIALNVTQVPLFGHLSKEIGELNMLESLTITMDNLTGELPTELSKLTSLRILNISHNLFSGNFPGNITFGMKKLEALDAYDNNFEGPLPEEIVSLMKLKYLSFAGNFFSGTIPESYSEFQKLEILRLNYNSLTGKIPKSLSKLKMLKELQLGYENAYSGGIPPELGSIKSLRYLEISNANLTGEIPPSLGNLENLDSLFLQMNNLTGTIPPELSSMRSLMSLDLSINGLSGEIPETFSKLKNLTLINFFQNKLRGSIPAFIGDLPNLETLQVWENNFSFVLPQNLGSNGKFIYFDVTKNHLTGLIPPELCKSKKLKTFIVTDNFFRGPIPNGIGPCKSLEKIRVANNYLDGPVPPGIFQLPSVQIIELGNNRFNGQLPTEISGNSLGNLALSNNLFTGRIPASMKNLRSLQTLLLDANQFLGEIPAEVFALPVLTRINISGNNLTGGIPKTVTQCSSLTAVDFSRNMLTGEVPKGMKNLKVLSIFNVSHNSISGKIPDEIRFMTSLTTLDLSYNNFTGIVPTGGQFLVFNDRSFAGNPSLCFPHQTTCSSLLYRSRKSHAKEKAVVIAIVFATAVLMVIVTLHMMRKRKRHMAKAWKLTAFQKLEFRAEEVVECLKEENIIGKGGAGIVYRGSMANGTDVAIKRLVGQGSGRNDYGFKAEIETLGRIRHRNIMRLLGYVSNKDTNLLLYEYMPNGSLGEWLHGAKGCHLSWEMRYKIAVEAAKGLCYLHHDCSPLIIHRDVKSNNILLDADFEAHVADFGLAKFLYDPGASQSMSSIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELIIGRKPVGEFGDGVDIVGWINKTELELYQPSDKALVSAVVDPRLNGYPLTSVIYMFNIAMMCVKEMGPARPTMREVVHMLTNPPHSTSHNLINL >RHN50901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11654737:11655135:-1 gene:gene35253 transcript:rna35253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II MVSYGGTVLFLWFGTVYCSFLAPILLWGGWFTSTAFITSWYAHGLTSFYLKGCKVLTTAISTPTNSLANSLYGYFTRWCQFGGLYTFCCSHGAFGLIRFILCQFKLVRSVQLRPYNAITFCPIQLLFLFMYS >RHN58764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4603161:4605035:-1 gene:gene20706 transcript:rna20706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADP-dependent oxidoreductase domain-containing protein MDSTSVYFFFVFDSKIQNRLIGRAFFDLLQIHWPDRYVALFGEYSYDPSKWRPSVPFVEQLQAFQELINEGKLTDS >RHN39121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4249352:4250314:1 gene:gene45117 transcript:rna45117 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVPIITKRVWSMIRVALFMLRKGISKGKLMMDLNMMVKRRSKLAGKAITNLMFHHHHGGSTSSRRNDTRLSTTREYEFSCSNTPNYKFALNNKRHNFFTCAHAPLTKEDDIVTVNAVKAVLESMVNNNEVIVEASPALPGFGRTPKARQLRVTDSPFPLHDTDADAEVDKAADAFIRRFYSQLRKQD >RHN47236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38212380:38213694:1 gene:gene41809 transcript:rna41809 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRLSLTAKFPIILTRFNTMLHMLLLKKCEKLSLHFQQLCPGDRMGNLFGERN >RHN68802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38707190:38710280:1 gene:gene17205 transcript:rna17205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spermidine synthase MGSIVHPSGVTSNDETGFSTPKITAAEGNVGESRSDEHPQIPGWFAEHCPIWPGEAHFLKVENICFQGKSEFQDMLVFETSTYGKVFVLDGALQLTEKDECSYQEMMTHLPLCSIPNPKKVLLFGGGDGGILREISRHSSVEQIDICEIDTMLIDVYKKYFPDIAIGYKDPRVKLHVIDGTIFLNSVPKGTYDAIIVDAFDPVRPDHELFETQFFELISKALRPGGVLCIQAESFWFKSLDIEELLIKSRKIFKGSSDYAWTNVPTYPRQVNIGVIGFLLCSTEGPYVDFRNPINPIGPENYGISKHPLKYYNSEVHSASFCLPSFAKRTEANKSTAKKI >RHN74620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35232268:35236128:-1 gene:gene10721 transcript:rna10721 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MTNLFGIHEAATLLDESNLVKYDANSGCFQVTDFGRIASYHNVAHRTISMYDNSLKPTMGYEELCQLFSLSEELKHVTVKEDEKLQLEELFNHVHIPIKESLEEPTAKVNVLLQAYISQTKLEGLSMTSDMVFITQSAGRLLWALFEIVLKRGWAQLAEKALNLCKMVTKRMWSVQTPLCQFNVIPSHILTELKKKDLTWEKYFNLSAQEVGELIRAPTMGRKLHKLIRQFPKLNLVAHVRPTTSAVLGVELTITPDFAWDDIMHGYVEPFWVIMEDKDGAQILHHEYFLLKKQHIKEDHTLNFTVSIDKCLPPQYSIRVVSDKWLGSQTVLPVSCSHLILPENYPPTELLDLQPLPVTALRNPSYEALYQDFKHFNPVQTQVFTVLYNSDDNVLVATPTGSGKTICAEFAILRNHQINTNNDMLVVYLTPNETLAKQQYLDWDKKFGNGLKLKVVELSGDPQIDLELLREGQIIVSTPERWDALNRSRKAMNVAMSVSLFIIDQLHLIGEQGGHVIEGTVSRMKSHDIGINYFIKSYSKVRLVGLSTSVSNAKDLGEWIGATSHGFFNFPLGKSVEIQTQGVDVANFEARMQAMTKPTYIAITQLVKNEQTSIVFVPSRKYVRLVAVDLIKYKGADGDKRSFLLNPLAELVPFINKISDEMLKTTLREGVGFLHEGLNGSDRDIVTQSFKSGLIQVCIITSSICREVKLSTPLVIVMGTQYYDGPENSQTNYPVADLLQMVQPVSSPLVNGHGKCIILCHTPREEYYKALLCGTYPVESVLPHFLHDSILVGVAGKFIFFKKDVVENYLANTFLYKRLTRNPEFYGCQDLAVRMSDFGKNTIADLQENKCVLLGDDRIYCTDQGEKTIKFYITYKTMAMFSASLTQTTDMGGLLDIVSKAPDFDALPIRFGIDEEEEVCRLLSNQRFPYENSKFKDTHAIANVLLQAHLSRTSVGVNLAFCQKYVLSFAHKLLQAIIAIASKKRWLGPTVLAITFNQMLIQGTWETDSVLLQVPHVTKQLAIKCQKRNISSLDDLKKMEHGKLCEIFNMSDSRLCEISQFFSHYFIPVLVYKVEHALESPEKIKVKIFFEIKKDEEFVHAPLFPTTQRKTWWLIVQRSDDCSFDMGSIEAAGSENGYMLKFTVPNRPGRTTLVIRFMCNSYRGFDRFYYLNVDVEDGKVMIREAIKSKEDKND >RHN51075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13674589:13675799:1 gene:gene35449 transcript:rna35449 gene_biotype:protein_coding transcript_biotype:protein_coding MPLITSKKIGKMYYLFVVVSFLAIITLTVRIFDIRRQKPIKIPEIPHIQPPKIFRPRHQCPKMLFLVLFHYIYIYIYIYIYIYIYIYIYIKKR >RHN41925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34120541:34121136:-1 gene:gene48300 transcript:rna48300 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRDDEGRFVLVRTLLVTTYLLHGNWWSLGIASCHQLSA >RHN55933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25715716:25720884:1 gene:gene31227 transcript:rna31227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-exporting ATPase MEKIEERRGNGRKKREREKREHRACGLFVSVLLLLRLLSITPTDQLLSIIILRWAREVLTEVHFLPFNPVDKCSSLTYIDTDGNWHRVSKGAPEEIIDLCNVREDVRRRAISIIDKFAERSLSSLAVGPSHGSGLTWHMRIKISLDTTSNVSRTVVAENLPDDHSHQNLQKIFAIVESVKTIRICHPQEPNSSRPKGDFLISNKVQKICHIPYYYVVLAVKGDASLE >RHN65259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:632599:641134:1 gene:gene13079 transcript:rna13079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MASLTDRFKYDVFISFRGEDTRYGFTGYLKKALDDKGVRTFMDDDELRKGEEITPSLLKAIEDSMMAIIVLSKNYASSSFCLQEFSKILDSMMKDTGRFVLPVFYKVDPSDVRKLKNTYGKAMAKHKTSFDMDKWKLSLHQVANLCGFHYKGDAYEYEFIEKIVEQVLRNIKPVSLLVGEYLVGLEHQKQHVTSLLNIGSDDTIHMVGILGIGGIGKTTLALEVYNSIVHQFQCSCFVEKVRENSDKNGLIHLQKILLSQIVGEKNTEITSVGQGILILQKRLQQKKVLLLLDDVDKEEQLKAIAGSSDWFGPGSRVIITTRDKRLLKCGGVKRIYEVKGLKDEDAFDLVGWKALKNDYSPRYKDVLLEQKYGRELDVNELRRLKDLKNDKGFSGYANVLKRVVAYASGHPLALEVMGSHFSNKTIEQCEDALDRYERVPHNKIQMTLQLSFDALQKEEKFVFLDIACCFKGWKLTRVEEILHAHYGDIMKDHINVLVEKSLIKISDSGNVTLHDLVEDMGKEIVRQESPEDPGKRSRLWSSKDIIQVLQENTGTSKIEIIHFGCWIKVQWDGQAFLKMENLKTLIFSDDVSFSTNPKHLPNSLRVLECRNRNCKYPSSDFHFFICNRNINRKHPSSNPFEWKAFLTKKFQNMRVLSLDNSNLLAQIPDISGLPNLEEFSVQKCWELTTIDKSVGFLRKLKILRFIDCTKIQSVPPLNLASLEELDLSRCYSLESFPLLVNGFFGELKILRIIKCTKIKIIPSLMLPSLEELDLSDCTNLENFLPVEDGFGDKLKTMSVRRCIKLRSIPPLKLDLLETLNLSCCYSLESFPLVMDGFLGKLKTLLVNSCIKLRSIPPLKLDLLEKLDLSYCGALECFPLVVDGFLGKLKTLLVKSCHNLKSIPPLKLDALETLDLSCCYSLESFPLIVDGFLGKLKTLLVTSCGNLRSIPPLKLDSLEKFDLSYCGSLESFPLVVDGFLGKLETLLAENCHNLRSIPPLKLDSLETFDLSCCYSLESFPLVVDGFLGKLKTLLVTSCHNLKSIPPLKLDSLETLDLSCCYWLESFPLVVDGFLGKLKTLRVTSCHNLRSIPPLKLDLLEELDLSNCYRLENFPLVVHGFLGKLKTLLVTSCHNLRSIPPLQLDSLEKLDLSNCYRLESFPLVVDGFLGKLKTLLVTSCHNLRSIPPLNLDSLEKLDLSCCCSLESFPLVVDGLLDKLKFLNIECCIMLRNIPRLRLTLLEHFNLSCCYSLESFPEILGEMRNVPGVLLDETPIKELPFPFQNLTQPQTLCNCGYVYLPNRMSRLAEFTIQNEEKVNAMQSSHVKHICVRNCKLSDEYLSKSLMLFANVKELHLTKNQFTVLPKSIEKCHLLWRLVLDDCEELLEIEGIPPCLKSLSAINCKSLTSSCKSKLLNQELHEAGNTWFHLPQAKIPEWFNHQCLAGLSISFWFRNKFPAITLCVVSPLTCYGSQHRVKATINGNTFFYTHGSKIGTASHKDTYHLHLFHMQMKYFNDNMDKALLENKWNHAEVDFGFPFMYSGIHVLEEKSNMKDIRFSNPEIDANIVLHPGC >RHN81701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48258221:48258835:1 gene:gene5782 transcript:rna5782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MWKGVDQDFKNADKFLKTIDLSSNHLTGEIPSEVQYLIGLISLNLSRNNLSGEIISNIGNFKLLEFLDLSRNCLSGRIPSSIARIDRLAMLDLSNNQLCGNIPIGTQLQSFNASSFEGNSNLCGEPLDRKCPEEDPSKHQVPTTDAGDDDNSIFLEALYMSMGIGFFTGFVGLVGSMLLLPSWRETYSRFLNTLILKVIMWWKQ >RHN72827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11066727:11067164:1 gene:gene8588 transcript:rna8588 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAIKSVEEVDKITLRVKVDKESNKVLYAEAVKDFVDVLFSFLTLPLGTIARLVATESNIEAVQFGSISSLYQSVKDLDQQYLWNQTCKKMLLKPRNSTETYCQKLNLNIDDTEPLQHFVCKYRNCIRKETGNCLIIFRKPQH >RHN55007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14326154:14326408:1 gene:gene30123 transcript:rna30123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MWIQAHELFGTIIYISLNSKANALEYSILVATTALDPALLQFLAPYSGCAMGEYFHDNGMHTLIIYDDLSKQAVVAYRQMSLLL >RHN48534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48253173:48254957:-1 gene:gene43263 transcript:rna43263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heparan-alpha-glucosaminide N-acetyltransferase MAFLIYMITTFALYVPNWSFVDHVNNDEPKRYTVICGMRGHLGPACNAVGYVDRQTWGVNHLYSQPVWRRLKACTFSSPSEGPFRDDAPSWCLAPFEPEGLLSSISAILSGTIGIHYGHVLIHFKSHSERLKQWFSMGFVLLVVAIILHFTDAIPINKQLYSFSYVCFTAGAAGIIFSILYILIDVWGIRTPFLFLEWIGMNAMLVYVLAAEGIFAAFVNGWYYEDPEKSLVHWIKKHVFINVWNSERVGTLLYVIFAEITFWGVVAGVLHKLKIYWKL >RHN44896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9558155:9559799:1 gene:gene39073 transcript:rna39073 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLILLLILPFFTQFLEVEPARPKVLGKPRFPNSQISVMGFVYCDFCSNNSFSRHSYFLPGAEVKVDCMFKAISAKTTEQITLSVNRTTNKYGMYRIEVPSVDGVRCAEGTEVMSSCQANLIGSSTTACNVPGYKSTANVISVKARKTNLCIYSLNALNFRPSKKDTGLCGN >RHN80721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40432023:40432776:-1 gene:gene4680 transcript:rna4680 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPPVGTLFFKWSSTLHTSQTEKNKKFAYSLMDSVGRLLDNENWIKKLVISILKVTFGPYKVTSIVSSVLSRNVNCLQLQNDDLKIFDTRVTFPSWIPTSHSLTKLVLRLGFLLVVRDDILLPSLKKLQLSFVRLANEKPAQNLFNGCPVLEETTIYKCCWRFINNMWIGISNMLIRAHYYVI >RHN81436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45876529:45877593:1 gene:gene5477 transcript:rna5477 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGRNVLGPLLFLNLVMYFIVLGFASWCLNRFINGQTYHPSFGGNGATMFFLTFSILAAVLGIVSKFMGANHLRFWRSDSLASAGATSVIAWAVTALAMGLACKQIHIGGHRGWRLKMVEAFIIILTFTQLLCLLLTHAGLYNSRYGPGYRDTDYGVGGGATGDPMHKGGPVGGTRV >RHN70069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48432674:48435072:1 gene:gene18612 transcript:rna18612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, CRAL/TRIO domain-containing protein METVNPEPGRNSALDSKHELAKEETKGKILVQDDGALNDSTEAELTKINLMRTLVESRDPSSKEVDDLMIRRFLRARDLDVDKASAMFLKYMKWRKSFVPSGSVSPSEIADDLAQEKIYVQGLDKKGRPIIVAFAAKHFQNKNGLDAFKRYVVFALEKLISRMPPGEEKFVSIADIKGWGYANSDIRGYLGALTILQDYYPERLGKLFIVHAPYMFMKVWKIIYPFIDDNTKKKIVFVENKKLKATLLEEIDESQLPEIYGGKLPLVPIQDS >RHN48448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47528136:47540894:1 gene:gene43164 transcript:rna43164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydrofolate synthase MLLHFKAFLTSSFSQRGILKQMRSVRTLCSHREDSEMKDLVDYIDSLKNYEKYGVPTGAGTDSNDGFDLGRMRRLLDRFGNPHSKFKVILPHIQTIRERILGRSGDPVSAKLLNNLFHRIKQDLDQAIKEENGCISHFEVFTAMAFILFADEKVDIAVIEAGLGGARDATNIISSSGLAAAVITTVGEEHLAALGGSLESIAMAKAGIIKQGCPLVLGGPFLPHIEYIIREKAVNMDSPVVSASDSGNNLAVKSFSILNGKPCQICDIEIQTVKDLKLSCKLHDLKLQMPGAHQLQNAATATCVTLCLRNLGWRISDESIRCGLERTYLLGRSQLLKSEEAKALGLSGATILLDLMKPTPKNLPKH >RHN63339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50496080:50499872:-1 gene:gene26026 transcript:rna26026 gene_biotype:protein_coding transcript_biotype:protein_coding MASNICKPSRRDNSLIIKPTKYTSKPTLLLKDYLRDDLSSCSSSGFKSFPRRQCCTTVGFQHKKNNGTSLPRRRVRALQRASEAVITAIKSLSSQKSAKTKKTSTGVLSRSFSRKLLSRRFWRKAVKEEGSEGVLRCKRSFRELLMQERDYKPTSFSEDAIFSAKRFTTVSSDCCSNSWGESEFTFSSNATSSDGSNENDLVDGVKDGASHHHKIEGVTTGDWSNEKEQFSPVSILDCPFEDEEEMKSNYMINSFFEGAEHKHMQKTPHFENISSMEPLVLENRIKCLELEDEPQNHSTKQCSEFAPVIGNNNEEDAHDLFNFVKRSVPSNDLMINAENLLFDYIEQSIEENNVNVNCSKKLNFCKVVEDWIQGQPQELYLGWEVKEGRHVYISEMEKCGEWKNTDQETEQLVLDLENEVLTSLVNEIILDLVK >RHN56630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32391575:32393531:1 gene:gene32062 transcript:rna32062 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKTQLELQASSKKRTKNSDEDDEPVLNVNSKKRLAPQKTLNKLINKTALEPEASSVMKNLPEDDHDHKTVLNVVDSNKLKTDDGNKGIETSKTPLKSEDDEPWNPSKPLPEGFVPWLEHDHDKCIAEQLGIDLDAPIPAEYADYFYNQATPSPEGSFNLDYSDSELAKIDPLDFPREPTPPMRFRSICSSSSSSSDGDPMDLGSDNDSDGSKERKREEAYEKRLEFKSRSDVKTAKWLKKLADDKARRKPTI >RHN49805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1230117:1231265:1 gene:gene34026 transcript:rna34026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MELEEDHLSCLPKIILHCILSKLSEKDGARTSVLSKAWLVTWYTFPILYFHSNQIIGMSPPPMEDSVRKRKILEFGNYVKRRMLMFRDQSLPIKEFKIDLNGFVPKYMSKDVDIWLKLACECGVEVIEYSQWVNQDQYYYHALPRCEALDLVALQVSSSPPRIKHLVINYVPLKEYLFSSHVIILLASCCPATISFSCAKAFIKFFYETLMRKKKNDCFCSSDDTKCWWHDLKDVKVTSTMKIDENVDFKTPLWNRWKLVYKFLYLKKV >RHN63216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49432984:49437051:1 gene:gene25892 transcript:rna25892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminocyclopropanecarboxylate oxidase MAGTATLSLPIIDLSSPDRISTAKSIHQACVEYGFFYLVNHGVDEDFIKQAFDQSANFFSLPIEDKIKLNRKEYRGYTPLYAEKLDPSSLSKGDPKESYYIGPLTDTTSVRLNQWPSNELLPNWRPTMESLFWKILSAGKELLSLIALSLNLDENYFEKISALNKPEAFLRLLRYPGELGPNEEICGASAHSDYGMITLLLTNGVPGLQICKEKLKQPQVWEDVSHVEGAIIVNIGDMMERWTNCLYRSTLHRVMPTGKERYSVAFFMDPPSDCVVECFESCCSESSPPRFPPIRSGDYLNQRFKLTYDSERELKCSFQSKS >RHN55109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15213174:15215849:-1 gene:gene30238 transcript:rna30238 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDSEICPSLVNKISNVLFITETIAGKIFYVLYFTGASYMIIDMIKHTDFSECLYDLGMCAKELIIPSDTPDPYDILSHLDSEEFWDSLLTWHRNDKAKLKASPPPLPDLKLQGLKDIVETLPCALDSSAANSTMPMDKPEQQQKTPLLRGALISRRGMIIS >RHN64061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56272274:56277303:-1 gene:gene26839 transcript:rna26839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MRNSCREKRRTVKKKEEKTAPYLPLELIIQILLWLPVKSLLRFKCVCKSWFSLISDTHFANSHFQITAKHSRRVLFMLNHVPTTLSLDFEALHCDNAVSEIPNPIPNFVEPPCDSLDTNSSSCRGFIFLHNDPDLFIWNPSTRVYKQIPLSPNDSNSFHCLYGFGYDQLRDDYLVVSVTCQELMDYPCLRFFSLRDNTWKELEAAHSPYVLYASDNIVGSLFNGAIHWLVVRGDIKRQVIIAFDLMDRKLLEMPFPDGFHHTTDNCNLWVFGEFLSLWAVDWANERVEIWVMNEYKVHSSWTKTLVLPIDGIYTLSFYPICSTKNGDIVGTDGDIKLLKYNDRGQLLEHGSFWDGPLPFGSQVTVYTESLLSLPGDNVQA >RHN58536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2621142:2621834:-1 gene:gene20458 transcript:rna20458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MEATTDACPYEIWECIFKLINGDNRTLEALSVVSKQFLYIINHVRCVVTISEQTIPFIPRLFQRFPHLTSLNLSLTSQEVDLDALLCQISTFSLDIKSLYISNPNYDIPEHGLRALSKKMKNLTSLTCSTMGFLRKDDIFLIADCFPLLEELNLTWVKNDCETLVKGDDDEDYRGHLLALPKLRKINLHGTVLDQQSVNYLCQNCELLQEVNVITCTFFRKKKGQGRHIY >RHN75488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42647856:42648092:-1 gene:gene11706 transcript:rna11706 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLVFWARHWKNRGTMGSHRGTMVRWRIKIKHIFGSRFVTVFVTDLLQILGRFVIDFKQDFYYKYRPCIKANLESRD >RHN55146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15521956:15522543:-1 gene:gene30281 transcript:rna30281 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPSRRGLLKRCEKEVQKRVSHDCEEIVDLTKYLSDLRWGVRETVDLTKYLSELRWGVRREIVDLTKYLSDLRWGARETVDLTKYLSELRWGVRHEIVDLTKYLSDLRWGVRETVDLTKYLSELRWGVRREMVDLTKYLSGRGWRVKKLRGSNKGSRCESPIVRRVRRKWNMDQFCYMIDKDQDNIKVFVVEFI >RHN76002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46907495:46911889:1 gene:gene12272 transcript:rna12272 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSYQLSVDKCHPSQSFERCYLQTNTNISDSRKNAETSRSDEIIGQQWKHANKIMLS >RHN61358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35118958:35125122:-1 gene:gene23816 transcript:rna23816 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MAQKLSLHFNHTLHTSLHLNRHLHLHLLPPSLLRKNTTIHPTSQCIITSSSQNRRFEFLTACSVQNYDVADESEEKDQISEVSSKEQAQEEEVKELVEQSIWIQMKEIVLFTGPAIGLWLCGPLMSLIDTAVVGQGSSIELAALGPATVFCDYLGYSFMFLSIATSNMVATALAKQDREEVQHHISVLLFIGLACGSAMLFFTRLLGAATLAAFTGPKNVHLVPAANTYVQIRGLAWPCLLIGSIAQSASLGMKDSWGPLKALAAASIINGIGDIILCRYLNYGIAGAAWATLASQVVAAYMMSKALNEKGYNAFSFTIPSGKEFLSIFSLAAPVFVTLMLKVAFYSLIIYFATSMGTNKIAAHQVMLQIYMLCAICGEPLSQTAQSFMPELMYGVNRSLAKARSLLRSLLTIGAVFGLLLGIVVTYVTWLFPYIFTPDQMVIQEMHRILIPYFLALLVTPATVGLEGTLLAGRDLRFISLSMTGCFCLNGLVLLILSSRYGLLGCWFSLAGFQWVRFSSALLRLLSPNGILYSEDKSQSELQKLKTA >RHN55791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23823866:23824111:1 gene:gene31049 transcript:rna31049 gene_biotype:protein_coding transcript_biotype:protein_coding MASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVILYNVSKHNTHENRLRCHAGVLRAKFKFLDAFSAGV >RHN41334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29241390:29242800:-1 gene:gene47644 transcript:rna47644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flotillin-like protein 4 MSAEKLPFVLPAVFTIGPRVDDHESLLKYAKLISQHDRHSNHVNELVQGIIESETRVLAASMTMEEVFRGTKEFIKEVFKKQLVDVPGHEYFSYLGQKTQMEAANQAKVDVAEAKMKGEVGSKSRKGQTLQNAAKIDAETKVIATQRAGEAEKEEIKVRTEVKVFENKREAEVAEANSELTKKKAAWTKAAQVAEVEAAKAVALREAELQGEVERMNALTTTEKLKPEFLISKASAQEANWELYKKQKEAEAILYEKKTEAEAQIALANATFYARKQAAEAELYAKKKEAEGIVTLGNGQGVCVSTLLNALGGDYTAVRDYLMINGGMFRDIAKINAEAIRGLEPKISIWTNGDNGGEKTDGGIGMKEVAGVYKMLPPLFKTVHEQTGM >RHN72865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11353066:11353913:-1 gene:gene8627 transcript:rna8627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MKFVVSVYLILCFASSIFIVMALVQGTHNIFGSDEYYVRVINGFTDNSSVPLVIWCSSEEMDLGGRALQEHDEFSWTMRPNFWSSNYMKCTMKWDSIRKIFDAFEASRDIERCGLHRLCSWRVTQDGFYFSNDEVNWRKDFIW >RHN64441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59120585:59122955:-1 gene:gene27259 transcript:rna27259 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEQFKDIVLVHYGEGRKSGIFSHLHVVPVTLGGSSSHSGSVPSSSKTSSPISDVQVSLTSNANEIDKHRASEYENVELQNPSQVYSHAQSTNSSIHHCAPQLAHEATGFSQLMRNPIISSWRSDNYQNVNQLDGFGQWMNKETDGDWDNSLMASNSGNNSNTFDVDNLDMDYLEQLLGIDDDFTLDWTFD >RHN53889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5046272:5047569:-1 gene:gene28849 transcript:rna28849 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEYHQHVICGQRFSFITISLLFLIVSSLTQKGLVTEGRKTLNQNGFHQTLGDNKVMVRKQIGSRPPKCDTRCRFCGHCEAIQVPENPRAMTGKINPSTLSTVAYARRQDNSNYKPMSWKCKCGDIIFNP >RHN81000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42508965:42510331:1 gene:gene4988 transcript:rna4988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MDTNYKTNMHVMRSFSDCGSSVTDVSQEDTMVIKKGPWTEEEDTILMNYVAVHGDGHWNSVARCSGLKRTGKSCRLRWLNYLRPNVRRGNITLQEQVLILDLHSRWGNRWSKIAEQLPGRTDNEIKNYWRTRVVKQARQLKCDVNSQQFRDTLRYVWIPRLIERIQSSGATADTNSYSHGQITTCKNTKAHSETCGANANPPMLQSEVSSYSSGVEVQVESNVSYNLMDCAELGSTWQDWNCLDSNIQEFEQSNVFGDSELWTDENICFLQQQLADDL >RHN43633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47359887:47362895:1 gene:gene50242 transcript:rna50242 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEELLGAANRMLNLCGVYLYTFGTGVVPICLQNYRYLNQEYPIRNWKS >RHN59366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9890011:9892186:1 gene:gene21392 transcript:rna21392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAKEHEGRAVGIDLGTTYSCVAVWLDQHQRVEIIHNDQGNRTTPSFVAFNNEQRLMVMLLRINLLLTLKTLSLFSDLVVQRDILLWPFKVISGVNDKPMITVNYKGQEKHFCAEEISSMLLTKMREVAEAYLGSPVKNAVVTVPAYFNDSQRKATIDAGTIAGLNVIRIINEPTAAAIAYGLDKRNDCDGNRNIFVFDLGGGTFDVSILTIKGDLFEVKATAGNTHLGGEDFDNRMVNYFVEELKKKNKVDIKQNPKSLRRLRTACERAKRILSFAFVTTVEVDALFMGIDFSSSITRAKFEELNMDFFNECMNIVDTCLRDSKIYKSNIDDIVLVGGSSRIPKVQELLLEFFKGKELFMGINPDEAVAYGAAVQAAILSEGFKNAPNLVLRDVTPLSLGIATYKENIMSVVIPRNTSIPVKKTNGYFTLYDNQCIVDFPVYEGERPRATDNNLLGSFRLHCLPAPRGHPLEACFSIDENGILTVTAREISTGNMNAITITNDKERLSMFDIEKMIKEAAKYHVEDMQFLRRAKVMCALDSCVYNMKNALKKNNVNLILTPQEIEKINNAITVAMNLLDKNNKEKEIDVLEGHLEDLESMSKHLISKANNFIFLG >RHN70326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50676146:50677736:-1 gene:gene18896 transcript:rna18896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKRSLSSEANEDRKMKRVKEEESEKIDGVCEKNRGLASFDENMLFEVLKHVDARTLAMSSCVNKQWHKTAQDERLWELICTKQWANTGCGEQQLRSVVLALGGFRRLHSLYLLPLSKPQTSSSSSCSSSSSWGPIPQVIKSKPLPRLGKDEVHLSLSLLSIRYYEKMNFNNRNL >RHN50741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10035148:10039162:1 gene:gene35066 transcript:rna35066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, phosphoribosyltransferase MTTTEVVSVRKLIVEVINAKNLMPKDGQGTASAYAIVDFDGQRKRTKTKSRDLNPQWDEKLEFLVLDQESMTSETMEINLYNDKKTTGKRSTFLGKVKISGSTFVKSGEEVIVYYPLEKRSVFSQIKGELGLKISYVDEILPVTDSAGDDKKEEKVEEKSPVTESAGDGEKKEENPPVTDSAGDEKKKEENTKEEEKPKEETPAEKTPAPGNPPENPPATPPAPEVVNPPVAETKDVKIKEKQYEIVQKRADVINVSDHELRSLSRDRSRSVAYDLVDRMPFLYVRVVKAKRCESKSESVKLFSKLVIGTHSVRTKSENEGKDWDQVFAFDKEGLNSTSLEVSVWSESESESENKEKQITEISLGTVSFDLQEVPKRVPPDSPLAPQWYTLESENSPGNDVMIAVWIGTQADEAFQESWQSDSGGLIPETRAKVYLSPKLWYLRLTVIQTQDLQLGLAGSGSEHKVRSPELFVKAQLGAQVFKTGRTGLVSSGNPTWNEDLVFVASEPFEPFLVITLEDVSNSRSIGKTKIHVASMERRLDDRTDVKSRWFNLCGSEENLSYTGRIHIRACLEGGYHVIDEAAHVTSDVRASAKQLMKPPIGLLEVGIRGATNLLPVKTKDGTRGTTDAYVVAKYGPKWVRTRTIVDRFNPRWNEQYTWDVYDPCTVLTIGVFDNGRFQKDARDVRMGKIRVRLSTLDTNRVYVNSYSLIVLLPGGARRMGEIEIAVRFSCSSWLSLMQAYTSPILPRMHYVKPFGPGQQDVLRQTAMKIVTARLARSEPALGSEVVQFMLDSDTHVWSMRKSKANWFRLVGFLSRATTVFYWLDGIRTWVNPATTVLVHALLIAIVFCPYLILPTVFMYAFLILILRFRNRMRVPKNMDPRMSYVDMVSLDELDEEFDGFPTMRSVEVVRIRYDRVRALAGRAQSLIGDVAAQGERLEALFSWRDPRATAMFAVFCLVMSLVFYAVPFKGFVLLAGFYYLRHPRFRGDMPTVFVNFFRRLPSFSDQIM >RHN41794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33063039:33064838:1 gene:gene48155 transcript:rna48155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MSRRTMEWAAKSDHLHGIPRKVVIAAVGSFAKTVSSLINTTFVHNADTLLRLVRSRPKGIPLITVSNHMSTLDDPAMWGFKGFPIFDTKLARWVLAAEDICFRNPLYSYVFRTGKCIPITRGGGIYQKHMNEALGRLNDGEWVSM >RHN77662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8491882:8492322:1 gene:gene1136 transcript:rna1136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L14P MSLGLPVAATVNCVDNTEAKNMYIISVKGIKGRLNRLPSACVGDMKVLPAVIVRQCKPWRPKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIANAAKCQCHNMSVDSVSRLQVVPMPLWQF >RHN49655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:106453:114853:1 gene:gene33858 transcript:rna33858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sphingosine kinase MRDMLKSGSLSRNSTGSTKSSSSASAAAALRLSSPQQSFRRLGLCSQLSTAGEHSSPIVFPEKRSKLKASKKNSTEAIRASGDHQDAAAAKNFEHRIDIPGGGDEKSDLLGYVVFSGKLALDKRKISVNNNNKTDAQQQTSFDTTNQAAVDAKLTSKALLWGSHVLHLDDVISVSYHAGLKHFTVHSYPMKKASCGLSCFIKPRRSRKDFRFVASTIEEAIQWVGGFADQHCFINCLPHPLVSSKKQASSELFQTDTPPELLFRCKTPPKMLVILNPRSGRGRSSKVFHRDVEPIFRLAGFRLEVVKTTSAGHARNLASTVDISTCPDGIICVGGDGIINEVVNGLLSRDNQKEGISIPIGIIPAGSDNSLVWTVLGVRDPVSAAMAIVKGGLTATDVFAVEWIQNNKIHFGLTVSYYGFVSDVLELSEKYQKRFGPLRYFVAGFLKFLCLPRYSYEVEYLPASKPEKEGSGEREVVDMSELYTDIMTRSNKDGMPRASSLSSIDSIMTPSRISGGDLDTCSSTHASTEPSELVRGLDPKSKRLSSGRSNITAEPEVIHPQLPLSTTPNWPRTRSKSRNDRGWAGLTTTHDTSKWGNAANDREDISSTLSDPGPIWDAEPKWDPEANWDVENPIELPGPPDDTEVGSTKEVVPRFGEKWVVSKGQFVGILVCNHACRTVQGSQVVAPKAEHDDNTLDLIMVHGNGRLRLLRFFILLQMGQHVSLPYVEYVKVKSVRIKSGKHTHSGCGIDGEFFPLNGQVISSLLPEQCRLIGRFRI >RHN74506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34087974:34088396:1 gene:gene10591 transcript:rna10591 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSKSSLARLLTSTTSASSSSFYLRHFSESAEPQRNIWISLFSGNRLVEGRPKDSRKIQIEKTSQPRVFGKSEKTSKSTEEIDEIDHLNFLEQTWRYINETRSDINSLRWKNNMVIIYYLNFIDIFARFPCLKLCFEG >RHN70679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53157194:53158626:-1 gene:gene19289 transcript:rna19289 gene_biotype:protein_coding transcript_biotype:protein_coding MVMYVCVFVHARKENPQTSAVLPFLSVSFGSEPFFSNRCNSRLSLLPAAKHVLIGRSVLPRANMASVSSKIASNPGISEVCKCCNKVRHISRVVYTL >RHN45841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26211094:26212470:-1 gene:gene40262 transcript:rna40262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MQMDDRISMLSDDILSHILSFLPTEDAFTTTLLSKRWNQIWLLVPNLNINDQRFINRGKPYFRFRDMVYSLICAKIKHRQPIKKFCLKCHGNSTNEPLEDEVVEWLMAAENYGMEHLEFQGSDTLKFYNFIFGFSNLVVLKLKAIHVNYFLPVDFPSLKTLHLNNVFIYEHWFLRELVNSCPILEDFQAKNISVGYWSENYNGRFKRLTNLVRADIADLNSCDVPLEAFSNVQFLRAEEMFGHVPVFRNLNHVELVFQRNVDWCWVFGVLEKFPKLRILVLEMPQLLTSVRSFISILSVSPECLSSKFKECTITNYGGQKYELQFAQYIMLNSTNLQRMTIYNSSSMNHEEKLAMQMELLSFPRSSASCQIYFK >RHN58470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1965353:1966741:1 gene:gene20387 transcript:rna20387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MQALVDAGLFTGYSVGRVNPVVVTHLQFADDTLLMGTKSWANVRALRAGLVLFEAMSGLKVNFHKSSLVGVNINDSWLSEAASVLGCKVGKISFLYLGLSIGGDPRRILFWEPIVNRIKSRLSGWQSRFLSFGGRLILLKSVLTALPVYALSFFKAPSGIISSIESLFNKFFWGGGEEKRKISWVRWDTLSMRKEYGGLGVTRLREFNISLLDKWCWRLLLEKDVLWRKVLVARYGVEDGGLADGGRSCSSWWREIVRIRDGIGEGGEGWFGSCVRRRVGDGADTDFWRDRWCGDAPLCARFGRLFDLSIHKSISVRNMFLLGVDVGGEALWWHRRLWAWEEELVEEWRALLLTVSLQDSVTDKWLWLPNHDGGYSVRGAYDMLTSQEQPQLHHNMELIWHKQVPLKVSILAWRLLRDRLPTKTNLANRGILPLEARLCVSGCGNVEDVDHLFLSCATFGAL >RHN76894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2198626:2200898:1 gene:gene282 transcript:rna282 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTKVTLNKFFNPLTILKFLHVVSILRSHQSIQCPCNRTNPIKPLPPYWYFL >RHN67133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24200930:24204901:-1 gene:gene15282 transcript:rna15282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSNSADEITIPPQLTKKVKLSSECENEDRLSDLPESVILHILSFLNTKHAVQTCVLSPIYKDLWKRLPALTLHNRDFRNFKIFTTFVSKILSLRDSSISLQSLDFQRHNGRFEPQLKKIVNYAISHNVQRLQLCVNCDIAQIPHSLFSFQPLTHLELSIVQRDRHSETEFPNSFSLPALTHLQLSVAQRDRNLKTQFLNSLSLPALTHLMLSGYSNLFPDSLDLPALTSLQLGHFTFCVSGNNCAEPFSNFSRLSSLLISDCTVKGKLCISSATLVNFTMYNHSEDFYMIELCTPSLCAFTFNGTPYQRIIGSNISSLKHVDIHAEIHSDTEAPPLFLYSWLLEFADIKSLTVTATALQVLCLFPDLLKHKHRSLGKLKSMKVEIDEILYGLRLTLCEYKLKTVKSKKEATRIKKAYASGSEPSPPVPDGIVDFLRQNSPTAKVDFIDCTKKSEPGCYNPSEFRCNASMDIHTRQSLLEDIK >RHN47469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39981607:39985512:-1 gene:gene42079 transcript:rna42079 gene_biotype:protein_coding transcript_biotype:protein_coding METEERNQRACKATEPEFFLQWGNRKRLRCVRVKDPRISTRLNGGVIRRKLNSGVENHRSGVTEKESSHLHHHQQQPNRLTRNSDGTILRPAAGDNRKSASPEKEDRYYTTRGSAEDNGKGTCDVINGEEKALVWPKLYITLSSKEKEEDFLAMKGCKLPQRPKKRAKIIQRSLLLVSPGAWLTDMCQERYEVREKKSNKKRPRGLKAMGSVESDSE >RHN77187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4813539:4819194:-1 gene:gene613 transcript:rna613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MMSRRPGTSSRRFGDTKSKSSPVLSIGLIIVGGLFLIGYLYRGSGGLGGRLDSFSRVEGDYLCSGEVQRAIPVLQKAYGDSMHKVLHVGPDTCYVVSKLQKEDETEAWGIEPYDIEDADSHCKAQIRRGNVRVADIKFPLPYRPKSFSLVIVSDTLDYLSPRYLNKTLPDLVRVSADGLVIFTGFPTNQKAKVADVSKFGRAAKMRSGSWWVKFFLQSNLEENEAVNKKFEQASTQNSYVPKCQIFHLKSLH >RHN39440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7136276:7142956:1 gene:gene45462 transcript:rna45462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAENVIGSPDSQIQNPSSSNPSIPSPSPISQQQQSPSIHMSNSSPSLSQDQQQLHTINTINPNSNFQLQQTLQRSPSMSRLNQIQPQQQQQVVARQQAALYGGQMNFGGSAAVSAQQQQLSGGVAAMGGSASNLSRSALIGQSGHFPMLSGAGAAQFNLLTSPRQKGGMVQSSQFSSANSAGQSLQGMQQAIGMMGSPNLASQMRTNGGLYTQQQQIRLTPAQMRQQLSQQALNSQQVQGIPRSSSLAFMNSQLSGLSQNGQPGMMHNSLTQSQWLKQMPAMSGPASPLRLQQHQRQQQQLASSGQLQQNSMTLNQQQLSQFMQQQKSMGQPQLHQQQPSPQQQQQQQLLQPQQQSQLQASVHQQQHLHSPRVAGPTGQKSISLTGSQPDATASGATTPGGSSSQGTEAATNQVLGKRKIQDLVAQVDPQGKLDPEVIDLLLEFADDFIDSVTTHGCILAKHRKSSTLESKDLLLHLEKNWDLTIPGYSSEEKKYQSRPLSNELHKRRLDAVRMLMESSSVPESIVNNSKDISRQGHPNPAGSHHLMRPLSSDQLVSHSTSSQMLQQMTRF >RHN44627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6955157:6959942:-1 gene:gene38768 transcript:rna38768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB family MALEQPNPNSDDGTVNGNGTPPSSAVNGGEDGKPTARLPRWTRQEILVLIQGKSDAESRFKPGRNGSGFGSSEPKWALVSSYCKKHGVNRGPIQCRKRWSNLAGDYKKIKEWESQVRDETESFWLMRNDLRRERKLPGYFDKEVYEILDSPSMAVAAAAAVAASVVVPVNVSVSVSETVGGDEEVHIYDSNRKVSGEDGLFSDFEKDEVLVANKDVHVPSPVPISEKQFLPLLRGCQGEGNAPEGTNNEKQPASNPETGSTSQGERKRKRFATNGDGNEEEESLHSQLIDVLEKNGKMLSEQLEAQNINFQLDRQQQNETASSIVAVLDKLANALGRIADKL >RHN49866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1795940:1799695:1 gene:gene34091 transcript:rna34091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MRRRWSFYKDVLPPVVIIGQETIEMALLTLFKAATLQGMNNHVFVAYAYAIGTAILLPITFFRRRLLPPLSFSTILKSVLLGAIGCSAQILGYIGINYSSPTLASAIGNLVPAFTFILAVIFRMEKLAAKSRSSNAKVVGSIISIAGAFVMTFYKGPSIMNSSSLHQPAGFLMAVDSSWAIGGILLTIDFFLLSLRYIFQVHILKEFPDEVTLVLLYTITATIISTVVALLSVPNASAWKIGLNLSLISIVSSGIFGKFISSTAIAWSLNMKGAVYVTSFKPLQIVISVGLGVIFLGDILHIGSIIGATIITIGLYAVLWGKATEKIEEDVGSLESPSIENAPLLQSYRTETFEKKTNGNI >RHN50862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11243808:11249763:-1 gene:gene35201 transcript:rna35201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MESFNFKHRVDELVSKVDQLEQKGHEIDSFFSSTNKKQTDTSKGNSTLKDKDKEKHVPSIKKLQQDASRREAAAQKRMQDLIRQFGPILRQMTQHKWAWPFMQPVDVEGLGLNDYYEIIDKPMDFNTIKNQIEANDGTGYKHVREACADVRLVFKNAMKYNDERSDVHVMAKTLLEKFEEKWLQFLPRVGEEETRREEEEAEARLAMQFAQEAVHAKMARDLSNELDEVDVHLEELREMVVKKCRKMSTEEKRNLGIALTKLSPDDLRRALDIVAQTNPNFQANADEVDLDIDAQSESTLWRLNFFVRDALEVQSKNSESMDGDENPNNKRKRELCDAIASVSKKKTKKPT >RHN65760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5088706:5089203:-1 gene:gene13647 transcript:rna13647 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFFFSILMLFLVALMFVPQGFANTVYFKTTPTGRSQYTPRTPACDPNNRAYSCLRGPPRRTPTCERNSRAYPCRRGGPPTHL >RHN57703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41148040:41148816:1 gene:gene33284 transcript:rna33284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AT-hook motif nuclear-localized protein MFGEMEHKQEQDNGNQNNNMSGNGIDVTLMSPKIPKSVSPVSSAAEGETLKRPRGRPAGSKNKPKPPIIVTRDSANALKAHAMEVSSGCDVNESLLNFARRKQRGLCILNGTGCVTNVTLRQPASSGAIVTLHGRFEILSLLGSILPPPAPPGITGLTIYLAGAQGQVVGGAVVGALIASGPVVIMAASFMHATFDRLPLEDDELAAAMQNQHYQNGRAAQHHLDISDLYAMPQNLLMNGAMPPEIYSWAPGRNLSKT >RHN58043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43320651:43321604:-1 gene:gene33649 transcript:rna33649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNRFYGTLPSNFSEYCELQTLNLHGNKLEGHFPKSLSLCTKLEFLNLGSNNIEDNFPDWLQTLQYLKVLVLQDNKLHGIIANLKIKHPFPSLIIFDISGNNFSGPLPKAYFKKFEAMKNVTQLEYMTNDVYVQDPLRPAFGVITRYYDSMIVATKGNKRTLVKIPNIFVIIDLSRNKFEGDIPNDFGELHALIGLNLSHNKLIGPIPKSMGNLTNLEWLDLSSNVLTDVIPAELSNLGFLEVLDLSNNHLVGEIPQGPQFNTFTNDSYEGNLGLCGFPLSKNCGPEQFHNSLTTTLGVKRNLDLDGNQWRLDMDVDL >RHN40298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15072701:15076790:1 gene:gene46439 transcript:rna46439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MKIPIFLTPFLLCLFISLVQAQTPKCDIQDDGSTLKVFHIFSQCSPFKPSKPMSWEESVLNLQAKDQARMQYFSSLVARKSVVPIASARQIIQSPTYIVKAKFGTPPQTLLLALDTSSDAAWIPCSGCVGCSTSKPFAPIKSTSFRNVSCGSPHCKQVPNPTCGGSACAFNFTYGSSSIAASVVQDTLTLATDPIPGYTFGCVNKTTGSSAPQQGLLGLGRGPLSLLSQSQNLYKSTFSYCLPSFKSINFSGSLRLGPVYQPKRIKYTPLLRNPRRSSLYYVNLVAIKVGRKIVDIPPAALAFNPTTGAGTIFDSGTVFTRLAEPVYTAVRNEFRRRVGPKLPVTTLGGFDTCYNVPIVVPTITFLFSGMNVTLPPDNIVIHSTAGSTTCLAMAGAPDNVNSVLNVIANMQQQNHRVLFDVPNSRIGIARELCT >RHN64857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62480570:62480803:1 gene:gene27723 transcript:rna27723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S49, ClpP/crotonase-like domain-containing protein MTIDKMEEVPQGRGKVWTGKDATSLGLVDAIGGMSRAIAIAKLKANIPQNSEVTLVELSIPCPTLPWEEEEFNLFSK >RHN51913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28315443:28317549:-1 gene:gene36480 transcript:rna36480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQCRKNMAQYLVFLYALIILLSQFIVEKAEITNIPCVSDESCPQVIKPLVIKCIDKFCEYFMEGEYEGP >RHN76190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48412286:48424054:1 gene:gene12476 transcript:rna12476 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDVCPTVDAIRAFLEHLVDPMLAEIPILDDPPLSQQQKVAKQVHAVVLLYNYYHRKQNPELEFVAFSAFCKLIVDLRPALLPYMKFTQKPVDLVDVEQQLSLTEKAIVSSYDICTLLDASKSVPNIEGWPISKVAVLLVDSKKENCFLHFGSITDGVRSLIEKDVDTSNKVSEVTTSNKISEVTSEIKTYKKRRVVKKPSRNGSNVDEDRILQVGYSAVKEAAGVNSIDIMLLESYTVYSQSKEKTSSRFYIMKCSQSIDEGFTQVPIKDLIESVRGPLVKRSSDSWKVTPVVEYFHMLPYSKIISEWISRETFSNSLQDSKLAEKQFPKLEVKESHISSKALSVGLDNKQCSETIVALNQKQLLKLEVKEMHVSSEGMSAGLDNKACSDTIVTLNQKEKNGCGTITQCGSVKKDQDMDVDNSSRVKTNLEVTESHVSSEGMSVGLDNKQSSDTIAALNQKENNGCGIITQCGSVKKDEDMDVDNSSIKKTNLEVTESHVSSEGMSVDLDNKPCSDTIAALNQKENNSCGTITRCCSVKKDEDMDVDNSSTKKKNLEVTESHVSSEGMSVGLDNKPCSDTIAALNQKEDTGCGTITQCGSVKKDQDMDVDNSSRKRTKLEVTESHVSSEGMSVGLDNKPCSDTIAALNQTEDNGCGTITQCGSCGSVKKDHDMDVDNSSRKRTKLEVTESHVSSEGMSVGLDNKPCSDTIVALDQKENSCCGKITRCSSVKEDQDMDVDNCSTFPSKLNEEYQKHVANTLQVNEDQKIENSSVQHHSNECTRPSEAEKVVSTRMHIIEGGIKDESAFDKICVDATVENESIEKCTPIADNFNADFEKVRSFVDSKGKMGRSDVCPTADAVRAFVEHLVDPMLPAKASIRDAPSISQQQKVAKQVHSVVLLYNYYHRKRHPELEFVAFKDFCKLIVGLRPALLIYMKFTQKPDQTDLVDVEQQLSLTEKAIASSCDICTCLDASKNVPNIEGWPVSKVAILLVDSKMENCLLRFCSTTDGVWSVIEKDVGSSDQISEDMNELKHTYQKRRVIQNPTKNGLNVDDDEFLQVGYSAVKEATGVNSNDIMLLESYTVYSQRKEKTASRFYIMKCSQSTADGSIQVPIKDLIESFRGPLLKKSSSSWTITSVVEYFHVLPYSEIISDWISRETFSNSLQDSKLAEKQFPKHEVTESHVSSKGLYIDLDNKPGSDTKVALNQKEKNGCGITKRCDSVKEDWDMDVDKSLVLPSKNKECQKHTANTLHISEDQKIENPSVQHHSNECTRPSKAEKAVSKRKHITEGGIKDQSAFDKICAGTTFENDSVEKCILNANSSNKNLEKIQTFIASKGTILSQTALNALIRKRNALALQQRAIEDEMAVCNMKIHRWLAGEEDDFELKLESVIEGCNGTWLRNQGRMCSQYLDDQCLPQSVKSKRLTEAVLTLHSPCQELDGICHENNWILPTYSVSLSDGEFHATVRVKGVDFEYSCEGNTCPFPREARDSAAAQMLTKFRSMA >RHN54756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11910917:11913884:-1 gene:gene29838 transcript:rna29838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eukaryotic rRNA processing MEVPLVNGDPMIDDETEDLDEEMSPFESDSEEDVKLAEPSKTAVNNRDAILDKLGDISWPENVDWKHKLSIDIDQGQEVDVNDDLARELSFYTQALEGTRQAFEKLESMGLPFLRPADYYAEMVKGDSHMEKVKSRLLDEKRKMEEADERRKAREAKRLSKEVQSQKLKERAKQKKDDIESVKKWRKQRQQSGFADGADAPDKSLSFEDGKVFERSKKKRPGVSPGDRSGGKAKQAMGKGKKPQKKRDTKNAKFGFGGRKGSKKQNTADTTNDFGGFSKGSAAGNKRKR >RHN59001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6525955:6534378:1 gene:gene20979 transcript:rna20979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase Group-Pl-3 family MAAQMTGRSSSSSRIVGDYVVGKQIGAGSFSVVWHARHKVHGTEVAIKEIATLRLNKKLQESLMSEIFILKRINHPNIISLHDIIQAPGKIHLVLEYCKGGDLSLYIQRHGRVPEATAKHFMLQLAAGLQVLRDNNLIHRDLKPQNLLLSRNDEKAVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILYQFVTGKTPFTGNNQIQLLQNIVNSTELQFPPDSPSLSSECKDLCQKLLRRNPVERLTFEEFFNHPFLNPQQTKQDEPLLRNKSSPRPVSGFCSPKTDPLRRTEENYQEDCLPFILDDDSSRPAGNTLFSKKKSSIKSTFGFDLNTKLEKAESTPVSNNVNSASRYSSVTQRLENTFKRLDNHKISGRNLTSSLESPEQIFANPYPRVTDSLENIDQEYVLVSGPPMDVSSSVNASKPSHSLFRSGSFPQESSNTITRISAPMQIVGASTNSMCQIGSSGSQDSAPGTSHGSMDTGDEQPSTHCMTRVKSLQEYASAITELVNEKVEARKQLEAFSIQLVILAIWKQALHICHTQAASAMEGSPSQEASRYRRTNSKKQGSPDSEECLDENTQGTKDLLSQIESDFLREFEHAEELAKTIEPGNTEMPDAMETIFQAALAFGRHGGVEELMGDTESAAALYSKAVRLLVFLLVEGPSLILNPPFSLTNSDRYRLRNYIDILNNRQGYSRSQRMTLLKGDDSQGIFKEKF >RHN53850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4692227:4694235:-1 gene:gene28808 transcript:rna28808 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLKFQNNNENQQTPQTQIMTAKVPITIFNNPLLSTITATNNYSTSDFSFSLSTNFPTGPTFKLSYTPTTTSSSLPFSLSLKSGLGLFGSPNHSPLVFSANFSLSSLSSSTPLLPSFSLHFKPQFGHFSLHKTVLSDSSNTNPLSVSPQIEKGFVPETNGSCSGWQNLNLEPFGHNNNNNVGVGVGVGVGPDGKNNEKCGLSPCVGVMARTVMPVTQGLLLNFRWGVSFPGKSVLKMPYLAVNKIGLERVEEMKKDEWNNDDNCEGNLQVLKEMKIDLENVEKENKEMKRVLDEMKMGVSKGKVSKQKHAGESVQSWGSNKNGRKENEKKQEIKPQNIVVSDFESELEKAIKAAAASS >RHN82790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56446127:56446434:-1 gene:gene6977 transcript:rna6977 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMLLPISQFSLCKLRQGIDENFDVEVVVVVAPVEENIVDVAIVVDDEEIIVCFC >RHN38779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1913808:1916212:1 gene:gene44745 transcript:rna44745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MGTIDTTKPNLDSTFNERKAFDETKAGVKGLVDAGVEKIPSLFHHQPDKYEIANNTSNVIPVIDLDDIDNKDPSIHQGIVEKVKEACETLGFFQVVNHGIPLSVLEELNDGVKRFYEQDTEAKKSFYTRDMQRSFIYNSNVDIYSSPALNWKDSFGCNLAPPDTLKPEEFPVVCRDILLRYGKHMMNLGTLLFELLSEALGLNPNHLKDMDCAEGLIALCHYYPPCPEPELTVGTTKHSDNDFLTVLLQDHIGGLQVLYDDKWIDITPVSGALIVNVGDLLQLITNDKFKSVVHRVLANTVGPRISVACFFSTGLKASSKLYGPMKELLSEDNPPKYKETTVADYVAYYFRAKGVDGTSALEHYKI >RHN73092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13388745:13391580:1 gene:gene8872 transcript:rna8872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endosulphine MAEFNREEYFAANNIESTSVGKKYGGLVPKKKPLISKDNERAFFDSADWALCKQGAGMNQQSTAAVETLRPKLQRTPHQQLPPRRPACTSG >RHN75135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39654277:39661228:1 gene:gene11295 transcript:rna11295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MGDHYRAVSFEELPSHLILEILCSGKLSAMDLVSLEFTSKTFGARNGIYPLKFKSLVDFAAFQLCDSHAIFSQMVLNSQNELYDRCGGNWKRVLRFLQSLEQSSDMVETSLGNMQITTGKYHTLAIRNSSVYSCGSSLCGVLGQGSETTQRTAFTRINFPPLARVAHVSASYNHAAFVMESGEVFTCGDNSSFCCGHKDTNRPIFRPRLVESLKGIPCKQVSAGLNFTVFLTRQGHVYTCGSNSHGQLGHSDTQDRPSPKKIEVLGSIGRIVQVAAGPNYILSVTEGGSVYSFGSGSNFCLGHGEQHDELLPRCIQKFRRNGIHVVHVSAGDEHAAALDSNGFVYTWGKGYCGALGHGDEIEKTRPELLSSLKNHLAVQVCAKKRKTFVLVDSGLVYGFGSMAFGSLGFPDARRLTNKILKPRIVYTLRTHHVSQISTGLYHTVVVTNRGKILGFGDNERAQLGHDTLRHCLEPTEIFIENSSSEDVDLML >RHN57045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36048430:36051271:1 gene:gene32535 transcript:rna32535 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNKKQAWSSSSSSTVKFDQLFGPKDPSSASSSSLFGSIFPPPPTPSVEGRGSRTQEVGSKNLGATGTTPSDGISYNKNTCTNYQNETMEPSYYSSSIYYGGQENYSPRNRTTEPHHVFKKDKNHGDHNGNNSSSASRGDWWEGSLYY >RHN80015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34340727:34345130:-1 gene:gene3888 transcript:rna3888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative iron-chelate-transporting ATPase MAIEQKQQENEDSSNGIRVQGMQFSYNDIQQQQPPLFVDFNLQVSPGSRCLLVGANGSGKTTLLKILAGKHMVGGKDVVRVLNCSAFHDTQLVCSGDLAYLGGSWSKNVGCAGDIPLQGDFSAEHMIFGVEGVDPERRDKLIELLDIDLQWRMHKVSDGQRRRVQICLGLLHPYKVLLLDEVTVDLDVVTRMDLLEFFKEECEQREATVVYATHIFDGLETWATHLAYIQEGELRRAEKISDVNELKSSINLLSVVESWLRAETKLEKKKPVQNTSHAQGNSFTNSPFSSSSRHMAYYR >RHN45044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11086212:11088967:-1 gene:gene39240 transcript:rna39240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MGRSLASKVTIILKDYVDGERINCHKCSLFLLLVFFVFFVLLNLPPGKKPLDWNTRMRVVAVVAKGLEYLHDKMKPPVIYRDLKCSNILLGYDYHPKLFDFGGKSRFNR >RHN69256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42137168:42140919:-1 gene:gene17699 transcript:rna17699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kish MSALFNFHSFLTVILLGICACTFFKMQFPAILEQRTGFRGFFWKAARIGERLSPWVAMGCFMMGVSIIFF >RHN58551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2743942:2745340:-1 gene:gene20473 transcript:rna20473 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYKSKEVSSYFMDFVERCNEAECAMCIYKFPIDYIPKGRAEDLYGKFVAFEKQYGDREGIEDAIVGKRRFQYEDEVRKNLLNYDYGLII >RHN61646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37157960:37166796:1 gene:gene24132 transcript:rna24132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative clathrin adaptor, alpha/beta/gamma-adaptin, appendage, Ig-like subdomain-containing protein MSRNDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLESLKDLISDNNPMVVANAVAALAEIQDNSTRPIFEITSHTLSKLLTALNECTEWGQVFILDALSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATMETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVITDDSNNLDPSLLDELLVNIATLSSVYHKPPEAFVTRTLASAQKTEDDDYPDGSESESSVNPANGPGSPPTSSYTIPASVAPASPPSAAAPVPDLLGDLMGMDNSSIVPLDQPAAPSGPPLPVVLPASTGQGLQISAQLTRRDGQVFYNMLFENNSQVPLDGFMIQFNKNTFGLAAAGALQVPQLQPGTSARTLLPMVMFQNMSQGPPSSVLQVALKNNQQPVWYFNDKILFQAFFTEDGRMERAAFLETWRSLPDSNEVSKDFPAIVIGGVDATVERLAASNIFFIAKRKNANQDVFYFSAKLPRGIPLLIELTTVVGNAGIKCAIKTPSPEMSTFIFEAIESLLRS >RHN38479.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000010.1:145284:145517:1 gene:gene50674 transcript:rna50674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase MCHFQATFDDFPCDTKHKDIVLESGLFENRDNFLIFCQNSQFQFETLRRAKYSSMMNLNHLLLCARSLSFSFKMNTN >RHN76738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:882075:885493:1 gene:gene111 transcript:rna111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rhomboid protease MAQVAVATFKFNNSFYKPIHHFRTPSFSSVPPTFSIKNFPNITYPCLSHHSLFNLPSPSLTLCNNNNNKSDMISQLEVAKPELNKREPVKRVNGIFWIILLNIGIFVADHFFQVGGIKALYLFHSRPDWFQFLTATFCHANWKHLSSNLFFLYIFGKLVEEEGGSFALWLSYILTGVGANLVSWLILPRNTVSVGASGAVFGLFTISVLVKMSWDWRKILEVLILGQFVVEKVMEAAQASTSLPGTFRGGYALQNVNHIAHLSGALVGVLLVWLLSKVPSDSSDR >RHN52883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39912588:39917187:-1 gene:gene37615 transcript:rna37615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MTTGGKDEFVSYSPLPSNPNPNFDSYSYSHPSQNNSYSHPLPQNVVVGLPSYYPIHRHNNRRNWIISATVLLFLAAAIFFLYPSDPEIHLARIQLNHIAIKANPKPILDLSFSLKLKIRNRDFFSVAYDKLDVSLGYRGREIGTVSSVGGGKIRARGSDYVDVVLSIDAFEVIYDAFYLIEDMVKGVIVFDTVSKVDGKLGFIPLKATVSCEVYVNIYQQMVVRQNCYPESLGDMMDRNANVSAIEMGDTMDRNANISAIAT >RHN67007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22782789:22783378:1 gene:gene15136 transcript:rna15136 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHISQYCLLGILCIVLILASGPTPGDSCNQPTLCDSYADCDVECRHIGFHRGVCTDIQGTISCCCMS >RHN62764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45698573:45707319:1 gene:gene25371 transcript:rna25371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MKEVCNSLQGLKPVIVMVFVQIAYAAMNIMCKLVINDGMSMRVATAYRLICASAFTIPVALFFDRRKRPKITWKVLFMAFLSGLFGLEKLNWSLAEGKAKVMGTAIGISGAMLMTFYNGAEINIRSSNINLLHAHQNQNGHMEPQHADFSNKLLGVLCAIGSSCFFSLWFIIQAKMNKEYPSHHSSTALMSTMGAIQAIVFAICVDRDLTQWKLGYNIRLLMVAYSGIVISGIATIVIAWCIKMRGPLFASVFYPLQLLLVAVSAYLLLDEKLYLGSILGAVLIVCGLYIVLWSKNKEMKEKVQVTSMAGPTYSEA >RHN62969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47179238:47180700:1 gene:gene25611 transcript:rna25611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polysaccharide biosynthesis domain-containing protein MKNTNTNTKLILLHPYIQKQGNSNRLWLLAFISILTLAFLATLIYTRDRESTTNTAIISSVITTPVSAPLPATVINTLLHYASKSNDSYHMTYSDLKPISDVLRKCSSPCNLLVFGLTPETLLWKALNHNGKTVFIDENRYYAAYIEEKHPEIDAYDVQYTTKRSEMKELIASAKEHVANECKPVQNLLFSDCKLGINDLPNHVYEVDWDVILVDGPRGDWPEAPGRMSAIFTAGVLARSKKGGNPKTHVFLHDFSGEVEQVCGNEFLCKENLLEASESMGHYVLERMNESSVQYCKGSSSST >RHN53066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41669735:41673332:1 gene:gene37816 transcript:rna37816 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MDTVARLTILLFIISSLIHHISSSQTIRLPSEASISTSYCDSWRLAVETNNVGQWKQIPARCVESVAEYMIGEKYESDCEVVGKFSAEFVKGVTVGGDGRDAWVFDIDETLLSNVPYYQDVGFGSKIFNETSFNDWVNLADAPALPASLSFYRKLQELGFTIFLLTGRSEHQRNVTEANLLFAGYRNWERLILRGASDQGKSATSYKSEKRQELMSEGYRIHGSSGDQWSDLWGYAVSTRSFKLPNPMYFIG >RHN81703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48279290:48280376:-1 gene:gene5784 transcript:rna5784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ccc1 family protein MASNNSSLNQTKFVHQNNDHHDQQNATLEIESDEFDYSKRSQWLRAAVLGANDGLVSTASLMMGVGAVKQDIKAMILSGFAGLVAGACSMAIGEFVSVYSQLDIEIAQLKRDNIERGNNIEEKESLPNPLQAAAASALAFSIGAMVPLLAASFIKDYKVRVGVVLGAVSFALVVFGWLGAVLGKAPVLRSCLRVLLGGWIAMAITFGLTKLIGSSGL >RHN64360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58468741:58470243:-1 gene:gene27172 transcript:rna27172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional TENA-E protein MQVIAKAKAEEKKIGLTETWLRKHRPIYDAATRHPFILSIRDGTVQSHSFKTWLAQDYLFVRAFVPFVASVLIKACKESDDSDDVEVILGGMASLKDEILWFKREANKWGISFSDVVPQKANINYCRLLESLMSPDVDYTVTLTAFWAIEVVYQESFAHCIEEGSKTPPELKETCERWGNEGFGQYCQSLQKILNQRLQKASDDELKKAEVMLLSIIEHEVHFWNMSRGNV >RHN80791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40886456:40888237:-1 gene:gene4757 transcript:rna4757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FLOT6 MKIYRVAKASEYLVITGILIKDIKLAKKAWILPGQSCSVLDLSPVNYTFEVQAMSAEKLPFVLPAVFTIGPRVDDKESLLKYAKLISPHARHSNHVNELVQGIIEGETRVLAASMTMEEVFRGTKQFKQEVFDKVQLELNQFGLLIYNANVKQLVDVRGHEYFSYLGQKTQMEAKNQARVDVAEAKMKGEIGSKLREGQTLQNAAKIDAETKVIAMQRAGEGEKEGIKVRTEVKVFENQREAEVAQANSELAKKKAAWTKAAQVAEVEAKKAVKLREAELQGEVERMNALTTTEKLKAEFLSKASVQYETKVQEANWELYKKQKEAEAILYEKKAEAEAQKASADATFYASKQAAEAELYAKKKEAEGIVTVGQAQGVYVSKLLNALGNDYTAVRDYLMINGGMFQEIAKINAEAIRGLEPKISIWTNGGEAGGMKEVAGVYKMLPPLFKTVHEQTGMLPPAWMGVLPDKNS >RHN47707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41797149:41799283:1 gene:gene42335 transcript:rna42335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MIDLALQKYFEVDRYLSRGDVLELALIGTVIPRFAFLVTKQHKRMKTLSVLSYVIAMEPSDEPVLRVNKTLTALVLVVSSPSALPPDLLTTGPEGPVPLLRDTVKILVSILAPTLCPSALSSKFRVSVLLYGLEGCGKRTVVRYVARRLGLHVVEYNCHDLMGSDRTSVALAQAFKAAQRYSPTILLLRHFEVFRDSQSPEV >RHN78535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16049853:16050449:-1 gene:gene2115 transcript:rna2115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGAPKQKWTGEEEAALKAGVLKHGAGKWRIILKDPEFNIILCNRSNVDLKDKWRNLTMTTTSRSRKKTENILFLPPPKVENNNQDSSGISRLDHGIASTSTKIREETRNDSPKATERNDDVNFLSKYEEINEEIFKMMKGLTPEQAVEVTAKAMEEAEAALTKADEATKEAEVAEDEAEAARLFAKAEMKKALKMLKI >RHN66419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12194420:12196744:1 gene:gene14395 transcript:rna14395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I MLAGLFVCVVRKEEDSMIIRSPEPKVKILVDPEVKILVDRDPIKTSFEQWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLNDPTHIRPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITNELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQVHVSLPINQFRNAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYADFLTFRGGLDPLTGGLWLTDIAHHHLAIAILFLIAGHMYRTNWAIGHGIRDILEAHKGPFTGQGHKGLYEILTTSWHAQLSINLAMLSSLTIIVAHHMYAMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRILRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPVFAQWIQNTHALAPGTTAPGATASTSLTWGGGDLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGSINDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWAFFLARIIAVG >RHN62292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42375107:42382055:1 gene:gene24850 transcript:rna24850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase D5 CMGC-MAPK family MEGGGAPPADTVMSDAAPAPPQMGIENIPATLSHGGRFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSAHNSETNEHVAVKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIVPPPQREVFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEDDLGFLNENAKRYIRQLPPYRRQSFQEKFPQVHPEAIDLVEKMLTFDPRKRITVEDALAHPYLTSLHDISDEPVCMTPFSFDFEQHALTEEQMKELIYREALAFNPEYQQ >RHN65495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2693352:2694536:-1 gene:gene13338 transcript:rna13338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAFSRESRQTLKLLSSGPSFHSQPLPTLPFDLIPDILSRLQVKFLLQLRCVCKSWKSLISDPKFAKKHLSVSTIRRLHFVNYEEGSLREYVLKSYPLHSNLASTNTNFTRFEYFANNFDGDYPRDSIRYFIDSCNGILCIGGGYKGLPKVSTDHLRKTFGFGSDSLTDNYKVIVVLDYFIHDRTGSDNLVRKSEVKVHTLGSNIWRNIQEFPFGVFPFGRSGKFVSGTINWLASRKFYPGCNHFIVSFDLAKESYQKLSPPSYGGANVGKMPTLGVLKDCLCLTCGDDVWVMKQYGKKESWTKLFTIPYERDPNRFYMYAKVIYVFEDDEVVMLHILGALGLNLILYNYKNGTLKSTNLKNNPEVCIESLIWPCS >RHN41957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34336148:34336666:-1 gene:gene48334 transcript:rna48334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative senescence/spartin-associated MLYGKLCDAVEVAGKNVMSTSNTVTTEIVHHRYGEEAANATSEGLDAAGHAVGTAWAAFKIRQALNPKSALKPTALTKSAAKAAAAEYKAKMSK >RHN77213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5107474:5108121:-1 gene:gene642 transcript:rna642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTPMNQNPNSAQSCKVISNLSEDLMFHIFTLVPINSLFNSTRYVCKSWAATIGSSLFFEVCEHRARSKLGLYVENCETYGSSYFLEFKDNVNGQFERYELGTPRKTEHLISTCDGILLLLSISGQIFVANPILKRGFRIPPFPIPQPRIIVRHQCTIARVPLTSKFKLFFLHVFAISASFWYVFYVLRIRIDNSWNEIARKKSSPSREFFSANTL >RHN74097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27561368:27563707:-1 gene:gene10073 transcript:rna10073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MIGGVMFSVWYVPFFSSHLCDAISTYRSIVITKDHDEQGAMAVWGRRRFYVITCSTLALKNMASLSSGFRSMLCTFSHQLLTVSCGMVIVSHQIAQSGTVIHGRVFLVYYTSVPCIGCYLADYYKFLNIESKNIFLDSSGSNLQVILSHNKFISSHNKFISSLNMFIGFNFIWCVLLRGYIWLENSLLYYQLMGGVCIFIFSSHILVCGGLYMEIGCKNILIWFQIILDIQLQFMFRKARFDWFLLLAMGFLDQQKVLVSKFPGSINIIQYKGVLHTQSNCNYKLFFFFYLHIYCDYLTMASKFPAKNTAAYRSAPANSNSDLLDCCLVGRLLMSKPVHFNSFRDRMAEIWKPERKVEISRIDNNRFMFQFFHHKDMERVIRTGPWLFHNFPLVLQKVSFGDDPTTSPLDTIEMWVQAHNLPFGFMTESMGILLGSQVGGLVKYDAENNFGSWRRFMRLRVALKVGEPLVASWKFEREGADAVTVFFRYEKLGNLCYVCGRMGHNDNYCPDSYEDGYIEEGKRWGPFLLAEFKGSSTGGLDNQWLHDSRKSGGERDGAVQVGMGEVAHSFTHAKFGRVKIGRDLVTKVLLFFKLVNGTWVSFDPTRCLLEESEPQEVPTTRNATPVPQLATHGPHSICATESDEERIARLVHEARLKNPLSNESAPFGFNAAAQPPISQLTASLAHMLKVGQSGMPKPKVSDNGTIKIASEGPKQLKRLRMEDREDTVEKTKEGVATKGSNVSDGGQDTASKDVDMRDRGLGGINNVMAGLEHQARQGK >RHN53661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3196493:3197778:1 gene:gene28592 transcript:rna28592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEETTASTRTLTPATCIPFDLAAEIISRLPVKCVLRFRCVCNSWNSLISTDPKFAKKHLHESTNRHHLITTTCIPSKKFTVISYPLHSPNFNSIFTDNATEYQYSPINRNYYDRLVASCDGIICFAINPNLALLWNPSMRILKQLPALDTPKEGDSDGNTIYGFGYDPFIDNYKVVSVFRYNVNACKTEVSVYTLGTDYWRRIEDFPSLMIPYSQQGIFVSGTVNWLADYDLDDNNSLGTIVSLDLRKEIYQEISQPDYGDVTVKLSLGAMRECLCVFSHSDSFDDVWLMKEYGNEESWIKLIRIPCISNRSLVFDNVNILYVFEDDRHVLLLLEERFKLKWVVYDSENGFIKSAKSQDFSWVESKVYVESLLLP >RHN40307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15184838:15190082:-1 gene:gene46450 transcript:rna46450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAGSGSYSYVYDVFISFRGEDTRLGFTGFLYKTLSEKGFHTFIDHHADAGRGTTKTLVDAIEESRIGIVVFSENYASSTWCLDELAYIIDSFSNKKNFRRSVFPVFYNVDPSHVRHQSGIYGQALDSHQKNNNFNSEKLNKWKNALKQAANLSGFHFKHGDGYEYELIDKIVDLVSTKIDSTPYLRVVDHPIGLNYRVLELNWLLNHNTHAATATVGSHGLKLLGIYGMGGIGKTTLARAVFNFISPQFDAFCFLEDVRENSANHGLVHLQQTLLATLAGQKKKKKDFQLASISEGLLLLKNMLHRKKVLLVLDDVNSSDQLQATLGRGLDTFGYGTTIIITTRDKHFLTTHGVHTTYKVEELTKDESLELLSWNAFKTNKIYPDYIDLLNRVTTCASGLPLALEVIGSYLHGKGVKEWESALDSYEKIPSKDIQTILKQTYNALDGDLRQLFLDIACFFKGYELSEVEYLLSAHHGYCFKPHRFRFLLETSLIKIDEHNHVKMHDLIRDMAREIVRQESPDHPGKRSRLWLTTDIVEVLEKNTGTSEIQTIVLDFPRYEKMVRWDGKAFQKMTGLQTLIIRSLCFAEGPKNLPNSLRVLEWWGYPSQSLPSYFYPKKLAVLKLPHSSFMSLELSKSKKFVNMTLLNFDECKIITHIPDVSGAPNLERLSLDSCENLVEIHDSVGFLDKLEILNLGSCAKLRNLPPIHLTSLQHLNLSHCSSLVSFPEILGNMKNITSLSLEYTAIREFPYSIGNLPRLKSLELHGCGNLLLPSSIILLSELEELSIWQCEGLKSYKQDKGPEKVGSTVSSNVKYIEFFSCNISDDFIRIGLSWFSNVVELNLSANTFTVLPTCIKECRFLTILILDYCRQLREIRGIPPNLEIFSAIRCTSLNDLDLTNLLVSTKVCCPLRELVLDDCESLQEIRGIPPSIELLSARNCRSLTISCRRMLLIQELHEAGNKSFCLPGTQMPDWFEHRSKGHSISFWFRGKFPALSLCFVGLMHKIPTGFRPIVIINGNIMKTMLPAEKWFDFEFPVLTDHIFIVGERHIKFEDNMDEVLSENEWNHAVISIDIDFKWSSSGLFAAWIGLHVIKQKCSMDRIQFTNPCNC >RHN48494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47921336:47937625:-1 gene:gene43214 transcript:rna43214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin regulator PHD family MTSRKRNRVADDGGISFSRCVKKLAEEYKGKTRVDPHGKNGKGDGDIVCDKCLLEGTLLFCCGKGCQRRYHPSCLDPLLKFLPIGFWHCLWCVEKKIKLGVHSVSKGVESILDSREVVSKDKVIQREYFVKYQDLAHAHNCWIPEKQMLIEAPKLLKKYKNRKQVVRWKKDWSIPHRLLLKREIILSKKNAHLFDGNDDNDSVCQYEWLVKWTGLGYDHVTWELDDASFMTSSKGKELVDNYESRQKKSDGPSTPFEANEESKVSFTELSELSSGDSPGLYNQHLSYVNRLRMHWHKGQSAVIVDDQIDQERVRKMILFILSLSCDVKKPFLIISTSTGLSAWEIEFFHLAPSANLVVYKGKEDVRRRIRALDFYNEDGGILFQILLSSSDSISEDLHALRCIPWEAIVIDECQRPMILRHIDNFNILAADIRRLLLVSGQIKEDRDYIKLLSFLKSGHDELHFSSASISNLQSELEQYTVLKCNSVSSRFIEYWVPAQFSSMQLKQYCSMLLSNSMLLCSGQRSDSVGALRELVISTKKCCNHPYLLNPSLNNLVTRGLPVEEHFNIGIKASGKLQLLEKILFEAKSRKLRVIILFQSSCGSRSIGDILDDVLCHRFGEDCYVRYCKDYIPSKNQAALDTFNDRESGKFVFLIENRACTSSIKLSSVDTIILFDSDLDPQNDLKCVQKMSISSNFKQLTVLRLYSYLTVEEKVLALAKEGIALDRNMQLNQSSIHTLLKWGASYLFSKFDDLHGSGTSVSASGISDQSILNDVICELSCKLASDSDATHSHRQSFISRVKQNGGEYARNISLLGEREMMKLGNDTHTFSWSDLLKGRKPHWNFLPVSSQRIRKTVEHFPHTAKGPKQENDAIIRKKRTESKDNVFPIRKNVSKDNVDPEKREITKDNIDPKRRKLSKEIVDSKHLRKKWMNKKSRSAGKRKGKFNGAAVMKKQIPKQKKLHGMPKSTKLLSKPDISGLCDVLHFSKNVKAVAIRILEYVFENYNINCREVSTVQAFEISVCWIAASLLKHKIDRKHSLDLAKRHLNLDCKEEEATDVYHVLKKCEKDFSSCLQNELCVEKSNINGGSGSLTPELQDSVEEENQKGFQRPHVLNLVKSAATEPDLPRKSPTTVLFSQDQIYTENIHNKPYVTHEISTSKITLGNMSVEMEVDASMESEADERINAVNSVAAEVSSLEQWDKVPNSSNDINYVSPVTCSLERQSHVVNADITQFDGRVFIDPQTLMNQLIDIDNSLNMSTHPAQLHNVETDTVTCDRTAVAAVRQRHRIVNPVCGVSTASGFAECPLPYMQPSHANLTPFPQTLSASPSFMEDLSRSVMPLDRFINHNGRDHRYIRGSEERLQALYDPVELASSSFVSPVTSQPSSYAPWNGNAIHVPHDITNPGYMSSDPFQAHYVTYQMPHVADPDPLLIEMERIRKMNESAVKTHEEKILQLQSDYKKEFETLCEKHCMMLQNVNTAVALKNKDLETQRDIVLMNMILADVWVRNDSSW >RHN49288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53827996:53829592:1 gene:gene44103 transcript:rna44103 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTVQQQQQMTVNQPPRPPITSSRPPVVPYDLMFPFLIPHLHLAAELQFLFAKYKMCVCVSNSNAFPILLTKLFVLSIFMSFVLEMKYPGILCYEVCYTLWGVNCLSQQQQKWQNRKEPTKVLLLAHLSEIMNDAMDHSHITSSALQVKSEPTYSIMDISLNS >RHN66210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9744606:9746290:1 gene:gene14151 transcript:rna14151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MLFLYHSFVKWFKNVIRRARCCQPAKFLDKHCVVSSLDQHTDESNNSRLEDNVFDTNVNKTSMEFDTQHSQREAVESFCSKSQVVSFIWAVSRSLLPSELLGTPSNWRIMRRNISRFIHLRKFEKFPLKLCLHGLKTSRFPFLSNKYFLSSQNASILKYLEGHDEVLHKEFRNWNNDVHGVKRKLLEKWIFWYYSFLVVPLVQANFYVTESQQGKQDIYYYKKPVWEKLTKSTIACLKESRYSQLDDVALRNILRGRPFGFSKLRVQPKENGVRLVANLQGSSRLPLVESGMGVRYCKTQRKEKHQKIMSQKYHSVNYVLRDAHTILKGIQFKEPQRLGSSVFDYNDVYKRLCPFLVSQKKELTPMSSLFIVTSDVLKAFDSVDQDKLLDIMKEVLWKSEYFLQQYDQVICTKNSLWVQKQFTMRDETSNTGHTQFRSFAPSRHAVFVNQVYFFIFLNSCWRKLSYLGQFICIFCHHMFCFVVSHDHKSESNT >RHN78572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16366319:16367497:1 gene:gene2190 transcript:rna2190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNHPPFPSRQRRQHKMISFILEEFITDTLSFLPVKSLLRFRCVHKSWNSLISDPNFIKLHLNRSSQTRDFTLVYTSDCCALTFTVFRLLENPPIIFNLPKDPYYQFKDKDCVYIVGSCNGLLCLLGHSYTNGYREMWFRCWNPATRTISGKLGYGCDKNGDIGFPFNFPTNLTFGYDNSTDTYKVVYFDPSGTKVRVFSLRENVWRNIQDSPTGTRDYAMNVVHLSGNVDWLTIRNHFTRYDCEDISIEHFVIVSLDLGTETHTQLAPPKGFNEVPYVKPNLSVLNNCLCFSHDFKQTHMVIWKMKKFGAEESWTQFFKVNYYNLQIYDHFNDLKFVLMPLCLSEKSDTVVLISNLESKTILYNWRYNKVQRIDKLCWFNDKGYVESLVSYC >RHN63092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48334978:48337843:-1 gene:gene25750 transcript:rna25750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MIQISSTNYMPEFGLMEDTTLFSDHEYQMDSYAFQFDDMAYFKSFSESPQESTYSSHTNINNKRIHSESTQNSSFPTQSPDQSVASATPPTKLLKASPKIISFDYSNNDSKVKKPKTEIGYGENLNFGSVISQGDYYKRENKVSAVNRNPIQAQDHVMAERRRREKLSQRFISLSSLLPGLKKMDKATILEDAIKHLKQLNERVKTLEEHVADKKVESAVFMKRSILFEEDDRSSCDENSDQSLSKIEARVSGKDMLIRIHGDKHCGRTATAILNELEKHHLSVQSSSILPFGNNYLDITIVAQMNKEYCLTMKDLIRSISQVLRQLI >RHN50244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5463413:5464795:1 gene:gene34513 transcript:rna34513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone-7-O-beta-glucoside 6''-O-malonyltransferase MAQHEESFKVVQNCNIEPLIDTTKPFPSPTSLPLTFFDLLWLRFPPVERLFFYELTNSTTFFYETLLPNLKHSLSLTLQHFLPLVGNITWPSDSSKPIINYVKGDSISFNVVESKASFKDLSSHHCEASKRHHLIPLLKNSHEKASLLAIQVTLFPNNGFCIGITTHHAALDGKSSTTFMKSWSYVACSNSNLDSSFLSLPENLTPLFDRSLIEDHHSGISEAYVDALMKHGGPNNRSLKIMNFSSPMKHDVVKSLFELTPSNIQKLKEHGKNDMKMNVINLSTFLVTSAYVLACLVKAQQPKVEKVIFIFAIDCRSRLDPSISTMYIGNCIAGKKIVFETKNLVGKNGFVNALEGINKALNSVKDVGVLNGAENWVSNMSSGMEGKIYSIAGSPRFEFYSIDFGFGKPKKVDMTSTDKSGAFSLSESRNNNGGIEIGLALSKEEMEAFSTLFVQGLESI >RHN57581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40273678:40280071:1 gene:gene33150 transcript:rna33150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MEESSELQPEENKVSAEKFPKRKLKTPAQLKGLEKFYTEHKYPTEELKLAIAEELELTEKQVSGWFCHRRLKDKRLLKEEANANGRQDRSSGVIQDRGSGLGQDSCGSSKHGDYKYLDPKEVESNGLYNRDLSVADMTYGRRNHFSENVSGMDDDTSSESSSYLQERMYPQGQDPYEMEPSRYSKALPPLNPKGAINMGYKPSGYLKVKGEIEHAAITAVKKQLGRNYQEDGPLLGVEFDPLPPGAFECQTEEAVHEPYHIADPALLNSPEISTVKSRPGLSSRYDSYYTKHGSQDTHMEGVDFGSLHDVHVQDKQDKKALHGTKHRQTFQSNAGRFPGRNSSLDLYEDSTGEAAYNITKNHRKDAKRGVEGIRSDSTSNHSDRYEENLPVKHSDFLQYNYENTNQKNVQRSVHADILQYDYDNVNPKKAPRSEHIKSKPSNSIHNSRGSVDTEERGLSSRMTKDELFKGDRKSKKQYRDAGGAGMLSNETMVAKRLKANTFQPYNMKQVPVAEIEPRKTQRSAAEMPSSFSEDETADTSSSLD >RHN59546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11846769:11848361:-1 gene:gene21588 transcript:rna21588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I20 MALKVGTILLVFVCGAILSGGNLKNVDAQKICPQFCYDSVAYMTCPSSGDQHLTPKCNCCLASTGCILYEADGTPICTAD >RHN66054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8047316:8053387:-1 gene:gene13965 transcript:rna13965 gene_biotype:protein_coding transcript_biotype:protein_coding MPITIESSILSPILLQWTCLTKPNKFLSSAISIPPKTSCISCKVVGSKLSPNVVGGSVEKDKKGKKKKGGKIEHHLWQRKDSAQSGQKALTLVRTICELPNEKEAVYGALDKWSAWETEFPLIAVAKALKILRKRGQWVRVIQLAKWMLSKGQGATMGTYDTLLLAFDMEQRVDEAESLWNMIIHAHMRSVSKRLFSRMISVYDHHNLPDKIVEVFADMEELRVKPDEDTVRKVASAFRILGQEEKRKLVIKRYGLKWKYIHFNGERVKVRTHASED >RHN75967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46633294:46638586:-1 gene:gene12232 transcript:rna12232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MESKWRKLKLALGFKKTCILPKTTDQPSSSISDRLSSASASLSGRRPSTPTPSSSGLRLSSSSSSSSKGTCAICLNTMKPGQGQAIFTAECSHSFHFQCITSNVRYGNQICPVCRAKWKEVPFQNTASNVSHDISQPRGDTWSGILRRLSSSRQNGTVRQGSSRLNLNHNHNITEPAIFDDDDEVLDQQTSITHHVNDVDHSIENRMEITTYPEVSAVSKSDSHDNFTVLVHLKAPPYSIKQNGGRSSVEPSVETSPSRARVDLVTVLDVSGSMTGSKITLLKQAMSFVIQNLSSSDRLSIIVFSSTARRIFPLRRMNDDGRQQALRAVNALVPNGGTDIAEGLKKGVKVFVDRRWKNPVGGIMLLTDGQDTHNICTTAGIGEGYQSLVPNSIHRNNGEGLKIPVHAFGFGVDHDATLMHSISEISGGTFSFIEAEEVIQDAFAQCIGGLLSVVVQDLHVEVRCAQSRLQLSSVKAGSYQSTLTNNARMASIKAGDLYAEEERDFLVTLNVPVEKSSDEMSLLIITCIYSDPITKMEGLDVTSEVKIQRPNVARDQVVSIEVDRQRNRLQATEAMAEARVEAERGDLTAAISVLERCQRALSETISAQAGDPLCTSLSAELKEMQDRMATRRVYEESGRAYVLSGMSSHLGQRATARGDSTDSTSFVRAYQTPSMADMVSRSQTILVRTPQSSGNILRPTKSFSGRQQRK >RHN47102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37152740:37154205:1 gene:gene41658 transcript:rna41658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MTGKAKPKKHTAKEIQAKVDAALTNRGGGKAGLLDRIGVEKGGHAKWECPHCKITAPDVKSMQIHHDAKHPKIPFEEEKLVNKHASTAAPAESSKPRPGVRGSLKK >RHN66183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9535656:9536324:1 gene:gene14116 transcript:rna14116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MLHYLWGEAAATATYFINRSLAKKLQDKTPEEAWCGVKKSVQHLKLFGSLCFEMSDLGNSYTSWALSLK >RHN65366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1531681:1533331:1 gene:gene13195 transcript:rna13195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MPTTLPRLKCISLLNCFPKQTLTNNNIKQIHAQLITNNLNSPKLFSKLTDYYSSTSSNPNILNSIFYYFHPPHLLLFNTLIKCTPFNHSIHIFKTHFSKQLIPFDHNTFNFILGACARSPSYPTLKLGTQLHSLIIKQGFGSNVLVPTTLIHFYANNRDIKSARKVFDEMPDRNVVTWNAMITGYCSLKDGNEKNAVNGLCLFKEMLMVGGSEVRPNDTTVVCLLSAASQLGMVEIGVCLHGFAVKVLCKVEDDVFIGTGLVDMYSKCGCLESALSVFRRMKWRNVLTWTAMTTGLAIHGRGEEALKILYEMGSDGVKPNETTFTSLLSACCHAGLVEEGLQLFRDMEGKFGVVPWIQHYGCVVDLLGRNGNLNEAYDFIMEMPISPDTLIWRSLLSACRIHGDVVMGEKVGRFLLKFKEKSSEELAHKSEDYVALSNVYASAGRWDVVEVVRKKMKVKGICNQSGLSSVQTLSNDTL >RHN78910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19909816:19910136:-1 gene:gene2578 transcript:rna2578 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVVKVDQEKAEEEIIFENLEILEFSSLLSLRSFCNGKQAFIFPSLLDVVVKGCPQMKIFSSGFTVAPFLIAVEVENEKKRWKDDLNTTIEQLFKEQVRKAIPFI >RHN51272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15619201:15620949:1 gene:gene35684 transcript:rna35684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH dehydrogenase (quinone) MNVPATRKDLMIVNMGPQHPSMHGVLRLIVNLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNGPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELIYDLFEAATGMRMMHNYFRIGGVAADLPYGWIDKCFDFCNYFLTRVIEYQKLITRNPIFLERVEAVGPMLRASGIQWDLRKVDNYECYEEFDWEVQWQKEGDSLARYLVRIGEMMESIKIIQQALEGIPGGPYENLEIRSFDREKEPEWNDFEYRFIGKKSSSTFELPKQELYVRVEAPKGELGIFLLGDQNGFPWRWKIRPPGFINLQILPQLISLWEKLIVEMIIDTTEVQDINYFSGLESFKEVYGILWILVPILILVLGITISVLAIVWLEREISAGIQQRIGPEYASPFGILQALADGTKLLFKENLIPSRGDIRLFSIGPSISVISILISYSVIPFGYNFVLSDFNIGVFLWIAISSIAPIGLLMSGYGSNNKYSFLGGLRAAAQSISYEIPLTLCVLSISLRVIR >RHN47223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38066982:38068253:1 gene:gene41792 transcript:rna41792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylic ester hydrolase MRLNISTPAVPSTNTYTLKLHCVIHTQTDKLIDNTQKSTLHLENTINLENHNNFPSLHSSPSSSSPPMDPKTKTLGKRWKEYQGMRNWDGLLDPLDDILRCEILRYGHFVEATYKSFEFDPSSSKYANCRFPKSTLLECCGLPNTGYKVTKYLHATSGIQLPSWMDKAPNWVATQSSYIGYVAVCDNKEEIKRLGRRDVVIAIRGTCTCLEWLENFRATLTNFSIPCNHNINDERKGVGTMVESGFLNLYTSSNYAKSSLESMQKMVKQEILRINQIYRQEELSLTITGHSLGAALATLIAYDVKISVPKLMVTVISFGGPRVGNRNFRQHLEKQGTKVLRIVNSDDVITKLPGFVFFDDVDKTPWMYAEVGKELRLCSRDSPYLGSTNVATCHELQTYLHLVDGFISSRCPFRASAKRFLRH >RHN81945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50005780:50010028:-1 gene:gene6046 transcript:rna6046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bromodomain associated domain, transcription factor TFIID, subunit 8 MALNEKSKTNSETLIPSSTNTITRARPSATDEFGRSTAKIAVAQLCEATGFHAVKDSALESFSDIVIRYLIDFSKTAKFYSNLAGRSQCTVFDLLRAWEDLQAPLGFSNGMKEIMNYAESMPETPFAQPIPNFPVIRERKIIPSFIQMGETPPGKHIPAWLPALPDPHTYIHTPVWDERVSDPREDKVEQARQRRKAERSLLNLQKRLLLCNGNRNRNESTETTTTGSDPDEDVAPAVLPVKLPIVDGDRVSVLEAFAPAIEKLGGGGVLCDDDDEIDGEKTVIPAATARPTVHFKFKSGKKFIGESLDDRNKKRDALHTIFLVGREDERDDKKRRAEYILRQSMENRQELTLL >RHN69095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40986392:40992031:-1 gene:gene17524 transcript:rna17524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative threonine--tRNA ligase MASTLFTVPMATTLSSSIHFLKLHTPFSFPFRSLHSPTPTFFTRKFSTLAVATSPSQPATVSDSNRQDRIVLPTNESSNTLLRIRHTCAHVMAMAVQKLYPQAKVTIGPWIENGFYYDFDMEPLTDKDLKRIKKEMDRIISKNLPLVREEVSRDEAHRRIVALNEPYKMEILEGIKEEPITIYHIGGEWWDLCAGPHVESTGNIKRNAVELESIAGAYWRGDEKKPMLQRIYGTAWETEEQLKAYLHFKEEAKRRDHRRLGQDLDLFSIQEDAGGGLVFWHPKGAIVRHIIEDFWKKVHIDRGYDLLYTPHVAKADLWKISGHLDFYKENMYDQMSIEDERYQLRPMNCPYHILVYKRKRHSYRDFPVRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEETLSQFGFDKYEINLSTRPEKSVGEDDIWEKATSALKDALDDKGWTYQIDDGGGAFYGPKIDVKIEDALGRKWQCSTIQVDFNLPQRFDITYVDSNSEKKRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLSPIQARILPVTDAQLEYCKDVTNKLKKYGIRAEVCHGERLPKLIRNAEKQKIPLMAVVGSKEIETQTVTVRSRFGGELGTMSVDDFISRIKLAIENPTSL >RHN62085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40623629:40624067:1 gene:gene24618 transcript:rna24618 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTTYLNCGYMSSGSALITIINNFFIYTLNVQPMDWLIILCYG >RHN63013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47610283:47614041:1 gene:gene25661 transcript:rna25661 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVEQSNENNKESMIEQLAQAFLELEAQKGASEDKVQWDEIKQHFSDLEMALNKKNEELQAKEREYEEKQLEMNTLLTQRKAAVTSKEQDLLDRLQELKDTAVAAIIEARPNDETTTFDFVYDGEDKDNQVSISPEDSPNKSGEKSEGVATEVRPHLDLKVYCEKMDATGLLDYVVAHKKKVSEFREEVSVALESATDPARLVLDLLLGFYPDNETSQQNDNVGAALQGKRKSCILILEAMASLLARADPGADHLLNPETKQQAKAIADEWRLKLASAGIDAANGNSLEAEAFLQLLSTYRIASEFDEEELCKLVPAVAQNRSAPELCRSIGLTHKVPALIEALINNGKHIAAVHFVQLFKLQESFPPVPLLRTYLKNQRRNSQVKADNVRDIATAKIDANAQELAALRNVIKCIEEYEFESEYPLDTLHKRVHQLEKAKTEKRRGGDFFKRPQPSKRPRPNERHFPLRSSGRGSASAVVTGRQVPPVRTAYAGTADRYPHYAAVAHDYQVPGQSIYTQPASATPPNYGRYIGSSLQSTPHHYM >RHN52759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38519834:38520127:1 gene:gene37463 transcript:rna37463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MTVHCKSKNDDLGFHTIMFGQSYVFSFKPIVFPIFIATLFFCSFTWPQDPNLHYLDVYDAKNDDCRDCSWKINVDGGCLNGECRSFNKNIQLMDARA >RHN54397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9249244:9257265:1 gene:gene29426 transcript:rna29426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MMTIVDICRPSTPFPNQPMDLCFRISPCLADPARRSSSCLKLLLLVVHLIYAGVLFLFDGDLIEKTKKEPWYTALYLLLFVVTLVQYFATSVSNPGYVLDAMMEINKKNVVHTKTPETSNQPALGKNGSFVISMEGNQTGKCVAGSNATYWSKLVAELYPPASTIRTWTCTYCNVEQPPRAKHCHDCDKCVLQFDHHCVWLGNCIGQRNHCQFWWYLCEETAVCIWTGFMYISHLKAHITSVWWQDAIMILLLITLSICLIFLLLLLIFHSYLILTNQTTYELVRRRRIPYLRAVPERVHPFSKGVCRNIYNFCCSFGLERIPTPQEIEENSRPYTCLDVATCRCC >RHN59652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12589610:12589969:-1 gene:gene21723 transcript:rna21723 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L20 MTRVKRGSIARKRRTKMSLFTSSFRGAHSKLIRTISQQKIKALVSAHRDRDRKKRDFRSLWISRINAIIAQNKNKVYCIYSKLIYNLYKSQLLLNRKIVAQIAILKGNCLFMIAKEIIN >RHN74446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33437372:33443176:1 gene:gene10520 transcript:rna10520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MYRVRRGMDKGEWIPALLREKLEQNWEDSKWKDKAAVNKRNRRSSNGPLHTCGSIPTIEHSKRLKTDSNMTPSCWEVYLKTHKMKGDPSKWVSSKSQMVADEYERRIFERNSQQTEGDDVSNDHQSDNFIFLDVVGGVDKKGRIYGLGTEAGKYKPSSSRSSDGISPSEYEHMRTAISKMSAENMELKERLKTNEELIRASQEESRLAREQAQQSQEDSRLLREQFQKLMESFTQDHSHLPPYQPHRSS >RHN61296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34607884:34608185:1 gene:gene23744 transcript:rna23744 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVLWILVGRSLLFRDRKLASRFKFFGWLRVRCLLQSPEVEGRLVICFWSLVGRSLLIRDRELRIDRWWKLNWFRISAKLG >RHN46378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31150970:31154205:-1 gene:gene40860 transcript:rna40860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKTTRSRFKKPPTVSKLVLEEVIGLTTKSGNGLASNVSSSKCAYLAGSVVVILDVNSGTQSHLIASDRLSLKPLSCVALSKDGRFVAAGETGNQSSVLVWDSSTLSVVSELKGHLLGVTCICFSPNGKHLVSGGGYIYLWDWRSGHLITKFQATSSGSTVSSVGFSSDAKFIVTAGKKHLKFWTLESSRKTQQNGGMRRTARSASLAIHEKLANLSIEKDCSFTSIASSVWTNSSDDNRKQAGGLFPIYALTDSGILYLIHSGLSVKKSVILKVQRAFALSTSEKLIACACNNGTVLLYTPVSLEYVGSILYSKAKKFYEENNTVYHAVFPEQNSQQLPALPDAVACQFLAFEKLVVIYSDHSLYIWDIHDVNQATKCFVLVSHSSCIWDIKNLCCENMHDPSLACTAKGCSGGISFATCSADGTIRLWDISLQSDLSKDAKELKNELLGSSCLGNLRLSKFSIQLCQNK >RHN48913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51093457:51094091:1 gene:gene43679 transcript:rna43679 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGRSCSGAVVGRSAAAPDFFFFAFFRIFLHQNTPFPFLYPNPNSKFRKHTPKILDLQMKVWKFYLFFRSPSFLAFPVRFSCFPLLRFGCSSLFRFGAGFFVPVRRKLFLFRFGSGFLLRFVSLCFRSVWMMICVYGLI >RHN58818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5175338:5176582:-1 gene:gene20768 transcript:rna20768 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFQLSIKHSIDKPNTTFLFFFGIMVYSLVSDFGAIAISRAVALSCERVLQETAKRGLIDHKLQRKLVHTSFGLAFMLCWPLFSNDRWASFFAVLVPGVYMFRILVTELGIYKDEAIVKSLSRFGDYRVLLMGSLYYAATITFASIIYWRTSPVSIAAICNLCAGDGMADIVGRRFGGKKLPYNKNKSYAGSIAMASAGFLASIGYMWYFSLFGYMEGGWSKVIGFLVVSVISAVVESHPISTDLDDNLTVPLTSILVGSTVF >RHN71612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1363346:1367995:1 gene:gene7228 transcript:rna7228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasaponin III rhamnosyltransferase MGSTVNEEDSNKPLHIVMLPWLAMGHIYPYFEVAKILASKGHTVTFINSPKNIDQMPKTPKTIEPFIKLVRLPLPHIEQLPPGAENTMDIPINMNKYLELAYQGLQDDVTEILKTSKPDWVFYDYGTVWLAPIAKSLNIASVHYSITPAWNICFFDRPKDQIKTNFEIQDICGPHKWLPFQTTIHLKPYELIRAFTALRDESGNMPDSGLNNAYSSCDLLLLRTSRELEGEWLDYISEQYNVPVVPVGLIPPSMQIRDDEEEENNPDWVKIKGWLDSRESSSVVYIGFGSELKLSQEDLTELAYGIELSGLSFFWTLKNLKEGTLELPEGFEERTKERGIVWKTWAPQLKILAHGAIGGCMSHCGSGSVIEKVHFGHVLVTLPYLLDQCLFSRALVEKEVAVEVPRSEEDGSFTRDSVAHSLRLAIVDEEGSSFRNNAKELGKVFSSKDIHNQYIDDFIAALYKYRMRVSHATTIHFYFFPIIICHHPSKKEYAIFFMYCSNNIHSFMDNKKNKPLHVVMFPWIAMGHMYPCFEVSKILSQNGHFVTLISTPSIIDRLPKLSQTLSPFFNLIKLPLSSYIDKNHLPTNADSTMDIPSNKLYYLKLAYDSLQESVAEILKTSNPDWVFYDFAASWLPQLAKGLNLNISCCYFSPCPAWSICFFDTPKKQLGDDSAAIRTNAEDYYGPPKWISFPTKIGLRPYEVRKLLEDIKVNETGASPVFDLNRANSDCDMFVIRSSRDLEGEWLDFLGEFYNKPVIPVGLLPPRRDSSDEVEDSPDWIQIKAWLDTQKSSSVVYIAFGSEVKLSQENLNELALGIENSKLPFFWVLRDLKNGFVELPNGFEDRTKDHGIVWKSWAPQPKILGHGSVGGCLTHCGSGSMIENLYFGHVLVMLPFLLDQALYSRVMQEKKVGIEIVRNEEDGSFTRNSVAKALRFTMVDEEGSDYRKNAKEIGKKFSNKELHNQYIENFISSLQNHNS >RHN47030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36599908:36603665:1 gene:gene41579 transcript:rna41579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MFATGGAFLSAPILTMMDKLTSTEFQDYVNNMKLNHSLLKQLQTTLLTLEAVLVDAERKQIHDPAVREWLNDLKDAIYDTEDLLNQISYDSIQSKVTNQVLNFLSSLFSNTNGEVNSQIKISCERLQLFAQQKDILGLQTVSWKVLTGPPTTLLVNEYVTVGRKDDKEELVNMLISDTDNNNIGVVAITGMGGIGKTTLARLIYNQEEVKNHFDVQVWVCVSEDFDMLRVTKSLLEVVTSREWNTNNLDLLRVELKKNLNNKRFLIVLDDVWNENGCDWDELICPFFGKSGSKVIITTREQRVAEAVRAFHIHKLAHLSDEDSWHLLSKCAFRSENFHGDEYPTLEEIGRRIAMKCGGLPLAARALGGLLRDTVDAEKWNAILNSDIWNLSNDKVMPALHLSYQDLPCHLKRCFAYCSIFPKDYQLDRKQLVLLWMAEGFIEHYLGPKEAEEIGNEFFAELISRSLIQQAYDDTDGEKFVMHDRISDLAAFVSGTSCCCLKYGGKISRNVRYLSYNREKHDISSKCEIFHDFKVLRSFLPIGPLWGQNCLPRQVVVDLLPTLIRLRVLSLSKYRNVTKLPDSLDTLTQLRYLDLSNTRIKSLPSTICNLYNLQTLILSYCYRLTDLPTHIGMLINLRHLDISGTNIKELPMQIVELEELRTLTVFIVGKGQIGLSIKELRKYPRLQGKLTILNLHNVTDSMEAFSANLKSKEQIEELVLQWGEQTEDHRTEKTVLDMLRPSINLKKLSIGYYGGKSFPSWLGDSSFFNMVYLSISNCEYCLTLPSLGHLSSLKDLRLDGMRMLKTIGPEFYGMVGEGSNSSFEPFPSLQNLQFRNMSSWKEWLPFEGGKLPFPCLQTLRLQKCSELRGHLPNHLPSIQQIIIIDCGRLLETPSTLHWLSTIENKNIVYLK >RHN48073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44742586:44744965:-1 gene:gene42745 transcript:rna42745 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLDTASPSPLHIKQDDTNVVNSSSPQFTPSSDKRFWSTLRCRIDSLLDKRGQPKISDNERGKQLKEDSLLLIRGFDSVSNTLSLLSNNLDNALQGARELGNPPTLTDIFKSKIDQSENNGEEEEKEESKQGVKRKIDEFYEENVTESQTENGGKMHEKHIKKAKNIAVSMASKAATLARELKSLKSDLCFMQERCGLLEEENRRLRDGFAKGVRPEEDDLVRLQLEALLGEKARLASENANLMRENQCLHQLVEYHQHTSQDLSESYEQVIQGMCLDFSSPPRSISGEETRDEDGDDDDDDK >RHN65962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7077011:7103931:1 gene:gene13870 transcript:rna13870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAELVGGAVLSSFFPVILKRIGSRDFKDLFNKKLVEKLEVTLNSIDQLLNDAETKKYQNQNVKKWFDNLKHEVYEVDQLLDEIDTNVKLKSKDMLGSKVKYLLSAITNPFESRIKELLGKLKYLAEQKGDLGLTQRSCTSYEGAVSPQSSKRSPTASLVDESSIRGREGEKEEIINYLLSYKDNGNQVSTISIVGLGGMGKTTLAQLVYNDCRIQEKFEIKAWVHVSKYFDVIGLTKIIIGKFDSAANSEDLELLQRQLQKILTAKNYLLVVDDVWKLNEESWETLLLPFNQGSSTSKIIVTTRDKNVASIVKSTKLFDLKQLEKSDSWSLFSTLAFHGKNASEYPKLESIGKKIVDKCGGLPLAVKTLGNLLRKKFSKHEWEKILEADMWRLADGDGDSNINSALRLSYHNLPSSLKRCFAYCSVFPRGFEFDRDELIKLWMAEGLLKYCGRDKSEEELGNEFMDYLESISFFEQLNYDGRTRFLMHDLVNDLAKSESQEFCLQIESDNLQDITERTRHIRCNLDFKDGEQILKHIYKFKGLRSLLVVRPKYGQERFMISNNVQRDLFSKLKYLRMLSFCYCELKELAGEIRNLKLLRYLDMRGTQIKRLPDSICNLYNLETLILEKCYELTELPSNFYKLVSLRHLNLEGCNIKKMPKKIGRLNHLQTLSHFVVGEQSGSDITELGNLNHLQGKLCISGLEHVISLEDAAAAKLKDKEHVEELNMEWSYKFNTNGRESDVFEALQPNSNLEKLNIKHYKGNSFPSWLRACHLSNLVSLQLDGCGLCPRLEQLPSLRKLSVCDCDEIKIIDQEFYDNDSTIVPFRSLEVLKFEKMNNWEKWFCLEGFPLLKKISIRKCPKLKKAVLPKHLTSLQKLEISYCNKLEELLCLGEFPLLKEIYIFDCPKLKRALPQHLPSLQKLHVFDCNELEKWFCLEGIPLLKEISIRNCPKLKRALLPQHLPSLQKLKICDCNKLEELLCLGEFPLLKEISISDCPELKRALPQHLPSLQNLEIWDCNKLEELLCLGEFPLLKEISIRNCPELKRALPQHLPSLQNLEIWDCNKLEELLCLGEFPLLKEISIRNCPELKRALPQHLPSLQKLQIWDCNKMEASIPKSDNMIELDIQRCDRILVNELPTSLKRLLLCDNQYTEFSVDQNLINFPFLEELELAGSVKCPSLDLSCYNSLQRLSIEGWGSSSLPLELHLFTSLRSLYLDDCPELESFPMGGLPSNLRDLRIHNCPKLIGSREEWGLFQLNSLKWFSVSDEFENVESFPEENLLPPTLKDLYLINCSKLRKMNKKGFLHLKSLNKLYIRNCPSLESLPEKEDLPNSLSSFYFGHSQLWNNKGEV >RHN44700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7462357:7464089:1 gene:gene38850 transcript:rna38850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-VII-1 family MVQYGNPGNSLLQELNRDEEIQENFGIDDDFDTDDRQAWINATRWQPDPVEADPSKGSRHQRKVDVLGMIVGIIGSTDHLLQMVCIADPPYDKSLQQPQSILSSLVSEEKLELRYGLYNWISNPENSLNKASEIGEGVFRTIFKVPLGSQQGRNVAIKKLITSNILQYLEDFDREVRILGNARHPNLIASKGYYWNPQLQLLASEFAPNSNLQSKLHENLPSSPPLSWPNRFKILLGTAKGLAHLHHSFRPPIIHYNIKPSNILLDENFNAKISDFGLARLLTKLDKHVMSNRFQSALGYVAPELACQILRVNEKCDVYGFGVMILEIVTGKRPVEYGEDNVLILNDHVRVLLEHGNALECVDPSLMSEYPEDEVLPVLKLAMVCTSQIPSSRPTMAEVVQILQVIKTSVPQRMEVF >RHN59771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12958447:12960109:1 gene:gene21919 transcript:rna21919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MTSVIIDPDDYDMCLFNIIFKVESSGIHQNFQLDPVLHKQFTLFHKPYTLHLSVYINPHTQPQYSTPLNHNTHKNLITQFSFFSFLMSITKESESQSQAEARPGSITKRSSRKGDSVFHGVRKRSWGRYVSEIRLPGQKTRIWLGSFESAEMAARAYDSAAFFLKGNSASLNFPEEVEFLPRPLSSSRRDIQSAASKAAHQRSHHHQQQEEQLRGVTPSSSSSTRMNENNIINNSDDNIISGNNNVNDFSIEMMEWWKEEECVSTTSFWDVEDAPLMSPTRVGSIFGDMMTWNEVFNFNDDNSLVYVP >RHN45538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22600212:22602016:1 gene:gene39902 transcript:rna39902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MAKTIHIAAISIPAFSHQASIIEFCKKLIHHHNHFHITIIFPTIDSPLQATLTLLKSLPSNITYTFLPPINKKSLPQNLSPAVQIQLAVSQSMPSFHTTISSLCSSSTTPPLVALITDPFANESLEVLKEFNLLSYIYFPPSAMTLSLFIHFPKLHEEISCEFRDHNEAIQIPGCVPIHGIDLPEHFQDRSSLAYDLILQRCKRFNLADGFLVNSFLKMEENTMKALEEHNDSVFLVGPIIQNGTSNETKVSDSDLECLKWLKNQSTNSVLFVSFGSGGTLSQEQVNELAFGLELSGQKFLWVLRVPSDSSNEAYLGAKNDDDPLNFLPKGFLERTKGQGLVVPNWAPQTQILSHGSIGAFLTHCGWNSVLESVVLGVPMIAWPLFAEQKINAVLLCDGVKVAMRLKFNEDGLVGRDEIAKVVKELMLDDEGNVIRERIEELRDAAIDALKEDGSSTLAICQFGNRLESNGTNL >RHN55974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26248144:26248560:-1 gene:gene31275 transcript:rna31275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MSKMSKQMGQSFGSVGSSMRRRVATECRCGEESVIRTVTDNTNPNCGKRFWGCKNYKNHYDKGCSFFKLLDEELTDERDLLIAKLQKKNAKLKHELEKTRNWLKMSLIFGLTCFAFCLVLGTVLICKISGSLSNMYLK >RHN41096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26944029:26944781:1 gene:gene47385 transcript:rna47385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVNVLSRLLLFLISLHCFVACLATNTKNITTDQSSLLAFKSLITSDPYDMLSNNWSTSSPVCTWVGVTCDERHNRVHSLNLQNMSLRGIVSPNLGNLSFLVILNLSSNSFNGQFPKEIYRLHRLKVISIAFNEFVGGIPEALGDLSQLQIVHLGANNFRGFLPQSIGNLRQLKSFDISGNMLFGPIPQTISNMSSLEYLALHHNYFSGNASSDIMCHIFYISCIHTIYDFIISILDLLLLPYHTNSCTYD >RHN63662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53004076:53006522:1 gene:gene26389 transcript:rna26389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MMGRPPCCEKLGIKKGPWTPEEDIILVSYIQQHGPGNWRSVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTDHEEKMIVHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKRKMNKDQSSTDEGVDQESRSQLPNKGQWERRLQTDIHMAKQALSEALSLQHNPTTLGTLPDQMKPSSSFSHSHEHPPNLNIPSPYASSYENISRLMETWMKSPNSSAETNSSSIFSNMQGSSCSEGAQSTTQDHHGLNSSKSDYASRFRSSHEGNNSFNLNTKEGLFFHQEERINIKANMETHVPLTLLEKWLFEDGGASHECHEELINMSLEGTTSDFF >RHN44011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:789637:790044:-1 gene:gene38055 transcript:rna38055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MASSSSTKKITLKSSDSVTFEIEEVVFQSIKNLTDDVADDIEILVPRITGKILAKVIEYCKKHVEAASSYEKLFDDKLNKWYTEFVEVDNVTLFNLIWAASILDLSIKTLADMIKDKKPEDIGKIFNIINAYRPE >RHN73156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13896191:13897091:-1 gene:gene8942 transcript:rna8942 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIYLSFNMDLYKYISMPSNFNTQKTIQTKKKHLQTNHFFVHICEMAPQADFAIAKIGYEIEKFFGRQKSFSNNSNPPATQNLCQYKFYPTYGATTVTKVVHTNVEDLTCNEAASRFIAEKRKDQVQVKGLVGQ >RHN80883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41554402:41555284:1 gene:gene4859 transcript:rna4859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol 3-alpha-galactosyltransferase MIYLDGDIQVFENIDHLFDLPNNYFYAVMDCFCEASWRHTKQYEIGYCQQCPDKVQWPANFGPKPPLYFNAGMFVYEPNMATYHDLLQKLQVTKPTSFAEQDFLNIYFKDKYKPIPNVYNLVLAMLWRHPENVELEKVKVVHYCAAVCFLIFPIN >RHN38530.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:860264:861911:1 gene:gene50650 transcript:rna50650 gene_biotype:protein_coding transcript_biotype:protein_coding MATMFWVMTLLSLSCLLGFNAQAPATAPTKLPPTTPTAITPVTTQPPTVVASPPITSQPPVTVAPKSAPVTSPAPKIAPASSPKVPPPQPPKSSPVSTPTLPPPLPPPPKISPTPVQTPPAPAPVKATPVPAPAPAKQAPTPAPATSPPIPAPTPAIEAPVPAPESSKHKRRRHRHKHRRHQAPAPAPTVIHKSPPAPPTDTTADSDTAPAPAPSLNLNGAPSNHLQGGNIWTTIGFAITVFLAVTGYSF >RHN48434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47449616:47453583:-1 gene:gene43149 transcript:rna43149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding protein MGKKGNWFSTVKKALSPDSKKSSKSKKKWFGKQKLQTSDPSVEIDTALPLPPPEDIKLTDIENQNNHHNVAEITTVVDVEEPVRSVQTAVVKTQAATVSRFAGKPKDEVAAIKIQTAFRGYLARRALRALRGLVRLKTLMEGPAVKRQAMSTLRSMQTLARVQSQIRSRRVRMLEENQALQRQLLQKHAKELETMRIGEEWDDSLQSKEQIEAKLLSKYEATMRRERALAYAFTHQQNSKNSSRSMNPMFVDPTNPTWGWSWIERWMAARPWESRGLVDKELNDHSSVKSSSRSIIGGEISKSFARFQLNSEIHSPVASQNPGSPSFQSNSTPTKPASASVARKLKKASPKGSWVMDDDSKSMASVQSDRFRRHSIAGSSVRDDESLASSPSVPSYMVPTQSAKARLRTQSQSPLAKENGKQEKGSFGTAKKRLSFPASPARPRRHSGPPKVETAINAELPVPNGVAS >RHN75691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44344367:44345810:1 gene:gene11929 transcript:rna11929 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCISTPKDDQNTLKNQQNFTQEAKCKVPPPQSKSPPHIVEEESVKEVLFETETPISKPQQVPNLTQETMTQMQNMEITKDPIMKKPLEEEPSEEISVISETCSVGESYSYSYSTTTTTATVPETREDEVTSKRRIREGTQNRNRNRNRSHSDASRNRSYNADRNRVGGRERRRPKSPARVPEIPPEKKIVAGSRSVCRREFSDKLRRDSGTGVCRRSRSPSCHRTAGSSNGRSELRQTEKDGRRFPPTEVVGDTNDGVSVEESFTNPHVSLECFIFL >RHN48707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49590431:49591339:-1 gene:gene43450 transcript:rna43450 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDDWDLFSIVRRCKATTLAQPTTNFETPPPTTTIPYNNTISPQNTTSSYFDSFTFNDENNSFPFTPPRPNDFIDLEKLIFNSIPTTVVPTPTTTIPTPTTLTTITPTIPTHITTTATLTNTSVQGSDQNSIRFDFPIPVEHQLVQPNYLTELEKIMLNFNPITINPTPTFTTPTTPTTITPVSNTTTFTTPKPTTIIHTPFTTTPTMSTVLGTNQNTTFSDFSMFIQQQHIQPDHNNQVFALKTIACVDITTAHFDRANNHPSVLNQPSREQNQPPIPVPRTTSRVLPYTHPHKPNSRKR >RHN53792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4184459:4185827:1 gene:gene28738 transcript:rna28738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rosmarinate synthase MDHVHCNVGSQIVAVTSVSPAKITEPRQVCQILFNDETKRTIEGCYQMVLYYEKVEEEDHDWSLTGWIVESLARALLDHPLLAGRVQKRDITGLEIVSNDSGIRLLEAHYPTSLSEFLESNKNEHDDDHEARLVFWNEIDGQFPLFSPLFYVTNFKCGGYSIGICCSLLLAEVLVNQKFLNKWVQIHNMLPTTSKEEINTSIFNYPRLKNHNFLSSEDLINHTQNKNRVQSIVFKITTKNVKFSKELWRELAMFCIEEVEQKLDTKIGSSFTLVVKESLEVIEVESVTKSGYTLNELGIKNQINCIASWNDFGVYEVVFHEENKPVHVSCWIGSVADARVMIVPCLEEENACFVTVASPR >RHN59070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7292020:7293602:1 gene:gene21057 transcript:rna21057 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEETSLPAKGVRDCNCNLCCGIHRYSNHSSLKPFTRIRRKEELIGPWLRRANALGLRAFRRHRSITYFAATGQSPDAVGLKTSALQDTTALLTNTAREIARAQGAQEQLLDAQRNNNTTLKYMCIWELS >RHN67938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31645866:31649841:-1 gene:gene16201 transcript:rna16201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRTKYEATARSWTQKYAMG >RHN42669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40174142:40176289:-1 gene:gene49145 transcript:rna49145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MKQNCLQLMFSKFEYDGKLNETFVEGPFELPVSSIKAYINDPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRLNKELDYILTYKLKGEDLIRESGIPYVIVRPCALTEEPAGADLIFDQGDNITGKISREEVARMCVAALESPYACDKTFEVKSVIPFSEPFTVDPENPPSEKDYDIYFKNLKEGITGKEALQQSPTPV >RHN38740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1682519:1682930:1 gene:gene44705 transcript:rna44705 gene_biotype:protein_coding transcript_biotype:protein_coding MFPNMRLLALVLLCSLSSFDSLTSPSASSTLFASSCSLSPLSNSSWHLFNNNLLKVIFSLSTLKSGNSAARCEQKLAYISQKVGSIRAFSKICEMTPVRVIALSILTAFTCSKSLSKF >RHN62980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47248228:47249555:1 gene:gene25623 transcript:rna25623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNQNDLQPLPLLSSFLGSTSQEPLPQKESHKTCFNQAEDVTVALQIGLPNNSSESQNENGFLNVPNHVPNNYWIPTQEQILIGFSHFSCPVCLKTFNRYNNLQMHMWGHGSQYRRGPDSLKRTHPRPLLDLPCYCCSKGCKNNIEHPRAKPLKDFRTLQTHYKRKHGSKTFTCRKCGKPLAVKGDWRTHEKNCGKRWLCICGSDFKHKRSLKDHIKAFGFGHSPFSSSSGGI >RHN61146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33455490:33455913:1 gene:gene23580 transcript:rna23580 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLVFTFFLGKKIVFKLLLIFIMVLQQNKKKVIIMVLKKEVIIDQKKKKEVIIISPK >RHN75873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45759767:45769421:-1 gene:gene12129 transcript:rna12129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSDEGERTCPLCAEEMDLTDQQLRPCKCGYQICVWCWHHIMDMAEKDETEGRCPACRSPYDKEKIVGMAANCERLVAEVHMERKMKSQKAKSKSSDGRKQLSNVRVIRRNLVYIVGLPLDLADEDLLQRREYFGQYGKVLKVSMSRTAAGVVQQFPNNTCSVYITYSKEEEAIRCIQNVHGFVLEDRPLRACFGTTKYCHAWLRNMPCSNPDCVYLHEIGSQEDSFTKDEVVSAYTSHIQQITGAVTNMERRSGNVLPPPLDDCTSNTSGKPTVKNSSSNSVGTARGLPPNGIPAKPMAPHAAWGLRATNCQPAAGGPTGLSKPKPDSISSTLPFSSAVAGTVQVSLQSDTMKRPLSSDGRHSIMPGAKNNCVDVLANVGEKTLASDVSSAPVNLNTQLSLARDSCRGCCTTSNTTKSIDVTTNSIGSFSRSEAITATNEEIQNLSSEVSSIDLDRNAQNEHYNITKPSSPAPDDALVKSMQSQGSEYNVDKYRDEIITNADSKASISDNKVCNSKEQYDLKLDSQSEVASGYVELEDDVTSFDSQRLKDPEVVCNSYLPNSSFPRVASHNNPHPLSHGEPCNVVNAGSLATDNEVGFQPLLHGSKALCNGYSEKFDSTSSYRLLRDERNDHHIGRLISEAVNIGGDAATDKGESSIISNILSMEFDAWNDSVLSPHNLAKLLSESTENQNGTLKKSNSCVQTNQSRFSFARQEESKIQAFDVNPSHGANQQFLKSGSLIQDFVETDKIGIANGFPATNNFEESENISGQFAASFNKISAVPKTQISAPPGFSAPSRPPPPGFSSHERMGQAFDSTSGNSLLDPSFLWRNSYQTPSTGNFGGAGDIEFMDPAILAVGKGRLQGSLNSPMLDMQSNYSPQLNYFENEARLQLLMQRSLSPQQNHRFSEIGNTFSHLGDSYGISSRIDQSQVSNLASFPQLALQQSRNAVLSNGNWDGWNEMQNGNSMGMAELLRNERLGFSKFHRGYDDSKYQMPNSGDLYNRTFGI >RHN51637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21371967:21384300:1 gene:gene36117 transcript:rna36117 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSSRNDEGIVEALSAMAQVLAHANFNNMFFSRIILVIYYMCLYVLLCDYLPLCVYYLGLVDFWSRIVI >RHN79992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34172583:34177391:1 gene:gene3859 transcript:rna3859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MQSSTPQPSLSSMASKALTTGTHSRNGRRFSVFDDSDEENGDCNIQQQRKHDDYAFSLAGSVASKDFEEQKAFNYDEEKGKGKQEKRSLMSNDIEEDDYLLAESRQPLWRKVPIASSLINPYRIVIVMRLVVLVFFFHFRITTPVHDAFALWIISVVCEIWLSLSWIVDQFPKWFPMTRETYLERLSMRFEREGEPNLLAAVDVFVTTADPLKEPPIITANTVLSILSVDYPVDKVSCYVSDDSASMLLFDTLSQTSQFARIWIPFCKKYSIEPRAPESYFSQKVDYLKDKVHPTFVKDRRAMKREYEEFKVRINVLVAKSQKKPEEGWVLQDGTPWPGNNSEDHPGMIQVCLGSAGALDNEGNNLPRLVYVSREKCSGYQHHNKAGAMNSLLRVSAVLSNAPFVLNLDCDQYINNSKALRESMCFLMDPLLGKKTAYVQFPRRFDGIDDNDRYANHNTVFFDINMKCLDGIQGPVYVGTGCVFNRQALYGYKPPSDKRRKTNFSWCCCCSGDSRSAFDIEEIDDGLEDYDEKEESPIMSVKVFEKKFGESPVFIASALMEDGGLPKGTNTRILMKEAIHVISVGYEEKTEWGKEIGWLYGSVTEDILTGFNMHCRGWKSVYCMPKRAAFKGSAPINLSDRLHQVLKWALGATQIFFSGYCPLWYGYSGKLKWLQRLAYMNAIVYPFSSIPLLVYCTIPAICLLTGKFILPTLTSLASIWLMTLFISIILTCVLELRWSKVNIQDWWRNEQFWVIGGVSAHLFAVFQGLLKVAGRDTSFAVRTKSADDTAFGQLHLFKWTTLLIPPTSIVILNMIGIVAGVSEAINSGYNSWGLLFGKVFFSLWVIVHLYPFLKGLLGRQNRTPIIVVLWATLLALIFSMIWVRIDIFLPKKTGPVLAQCGVEC >RHN80835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41155144:41160598:1 gene:gene4802 transcript:rna4802 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTLIFRKYRDALKSVRAPSSSSPPSTSSRGGPVIELVSTSLLNPNRSYAPLSTDDPGGSSKGLNPITVGLPPAWVDVSEEISANVQRARTKMAELSKAHAKALMPSFGDGKDDQHAIESLTHEVTDLIKRSEKRLRRLASAGPSEDSNVRKNVQRSLATDLQSLSVDLRKKQSTYLKRLRQQKEGHDGVDLEINMNGSKSKYEDDDLDNMIFNEHQMAKLKKSEAFTVEREKEIQQVVESVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVATTVEDGLKQLQKAERTQKKGGMVMCASVLLIMCFVMLVLLIIKEIIL >RHN61357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35108779:35114660:1 gene:gene23813 transcript:rna23813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MAHQLSLHFNHHTLHLVNRKRNLISHSNRHLPLHSLLNNTTTAIHSTNQRIISSSSRNRRFGFLTPRVLQNQEVANESEHQEQISQVSSKEEEEVKELLVEQSIWIQMKEIVLFTGPAIGLWLCGPLMSLIDTAVVGQGSSIELAALGPATVFCDYLGYLFMFLSIATSNMVATALAKQDREEVQHHISVLLFIGLVCGLVMLLFTMLFGATTLAAFTGPANVHLVPAANTYVQIRGLAWPSLLVGLVAQSASLGMKDSWGPLKALAVASIINGIGDIILCRYLGYGIAGAAWATLASQVVASYMMSQTLIKKGYKAFSFSIPSGKEFLSIFSLAAPVFVSLVLKMAFYALLVYFATSMGTHTTAAHQVMVQIFTLCTVCGEPISQTAQSFMPELMYGVNRSLVKARSLLRSLLTIGAILGLLFGIVGTFVPWLFPYTFTPDQMVIQEMHRILIPYFLALVVTPATIGLEGTLLAGRDLRFVSLSTSGCFCSSALVLLILCSRYGLQGCWFSLVGFQWARFLTALLRLLSPSGILYSEDVGWYEEQKLKTV >RHN45351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17623395:17624596:-1 gene:gene39634 transcript:rna39634 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPWPFLASLDFGVASTKPPESGISFAQALAGPKDYKLTQLPPKVVIGKSVRVKITQTEYESGLIDCSSNIHGRLTLRRGDTPLSIMALKLQLSNMWPNIHNWDITPLGKGFFEFHFNTVEDMRRVWAMGVVQLNLGLMRFYRWSSDFSI >RHN80466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38332190:38332462:1 gene:gene4397 transcript:rna4397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAKKCEGRAVGIDLGTTYSCVAVWQEQHSRVEIIDNDQGNTTTPSFVAFTHDQRLIGNAAKNQTATNPQNTVFGNFISFLYLFKSMKHIH >RHN74708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36045174:36060233:-1 gene:gene10816 transcript:rna10816 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVCETFPCDVEIKGDLLMSLMEELPCDESNDERLDSLIRSFEAEISESKIGGHANSTCLGSQLKSNFEEYYDESWNIGQVMEEGQDFGVEWVDMDLMTSFQFDDGSWEAIDSFEDEKDVMLDHLMVCDDGFEIEEHAYNSFWQDNYEIGLVH >RHN73860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20752372:20753559:-1 gene:gene9743 transcript:rna9743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSIPPSMSWRKINVLSSVTLSEELIIEILSLLKVKPLIRFKCVSKSWYSLISNPFFIKKHLFKSSQNPHLSIFATNSSGNSIDTTLAPLPIQYLHEITDVNDITRYTDKEYHEVVGCCNGLICLLYISSINNDYEYSFGFWNPATRSSSVKLGSFLISDKEHDSHFHFSLGYDNLTAKHKLVGFRTNEVRVFTLGDNVWRNIQCFPSYPSHWWYVGWNCGVYFNNSLNWFAYQNNVCWNHHLQFIEQFVIISLDLGMETYTQMLLPQDFDEVHPHMPMVCVLMDCLCFSHHSDGYNFVIWKMREFAVEESWIKLLKFSYQLDFSAAVSLFPLHVFQNGDTLIYARYQEQVIYYNRRDNAIDQTRTTDKIHRFFKLSNITSQFLPNHYVESLVSTC >RHN79651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30905472:30909418:-1 gene:gene3469 transcript:rna3469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MSSGGTNPPNDMGKKDVASTTTGRTRKYTRKWRTHLAPQHVLDQLMPMPPTKDTQTGKDVASTTTRPTRQEIRVDKSIPMPTAAHIQTPSPHTYAPPPPTHTSSPVYPQHAYAPPKHTPSGYTLPPIYPPPIYPHHAYAPSANTPPTNPLSGGPSHIRPPSGKGKGVGSTTTSHSLKVTCVVSSSPDQCIPVPTTAHTQIPSSHTYAPPPPTHTSSAVYQQNAYAPPKLTPSGYTLPPTYSHHAYAPSVNPPPTNPLSGGPLHKRPPSGKGKSVGSTTASHSHKVTPVVSSSPDQFIPVPMTAHTQIPSPHTYVPPPPTSSQSPSTQFPLHVYPVNAYAPPAYTPSTYALPTYSLSSEPSKKRPPSDKGEDIASTTNGRSRKVTRVVSGSPLDQFIPMPMTAHTRTPSSHTYTLPPPTRSQSPSTQFPLPVYPPHAYAPPAYTPPTYPLSSGPSDKVPQFSSMPNPGFQGTQGTPLKSSYPKPSQPPPYQAGQDGIHNDVEPEAEGVDMFDIVRQIIQTSTKRLLPSKPKTKSISENMQSHYKKPQTKCRDGNLNDDDRAKILKAFSEKCTWHDKQSDHIQNNFHVRASRDLSDMLREVRLKGQCPHWIGETVWQSLLEHWSSASSQSTYAKEKRNMASKKGESLQKRGSINTTQHVTRMTKKSKRSSNLNGLHKDTQIMKETGDYVDDHSRKNQEKCEARKFQTRSQNNSTSPSMHCNPAKDVVAPLSTCSGVVGDKKRSRLYDDGTISVNHKKGATSLNIPLDNARASLASSGRELAPNLVEVLQRIVTSSENPVRKLRKLKEIMNSQGELLKKQTEGLEELKKRLQEMKEEREAFEERVRKLFEQEHGRRRRPQPSDHDSTLGGNQDVDVGARDE >RHN79626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30721496:30722135:-1 gene:gene3443 transcript:rna3443 gene_biotype:protein_coding transcript_biotype:protein_coding MSILLNIFAALLLLLPTCLTLCLSIFHRVCLSLPTAAAQPRLSTHSNTAASLSHLYQLSQTNSFVHFPNTMKSETPATAAVTKQVKNTRNGGCCEVGNTNDRRGDKRCSRETPTTNNLSPARSRSHRRFTVRRPPSSLS >RHN70277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50186533:50191540:1 gene:gene18841 transcript:rna18841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MSGRGEHHSVPLSVLLKRESLSEKIEKPDMVVVYGNASENKKGEDFILLKTECQRVVCDGVSTYSVFGLFDGHNGSAAAIYAKENLLNNVLGAIPPDLNRDEWVAALPRALVAGFVKTDKDFQQKAQTSGTTVTFVIIEGWVVTVASVGDSRCILEPSEGGLHYLSADHRLDTNEEERVRITSSGGEVGRLNTGGGAEVGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQVKLSNAGGRLVISSDGVWDALTAEMVLDSCRGMSAEAAAPHIVKESLQAKGLRDDTTCVVVDILPQEKPPTSVRTQKKPVKGMLKAIFRKKSSESSSYVEKEYVEPDMVRELYEEGSALLSERFETKYPLCNMFKLFMCAVCQVEIKPGEGISVHEGAPNARKPRPWDGPFLCLSCQKKKEAMEGKQISDRHSNGSD >RHN51001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12776070:12777264:1 gene:gene35361 transcript:rna35361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ccc1 family protein MASLNQQAKYDLEQNSTTLEVETKDFDYSKRSQWLRAAVLGANDGLVSTASLMMGVGAVKQDIKAMILTGFAGLVAGACSMAIGEFVSVYSQLDIEVAQMKREKERGREINDDEEKESLPNPLQAAAASALAFSIGAMVPLLAAFFIRDYKVRVGVVVAAVSFALLVFGWLGAVLGKAPIFRSVLRVLFGGWMAMSITFGLTKLIGTSGL >RHN55936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25764327:25765767:-1 gene:gene31230 transcript:rna31230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-L-LEC family MFPKSNKDEQLNTKCRAFSYSEVISMTDDFRQMIGKGGFGKFYLGIIPDGENVAVKTLSLSELQGHKEFISENIVSLVGYCADGGIRALIFEYLPGGNLQQRLSGLVYLHNGCKPAIIHRDLKPPNILLDENTRAKISDFGLSRAFANDSDTHILTNCLAGSHGYIDPE >RHN72279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6409931:6413130:-1 gene:gene7973 transcript:rna7973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MVLYWFCRSNYQDMGHGKWYSKAHISRLHSDQVRGLAISNKHTYMFSAGDDKQVKCWDLKQNEVISSYHGHLSSVYCLALHPTFDILVTGGHNSVCRVWDIRSEMPVQTLSGHDNAISSLFIRPTDSHVVTSSHDSTIKMWDLRYGKTMLALKNHKQSVRAMVPHPTESQQKTTVDAMAVNDKGVMVTGGDNGSMWFWDWKSGHNFQQYSQTIVQPGSMDNEAAIYALTYDVTGTRLISCEADKTIKMWKEDDTATPETHPLNFIPPNNIR >RHN73843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20581201:20582604:-1 gene:gene9725 transcript:rna9725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 3-O-glucosyltransferase MTKFEVVFVSTPAIGNIVPIVEFANLITKLNPQFSATILIITMPQRPLVNTYIQSRPSSATNIRFLHLPTVDPPTPDQYQSSIAFISLLIQNHKNNIKDALRNITSTESEGSDSVKLVALFVDMFSTTIIDVAAEISVPCYLFFASPASFLGFMLHLPRVESVESEMEFEIPCFKNPLPKLVLPNFLSKEDAYFWVSYHARRYKETKGIIVNTLKELEPYALESLHNDLQLPPVYPIGPVLDLVGPVQWDPNPVQYNYIVEWLDLQPVKSVVFLCFGSLGSLEAKQVEQIAIGLEQAGIRFLWAIREPPKAQLEDPRDFMSYENVLPDGFLRRTMGMGIVCGWIPQAKVLAHKAVGGFVSHCGWNSILESLWYGVPIATWPVYAEQQMNAFEMVRESGLAVEIRLDYRVGGDLVQAEEVKNGVTTLMNNSHEIRRKVKEMSEKCRFALMDNGSSYTNLVSLIQELTK >RHN61898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39205859:39206119:-1 gene:gene24410 transcript:rna24410 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLMKIFVKILIGRQLEDGRTLVDYNIQTESALHLVLRLIGGMQIFVKTLTGKDHNIGCRELRYNR >RHN70824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54310469:54316799:1 gene:gene19449 transcript:rna19449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MAPILLAIISLTKGFQETLRNYKYCVLIHNTHKSLKQSNLDTFILHLRNSSYFFKTFEMGFRLPAAIRRASFSSSQTSKVLNVPKGYLAVYVGEQMLRFVIPMSYLNQASFQNLLNQVEEEFGYDHPMGGLTIPCTEDVFLQITSRFNEL >RHN82343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52970129:52973591:-1 gene:gene6503 transcript:rna6503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent protein translocase protein TATC MGLGTATVPMNILPQFGLLRTHLTPIRVNNSSGFTFPLSRRRNRSFGKFVCFAVDDELRQNQQQLSTSSNRVGSAIEERPSEEALENFKEDGERSAIYDFLYPDKELLPDDKEMSIFDHLEELRQRIFISVLGVGGSILGCFAFSKDLVRLLEAPVQSEGVRFLQLAPGEFFFTTLKVSGYCGLLLGSPIILYEIIAFIIPGLTKAERRFLGPIVLGSSVLFYAGITFSYLVLVPAALNFFVNYAEGAVESLWSIDQYFEFVLVLMFSTGLSFQVPIIQLLLGQLGLVTGDRMLSVWRYVVVGAVVAAAIVTPSTDPLTQVLLAAPLLGLYLGGAWMVKLAGR >RHN40138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13575421:13578917:-1 gene:gene46248 transcript:rna46248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator C3H-WRC/GRF family MHMLTMEAKPLQLVPSSHNSTTGGGPQMKIENGEVDEEKRVVVGVKEDIENKPLITEAQRRELDHQVFIFNHFAYNLPLPYYLLQFPSNMSEYSRRGSDYVTMVDQEPHRCRRTDGKKWRCGKDTVPNQKYCERHMHRGRNRSRKLVETSQLNSPLKTNPSGGGKSHAKLVPNIKSSVSNPNPLIIHHNGTFSYNPRTFCVVDTSSVCDRSRHVIDYGATAVTTSGSTTSVSLDNRVCPNVCKQDEQIKRCITDNVGIKSGRKGSISCESIGISTGIGFSPKSVLPVSGCNDSYLNNRNNILEPEPGRCRRTDGKKWRCKSAVLPGQKYCATHMHRGAKRRFTNLESPPPATTVIPKTTDISSAVTIAQLPDPSAPIDIQKANCWSPSTKLSMSVQESAPFVDCNEKSVSSGDTDGTSTTITDTMNECSYLSF >RHN39911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11636015:11637208:1 gene:gene45995 transcript:rna45995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferric-chelate reductase (NADH) MLIWTKDGVSNLAGEISLLAGLFLWIATIPRIRRKFFELFFYTHHLYIIFIIFYIFHVDISFSFTMLPSFYLFLVDRFLRFLQSRRGVRLVSSRILPCEGVELNFSKGHGLTYNPTSVMFINVPSISKLQWHPFTVTSNSKLEPEKPSVVIKCGGNWTQKLYQLLSNPLPIGRLGISVEGPYGPASTNYLRHDTLVMVSGGSGITPFISIIRELIYLSTTFKCKTPNIVLISSFENTSCLSMLDLILPISGTPSDISNIQLQIEAYITRDKEFKPDTPIHPQTLWLKPNPSDEPIHAMLGPNS >RHN38688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1317207:1322782:-1 gene:gene44649 transcript:rna44649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative elongator complex protein MAATRTRVSSFSRNVSTVTSQNSGLKHGPNGTTFLSSGIPDLDKILGGGFSLGSLVMIMEDAEAPHHMLLLRNLMSQGLVHKQPLLYASASRDPKGFLGTLPAPAPAKEDKSQDLTNEKDLRIAWQYKKYFGDPQSNISSNNGAQHDYCNEFDLRKPLDRHFYSGMNVDCVSIQDSPNLASLQDQCAKFLSQFSRSEANISSAGRIAIQSFCSPQCKYANMEWHMLSFIRSLKGMARSSNAVVVVTFPPSLVSPSCSKRLQHMADTLLSVRAIPDEDKEMAKLLTGYQDMVGLLNIHKVARLNTQVPVILEATTFSIKLQKRRYLVLECLNQAPVDGSSGSSYNTSGSCSGSTKAGSLDF >RHN82624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55248816:55249443:1 gene:gene6799 transcript:rna6799 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGMCYVAMSWCVKQRGPVFTAAFTPLLQIYVAVLDFSILKEEIYLGSIAGSALVIVGMYILLWGKSMEGEQRVMKDTQANQDVECQ >RHN69468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43647627:43650546:1 gene:gene17944 transcript:rna17944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MVEKNGSKNHHQTFDVSIDQQRDSKYFDDDGRIKRTGTAWTASAHVITAVVGSGVLSLSWAIAQLGWIAGPIVMILFAWVTYYTSILLCECYRNDDPINGKRNYTYMDAVHSNLGGFQVTLCGIVQYLNLAGAAIGYTIASAISMMAIERSNCFHRSGGKDPCHMNSNIYMISFGAVQIIFSQIPDFDQLWWLSSLAAVMSFTYSTIGLGLGIGKVIGNKKIDGTMAGVTDVTKAQKVWGSLQALGDIAFAYAYSMILIEIQDTVKAPPSEAKTMKKATIISVAATTIFYMLCGCFGYAAFGNSSPGNLLTGFGFYNPFWLLDIANAAIVIHLIGSYQVYCQPLYAFVESYTAKRFPQSDFVNKNIKIPIPGIQMYKLNLFRLVWRTIFVILSTLVAMLLPFFNDIVGLLGAIGFWPLTVYFPVEMYIVQKRIPKWSSKWICLQLLSVVCLIISIAAAVGSIAGIVLDLKVFKPFKSVY >RHN53490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2025687:2026998:1 gene:gene28400 transcript:rna28400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MTSRDSISTIECFPDDVRCHILSFLPTRDAAATSLLSKRWKPLWLSLRSFDFHDRYFPDFLKFSDFVISFLSSPDTLHVQSLRLSCGSGNTFQSYYSDDFNLFFNRVGLKGVPELDLQMLFSSSLPSGFYSCKTLVTVKLDNVILDYSSCVDFPLLKSLILNDFTFGSQAIMFNFLCGCPILEYLDAKSLKIGRDTPPQVEEGAKSLPKLVRARIGYCNYTPFPVRSNAQFLHPQMNVNDCVYNPMFHNLVDMDVAFAWGSCNIIWNWFAEVLHNCHKLQNLTLCKNFKCVDEIGKEHWKDPQIDSECLSTQLRTFTLKNYIGLSCEAQFAKYIMQKSKVLQNMTIQSTLNIDPEHPMLETFSLCPRGSATCKLHFDLQPDM >RHN65792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5395222:5396186:-1 gene:gene13682 transcript:rna13682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MESGLTITAQKHRKNKSTCEDDLFYKLTESLITHIISFLPTKDAVRTCVLSKQWKHRWTFLTKLSLLDHHDSSPSSNFVSFVTRALLLTRTETISLSLSGQYDLSLLDAWFGIMLLDGTLKNLRIHSHFKLPFSTFASNSLFKITLLLEKLELHPESISRIKVPSKPDIHFGNLKHLKLYQIKFKTDSTISPDHIDLRFPHLTKFEAINCSWFLDSRAVYVHAPLLQSINIKNGTCLLYGEDNSAINFVSSLHLQGFTFAGYCIPQGIIIPFPCYAYATIAIEKGPVYSPDHSIFGLLSQFSNAKQIRFHVSGVSV >RHN58896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5790744:5792673:1 gene:gene20852 transcript:rna20852 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQQEQQEESKTFPLKITVDKQSNKVVFVEATKDFVETLFSFLSLPLGTIVRLLATTDKNDRQQLSKSSPFFENIKNLYQTVQNLNSDEVWNNPLCKQMLLHPRNSCESLCMKLYLNIDDSEPSSKFYVCDSCNKFTTFRNLDCTCGKPPNRQPKNLDSEGQGNHASNGFFDTKSEQMFLVSDDLKILPSSLLNSMQMLLKSGISDSTQLEEVTQNIGKKEILNLLKYTLTSHEPLTNTILQSSSKNNDEPRNQYVNGIVTSNYKRNKMDIKVLQSRSKKKLVSAEADGDFIDFILGFLTIPLGSILKILIPNNFPRCVGNLYKSVKNLNPMSELLHPCIAPHYGCPNQPLNIFPLKFPDHYYYGIHHNDDDDDYNTIEEVISNSHVSIVNRRSVTELDPREGAVGFVKRAAFYVLGDDLEVKPLAANSFLSYLKELSLPLDDLEVAVITVGEEEVRWLLFNY >RHN76801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1358441:1360813:1 gene:gene178 transcript:rna178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucose 4,6-dehydratase MYEPKNILITGAAGFIASHVTTRIINKYPSYKIVALDKLDYCSTFKNLQSCTSSPKFKFIKGDIASVDIVNHVLIEEEIDTIMHFAAQTHVDNSFGNSMEFTYNNIYGTHVLLEACRATNCVKRFIHVSTDEVYGETDLDANIGNHETSQLLPTNPYSATKAGAEMLVMAYHRSYDLPIITSRGNNVYGPNQYPEKLVPKFILLAMKGEKLPIHGDGSNVRSYLHSGDVAEAFDVILHKGVIGQVYNIGTKKERSVLDVAEEICKLFKLDSKKMIEFVHDRPFNDKRYFLDDQKLKKLGWEERTTWEEGLKMTIDWYRNNPDWWGDVSTALNPHPRFSAINLSDEAQWSFQYGYSRLLRSFTDVGRREPGLKFLIYGRTGWIGGLLGKICDEERIAWEYGRGRLQDRRSIMEDIKRVMPTHVLNAAGVTGRPNVDWCESHKAETIKTNVVGTLTLADVCKESDLYVMNFATGCIFEYDKEHPLGSGKGFKEEDKPNFIGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTVLDELLPISIEMAKRNLKGIWNFTNPGVISHNQILELYRDYIDPSYKWVNFNLEEQAKVIVAPRSNNEMDASKLKNEFPELLSIKDSVIKFVFEPNKKT >RHN82417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53566509:53567398:1 gene:gene6581 transcript:rna6581 gene_biotype:protein_coding transcript_biotype:protein_coding MMQVQVPVTHKINHLFNFLQNHPSSIALNLCKYSALFLALLATFHTIFIIKFPKKTPSLPPLIAEDDFGDSEDETCSLSSMSSEPEDNEEEEEEEDDENRSGEYFRLKGSGNGDGFLRSCRRSITDIFSLSEIANSKSVVKLWDTIGFGLGFGFDDFDSSVVSLYGGADEKQSTLSSARMVSAGENASENSALMIWDTRLRRRIPAVVTEWVPGNGNVVGIVSGGLEKVCVRDDGHYELTIL >RHN57594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40408027:40412282:-1 gene:gene33167 transcript:rna33167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MDTPSFTFVLYTLFLTLSVSVSSSSSLPMSLKTQASILVSLKQDFESKTSLKSWNISNYMSLCTTWYGIQCDTNNSSVVSLDISNLNVSGTFSSSITKLSNLRFLNISNNMFNGNLSWKFSHLKELEVLDAYNNEFNCSLPLGVTELPKLKYLNFGGNFFYGEIPSKYGNMLQLNYLSLAGNDLRGFIPFELGNLTNLTHLLLGYYNEFDGEIPPHFGNLVNLVHLDLANCGLKGSIPHELGKLYKLDTLFLQTNQLNGSIPPQLGNLSSLKSLDMSNNELNGNIPNEFSNLRELTLLNLFINKLYGEIPSFFSELPNLEVLKLWQNNFTGSIPSKLGKNGKLSELDLSTNKLTGLVPKSLCLGKRLKILILLNNFLFGSLPNEFGQCYTLQRVRLGQNYLTGSIPKGFLYLPQLSLLELQNNLLGGFLPQQEITNTNTSKLGEINLSNNRLSGSLPNSIGNFPNLQILLLHGNRFSGEIPSDIGKLKNILRLDMSFNNFSGTIPIEIGKCSSLTFLDLSQNKLSGPIPIQVSQIHILNYLNVSWNYLNQTLPKELGSIKGLTSADFSHNDFSGSVPEIGQFSVFNSTSFVGNPKLCGYDLNPCNKSSSETLESQKNGGEKPGIPAKYKLLFALALLVCSLVFATFAIMKGRKGIKRDSNPWKLTAFQKIEYGSEDILGCVKESNIIGRGGAGVVYGGTMPNGEKVAVKKLLGINKGCSYDNGLSAEIKTLGRIRHRYIVKLLAFCSNRDTNLLVYEYMTNGSLGEVLHGKRGGFLEWDVRVKIATEAAKGLCYLHHDCCPLIVHRDVKSNNILLNSEFEAHVADFGLAKFLLQDTGGTSECMSSIVGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELLTGRRPVGDFGEEGMDIVQWTKLKTDWNKESVVKILDGRLHNNIPLDEAMQLFFVAMCCVEEQSVERPTMREVVEMLGQVKQPNIFQV >RHN76767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1135404:1135705:1 gene:gene141 transcript:rna141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MDPYVILSYRSQEHKSSVAKNAGSNPRWNESFLFTVSDNAAELNLRLMDEDTFTKDDLLGEVKYVTLS >RHN59314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9464010:9467470:1 gene:gene21323 transcript:rna21323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MLSILLLLVLCLTLPLHMFFHKHKTNTNNPPGPKGLPIIGNLLQLDISNLHFQFSQFSKIYGPLFSLKLGLRPAIVVSSAEIAKEVFKNNDHVFSNRPISYGQNILCYNGSEIVFAPYGDFWREIRKICVIHIFSSKRVSYYSSIRIFEVKKMIEKISGHADSSSVTNLSELLISLSSTIICRIAFGKSYEDDEIEKSRFHGLLHEFQALLATSFFSDYIPFTGWIDKLRGLHGRVDRNFKEFDEFYQEIIDEHLDPNREHITDEEDIVDVLLELKKKRSFSFDINFDHIKGILMDMLVAATDTTSAASVWAMTALIKNPRVMSKVKEEIRNLGVKKDFLYEDDIQNCPYLKAIVKETLRLHLPAPLLVPRESIENCTISGYNIPAKTILYVNAWAIQRDPDIWINPEEFYPERFLESSINFIGQDFELIPFGAGRRICPGIPMAIASLELILANLLYSFDWSLPDGLVKEDVDTEMLPGITQHKKNPLYLIAKIPK >RHN50527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7931635:7935685:-1 gene:gene34823 transcript:rna34823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSPSHEALILIQKMVKLPPEKAFSFFIPSTCQGLQHTSQSVSFILNRLLSSGLQSQAQSVLVRVISGQVTSSMFSHSSLMEELTQTHFTSSSTCSLLYEAIVNAYVHSQSPDEALYFLHEMIHKGHAPISNTFNNLLNLLIRSNSFCRAWLVFDELKNKVVLDVYSFGIMIKGCCEAGDLMKSFQLLGMMEKTGLSPNVVIYTTLIDGCCKNGDVHLAKKLFCKMKGLDLVANQHTYSVLINGFFKQGLQKEGFQMYESMKLSGIVPNVYTYSSVIGEYCNDGSIDKAFNVFDEMREKDIACSIMTYNLLINGLCRMKKLGEAVKLFYRVNRVGLRPNIFTYNTLIKGYCDAEKIDTAVRLYNELKSNGLSPTVVTYNTLIAGYSKVGNLAGALNLVKEMEERNIAPTKVTYTILLNGFVRINYMEKAFEIHSLMEKSGLVSDVYTYGVLIHGLCMNGSMKEASKLFKSLDELNMEPNSVIYDTLIHGYCKEGNCYRALRLLNEMIGKGMVPNVASFCSTIGLLCKDEKLKEAEVVLQHMVNLGLKPSVSLYNMVHKDKSEVLDVDLESVI >RHN68783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38564570:38566201:1 gene:gene17179 transcript:rna17179 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVETMQPQQQRDQGEASFSASSHNNHVSPSPSFSSYSSETLAEIAARVIDELRWDPHSDEDALYQPWEDDNKLKTQNDNEKDEDSEFEFAVVSRDSTNFSVVSADDIFYNGQIKPLYYPVFDQNLLNDDDDGVVSSVSPVPNETTTRRRLPLRTLMFEESSEATASCSSSTDESIDLEGVAEGSYCVWNPNSVGIERKKSSSAGSGSNRWKLRNLLLRSHSDGKDKQPVMFQIPKTTASKVSPAVENDGGKNQSKRKSFLPYKPELVGLFSNVNGLGRNLNPF >RHN68855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39120129:39124175:-1 gene:gene17266 transcript:rna17266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MAHRLLRDHEADGWERSDFPIICESCLGDSPYVRMTKAEYDKECKICTRPFTVFRWRPGRDARYKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSISSNDAIPKSDVNREYFAEEHDRKARAGIDYESSFGKARPNDTILKLQRTTPYYKRNRAHICSFYTRGECTRGAECPYRHEMPVTGELSQQNIKDRYYGVNDPVAMKLLGKAGEMSSLEVPEDESIKTLYVGGLDARVTEQDLRDNFYAHGEIESVKMVLQRACAFVTYTTREGAEKAAEELSNKLVIKGLRLKLMWGRPQSAKPESDGLDQARQQASVAHSGLFPRAVISQQQNQDQTQGMLYYNNPPPPQQERNYYPSMDPQRMGALLPSQDGPPGGPSGSGENKPSSEKQQMQHYGRPMMPPPQGQYHHQYYPPYQQYPPQYNAAMPPVPPYQQYPPQYNAAMPPPQPPAANHPYQHPMQPGSSQTGSGQAGSAPAETGTSTSGSQEQ >RHN61099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32951247:32951726:-1 gene:gene23524 transcript:rna23524 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFGQVTSSHPRTTCTRLLSNVIGRVTSSDPRTTRTCLSAFIPGRVPSSIQEQLVPVNMFLFGQVASSSPRTACTYQFAYVIGRVPSSIQEQLVPVNMFPFGQVASSIQEQLVPVYMFLSSGVTSSHPRTSSHLFICLCFRSSDQFESKNNSYLSTFP >RHN41969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34400135:34400575:1 gene:gene48349 transcript:rna48349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative valine N-monooxygenase MINQPELLRKSIEELDNVVGKGRLVQEIDIPKLDYVKTCAKEAFRCHPISDFNVPQCQWKTQFLQQKQKMEDAVVGNYYIPKGSYVVLRRQGLGMNPRIWTRPLEFKPKRHFKTNGSNLNLGYPTLNVVMFSIGDVGLLGSSLGLR >RHN56812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33935865:33936967:1 gene:gene32266 transcript:rna32266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MNMVSNNFLSDNHDVSLILSRGIPDIWVGVLYFLSGERFENLAKLNLPHPFQEGTETLNHFDILTFGVNPDARFALWNPATDKFKVIPHSPDMFQPFAADVNSDVINFHSSRDVHGFGYDMRTHDFKVISHVSFRAPRLNPRKGFVALGDTSIERFWEIYSLRSNSWRKLDVVMPTTTYGNGITMGVYLNGLCHWGCIIGHFHSKRESNLVSFDLSNDVFFTTPIPMDIDRCINVDNKCSWRDLAVLNGSIALITYQEQMATFNISILSELTVKESWIKLFIVGPLSCVERPFGVGKGKIFFKKKVKKLAWFDLSTQMIEDLDVKGGDSRGYRIVVYKENLLPIGGINK >RHN42333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37442960:37447116:-1 gene:gene48763 transcript:rna48763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MPFLYNMWPTLVANKILKKRLGSTNFIADYPSYDEPLLNFADIDHSSETVPNHPKDKHKYKIFVSTWNIGGIAPDEDLSVDDLLEAKNNSCDIYVLGFQEIVPLKASNVLGSENNEISNKWNSIIRKALNKDHRDNVHSESTHDDFQCIISKQMVGIFISVWTRRDIRPFIQHPSVSCIGCGIMRCLGNKGSVSVRFQLHEASFCFVCSHLASGGKEGDEKCRNSNVAEIFSRTSFPRGPLFELPRNILDHDHVILLGDLNYRISLPEETTRLLVEKKEWDSLLENDQLMMELMNGNNLRGWHEGPIKFAPTYKYCPNSDIYYGCCCPGKKIEKRRAPAWCDRIVWYGKDLKQLEYTRSESKLSDHRPVKAIFTAEVRVSSEVKTLQNLSLSERFEQIKTPFEVSTTDELVCRKQSSFRL >RHN73881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21002592:21002768:-1 gene:gene9766 transcript:rna9766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MYIDSVVVERNDSQPPLDWTMRKNIAVGSARGIAYLHYSYDPPKIIHRDVKAANIFFF >RHN46891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35593742:35597882:1 gene:gene41426 transcript:rna41426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomannomutase MASLNPGVLALFDVDGTLTAPRKGVTPEMLKFMQDLRKFVTVGVVGGSDLVKISEQLGQTVTTDYDYVFSENGLVAHKQGKLIGTQSLKTFVGDEKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVQNIRSKMVSILREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLDGFNEIHFFGDKTYKGGNDHEIYESERTIGHTVTSPEDTIKQCTSLFLGH >RHN46510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32398198:32401821:1 gene:gene41003 transcript:rna41003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate decarboxylase MDTMLLGSLDLTKPTTNDVISCTKPNGTTVIQPSSTAISSTNATLGRHLARRLVQVGVTDIFSVPGDFNLTLLDYLIDEPKLNVIGCCNELNAGYAADGYARSCGVGACVVTFTNLPLICIVGGPNSNDYGSNRILHHTIGISDFSQELKCFQTVTCFQAVVNHLEDAHELIDTAISTALKESKPVYISISCNLPAIPHPTFSREPIPFSRAPKLTNQMGLEAAVEAAAEFLNKAVKPVLVGGPKLRVAKASNAFVELADASGYALAVMPSAKGMVPEHHHHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVEPDRVVIPNGPAFGCVLMNDFLKALAKHLKHNNVAYENYHRIFVPNGKPLKSEPKEPLRVNVMFQHIQQMLSSETVVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQAVPEKRVIACIGDGSFQVTAQDVSTMLRCGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLIDAIHNGEGKCWTTKVFCEEELVEAIATATGPKKDSLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >RHN76325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49368193:49371022:-1 gene:gene12627 transcript:rna12627 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKKEEKGMKPILVNFGLALALSFAGFICSRLRITRVSPTGRSLGHESEVNLGGDIGATFSTSNTVSEEETCTRGSRNKNSLIAPFSCSEQNGDRDEFLLPEFDELVKEVEFEVEAPRLKVGSSREYAVPDKNDYEQEIIQLRNMVRLLQDKEQNLEVQLLEYCGLREQETVVMELQNRLKISNMEVKMFNLKTKNLQSENRKLKEQVADQEKVLAELDAEKAKIELLNNEIRREAEQNKEKIVSLKQRVAKLQEQEYKGSACDQDIKIKLQKLNAVESEVEELRKSNLKLQIENYDLARRLDSTQIVANDANRESECLRKENEGLMKQIEQLHSDRCSDLEELVYMRWINACLRYELRNYQPPPNKTVAKDLSKSLSPTSEKKAKQLILEYADTNGAGSIVNFDFDQWSSSQASSITDSGEYDDFSSVDNSSASRTNTTSQNKFFSKLRRMIQGKDSHRRRHHHSQVSSRYQEDSNSPWPSTSTGIDGRISFDRRYSSLSGEGSFSGFLDVEKSDLEKYAEALKDSSVKVRYQRRERSASYS >RHN68210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33689793:33694025:1 gene:gene16528 transcript:rna16528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MMAPFLRSARKKKRESTMGPNWLELPKDITENILQRLSTIEIVTSACQVCPLWWNICKDPLMWHTIHMIDNDSYPYNNIDLVKICRYAVDRSCGHLRDIEIASFCTDDLLQYIASCGSQLRRIQLTKCRNISHRQFSKVANKFPLLEELDISFSNLCKDSLEVIGRSCPLLISLKFSRMFCKDIELNDDAIAIAKTMPKLRHLSMFGNLLTNVGLHAILDGCPLLESVVLRNCFHLDLSGSLGKRCRDQIKDLVLPTDVDENCDDEDYFYLSSLMEDEMDLDYLFFLNMYDFFLDLHENDN >RHN48249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46093831:46096824:-1 gene:gene42945 transcript:rna42945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEVVEEKGPANGKEDYTQDGTVDLKGRPVLRSKTGRWKACSFIVGYEVFERMAYYGIASNLVIYLTDKLHEGTVESANNVSNWKGLVWMMPLVGAYIADAYFGRYWTFVIASCIYLLGMCLLTLAVSVTSLRPPECAQGVADQNCPRASPLQRGIFFLALYIIAVGTGGTKPNISTMGADQFDEFEPKESTYKLSFFNWWYFSIFLGTLFSNTFVIYIQDRVGWAVGYGLPTIGLAVSVLVFLVGTPLYRHKLPSGSPMTRMLQVFVAAMRKWMTRVPENPRELHELSIEKYACNGRNRIDRSSSLRLGLIELYPFKFPHLFSCHRLGVDHLICMHHFFYACSFLDKAATKTGQTSQWMLCTVTQVEETKQMTKMIPILITTLIPSTLIVQSTTLFIKQGTTLDRRMGPHFDIPPACLTAFTTIFMLISIIVYDLAFVPMIRRYTKNPRGITLLQRLGIGLVLHIAIMVTACLAERKRLSVARENNLFGRHDTIPLCYTLILDLKILVQISFLNTDTCRFAVIFL >RHN69022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40356860:40364043:1 gene:gene17448 transcript:rna17448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endoplasmic reticulum vesicle transporter MGMKQVIKNLDAFPRTEDHLLQKTQSGALVSIIGLIIMATLFLHELGYYLTTYTVHQMSVDLKRGETLPIHINMTFPSLPCDVLSVDAIDMSGKHEVDLDTNIWKLRLNSYGQIIGTEYISDLVEKGHEHDHDHGTHKHDDSKDHHEHSEQKVHLQTFDEATENTIKKVKEALKNGEGCRVYGVLDVQRVAGNFHISVHGLNIYVAQMIFDAGKNVNVSHVIHDLSFGPKYPGIHNPLDETSRILHDASGTFKYYIKIVPTEYRYISKEVLPTNQFSVTEYFSPITSQFDRTWPAVYFLYDLSPITVTIKEERRSFLHFITRLCAVLGGTFAVTGMLDRWMYRLVEAATKPKNKK >RHN69128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41236663:41237419:1 gene:gene17557 transcript:rna17557 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSPCSLAKPLLLGTSKGLHVDAVVPLNGKLCIIHNKMSISLVDVSSPNKQVESYPYNWENIVGEGLKGNFVCCQVLEA >RHN58801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5011338:5012471:-1 gene:gene20748 transcript:rna20748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVKIIKYVNLLILFISIFLVVTDVSAQKRCKEDFDCRIRSCAYPLIPVCIDPFCRCRRASI >RHN61604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36760762:36763340:-1 gene:gene24086 transcript:rna24086 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFTLRQRALLCSWLFVVFLVLGFCYGGDHSTVEVVGLGECADCIQNNIKTSQAFSGLHVTIDCKEANGHFKTRGAGELDKNGNFKVSLPQEIVNEGELKEECYAQLHSATAAPCPAHDGLQNTKIVIKSKSDDKHTLSTAAAGKLKFSSATCTSKFFWPLFKHPLFPKLPHPDLPQFPPKVFPTFPPKIFHKHPLFPPVPIYKKPPFSHIPIYKPPFPHIPIYKKPCPPPVPIYEKPIPPPTPVYEKPLPPPTPVYEKPLPPPVPVYHKPLPPPTPVYEKPLPPPVPVYHKPLPPPTPVYHKPLPPPVPVKKPCPPPKVEHPVLPPAPVYKPPPVPKPQPPPVPVKKPCPPPKVEHPVLPPVPVYKPPPVPKALPPPVPIKKPCPPKIEHPVLPPVPIYKPPVVIPKPPVVPIYKPPVILPPFKKPPCPPLPTLPPLPPKSFFHHPKFGKLPPKSFFHHPKYGKWPPLPPKSFFHHPKYFGKWPPIPHKSFFHHPKFGKWPPINPKSLFHHPKFGSWPPLSPHN >RHN67685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29306386:29308519:1 gene:gene15899 transcript:rna15899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MSCSVAVSNSTMFSLSSSCHFSLSKRKRPDKLDIPVANLTIELQPAAPSPTTAKDVVEVEGDGFSVYCKKGGRKHMEDRYSASVDLHGESKQAFFGIFDGHGGTKASEFAAQNLEKNVLEEVIRRDESDIEEAVKHGYLKTDSDFLKEDLNGGSCCVTALIRNGNLVMSNAGDCRAVISHGGAAEALTSDHKPSRKDEKERIETQGGYVDMCRGVWRIQGSLAVSRSIGDRHMKQYVIAEPETKVLRIEPHHDLLILASDGLWEKVSNQEAVDIAHPYCAGSNRQGSFPACKKLVDLSVSRGSIDDISVMIIKLQNYV >RHN48097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44973164:44975431:1 gene:gene42772 transcript:rna42772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MKPLNCFQMTLILAALIISSINLVNGQITSSCTSSMISSTFTPCANIITGSTNNGLVPSTTCCDSLRSLMSTNMDCACLMMSSNAQIFQLPINQVLAISLSQACNINGASVQCKGPTGIGSNSPTLPSSAPTPLSPQDSKTLDVNNAHIYENLQLAEALVPSSAPMEAAEAPTEASRIIPVLTSLPSASPPSYYSFSPSALFKIIAIVMVSGIIH >RHN82530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54529516:54534066:-1 gene:gene6701 transcript:rna6701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MLQESKTLHLRCALAAALFFATVSLSCLILFRDVDSYRFFSGFSSSYALPRFPTFFPLVTVDPSVTTNEYPLERILNDAAMEDKTVILTTLNEAWAAPNSVIDLFLQSFRIGDHTSRLLNHLVIIALDQKAFARCQVIHTHCFSLANEEADFHEEAYFMTPSYLMMMWRRIDFLRSVLEKGYNFVFTDADIMWFRDPFPRFHLDADFQIACDHFTGGFDDVMNRPNGGFNFVKSNNRSIEFYKFWYSSRETYPGYHDQDVLNFIKVHPFIADIGLKMRFLDTTNFGGLCEPSRDLNQVCTMHANCCFGMDSKLHDLRIMLQDWKHYLSLPPNLKKLSVVSWRVPQKCSLDSLRHHGSPEQSVQG >RHN63265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49820683:49825310:1 gene:gene25946 transcript:rna25946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nitrate-transporting ATPase MSTLPQTQGQTIQDAWDCKGHPAERSKTGGWTSSAMILGVEACERLTTMGIAVNLVTYLTGTMHLGNASSANIVTNFMGTCFMLCLLGGFVADTFIGRYLTIAIFATVEAIGVTILTISTIIPSLHPPKCTKSNLKSCEPANNIQLTVLYIALYVTALGIGGLKSSVSGFGSDQFDETNEVEKNHMVKFFNWFFFFISIGSLTAVTILVYIQDHVGRDWGYGMCVCAIVVALCVFLYGTKRYRFKKLAGSPLTQIAVVYVAAWRKRNMELPYDSSLLFNVDDIEDEMLRKKKQVLPHSKQFRFLDKAAIKDPKTDGNEINVVRKWYLSTLTDVEEVKLVLRMLPIWATTIMFWTVYAQMTTFSVSQATTLNRHIGKSFQIPPASLTAFFIGSILLTIPIYDRVIVPITRKIFKNPQGLTPLQRIGVGLVFSIFAMVAAALTELKRMRMAHLHNLTHNPNSEIPMSVFWLIPQFFFVGSGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFFSSLLVTLVHKVTSQHKPWLADNLNEAKLYNFYWLLALLSVLNLVIYLLCANWYVYKDKRLAEEGIELEEADTACHA >RHN52986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40852649:40858942:-1 gene:gene37728 transcript:rna37728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, toll-like receptor MANSSNSSLALVTSSRRNYYDVFVTFRGEDTRNNFTDYLFDALETKGIYAFRDDTNLKKGEVIGPELLRAIEGSQVFVAVFSRNYASSTWCLQELEKICECVQGPEKHVLPVFYDIDPSEVRKQSGIYCESFVKHEQRFQQDPHKVSRWREALNQVGSISGWDLRDKPQAGEIKKIVQNIMNILDCKSSFISKDLVGINSRIEVLQNHLLLDSVDGVCAIGICGMGGIGKTTLAMTLYGQISHQFSASCFIDDVSKIYRLYDGPLDAQRQILLQTVGIEHHQICNRYSATDLIRRRLRHEKALLIFDNVDQVEQLEKIAVHREWLGAGSRIVIISRDEHILKEYGVDVVYKVPLMNSTDSYELFCRKAFKVEKIIMSDYQNLANEILDYAKGLPLAIKVLGSFLFGHSVAEWKSALARLRESPHNDVMDVLHLSFDGLDEKEKEIFLDIACFFNSQPEKYVKNVLNCCGFHADIGLGVLIDKSLISIEDANIKMHSLLEELGRKIVQENSSKEQRKWSRIWSKKQLYNVMMENMEEHVEAIFLNDDGIDMNVEHFSKMSNLRLLIIYNNSAWNYTTYKRPCFHGKLSCLSNKLRYFDWEHYPFWELPLSFHPNELVELILKNSSFKQLWKSKKYFPNLKALDLSDSKIEKIIDFGEFPNLESLNLERCEKLVELDSSIGLLRKLVYLNLDYCINLVSIPNSIFCLSSLEDLYMCGCSKVFNNSRNLIEKKHDINESFHKWIILPTPTRNTYCLPSLHSLYCLRQVDISFCHLNQVPDAIEGLHSLERLYLAGNYFVTLPSLRKLSKLEYLDLQHCKLLESLPQLPFPTTTEQDWWIRSQDFSGYRRTNHGPALIGLFIFNCPKLVERERCSSITISWMAHFIQANQQPNKLSALQIVTPGSEIPSWINNQSVGASISIDESPVINDNNNNIIGFVSCVLISMAPQDTTMMHCFPLSIYMKMGAKRNRRKLPVIIVRDLITTKSSHLWLVYFPRESYDVYGTLRAKCYQGEVVGFEVKSCGYRWVCKQDLQKFNFTMMNHENSLAQKCKIMAIEGETQPQPEQESFISQVITTSKKEETTDGSQEGQEEFQPNTFGFLKNKSQTSTVEVADESVTENVVDQIVAEYEQQSHIDNGKEDDSAATQTDSDAVEPCHVITESEDDNVEDVLDNNEIDASLAGQSGDSSPEGSMNKDVTEEVQEEQTPVAAHVEEVAIASETNSISNSTEETEGSSKGFNFSRPMIILFLSLPVLKLLGMWVRR >RHN67803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30378759:30381931:-1 gene:gene16039 transcript:rna16039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative taxadien-5-alpha-ol O-acetyltransferase MTYLHCMFIIYMHFFSHVFAHPYSLFAKDISNQYLTSHYISHRSFSMEYEKAPLNIEKEDVLLVKPSKSTSSCILSLSTLDNKGANNNIAQTVHVYRSSSIHDYDSSFNPCHVFKEALSKALFYYYPLAGRLVRHTDGKFRVKINTDSVEFGVPFLEATANCTLSSLHYLDNTNTEIAKHLVLDLPSPQDKNYPLVLMVTKFLCGGFTIGMGMSHAICDGFGASQFFKAILELARGRTEPSVKPVWERENQVGTITTQPFPQCPMDRESVAFSPFVNQPNTKIIKQYCFKVEGETITRLKLSLMNENIRFTTFEVLAGYVWRSRARALKLSSNDKTMLNVLVGIRRNLMDYETLPKGYYGNSTIDAKVVLKVSELDEMPLYKIVKLIKETKNIAFTADYVRNSINSLETNQEDGLSMELEASGAVTVLTEWKHLGFQENLDFGGYELVNFLPAPCKMLATVDACIFSSANKLDDHDPSMDGGVRIFTSLPVAAMPKFKDEIEALRFLYRN >RHN47507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40207207:40208198:-1 gene:gene42118 transcript:rna42118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase C1A MEIIKQRMEATKLRASDLQELLVSRFQERFYPQYQATYENFEDWCKEYNRTYSSEKEKHYRFKVFKKRYATVTRHNQIGNSGSELSLNDFADLSWVELAQLREGRPSPMILGMKYYMDCRKKYFGY >RHN50356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6452592:6455307:-1 gene:gene34631 transcript:rna34631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MLKKISKTLKGKQRQRPRPTLLPAPSPPRPPPPPPPPPPPPPPPPPPPKQPPPTPPPPPPPPPPPPKQPTNTPFLFPQVHSTILPDPSNFFSPNLLSSPLPTNSFFQNFVLQNGDTPEYIHPYLIKSSNFSLSLCYPTCTSNASFIAQVFDPDITISTSMKTNQDSHEKHVISSFSDLGVTLDIPLSNLRFFLVRGSPFVTVSVTNRTPLSITTIHSILSFSSNNSLTKHNLQLNNGQTWLIYTSSPVSFSNSLSEITSEGYSGIIRMAVLPDSDPKYEVILNRFSSCYPTSGDAAFTNPFSVEYKWEKKGWGELLMLAHPVHLQLLSASDCDVTVLHDLKYQSIDGELVGVVGDSWLLKTHPVSVTWHSTKGINEEFHDEICSALSGDVDALSSLGITTTTSCYFYGKLIARAARLGLIAEEVNDLDSIPAIKKFLKETIEPWLNGTFNENGFLYDGKWGGIITKQGSQDSGADFGFGIYNDHHYHLGYFLYGIAVLAKIDPAWGRKYKPQAYSLMADFLNLGRKSNSNYTRLRCFDLYKFHSWAGGLIEFADGRNQESTSEAVNAYYAAALMGMAYGDTQLIATGSTLAALEIHAAQMWWHIKGGDKLYAEEFSKENKVVSVVWSNKRDSGLWFAPAQWRECRLGIQVLPLLPITEALFSDVGYVKELVEWTLPNLNRKGVGEGWKGFIYAMEGTYDKQSALQKVRGLKGFDDGNSMSNLLWWIHSRGDGEEEFDHGKHCWFGHYCH >RHN67524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27962345:27962707:1 gene:gene15720 transcript:rna15720 gene_biotype:protein_coding transcript_biotype:protein_coding MNSETYFILMGMLVALAATKYEALKTSTNPFQPSSPTLLLFLTSLCCHTVSSTADMSLPATIYIFHISGVVGVDTLLWIILSQFSNWCIINSFVLVVTLVCHTNCIELVYLTSYTRPLPS >RHN43629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47338274:47343380:-1 gene:gene50236 transcript:rna50236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative malate dehydrogenase (oxaloacetate-decarboxylating) (NADP(+)) MESTLKSFRDGESVLDLSPRSAVGGGVEDVYGEDCATEDQLVTPWTFSVASGYSLLRDPQYNKGLAFTEKERDAHYLRGLLPPTVSSQQLQEKKLMHNIRQYEVPLQKYVAMMDLQERNERLFYKLLIDNVEELLPIVYTPVVGEACQKYGSIYKRPQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYSALGGVRPSACLPVTIDVGTNNEKLLNDEFYIGLRQKRATGKEYYDLLHEFMTAVKQNYGEKVLVQFEDFANHNAFELLAKYGTTHLVFNDDIQGTAAVVLAGVVASLKLIGGTLPEHTFLFLGAGEAGTGIAELIALEMSKQTKAPIEESRKKIWLVDSKGLIVSSRANSLQHFKKPWAHEHEPVSTLLDAVKIIKPTVLIGSSGVGKTFTKEVVEAMTEINKIPLILALSNPTSQSECTAEEAYTWSEGRAIFASGSPFDPVEYKGKTYYSGQSNNAYIFPGFGLGIVMSGAIRVHDDMLLAASEALAKQVTEENYKKGLTYPPFSDIRKISANIAANVAAKAYELGLATHLPRPENLVKYAESCMYSPLYRNYR >RHN40535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17272953:17277150:-1 gene:gene46695 transcript:rna46695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MVLAGKLITELGIKAPGEKFFKQYASELHEVHNVCERVHHGKLHEGEHWHHNDSVKHWTFVIDGEVHTCNEQVEEVDEENKKITFKLFGGDIENYKVFNVILEVIIKDNGSSAVRWTIDYEKKNEDIDTPNGWMDYLSKCTRDIDGHLVKGENVVL >RHN70834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54339770:54340647:-1 gene:gene19460 transcript:rna19460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MNFSLYRKLGAPGHQVLSAFVEIVFDNSDNRIPVDKEEVHLRRTISLKKDEYFVDGKTHNVSIID >RHN62793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45876630:45878177:1 gene:gene25402 transcript:rna25402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ALOG domain-containing protein MSAAVAAAASAAISSYNNSSSSSSNHSDELLTSQTMQVSVAPPLSRYESQKRRDWNTFGQYLKNHRPPLTLSRCSGAHVLEFLRYLDQFGKTKVHSENCAYFGNSHPPGPCPCPLKQAWGSLDALIGRLRAAFEENGGSQEMNPFGARAVRLYLREVRDAQAKARGIGYEKKKRKKLNQNQQNGSMTMVQNDHVHHHDDVVNSGYGHGGGFVDQYSNLNGTTSRNDAAVSYFSL >RHN38863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2499714:2500156:1 gene:gene44834 transcript:rna44834 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTLLGLSFFLFVLLAAQEAVVKIEGCEKKSSVGFGGLCIGPKLSYECNFICMYTDHLKGGYCKNEECMCSC >RHN58055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43406272:43409797:1 gene:gene33661 transcript:rna33661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MSTNLNNLSLFHKTFSLTLFLFSVNFLFFPCCNSLDEQGQALIAWKESLNTTSDVLASWNLSNQTPCNWFGVKCNLQGEVEEINLKSLNLQGSSLPSNFQPLKSLKVLVLSSTNITGRVPKEFGDYQELIFIDLSENYLFGEIPDEICRLSKLQTLALHTNSLEGNIPFNIGNLPSLVNLTLYDNKLSGEIPKSIGLLSKLQVFRAGGNKNFKGELPSEIGSCTNLVMLGLAETGISGSIPSSIGMLKKLQTIAIYTTQLSGSIPEEIGNCSELQNLYLYQNSISGSIPPQIGELRKLQSLLLWQNNMVGAIPEELGNCRELSEIDLSENLLTGSIPISFGKLSNLQGLQLSVNQLSGIIPPEISNCSSLIQLEVDNNAITGEIPSVIGNLRNLTLFFAWKNKLTGKIPNSLSECQNLQALDLSYNNLTGSIPKQLFVLRNLTQLMLISNDLEGLIPPDIGNCTSLYRLRLNQNRLVGTIPSEIANLKNLNFLDLHYNHLVGEIPSQFSGLSKLGVLDLSHNKLSGNLDAISNLHNLVSLNVSFNEFSGELPNSPFFRKLPFSDLTGNKGLHIPDGVATPANRTRAKCRVRLDMEIILLILLSISAVLILLTIYVLVRAHVADEAFMRNNNSVTTLYEKFGFFSIDNIVKNFKASNMIDTTNSGVLYKVTIPKGHILTVKKMWPESRASSSEIQMLSSIKHKNIINLLAWGSYKNMMLQFYDYFPSLSSLLHGSEKGKLEWDTRYEVILGLAQALAYLHHDCVPSIFHGDVKATNVLLGPGFHPYLAYYGRTKIASEKGENTDANPVQRPPYSESSYGYIDLELDSLQKINEKTDVYSFGVVLLEVLTGRHPLDPTLPGGIHLVQWVKNHLASKGDPSGILDSNLRGTKPTVMHEILQTLAVSLLCVSTKAYDRPTMKDTVAMLNQFRYFA >RHN54963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14017543:14021220:1 gene:gene30075 transcript:rna30075 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPMQNIVGISPLLIPPPVSSTRQTQAHLKYSRPDIVAMRRLPYNIKIGQLQTSAFQSISPRLKCDSARNVACAAAAAAAATQTVTRDARTITVTPDKKIRLDDNGPGLPPRDDDGNGGNGGGGGGNFSGGLVLLGILGVLDILKDIEGEIQRKVKDTRFDQA >RHN68570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36643292:36643901:-1 gene:gene16938 transcript:rna16938 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEEIRKWVVGLPKGAEQRCYGDWKLVIDEYERDKKVIDEDFDVEVFAVVIVVVVEIAIGVFMVLAKVAAVVIVIKKLLLLLLMAI >RHN62643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44894176:44899302:1 gene:gene25238 transcript:rna25238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylmalonate-semialdehyde dehydrogenase (CoA acylating) MSQLSIQRAKKLKFLMPQISAFGSSHFSTSPQPSSSDHNKRVPNLIGGRFLDSKSSNFIDVLNPATQEVVSQVPLTTDEEFKAAVSAAKKAFPSWRNTPVTTRQRVMLKLQELIRRDMDKLALNITTEQGKTLKDAQGDVFRGLEVVEHACGMGTLQMGEYVSNVSHGVDTYSVREPIGVCAGICPFNFPAMIPLWMFPVAVTCGNTFVLKPSEKDPGASMMLAELALEAGLPEGVLNVVHGTHDVVNAICDDDDIKAISFVGSNVAGMHIYSRAAAKGKRVQSNMGAKNHAIVMPDANVDATVNALVAAGFGAAGQRCMALSTVVFVGGSQAWATKIIERAKALKVNAGTEPDADLGPVISKQAKERVHRLVQSGVESGARLLLDGRNIVVPGYESGNFVGPTILSNITADMECYKEEIFGPVLLFMEADSLEDAISIINKNKYGNGASIFTTSGVAARKFQTEIEAGQVGINVPIPVPLPFFSFTGNKASFAGDLNFYGKAGVNFYTQIKTITQQWKDSVGGSKINMAMPTSQKS >RHN74745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36309987:36310731:1 gene:gene10853 transcript:rna10853 gene_biotype:protein_coding transcript_biotype:protein_coding MFARHTNFFTRPFRGECLLRLLVDHSGVGWHIVNHIHIGFGGTNIANLIHIGFGGANVTWSNGL >RHN61785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38341379:38342542:1 gene:gene24280 transcript:rna24280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MSSVLCQDQQKLLLSLKKSLTLRDTIMDNIPPRKLLTRRSPTTTAVTDMFSDEDPFSSDHFRMYEFKIRRCTRSRSHDWTDCPFAHPGEKARRRDPLRYQYSGEVCPDYRRGNCDRGEACEFSHGVFECWLHPSRYRTEACKDGKNCKRKICFFAHTPRQLRVLLLPPLSPSPTPPQKNNKKCCSFCHCCSNSSSPTSTLLSGPHFSSSNSPPLSPLSNSNSKDVHVLNELIRSMESFNFGDDIVHEANSPVSASKLNWINNNNNNPNSVANSSLGCGKYYNSSGGGSGMFLRDEQRIKVVDNDVTPDFGWVNELLM >RHN71604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1319271:1319839:1 gene:gene7220 transcript:rna7220 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVGLPKESGRRSNGGWKWVVVVVFEERRRAFVAVVYVSVREKKSFFYFVFVFLKIRKMDTKGK >RHN56967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35320216:35321550:-1 gene:gene32439 transcript:rna32439 gene_biotype:protein_coding transcript_biotype:protein_coding MVENAEDVLGETKQYFVEISGDVKPPKVEAKSNIDGASVHVEASKYVGGSGVLPLQAHEVDTARFFFQTMLSRKIEMNCLSGRVIKQIRRDLQLMI >RHN65702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4590084:4591230:-1 gene:gene13575 transcript:rna13575 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLKKVILNLTLLFVIILSMEVATTAARLPLWKIEPHHKINGRLLYIVDDVPRGHHYKSPPPPRPSPPPPPPRP >RHN79462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29189465:29189755:1 gene:gene3256 transcript:rna3256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family MILYLMGPYNLHLGQANQILLLFAAASKFMPVVGAFIADSYLGRFLSVGLGSAVSFLLRSLRF >RHN75566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43348688:43349593:-1 gene:gene11793 transcript:rna11793 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKNQISSAQYENEEIKKVEKMKAPKSSRREDSLKKKVRFKIQDGNKGNDGNSSTSGIMRIKLVVSKEELKRVLSNKNIENGVKNTSLEELLKDMKLKEKSVSRVEEIDDGGLDSWKPALDSIPEDHSMKL >RHN69252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42122835:42124307:1 gene:gene17695 transcript:rna17695 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIDIRVMCEGGYIFGSSRNNRQKTEKNHCKRKTPVMESKSDWTKHKKSSAYTAQVIRSATSFMPWSCRIPIGEGFYEFL >RHN39554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8171461:8174841:-1 gene:gene45585 transcript:rna45585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TOG domain-containing protein MSSSEEEKLLKEAKKLAWEDRLSHKNWKVRNDANIDLASLFHSITDPNDPRIRQFGHYFKKTVADSNAAVQEKALDALIAYLHAADADAARFGKEVCDAVVAKCLTGRPKTVEKAQAVFLLWVELEAVDAFLDAMEKAIKNKVAKAVVPAIDVMFQALSEFGAKIVPPKRILRMLPELFDHQDQNVRASSKGLTLELCRWIGKENVKSILFEKMRDTMKKELEAEVVNVTGTAKPSRKIRSEQDKEPEQESISEVVGPGPAEESGSDAPQEIDEYELVDPVDILIPLEKSGFWKGVKATKWLERKEAVGELTKLASTKRISPGEFSEVCRTLKKLITDVNIAVAVEAIQAIGNLARGLRTNFSASSRFLLPVLLVSTI >RHN76275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49087431:49094176:1 gene:gene12573 transcript:rna12573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MNESKKEGTISTTPLSIEERYSQWKSLVPVLYDWLANHNLVWPSQSCRWGSLLDHATYKNRHRLYLSEQTDGTAPNTLVIATCEIVKPRVAAAEHIAMFNEEARSPFVKKVKTILHPGEVNRIRELPSNTNIVATHTDSPNVMIWNVESQPNRNNAALDAPTSIPDLVLTGHKDNAEFALAMCSTEPFVLSGGRDKLVVLWSIHDHIATLATEEEPDVNEGSNVGGNSEKAAQSPSVGARGVYRGHKDTVEDVQFCPSSAQEFCSVGDDSCLILWDARVGSFPAVKVEKAHDGDVHCVDWNTHDINFILTGSADNTVRMFDRRKLNNRGGIGSPVYKFEGHDEPVLCVQWNPAKSSVFGSGAEDGIINIWDHEKVGKTSGSADTTVPETSPGLFFRHAGHRDKVVDFHWNASDPWTIVSVSDDCASTGGGGTLQIWRMMDLIYRPEDEVMAELDKFKSHILGCDTVTDSATDPATPSHT >RHN58181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44392546:44392860:1 gene:gene33798 transcript:rna33798 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIQGEPEKRVRYRISCPEKEYNGPLPPGLLKYGPPEPQESFEGLSDEEICLKLGMKKSDLEKKRTDGPMSPWLVEVLKLTFVPPQPEESYEDTNDDEESPTK >RHN47987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44057621:44061977:-1 gene:gene42652 transcript:rna42652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-tubulin complex component protein MDDEEEQQKLPDLVKALVHHLLSQNLPPNSQPINPNSPQFQNSLRYAHRILSSHLTPSITPDSAAVADSIKRRLATDGRSSEALSFADLYTKFSTKATHIDNKWSLLHLFNIISQDRKSAAKSNDPSVLLPNLTISENNVQPRGENNGFNDGVVILARDPKNRREIAFNEYVKLIKEENDVTEEAMVTDVIYACQGVDGKYVKFDEESDGYVLLDSIRVSRASSSMVFKLCELGVLFKRVVGFIEMSLGRFPAEDVGTVGQAFCAALQDELSDYYKLLAVLEAQSSNPIPLLSEGVSSGNYLSLRRLAVWLAEPMVKMKLMADLVEKCRVLRGGAMAGAIHLHARHGDPMVHEFMKRLLRRVCSPLFEMVKRWVLEGELEDIFVEFFIVGQPVKAESLWREGYRLYDAMLPSFISASLAQRILRTGKSINFLRVCCEDRGWARAATEDTGAMARRGGFGYGETDTLESLVDEASKRIDKHLLDVIYERYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGPELSVPANTISSFKLAGLLETAIRASNAQYDDPDILDRLRVKMMPHESGDRGWDVFSLEYDARVPLDTVFTESVMARYLRIFNFLWKLKRVEHALIGAWKTMKPNCITSNTFNRLQHAVKMQLVSALRRCQVLWVEINHFISNLQYYIMFEVLEISWSNFLSEMEVAKDLDDLLAAHEKYMNSIVEKSLLGELSQSLYKSLIVIFDLILRFRSHADILYEGIHELQARITESSLSSRDQKKTRKRSTDKSSEEESWIADGRKAITKHAGVFLQKMEQDLDAISKEYSSLQEDFISQLPVQQHVDLKFLFFRLDFNEFYRRVVS >RHN42175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36107489:36107971:1 gene:gene48586 transcript:rna48586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MEPILIKIAAGSDVVETLINLARRREAGIVILSGSGIVTDVTLHKLESDSLDVIEGLSNMTSLSGYFIVEGGFVPPLTMNIVSPLSSFSICLFDNRGQVFGGKIGGKVMAAGAVLVTAAFILNPTFHRFGVVNGRVQEMENDDPEDVGGVVVNDDDGHAP >RHN63141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48802560:48808471:-1 gene:gene25808 transcript:rna25808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQTFLNTSKWIEEVRSERGSDVIVVLVGNKTDLVEKRQVSTEEGEAKSRELNVMFIEASAKAGFNIKALFRKIAAALPGMETLSTTKQEDMVDVNLRSSTSHDSQPQSGGCSC >RHN77381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6463275:6471467:1 gene:gene828 transcript:rna828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MSIHNFDLQDDEDNDDEELLAAQNGDENEEDDDDDLPQLPILPIRASYNPPRSMRNVNDDHSTELYHSMALPVDQGIAPGMQFHNKNDCILAIKYYHMKKSTDYIVKKSDPERYVIKCKDTKCGFKLRASWRKKTDKWEIGNMNDHTCVSTEMTQDHHKLSYNVICESVKSLLYMDASITVKVIIAHIREKFNYTVSYRKAWRARNKAIESIYGNWEESYEELPQWLMVMEKDLPGTIIDFQSDPSTEVANETVFKRLFWAFRPCISGFEFCKPIVQIDATWLYGKYKGTLLLAVAQDGNNKIFPIAFAIVEGETKEAWSFFLKNLRQHVTPQENICLIFDRHVSIKSAYDDPQNGWHDAPTSHVYCVRHIAQNFMRSFKDGELKKKVACMGYAMNIPTFEYYRSEIAVADRKALAWVDNIPKQKWTQSHDDGRRWGHMTSNLVESQNNVYKGIRGLPITAIVKASYYRLAALFAKRGHEAAARVNSGEPFSENSMKYLRNEVIKSNSHHVTQFDRDRYTFSVRETIDHKEGLPKGEYKVDLQNKWCDCGRFRALHLPCSHVIAACSSFCHDYKTFVDNKFTNECVYAVYNIHFDVVHHQTYWPNYEGPKVVPNKLMRRAKKGRPPITRIRTEMDDVETERRCGVCRMPGHSRKDCINIRHQKAQETWMEVGLWMIINGRMHRANGFNSLFTKINVSSLASIKFCTVLNQRHFYLSSSS >RHN64840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62395326:62396081:-1 gene:gene27706 transcript:rna27706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MELLSELVSVKSLKLTNNTIERFSYPRNCGHLLPSFNNLTKLDMILGYFYVSCELLVDILRKTPKLEVLHISKGDCTALDNEDLTSDSLPCCIKSSLKLCSFSDFDGDEIEIQLLKCLTENATVLEAINIFCSGGLSSNLKKLTDVRNHVQSLGLGSCVFKFH >RHN77657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8467831:8468237:1 gene:gene1131 transcript:rna1131 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVIIYSSNAICTYKFFFLFAPIVHRKVKKRERGKRLGFHLSHNYVVNFERKKRLYVLLVSMIEELIEQVKSLSSKWFLAKKSVTLLFIQLIFYKSMGNFSSIGCGGHFLVYFWWVKFYEKKIYIA >RHN81924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49854187:49854564:1 gene:gene6022 transcript:rna6022 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAAKTKHEDQSGYHKDLTPKTRAPWEGDMNFNSEVVADAEMKAFVEEMNENWNERRKGLKKEKVKEENVKFTFFDLRALDRNNIYCYCCFVLISFHSRILFNLRVLQSDYSNHFVFIHLSYPL >RHN58277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:526274:526540:1 gene:gene20173 transcript:rna20173 gene_biotype:protein_coding transcript_biotype:protein_coding MESINWTNSSFTEKRIKDLNVKTKTIIKQIETMTEEKKEGILTSEINLNSNKTTYDAKRLELQKNNLQILQRRFVRLIRKSYSFSKFS >RHN40904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24143269:24147210:1 gene:gene47149 transcript:rna47149 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSGRRWLRFVQFKLYPTTSLCNKSAQRSSFCTSAKNINNNNNNKVIITDERYQQLKNLNMMTALKMLFTDPPKKKKFGFDFHLVQFFFACMPSLAVYLVAQYARYEIRRMEAEVEEKKKQKEEEEAKEKEKELELYPPEEKDKPDPQLSEMKERLEKLEETVKEIAVVKKKQSSSNVDTNQVTGDEKKAINSSAPSNTSGGSVTIKPVEHNSVGKDNSLKSRTELDEESKGSVTTPSSFLRLCLRVWRGRERRALEKREE >RHN70468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51623441:51626502:1 gene:gene19048 transcript:rna19048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MKGIRVKEEETLAYVSVVGSTSSSSSSCSNLTPKPMEGLHEMGPPPFLTKTFDVVEDPSTDSIVSWSGARNSFVVWDLHKFSTAILPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLAGQRNLLKTIKRRRNLTQSQAMQQETGGSCIELGEFGLEGEIERLRRDRAVLMAEIVKLRQQQHNSREQLSAMEARLLVTEKKHQQMMNFLAKALSNQSFIQQLAQNRELKGVEMKRKRRLTASLSLENLQNDSGAIRAVPIESVVDYSCQEQQEGLTTIESEMETLLSAYDNESSSEVKDYTALSSVPTGNESNLGDAVWEDLLNQELVGGNPEDEVVIGDFSQIDVPVEDLVEKNDNWTVDLQKLVDQMGFEP >RHN50302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5953843:5954541:-1 gene:gene34574 transcript:rna34574 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVLKAKLKELESILTEGILRDPNSQEEIEQKFAFMSNLIHAEFESSDPSSNPHLNQCYQRFKSLKNSFNDRDKDSISHFTTFSNPEFDKDSISNSDSTSSFNESCLNDETLDENGMIVGEKAIEKFRRNGVGKIGEKSNVGGGKIGTFYFQDAEDSFEDFGMKIESCLKEKENKCRKLKREGRGKSGFGKNCCALVSGVCIGMILMGFIMVNLSGCFEYGEQTSFLVPT >RHN46604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33169923:33170926:1 gene:gene41110 transcript:rna41110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTTSLKFVYVAILFLSLLLVVMGGIRRFECRQDSDCPSYFCEKLTVPKCFWSKCYCK >RHN47227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38096458:38098134:1 gene:gene41797 transcript:rna41797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase, Very-long-chain 3-oxoacyl-CoA synthase MIINHYKLRGNIMSYNLGGMGCSAGIISIDLAKELLQVHPNSYALVVSMENITLNWYPGNDRSKLVSNCLFRMGGAAILLSNRISDRRRSKYQLVHTVRTNKGADDKCFSCVTQEEDDNGKVGVTLSKDLMAVAGDALKTNITTLGPLVLPTSEQLLFFATLVGKKLFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLKLSPWHMEPSRMTLYRFGNTSSSSLWYELAYTEANGRIKKGDRTWQIAFGSGFKCNSAVWKALRTINPAKEKNPWIDEIHQFPVDVPRISAI >RHN80143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35496182:35497608:-1 gene:gene4033 transcript:rna4033 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLRKTSPHSNDDIVGPVIPFAVLLVVDEVRYGIKSSDLGSIEFSDDAKLGLKYKEVMQMAGEIDVLSHRSMHPDDHAVSLLMTKRNPGLILQNQLFRHIVQRLESLSHRQCTWNSIYTDTIYDTFIFHVLDNSCEQTEPVGEEMFDDLWPIELRFNAPVKKFEHQSSRAYILLKRKMYTWQKSLIHICNQSGFEKVVY >RHN66426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12212552:12214072:1 gene:gene14410 transcript:rna14410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:matK MKEYQVYLERARSRQQDFLYPLIFREYIYGLAYSHNFNRSVFLENVGSDSKYSLLIVKRLITRMYQQNHLIISANDSNKNPFWGYNNNFYSQIISEGFAIVVEIPFFLELSSSLEEAEIIKSYKNLRSIHSIFPFLEDKFTYFNYVSDIRIPYPIHLEILVQILRYWVKDAPFFHLLRLFLYNFSNWNSFITTKNSISTFSKSNPRLFLFLYNFYVCEYESIFLFLRNKSSHLRLKSFNVFFERIFCYAKREHLVEVFAKDFSYTLTFFKDPLIHYVRYQGKYILASKNSPFLMNKWKHYFIHLWQGFFYVWSQPRTMNINQLSEHSFQLLGYFLNVRVNRSVVRSQMLQNTFLIEIFNKKLDIIVPIIPLIRSLAKAKFCNVLGHPISKPVWADSSDFDIIDRFLRICRNLSHYYNGSSKKKSLYRIKYILRLSCIKTLACKHKSTVRAFLKRSGSEELLEEFFTEEEEILSLIFPRDSSTLHRLNRNRIWYLDILFSNDLVNDE >RHN77570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7765882:7770757:1 gene:gene1037 transcript:rna1037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MPHIATALMGSDIPQDGLKKLEYLSLVSKVCTELESHTGTRDKVLAEFITDLGHSSNSVEEFDAKLKENGAEMPDYFVRTLLTIIHAILPPKPKKQRENLKENANSNTKFKALAISDDRGRAEKLREEIEIEAREKQKPPMEDDDGYRDRDRRDRHRDRYDRRGNDRDRDRRERDDKRGSDRDRGDRRDRDDTRGNARDRDRYEKDDKRRDDRDRDRYERDDKRGNDRDRDRDWYERRRRDEYEEDEGGREENGGDEKGRGGRDTRRGGGSGEPELY >RHN42927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41992528:41995630:-1 gene:gene49435 transcript:rna49435 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPTRNSQTCTNGILTWPENSSPVAAVTGHRSHQPSDDIGDVLRGKKVSENEAQNLAKWKPSSGYSRMKEATGSGIVSANAEDTASKANAANSKHSGIFSTNAEDATSEANTGIRVYQQAMNGISQISFNNGEEIISPKKPTSLPEAAKQRELGGTLQNEPGTNSKKLMSSSKTKEIGGNDIFGIPPEIVPRSLAAVHTPDSKVYKAAGVRSKVVSGEESAQKTSRKIHDQKFADLTGNDIFKGDVTPGSVEKPTSMVAKLREMAGSNIFADGKAENRDRLLGNRKHAGGGSNIFSDGKAENRDRLLGARRHAGGGSNIFEDGKAENRDRILGSRRPPGGASSIVLD >RHN53874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4872606:4873107:1 gene:gene28834 transcript:rna28834 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFKVSLVNPDSRLLVGVVADKFIAASLVKVIVGSFTLDGKKNGLNNLKYEPSSASLSQLVAFGTPTNATTHGPSSESLGVNENNLFCQRPEIYNKIIQPIPTMSMYQQP >RHN41317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29077457:29078269:1 gene:gene47625 transcript:rna47625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >RHN68727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38058678:38064714:-1 gene:gene17113 transcript:rna17113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, GRAM domain-containing protein MLNKLYVCVLEAKDLPVKNSRVKLKLGKFKYKTRILKNTFNPIWNEEFVFKVKDIAEDVLVVNVVNHSDQSKVVDFVGEVRIPVGSVGFEDNKQILPPTWFELQCSNKNGKFFNKFCGKILLTISLHYKDHVSFMNHKHSPNSTASIKDSTESERLHISSHQSFHKNRKMGEGKHLLKAIADRLERILHKKERNSKPVDCSETSNSLSDYEDSVQENSPPCSFEEGIALMQSRDNQPESPENLQGGILVDKIYEVSPYNLNVVLFVPDSQFRKDLAEQQGTTNLQEGAWSWKDEDMSCLTRVVNYTKAASKLVKALNTTEEQTYIRATKDEFDVLVSVCTPEVPYGNSFRVEILYKIMPGEDVSCVKESSHLVITWGMVFLQSTMMKGVIENGAKQGLKESFDQFANLLAQRFKVLDKEDLINKEHLLATLQTESQWNWWQAITYFWNFTVVSTFFMCLYVLLHILRCGPSQPRGLEFRGIELPDSLGELVTSGILVIQLERVYHMVSHFVQARFQMGTDHGMKAHGDGWVVTVALIEGVDLVSLESTGLSDPYVVFTCNGQTRSSSVKLETSDPQWNEILEFDAMEEPPSVLYVEVFDFDGPFDQDVSLGHAEINFLKHTSTELADMWVVLEGKLAQSAQSKLHLRIFLDNNKGVAIIKDYLEKKEKEVGKKFNLPSPQRNSTFQKLFGLPPEEFLINDFTCSLKRKLHLQGRLFLSARVLGFYANLFGHKTKFFFLWEDIDNIQVLPPSLASLGSPTLAVILRRGRGIDARHGAKTQDEEGRLRFHFQSFVSFGSASRTIMALWRARILNPYQKEQITEEHEDQEVLVMPEDSGSILEDEAKMSRIYSAELPIKIRSMMGIFDGGNIEHKIMKRTGCMDYDTTPWEPVKPDVLERHVTYQFNRHVSVFDVTSTQQKYPNTNTEGWIVNEVMILNGVPFSDHFRIHFRYEIEKSALGECACKCDVYIGIMWLRSSKFQKRINRNITSKFKIRLEEIFELLQKEILLMSHKSNV >RHN78162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12403874:12404182:1 gene:gene1687 transcript:rna1687 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMVEVWIGELAKLKEKVMTKKIKDGLEEEKEVGMQSQKEIIAVHRDTATISESTICLLMDRFVPC >RHN67162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24476956:24478618:1 gene:gene15312 transcript:rna15312 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQFLTLLHSSSRSSLLKPSRPFFYNSIKNYGQEVKEKESHLIKERAPSTAEEFQRVAEEKSNGTQKEVKSQTADKAIDAAQEATKGNSRIENVKNKYKEH >RHN49964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2744334:2746306:1 gene:gene34208 transcript:rna34208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MQLLGSITHLIFFIISAYIFHGIVDGHQATFYIHNKCPFPIWPATAPNTGQPIIADGGFYLPSGQTKKILAPWSWSGRIWARTGCNFASNNWKPSCETGDCDGRLACNGLIGTPPATLVEITLQGDKGRPNFYDVSLVDGYNIPVSVVVPNKNINSKCNIQGCFKDVKSLCPRELEVLNSNGEVVACKSACLAFDLDNFCCRNDYGSPKKCRPNVYSKIFKDACPNYFSYAFDTPTPLVSCGSLEYIITFCPQGWGGAADVSTIQIDGVSDV >RHN52135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31911436:31913514:-1 gene:gene36764 transcript:rna36764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-linalool synthase MECFYLIDIIQKFDIEHYFVDEIKAALENLHLILNTNPIDFVSSHELYQVALAFRLLRQGGHYVNADLFDSLKCNKRMFEETHGEDVKGLIALYEASQLSIEGEDHLNDVGYLCCELLHAWLSRNQEHNEALYVANALQNPLHYGLSRFMDRNIFIHNLKAEKDLICLKELANINSSIVRFMNQNETTEVSKWWNELGLAKEVKFSEYQPLKWYTWPMACFTDPNFSEQRIELTKPISLIYVIDDIFDVHGTLDQLTIFTDAIKRWEITGTEQLPNFMKISLNALYDITNNFAEKVYKKHGFNPIDTLKKSWIRLLNAFMEEARWLNSSHLPRAEDYLNNGIVSTGVHVVLVHAFFLLDHVNGITKETVDILDEKFPNVIYSVAKILRLSDDLEGAKSGDQNGLDGSYLDCFKSEHQDISGEEVQRHVARMISNEWKCLNQEILVTNKFSSSFSNFCLNAARMVLLMYHYKSNSSLSNLQEHVKSLINVGVGCN >RHN43551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46798040:46798477:-1 gene:gene50152 transcript:rna50152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MAGFKQLIMRWKHKTLHRHFFHCNNNVVIHDSNKTRTNKVRSGYLSVFVGHERLRFTIPLRFLNLNIFKCLLRESEEEFGLGVKGCLVLPCEITFFREIVKHVKKDEHKYGKFSLEEFANMIFNSYEENNNILFTPLLQKATWCW >RHN64875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62618624:62620167:-1 gene:gene27743 transcript:rna27743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteolipid membrane potential modulator MPSRCEICCEIMIAVLLPPLGVCLRHGCCTVEFIICLLLTILGYLPGIIYALYAIIFIDRDQYFDEYRRPLYAQSQY >RHN64679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60939042:60940295:-1 gene:gene27520 transcript:rna27520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative retrotransposon gag domain-containing protein MEDWWTVQAMLVSWILNTVEPTLRTTVSYLETAKELWDDIEERFSVVNGPRIQQLKSDLAHCKQGSLSMVAYYGKLKALWDDLANYEQVLICTCKGCTCGIQAKLEKRREEEKSHTFLMGLDDELYGTVRSNLLATDPIPSLNKMYSILVQEERMRIITRGKGERTEVMALAVQASTRMKGREIKNKSVCVHCMTVLAE >RHN62156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41275586:41278141:-1 gene:gene24701 transcript:rna24701 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPIDGMLLSNEGVPRAEAFQMTMELLGDYEGDTWTEVDKRRGGHARFSYLKRIFKERIEEAHAAYHARNLIESAHYVDVAYLRYFKNLELVSDYAWGVAALAHLYMELNNVFHYKTKHLSGLGVLAFQGHGCMHGVDIETINIHTP >RHN62715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45375243:45377458:-1 gene:gene25316 transcript:rna25316 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHRMLEDKNIRQDFHLVFGWLKILLLVAFLERRKRNRGDTKEAGRWKS >RHN59209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8459606:8461246:1 gene:gene21208 transcript:rna21208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperone DnaJ MEAIQGCTKTLSFQADVLCNACGMFVNDCQPSLVFCIQMGLNSDKSMLLEVNTFRTCQTVSGGSGVPPGTKPETCKRCKGSGKVIIQTVIGGVKIPCINCKGTGVIATDFCRPCKGRKVVKGTKSVKLDIIPGKDNNETLKVDGSGGADPDGDHPGDLYVTVKVSAESWHDGWATWHDGGFSFLRQGTGKMVARWCAGDE >RHN77930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10586236:10589152:1 gene:gene1438 transcript:rna1438 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ENOD8 MKFMAKIELSRHIPLVTLIVLVLCITPPIFATKNCDFPAIFSFGASNVDTGGLAAAFRAPPSPYGETYFHRSTGRFSDGRIILDFIARSFRLPYLSPYLNSLGSNFTHGANFASGGSTINIPKSILPNGKLSPFSLQIQYIQFKEFISKTKLIRDQGGVFATLIPKEDYFSKALYIFDIGQNDLTIGFFGNKTIQQVNATVPDIVNNYIENIKNIYNLGARSFWIHGTGPKGCAPVILANFPSAIKDSYGCAKQYNEVSQYFNFKLKEALAELRSNLSSAAITYVDIYTPKYSLFTNPEKYGFELPFVACCGYGGEYNIGVGCGASININGTKIVAGSCKNPSTRIIWDGVHYTEAANEIVFSQILTGVFNDPPISLDRACYRK >RHN46718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34307295:34316719:1 gene:gene41238 transcript:rna41238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VIII-1 family MWALRVHGYVFAVSFCFITLMAASQKTDPSEVKALIDIKKSLVDPMNKLRNWNKGDPCATNWTGVWCFDKKGDDGYFHIRELYLMTLNLSGTLSPQLGSLSHLVIMDFMWNNLTGTIPKEIGQITSLRLLLLNGNKLSGSLPDELGNLKNLTRLQLDENQLSGPVPKSFANLLNVRHLHMNNNSFSGQLPHELSNLPNLMHLLLDNNNLTGHLPPEFSKLRGLAILQLDNNNFSGNGIPSTYENLPRLVKLSLRNCSLQGALPDFSLIPRLTYLDLSWNQFTGPIPLTKLAENMTTVDLSHNKLNGSIPRGIVYPHLQRLQLENNLLTGSFPATIWQNLSFSGKAKLIIDVHNNLLSDVFGDLNPPVNVTLRLFGNPVCNKSNIQRIGQYCVHEGRVSDEEFKNSTTVCPIQGCPTDNFFEYAPPSSLSCYCAAPLRIGYRLKSPSFSYFPPYVNSFESYIADSLHLKSYQLSIDSYEWEEGPRLRMYLKFFPSFNDSNSHEFNISEVLRISGLFTSWRFPRTDFFGPYELLNVTLLGPYANIIIHTVDGKKKTGIIVAIILGAVASVLAISAIIMLLLFRRNSKYKHLISRKRMSSSVCIKVDGVKSFTLKELTHATNKFDITTKVGEGGYGSVYKGILSDETFVAVKRAGENSLQGQKEFLTEIELLSRLHHRNLVSLVGYCNEEGEQMLVYEFMPNGTLREWISGKSKKCKEGLSFFMRLRIAMGASKGILYLHTEANPPIYHRDIKASNILLDLKFTAKVADFGLSRLIPYSDEEGTVPKYVSTVVKGTPGYLDPEYMMTHKLTDKSDVYSLGIVFLELLTGMHPISRGKNIVREVNLACQAGLIDSIIDDRMGEYPSECLDKFLALALSCCHDHPEERPSMLDVVRELEDIIALLPETEISLSSDISLDNSGKMAPSSSSSTQTSGFITTRKDQQHMSSYVSGSDLVSDVIPTIVPR >RHN78924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20040934:20042536:1 gene:gene2592 transcript:rna2592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonucleoside-diphosphate reductase MPAFPEEPLLAPNPDRFCMFPIQYPKIWEMYKKAEASFWTAEEVDLSSDLKHWQNLTDGERHFISHVLAFFAASDGIVLENLAGRFMKEIQVSEARAFYGFQIAIENIHSEMYSLLLETYISDSAEKNRLFHAIETIPCIAKKADWAMKWIDSSDSFAERIVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLRKKLSSERVKEIVCDAVEIEREFVCHALPCALVGMNGGLMSTYIEFVADRLLGELGCEKVYNVQNPFDWMELISLQGKTNFFEKRVGEYQKASVMNSLNGNGAADFCFKLDEDF >RHN70288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50277148:50279883:-1 gene:gene18853 transcript:rna18853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKFASTTIPCCLRSRPNLSLFHSLFQNATSPALVIFRQILQANVNPNEFTFSLLIKAYLSSPSFTHCPSTAALQARQIQTQCLKRGVNQFIHVHTSLIDLYMKLGFTSHARNMFDQMSYRDVVSWNVLICGYSQNGYLYHAIQLFVDMLRENFKPNQTTIVSLLPSCGCFELIFQGRSIHGFGIKAGFGLDSHLNNALMSMYAKCDDLEASQLLFDEMDEKSVVSWNTMIGVYGQNGLFDKAILYFKEMLKEGFHPSSVTIMNLVSANAFPENVHCYVVKCGFTNDASVVTSLVCLYAKQGFTNTAKQLYKYYPTKDLITLTAIISSYSEKGDIESAVECFIQTIQLDIKPDAVALIGVLHGITNPSHFAIGCTFHGYGVKSGLSNDCLVANGLISLYSRFDEIEAALSLFYDMREKPLITWNSMISGCVQAGKSSDAMELFSEMSMCGKKPDAITIASLLSGCCQLGNLRIGETLHSYILRNNVRVEDFIGTALIDMYSKCGRLDYAEKVFYNIKDPCLATWNAIISGYSLYGLEHTAFGCYSKLQEQGLKPDKITFLGVLAACTHGGLVYLGLEYFNIMTKEYGLMPSLQHYACIVALLGKEGLFKEAIEFINKMEIQPDSAVWGALLNACCIQREVKLGECLAKKLFLLNHKNGGFYVLMSNLYAIVGRWDDVARVREMMKDSGGDGCSGVSVIDVISADDSNNNNNLGPSEVYLNTSIWQHLCLY >RHN46868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35428298:35432602:1 gene:gene41403 transcript:rna41403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UAA transporter MKKEEQGRSLFGISLSDKPRWQQFLICSSGFFFGYLVNGICEEYVYNRLHFSYGWYFTFIQGFVYLFLIYLNGFTSKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPIHEYISAILLVVGLILFTLADAHTSPNFSVVGVVMITGALVMDSFLGNLQEAIFTMNPDTTQMEMLFCSTVVGLPFLIPPMLFTGELFKAWTSCSQHPYVYGVLVFEAMATFIGQVSVLSLIALFGAATTAMITTARKAVTLLLSYLIFTKPLTEQHGSGLILIAMGITLKMLPENKPAIPKRALNSSHRDSSAKSTTGDEELGMGTLHGSVGEDDERRPLV >RHN74847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37133894:37138263:1 gene:gene10972 transcript:rna10972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Transposase-associated domain-containing protein MGIPEHRKWMYKRLLPNRAGMKTEFVSGVNNFIEQAIRQPEFTDNGGKLRCPCSKHRNIDFLTPGEVTLDLYKHGFQPSYWCWDSHGESSLSSSSRNANNVNRESTCNQPSSFESQRNTYEAMIVDAIRPENLDQFEPQPEEPPNREAKLFYDLLQSAQRPLWEGCDTHSELSMAVELLTIKSKGNMSQKSFDKLLKTMKKGMPKDNCLVPNFYYAKKLVSKLGMESKVIDCCINGCMLYYKDYEMAKECRFCHAPRYRVGKGGKELALKSMHYLPITPRLKRLYASANSARHMRWHYEHQQVEGVLEHPSDAEAWKHFDQKYPEFASESRNVRLGLCSDGFTPFG >RHN70890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54781457:54785842:1 gene:gene19522 transcript:rna19522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-acylglycerol O-acyltransferase MSSIDAPMEPLTSGASNRIIPLLKAFRASLIFVYTFFLSFLLFVLPRRNRAAPPSSPRKNLKRRWLVREEEDTFRRRALAQDVGMGHDDGCCRWNTSIFYGVRNNALFCRSWFPVYGDLKGIMIIIHGLNEHSGRYADFARQLTLCNFGVYAMDWIGHGGSDGLHGYVPSLDQVVADTGAFLEKIRSENPGIPCFLFGHSTGGAVVLKAASRPHIEVMVEGIILTSPALRVKPSHPIVGAVAPIFSLVAPRFQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLMRNFKSVTVPFFVLHGTADKVTDPLASQDLYNKAASEFKDIKLYDGFLHDLLFEPEREEIAQDIISWMENRLFTSI >RHN77472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7098222:7104172:1 gene:gene935 transcript:rna935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inosine triphosphate pyrophosphatase MEPATSSYRIILGSSSVARRKILSQMGYQFTLMTADIDEKSIRKDTPEELVMALAEAKAEAILQRLPVDDYLKDAEPTLLITSDQVVVYEGVIREKPSSKQEARQFLKDYSGKQAATVGSVLVTNLKTGLRKGDSDRVEIHFNEIPDEVIEKLVDEGTTLYVAGGLIIEHPLIFPYVKEVVGTTDSVMGLPKDLTEKLLKAVL >RHN42814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41208370:41208829:-1 gene:gene49306 transcript:rna49306 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYHSSLKIYSPSSTKEVFERFRLSDQLRSSADPINDFGVIHVADPETWLFRRFKYSHMCRHLYFDVLCY >RHN59655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12593770:12595296:1 gene:gene21726 transcript:rna21726 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbB MGLPWYRVHTVVLNDPGRLLSVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWNITGGTITNPGIWSYEGVAAAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLFGPGIWVSDPYGLTGRVQSVNPAWGVDGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVGAGLAENQSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGRELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVTVEFFGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTKKQAV >RHN80920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41921583:41922180:1 gene:gene4904 transcript:rna4904 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGKNKGAVFDLWDLDWFLFWISFQHRTGEGKLRRRVPTTNGGDGRIPTGNRWDGGFEPGMVGVAKEGKKKQ >RHN75743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44704527:44705285:-1 gene:gene11985 transcript:rna11985 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFDISPIKQWQFCKNILYPLPSPFYASSLSFLSNSHRVLSSHHCQPSSTTTIVHIVYHLHHRTLNQPQPSNSRSISIRN >RHN74142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29035130:29035516:-1 gene:gene10139 transcript:rna10139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator A20-like family MDPPPLCLNNCSSAIDKFLCPKCSDDCLTLSEIEGLILGSSPSQNSSILEIDSITVTDTTGKKNNNRCKTCNKRIGLTGFECRCGDVFCGRHRYPETHSCNVDLKSIGRQILAKQNPKCVLNKLEFRV >RHN79891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33275919:33283113:-1 gene:gene3746 transcript:rna3746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small monomeric GTPase MAAPPARARSDYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIKNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNMNVDEVFFSIARDIKQRLAESDSKTEPQTLKINQPDQGAGSAQASQTSSCCGS >RHN74833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37011690:37016061:1 gene:gene10958 transcript:rna10958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucose 4,6-dehydratase MATHTPKNILITGAAGFIASHVANRLIRNYPDYKIVVLDKLDYCSNLKNLIPSRSSPNFKFVKGDIESADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIKRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMQGKVLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVATDVCKLFSIDPETSIKFVENRPFNDQRYFLDDQKLKVLGWSERTTWEEGLKKTMDWYINNPNWWGDVSGALLPHPKMLMMPGGMERHFNGSEEENSAPFVSSTNTRMVVPPTKSIGSSQKPPLKFLIYGRTGWIGGLLGKLCEKQGIPYEYGKGRLEDRSSLVADIQNVKPTHIFNAAGVTGRPNVDWCESHKTETIRVNVVGTLTLADVSREHNLLMINYATGCIFEYDEAHPEGSGIGFKEEDTPNFAGSFYSKTKAMVEELLKDYDNVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTVLDELLPISIEMAKRNLRGIWNFTNPGAVSHNEILEMYRDYIDPNFKWANFTLEEQAKVIVAARSNNEMDASKLKNEFPELLSIKESLIKYVFEANKKSA >RHN59082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7345179:7349017:-1 gene:gene21069 transcript:rna21069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MATSVTIVGAINMAQLKLNGSSSGVPMTNSAFFGTSLKKARSAISSQKSLSGNFKVSAKIDYNEEKQTSKDRWAGLAYDTSDDQQDITRGKGKVDSVFQAPMDAGTHYAVMSSYDYISTGLRQYNMDNTVDGLYIAPAFMDKLVVHITKNFLNLPNIKIPLILGIWGGKGQGKSFQCELVFAKMGISPIMMSAGELESGNAGEPAKLIRQRYREASDIIRKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENARVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRVGVCQGIFRTDGVPKDDIVKIVDTFPGQSIDFFGALRARVYDDEVRNWISGIGVESIGKRLVNSKEGPPTFEQPKMTIDKLLEYGNMLVQEQENVKRVQLADKYLSEAALGDANDDAIKTGNFYGQGAQQVPLPVQEGCADPSAENYDPTARSDDGSCTYTF >RHN50572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8183930:8186101:1 gene:gene34874 transcript:rna34874 gene_biotype:protein_coding transcript_biotype:protein_coding MNERFVQELSVLEKLSLSPSPNVAPNKDVDPSFFPQISKRRRVTNVHSMATTESMNEKFGQEEETDDYYEFTAEDYYKLLATKKEDKFLKTKKLREAEVAARRSRITKAVIRVRFPDNHILEATFHPSDTIKSLIDLIDKEIAQPDKPFYLYTTPPKKLIKDFSQDFYTAGFSPGAIVYLSY >RHN40615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18195696:18197498:1 gene:gene46785 transcript:rna46785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative digestive organ expansion factor, predicted MIICLLFITGFVNDAHKVFIAGKLLFFVYRVFVRFTKKLRADDVDVDVDVDDVDVDDVDGGIMIFTSSHSESIKILEFLRSERASVYLVGDEDAELDFSSARRQFSERKIKIMLYTEKSHFDNRYQIDGVNYLIMYSLPERKEFYFEVGV >RHN60303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24933402:24943038:-1 gene:gene22596 transcript:rna22596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVEIVKFVYIINIFIFLFLVATNVEAKFTRCFRDSDCPKTLCHSPGKAKCMHHSICKCIFFGYNI >RHN60718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29857803:29863221:1 gene:gene23073 transcript:rna23073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LOG family protein MEEESEMKESKFKRICVFCGSSPGNKSSYKDAAIELGNELVSRNIDLVYGGGSIGLMGLVSQAVSDGGRHVIGVIPRTLMPREITGETVGEVKAVAGMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPKARHIIVSAPSTKELVKKMEEYSPQHERVASKQSWETGKLD >RHN51538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19542618:19543247:1 gene:gene35995 transcript:rna35995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MLVDRSGFRYQVLVADYGEVGGRLEVGGRSCSSWWREVGRIRDGGGDYGGGWFGECVSKKLGDGSDTLFWYDKWLGSVSFCERFPRLFDLSENKSITVAGLFSLGVERGGEAWEWRRRLWAWEEEELEECRALLTNVYLQDFISDRWVWLSDPVEGYTVRGSYHMVTTRDVSLRDPAVSLIWHNQVPLKVSLFAWRLLRDRLSTKVNLA >RHN54356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8957511:8960664:1 gene:gene29375 transcript:rna29375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MKLLFQNLLFQSPLVTLFSLAIILVSLHYGNAVNLPNNETVPAFIAFGDSIVDSGNNNYIINTVFKCNFPPYGKDFGGGNQPTGRFSNGLVPSDIIASKFGVKKLLPAYLDPNLQLQDLLTGVSFASGGAGYDPLTSKSASVISLSDQLNMFKEYKNKIKEAVGEMRMEMIISKSVYIICIGSNDIANTYAQTPYRRVKYDIRSYTDLLASYASNFLQELYGLGARRIGVIGMPNIGCVPSQRTIGGGIERGCSDFENQAARLFNSKLVSKMDAFENKFPEAKLVYLDIYTSLSQLVQNPAKYGFEVADKGCCGTGNIEVSILCNHYSSNICSNPSSYIFWDSYHPTQEAYNLLCAMVFDDKIKDFF >RHN50114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4174625:4175920:-1 gene:gene34369 transcript:rna34369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S28e MESQIKHAQVEKVMGRTGSRGQVTQVRVKILHENRYIMRNVKGPIRKGDVIALLESEREARRLR >RHN64269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57796965:57801117:-1 gene:gene27071 transcript:rna27071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alanine--tRNA ligase MEMDKSPTKLDYYDDMWNLHSTATLVSHFKGDDGRHVLILDRTIFYPQGGGQPADTGFLIIHDSNIKFVVHDVRSKDGIVLHYGVFEGMGGEFEGTLEKGKEVSLSVDEDRRKLNSRLHSAGHLLDICLPKIGLGHLEPGKAYHFSDGPWVEYKGVVPQNEMQNKQKELEIEANALISMGGKVSADILLYDEAAKLCGGILPDYVPKESTPRIVQIGDNPGCPCGGTHVLDVSDITKIKVSQIRSKKGMTKVFYNVES >RHN77177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4670784:4673813:-1 gene:gene603 transcript:rna603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRAPCCDKEKVKRGPWSPDEDATLKSYLATHGTVGNWIALPKKAGLKRCGKSCRLRWLNYLRPDIKHGGFTEEEDAIIFTLYSQMGSRWSAIASKLPGRTDNDVKNYWNTKLKKKILTGIVKLKPMTENDNTIPSTPSLTQSSNPQNSEINFPASQNQHSPPSPLPNMLDNKVDKIHDAVVSEIGTSNKSIINPLVSISQDSSSIAIQDQAGDESMESFMDFGFGFPHDDVNDLNCFPEWVDFSYADIKPN >RHN55126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15377066:15379333:-1 gene:gene30257 transcript:rna30257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative N-hydroxythioamide S-beta-glucosyltransferase MVEHSDNNNVHVLVIPYPAQGHISPLIQFSKRLVSKGIKTTFATTHYTVQSITAPNVSVEPISDGFDESGFTQANNVELFLTSFKTNGSNSLSNIIQKYQKTSTPITCIVYDSFLPWALDVAKQHGIYGAAFFTNSAAVCNIFCRIHHGLIEIPVDELPLVVPDLPPLNSRDLPSFIRFPESYPAYMAMKLSQFSNLDQADWMFVNTFEALEGEVVKGLTELFPAKMIGPMVPSAYLDGRIKGDKGYGANLWKPLSEYCINWLNSKPSQSVVYISFGSMVSLTSEQIEELALGLKESEVNFLWVLRELEQGKLPKGYKDFIKEKGIIVTWCNQLELLAHDAVGCFVTHCGWNSTLESLSLGVPVVCLPQWADQLPDAKFLEEIWEVGVRPKEDENGVVKREEFMLSLKVVMESERSEVIRRNASEWKKLARDAVSERGSSNKNIDEFVDHLMNTNKKGNDLSGY >RHN61102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32976871:32978454:1 gene:gene23527 transcript:rna23527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAGILKFFYIAIIYVSLYLVVIEGKDGCKTNFDCLIKYPDHNEDILQCIGGHCLCLTN >RHN77841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9812162:9813168:1 gene:gene1335 transcript:rna1335 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSATGSSSNKQQGEAPAYEIKGRTMSIEEWELIIQAENPVDFASLTHHGCDLVKFYKKQKLMGYFSLLNGPIYEVLVRQFWVRASVYDKVAAKQEEAQMILVNPTLEGKTREEMGLSAFKGTEIRSSVMGIPVTINEQVIAQAMRRDASGTYDGEEIPNPRTSPWKEIVNYTIYGSKDAKSYSTLSMEKKMLLKIQNENIFPKGGGNDQPSLGHKVFLHHTITQETTMNVPKYMFKYMIKELKKSQMENRKFVPYGRLLSIIFQEGGILSVLKDVGIYDNQKLGAVTGKIINGATLICMNLMSYLI >RHN78169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12422584:12427974:-1 gene:gene1694 transcript:rna1694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanine nucleotide binding protein (G-protein), alpha subunit MVSFLRKLRTSSVPSMETEDSFSSNYEYSFAVVYNGPPLDHSIPEIPTFMIDQIPVASIAPSLSHDFNVPVIQPLGKLHHKVKHKHKKTTSDSTVYPNLESHHVVETVTSKVDVNENENVVDDVPTNSDTIESGSGSRSGFSSPSCEICSVSEDEEVVVRAKHVKNPSAVTFRDPESNDMIQTESDEYFDSESVQLKPHAIRPGKKGSCYKCLKGNGLTEKEVCIVCRAKYCRNCVIRAMGSMPEGRKCVGCIGYGIDENKRRNLGKCSRMMKQLLSETIVDQVMKDERFCEANQIPPRLVQVNLNPLNREELMVLLNCKNPPKELKPGSYWYDKASGFWGKEGQAPCDIISPQLNVGGRLQRNASNGNTNITINDREITKNERRILKLAGVPCEGTPNFWVNPDGSYREEGQKKDRGCIWGKAPAKVACAILSLPVPSKSVTLNSEGESAKKPSLHHKSLQKFLLVGCVNSGACTIFKQAKLLYNAPFSENELQNIKFVIQSNLFTYLGILLEGREDFEEECLLENRKRLPSDESSSSAGNSSENVDTTPYSIGPRLKGFSDWLLKCILSGNMDAIFPAAMREYGPMVEDLWRDEAIQATYNRRNELKMLPRSANYFLDRAIEISKIDYEPSDMDILYAEGISLSNSLTSMEFSFPKSSREESLYPEYQHDSSLRYQLIRVHPNILGENCKWLPMFEDTDVILFSVSLTDYDEYIIDSKGVSTNKMLAAKNLFETIIAHPDFKKKKFVLILTKFDLLEEKIEHVPLTRCDWFCEFNPVRSNNNKKCGNNGTMPSLAQSAFQYIAIKFKRLFHSYTERTLFVSLVTGLEPDTIDEALRYGREVIEWEKWDPSIVNDKSEMTSTTNGDASTS >RHN66588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14418233:14418491:1 gene:gene14607 transcript:rna14607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MMWGGNMGKNGYESQTSSSSSKFNGVVRVLECWCPTICVVRKSNTSKNPGRSFYACPMPKVGLTNQKRLKMIEKCNLSIIILPSN >RHN58455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1863073:1865330:1 gene:gene20372 transcript:rna20372 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFD MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPKARTNFPYNPNGPQSSSSKLLSATLTAKLNKCHMASLSLQMTKQQQPPQKEPQQVSSQSFNSSINSNTFVYGNDIGGTSGETSLIRWLDEGNNCVGFEGQVEVSHQQFQPVLEDDHIEQMIQELLDYGSIELCSVGSS >RHN71935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3702428:3709374:-1 gene:gene7590 transcript:rna7590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MEAQMTDSDWESSSESSGSDDQEDIDFLYGGQAQSILSSLEESIGRINDFLSFERTFAYGDVVCSLSDPSGQMGRVVSVDVLVDLENIQGNVLKNVNSKKLLKIRSISEGDCVINGPWLGQVQRVVDRLSVLFDDGTKYEITTLENDKILAQNPNFLEDLQYPYYPGQRVKVKSSTASKSARWLCDNWRDNHDEGTVCSVEAGLVYVNWLASVPLGSNSNVNTPPCWQDSKNLTLLSCFPHANWQLGDWCMLPVADQKEQVEQMIRDSSDSYLPNKHSMARECRRRNLNSSRDELFIIGKIKTKVDIIWQNGEHTLGSDPQNLVPVNVINTHEFWPHQFVMEKGTSDDDKSSNQRWGVILSVDAKEHTVNVQWRTAPTSKPDDLAGEPTTETVSAYELVEHPDFSCCFGDIVFKAAQKQPGYLAEKYNANSMSDLNVEAPLKNLYQISYPNSSVGNCHLSCIGNVTGFKDGHVEVKWATGLMTKVAPYEIFRIGKHEASTATPVSYETSIEELAEEITEHGNLPSDQKGKGLSDCNSDRDKCEKHQGESSSFSLPQAALELFSSIKAGIFQKLGMTSFYGAVSTVPTFEEGNAPDFVDKKDLETCGPETDSHPASRLQSTEDPTPYGEVIRIHERSSAPVSLDSNGSDQLKRFDVIDTCLDHHFFNERKGLPISQVKKDWAKKVQQEWSILEKNLPETIYVRVFEDRMDLMRAAIVGASGTPYHDGLFFFDICFPPEYPNEPPMVHYISGGLRINPNLYESGKVCLSLLNTWSGTATEVWNPGASTVLQVLLSLQALVLNEKPYFNEAGYDQQIGRAEGEKNSVSYNENAFLLTCKSMLGLLRKPPGHFEALVEEHFRQHSKHILIACKAYLEGAPIGGEQIEHENQKGTSTGFKIMLAKLLPKLVEAFSDKGIDCSQFVDIQK >RHN47840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42944448:42969335:-1 gene:gene42486 transcript:rna42486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MGTASRQLKVMLRKNYLLKIRHPFVTAAEILLPAIVLMLLAAVRTQVDTQIHPAQSHIQKDMFVEVGKGVSPNFQQVLESLLDKREYLAFVPDTNETRMMIDVVSIKFPILKHVSIVYNDELELETYIRSDAYGTCNDVRNCSNPKIKGAVVFHEQGPQSFDYSIRLNHTWAFSGFPDVTTIMDTNGPFLNDLELGVSAVPTMQYSLSGFLTLQQMVDSFIIIIAQQHELNLSAETVNLPLLGFHDTDFSRKVPWTQFNPTNIRIAPFPTREYTDDQFQAIVKEVMGILYLLGFLYPVSRLISYSVFEKEQKIKEGLYMMGLNDSIFHLSWFVTYAFQFAISSAVITACTMDNIFKYSDKTLVFAYFFIFGLSAIMLSFFISTFFKRAKTAVAVGTLSFLGTFLPYYSVNDEGVSMILKVLASLLSPTAFALGSINFADYERAHVGLRWSNIWRESSGVNFSACLLMMILDTLLYCAIGLYFDKVLPREYGLRYPWNFIFKKDLWRKRSSSSKIKFTGKSSESEGNLLGRGIFNPALEAISLDMKQQELDGRCIQIRNLHKVYATKKGDCCAVNSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALIFGKNIVSDIDEIRKVLGVCPQHDILFPELTVREHLELFAILKGVDEDTLESVVINMADEVGLADKINTVVRSLSGGMKRKLSLGIALIGNSKVIILDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADELGDRIAIMANGSLKCCGSSLFLKHHYGVGYTLTLVKSAPTASIAGDIVYRYVPTATCISEVGTEISFRLPMASSSTFERMFREIESCMKKPVSSMEISGNCEKDSHGIESYGISVTTLEEVFLRVAGCDYDEDECFEENNRSLISEAVVSLPSNDRPSTKICYYKVCGNYKKILGFMSTMVGRACGLIFATVISFVNFISLQCCSCCLITTSTFWQHSKALIIKRAISARRDHKTIIFQLMIPAIFLFIGLLFLELKPHPDQISLTLSTSYFNPLLSGGGGGGPIPFNLSFPIAEEVAQNVKGGWIQRCNSSSYKFPNSEKALVDAVEAAGPALGPALLNMSEYLMSSFNESYQSRYGAIVMDDQNTDGSLGYTVLHNFSCQHAAPTFINLMNSAILRLATHNVNATIQTRNHPLPMTQSQHLQRHDLDAFSAAIIVNIAFSFIPASFAVSIVKEREVKAKHQQLISGVSVLSYWISTFIWDFVSFLFPASFAIVLFYIFGLDQFVGGVSLIPTIIMLLEYGLAIASSTYCLTFFFFDHTVAQNVVLLVHFFSGLILMVISFIMGLLPSTISANSFLKNFFRISPGFCFADGLASLALLRQGMKDKTSDGVYDWNVTGASICYLAVESFIYFLLTLGLEIYPSLKLTPFKIKKWWGKINIFPHNTSYLEPLLESSPETFVTDLNEDVDVKTERNRVLSGSIDNAIIYLRNLRKVYSEEKNHGKKVAVDSLTFSVQEGECFGFLGTNGAGKTTTLSMLCGEESPSDGTAFIFGKDICSHPKAARKYIGYCPQFDALLEFLTVKEHLELYARIKSVPDYTIDNVVMEKLVEFDLLKHANKPSFSLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWDVISRISTRRGKTAVILTTHSMNEAQALCTRIGIMVGGQLRCIGSPQHLKTRFGNHLELEVKPTEVSSVDLQTLCQTIQEILFDVPSQPRSLLNDLEICIGGADSVTSGNTSIAEISLTSEMIGLIGRWLGNEERVKTLTCCTPVYDGASQEQLSEQLLRDGGIPLPVFSEWWLSKQKFSEIDSFILCSFRGAKCQGYNGLSIRYQLPCDEDFSLADVFGLLEASRDKLGIAEYSLSQSTLETIFNHFAANS >RHN69648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45234032:45238426:-1 gene:gene18143 transcript:rna18143 gene_biotype:protein_coding transcript_biotype:protein_coding MANFQIPKKLVSDWKPSNSINDQIRADSVCMAGNRNSDKLPDMKWWLHVKSNLDCEPNYSCKTELGSFYAEFLDGNVKNGEDQSIIDFDDLSYIGSDNLSVDQPHHVSPITCMKDNNNARMRKIEASLNNDLHFTPKKKDQKDFGFSDVGFLDCDVSNFLVSEKTSSHLMGNEKPGPWWRTAGKDELASFVAQRSVEHVENCDLPHPQPKSFAQRFSKGVDHDKILPSSLNQKAETGSLNADGYASGTTPTSSCSFQDSNSRFSSGQSKDSGSINKDCQINPENSSITELLEALCHSQTRAREAEKAAQEAYNEKEHILSLFFKQASQLFAYKQWYYMLQLENLCLQLRNKNQPLLNLFPHRRMQLKKNRNKAEKRKINNRKCGIGKCVVAFAVGLSLAGAGLLLGWTMGWMFPSM >RHN82000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50496283:50497041:1 gene:gene6114 transcript:rna6114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MALVKLTMGLVFVTLTLLCAGVTAQSNCTDALMSLMPCLGYVRGNSPTPTAGCCTQLANVVKSQPECLCLIIGSDLGSSLGINKTLALALPAACNVETPPVSQCEIANPPAGSRTVPPTAGGSSGVNSDSKMGQPEPRRKIHKPFWLKDFHLK >RHN80914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41870002:41873682:-1 gene:gene4898 transcript:rna4898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MGFCYTTIVLDSITNYTMELFTIATFLTLFVVYRIVISPNVSTSLKTKLDNGEKCCSNEENEATNVINKTTEGSFNFVFNSVQTKENMKSGSNRTRKKNRVKKSTKRATRDTRWLNYQTFITKLDFGDIRYSDSFPSQICTHCKVSCYSAFSVSDKVCYDDLTLCQRCALYNGGNNLDDRISDLPDELLCYILSFLPMKLAFTTTVLSKRWTLLCCSLLVLRLNDQTFKDYEAFYQFCRFMDTLMLSPLTTSQPIKAFLLNCCIKHRAQNSKFNVTKWLEVAKRRRVEEFHLTLYYHNLKPIIFISQTLVVLKLKRLNVGNDTLCVDLPSLKTLYLQLVYFKNQKDYINFLSACPILEDFHAKSIYIHSEMNHDEYDAPKGLKSLTLSKLIRARISSMDVLFNGINNVEFLRITTESRNQEASFKVIPVFPNLIHIDLVFCHHSFHCWDGVVELLRCCSKLQILSIRKWTETNSSKEWKCPVAVLECISSHLRSCTILNFEGSADDLRFARYILHNASLLQDMRIEVTANGILLEKSRIIKELYSYPRISTTCKLSFEFK >RHN66750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18251552:18252411:-1 gene:gene14826 transcript:rna14826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:cox3 MIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHSFQGGATLLSLGLIFILYTMFVWWRDVLRESTLEGHHTKVVQLGPRYGSIPFIVSEVMFLFAFFRASSHSSLAPTVEIGGIWPPKGIGVLDPREIPFLNTPILLSSGAAVTWAHHAILAGKEKRAVYALVATVSLALVFTGFQGMEYYQAPFTISDSIYGSTFFLATGFHGFHVIIGTLFLIVCGIRQYLGHLTKEHHVGFEAAAWYWHFVDVVRLFPFVSIYWWGGI >RHN66558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13962336:13963817:1 gene:gene14567 transcript:rna14567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TFIIB, tRNA dimethylallyltransferase MFDAFCRDCNQATDVVFDHSAGNAVCSECGLVLGSHSIDETSEWQTFSNDYGNNGSPSNPLIVDDGLSNVIAKSNGASSDVPSSSLGRWQNSSSNPDLGLIVSFQTIDIMAEKLGLVPTIKDRANEIYKRVEDQKSSKGRNQEALLAACVYIACRQEDKPRTIKEICLIANGATKKEIVRAKEYIVKQLGLENGGQSIEMGIIHIGDFMGRFCSNLGMNHQAVKAATESVQNSEEFDIRRSPISIAATVIYIITHLSDDKKSLRDISVATGVSEGTIRNTYKDIFPHVSKIIPTWYAKEEDLKNLQPLKLNSIYLINGKGHLPITIRGFNSYFKKLIKDPAIAFLSKYDFFIFFSIWIDVSLPTLFQYIGKRVDEMVEVGMVDEIREYFVSGADNSKGIRRVIGVPEIDYFFEIVKKNTIDDAKKEKILNKAIRKTKHSTCILAKNQLLKEYGSYAWIDSVQN >RHN71576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1131175:1150319:1 gene:gene7190 transcript:rna7190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative separase MASSTEQSLLSKLESSDSTGIYALVSEYLRPFSNIKSSSSTNNVTLIRQLTKQFFHFIKKSVSILPKRIPELFNSEIPNSNYALITELCKTYLLCFDCLEIISSELDSKPLQIHFSRMRMIRCFESCDRFQEAEVEGFKLLEKLNGGKRKGKKILPEVGNSGGGDNEDFCELVVEIAFSLLKCAASMAPDKDDDYLRRVLCLIDEVKPWLRGLDSDSYEKYHNPIVYNLGICALNLLEKKVSSSDKDLLITFCRTTLVEYANSSIKDRLFKVAQNMCSVFFKLEEDEFLYIMDILDCVARESKVEEGNAGIKFVQLVDYCAVKCKTANASFCYTFAAYLNKAAERYKQFILNSILRLYAAGLVVVSCNLRARAEDLVSPGTAKFECLLGVLLENMKILQSSPPLLGSLHICSRRSCLSSSVEDQQFDGHTCTQSVSDCKASMTYLSFYTEALDFICQPLASSINSERKEFVTGKDDASALTVLSTVGDAFHALCQFVLYDPSLKFEKNDDRFNEKSRTVPLVTLAAFTLSIRTNLKLQESTQLIKHIIASKWVDTGRLKYIINRLHNIFLVFYRNKQLKEASKVLNLCCKASWLFIKRHCGNLSEGALKEFVNDDYTRSARLLDILYETDNLKTRKKLIKILKNWSIAKDMFEKLPTPIPVVKQWVKIECQRVKDVDGSVDSPSLYSLLLSSKELSKRNIGTILEQELTEYELMSYYYPEFCQKMQIEITNILLQNIYITPNSCFQKAQTIVRKGKALRFCGIGGLSDCIQCLSEAIIILKEISGEMCTNSIPIYNQLCVAYCLRALCTQEAEPSSQQIFEDVKAALDLWLGISCVDCFEEGECSALSDNITILLYNIIDLSHLKGFMDLLNDAYRLVIKMFKLKSVSMEKWLTLLWESRRLSHALCVSPVNEAFILNSLDDFSDLSNINFWIHNLKGNQSSLIGFQQNFSFLFASSHRSSCDHGSSFQVEISVDEVQKAALKLISNVPVPNHCTFLAGYLYSDLCGRLIANGQLIEALSFAKEAHRLHDQLFKVKFRHIFQKHNEENNITVDFLKNLMEGVDKIEVDTSVVREIFLFDSISRDLQDNYLSPWKIMQSYLESTLQVGAIYEIIGDGIEAETYLRWGKAISCLLQLPLFIVAFSSLLGKLYATKRLWDSADKELQLAEQILNDNSTPFCCSKCKLILEVTLHGYLGDLCQSKFNACEEGVSEETAKNWYTSALNKLTLSEWKNPLSCPEDDSDAIATDVKCAGGKTCTCSLMNEVGEDVKKSTKVGPGTKIGPKQNRKSKNVAKVISKEPNIVVENQSRLTRSRYRSIQNQQTSISRKLEVNENVEGNQISGPSDMLSRKDSISTEIGCSISSKIGGRVSSKCAVTCLLSEMKCWNCLPSEVLKSGLLNDFIILKWEFVRRKLSMKLLTRVAKCFAYPDQNDEAQKILLRSMSFLFGRNPFCHTFSSIPVDSFHQLVAKEIPGDVFAIERAEIVYSICWHSLKCYHSEYMRNIFCNLSHIKFEDVASWLMVAFVLSREVPAVSQKVSILLAVMYVVSSSSEQFLMPSFSKVFDENYWSSYFHQASIGTHLTYQFLSHTSGGCKGPYVTGSSSIREVAFDSLRIAPDSTVDLAEHVKNFFARLPLTTIIGISLLDREYTSLLQELLLYPACVRAWMLVSRLNFKTEPVVILLPLDSILQDEGDLSTGSDFLQMCEKPGKVWRCPWGGSTMVDDIAPAFKTILKENYSSSTSLFETTEQNMRLWWDWRINVDRRLAKFLRNLEDLWFGSWKFLLLGEWSNCNFFDSVLKNLVNDLRSKCKLNVNEGLLKIILGGSKYVCEGKSLLPQLCSKKDCYIAKGGYCDGAKSGIFSNVANKLMSSEVAFELLNEALNVLEVDDSMNREPVILVLDPEVQMLAWENLPILRKQEVYRMPSVSSISFVLDKGSTSKEPVGRNLAPFPSIDPLDAFYLVNPDGDLAGTQIEFEKFFRDQNLEGKAGSKPTVKELASALESHELFIYFGHGSGVQYISRREIEKLPQCGATLLMGCSSGSLTLNGSYAPQGVPLSYLLAGSPSIVANLWEVTDKDIDRFGKAMFDAWLKERSKVDIQCLQCNLLSEELEAMNLKGGKGRGKRKVPKKKSLELPENDSLSTKCNHRRKIGAFMGQARNVCKLPFLIGASPVCYGVPTGIWRKKDT >RHN67559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28253862:28254470:-1 gene:gene15757 transcript:rna15757 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPVTPFQRMFLPGASNSPPPRQEVAANSNTGRVRRRGLPRNDPIPVPFVWATDRRAKIHNLSHLLQNGIFNITGEVQCKSCQTKFQMSFDLVAKFDVIAQYLVTNMNTMHDRAPGILMNPRLPRCVHCNKENSVKPIIAEKKKNINWLFLLLGQMLGCCNLKQLKYFCKYNNHHRTGAKNRVLYLTYLELCKQLDPSVPF >RHN47196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37809393:37809683:1 gene:gene41762 transcript:rna41762 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGKKVILGFQLEPLVAIQFRPSFIRFQFDFAISFPFSILFAISLNPITTELRFCFSSVNGYLCSFSFKVIVGHLFSLYIEGRCFIKSNSLTFLP >RHN75268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40773734:40779579:-1 gene:gene11443 transcript:rna11443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MSILDWNNKMQLHISPSLRHVTVLPGKGLKEFIKVKVASRRLSYRMLFYSLLFFTFLLRFVFVLTAVDGIDGQNKCSSIGCLGKKLGPRILGRRPESTVPEVIYQTLDEPLGNDELKGRFDIPQTLEEFMVKMKEGGYDAKTFAVKLREMVTLMEQRTRLAKIQEYLYRHVASSSIPKQLHCLDLRLAHEHTNNAAARLQLPSAELVPALVDNSYYHFVLASDNVLAASVVATSLVRNCLRPNKVVIHIITDRKTYYPMQAWFSLHPLSPAVIEVKALHHFDWFSKGKVPVLEAMEKDQKVRSQFRGGSSAIVANTSEKPNVIAAKLQALSPKYNSVMNHIRIHLPELFPSLNKVVFLDDDIVIQTDLTPLWDIDMNGKVNGAVETCNGEDKFVMSKRLKSYLNFSHPLISENFNPNECAWAYGMNIFDLEAWRRTNISNKYHHWVAQNIKSDLSLWQLGTLPPGLIAFHGHVHVIDPFWHMLGLGYQENTNVDDVENAGVIHFNGRAKPWLDIAFPELRSLWTKYVDFSDKFIKSCNIRA >RHN64747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61494778:61495124:-1 gene:gene27602 transcript:rna27602 gene_biotype:protein_coding transcript_biotype:protein_coding METQYQMSESSSPYKSGSLKSMFYRQENWEGFDASRVHSSELNLVDEKGKKPISLKDDVEANLDDLMDPCLLIDFS >RHN80336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37276866:37277492:1 gene:gene4250 transcript:rna4250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I13, potato inhibitor I MSDECQGKSSWPELVGVEGKVAEATIQRENPLVDAIIVPEGSSVPFDFRCDRVWVWINKDEIVYQVPTIG >RHN55381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17813225:17814598:-1 gene:gene30554 transcript:rna30554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MELRLVNGIAYSEPWFGRWGYKFGRGCFGVTQSMYQKAIEAIRSMPLYLLTHHIANSNHEIPLIFQRYQTLSDHSLVTLGDLFHYMLELKSRLPRETCIGSFNTSALVETNCRWSPKRIEMATRVIVEALKRTEFRWISRQEVRDAARAYIGDTGLLDFVLKSLGNHVVGNYLVRRSLNPVTKVLEYCLEDISNVYPCHEGLVMSSSSSNKVKDKYKITRGQLMKDMICLYKYILIDTKPIIGSEFLSAIPLAARIILDTKHLIKDYGEVPLQVELGSKEKCNLYCTILLRNVNNVGHNHEYYLNKDIPMPPYECITLKSCATINDLKLEVERNFREIYWGLRSFVVQPNGNLMNAKGNEMVFGMIEVGGKLVLEGWHGDEMGINMVDQICERDPKKGIVECICGTKENDGERMVSCDICEIWQHTRCVRIPNDEEVPHIFLCKRCEQEIVIFPSLP >RHN75550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43216676:43221854:-1 gene:gene11774 transcript:rna11774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydro-lyase MVMASLVVPGLSSSHLYCQNQLKRAQKWVFLGGFSVKRTKTMMHVVDHNQSQVGSGGDVSHGLHKDLVSLPRPLSISDINAASDDQAKVRISYQGIPGSYSEDAALKAYPNCETISCSDFEEAFKAVELWLAHKVVIPIENTSGGSIHRNYDLLLRHRLHIVGEVQLATNLSLLAMPGVRKEFLKRVLSHSQALALSDTFLNKLGVSRENVDDTAGAAQIVASNSLYDTGAIASIRAAKIYGLNVLAEGIQDDSEIISRYLVLARDPIIPRSNKPFKTSIVFTLNEGPGVLFKVLAVFAMRDINLTKIESRPQRNRPLRVVDDSNTGTAKYFDYLFYIDFEASMTEPRAQTALEHLQEFATFLRVLGCYPIDTTI >RHN81782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48847472:48852841:-1 gene:gene5870 transcript:rna5870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA reductase MCFHIIQIYSSVTSVTTSTAIAIYLGHCFCDTRQRKRLIRNMWPFSKKGVSGFSWNSTAEQVTHGIDATGLTAIVTGASSGIGAETARVLALRGVHVIMGVRNLVAAKDVKDTILKDIPSAKLDAMELDLSSLDSVKKFASEYNSSGRPLNILINNAGVMACPFKLSKDNIELQFATNHIGHFLLTNLLLDTMKKTTRKSKKEGRIVNVASEAHRFAYSEGIRFDKINDESSYSRWGAYGQSKLANILHANQLTKHLKEDGVDITSNSLHPGTIVTNLFRHNSAVNGLINVVGRLVMKNVQQGAATTCYVALHPQVKGVSGEYFSDSNVYKTTPHGRDADLAKKLWDFSINLVKQK >RHN57059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36177821:36180228:-1 gene:gene32551 transcript:rna32551 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIIIATLTCYQELIKMGQFHCIIFNLFSIVYCLIGDLLLTDSSRGTHEII >RHN67144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24307080:24307592:1 gene:gene15293 transcript:rna15293 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFKKSQVLVLFVLLLFLAFIPLLPSSLKSTYLYFISNFIIIVLASEAGLFSLLYKPLEDKMQSSSLIKKPITPSDGYSEKKEATISSVSKHVEKRLKPVEKSASETERVVSFTKVDIVKKSTFIGSGEDDDIEIQEEIEGLNGQELYVKADVFIRNFYKQLKLQKDES >RHN65295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:960861:962665:-1 gene:gene13120 transcript:rna13120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MGGIGKTTLAKLLYNDSEVKENFDLKGWAYISKDFDIVQVTKTLVESFTSETIDTNNHNTPHAEFSPSKRTDTNDLNTLQVRLQRIIRHKKFLLVLDDIWDRHYIDWNNLKDIFNAGKIGSKLIVTTRDERVALAVQTFLPIHYLTPIGSDECWSLLAKHAFGACNFRQRSNLELIGKEISTKCDGLPLAAVALGGLLRTKSSEDDWNNVLKSNVWNLENVEVQPALLLSYHYLPAPLKRCFAYCSIFPKNSRLKKKTVVELWIAEGLVHQSRSHKSWEKVGEEYFDELVSRSLIHRQLVDDGKASFEMHDLINDLATMVSYPYCMMLDEGELHERVRHLSFNRGKYDSYNKFDKLYGLKDLRTFLALPLQVSPGTQSYCSLSDKVVHDFLPRMKQLRVLSLPGYWNITELPESIGNLIYLRYLNLSYTGIERLPSATCKL >RHN47104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37170979:37174624:-1 gene:gene41660 transcript:rna41660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MPSPTTGSFAMLPPLTYKGSMLTSVKHEQENVDVPTASDFAASFNFKHQANLDADSLSPYFASLNQVSNNRHMMNGGGHRDGQMLVQGQQLLDFSFPQGFSSEYLARNSGVHFYNDVKMVDDVIVNTNNVDIPISRSEEASDESTLPENSIHSEDIGQHHVLEAEQKEMSHAAGAKTSEDGYNWRKYGQKQVKGSEYPRSYYKCTHSNCQVKKKVERSHDGHITEIIYKGNHNHAKPHSSRRGSVPSSDEISENAEANETCDRVDADSVWGNIQSWGKDAKHNPERKPDGQERTSPPSGVTELSDPMKRARSQGMFESDNAPEHSSALGNHDGDKDGATQAVLSPENNPEDADSESKRRKKESYPVETMVPPRAVREPRVVVQIESDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHNLKYVLTTYEGKHNHEVPAARNNNHISSSDVGLSSTCANVIPGSAVIPKSETHQTLPSHFDRKPEFSNDFLRSSLMGNFSNDMKFGPSSISQMNYSSLNNIIPYGAYGTSPDHVAVPQTGPIASMFPEFQMPLPLNLPSSGNYALAGINFNYARPMSSIQSYLSGQQLRDMDTGFLRPKQEVKEESSYAACAPSLDHASTSHTPPYAACAPSLDHASTSHTPPSPSQSIYQCVMQNFPS >RHN77843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9821975:9824202:1 gene:gene1337 transcript:rna1337 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEKCSHYLSYQAKRVRNMFCVDIRGVTSRDSVWTLDSESDLATWIRGTQIPHNGHQEQGKQQILLLSAMEIQRTFQQKYPYQAMGQRS >RHN47296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38590764:38593967:1 gene:gene41875 transcript:rna41875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation initiation factor IF2/IF5 MALQNIGAGNSDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGTSHVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIIITKTQMIQMKCAACGFVSDVDMRDKLTTFIIKNPPESKKGGKDKKAMRRAEKERLKEGEAADEELKKLKKEAVKKKGAKESTAKTSSSKKKGNGSDEDHTSPTRSQVDEKDEAEDEDDVQWQTDTSLEAARLRIQEQLSAVTADMVMLSTTEPEKEEKAAAKVSDNPANGNGNSMNYKTLVGEIKADLKKGASAKELVAHWASLPVSPQEKMSALYEALFGDIEKGFAKEAIKKKNYLAAAVAVEEGMPLLLLRAIEEFSCKSTSNALKEVALVLKALYDADALEEEHILQWYQEGLKGDTKNAQIWKNVKPFIEWLQSAESESEEE >RHN47115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37239528:37243874:1 gene:gene41672 transcript:rna41672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGSCFSTESRSPHPNSPSSSSSSFRKNRKNSKKKLGSRTSSFEYWRNEPLHKIHDRIFLNGSSQFASLFTQQGKKGTNQDAMLVWENFCSREDTIFCGVFDGHGPYGHMVAKRVRDSLPLKLNTQWELNVSGDDVLKEISVNAAASMNSEDATFASADEESRVSIDTEEMEKLPEIFHTLKESFLKAFKVMDRELKMHQTIDCFCSGTTAVTLVKQGRDLIIGNIGDSRAVLGTREKDNSLVAVQLTVDLKPNLPAEAERIRKCKGRVFALHDEPEVCRVWLPNSDSPGLAMARAFGDFCLKDFGLISVPEVSYRRLTEKDEFVVMATDGIWDVLSNKEVVDIIAAAPRRATAARSLVETAVRAWRYKYPTSKVDDCAVVCLFLDKGMQKISTASHANKSKEHCPGSGIQVGNNGDDEEGVSEPNALERSGTCRKANDNNNTSHEDKEEEEFKEEEEIDPDLEKEWSALEGVSRVNTLLNLPRFTPDKEDKAAAAARSKKLK >RHN44559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6177639:6181313:-1 gene:gene38693 transcript:rna38693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MLEQDFLTTTSATATVRSAGTWARTCDTCRSAPCAVFCRADSAYLCAACDARIHAANRVASRHERVWVCEACERAPAAFLCKADAASLCSTCDADIHSANPLASRHQRVPILPISGYLYGPPATLLGAEDEGFVRGGCEVEEEEDEGVDHDMEDENEAASWLLLNPLKNNNNNSNNNISNDHNQVANNGYLFSGEVDEYLDLVDCNSCGGDENTFTTNNTHHHDEYSQQQQQQDHYGVPQKSYVGDSVVPVQQQQVQNFQLGLEFESSKAGFSYNGGSISQSVSVSSMDVGVVPESTMTYSRPPKGTIDLFSGPSIQMSSHFSPMDREARVLRYREKKKTRKFEKTIRYASRKAYAETRPRIKGRFAKRTDVEAEVDQMFSTSLITEVGYGIVPSF >RHN55585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19781623:19783905:-1 gene:gene30787 transcript:rna30787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonoid 3'-monooxygenase MSPEFHLLLFPDLVYFHPFTLTFQTALCFFLFVLAFLFWLTPGGFAWALYNKASSSAKSVIPGPSFSGLFGILSGQTPHRVLAKLAKSHRAESLMAFSVGLTRFIISSEPETAKEILCSSAFGDRPVKESAYELLFHRAMGFAPYGEYWRNLRRISSTHLFCPRRINGFEGFRSEVGLKMVERIKFLMGDMGSVEVKKVLHFGSLNNVMMTVFGKCYDFFDGDGVELEELVSEGYELLGVFNWSDHFPLLGWLDLQGVKKRCRALVTKVNTFVGKIIEEHKMKRMSEGRAIVGDFVDVLLDLEEKDKLSDSDMIAVLWEMIFRGTDTVAILLEWILARMVLHPEIQAKAQEEIYRVVGNSKVITDADVQKMCYLQCIVKEALRVHPPGPLLSWARLAVHDVMVGDKHIPKGTTAMVNMWAITHDEKVWNEPEEFKPERFMNEDVSIMGSDLRLAPFGAGRRVCPGKAMGLASVHLWFAQLLHNFKWVSCDDSLVDLDECLKLSMEMKKPLVCKVVPIPRT >RHN64652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60748642:60749934:-1 gene:gene27487 transcript:rna27487 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQEVILVICILFWACIGSTTVARKTVFVGNLFDGAKKKNSSSVAVLDDDVHKCNHKRKHLSSCYNNTSSSEDKRVVPTGPNPLHNR >RHN52806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39055742:39057251:-1 gene:gene37520 transcript:rna37520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MFVFFDFLDLHTLGILNHSQPNGLAVPDASMHPVKSTNDVIKLMEIGLKNRAKGSTAMNERSSRSHSVVSIHVRGADKKTGSTLQGNLHLVDLAGSERIDRSEVTGDRLKEAQHINKSLSALGDVIFALAQKSAHIPYRNSKLTQILQTSLGGHAKTLMLVQINSDIKSYSESLSTLKFAERVSGVELGVARSTKEGRDVRELMEQVASLKDTISTKDEEIERLQLLKDLKNDNSEKLED >RHN70621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52795008:52802994:1 gene:gene19225 transcript:rna19225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Phox domain-containing protein MQRRSPPKHRHDGTSPLPLGMDWSPAPRKWNGRDTEWPHNHRTGWSYCVIIPSWVFVPKSKNSDPIVFYRLQVGIQSPEGITNIHGVLRRFNDFLNLYVDLKKEFPGRNIPPAPPKGLMRLKSRALLEERRRSLEEWITKLLSDIDISRCAPVASFFELETAARSSFQDASQQNSVKDPDSNNRDYSVQSSLHSGLSLTAGSSSVASDYGSDTPYDASEVGTPRIGQDDNSEVGTDDLTLDEDTTNPIEKLVKYGISNIDEGLFMGQTILEQLEGLPRHKVNARHGNNVTGKDRSNGNSYDSSLLGNNTMELFSQPGHAKVLGHIRKLSNESVGSDGSSIRGSDISNFGIPNSSGDGSVDLPGSASVSRETDIVGHTKLKSSGDAQLVLPLDQRNKLNRILSTMQRRLVTAKTDMEDLIVRLNQEIAAKDFLTTKVKDLEVELETSKQKNKENLQQAILIERERFTQMQWDMEELRRKSLEMEMKLKSESGGNVGQDLTKESIVQQNDVLLQDLDAAREQLEILSKQYGELEAKSKADIKVLVKEVKSLRSSQTELKKELSESIKEKYEAEKLLLHEREKSEQAEIAWRKQLEKCGLLLKQLQECSVELPYEDEDRTFLQSSSSTDAFNKLKTSDDQIDILLAEVENLEKDAGSAASNVDKTNDIKDGVICGDEMRKIISDLFLDNVRLRKQTNRVTRHMLSNWI >RHN71036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55983184:55988030:-1 gene:gene19678 transcript:rna19678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MSDTTEDAVRRRNAVAEYRKKLLQHKELESRVRSVRENLRASKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNISYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYNADFGKE >RHN61527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36170916:36172291:1 gene:gene24000 transcript:rna24000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, isopenicillin N synthase MLEVSRLLAGILAENLGHPTDAVEKLCDASTCFLRLNHYPSCPKSKEEIFGLVPHTDSDFLTILYQDQVGGLQLMKDSKWVAVKPNPEALIVNIGDLFQAWSNDEYKSVEHKVVANDKVERYSIAYFLCPSYTTMISGCKEPSTYKNFTFGEYRHQIQEDVKKIGHKVGLSKFLRKDTYTTTMA >RHN55494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18980747:18983221:-1 gene:gene30683 transcript:rna30683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MKSLFTLLMFVITSQCLCGRITPDDGSNFNVLTYGANGDGNTDDSNAFVKAWNDVCGTTQGTPTLIIPEGKTFMLQPLSFQGPCKSTTIQVEVKGTITAPKDIEAWKWKDNKRRSWVQFSKINGLAVYGEGTIDGQGAPWWKKYHDGDSNRPTAFQFIGCESLTISNLNHINSPRNHISIDSCKNASISNLQIIAPENSPNTDGIDIASSSNIIINNLSIKTGDDCIAINSGSNFINITGVLCGPGHGISVGSLGKGGEYATVEEVHVKDCTFTGTTNGARIKTWKGGSGYARKITYENIKLVEVKNPIIINQNYNPHIYDSSSEVVKVSDVTFLNIHGTSVNENTVQLNCDPKIGCDNIIIDHINITSVAGGEPHASCTNAHGTCSSSYPDVSCLSDNK >RHN60599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28666104:28668746:1 gene:gene22932 transcript:rna22932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative recQ-mediated genome instability protein MDYSLAALKLFCSQLKLAREVPSQHSFTLGGILFQRAWLQGVLVSSNDGSGPLLLDDGTGIIELSLSGEFRQRQFKAGMYVMVVGGYATRATGEPSVIKVHKIVDLSSSPDREAMWYLEVMEAYKLFYQPLVENFI >RHN69700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45643490:45646030:1 gene:gene18206 transcript:rna18206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator C3H-WRC/GRF family MGEFRVQDKEPKKKTNIVVGSVQSFEGFSNNHHHRSFFHNHDSSSASAADADGYGPTTYNMSFGGDSSALGANAIIDNGGVSLNFQPFHNISASNTTLKGGMAASIGIGYPPFTSAQWRELERQAMIYKYLMASLPVPSHLLSLDGGFNLRLSNRNNTDPEAGRCKRTDGKKWRCSKDVALNNRYCERHMHRGRPRSRKPVELHTNNNSNSHHQIKKARCDSNPFSVPDFTVAVPNPTTRKYGSSSHFLASTPLHPYLQSSLSLHNSSFEGSNKQPRGLEWMLNGDPISLGASNSGWNPLMHNNKLGMTNESSYHNNTESQYLNSFPLYNSSELAQHEKPFPLLLNPLVVPMQTLQSEKPRGFSFIDAWSNTETVENNANTTTTSNNGSASVGKLSLSSLDLSMGGSAVSEEMSTIEMNAAGDDDSTKIALSNWLNSAQPWLGSTPGGPLAEVLRPSNVTSISDATNSNPSSPLTTTNRVESIGTSGTGMVSSPSGVLHNKTLASFSDSSGNSSPNVASSRANSEIALLNKFNHISYVN >RHN44974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10406259:10408496:1 gene:gene39164 transcript:rna39164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDLEHAIFLNDHYVDEFSLFIGDLVSVNLSQCLEITYSSLFALVRNCPSLSEIKMENIGSKSLDNSDSLPDFGVYPQLKSLYLAYNTWKGVKHVKENCSQLREHGYIRHYSESVVLKV >RHN62895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46587328:46596512:-1 gene:gene25528 transcript:rna25528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase MREPSTAIIETNGGGVSSPPPQALLERLKDYGQEDVFSLWDELSNEERDFLVKDIESLDLSRIDRIIRCSLRSQGLPAAAIEPVPESNVSKVEERSQEERERWWKMGLKAISDGKVAVLLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCVQRLAAHATNESSASSVQIHWYIMTSPFTDEATRKFFESHKYFGLDAEQVTFFRQGTIPCVSKDGRIILETPYRVAKAPDGNGGVYSALKSTKLLEDMASKGIKYVDCYGVDNALVRVADPSFIGYFIDKGVTAAAKVVRKAYPQEKVGVFVQRGKGGPLTVVEYSELDPSLASAVNQTTGRLRFCWSNVCLHMFTLDFLNQVANGLEKDSIYHLAEKKIPSIHGYTMGLKLEQFIFDAFPYAPTTALFEVLREEEFAPVKNANGSNYDTPDSAKMLVFRLHTRWVVAAGGFLTHSVPLYATGVEVSPLCSYAGENLEPICRGRTFHAPCEISF >RHN59887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14442627:14443869:-1 gene:gene22054 transcript:rna22054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MRPFQRLSFSSFLLLSLGLVLNLVFVCNGSSTSIFVRKVEKSIDMPLDSDVFRVPPGYNAPQQVHITQGDHVGKAVIVSWVTEDEPGSDAVRYWSENSKHKKLAKGKIETYRYFNYSSGFIHHVTIRNLKYNTKYYYEVGLRNTTRQFWFTTPPAIGPDVPYTFGLIGKV >RHN42838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41388492:41389786:1 gene:gene49332 transcript:rna49332 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSKPNVPSIPEIKMKPNPKKKIPSPKELISYYESQGMDSQEASLKVIEDLQKALFGVISSGKGKKDRLMNESSRKMDSVNQRLAILDMKLDTKPGFVETFAIGVVSGAALNGIGAIMPHILSPIAQIWNSVTSATKSTPQ >RHN45102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11828065:11828814:1 gene:gene39308 transcript:rna39308 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLPTILPYPNPNFIVTITKEEFILFHSVDRKLFSRLVVELGRDTSQSIHVMAFIMWIERKSKKCNLVEEILQSWPNVMLSNLADEVVVILNCIEISHYPNTFVGQSNLPLIQHILCRNLTLEFFHKKRLEVINDVTKLINDVCVIAFEDIIEQVQYTMAIKMQQQMLYSYPNNIGMVPQQIQPDVREILANLNLDDIYACDSSIVAPNDDKRNEIKQPIDDRTIFMTFSKGYPIYESELREFITRY >RHN69217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41857410:41858106:-1 gene:gene17657 transcript:rna17657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribosylformylglycinamidine synthase MAFAGNCGLVLDLNSQGKSLFQTLYAEEHGLVLEVSKKNLAIVMDKLNSVGVLVETIGHVTVNPSIEVKVDGVTCLEEKTSILRDIWEDTSFQLGKFQRLASCVDMEREGLKHRYEPSWKLTYTPSFTDDKHTSAALKPKVAVIRKEGSNGDREMAAAFHAAGFEPWDVTMSDLLNGLVSLQEFRGIVFVGGFRNDSFKSFTSVPILSV >RHN39918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11688744:11689291:1 gene:gene46002 transcript:rna46002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MAKLYFTPSLRKENQNKMKGVKFSSNSNQTMIFLLILVSTFCLSIEVASGQLNKATLTLNSFEKGGDGGAASECDNKFHSDDTLIVALSTVYFNHKERCFKEITIFGNGRSVNAMVVDECKCGNNIVDGSSAVWKALGVPEKEGEMDIFWGDA >RHN51110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14096470:14099575:1 gene:gene35488 transcript:rna35488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MESEKKNHAPHCLILPYPAQGHMNPMIQFSKRLIEKGVKITLITVTSFWKVISNKNLTSIDVESISDGYDEGGLLAAESLEDYKETFWKVGSQTLSELLHKLSSSENPPNCVIFDAFLPWVLDVGKSFGLVGVAFFTQSCSVNSVYYHTHEKLIELPLTQSEYLLPGLPKLAPGDLPSFLYKYGSYPGYFDIVVNQFANIGKADWILANSIYELEPEVVDWLVKIWPLKTIGPSVPSMLLDKRLKDDKEYGVSLSDPNTEFCIKWLNDKPKGSVVYASFGSMAGLSEEQTQELALGLKDSESYFLWVVRECDQSKLPKGFVESSKKGLIVTWCPQLLVLTHEALGCFVTHCGWNSTLEALSIGVPLIAMPLWTDQVTNAKLIADVWKMGVRAVADEKEIVRSETIKNCIKEIIETEKGNEIKKNALKWKNLAKSSVDEGGRSDKNIEEFVAALAQC >RHN82498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54282018:54286080:1 gene:gene6668 transcript:rna6668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain-containing protein MSQRGLIYSFVAKGTVVLAEHTQYTGNFSTIAVQCLNKLPSNSTKYTYSCDGHTFNFLLDNGFVFLVVADESIGRSVPFVFLERVKDDFNQRYGASIKIASDHPLADDDEDDDLFEDRFSIAYNLDREFGPSLKGHMQYCLTHPEEMSKLSKLKAQITEVKGIMMDNIEKVLDRGEKIELLVDKTENLQFQADSFQRQGRQLRRKMWLQNLQMKLMVGGGILILVIILWVIACGGFKC >RHN46875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35504339:35504793:1 gene:gene41410 transcript:rna41410 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYSHISIPSLQAGHTHQYPLLEPMQFAFIPFLTIAKESSIADVFLNDPILHRRMAITRGVHDKRCERCGKLKMETLHAFHLSSPQGFKRVPEDEQKQICSNCKNLDFCDALFL >RHN80543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38934293:38938782:1 gene:gene4481 transcript:rna4481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDPDALNSLRPVYGLIFLFKWRPGEKDERAVIKDPNPNLFFASQVINNACATQAILSILLNSPDVDIGPELTKLKEFTKNFPPELKGLAINNSDPIRAAHNSFARPEPFVPEEQKAATKDDDVYHFISYLPVDGVLYELDGLKEGPISLGQCAGGQGDMEWLKMVQPVIQERIERYSQNEIRFNLLAIVKNRKEVYTSELKELQKRRERILQQLSASKAERLVDNSSSDVLNNSLSEVNAGIEAATEKILLEEEKFKKWRTENIRRKHNYIPFLFNFLKLLAEKKQLKPLIEKAKQKTSSSR >RHN64722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61269238:61272786:-1 gene:gene27571 transcript:rna27571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysine--tRNA ligase MMPKQESASAAAANNANLMGNQWVPGSTRNPETYILKDQETRYRSRHLDLMLHPEVREIFKTRCKIVKYIRKYLDDRDFLEVETPMMSKIAGGAAARPFATHHNELDMKLFMRIAPELYLKQLVIGGLGRVYEIGKQYRNEGMDLTHNPEFTTCEFYMPFADYYDLMKITEEMLSGIVYQLTNGSYKIQYHSNGVDKDPIEIDFTPPFRRIDMIEELESMAGLSITKDLASEEANEYLKNACLKYDIKCAPPQTTARLLDKLVGHFLEETCVNPTFIMNHPEIMSPLAKSHREKPGLTERFELFVNKHELCNAYTELNNPVVQRERFAQQLKDRQSGDDEAMALDEEFCTALEYGMPPTGGWGMGIDRLTMLLTD >RHN47098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37111850:37113962:1 gene:gene41654 transcript:rna41654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRIB domain-containing protein MRQRMERLVILPFSAGCISEASVAVGVPHPRRSKPAETNSPHDAIKGSKGVEDSEILSGESMKNSLRLLDVVPKPNLSFNKLFKGFKNFSQLFVEKEEDLEEAEMDMEIGCPTDVQHVTHIGWDGVTTSCAAHDPMRGWDSLIPPELLSVASQSLQTKHETTSPINIMPSIA >RHN78189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12584136:12587188:-1 gene:gene1719 transcript:rna1719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDSPIFYLLALVLFFIFMLVVLTKGRNLKKKSSAPNLPPGPWKLPIIGHIHHLVSSTPHQKLRDLAKVYGPLMHLQLGEISAIVVSSPEYAREVMKTHDIIFASKPKIVAIDILLYGSTDIAFSPYGNYWRQLRKICTIELLTQKRVSSFRPIREEEFTNLIKSIDSQQGSPINITQAVVTSIMTITTRAAFGNKCKGQEQLVSLANGESVGGGFDIGELFPSAKWLQLVSGFRSKIEVLHRQIDLILVNIINEHKEAKSKAKEGEVEEDLVDVLQKFQGGNDIDQDICLTDNNIKAIILDIIGAGGETSSTTIVWAMAELVRDPRVMKKAQYEVRKIFNKKGTVGENYINELEYLKLVVKETLRLHPPTPLLLRECGQACEIEGYHIPAKSKVIVNAWTIGRDPKYWTEPERFHPERFIGSSIDYKGNNFEYIPFGAGRRICPGITFGLINVELALALLLYHFDWRLPNGMKGEDLDMTEQFGANVKRKSDLYLIPTIPLPSRI >RHN51201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15038281:15038895:1 gene:gene35592 transcript:rna35592 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRDKVVWMEFGMSCVPLTPLALYKRAVQRNDQLHTPGTHEPYGPQRVNGLEHPKRGPLVQESETYKARHLENNRGIKIIILNNVFSSKSYSKCSWNSTWDISLSISHVVINSCLQT >RHN61900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39214385:39218009:-1 gene:gene24412 transcript:rna24412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-40S ribosomal protein S27a MSPFMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >RHN81231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44240847:44242148:-1 gene:gene5244 transcript:rna5244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MEKLLLLSLLFSLATITCSATTYIVGDNSGWDISSNLETWVADKNFKIGDALLFQYSSTYSVDEVTKQNFDTCNTTKVLANYGNGNTTVPLTRAGDRYFVCGNKLYCLGGMKLHVHVEDDGKSISPNLAPKAVAGSDQRTATLPESPSTKSTQFSKGVVNFAQIVYITLVVTFYGILQI >RHN59783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13078861:13079061:1 gene:gene21931 transcript:rna21931 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLHQTKLLHFSTCVARGFTKYPNGHQFPNEFAMISRGQVQLGRIPMTDKLVYWFVTRLNTAQGH >RHN81769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48744382:48747383:1 gene:gene5856 transcript:rna5856 gene_biotype:protein_coding transcript_biotype:protein_coding MASCILTLRSSPSSSSSSHSFSRVKPLLPKPKFNSRSSSSSTLTKFKSHNLGIKRNLVSTEAIGGKLNLNFPLISPNDEWGNWTALFAAAAFGIWSEKTEIGKTVSGAIVSILVCLAASNLGILSVNAPAYDLVLKFLLPLAIPLLLFRADLRRVISSTGTLLLPFLLGSVATTVGTVVAYLLVPMRSLGQDSWKIAAALMGRHIGGAVNYVAISDALGVPPSILAAGLAADNVICAVYFSTLFLLASKVPPESSASVNDDTMTTMSGSGDKLPVLQMATSLAVSFAMCKVANILTGHFGIQGGNLPLVTAIAVIFATVFPKPFASLAPSGEAMAVILIQVFFGVIGASGSIRSVMDTAPSIFLFSFVQITIHLALILGLGRLVRFDLKLLLIASNANVGGPTTACGMATAKGWKSLILPGILAGIFGIAIATFLGIGFGVKVLKYM >RHN79393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28378037:28378348:-1 gene:gene3179 transcript:rna3179 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQMDQVFQCVLHHDGDLSCFNDPEYVGPEEILDCDPDFFSYLALLATLKDLGNITLNSLSYFDPVLEDGMVRLNSDIGCRRMHNIAYQFDKVHLYVVHPLS >RHN79187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25329368:25329697:-1 gene:gene2920 transcript:rna2920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MGFQSLVLIVIVLLVSAATNQAIPVDINDPHVIKVATFAVTDYNKHNTETNLIFEKVINGVSDVTENGTNYRLTLSANDGSTSNNFSAIVLENPADNFTLTAFALIPHA >RHN72115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5107835:5108179:1 gene:gene7788 transcript:rna7788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVNLQDNFLVGNILMFNNTSLQYLYLGYNNMTGILPSNVCQELPNLRSLYLLHNDFYGAMPNVWHDCKELEDLELSLNNFDKGRIPADIGKLAKLQFLYLADNNLEGKIFLPIY >RHN50450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7214800:7215608:-1 gene:gene34733 transcript:rna34733 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAYSFCFFAYNVCFCLQLLLLLIVLYLLIILLIVSASAFAYGFCILILLLASMEVDWSKLPTELLNLISQRIYHEVDLIRFRSVCSTRRSSSIPNHHQILPFKFSLLKLPVLTDPNGIDTINNNTSFWYLSKQNIFIIKTRVAKPNTFTHSPNIGNLIAVLCWITTNYMFSI >RHN51825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26046909:26051103:1 gene:gene36362 transcript:rna36362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLRQIEGKLVSTNVVMYSTIIDGLCKDKLVNDAYELYSEMITKRISPTVVTLNSLIYGYCIVGQFKEAFGLLREMVLKNINPDVYTFNILVDALCKEGKIKEAKSGIAVMMKEGVMPDVVTYSSLMDGYCLVNEVNKAKHVLSIISRMRVAPNARSYSIVINGFCKIKMVDKALSLFYEMCCRRIAPDTVTYNSLIDGLCKSGRISYAWELVDEMRDNGQPADIITYNSLIDALCKNHHVDKAIALVKKIKDQGIQLDMYTYNILIDGLCKQGRLKDAQVIFQDLLIKGYNLTVWTYTIMIKGL >RHN39829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10879197:10883373:-1 gene:gene45903 transcript:rna45903 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKSLVRPLLTRRGFSTSSDKMVASVLFERLPVVIPKIDPIVYAFQEFSFRWRQQYQRRYPDEFLDRSDARGKGDYQIDYVPAPRITEADKQNDRRSLQRALDRRLYLLLFGDAYGAPSGKPVWHFPEKVYESEETMRKCAESALKSVLGDLSNTYFVGNAPMAHTVVQPKEEQTGSTSFKKFFFKSQVIAKNKFDIGKCEDFVWVTKDELIEYFPEQAEFLNKMIIS >RHN61979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39709385:39710581:1 gene:gene24498 transcript:rna24498 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLERPVYQKWLKKSCLINYYSFILNGPIKRETHKFKSIEKKMRRMYVALGIRKGKKRNKRNLSASHQRIIEAYRHESIFALFQTCLLTHNNVVSNMSLGAN >RHN64853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62471241:62472419:-1 gene:gene27719 transcript:rna27719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MESSAYIPDDISFSILSKLPLKSFKRFECVRRSWSLLFQTQQFIRTFLFNSHRFSYYNGSSLLLRDFEFGKNDFYSIFGERFQNKVKLDFPNPFANHCDFVILGFGSVNGIICLHEDDYYGKTVLWNPSTNTIKLIPPTPNEFIESSISNSNVEDFVRIIDTYYNHGFGYDELINDYKLICYVCIDVEYADHGVMSLDSFWEIYSLRTNSWRILDVDMPYSLSIPYSEGSKVYMDGVCHWLCEVHEDNLHGPCLVSFYLSNEMFFITPISSNLDDCFDVQALWITLAVLNGCIALISYHEESTNFHISILGEFGVIESWTKLFIVGPLSYVEHPIGVGTKGEIFFVRKDKEVALFDLCSQMIEELGHKVMFPQCHRIIIYKESISPIGGISC >RHN61041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32451340:32452393:1 gene:gene23452 transcript:rna23452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAPKGEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKEGGSAAGEKKKKRSKKNVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >RHN42984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42366502:42367035:1 gene:gene49500 transcript:rna49500 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFIPVMFLFLLFTFNVTFAVQKGSFINKDAVLASGSSATVRSAGCDKQSGECKRKTGGSEETVFENEDYVYTNSLP >RHN56978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35403877:35405510:-1 gene:gene32451 transcript:rna32451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MQYPYQCPNFFLFTLLMDPHFFFSINTTTPPFSLLKNTNSPFSTLKNRAMTKLNITTIFSFLALLLTSTEARLPGVYSGGAWQTAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGSCFELKCSNDPSWCHPGSPSILVTATNFCPPNFAQASDNGGWCNPPRPHFDLAMPMFLKIAQYRAGIVPVSYRRVPCRKQGGIRYTINGFRYFNLVLITNVAGAGDIVKTSVKGSKTGWMSMSRNWGQNWQSNSVLVGQSLSFRVTSSDKRTSTSWNIVPSNWQFGQTFTGKNFRV >RHN59067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7262673:7263878:1 gene:gene21053 transcript:rna21053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MNVLLQAMARYITKRQSSNLPDQPKIYDVYFLSFRGVDNRSKFISHLYSSLQNSAIFAFRDDDELQRGEHISIALLRAIGQSRISIVVFSTNYANSRWCMLELEKIMEIGRTRGLVVVPVFYEVDPSEVRHQNSQFGKGFDDLISKISVDESTKSNWRREIFDICGISGFTLIDSRLCIYLFFFRVLFMIL >RHN60773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30275772:30277242:-1 gene:gene23136 transcript:rna23136 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVLVWFCFVFSSSCCGVRLLQIVKSISIKCRSNQCLFLRYGFRLASIKYIFITNSEIWLFQTTEYSHICRLMYFTILGYEHECYEFVRKFIIFVFSEFVLYRRTLTI >RHN41667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31931454:31935089:-1 gene:gene48012 transcript:rna48012 gene_biotype:protein_coding transcript_biotype:protein_coding MGFITWTPSHTWQPTMTTDTTASSYWLNWRFFFCALWIFISMTLASYLIFKYEGFNKQRSSERDENHQEADGLLYEDEAWNTCVKGIDPSWLLVYRIISFVVLLALIIANVATEGAGILYYYTQLTFTLVTIYFGLASSFSLYGCLFKHKKFGGRTVNGASLEAVSTYMAPTLERVLDIPELTKSPDQEFHTREIAGVCGYIFQIIYQTCAGAVFLTDFVFWFVLYPVRTSNHYSLDFLIFCMHTINAVFLLGDTSLNCMRFPVFRFAYFVLWTATFVIIQWIIHACVSIWWPYPFLDLSSSYAPLWYLAVALMHFPCYGLFILIVKLKHFWLSRSFPGSSRIVH >RHN75573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43404089:43411105:-1 gene:gene11801 transcript:rna11801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & lipid binding HD-SAD family MQAGLMIPASNMHSMIGRNNNNVGVFGLSSSLSLSQPNLMEAGQHHQLLPFDMTQNNNNTSESDVPRIREDELFDSATKSGNSENQEAANSGEDQEPRAKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQQERHENTSLRTENEKLRADNMRFREALSNASCPNCGGPTAIGEMSFDEHHLRIENSRLREEIDRISAIAAKYVGKPVVSYPLLSPSSVPPRPLELGIGGFGGQPGMGGDMYGAGDLLRSISGPTEADKPMIIELAVAAMEELIGMAQMGDPLWLPTLEGGSILNEEEYVRSFPRGIGPKPAGFKCEASRESSVVIMNHVNLVEILMDVNQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAELQVPSPLVPTRESYFVRYCKQHADGTWAVVDVSLDNLRPSPSARSRRRPSGCLIQEMPNGYSKVIWVEHVEVDDRGVHNLYKQLVSSGHAFGAKRWIATLDRQCERLASAMATNIPTVDVGVITNQDGRKSMLKLAERMCISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPAGIVLSAATSFWLPVPPKRVFEFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCTDTTGSFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGTTTNGGGVGETGHGGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSGEVA >RHN49515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55314429:55315154:1 gene:gene44355 transcript:rna44355 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPSPEQKPIILPKPPCYRDPNIQQKPLPTRNPSLPPSFRPKPKKRNYCRLFCCTFCIIFLILFFLFILAAAVIYILYQPSIPEFHLGSFRVPSFNITTKSDGAYLDANTITMVEVKNRNAKMVWRFEQSSVQIWADNGDLNLGSTKVAAFDVKVKNKTAVKGETKVRHEELNEKQRRKLKSAFSSKALVPSVEVKTRTSVRVQGWKSMMIGVTVVCGDVTLRQIQNGDMPPCSFTVFKW >RHN78027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11366770:11371107:-1 gene:gene1541 transcript:rna1541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant seed peroxygenase MATSSSTTNNNGEKQIEHDQNVLQKHVAFFDRNHDGIVYPWETFQGFRAIGCGIILSSFAAIFINFGLSQKTRPGKFPSIFLPVEIKNITKAKHGSDSGVYDNEGRFVPSIFEEIFSKHARKHPSALTSDELMGLLKANREPKDYKGWLASYSEWKILHVLCKDKDGLLHKETIRGVYDGSLFERMEKEHSEKKKNK >RHN68082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32693922:32694220:1 gene:gene16379 transcript:rna16379 gene_biotype:protein_coding transcript_biotype:protein_coding MVFARISLEWNKGVPCLSIYAFIISFLVKKVIKYIFIESSHFYDSHDEWLQEKQNHVYTL >RHN52504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36187257:36188177:-1 gene:gene37191 transcript:rna37191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MRFFLLGAYFYYESRAFNFVGTVLIIKSYEGLKEMRRYRSPLSSNEDDTKTMMNNEVLVQESTYKCKTCGKTFSNGKTLGGHRRSHFLKMKRNHHQSQGNAYFNDDSYDDEEIAGKKKQTCYICENKFPIKNVFYGHMIRSHLDVVSKGVSPPSNYDIQKSFSSNSSKYSTQQNKEDNLSLPKWQNRGKRGRKCIGVVEGATNLLHLMSNKYFCTLSIDEQKSPEFPLPIKKRYCSVDESSSNGNGKKELIVNKNSFVLGCSLKIENKCDGNGDESDNNDEEKSNDAATEQNKLNFDLNDSYLVEE >RHN41197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28077648:28080486:1 gene:gene47490 transcript:rna47490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP deaminase MFALHATTGYGFLRNYKFRLHLLLNADREFVAQKSAPHRDFYKIRKVDTHIHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGKYMTLKEVFESLDLTGYDVNVDLLDVHAEKSAFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKQVLLDLEASKYQMAEYRISVYGRKQSEWDQLASWFVNNALYSKNAVWLIQLPRLYNIYRSMGIVTSFQNILDNVFIPLFEATVDPNCHPQLHLFLNQVRQSVYLCSLLFMLVCFGA >RHN48296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46424516:46434866:-1 gene:gene42998 transcript:rna42998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MESYDELEALKWAAIQRLPTVTRLRRGLLINSEGEANEIDVHKIGLQERKYLLERLVRIADADNENFLLKLKDRIDRVGVDIPTIEVRFENLKIETEVHAGKRALPTLTNYTLDMVEAPLNSILRRRRQHVNILQDVSGIIKPGRMTLLLGPPSSGKTTLLLALAGKLDPKLKFTGKVSYNGHEMKEFVPQRTAAYVSQNDLHLGELTVRETMAFSARVQGVGHQYDMLAEVCRREKEKNIIPDPDIDVFMKAVATEGQKENLVVDYILKVLGLEICADTVVGNEMLRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQVVRSVMHYVHLLKGTAVISLLQPPPETYYLFDDIILLSEGHIVYQGPCEHVLDFFASMGFICHARKAVADFLQEVTSMKDQEQYWAQRDKPYRFVTAKEFAEAFKSSHVGKSLGNDLVTQFDKSKSHPAALTTNKYGIGNWELFKACLSREYLLMKRNSFLYIFKLCQIAVVATITMTVFLRTEMHHDSVTDGNIYAGAMFFGNMIIMFNGLSELDMAVINLPVFYKQRGYLFFPSWAYALPSWIIKIPLTILEVAVWIFLTYYFIGYDPEFGRFLKQFLLISSVNQMGSSLFRFLGAVGRDMSVASTLGSFTLALLVVMSGFSLSKDDIEKGWIWGYWISPMMYAQNAVVNNEFLGKSWRHVLPNSTDSLGVEILKSRGFFTQSYWYWIGFGAMIGYTLLFNFGYLLALAYLNPIGKHQVVKSDHSLDNEDNSGRKRGMVLPFEPHCVTFDEVTYSVDMPQEMRNQGVHEDKLVLLKGVSGIFRPGVLTALMGVTGAGKTTLLDVLSGRKTGGYIGGTITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPSEIEKETRKMFIEEVMELVELNPLRDAIVGLPGVSGLSTEQRKRLTVAVELVANPSIIFMDEPTSGLDARAASIVMRAVRNIVDTGRTIVCTIHQPSIHIFESFDELFLLKQGGQEIYVGPLGHHSCNLINYFQHKLYLCPLFIMKRIQGVGNIKDGYNPATWILEVTTSSKELELGVDFAEVYINSTLYRRNKALIQELSTPAPFSNELCFPSKYSRSFAVQFMTCLWKQHWSYWRNPLYNAIRFLFTTIVAVLLGSMYHNFGSKYKKQQDLFNSMGFMYTASILIGVKNCFSVQPVVDVERVVLHRERAAGMYSSMAYATSQALIEIPYNLVQAVVYGIIVYAMIGYEWSATKFFWYIFFMFFNFLYFTYLGMMTAAMTPNLPIAGLISGATMTSWNLFSGFLVPHPRIPLWWRWYSWLNPVAWTLNGLMTSQFGDIKSNVEIRGTSVPVQDYLRDYFGFRHDFLGVVAIIVFGFTIAFVLVFAISIKIFNFQRQLEMESSIDGEGSFKGSHKRSFSRFRSSSLMSIDIENVFPNSVNREENDDEEALKWAAIQRLPTVARLRRGLLTTSKGQVCEIDVYKLGQQERRYLIDRLVRIADVDNEKLLLKLRDRIHRMTLLLGPPSSGKTTLLLALAGKLDPKLKRTPVWWRWFYLINPAAWSLNGLVTSQFGDIKDSLDFNGRIIPIQDFLRDYFGFKYEFLGIVAVIVVGFTIGFVLIFAISIKTSNFQRR >RHN67425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27114376:27114864:1 gene:gene15614 transcript:rna15614 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFKNAPVLAQAILAVLPPWIQHNQQQDPAIVNGGGENQHGGGQGKGLMHIMANIIIQIEPVLALAILAVLPPQHQQQQVAGNLQVPAMVNGGGGAYLDEFEMANDHFKHMWYARGLDGALGPDPNVFRDLGLIGDGFDAVFAVALANYNLVHVIGGMRKG >RHN59213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8476214:8477790:1 gene:gene21212 transcript:rna21212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MASSGFQADVKALQKVLSARHLTYQNQHNKQISCRCSGPVAFKDIRMLLLPYMIQKQRDICTGSLPFDIPNCHLILR >RHN78698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17647276:17652924:1 gene:gene2336 transcript:rna2336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MVILRFRFHSIIPNSTLLLSHTHFHSLPNLNDAIDSFNRMLRMRPPPPIIKFGKILGSLVKTKHYPIVIHLFQRMELHGIQSNYITLNILINSYCHLRQINSAFSVFAKILKLGYQPDIITYTTLIRGLCLNGQVKESLNFHDRLVSQGIKLDHVSYGTLINGLCKIGQTGPALRLLRKIEGEICRPDVVMYNTIIDGLCKDKLVRDAFDLYCEMFEKRVFPNVVTYTSLIYGFCIVGQLDKAFGLLNEMVLKNVNPNVCTFNTLVDGLCKEGKMREAKSLVAVMMKEGVGPDVFTYNALMDGYFLVKEAGKAKNVFNIMAQMGVTCDVHSYSVMISGLSKMKMLDEAMDLFEGMRNENVIPDVVAYSSLIDGLCKSGRINSALKYVDEMHDRGQPPNVITYTSLIDALCKSHQVDKAIALLKKIKDQGIQANMYTYNILVDGLCKDGRLTDAQKVFQDLLMKGHNVDVVTYSIMINGLCKESLFDEALTLLSKMEDKGCVPDAIAYETIINAFFEKDMNDKAEKLLREMIARRLL >RHN51686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22700703:22702403:1 gene:gene36180 transcript:rna36180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFRFQLRSHSHSSPSVAQMSSLFSCFRFPSSPNPIFPFSLLRTLYYSHSRFNSNSNSNHVDDFVSSFHRMLGLNPTPSIVEFSKILGSLVKLKHYPTVISLSYQLEFNGIKPNIVSLSILINCYCHLGQMRYAFSILAKIFKMGYHPNTITFNTLMKGLCLNGKVNEAIHFHDHVLALGFHLDQVTYGTLINGLCKMGKTKEALQVLRRIEAKLVNTNVVMYNTVIDSLCKDKLVSDAYELYSEMITKKISPTVVTFSALIYGFCIVGQLKEAFGLVHQMVLKNINPDVYTFNILVDALCKEGDVKGAKSFLVVMMKEGVIPDVVTYNSIMDGHCLVNEVNKAKHLLSIISRIGVAPSAYSYSIMINGFCKIKMIDEALSLFYEMRCRGIAPNTVTYSSLIDGLCKSGRFSCAWELVDEMHVNGQPPNIFTYSSLIDALCKNNHLDKAMTLVKKIKDQGIQPDMYTYNILIDGLCKGGRLKNAQDVFQDLLTKGYSLNIRSYNILINGLCKDGLFDKAEALLSKMKGNDVIPDAVTYETIIHSLFYKDENEKAEKLLREMLGRGLL >RHN57875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42316829:42322671:-1 gene:gene33465 transcript:rna33465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dystrophin MSKFEGVIVSDQLLQSQFTQVELRSLKSKFISFKNQNGKVTYGDLPSLMMKLKAFVDMYSEDEIRGILNESGNDFTTDVDFEAFLTVYLNLRSLATKKQGGLKHSSSFLNESITTLLHTISGSEKGFYVAHINSYLGDDPFLSQYLPLDPATNDLFDLSKDGILLCKLINVAVPGTIDERAINTKRNLSLWERNENHTLCLNSAKAIGCTVVNIGAQDLVDGRPHLVLGLISQIIKIQLLADLSLKKTPQLVELVDDSQDIEELLNLSPDKVLLRWMNFHLQRGGYQKTVKNFSSDLKDAEAYAYLLNVLAPEHCSPATLDTKDANERANLVLEHAERMGCKRYLSARDITEGTSNLNLAFVAQLFHHRSGLSTDTKKMSYAEMITEDVQTCREERCFRMWINSLGISTRVNNLFEDVRNGWILLEVLDKIFPESVNWKQATRPPIRMPFRKVENCNQVIRVGKQLKFSLVNVAGNDIVQGNKKLILALLWQLMRFTMLQLLRNLRSHSQGKEISDADILKWANRKVNSIGRTSRIQSFKDKSLSSGLFFLELLSAVEPRVVNWNLVTKGQSDDEKKLNATYIISVARKLGCSIYLLPEDIMEVNQKMILTLAASIMYWSLQQQTEDEDSFPSPASTLTTNTPEASPAPSVCGEDESYSSLNGDLSNLSVDDTTSDTTVSSQLEFDGVAVGDELY >RHN49801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1198310:1199199:1 gene:gene34020 transcript:rna34020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDAVLKFVYTMILYLFLLHVIAEDFPFHKCEKDEDCLEICADDQMAMCILNVCFCY >RHN39288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5602770:5611204:1 gene:gene45298 transcript:rna45298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, leucine-rich repeat domain, L domain-containing protein MASSSSSSSSHAATVPLKKYDVFISFRGDDTRAGFTSHLYTALCQSYINTYIDYRIEKGDEVWSELVKAIKESTLFLVVFSENYASSTWCLNELVQIMECGNKNEDDNVVVIPVFYHVDPSHVRKQTGYYGAALAKHREQGNNDDKMIQNWKNVLFQAANLSGFHSTTYRSNLPKLATIDLRDSKKLIECPNVSGSPNLKHVTLNRCESLPEVDSSIFHLQKLETLCVAGCTLLKSLFSNTCSPALHVLNAMNCFNLKEFSVPFASVDGLHLYLSKWNGNELPSSILHTQNIKKFAFPISDCLVDLPENFCDNIWLGSQRNPEQDPFITLDKLLSSPAFMSVKVLTFCKIPILSEFPDSISLLSSLKYLNLIHMAIKSLPETIKYLPRLECVRVYDCRLLQSIPALYWFITNEESIPCFLFLINYNKLDPHSYQTVLKEAMDGFELEARHSSENEDAHNYIILNFLPAMPGTENWFHYSSTQVSDTLELPSNLLGFAYYLVLSPGIMDFGVGFGCKCYLDNSSGERICITSFTEGNSIESTWNYTSFRMKSNHLVLWYDPQICKQIMDTVQQTKAITDANSTIYNSKLTFTFFMNETLYDEVEIKECGFRWIYQQETVSSTISESHVEEETMSSSDFQSNEQEEIVSPTNFESDDLEETFPPRNKLKLDIVGTPPSNLQLDERHDLRYSLEELMHIGFRVDHMNTLFGSTEEEESNS >RHN78253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13232449:13233671:-1 gene:gene1787 transcript:rna1787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diacylglycerol O-acyltransferase MGKVLQRNTKSSNRLKSVVALALCFGAIHFNIALILFALFFLPLSKALLVFALLIVFMVLPANKNSYLGRKISRFIGKHVRSYFPITLHLDDDPQAFHPNQSYVFAYEPHSVFPFGIFALLDNVDFPIPNIRFLVSSSVFYIPFLRQVWIWLGFTSVDKKNLISLLEAGNSCMLVPGGNRETLFMEHGFEVHDNMTLMVKFILHKCLILWRPVVHKKYKYSCYMYKVILTIGSINFIIKLN >RHN82435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53791103:53792707:-1 gene:gene6602 transcript:rna6602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MCFNRWTCSRVVFFEKSKTVTRRKACLSTQRQTKKKVVPTISPPKTKRQSSSKYRGVRLRPWGKWAAEIRNPYTNVRFWLGTYDTAEDASQACESKRLQFKLDHEADIAKICFDKSSSTTPLVATLNKNSYVDDDVANALVSEKCSTAKEYESLFSQISPSSVLELDTLASNPIEKVDVPSNNEVVVVVDEASEMLTCQLQELEIPNQSVCNFPEPVAVENPIGIDPNLGLGLDFARFNIDDFGPDFEEFGDIGDFEDIQVHGFDDNEPSELPDFDFGDIGDDDEFAGWIKEPFQHNICYL >RHN63340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50501405:50502333:-1 gene:gene26027 transcript:rna26027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MKSSLDESSYVENNSSSSEILLASEQPKKRAGRRKFKETRHPVYRGVRRRNNNNNKWVCEVRVPNDKSTRIWLGTYPTPEMAARAHDVAALALRGKSACLNFADSAWRLALPATNNAKEIRKMAAEAALAFAVVADRTVCGSSRGGNVA >RHN60454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26980154:26981664:-1 gene:gene22766 transcript:rna22766 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLFYRILRVAVVCLWLYVTVIVTMRMVNLFRVVIFEQLFWYNFWDNLIVFSSYWSKTLENNRERKRERVRR >RHN51903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28040285:28044891:1 gene:gene36469 transcript:rna36469 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEWYGVRKSSKRSVIVEGNETTPSPPSGCMCAFFQFFDFHPFHFPNTINHQQEITSSSCISKEHTLGAGSRTNFLQVEKRNSQPSDFSGERLSKEHTTTTSVSKGAEAPRNSLKSEDSIVSASLSKEENLKIPKNIQIKTKRSNGGNLTDLSSEISTSPGTKTPTLVARLMGLDLLPNPNSPSFSSPLSTPNSQGKNNIIQHLHQVRTKQQQFQTKARNSIDSSEITRMSSSRKTEFDHHRLSLQINKENNIGGEDFELPRFSFSKRKIDENSYKSSNHYAKQIVKQVKESVVSRKVGQDITNTLKSNQVIGIQQNSNEPDYLPRKDQLLTREEVLGQLRIKRCPKTTSLKDSNPISSCSPRIRFIENKHKPNTTTDQNTKPKAYTLKEEQESSDKKLVSKCKKDANEKFSSRFKRLPKTSDINISSTSNSRANDIRSNTKSKRISNVLSIGTESSYLANKIPLKQVSETQDSKLCPQLSSCSRQRYKQEGTVTLSNQEPSTKVIEDKFNGATTLETNQPEFQYITEILNKHGTITTTKNVSFNKWFSSTHLLDPSIFHHLEQSTNDNIIIKNQLCHRWNRKLLFDLVDEVLIEILKPNGGEKRLYFLDGFCDQWTITELTERVLKRVVEFPCAKCEVLDDIDNLIEGEDMEKLIKVEDEEEREGLVMEIQGNILDTLVHETILIFQIMGTI >RHN55588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19809477:19810892:1 gene:gene30790 transcript:rna30790 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPVFRPSIELLLMPAGLLGSIALGAAAGFEGGALFTGCTSGCAVVAAGFGASPLPKR >RHN46692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34093698:34099800:-1 gene:gene41211 transcript:rna41211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EGF-like calcium-binding domain, PA domain, thioredoxin-like protein MIIKLNPLLLCVLVLFLECCFGRFLVEKNSLRITSPKSLKGSYECAIGNFGVPQYGGTLVGSVVYPNVNQKGCKNFTDFSASFHSMPGNFPTFVLVDRGDCYFTLKAWNAQNGGAAAILVADDREETLITMDTPEEGNVVNDDYIEKINIPSALISKSLGDRIKKALSDGEMVHINLDWREALPHPDDRVEYELWTNSNDECGPKCDNQINFVKSFKGAAQLLEKKGFTQFTPHYITWYCPKEFLLSRRCKSQCINHGRYCAPDPEQDFNKGYDGKDVVVQNLRQACFFKVANESGRPWQWWDYVTDFSIRCPMKEKKYTEECSDEVIKSLGVDLKKIKDCVGDPLADVENPVLKAEQEAQIGKESRGDVTILPTLVINNRQYRGKLSRPAVLKAMCAGFQETTEPSICLTPDMETNECLENNGGCWKEKSSNITACRDTFRGRVCVCPVVNNIKFVGDGYTHCEASGTLSCEFNNGGCWKASHGGRLYSACHDDYRKGCECPSGFRGDGVRSCEDIDECKEKSACQCPQCKCKNTFGSYECKCNSGLLYSRENDTCIGKYTSSVVSIWMIILVMVVTLSGGYAFYKYRIQRYMDTEIRAIMAQYMPLDNQPLIIPNPNQVHHDI >RHN71485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:478235:479298:1 gene:gene7091 transcript:rna7091 gene_biotype:protein_coding transcript_biotype:protein_coding MFCVCVSEFEVAAPPLTGTIQIVLPLPAVAHMAVAGNIFDGRRSPEKELPIEEDEEAPPPPPSPSPISSCC >RHN42416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38172687:38173058:1 gene:gene48854 transcript:rna48854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MDIESSSISSTTPLKNMTPREMVTHLASSNVVVVFSSTDCCFSTVAKNLLFSLAVGPTVIELDRHASGSAILAALYQLSGDTRQPLPAVFVCGKFLGGVEILLAKHINGALIHLLKEARALWL >RHN54393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9221626:9230500:1 gene:gene29420 transcript:rna29420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase TKL-Pl-4 family MVIENDIESCGSRAVQSHANPRHHRQKLEVYNEVLRRIQDSDCEDALVPGFDDQLWLHFNRLPARYALDVNVERAEDVLAHKRLLELAEDPANRPAFQVRLVQVYPFAGANHNDSSVHSDPSEKDDAQSSLNYSLKQGIHPPPTFGSSSNLEALALHTNKNNIEDGDNTMGVTPNFNRPMHEITFSTIDKPKLLSQLTSILGEIGLNIQEAHAFSTSDGFSLDVFVVEGWPNEETEELKGVLEKEILKVKDQYLSNQGTLYSSNDQYQTRMESSPDCIQIPFDGADVWEIDPSQLKYENKVGSGSFGDLFRGSYCSQDVAIKVLKPERISTDMLKEFAQEVYIMRKIRHKNVVQFIGACTRPPNLCIVTEFMSRGSLYDFLHRQKGVFKLPSLLKVAIDVSKGMNYLHQNNIIHRDLKTANLLMDENELVKVADFGVARVQTQSGVMTAETGTYRWMAPEVIEHKPYDQKADVFSFGIALWELLTGELPYSYLTPLQAAVGVVQKGLRPTIPKNTHPRISELLQRCWQQDPKERPAFSEIIEILQHIAKEVNDVDRHKDKSSHGFLSSLRRGHH >RHN64054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56224435:56227126:-1 gene:gene26832 transcript:rna26832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MGGGDDNRKIAWINLESICTPKEDGGLGEEGGSFNSLMGKWCWRMLVEMEGLWYHVLKARYGEEGAVEGGGSHYSAWCRTVCWVHEGLGNWFEDNIRRVVGDGRDALFWHDIWVGDIPLKIKFPRLFELSVDKEWSVTEANGVWDGVWRRRLFAWEEESVREWVTRRGTYRFLTISGQPLDRNQVDDVWQKNIPSKVSMFVWRLFHNRLPTKVNLMQRHVLQQTHTACISGVAIRKRQHICFYIVIFLALFGLMFCVGYIFCWFYLLT >RHN56861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34281053:34282316:1 gene:gene32321 transcript:rna32321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative OPI10 family protein MFGVVFPNRSFPMDISTFSQIDTFHWILDMNTFVGEAYDQVTEICIFLLNNFTLPPDKALAVYVQSPGSPFVFCGAVTIARPSAVLSLLWPEPGSGAIQPQLTADAVPISAKIGVSVEDLASLNSIDVAGEKRIERLAMKVGENLFNFMQSFCGVDGSKLIVPMDILDRWFKKFQERAKRDPEYLKGFAL >RHN66869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20559883:20564561:1 gene:gene14980 transcript:rna14980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative X8 domain-containing protein MERSVNYYILLLLLAHFLCSGYSETNKEAHGHVTQVNPQSHKEKLLEFKAPISTTQRDITTPITTIPNLVPTISTSPILNPDSNPDTYSPSSTVPITAPSTSNSPVSSGASWCIASPSASQRSLQVALDYACGYGGTDCSAIQPGGSCYNPNSVHDHASFAFNKYYQKNPVPNSCNFGGNAVLTNTNPSVGTCQYPSTSTSSSLLNTTNTSGANIFGYVPVPTNPSVSAAASTSNSFAQICFIILAIAILQNKYIQHEE >RHN79485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29458458:29459208:-1 gene:gene3280 transcript:rna3280 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKDSIDGEPFLTTDPILAEKPPVLAYQQEVESSKKKEEESNTTNIAEKHTLTYFDDKPVSQPASSCEAAT >RHN82785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56419560:56424303:1 gene:gene6972 transcript:rna6972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific protein-tyrosine kinase RLK-Pelle-RLCK-VIII family MDHRADNHHRPGRFAHTTPPDYFVLGNSTYKDNLYPRKSTRMRRWLCCTCQVEESYPSNENEHLKSPRSYGDGNPKGSRAPAPVKPETQKAPPPIEVPALSLDELKEKTDNFGSKALIGEGSYGRVYYATLNDGKAVAVKKLDVSTEPESNNEFLTQVSMVSRLKNENFVELHGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPTLNWMQRVRIAVDAARGLEYLHEKVQPSIIHRDIRSSNVLIFEDYKAKVADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKAPAPAPET >RHN51109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14091058:14093108:1 gene:gene35487 transcript:rna35487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MLLSHEGMTRDDAVEMMMQYLGCDPGDAVVEVTPNRGAHCRFSYLRRIFKDRLLQQLELENEYGVTQEVRGLWDQVVRIYLLYLIGITLFTDKSQTAMDVVYLRYFRDLDVVAEFAWGAAALAHLYRKLNNVAH >RHN48305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46476422:46477024:-1 gene:gene43007 transcript:rna43007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase response regulator and transcription factor RR-A-type family MEDQGKGKGKGIMESQDSGTELTALIVDDDKLVRMIHQGLLKRAGVKSEAVKNGKEAVDIHCNGQRFDIILMDKEMPIMNGIEATKKLRSMGIGSMIVGVSSCTEAEKQEFMEAGINDYQVKPLTIGVLNSILDAVKASK >RHN57806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41826848:41831215:1 gene:gene33394 transcript:rna33394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MGFKALLVCSFVLFFYSYGFSMAQMVPAVYVFGDSLVDVGNNNYLTLSIAKANHRHYGIDFLNHKPTGRFSNGKNAADFIGEKLGLATSPPYLSLISKGNKNENNASFINGVSFASAGAGIFDGTDERYRQSLPLTKQVNYYTNVYEELIREVGASALQKHLSKSIFAVVIGNNDLFGYFESSELRKKNTPQQYVDSMLFSLKLQLQRLYDNGGRKFEIAGVGALGCCPMFRLKNQTECVVETNYWSVQYNKGLQSMLKEWQSENQGIIYSYFDTYVAMNDLIQNPASYGFTDVKAACCGLGELNARAPCLPVSHLCPNRQDHIFWDQFHPTEAASRIFVDKIFDGSSTYTSPINMRQLVAA >RHN81157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43719137:43721836:-1 gene:gene5161 transcript:rna5161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MGVYGNQFIGQLPKEIHSLAKLEFFNVAKNNLTGRIPPSIWNLSSLTVLSFAKNYLEGNIPEEIGLLKNLTKISVSQNKLSGTLPLSLYNLSSLTDLYTADNEFHGSLPTNVFTTLPNLRRFWFGGNQFSGPIPTSISNASRIQSFDIVSNNFEGQIPNLGRLQDLSVLAVGENNLCSNSSTSVDVEENNFGGPLPKIIGSLSTHLSQLAMADNQISGKIPTELGNLVNLIYLSIENNYLTEVIPESFAKFQNMQELYLGKNKLSGTIPAAFLGNLSHLSEFDLSNNLLIGEIPSTIENCKKLQIVDFSMNNLSGAIPTQLLGISYLSILLNLSHNSFSGNLPPEVGMLKNIGTLDISENHLSGGIPENIGDCSSLEYLYLEGNSLDGIIPSSIASLKGLLQLDLSRYNLFGSIPQELQNNSVLEWFSASFNKLEGEVPMHGVFQNANRVSLTGNDRLCGGVAKLNLQRCPPKSLKKRKHHVGRKLIIIIIIFSIAFILLLSLVLTIIIYQIMRKRQRKASTDSTIEQFPKVSYQELHHATNGFSVQNLIGTGGIGFVYKGRLNSEERVVAVKVLNLQKKGAHKSFLAECNAFRNIRHRNLVKIITCCSSVDHKGDDFKAIVYEYMKNGSLEEWLHQNAEQQRTLKFEKRLETVNGIAYALHYLHYECEKPIVHCDLKPSNVLLDDDMVAHVSDFGLARLVSTIDGKSNIQTSSMGIKGTIGYTPPEYGMDSQLSTEGDMYSFGTLLMEMFTGRRPTDAMFKDGHNLHNYVKIAFPNNILEIVDATLFSEENDHLAVTTDVASDLRPNVERCLSSLFKIGLSCSVESPRERTNIKAVIAELNIISKALAD >RHN77579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7844267:7845355:-1 gene:gene1046 transcript:rna1046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MSHPQLIDMVQPDSSIANSMLPQLDVDEWMMYSSCQPQVVNPSIPSMNQEMWDQYVKNFNLRDLSAESNNQSEICVNVDATNSVSTTMVDPSTTNTIFDEFCSMKDKDFQHPQDCAVGNLSSSQDGQSQITSASLAESHAFSLRDNSGGTSSSHVDFDESIFLQNNNSWKQVAAPIRTYTKLEKAGSVGRSIDVTTFKNYEELIRAIEYMFGLDDNESDVLPVGDDPWKEFVGCVRRIRILSPSEVKEMSEEGMKLLNSGALQGINV >RHN53098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41977508:41978506:-1 gene:gene37860 transcript:rna37860 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKKKIKTCIFVGKDCPFMVCSKLKFSFYFISCVILIFDISKFRIMIFIPKEFIPKSSRSVYITTYHPSAFIAAISAFFYLTKL >RHN62772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45727788:45729933:-1 gene:gene25381 transcript:rna25381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MENQKLYLPHNLIIEILLRLSVKSLISFTCVCKSWLTLISNPDFANSHFQLTATAQTRRILFISHSSIPIQSRDFESSFKNDPDSLNLNFTPPEFCFRLQIKGSGRGFVFLHRSLNIYIWNPSTGFHKQIPLSPFNSNLEEYYFGSLYGFGYDQSRDDYLVVSISCDKTRSSHLEFFSLKDNSWREIEGTHTAYRNGFYNAKVGSLLNGAIHWLTYRRDSPLHLIVAFNLMERKLLEIPVPVPDDFHDDPPYFGLWVFGEFLSLWAMGSYNHTLKLRVMKEYKLHSSWTKTLVVRIDDAIPNFYPLCSTKSGDIIGSDGGLGLVKYNDKGQLLEYSSYHNYPLRSQAIMYTESLLSFPVDGEQV >RHN75239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40519734:40524592:-1 gene:gene11412 transcript:rna11412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MSTTFSSLSEDLNSPILPPSSKTSNETEKICIDEMLEKYCGEFGKWQLKHFILTSLAWALEAFHTMVMIFADREPDWKCVEGMECSANGSVCDMASSSWQWVAGEGASTVSEWSLICGDKFKIGLVQALFFAGCMIGAGVFGHLSDSSLGRKGSLIVVCALNTIFGCLTAFSPNYWIYVLLRLLTGFSTGGVGLCAFVLATEPIGPSKRGMAGMSTFYFFSSGIAILSGIAYTFQTWRTLYIVSSIPSILYIILVLPFISESPRWYLVRGRINEATTLMSTIASFNGKHLPDGVVLALDEEVSNSKKSTSDLEYNLISYVENKDAQVGSIIDVIRYPVTRIRLFLAIALNFLASVVYYGLSLNVTNLETNLYMNVLLNAVAEMPAFTITAVLLDRFGRKPLTIGTMWFSGFFCLLGSFMGNVGVWKVIKLVCGVLGIFGMAGTYNLLFIYTSELFPTVVRNAALGSATQAAQMGAILAPFVVVLGSWLPFGVFAVCGILGGMFAFYLPETLNMPLYDTFNGLEAGLA >RHN64032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56065029:56068517:1 gene:gene26808 transcript:rna26808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arogenate dehydrogenase (NADP(+)) MLGVSTFHSTSLKTPSHSTTTRLNPTFPFSISISPSFNRSTTTKPLTIRAIDAAQSFDYESKIALQFHNSQKLKIAIIGFGNFGQFLATTFVRQGHTVLAHSRSDYSAVAQNIGVKFFPNADDLCEEHPEVILLCTSIISAQQVLLSLPFQRLKRSTLFVDVLSVKEFPKNFFLEILPNYFDIICSHPMFGPESGSSGWKGLPFVYEKVRIGNNETRVSRCEKFLDVFGREGCRMVEMSCADHDRYAAGSQFITHTVGRVLGMLTLESTPINTKGYESLLNLVENTSGDSFDLYYGLFMFNKNSLEMLERLDLAFEDLRKQLIAHLHDVVRNQLFEDAVKVQNLGDDSNHVARKHGQNGSAIVLSSKNQRSNGSGQSDDSTKLKIAIIGFGNFGQFLAKTIVRHGHKVLAYSRTDYSDVARELGVSYFNDADDLCEQHPEVILLCTSILSTEKVLKSLPVQRLRRSTLFVDVLSVKEFPRNLFLQHLPPYFDVLCTHPMFGPESGKNGWKGLPFLFDKVRVGRDESRISRCDLFLDIFSKEGCRMVEMSCAEHDWHAAGSQFITHTTGRFLEKLKLEATPIDTKGYETLLSLVENTGGDSFDLYYGLFLYNINAMEQLQRFDLAFESLKKQLFDRLHGIYRKQVFQNEEKVRDFPERSMLPEKSEDSSVVSFSNTVDAK >RHN63620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52749917:52752982:-1 gene:gene26345 transcript:rna26345 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAEYKSGLKKAKVLKALLEDPILADVPKNPTLEDVETLIGLELGSAMRITVLKLDTTSFDVILMNTATLKDLKLAIKKKVNYMEQSSMGHRHISWRSVWANYCLSFDNNKLLNDDDVLQNLGVRNNSQIHFVCRYIFVPYVMTKESRRHSKRRKHRFFHGLSKLS >RHN80554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39027530:39029724:-1 gene:gene4493 transcript:rna4493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MCGFFKGDSSEHQKRKRDKKKESCMLSARSLSIAWGDDKRYWNWINMPDSRFPEVAQLLKVWWLDISGTINTLSLSPNTQYAAYLVFKMIEAEGFQNCPIELSVGVEGGQSGTKIVCLDPNVEGGQHNRAVGLQRPSVRSDGWLEIEMGEFNSGLEDGDVLMNVKETNNWKSGLFVEGIEVRPK >RHN78323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14143358:14143920:-1 gene:gene1865 transcript:rna1865 gene_biotype:protein_coding transcript_biotype:protein_coding MERNPESVEALFKCVTKDLGFSEGKPVAAFTLYNCLLHWKVFELQKTSIFDRYIILIGNAIEDQDNISSMAYWLSNTSALFFHLQRCLRVPERKLPTPTGFFGRMAQVLSLIIPI >RHN62918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46751456:46754001:-1 gene:gene25555 transcript:rna25555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAT dimerization domain, ribonuclease H-like domain, hAT-like transposase, RNase-H MSHEQVFEEMSEEKIEFDNEVDQMDSRFVEICASTILAHDLPFHFFELEGMRKYSEFLNPNIPIPPRNVIEAYVSHLYTKEKPKLKQQLTTIPNRISLSFDLWESNTTETYICLTAHFVDANWKLNSKVINFRLVYPPTSGEICERMVELLNDWGIEKKIFSLTIDDSSENEILQEQLKTQLVLQNGLLCDGEFFHVNCFARVLNQIVEEALKLVSCGVHKIRESIMFVRHSKSRREKFKECFEKVGGVDSSVHLHLDISMSLSSTYMLLERALKYRCAFESFHLYDDSYDLCPSAEEWKRVEKICAFLLPFCETANMINSTTHPTSNLYFLQVWKVQCVLVDSLGDEDEDIKKMAERMMSKFEKYWDEYSVVLALGAVLDPRMKFTTLAYCYSKLDASTCERKLQQVKRKLCMLFEKHSGNSTTAGVQRTIKENQDQSSSMPLQKKLKSLSHGLFDELKVHHQQLVTKTGKSQLDVYLDESVLDFRCYAEMDVLQWWKSNNDRFPDLSILACDLLSVPIAAVASDSEFCMGSRVFNKYKDRMLPMNVEARICTRSWLYNFFSEDGEDDDDDFEEIKNEIDNDEKMEQASNDFGFEDNDDVDDD >RHN57217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37346214:37348709:-1 gene:gene32727 transcript:rna32727 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L13a MVSGSGACAKRVVIDARHHMLGRLASIVAKELLNGQKVVVVRCEEICMSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPSKIFWRTLRGMIPHKTKRGEAALARLKVYEGIPPPYDKIKRMVVPDALKVLRLQKGHKFCLLGQLSAEVGWNYYDIIKELENKRKERSQLAYEKKKQINKLRVKAEKIVDEKLGSELQVLAPVKY >RHN76154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48064847:48065557:-1 gene:gene12437 transcript:rna12437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PRELI/MSF1 domain-containing protein MVKSYKQEHVYNHPWERVTSASWRKFTDAENKRVLPHILDCNTLNTSLDSSSGKLYATRAITVRCPWLVRRIIGEDICHCVESTVVDAKSRSMQICYRNISMEKFIEVEEKTRYDPHPDNPNGWTVCQQETRIRIKPLSALASMAEKVEQRCADRFLHNSAKSRDVMERICKYHEAESSSFSL >RHN63287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50088629:50089033:1 gene:gene25971 transcript:rna25971 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGNVIVLERWKGKHNFWGYVYWCETKGGCMVVDGVDSNGGGGKCWRWLWCSGREIVVVGGGGVLLIVVQMKKGRREKRERAVEDDERESKRVRRESWTKLSFVFFVNDKIVHATWGCRMMVCQIRWSTYHYS >RHN75823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45371971:45377699:1 gene:gene12077 transcript:rna12077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MDSGTVVEDKVVREIQRYSEGKVYTRKVFKVSEDKVNSLKVDHSEDKVNSLKVDPHTHSGATTATVTVDGTKDNGNNVSAQQLDSVLVPEDGNSALPVVNSRLEVVSEDSSSLYRKQDEHFSLDVVQLEDGNDLFEPALRCNDKKELENGVKNGLASRSKQEMREIKRKLEGDLETVRSLVIRIEEKQRMAGRFGGGLNVSVDRYRVDNGSGAKRAHSEVASAGVPREANRFTRQLSVMVLENGHGISESVEKEKRTPKANQFYSNSEFLLAKDKFPPAESNKKSKSHGKKHGVGEMGHGFGIVSKYLKNCSSLLEKLMKHKHGWVFNTPVDVERLGLHDYFIIISQPMDLGTVKSRLSKNWYKSPKEFAEDVRLTFRNAMTYNPKGQDVHVMAEELSALFEERWAIIESHYNHEMRYGMDYGPAISAPSPLSRKAHAFRPPPLDMRRIDRSDSITKPPNPMSLTRSARTPAPKKPKANDPDKRDMTYYEKQKLSTHLQNLPPDKLDAIVQIIKKQNSALSQHDDEIEVDIDSVDAETLWELDRYVTNYKKSLSKYKRKAELAIQARAEAERIAQQKSQEPPVIVEAPRERQADERNDPSSLPVQGEIRVDNGSKTSSSSSSSSDSGSSSSDSDSDSSSASGSDAGSQ >RHN79776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32039598:32040092:1 gene:gene3612 transcript:rna3612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MLDPHNWFFPMPPLYKPYHFPSKYSSLHFSLPLITSYTLGTMKSRFLKGCVNKCKKMGSIVKTCATCEDCCERGLWSSLHESCSIPSDVPKGHMVVYVGENHKRYVIKIALLHHPLFKALLDQAQEEYDFMADSKLCIPCHEHLFLSVLRCASSPQNQRMCLCL >RHN77775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9331836:9333924:-1 gene:gene1263 transcript:rna1263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain-containing protein MKGKGSLITTLIVLLLLNKSLGNGLSDASIGCPFKLNCTHNMNILELPTHPVPVKLMVTDIDYRFKSIDLSDTRNCLPQLLFDHNFSSIDSLKLFVSQFLGSTTISFFDCSWVLHLRKNEQIYNSAQDMISCPIYVADSDLENIVESDLVYCIKLFDHVSSFDASTIQYNRLSLTWSGTNFDIGCLKCEHKSKKKITFVILSSADETAMIFSCHFNFLCIINMRFFRILNVIHACVIIGSTLLVLVLGAIVRIHRHFKMKGEDHERIENFLKDYKALKPTRFSYADIKRITNKFKDKIGEGAQGAVYKGNYQVKF >RHN52676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37637533:37638343:-1 gene:gene37374 transcript:rna37374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MKMRFCALLFLIVVITQVGPSAGSYEYFAMAQQWPPTLCVNGGCTIPKPVRNQFTIHGLWPTNISQPYPEFCLGKKKTRGGFNLNLLSQLQPQLQHEWPDVIHGKDLDFWEKEWNKHGTCSLSKYTQLAYFQRALSIKTEINLIDVLKNSGIVPHKTNPYDIGQIVTAIKSGNKNLEPAVMCTPPTRKSLPYLKEIRLCLFPNGSTYMDCPSASRVINCNNSKNQLLFPA >RHN80979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42371527:42373767:-1 gene:gene4966 transcript:rna4966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MERKIFVSGVSLILVVGVALGVVALVRTNNGPADANNGGELTSHTKAVTAVCQNSDDHKFCADTLGSVNTSDPNDYIKAVVKTSIESVIKAFNMTDKLAVENEKNNQSTKMALDDCKDLLEFAIDELQASSILAADNSSVHNVNDRAADLKNWLGAVFAYQQSCLDGFDTDGEKQVQSQLQTGSLDHVGKLTALALDVVTAITKVLAALDLDLNVKPSSRRLFEVDEDGNPEWMSGADRKLLADMSTGMSVTPNAVVAKDGSGKFKTVLDAINSYPKNHQGRYVIYVKAGVYDEYIQIDKTKKNILIYGDGPTKTIITGKKNFVDGVKTIQTATFSTVAEGFIAKAMAFENTAGANKHQAVALRVQGDKSAFFDCAIRGYQDTLYAHAHRQFYRNCEISGTVDFIFGYASTVIQNSKIVVRKPEANQQNIIVADGTVQKNMPTGVVLQNCEIMPEPALQPDRLKVRSFLARPWKAYSRAIFMENTIGDLIQPDGFLPWAGTQFLDTCFFAEYANTGPGSNVQARVKWGKGVLSKADATKYTAAQWIEGGVWLPATGIPFDLGFTKG >RHN64453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59204557:59210791:-1 gene:gene27272 transcript:rna27272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target SNARE coiled-coil domain-containing protein MASNLKMTPQLEQIHGEIRDIFRALANGFQKLDKIKDSNRQSTQLEELTGKMRDCKRLIKDFDREIKDEGAGNPEEVNKQLNDEKQSMIKELNSYVALRKTYMNTIGNKKLELFDMGAGASESTAEGNVQLASEMSNQELVNAGMKTMDETDQAIERSKQVVHQTIEVGTQTASTLKGQTEQMGRIVNELDSIQFSIKKASQLVKEIGRQVATDKCIMLFLFLIVCGVIAIIVVKIVNPNNKDIRDIPGLAPPVPSRRLLYVRTGELFD >RHN63969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55466802:55469011:-1 gene:gene26735 transcript:rna26735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative coactivator CBP, KIX domain-containing protein MPRPGPRPYECVRRAWHSERHQPLRGSIIQQIFRVVTEAHTPATKKNKEWQEKLPVVVLKAEEIMYSKANSEAEYLNSDTLWDRLNDAVNTIIRRDETTETGDLLPPCVEAALNLGCKPVRTSRSDRHNNPRTYLGPRPQQPPSGSPKPVVGNPLNYAKVTTPALSPNHVSDSNQHVHQNSKPSGSGNYPYNFPPSQQQPLTMEAKPTMNMGSVYPLYYSGETREPQAQVRTTVRDNTSSDMIFVGRPVITPVPEPSGIGLLENSRYGRFQHVANRIVQETALGTQESPGGECDLSLRLGQCLHPCSSGKSSSASEIDGVGLGLSQEGNKYSHMPLQRNRELFLYPRGAGHGTIDSSSRGNVEGEDQNLEAMFRKRKAPLANNEEDGQFCRHLGVPSNRFTGRPGS >RHN48592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48655276:48658401:1 gene:gene43326 transcript:rna43326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S6 MPPYDCMLLFKPNVKKEALISLVARIGKHVCKRNGVVTEVKSFGTVQLGYGVKKRDGRFYQGQMMQVSMMATPEINKELHYLNKEDKLLRWLLVKQRDTKFGLEFMSDEGGLEPSKFSQINKLDDEDDDENEDDEEYEVNEEETK >RHN58041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43309332:43311116:-1 gene:gene33647 transcript:rna33647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFLDNTNIYVFNKTKLFNQSSSLVTLNLAETGLSGKLKRSLLCLPSMEELDMSFNEFEGQHPELSCSNTTSLRILDLSGCQFQGKIPISFTNFTYLTSLSLSLSNNNLNGSIPSSLSNLQQLIHLDLSSNSFSGQMPSLLSKHVHVNRSNLNNKIKERFPVWLQTLQYLQVLVLQDNKLHGIIPNPKIKHPFPSLIIFYISGNNFSCPLPKAFLKKFEAMKKVTELEYMTNRIRVPYPSVSYTSFLLPHIGKITWYYDSVIVSTKGSKMTLVKIPNIFVIIDLSKNKFEGEIPNAIGDLHALKGLNLSHNRLTGHIPKSMGNLSNLESLDLSSNMLTGMIPAELTNLDFLQVLNLSNNHLVGKIPQEPHFDTFPNDSYKGNLGLCGFPLSKICGPEHHSPISANNSFCSEEKFGFGWKAVAIGYGCGFVIGIGIGYFMFLIGKPRWIVMIFGGQPKRRVKRRTRMRRNHGTTMNQNQNQNQMVQMS >RHN51899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27967944:27970880:-1 gene:gene36462 transcript:rna36462 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKMVGILKFVCPFLFLYFLLLSMLVISGKHDYHMFFQRIPCPKDKILDCNLLECWCK >RHN65506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2767481:2768348:-1 gene:gene13351 transcript:rna13351 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYRTKYIIFELHHREPKFLSTIVNLKRHMKLLIKLTSFVKHQV >RHN43738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48078174:48078864:-1 gene:gene50359 transcript:rna50359 gene_biotype:protein_coding transcript_biotype:protein_coding MISWPSSFILLIRSICSVSNWAIYPSCVSSRVSNSLKVVDSSSRFPSSIKYRTFFVISFI >RHN70056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48387305:48390895:-1 gene:gene18598 transcript:rna18598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calnexin MVERKVIPIAIAVLFFFIASSSFVHASDEADDAIFYESFDEDFDSRWIVSEKEEYNGVWKHSKSEGHDDFGLLVSEPARKYAIVKELDEPVILKDGSVVLQFETRLQNGLECGGAYIKYLQPQESGWKPKGFDNESPYSVMFGPDKCGATNKVHFIFRHKNPKTGKYVEHHLKFPPSVPSDKLSHVYTAILKPDNELRILVDGEEKKKANFLSEEDFEPSLIPSKTIPDPDDNKPEDWDERAKIPDPEAVKPEDWDEDAPMEIIDEEAEKPEGWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIENPKCETAPGCGEWKRPTKRNPAYKGKWSAPFIDNPAYKGIWKPQEIPNPEYFELEKPDFEPIAAIGIEIWTMQDGILFDNVLIAKDDKIAESYRETTWKPKFNIEKEKQKAEEEAAAESEGIAGYQKKAFDLLYKIADIPFLSGQKDKIIEIIEKGEKQPNLTIGILASVVVVFVTIFFRLIFGGKKPAKVEAKVEKKTNTERTETSQAGGENEDNKEKEETANAPRRRPKRDN >RHN80247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36491347:36497389:-1 gene:gene4149 transcript:rna4149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling ARID family MMSDYKVEMINDAIQEFFVEFHRPKHCPYQGVWKTRVEELPDVYPCNIEILQQHQLAPSPPPSIISNFQENCSRGTVRPVPVMLGEGQLLDLYQLFALVKEKGGYDAVSRKGLWNSVIVELGLDLRVLASVKLVFDKYLNDFEGWLRKSFEEKKKEFQNLFCSNLKDKDDDFVPLESSNIIKHIDLVNQKSDGYLLDTKNQNNKCDGLQNVNSDGHGGDDEKLGTGVKDDITASCAETEKEFNSRKRNRESPAAQPLTEPSKGKEYKGYQDIFVQMLRARDVLSVRKHAEPNRGSSSKIHPAKYEDPVSLGQQGRVNLRRSKRLSMSLKLHAMESEKSPMEKTTGKPDIVINKKKPTTKAAVRKKKKSTANAAGTEEKKYDPFSDDSRDKVVSVGPLFQVEVPQWTGVVYGSDSKSLGTQVWPVKDDSRPTTETDLVGRGRRGKCSCNVQGSVDCVRLHIAANRMKLKFELGSAFYHWGFDKMGEEVSLQWTGDEEKRFKDIMSLKIPSQNKSFWNNPSSYFQKRTRKDMVRYYFNVYLIQLRSYQNRVTSETVYSDDDEIEFESFGDGFGRKAIKRPSIEFKECSENK >RHN42082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35286824:35291426:-1 gene:gene48477 transcript:rna48477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyllase MASCISTPSPSPRLQFTKTNLLVAASLPLYHRSKCELNRRSLAFKGIMATGVSVMASSLTSQAQPSQEKELERLPYKAEGYNYWKWKDHKIHYVVQGEGPPLVLIHGFGASAFHWRYNIPELAKKHKVYALDLLGFGWSDKALVDYDAMVWRDQVVDFMKEIVKEPAVLVGNSLGGFTALIAAAGLPESVTGVVLLNSAGQFGDGNKEQKTSEETSLQKFFLKPLKEVFQRVVLGFIFWQSKQPARIESVLKSVYKNSSNVDDYLVESITRPAQDPNAGEVYYRLMTRFMMNQSKYTLDSVLGELSCPLLLLWGDLDPWVGPAKANKIKEFYPKTTLVNLQAGHCPHDEIPELVNSALLDWLATLTPKVSLQTV >RHN52719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38078450:38079056:1 gene:gene37418 transcript:rna37418 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEYEKPNNTLFLLVEMRPIKKLVTKLETKKYEIHHQFFRRISHFLLSKFSSLIYLFIFLKRLLYTSIL >RHN47386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39359082:39362770:-1 gene:gene41979 transcript:rna41979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase transcription factor interactor and regulator CCHC(Zn) family MITSIPTSLSSSFPFSIVEEQQNNQKRKREEEEEEEEEMGCEPPQQITNIPHSPSPHFQFHPTTPEKSTPYPPNPNIVKRMQESTCYKCGQQGHWAKYCPLKTPNKNNNHSPVLSSDKQIWCGCGHGVCLLKTAHTPNNYGREFFTCPIRLGKPCGTFKWCDVTIHESDFQRPLFKYPVCQCGAGVCGKVMEGGKSYFICPIKQGHGSCGYHVSEDELLNNASIDEPVDDTSIVPYQQSKHRSLNEYIEGDQVDTAGNDLTNDFAEGSVLLSTTKRMRITDGSENPSPVAVSEIPEGKSRGSPIEEVNSQPDGFPDIEFEGDLESIASLMANEAEASLTSRFSTPLKISCRQNVFQGDIISADASFGMFPSFDPMDVPEQASTLHSQSECNDLVIKSPNQCTQLSTDVISPNRSPGSEKLKERKQREAVIFMQQGLLNDLEGFDFHQHESMRDTAEAAFSVLNCLGFGYKQFSDYVWEFINHAKSMTEIDKSMENSPTLEEHSKFLEEEKVRLANIRDDCVKIETLLAVSDEKRNLLSEEVANLQAVLLKKQKELKSCEREKMKEETRLGDMKRRMSVVDLIVKEKAQQAEAARKKIVERDTTQVAARTALEKAKRALEK >RHN56085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27508153:27513572:1 gene:gene31418 transcript:rna31418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MGYLPITITFISFIFLFLTRYNQLVHADSPTLVPPYSCDITNPLTKSYTFCNLNLTTIQRAKDIVSRLTLDEKLAQLVNTAPAIPRLGIHSYQWWSEALHGVADYGKGIRLNGNVTIKAATIFPQVILTAASFDSKLWYRISKVIGTEARAVYNAGQAEGMTFWAPNINIFRDPRWGRGQETAGEDPLVSAKYAVSFVRGLQGDSFEGGKLNEDRLKASACCKHFTAYDLDNWKGVDRFDFDANVTLQDLADTYQPPFHSCIVQGRSSGIMCAYNRVNGIPNCADYNLLTNTARKKWNFNGYITSDCSAVDIIHDRQGYAKAPEDAVADVLQAGMDVECGDYFTSHSKSAVLQKKVPISQIDRALHNLFSIRIRLGLFDGHPTKLKYGKIGPNRVCSKQNLNIALEAARSGIVLLKNAASILPLPKSTDSIVVIGPNANSSSQVVLGNYFGRPCNLVTILQGFENYSDNLLYHPGCSDGTKCVSAEIDRAVEVAKVVDYVVLVMGLDQSQESEGHDRDDLELPGKQQELINSVAKASKRPVILVLFCGGPVDISFAKVDDKIGGILWAGYPGELGGMALAQVVFGDYNPGGRLPMTWYPKDFIKIPMTDMRMRADPSSGYPGRTYRFYTGPKVYEFGYGLSYSNYSYNFISVKNNNLHINQSTTYSILEKSQTIHYKLVSELGKKACKTMSISVTLGITNTGSMAGKHPVLLFVKPKKGRNGNPVKQLVGFESVTVEGGGKGEVGFEVSVCEHLSRANESGVKVIEEGGYLFLVGELEYSINITL >RHN43748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48175944:48183667:1 gene:gene50370 transcript:rna50370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucomutase, cytoplasmic MVLFNVSRIETTPFDGQKPGTSGLRKKVKVFVQTHYLENFVQASFNALTEEKVRGATLVVSGDGRYYSEQAIQVITKMAAANGVRRIWVGLNGLLSTPAVSAVIRERVGVDGSKATGAFILTASHNPGGPNEDFGIKYNMENGGPAPEGITNKIYEYTTTIKEYLIAPDLPNVDITTVGVTNFTGPEGPFDVEVFDSASDYIKLMKSIFDFGSIRKLLSSPKFTFCFDGLHGVAGAYAKRIFVDELGAQESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLGKSESEGEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVEAIPYFSAGLKGVARSMPTSAALDVVAKHLNLKFFEVPTGWKFFGNLMDAGLCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKDNIEDKLVTVEDIVRQHWAKYGRHYYTRYDYENVDAGAAKELMAHLVKLQSSLSEANGIVKGASSDVSNVVHGDEFEYNDPVDGSISSHQGIRYLFEDGSRLIFRLSGTGSEGATIRLYIEQYEKNPSKIGRLSHEALAPLVEAALKLSKMEEFTGRSAPTVIT >RHN44501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5458572:5459282:1 gene:gene38629 transcript:rna38629 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKVVGTGFVLIFSFSLKLLLSLLSRKKKKQRPLFPFFSGETNFPARWPVVAPPRRSFKTQPNSKKISVLNILFLALCESELRFLKTNSRKPRSNKEKSKKSELKTLPFDLLRSVYSSPVRSFLFRFVLPCFGSDCVAFGSDLCCCGSDLCWLLLLLLSKVFEVMVLLGFSMNL >RHN78495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15637913:15638175:1 gene:gene2069 transcript:rna2069 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLLFSVCIAMSMLLANRLRKVIGSIVSYAQSIFIKWREICDGILIASEVIDEARKFKVDFKKANN >RHN63773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53938674:53939809:-1 gene:gene26517 transcript:rna26517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative agmatine deiminase MMNLQDAPSSHGFHMPSEWEPHSQCWMGCPERTDNWRDNAVHAQRVFARVVAAISRFERVTVCASSAQWENAPSQLPDHVRIVEISANDSWFRRDMGPTFVVRREVSESDDVEHRIAGIDWTFNSWGGLEDGCYSDWSLDALGKKKILEVERIP >RHN39401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6814627:6818354:-1 gene:gene45422 transcript:rna45422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SUF system FeS cluster assembly, SufBD MASLLNGNVSSLHSQPTQTSFSKKPLLHQTHFLPISNTPKHKSPLSKTTVQIRSDVSYDSPTSSSTDDKIREILRNRDYDKKFGFNIDIDSVTIPKGLSTQTIHLISALKSEPHWMLNFRLNAFEKFAKMKEPNWSDNTYPTIDFQDICYYSAPKNKPEINSLEEADPELLRYFDKLGVPLNEQNRLANVAVDAVLDSVSIATTHRKTLEKAGVIFCSISDAVKEYPDLVRTYLGKVVPSDDNYYAALNAAVFSDGSFVYIPKGVKCPMQISTYFRINALETGQFERTLIVADDRSMVEYLEGCTAPSYDKNQLHAAVVELYCGEGAEIKYSTVQNWYAGDENGKGGIYNFVTKRGLCAGKKSKISWTQVETGSAITWKYPSVVLEGDESVGEFYSVALTNNYQQADTGTKMIHKGKNTKSRIISKGISAGHSRNCYRGLVQVQSKAENAKNSSQCDSMLIGDSAAANTYPYIQVKNPTARIEHEASTSKIGEDQLFYFQQRGIDYEKAMAAMISGFCRDVFNELPDEFGSEVNQLMSLKLEGSVG >RHN62437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43423262:43437310:1 gene:gene25015 transcript:rna25015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle-fusing ATPase MYSDVLCLTPGHIFLSEVPNTAHESIRGGHIALNSIQRRCARVSAAESVPVTRFVPPENFNLAVLQLELEFIKKAGNKNEQIDAIVLAKQLRKRFIKQVMTAGQKVLFEHQGNNYSFTVSQVTVEGQQRSSSIDRGMISEDTFIAFEASRDSGIKIINQRESTTSNIFKQKEFNLESLGIGGLGAEFADIFRRAFASRVFPPHVTSKLGIKHVKGMLLYGPPGTGKTLMARQIGKILNGKEPKIVNGPEVLSKFVGETEKNVRDLFADAEQDQRNLGDESDLHVIIFDEIDAICKSRGSTKDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRRDMLDEALLRPGRLEVQVEISLPDENGRLQILQIHTNKMKENSFLAPDINLQELAARTKNYSGAELEGVVKSAVSYALNRQLSLEDLTKPVEEENIKVTMDDFLNALHEVIPAFGASTDDLERCRLHGMVECGDRHKHIYQRAMLIAEQVKVSKGSPLVTCLLEGSRGSGKTAIGATVGIDSDFAYVKIISAETMIGLHESTKCAQIIKVFEDAYKSPLSVIVLDDIERLLEYVAIGPRFSNIISQTLLVLLKRLPPKGKKLMVIGTTSEVDFLESLGFVDTFSVTYNVPTLNKEDAKKVLEQLNVFAEEDIVSAADALDNTPIKKLYMLIEMAAQGAHGGSAEAIYSGQEKINISHFYDCLGDVVRVYR >RHN51390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17141920:17142492:-1 gene:gene35820 transcript:rna35820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MVDEALNLFTEMHCKPNTVSYNTLIDGFCKSGRLSHAWKLLDQMRDRGQPPNVITYNSLLHALCKNHHVDKAIALVNNFKDQGIQPDMHTYNTLVDGLCKQGRLKDAQLIFQDLLIKGYNLPTWTYNIMINGLCLEGLLDEAETLLSKMEDNGCIPDVVTYQTIIHALFEKDENDKAEKLVRELIVRGLL >RHN73117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13582042:13587810:1 gene:gene8900 transcript:rna8900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain, Bet v I type allergen MDSKDQSKTQQFSSFNKYISLPLINNLKSKQYCTKKSLNNTTSVPINTSHNYIHKPHITTIICYFLPSILIHYTIMGVFNFEDETTSNVAPATLYKALVTDSDNLIPKVIDVIKSVEIVEGNGGAGTIKKLTFVEDGETKHVLHKVELVDDANLAYNYSIVGGVGLPDTIEKISFEAKLSAGPNGGSIAKLNVKYFTKGDVTPSEEELKSGKAKGDGLFKAIEGYCLANPDYN >RHN74282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31464991:31465356:-1 gene:gene10319 transcript:rna10319 gene_biotype:protein_coding transcript_biotype:protein_coding MENQMGVDMKTEIKIKWVFIHGMETRKRKKNKQKHEGKDQVKKSDYCDSNFRNRKLVGEGKRGCYRRRDGGDWMRNHDRKRIRADTAAAWTARDRKFGLVWLWVVVLVVVFVLGLVADDDE >RHN58669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3802048:3802689:1 gene:gene20601 transcript:rna20601 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQTNQSYLFDILCIFMMMSSGFVASVVVPPGGVCTVPCTATCDRDCHDKGFQKSGCFTHLEKTTCCCFH >RHN68351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34796382:34796734:-1 gene:gene16692 transcript:rna16692 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKLILQRAITISGKRSLVIDQSFKRLYFDVLAIHNVSFTPLYKGLNNNLLVSKLC >RHN77821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9609724:9616760:-1 gene:gene1314 transcript:rna1314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, ERG MSTFQQEKFVRFQDSNAEVSQRNYPAFKTTQSGRFRSTISSFSEKFQRGLESGSERIKRFRSTFDHYHYDNALSRNFGSKRKILDPQGTFLQKWNKIFVLLCVIAVSLDPLFFYVPVIDGENKCLSLDRGMEITATFLRTFSDVFYIIHMIFQFRTGFIAPSSRVFGRGVLIDDSWVIAKRYLSSYFLVDILAILPLPQVVILVIIPKMSGFQSLNTKNLLKVVVIFQYVPRLIRIIPLYKEVTRTSGILTETAWAGAAFNLLLYMLASHVLGAFWYLFSIERETTCWQEACRSNIMCNTAYMHCNPRGGFKSIAKFLNDSCPIQEEDKKLFDFGIFLDALQSGVVESRDFPSKLFYCFWWGLKNLSSLGQNLATSTDFWEICFAIFIAIAGLVLFSFLIGNMQTYLQSTQTRLEEMRVKRRDAEQWMSHRLLPDDLRERIRRYDQYKWQETRGVNEDNLVRDLPKDLRRDIKRHLCLDLLMRVPMFEKMDEQLLDAMCDRLKPVLYTEQSYVVREGDPVDEMLFIMRGKLLTITTNGGRTGFFNSEYLKAGDFCGEELLTWALDPRPSYNLPISTRTVRTIIEVEAFALKADDLKFVASQFRRLHSKQLRHTFRFYSQHWRTWAACFIQAAWRRYSKKKLEESLDEEENRLQDALAKTGGNTTSLGATIYASRFAANALRLLRRSRTARKTRALERMPAILLQKPEEPNFTDDEQ >RHN60620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28947102:28950256:1 gene:gene22961 transcript:rna22961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin-dependent kinase, regulatory subunit MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQDNQAQQGMLVK >RHN65816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5612800:5622540:-1 gene:gene13706 transcript:rna13706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative KH-domain/beta-lactamase-domain protein, archaea MKLTCLSKGRGFHFPPCHMLNFCGFRILFDCPLDLSSLMAFSPIPTSLDALSFEESKNNEKRQKIEDLLDAKNLVFAEPWYKTVNKLHLWNASLIDVILISSPMGIMGLPYLTRQKGFSAKIYVTEASARIGQLMMEDLVSMHAEFRQFYGPEESNFPPWLRQEELEILPSVLKEILVGKDGVELGGWMPLYSAADVKDSIQKINTLNYAQEACYNGTLVIKAFSSGVEIGSSNWTLNGPKGDIAYLSSSCFFSAHAMAFDYSSLQRTSTLIYSDFSSLIDAQDVEDGDNYTDPTSDKLLPPSFEDSDGFSLDSDVNLDEKEKLVFICSCAIECVKDGGSVLIPINRLGTILQLLEEMATLLEASAMEVPIYIISSVAEELLALLNIIPEWLSKQRQERLFAGEPLFDHVKLLKEKKIRVVPNIHSHQLLKDWQEPCIVFCPHWSLRMGPIVHLLRRWCGDPKSLLILEDMVNPELALLPFKPVEMKVLQCLFPSGIGLQKLQPLLKTLQPKTILFPEDLRLKMCFSCEKSFSVSYYTEAETLKVPCQKESSELKMSFSCEKSFSVSYCTEPETLKVFCQKESSELKIEADLASQFYWKTFKKEGINVTKLKGKLLMENGRHHLLLDNDKKTSLRNSSLVRCGLTDYHKLIPELSKMGINASMELIKDDVESQNVCLVHTEEPYKALIEIGKTSTVITTAESDANVASILYKAIDNIMDGV >RHN48561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48471559:48471831:-1 gene:gene43292 transcript:rna43292 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQILESNLGIGKSKLGFLGEKLVFPESCTMTASSVSCSCVFFTRFRFELGFGVKMKVVDNFVSFPMALVWRKNEFWFRSYDENTPRRS >RHN80982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42389845:42390230:1 gene:gene4969 transcript:rna4969 gene_biotype:protein_coding transcript_biotype:protein_coding MDKINSQNLNPRVFHNLPTFNNSNTDPNGGNQVSKQQPPLRQRAFADLEFPILMPPAA >RHN43760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48271603:48274529:-1 gene:gene50382 transcript:rna50382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-binding, CRM domain-containing protein MYSPNPEVRIRYKLEKAKRKETWLIEKLRKFDVPKLPTETFDPEILTEEERHYLKRTGEKKKHYVPVGRRGVFGEVVLNMHLHWKKHETVKVICKPCKPGQAHEYTEELTRPSKGIVIDIKPNNIIIFYRGRNYVQPKVMSPPDTLSKAKTTMSHESWTIDLVWPFHLS >RHN62187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41535664:41537467:-1 gene:gene24735 transcript:rna24735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived nuclease domain-containing protein MESRKLAALLSSLVSQLLLILLLIFPPNSFPPNSLPPNSTETFSLIHHFLFSQQTAVTTTLLSRKRKRYHHRLIPNPDWFPTTFLMTSSTFEWLTNLLEPLLECRDPAYLLPLNLTAGVRLGIGLFRLASGSDYQQIANQFNVTVSVAKFCVKQLCRVLCTNFRFWVSFPNANDRSILQNFESISGLPNCSGVVFSSRFQIAPSTSPQQPHSSIAAQIVVDSTCRILSIAAGYFGHKTDYTILKASSLFNDIEEGSLLNAPSVNGVNQYLIGDSGYPLLPWLMVPFADNVCVTGSVEETFNAAHGLMRIPAFKTDASLRKWGVLSKPVREEIKMAVAYIGACSILHNSLLMREDFSALVSDFEYQRKSVDPFVLEDDPVKTSKALAMRATLATMAKKIS >RHN74849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37145305:37145793:1 gene:gene10975 transcript:rna10975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MHMKLGQITTIIISSAEVAQEVLQTHDLLLSNRTVPQAVTVFNHDHFSLPFMPVCDLWRDLRKICKNHLFSSKTLDASHALRCKKLQELLCDIDRSNLIGEAVDVGKAVFKTSLNFLSNAFFSMDFVNSAGETDEYKGIIENLVRAIGTPNLVDFFPFFKDG >RHN42456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38497945:38502485:-1 gene:gene48900 transcript:rna48900 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLQIRTEEPNNTETETQTPLLTSNTNHEHEHEHEHEHEETNNKTEVDKSLARLELFLNILGFNPRSILSSIICWSGFFTLGVAVPLVALWMGDCSECEKYELSGCEMVIVAFQASLAAVSLLCLSHCLRKYGLRRFLFVDRYTGHIAAFHRDYVNQISGSLRLFILWVFPCFLLKTVREIIRISYIQHGSWGFSIAIFIALVVSWTYVSAISLTACIMFHLVCNLQVIHFDDYGKLLERESDVLVFLEEHMRLRYHLSKISHRFRVYLLLEFLVVTVSQVVTLLQITGYRDMITMVNGGDFAVSTLVQVVGIIICLHAATRISHRAQGVVSLASRWHAIATCASSDNSQMRSSASAGSLEVANHLNSIHLDYSESDLESLDFGGMAVNTQLISYMSSHHKRQAFVMYLQSNPGGITIFGWTVDRSLVNTIFFLELSLVTFVLGQTLMS >RHN67639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28894613:28896811:1 gene:gene15847 transcript:rna15847 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MSAKAILIYHLREKMMPILIQILRWLMQEKVWRFVGFASAIVGLVCYALSSSFNYLFGEWNLSKIILYSVISFIICLMILYPKVWQSSRGLKFKAHTAFFVLTLTSVYSFIYDKVVNEKPDAYSLISCAAFAIMSLSLSRQTERQTQCGFEVDLLYFFLGCLIVQLMKVKLQLAVVGVGFSYFVIIFRSAFSSSAARNGYAGLPSENSLENPNLMDMILELVKKYREEKTRRIFIQWKLLEPNHAIMTDPIPSGKINDIREIAKLMVGARVEEEFTNKYISNRRGFLQELLINKLLGLQDINIDDDNVKYAETMAKNWSIAFDAALCILFPIEQRLCDLVFSGISSTAARCFTDICEEAICQMLNFALAVTDGRPSAWRLLNIIEIYRSLSHFGPKYQSWFPDSLVTKVIATLKRLGETSRDLFMELDNLTFYVPIAKQVSPAYGLYHPITDHVTDYLDSIHCSQKMLEEILSKYPKVVASEVTTSCSFTAQIKRMIALLETELIAKSKNYKYPAMQHLFMMNNRKRIEQMLNKIHWNSSFYIGDDWFPENQAKIQQHIELYHRNSWSKVIRFLKVDSNELGVTQESLIDKLNLFNIHFEEICSEQSMWLVYDKELRKEVIESVENTLLPAYGIFMGKFQDFLGNHAYKYIEYGLFEIQDRLSHLFLVNSKDDSFVSGGLL >RHN72059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4687584:4690269:-1 gene:gene7729 transcript:rna7729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S27e MVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRKKGD >RHN45933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26962307:26963283:1 gene:gene40363 transcript:rna40363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MSYAKLTPLALFLFATFLMFPMKKVEAQSCIGFCSVFDSKPLCGSSRCRCNKPLNNPFVGICERRPSTDAIEMEEEHQKFCQSHTDCTERGIGTFCARYPNSDNEYGWCFASSSEAEEYFKIASKYKFTKEFLKMPITA >RHN79673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31146485:31147417:-1 gene:gene3494 transcript:rna3494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MTSTRVALCLSFAFLFYVVGGAKVTFTNRCEYTVWPGTLTGDQKPQLSTTGFELGSGVTISVDLPSPWSGRFWGRTGCFNNNGKFSCATADCASGQLGCNGAGAIPPATLVEITVATNGGQDFYDVSNVDGFNVPMSVTPQGGSGDCKTSSCPGNINVVCPSELQVIGTDGSVVACKSACLALNEDKYCCRGDYNTEEKCPPTDYSMVFKNQCPGAYSYAYDDKSSTFTCFARPDYAITFCPSA >RHN67095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23826337:23827079:-1 gene:gene15239 transcript:rna15239 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGHSLMHEAHHDHQFVEAQELGGGRRKTRVTMHEVHSLMHQAHRDQQYFIKAKESIN >RHN58613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3302671:3305848:-1 gene:gene20539 transcript:rna20539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 20 MIGNGGTISQGSVLCYACQIPAKVSVSSFRSFWGHSVEIKPRGMAYTDMSSASSLLLSGGQNLLSRTIPVLPSLRKSCRAPRAMKEGSSAGFKFPPMTRKPRWWWRTLACIPYLLPIHQVWMYAKTAYNLHPFIEAFEFITYPFFMSIGSLPRWSLIAYFLIAYITIVRRKEWPHFFRFHVAVGMLIEIALQVTGLVSRWMPPAFYWGSLGMHFWTTAFFLYLFTSIECVRCALTGMYADIPFVCDAAYIQIPYET >RHN81248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44340500:44341975:1 gene:gene5261 transcript:rna5261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MKGVKGKLLKKLKSVKQVNYLMPDRILQVKSIDGYADFLPKISSFNIPNPFVSRENESKKSCENLQEEQPQPEIIDVSELMKDLEENEEQMDLDDDDYNDNKENISHKGVVSVSKIGNREETESKQGEVLREKRPPLLPRANTDRKRKSPLSESDSLSFRRPELYSGSLFDPKLLAAFEEAVKEQRKNRVEEEFSKEDKICFFDEDEEVDPLTLFEEKCPPGGDGTVIFYTTSLRGIRKTFEDCQKIRFLLQSFKVLYLERDISMHKEYKDELWSLLGEKVVPPRLFVKGRYIGATEEVLSLHEQGKLKKILEGVPIDCSNGPCDACGGLRFVMCFKCNGSHKIMAEKEKIDECLLCNENGLMVCPYCG >RHN39404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6843645:6847512:-1 gene:gene45425 transcript:rna45425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap2/NF-YA family MKHSWPWGSSESGVQQSSMSENLTLNMSVLQQECHKSKPLVFQFQDQDSSSTQSTGQSYLEVGSGQSGPISVQYNNSSTCSTLSETGGKSTEGIILSSAGSRDFTLPSSQLDHNQSLAPVAFPHVETYSNGLLAAPYGSRNNVNHAQLAGMPPVRIPLPLNLCEEPIYVNAKQYHAILRRRQYRAKLEAQNKLVKNRKPYLHESRHLHALKRARGSGGRFLNTNKLQDHGFNVSTTTRVNPSGNVPESRVHQVEKYRDGASTTTCSDVTCASNSDDMFQQHESDFRSCGYPSHMQDLSADARGGGGGGGNQHRLSVLM >RHN62274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42262191:42262619:-1 gene:gene24829 transcript:rna24829 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFVLQHNSDVSFDQKGIFFCPKPIPTRQLRLKFCQENEGYDSNVGIELLHIIQNKDVSSSPPYFLGSPPVRASNPLVQDEQFKWEEHIPQSTPVVSSASSGLSSPSSPFRKRGCVRMKFGVKSSKVRVVGFDCHVPAVAY >RHN55412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18073576:18073977:-1 gene:gene30587 transcript:rna30587 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEFRVETRKLNSWKNKYVSIGETIVHNNLVMRLCQLSFLYQNEGKSLEENCEESSQD >RHN81830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49193197:49197413:-1 gene:gene5921 transcript:rna5921 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRVVHSHVEEENIEPQQVTLGAEENLSPDQEKKSVLNKVKAKAKKIKDTIKKHGQQVLDHGNGHNNEIQHTHDDIDLDEDKQLVQDPQDHQAPIYDSEDVKSATPTPTPTSEQDENLGNSGIDIEGTGEESSHDPRVEGVSSTTEIDRNMSTDQAKTFTVEEKPEQYKANLETPTVLEEDSQEQGSRTEEYTLPNYQTKETDPSGAESDEIKDITPLEESLERMNVHDESKPTTEPKIQSSVVDIEYPPAEAYTLPNYQIKDTDPSGEDERKDIKPLEESLERMNVHDDEPKPTTERNIQPSVTDTEYPPAVGSHDQFVPHFSDATKTQNEYPSETVSKDNRNWEILEEYSQDQGSRTEAYTLPNYQTKDADSSGKGSGEVKETTALEESLERMNVHDEPKPTTEPKIQPPVADSTEYPPSSGSRDIDQFVPHLSDATETPNEYPQVTVSKNINRNQENPLEIRENFDTITNTVEKQSGYEEPVETQPKQKGYTDEIEISSAEEADKTLPPENDEASKLGNDEKVEHQKSENGNNVGYSLTEKLAPVYGKVAEVGSAVKSKVYGTNDGTETKNGDKGVTVKDYLAEKLKPSEEDKALSEVISETLNKGKEEPLKKEDGKLDSEVEKSDKVFEESNVNSPGKGMVDKVKDAVGSWFVKSPQGGGIGEDLSKNKKSVGEVEQAVDEGGKQE >RHN74904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37675033:37679544:-1 gene:gene11038 transcript:rna11038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MENLLWLPTNDKKAMEDELIRGRDMANQLLEALTYDDKSNIEVKGSNSKSSPVLPLIAGDLVREVLKSLTNTLLLLNNCKDSNDVALPITIRDFSFSTNCNKLEEDFDGSCKKLKTLNTKNPKGSNKRKSISPTWEKRTSILMDDGHAWRKYGQKKIANTKYYRSYYRCTHMNDQHCEAIKHVERTQENPPLYRTAYYGHHTCKSYLHSDINLESILSSNDSSILLSFDNNIPIKEENSFPPSPLPFLASTKEDPKEEIHDDYSSQNQLFSSDNLISCNFEVYFDYLRRATMLSSIESFEFENVYDQLGF >RHN78063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11586961:11588013:-1 gene:gene1578 transcript:rna1578 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTILPKGMAKWTMMPWPRLPIPLSIVKFPTHNVSLCFDFKDLDLSNQRGISLLCGARRQIRYQDEDEERDDESGHNEEISKLEFYSQSARGEALIVHALVDQNEVEVLIFKGFSSSLSYGTSPDPTRSILPARAVIKSIDRIKGPFDPANIEYLQKDVPWEEFKTNLLSS >RHN45514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21857248:21858242:1 gene:gene39866 transcript:rna39866 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKGFEKSEKDVVAAEEVVPPKDGVEDADDGAPNNGVEAGAPNDSVEEADDGALNDGVEDADDGAPKDGVDEADDANVNPKDAVDEAEAAGAPNDGIEEADDGAPNDGIEEADDGAPNDGVEADDAATPNDGVDDGAPNNGVEEAEDGAPNDGVDEADEPNPNAVEVAGDAPPNIVVEAVVEPEAAPPNSGVEADEAPPKRFPGVEGVPPPNGEPAVAEPKMEGADAAAGVEEAGEAPNKEEEDPKLKEEGAVAVEVGFVVVEKGEGEDPNGEDPNENADVEDDDWVEDEDPNGKPDIVASEKVMEWW >RHN57943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42687481:42688425:-1 gene:gene33535 transcript:rna33535 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQPKIEPGSSSPTNPKNNLEDNPIHDLVKILCVLESRDLRLREEFHLERLSRLHAEFESKKREEMFEKGNGKTIQELQKKNNELEVEVMNLKEKLVDGSNEVGVLRTKIVELESEILELRKLNEKMLEDNNELVVLREMIGKLEHEVLELRKLKKKWFDDSNAHDEVRSKVRVMEGDKNDLAGLKIETGELKETMKKNLETISELRKENDKRTVEILLGGFYKKFRGMTGRVSRFDDDTNFEENQEDDVSDDEFRNVDAHHTLGVSDAHGASSDKRLVRLRR >RHN45564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22926021:22927049:1 gene:gene39930 transcript:rna39930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MANLREEQDFSEHQVDQYSQSSSLGKLDYIPQEALENLEWYTTFWDDMEKLEKVLKFDESMGEGNTQENRSFNVSSVQINQQDNIDTSVPNHHQRICSDSETKETTQKPLRRTKVKSCSIKKSRAKKFIGDRSCSHCETKETTQWREGPLGKNSLCNACGLRYKLNGLVKGYRPKASPAFDIRNHSNLHKKAMRKE >RHN55943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25829799:25835700:1 gene:gene31237 transcript:rna31237 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rRNA biogenesis protein RRP36 MNKHGSRIPNSNNETHYEENETEDSLSSSSSSEDEEEEEIEKELADVTFEELQKARSNGAHAFFKKPAEDKKLKRANKNRPMEASSKKPVPAFRDVIQAPKKVVRDPRFESLCGTFDNDGFRKRYNFLYENDLPAERQALKRQLRKYKDPERRSEIEERIAWVDKQLKSDSTKNIETQILAKHKKKEREAAKQGKRPFYLKKSEIRKQRLVDKYNHLKSSGNLESYIEKKRKRNAAKDHRFMPYRRSGENVE >RHN71506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:625244:625561:-1 gene:gene7115 transcript:rna7115 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRMLRRVYLHIDKFLCYFALPQPCYLDDDERLKKLGGLGLGMSKPKAVWEDEDARNPKKTVVMDKFSYDDDVMAIGDVFYVEVEHDWVMQSGGPIAHQCKTFD >RHN49406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54595737:54603959:-1 gene:gene44233 transcript:rna44233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mediator complex, subunit Med14 MATAELGQQTVELSTLVTRAAQDSYNSLKELVDKCRSTELSDSDKKISMLKFLTKTQQRMIRLNVLSKWCQQVPLIQHCQQLSSTVSNHDMCFTQAADSLFFMHEGLQQARAPVYDVPSAIEILLTGSYERLPKCIEDVGSQYALTEDKQKPALKKLDMLVRSKLLEVSLPKEISDIKVSDGTAMVRVNGEFQVLLTLGYRGHMSLWRILHLELLVGEKNKTVKLEELRRHVLGDDLERRMAAAENPFSILYTVLHELCVALVMDTVIRQVQFLRQGRWKDAIRFELISDGGSGHGASSSSVQNPDGESDSSGLRTPGLKIIYWLDFDKNAGVSDSGACPFIKIEPGPDLQIKCTHSIFVIDPLAGKEAEFFLDQNCIDVERLLLRAICCNRYTRLLEIKTELIKNVQVFRTADDVVLQSHMGEPDIEYKQKDNKRCDKDSEGNEVLHVRAYGSSFFTLGISIRNGRFLLQSSQNIVVSSALLECEEALNQGSITAAEVFLSLRSKSMLHLFASIGRVLGLEVYEHGLNTVKTPKTLSNGSTVLMMGFPDCGSSYFLLMQLDKNFKPLFKLLETEPDPSGKDKIFGDLNQVLRFKKIDIAQMQVLEDEMNLSLVDWAKLHSILPNAACPNQMSGHGLYSDTRLQNSMHTARGHHPSGFSSLVDDVFGLEKGSSAPPFPVQNISSPLNSSLPSHYGSLPINSQSLKAGNIHYNSSLFSSGNVKGPVQSSSVGSVPTGHGRSAVGKKLSASKSEQDLASVKSPHSVDISSSTPMDEDTANDALSGSRSSLLSPSWPINSRMSAPSSRPNGSSSCATTLVSQGLDTVNFSTSEDVISEQDKKSRKRTASDMLNLIPSLQEFVKNQGICKRRKILDACSSQLALPQSSITPEIIPKAEGCSYGSLIAEANKGNAPSSIYVAALLHVVRHSSLCIKHARLTSQMDALEISYVEEVGLRRVSSNIWFRLPFARGDSWQHIFLRLGRPGCMYWDVKIDDQHFRDLWELQKGSSNTSWGSGVRIANTSDIDSHIHYDPDGVVLSYQSVEKDSIKKLVADIQRLANARTFSIGMRKLLGIRADEKSEEFLTNSDVKISGVKTASDTADKLQMRRAFRIEAVGLMSLWFSFGSGVLARFVVEWESGKEGCTMHVSPDQLWPHTKFLEDFINGAEVSSLLDCIRLTAGPLHALAAATRPARAGPVPGVAAIISSFPKQTGYTSSQGLLLGSSTSATNVGQPASGLGANTSVSNASGISNQNLSMLAAAGRTGPGIVPSSLLPFDVSVVLRGPYWIRIMYRKQFAVDMRCFAGDQVWLQPATPPKEGRPSGGSLPCPQFRPFIMEHVAQELNGLDPSFTGQQAGGLTSSNSPNPNSGTQSMAANGNRINSAAMSRTGNQVASLNRMGNALAGSSNLALMTSAVPLRRPPGTVVPAHVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFAQLPDLLKEILGSILKDNEGALLNLDPEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQQNSNPAPEELSPSEISEICDYFSRRVASEPYDASRVASFITMLTLPIPVLREFLKLIAWKKGLSQAQVGDVVSAQKPRIELCLENHAGLNADENSESSSAFRSNIHYDRLHNSVDFALTVVLDSAHIPHVNAAGGAAWLPYCVSVRLRYSFGESLNVSFLGMSGSHGGRACWSRVDDWEKCKQRVARTVEVSASSAADVSQGRLKLVADSVQRNLHMCIQGLRDGSGATASSGAT >RHN41065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26577561:26579910:1 gene:gene47341 transcript:rna47341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MTMAESSWKRRKVEEHNEEEDEGEVEEEEDEHDEEEEDEHDEEEEEEEEEEEEDEHDDEEEEEEEEEEEEEEDDDEEGEEDEIDRISTLPDPLLLHILSFLPTRTSVATMSLASRKWRNLWEQLQVFNFKDSDPVFHTPHDCEYKKFKKFAYYVDSVLALRRSRNIRKFRLSCGYADENKFKVWIRAATGPHLQQLYLKVPNAECVADCLVTLPSSLFINCNNLVSLTLYGAIKMKVEHSSVHFPSLKQLKLDIWELDSKVAFLSSCPVLETLDTILEYRDISLTKLAVPPFSSSKLKSINDNFTWTYFEFDDIDIYPCVTLGIVGIFHSMVEAFLDVFSLRESEFVDPILNCIRDEIRF >RHN68978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40037088:40039799:-1 gene:gene17404 transcript:rna17404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MASTSTTTLYLHFLLLMLTFCSFHHQLSFAFTSQDYHEALEKSILFFEGQRSGKLPSNQKLTWRGDSGLSDGSSYHVDLIGGYYDAGDNLKFGLPMAFTTTLLAWSVIEFGSSMQDQIDNARAAIRWSTDYLLKAATTTPDTLYVQVGEPNMDHRCWERPEDMDTPRNVYKVSAQNPGSDVAAETAAALAASSLVFRDTDPSYSSKLLQAAIQVFNFADRYRGSYSDSLNSVVCPFYCSYSGYHDELLWGASWIYRASGISSYKQFIQSNGHTLGADDDGYTFSWDDKRPGTKILLSKEFLEKDSEEFQLYKAHADNYICSLVPGSPGFQAQYTPGGILYKGSESNLQYVTSTSFLLLIYAKYLNTNGGAVSCGTSKITEQNLIKLAKKQVDYILGDNPTKMSYMVGFGEKYPKHIHHRGSSLPSIRVQPQQISCNNGFQYLHSGSPNPNVLVGAIVGGPDSSDNFSDDRNNYQQSEPATYINAPFVGALAFFSGQESS >RHN69763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46090701:46100027:-1 gene:gene18276 transcript:rna18276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ion channel regulatory protein, UNC-93 MGGVVEYEESATQPPKTTKTSSLVRYNSPLSQIILIGLVCFCCPGMFNALSGMGGGGQVNATASNNALTALYTSFTIFGILGGGIYNILGPHLTLFAGCSSYVLYTGSFLYYNHKQHQAFAVVSGAVLGIGAGLLWSAQGAIMTSYPPMNRKGTYISIFWSIFNMGGVIGGLIPFILNYNRGDQAATVNDGTYIGFMAFMSLGTVLSLTILPASKVVRDDGTKCTNMLYSNVATECVEILKLFYNWRMLLIIPAAWSSNFFYTYQFNHVNKTQFSLRTRGLNNVFYWGAQMIGSIGIGYTMDFSFKSRKKRGIVGISVVAVLGSIIWGGALANQIKHKHGKVLDFKESGSDFAGPFVLYFSFGLLDAMFQSMVYWSIGALANDSEVLSRVWYTGFYKGIQSAGAAVAWQIDNHNVSPMAQLIVNWVLTTLSYPLLLVLMVLAVKESNEEVEEPVKQVAPSDNNGSVH >RHN41352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29354354:29357090:1 gene:gene47666 transcript:rna47666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MHTRKNMDLVHMFVYAFTIFLSIPLPPVRSDFPCKTKVDCPQHKKYIAECIFGFCRHFKPLEHPF >RHN73608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18127732:18131326:1 gene:gene9439 transcript:rna9439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mechanosensitive ion channel MscS MEQEEKNMKDNKTTKNEVVLRISNNEENSDFGGTHFAETSSYSPQFISKTGSSPSPKGSHAEAELMELENLRRSNVQVPTTSTFLARSEFSKPKSRMVEPPFPKDATFGEEKTQMKKVAVGSPRDVHDGSGTVVTPRTPLIATPRGKEEDEEDDDDDDEEVYKTAEVEVSKRSGFLIATLLVHRLQHKEIWSLELWKWCVLTLVIISGRLVTSWFISVLVFLIERNFLFKKKVLYFVYGVRKSVQAFIWFSLVLLAWSLIFHNGVKRTRKVIRVLDYITRALGSCVIASAIWLAKTLLIKLLSSHFQSSRFFDRVQESIFHQYILRTLSGPPLMEMAVGKSSSGGRLSFKTLIRDNEKKGKKEQEQVIDVDKLKKMKQEKVSAWTMKGLINVIRSSGLSTISYTPESIYEDVSDNKDNEITSEWEAKAAAFRIFRNVAKPGSKCIEKEDLWRFMRIEEVENLLPLFEGAVETGRIKRKSLKNWLVKVYLERRSLVHSLNDAKTAVDELNNLASAIVLVLSIIVWLLVMGLLTTQVLVFISSQLLLVVFVFGNTAKTVFEAIIFVFVMHPFDVGDRCVIDGVQMIVEEMNILTTIFLRYDNEKIFYPNSVLATKPISNFYRSPEMSDSVEFAVDVSTSIENIGALKTRLKAYLESRPQHWRPNHNVIVKDIENVNKMKMALYVNHTINFQNYTDKSSRRSELVLELKKTFEDLDIKYHLLPQEVHLDRQGNVSDEKQRNKGRSC >RHN82714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55848641:55849066:-1 gene:gene6896 transcript:rna6896 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTKVSMKLLIDTKNQKVLFAEASKAVVDFLFNLLCLPIGTVVKLLSANGMVGSLGNLYESVENLNQNYMLPDQTKDVLLNPRAQSSSTEISGFLTQNDDNGSNKQETMLYMCRYKIFIIVSNILFKIFQLKNMHWHA >RHN63519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51921477:51923779:-1 gene:gene26225 transcript:rna26225 gene_biotype:protein_coding transcript_biotype:protein_coding MKNASTRNSDSSSHFDSPHSPLRFKSSPLSDGGDPFHSTENSPENSHRDNSRAIVIIEPSIQHSQVSASVPDSEHRNHPVNEQPAVVVNRAMRNDPPPTTTNLGPNVTRGGREDGGGRSRQRTTTPAVPAWSKSDVMTGKVALGFRISEVVLCLISFSVMAADKTQGWSGDSFDRYKEYRYCLSMTVIGFVYAGFQACELGYQLITGKHIVNHHLRFHFDFLMDQVLAYLLISSASSAATRVDDWQSNWGKDEFTEMASASIALAFLAFIAFAISSLISGYNLCNRYP >RHN54185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7675202:7678062:1 gene:gene29182 transcript:rna29182 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYMPMVVLFRNFIDLNFRASDLQSRGVNLYGGGSLRKIVDPVLNHSDSTYDDDDRCISFRFVLCGEFWRINVDESRFRLTDSDVTHLGYCKYVYMMMKIGSFRVGIKWM >RHN45152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12362250:12363307:1 gene:gene39369 transcript:rna39369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MHYWQRMIPHLPYSILTCETLVVLKLSFLNMGKEFNITLVTLPSLKTLHLKYIRFQQGDDLIFLTDNCPNLEDLLLFDITYNTYCSIQWAQTLTKLKRADIMDCDFYIPMETVSNAEFLRIKLHEWSYRGCKLPTFHNLTHLVLCYNWDIVPRMLHLCPKLQNLDLFQHIKGSFWEDGYFGEYENEKWSHPKSVPGCLSSNLRTCTIRDFAIGGLQSYHIMLAKFILKNSTVLENMSIWCFGKQSEIERRLTSCSRASATCQLSIYNNFKYRYVSSSSFSILSFVH >RHN46386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31216864:31223002:-1 gene:gene40868 transcript:rna40868 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLKDKTFKPCEEKSSHHIFQNQKHKDQVVLTSSSKDPPKRKFNQYINDGNCATSFELIGKEKYSGENAKDQRKNSSHSLSCYALPDGTQEYVSPSINDNAKFCGISTQDYSHLSDFDDSIKGSSYLVNQESFNIHARDLMVSNRYLYGIETSIEEKSKEEDMEVINSLKNFLPSRGNHLPRPVIPIGPRFQAEVRKWGGIANIKQYNSDDSLKWLGTQIWPMPNACKTNAKGIGDDMLNSCMCDNPELVNCVKKRIGEAIECLKSEIDTGYSSRKFDDIEEYALKSWTVEEQKKFESLKKLNLLSSYTKFSKLIMEYFPSQSMTSMMNYYYNVYIPRCMSIETRSILGAVDRESKLN >RHN82341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52964074:52964890:-1 gene:gene6501 transcript:rna6501 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSKKDREGPGSKKELIYVGFLALPMTSVTLSQYFLQIISMMMVGHLGKLSLSSTAIACYLSLCCLWLQPFSLSSLLGQDPLISQEAGKYAMCMIPALFAYATLQARVRYFLMQSLIFPVVIGSSVTL >RHN47613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40998961:40999762:1 gene:gene42233 transcript:rna42233 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQINNHEVFAYIDGTPKHTIHIPLNKIDILNYCLEINCIYNCSNICKAIDSLIFFP >RHN43899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49320365:49321884:-1 gene:gene50534 transcript:rna50534 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVGCDWVLGGRPGRRLSPLDTDLGFFIDLDLKVPGGRPRPLLTDSTGSTAVVGGGGSRETKTGWAFGMRMGLCLGGRPRPLWVKGVKGR >RHN81377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45366241:45368990:-1 gene:gene5403 transcript:rna5403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MSSQTVTLKVGMSCEGCVGAVKRVLGKLDGVESYDIDLKEQKVVVKGNVEPDTVLKTVSKTGKPTAFWEAEAPSETKAQ >RHN40166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13814363:13817448:-1 gene:gene46280 transcript:rna46280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MHDLVHDLAQSIMGEECVASEVLSLTDLSIRTHHISCFDTKGKFDYNMTSFEKVESLRTFLEFKPPCKILDLFPSITPLRALRTSSFQLSGLKNIIHLRYLELYESKITTLPESVCRLQKLQTLKLERCDSLSSFPKKFTQLEDLRHLIIKDCQSLVSSPFRIRELTCLKTLTIFIVGSEIGFGLAELQNLQLGGKLHIRGLQNVSNEGDAKEANLIGKKDLNHLYLSWGDYPSSQVTSVDAERVLEALEPHSGLKRFGMKDYGGIHFPPWMRNTSFLKSLVCIILYDCKNCKQLSPLGKLPCLTTLFLSGMRNLKYIEDDLYEPASEKAFASLKKLTLRNLPNLERVLEVEGVEMLPQLSFLSIECVPKLALSSLPSVEFLFVTGGNEELLKSIFNNNCNEDATLSPQGTAGNNIYNLKLLYISDFAKLKELPDELGTLSTLEVLHIQCCDEIESFSEHLLQGLSSLRTLCIHSCHEFKSLSEVTRHLTCLEGLEIIDCPQCVFPNNMNSLTSLHRLKVSGQNANILDGIEGNLSVKNLSLTNFPSLTSLPDWLGAMTTLQRLKISGFPKLRSLPDSFQQLRNLQRLSIVSCSMLEKRCKRGIGEDWDKIAHIPEVELESKFDAKPTFCENIISTWKVGKHTSSEFDQMIDIL >RHN60980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32023905:32024705:1 gene:gene23386 transcript:rna23386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAPKGEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKEGGSAAGDKKKKRSKKNVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >RHN66226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9884553:9885035:-1 gene:gene14169 transcript:rna14169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MADADWSELPKDLLNLISQRLDNELDLIRFRSVCSNWHSSSIPNHHNILPFKFPLLKFSNTYSIDIDSINNKDNTSFCYLFKNIIFLIKPKQQQQEQTLRPWLIRIIQNSFGNTQLHHPLSVTDSCDPFHFPHVLDLNKFSLLHLGCMFTVDDNFPKLSF >RHN43761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48274531:48277255:-1 gene:gene50383 transcript:rna50383 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAASVRGAKHGFSFTFNRYSLFLLCLVEDVPTVASSPSIASRSFTPYKSIHPRTYRTLRHDSRAPPACSPSALVPQQRSNIIRSHGNQGNWLPSRYTSNESVELKTQNDVVRFSLYKPDDIGSANKNQNKKKKIKKGQAK >RHN41938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34228880:34230325:1 gene:gene48314 transcript:rna48314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MSHQPLQEQTPQQQQHHLRPNKPPKNTMEDVWKDINLPSLTNHMSNTVSSPSLMTPSSLHSTINLNSLPEFHFDPLAHNDLQLEQNHHHTTTLSKVEALLSNSIERRHKRIMKNRESAARSRARKQEIIASINYHPNKN >RHN70974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55529600:55532849:1 gene:gene19614 transcript:rna19614 gene_biotype:protein_coding transcript_biotype:protein_coding MELQALCCALPSQPLQFSKLRSQAFGTQALFRYQKQKFGFEKSSKSFKQVIKEPSLLGLNKFQRTLIHASDSTVNGALEVELKQSSSVPVNVGYSGLEPFHGKSGSVSFYGLTHQSVEEGKLVSAPFKQEESSYLWVLAPVAFISSLILPQFFVGTVVAAFFNDLILKDIVTSFSSEALFYVGLATFLQVADRVQRPYLQYSSKRWGLITGLKGYITSAFLTTGLKIAVPLLLLYVTWSVVRMAAVVAIAPFLVGCAAQFAFERHLDRRGSSCWPLVPIIFEVYRLYQLTKAANFSEKLMYSMKGLPASPEVLERSGALFGMMVIFQLLGIVCIWSLMTFLLRLFPSRPVAENY >RHN57211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37319173:37320253:-1 gene:gene32721 transcript:rna32721 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MLYGGFWRIQMRKRYNLPTYDFCFGKPAVSDCILWLFCCWCSLAQEMRTGDAYHIVDDKFFSKEINTVDQPPISPLRREGVSSTKSGTSSPLGVNSSPSTFKPSSPLSSNSFFMEYHSPDGPLSSVKEELSEKDKDVTMIPPTPPVIQRESP >RHN50566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8109870:8111158:-1 gene:gene34866 transcript:rna34866 gene_biotype:protein_coding transcript_biotype:protein_coding METPKRVVFPCFLNCSTKSIKEFLSQFKYQSKSVLSLRKCVKKIEHEGTVEEDSSPLPPKISTDSRKRPKVEKPRKTEKKEATVLLYDEFKMTHKDFSVYVNLCLLIHRDWE >RHN58595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3080263:3082419:1 gene:gene20519 transcript:rna20519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, non-heme dioxygenase domain-containing protein MGSEHTQKLPLIDFNNLNFEAKNPNWELVKSQVYKALVEYGCFEAIFDKVPLDLRKAIFTSIEELFDLPLETKLLNVSNKPYDGYIGQYPVVPLYESMAIEDANILEKVKSMTNILWPDGNKNFSETIHSFSEELIELDQIIRKMILESLGVEKYLEEHMNSTNYRLRAMKYKGPQTSDTKVGLTPHTDKNFVTILYQNQVEGLEMMTKDGQWISYKPSSGSFVVVLGDSIHAWSNGRLHSPFHRVMMSGNEARYSAGLFSTPKGGYIIKAPEELVDEEHPLLFKPFDQAEFLKYYHSREGARDRFAIRTYCGV >RHN44138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1950986:1951234:1 gene:gene38208 transcript:rna38208 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSYVGHRTFKQIKRDRRSGFTAPCCSFNIVVVVAVTKSRVLERCESERDVGESTRYKLGRDDNMAELNDNDGEAAET >RHN38666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1168358:1176549:1 gene:gene44625 transcript:rna44625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase Group-Pl-2 family MSPPEPPPQLDDPTPLLEDQSWHLLSLLLQIGHPVDAEYLSLRCRFFNASPDFIHYVASLPDSPLSVNSNGFLTPSVEAALALARFFSFQFQNSTSFQSRKRKSICSITEGGRDPKRLAIGDKVPEIFVKSFADTTAEAFMRRNFCAMKFESRFVNGGNYMIPIRIDSIGECSGCSEPNFKYREADNDGITSMVKGEISGSLIKANESFFISESDSRKLEGLDRFVGHNPFPNLAPTSVQDQSLCNDGDVVGIGSENKMDCFDSFGNEYPEQNITTIVDGENVCRNNTCRDSLLESNEINKEEERGPKEGLVNYQNDREMEDVAQRVDPVACGEDPEEVLELEKGIIRESIEKYKEERGLKEGMINYDKNKEMEDVAQRVNPVVCGEEPTKVLELKKGMHVLDLDTNKTVRKMVTRSTNKIAQSSSNPKQLLKPSRILKGGQKNDLHSKPQILTESLACNKFDNVPKKIDQGNDQNIIEGDEGKDQNVVTQSTNKVAQPSSNPKQLLKSSRMLKDGQKNDLHSKTQILTESLAFNKSDNVPKKIDQGHDQSIISKNKLKQSRKENAAEDNFMTSKVEKKTFPSFESFIIEEEEGSGGYGIVYRAHRTADGKRLAIKCPHSNAHKNHVNNERNMLERFGGKNCIIKFEGSFKSGNSDCFVLEHVEHERPEVLKKEIDICELQWYGFCMFKALACLHKEGVVHRDVKPGNFLFSRKLKKGYLIDFNLAMDLKQKYNIGSKSKPRLDASSNIPLPSGPSPVVQDKNLGGIKSLTSNKRELPDLADRRKYYEIRKHMKTKADASHLKNCPDKAVANLRRAQGADGSGITSARDVTSTKTASADRLREPIPFKGRKELISLVQNSMQCANNSSMKSPSSQRKRVTALSGKVDGRTLYLTPMPIHSSTVALGLLRSKGDGKHKREGPCVGTKGFRAPEVLFRSQFQGPKVDIWSAGVTLLYMLIGKTSFPGEPEQSLKEIAKLRGSEELWEVAKLHDRETSFPVELFDDRYLQSYDIETWCKTHTKRPEFVDKVPKSLFDLIEKCLTVNPRNRISVEDVLRHEFFASCNDIMRKTRMLQRGLGLETAADSRAV >RHN53094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41969388:41972737:1 gene:gene37856 transcript:rna37856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MANVTKFVYIAIYFLSLFFIAKNDATATFCHDDSHCVTKIKCVLPRTPQCRNEACGCYHSNKFR >RHN62670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45102456:45103295:1 gene:gene25267 transcript:rna25267 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKSFIATLLLVVTMSSMSLEARHLLQTTTQPNLPAIPTLPKPTTLPPLPSIPNMPQGNLPPLPTIPSMPKLTMPPLPSLPTNIPTIPSLNIPPLPAVTSLPNLPSIPTTFPSPPPSTSSP >RHN39592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8526634:8533112:-1 gene:gene45631 transcript:rna45631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metallo-dependent phosphatase MKQQELTFLLCLIWALTLLYGEMFSYWLPPLFTCSWPHLMVQTKSENHQTDYVKVAIIADPQLMDKTSLHLPEKSLALEIVKFYTDLNMQRSFFSSILPFKPDVILFLGDYFDGGPYLSDEEWKESLNRLKRIFGLNAQGKYTDKPVYYIPGNHDIGYESLHYAMPKVIRRYEETFGIRNYKFAVGKVDFIAVDSQTLDGHPQKHLASQTWEFVKNISVDDVVRPRVLLTHIPLYRPDGTYCGPDRTSPIINQRIHHAWHDKTNGITYQNYVFEKSSKSLLDTIKPKLILSGHDHDQCTITHQSKSGPIKEHTLGTISWQQGNLYPSFMLLSVVNSTLPNASASIPEEPLLTHLCYLPKQFHIYMWYIVQFVFTLLALLLWPTSNTSFWHQCWNLVGNFKQLIASIVSKNETKEKDEDANYEYEMMWDAEGSMHLIKKPLKASTVNSNERSLGERGNVVLRPTARKNTGQEAAFSVNMDMASTIELDPLAKIPPRTGKSKTTIIIQRLLRTLRMLTVIAAVNVPLYMMLLFKDWIDK >RHN62478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43710948:43713097:1 gene:gene25058 transcript:rna25058 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MDSTDSSSCSPHPHLPPGFRFHPTDEELVVHYLKRKAASAPLPVAIIAEIDLYKFDPWELPSKASFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPILTSDGNQKVGVKKALVFYGGKPPKGVKTNWIMHEYRLITDHNNHHSYNATSKTPPLPSDHPPNNNKKNSLRLDDWVLCRIYKKSNSSNMPRPLLMDQYDDQTYNMQQNSKHSSSRSTSYGLENDDNFFDGILASDHGMQNGCDINSKGDNNNNESLFPMKRALNASSQFWNETGSPGSSSSSKRFHGDLNSGISSNAEENNSFVSLLSQLPPSTTFHQNSILGDGVMRQQFQLPDINWN >RHN45860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26360892:26362121:-1 gene:gene40282 transcript:rna40282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSGSENRREKFAGLTLDDVLANQKRLATAPPSKPSPPKSRTLVDTIKEDEINKKDRRSWKAFKDKIRLKRTGSAWTSTIHIPTSDIPIQNPNSRSFSQFGRRNSVRIQTHHDDSDMSTTQSMTHQGDDPDSSEESNPPATRPQFTRRISTRLSVESPENSSGGGNLRSQLSRRNSTNVSSEPFHRGRVVTFRDNFDEDDPDDDDNKKPATVRALSAREAVAAQEAAEAAAATEVETSEGAPMMMSLMDLLEETDREMGLEGSRYTLSDEEDINDDECEDDEDGEGAMEETCCICMVKHKGAAFAPCGHSFCRMCSRELMVAKGSCPLCNNFIVEILEIF >RHN61017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32335385:32335717:1 gene:gene23427 transcript:rna23427 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKSNLIKKGTKRCSTRLQKHAPTFIEINDRPTDPFAPSTDASSSKAIPLLSPLVLSPQALYAEITAQTQMLQNNGNIDSGVIKLIFSWVFPTREMKLIIIMLFLAICF >RHN69114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41121015:41143174:-1 gene:gene17543 transcript:rna17543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mediator complex, subunit Med13 MWTNVFKIGSLHQISWFQFLPHEPDLNPLPDKSVKADQKDAAMLVVLSSHLQLQKEGFLSAWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGRHLTVSETAQPALTGLRAVASGLWLAPGDSEEVAAALSQALRNCIERALLGLYYMRFGDVFLKVHQFQSEELLRRGHPAFEFVFAATEEAILIHVIVSSKNIRMLSSGDLEKLLKHSMETTYTLPVIVSPHGIRGNLTGCSSSDLVKQSYFSSAKFRVSNGIIGLPYHVSQGVGCQLRGQNCFVEVSLGFPRSETDKALQSNKNIRNLLKSPVTGHNDGKGSPDHLSDNEKTFLYPAEAVLVPVFQTSLARSSLRRFWLQNWMGPSLAGSSSFIHCAGNVESTEDPWAEYNGSRTQNSYDSSSNSNSSSISSLSASSSDSDYKTTGPSELEADADSLACRQSMISSADRLGSDAKSGSKRSRTGLVQSLSTTTNIPGQDAYMSDFGSMEVNNSAITRVGNEPTGSYWDWDDNDEENRGMELNMEALLKEFGRGFDDFFVGDELPFGEPPGTAESQALMVYAPDCGDVNSSPVGADVMDVSDQMILPIGFSSFESFNPTSPAVMEECLNKDQDNLNNSMSTGQTNQTQMLYTGEFDHIMKAEAMITFAPEFGAVEAPTSGLSTTLFRSPYFPKFQKAESSNSCSNNYLYGAEPPSSPYIEGSEGKNGMVINTKTCSGKHDTSMTLHSKNYYTFVESRKDMNEKKPVTCIANNIAKSEGIVQPPFSSVGSNVSVKSVLRKMTEDPKDAERFTPLSAKTLLATDVTCAMLQASMCRLRHTLLSSGNNLVPVGLNRSTGVTFSNQLPTDPSTTTDNISGKYEVKKKENIPVRIAGDFDGGMLDGHLNAPVGVWRSVGTSKVVKPSNSPNMEVGPSFSHNSFNEEGILSYAQRKPLQELLDGIALLVQQATSFVDLALDADCGDGPYGLLALQEQWRRGFCCGPSMVHAGCGGTLASSHSLDIAGLELVDPLSSDVHASTVISLLQSDIKTALKSAFTNLEGPLSVTDWCKGRSQLVDPGSMVDGVSAESSISECRDSSEPLSPSQSSVCGSSSFKVSSLTGQDMCNSESEQQPCSRLKPTLIAVPFPSILVGYQDDWLKASANCMQHWEKAPLEPYALQKPIAYHVVCPDIDPLTSAAADFFQQLGTVYEMCKLGTHSPLVLGNQMETESAKLSSCGFVLLDCPQSMKIESSNASLVGSVSDYFLSLSNGWDLTSYLKSLSKALRALNLSSCFSANPTEGSNSSCLVIYVVCPFPDPSAILQTIIESSVAIGSVIQQSDRERRSNLHSQVVKALSGLATVDEASASNIPVLSGFSIPKLVLQIVTVDAIFRVTSPSVSELVILKETAFTVYSKARRISRGISSDSAQLAFSSRSQSVLPQMPSPISGMWKDCGGPRMAGHSLPRDGDIDTSLRPGNWDNSWQPTRSGVLNCDPSRTGDIFLHDEIRYMFEPLFILAEPGSPEHGISVVGSPGSEASKALADDSSGNHVQSTSTSGSVDSASSIDGSGSDQKTHPSLHCCYGWTEDWRWLVCIWTDSRGELLDSNIFPFGGISSRQDTKGLQCLFVQVLQQGCLILQSCDPGLAKPRDFVIARIGGFYELEYLEWQKAIYSVGGSEMKRWPLQLRKSLSDGVSSTSNGSSLQQPDMSLIPERTLPSSPSPLYSPHPKPTGFIKGNLGQSAGRKQMMGGHSTVDNSRGLLHWAQSISFVAVSMDHTLQPVLPADSSSPGYVEGFTPVKSLGSASSAYILIPSPSMRFLPPTALQLPTCLTAESPPLAHLLHSKGSALPLSTGFVVSKTVASMRKDYRSNLKEEWPSVLSVSLIDYYGGSSIPQEKNVRGINKQGGRSLNWEAKDFETETHLVLESLAAELHALSWMTVSPTYLERRTALPFHCDMVLRLRRLLHFADKELSKQSDKS >RHN57278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37797460:37800057:1 gene:gene32801 transcript:rna32801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MEFQSKLLALCSLHILCLLLFHLNKVSAKVPAIIVFGDSSVDAGNNNFIPTVARSNFQPYGRDFQGGKATGRFSNGRIPTDFIAESFGIKESVPAYLDPKYNISDFATGVSFASAATGYDNATSDVLSVIPLWKQLEYYKDYQKNLSSYLGEAKAKETISESVHLMSMGTNDFLENYYTMPGRASQYTPQQYQTFLAGIAENFIRNLYALGARKISLGGLPPMGCLPLERTTNFMGQNGCVANFNNIALEFNDKLKNITTKLNQELPDMKLVFSNPYYIMLHIIKKPDLYGFESASVACCATGMFEMGYACSRGSMFSCTDASKFVFWDSFHPTEKTNNIVAKYVVEHVLAQFLE >RHN46644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33671159:33674144:1 gene:gene41161 transcript:rna41161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MGNWQMNKASIIVDASKYIKELKQKVEGMNSELGNVESSTSQMDEQPMVSVKTLEKGFLINVLLEKNSPGMLVSILEAFEDLGLDVLDARVSCEDTFQLEAVGGDSHKDDSINAQVVKQAVLQAIKNTDSSK >RHN62007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40021614:40026577:1 gene:gene24527 transcript:rna24527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-dependent channel, 7TM region phosphate MIVSALLTSVGINTALCVLFFTLYSILRKQPSNYKVYIPRLLAEGISRKKPFKLKQLIPSPDWVAKAWNLSEDELFSSSGLDALVFMHLITFSLKIFTFAGVIGIFVLLPVNLWGNQLEEVDMYDFAGNSLDVFTISNVNSGSNWLWVHFLAVYMVSGFTCFQLHHEYKYIASKRISYFSSSKPLPHQFTILVHSIPTSSSCSISESVDSFFRELYPSTYLSHVVIRRTNKIQTLLSKSKNLYKRIRQLRSNSTQQNYNHGGGILGLFGSKKDDLIDHYGKKLEDIEQNVRLRQAEASLIAEEARAAFVFFKSRYGAASAFHLQPSINPTQWITEPAPAPKDVYWPFFSESFMKQWVSKLVVIVVCILFTISFLIPVVLVQGLTNLKQLEILFPFLASILSIKFVTQIITGYLPSLILQMSLKLVPPVMGFLSSIQGYISHSDIEMSASKKVIWFTVWNVFFATVFSGSILHQLYVILDLREITSNLAVAVPAQASFFIPYVATTGWTNVLSELFQILPFISSLIKRPFTKQEDEFEAPSLAYHRDVPRILFFGLLGITYFFLAPLIIPFLLVYLCLAYIIYRNQFINVYTPKYETAGRFWPIVHDSMIFSLVLMQLIAVGSFALKKLSPASTWTLPLPVFTLLFNYYCRRRFLPIFTAYSAESLVKKDREDEKDPAAMNEFYNQLLIVYKDPALFPIHHSSSTDSLVSPLLS >RHN46685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34035539:34035895:-1 gene:gene41204 transcript:rna41204 gene_biotype:protein_coding transcript_biotype:protein_coding MKVREIYESLARTNLSMTLNTPFGNSNSFGGGEKQQQTENSIPNLSEAQPKSQNLLHKLPKSTLATESEKNVCLASPIHHVARKSPVRRQRKHISARNLPKITDQELQQLSGEYPLLC >RHN45879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26500875:26501569:1 gene:gene40301 transcript:rna40301 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKYSLVLLLLGMIMLTTVVGERNSNNFRHSPMLDPEDDWPEIFHEQLPSNYKISKRSHQITRQTCQMKPSTTSFEPVHIAKISYHYK >RHN57820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41931808:41932965:1 gene:gene33409 transcript:rna33409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative B-cell receptor-associated protein 29/31 MLQLLFALLSIELTVILILSFANPIRKLMVKVLDLLKRGRGPLIIKTIATTVFIVFGSTIYTILKIHKRSMDAGMVNPTEEVLMAHHLLEASLMGFSLFFGLMIDRQHYYIKEITSLRKNVEKSKKQIHNHEPPKRREIEETEKKKDN >RHN80072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34796733:34802991:1 gene:gene3948 transcript:rna3948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lipoprotein lipase MNTRYVKQLLRNIYCTKYFLNREEPYRNYIHSVFNRLQLQRSRADKVEKDKLKDEQEKSQFSLKSNVKKADDNNGISKYSSDEEDPSDNKWKLELAWLTKALEPALQFCRWALPTGDGIGDKIPPNNRSLTEIIAYIQRSKIGIQDWSLSDLTIGLYLIYLRQASTYPFEDVEGIQISSESIVQDLIYHIELAKGAYKDNPAILARTSMLRESNVIKFVSNSSVMRPAYYIGVDPRKKLVILGIRGTHALYDLITDIVSSSDGEVTYEGYSTHFGTAECARWFLHHEIGNIRKYLQKHEGFRLRLVGHSLGGAIASLLAIMIHRKSSKELGFSPDIVSAVGYGTPPCVSKELAESCAGYVTTVVMQDDIVPRLSVASLSRLRNEILQTDWMSVIEKEDRKRLTDLVTNAKQAVSSVQDVAQKIADYANFRRNKSPSVDPVKELPVAREAPLPPKEVKENSDVQKIEETKPAVPEELFIPGTVYYLKRNLGSQNDVGKEVYTLLKRQPGEHFQRIILSGNFITDHKCDSHTYALRDVLKGLPWYGEEGIFR >RHN59098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7452397:7452779:-1 gene:gene21086 transcript:rna21086 gene_biotype:protein_coding transcript_biotype:protein_coding MQFHLVNGWRHTSHIQQILKLLYREIADSYSSSLSGIIECLHCFPCAWYVRFCKMSIFYAHWPVNLRSR >RHN79615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30610955:30611725:1 gene:gene3431 transcript:rna3431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDKTIKFVYAMILFISMFLAARNVDAYLKCKTVHDCPKSQVVYRCVGNYCRAVKIRRWNLG >RHN78432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15031856:15040519:1 gene:gene1988 transcript:rna1988 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSSSLTSPFLSLPNKTPSLTHFQSHPPLSFPKLHPKLSISTVASASSSKNSSNNTNPNNQSKEEIIEVEVEEELPWIQEKALDLVEFTGSVTQAIPGPRVGPTSLPWILAVPLGYLGLTFVIAFVKTVKKFTSPKAQRRKLVGKNAMLCKSVDELLQRGRDEIKVDDLKAIENKTGFGLEEILRKYIRYALNEKPFNPDVVADLIQLRRASSLSDSQAAEILNEISRRIVRDKGPIVMNKSGYTEKGFKRKLAVQALFGKVFYLSELPEFCSKDSSLVVKEIFGVTDDDAEQLRIHTTSEAGNLDSLEKMADISDSEDSSEAS >RHN53431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1621149:1625075:1 gene:gene28335 transcript:rna28335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease P MAAESRKRAMEALERRIQTEHKLKEKKNKRDINHEHILKEKKIIKSINEDVKSPIPPPSTSNDPSLPLCRPSLDTPNKGNFGLFGRAISQEKEDGPEYAQLSVAVNENLLTTNGEFSSERGGSVSGILHELLQKGDAAQKYMQGSRSMRIDSYILLDNFVQGRALSSSSQTRALQLHSKRSKKHMSMKQHKKHGSMDLPKEFHKFDIFKPMHDMWKDYIKLLLKSTGNNQLAQCLLGADLHGAIILVVECKLTHFIGTGGIMIRETAEAFGIITEDNKFRGTLTHTFSYALLRTLEMEMLFMLNCQVL >RHN55720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21663627:21668778:1 gene:gene30948 transcript:rna30948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thebaine 6-O-demethylase MLKFGTSLLVPSVQELAKKNTTEVPEQYLHPNQDPIVVSNTTLQVPVIDLSKLVCEDATELEKLDQACKEWGFFQLINHGVNPSLVENVKIGVQEFLNLSVDEKKKFWQTPDDIEGFGQLFVVSENQKLEWADLFFITTLPSYARNSRLFPNIPQPFRDNLETYSLELKKVCITIIKHMEKALKVEPNEMLELFDDITQSMRMTCYPPCPQPENVIGLNPHSDAAALTILLQANDVEGLQIRKDGQWVSVKPLTNAFVINVGDIFEILTNGVYRSIEHRATVNSKKERISIASFHRPQMSKVIGPTQSLVTLERPALFKTLTVEDYYKAFFSRKLQGKSCLDLMRVQNENSK >RHN46821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35139505:35140224:-1 gene:gene41352 transcript:rna41352 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRQLKTQKSTKKDGRSKQIGNARKATQINKTVHSEEGNSQVQNVKNVARETSPFKPHDTPPSTVNECDTSTKGKNMEPDYEVLQKNFAQEEPKPGSATSVAYGVQERDQKYIKKKKSCILMYKHAVLSNTGKCDNKHPFAGKDKEGREQGDVAFNGGNNSSCHNYNETDSDMDDEKKNVIELVEKAFDEILLPEAEDLSSDDRSKSRSYGSDEVLLGFVWEFEGEGFEKKGRSKWKK >RHN74547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34611240:34613116:1 gene:gene10635 transcript:rna10635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MSLSPSFMASSTIPHHFYSNYTSDFTTQQGFSSSIMDNTMMWGCQENIMPVYENYGAFDQIVSLDCDASASWIPNLVDQQDFAVPALLSDCKMGFYGGGFQNFNGRYNNNQPHIIGHEFVEDQCCGLVEDVKPPTTYPNVARENWGIQGNQLAAVEEPNIKVGRYSEEERKERILRYLKKRNQRNFNKTIKYACRKTLADRRVRVRGRFARNNETCEEDHMATKKLENHHDHINEDFYGADSFQFQLKNEEEDWLQEAMASLVYLSHSSPEDM >RHN52796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38975354:38975878:1 gene:gene37508 transcript:rna37508 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MQFYDKCLSLNSYIRFLFFKCLFHPLWIAANQHLHEKAQAYLRKKGLSSADKKSGRRVAEGRIGTYIHDSRISVLIEVKCETDFIGRSEKFKELVHDLAMQREDLASKAENRREKIVEGRISKRLGELALLEQPFIKDDSVLVKDLVRQSIAAIGENINVRRFVRFTLGETIIA >RHN58991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6453774:6460338:1 gene:gene20969 transcript:rna20969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MATIDGETFSAYVTLKDKVREIMFNFSTIMVKLDISVLERLMITLSILQEQQFINQYVNECLVNLSDAILEIKVEVETVTRTSQVLKNLSSHHKRLNGVINSKLQKLIERLEWFRSVAESKLDVSNDKSSIYGRDNDIKKLKNLLLSEDASDGDCKVRIISIVGMGGVGKTTLAKLLYNNLEVKERFGVRGWVVVSKDFDIFRVLETILESITSQGISSGNLNSQPLEFSNTKINDTSDMHRNLLLVKLQQILSTTNFLLLLDDVWDTNSVDWIYLMDVFNAGKMGSRIIITTRDERVARSMQIFLSVHYLRPLESEDCWSLVARHAFGTCSDIKQSNLEEIGRKIAKKCDGLPSAAIKVGALLRTNLSPNDWNYVLECNILKLIGYGLHANLQLSYSHLSTPLKGCFAYCSIFPKESVLEKMRVVQLWIAEGLVESSTDHASLEKVGEEYFDILVSRSLIQRRSIDDEEEIFEMNNLIHDLATMVASQYCIRLDEQIYHVGVRNLSYNRGLYDSFNKFHKLFGFKGLRTFLALPLQKQLPLCLLSNKVVNNLLPKMKWLCVLSLSNYKSITKVPKSIGNLVYLQYFNLSHTNIERLPSETCNLYNLQFLLLLGCKRLIELPEDMGKLVNLRHLDVNDTALTEMPVQIAKLENLHTLSNFVVSKHIGGLKIAELGKFPHLHGKLSISQMQNVNDPFEAFQANMKMKEQLDELALEWNCCSTSSNSQIQSVVLEHLRPSTNLKNLTIKGYGGISFSNWLGDSLFRNMVYLRISSCDHCLWLPPLGQLGNLKKLIIEGMQSVETIGVEFYAGDVSSFQPFPSLETLHFEDMQEWEEWNLIEGTTTEFPSLKTLSLSKCPKLRVGNIADKFPSLTELELRECPLLVQSVRSSGRVLRQLMLPLNCLQQLTIDGFPFPVCFPTDGLPKTLKFLKISNCENLEFLPHEYLDSYTSLEELKISYSCNSMISFTLGALPVLKSLFIEGCKNLKSILIAEDMSEKSLSFLRSIKIWDCNELESFPPGRLATPNLVYIAVWKCEKLHSLPEAMNSLNGLQELEIDNLPNLQSFAIDDLPSSLRELTVGSVGGIMWNTDTTWEHLTCLSVLRINGADTVKTLMRPLLPKSLVTLCIRGLNDKSIDGKWFQHLTFLQNLEIVNAPKLKSLPKEGLPSSLSVLSITRCPLLVAKLQRKRGKEWRKIAHIPAIVIDDELIT >RHN57717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41275579:41277392:-1 gene:gene33300 transcript:rna33300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine--tRNA ligase MTIRKKVFSVIEEIFERHGATVLDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYRRDNPSKGRYREFYQCDFDIAGSYEKMAPDFEVVRILTELLDELNIGDFEVEEKGLSAETADRIGTFVKEKGHPLTVLSKLKQDSSPFLENAGSVDALNDLEILFKALDNSNRLDKVVFDLSLARGLDYYTGVIFEAVFKGGAQSLHPLRVTMHYLLLYGLYTGWFNCCWWSI >RHN40657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18908702:18909504:-1 gene:gene46842 transcript:rna46842 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHCFFSYYESENRAHDGPVEGFHDDVVANILSKASTRSTKPAKEEKEYMKETSVDHHKDNDKSFVNIIENVEALLSQVENLKTRIDTVKYENRGKFCSATQSNIVGQSNGFNRSVHNSASFAGKEIPVPGSFANASSQHKSELYVEDQLMTDNTLSTREGITPESANRTQFEVQGENVSFIL >RHN69047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40576306:40577357:-1 gene:gene17474 transcript:rna17474 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVIKVSIMNSHKSRSKAMKIAVGVSGVESAAVKGDSKDQIEVTGEQIDAAKLTCLLRKKFCHADLVSVGEVEKKEEKKEEAIVAWPCVAGYPHYPVPVCEIRDDPSCSIM >RHN41564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31088744:31089342:-1 gene:gene47898 transcript:rna47898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative caffeate O-methyltransferase MKKVTIFNFLVRRKLQFLNGLEDVYNKLQSPSYYSRWILHDWSDEHCLKILKNCYDAIPNDGKVIVLEAHIPIVPENNYASKSTSQLDVLMMTQNPGGKERTKKEFIDLATRVGFRGIRCECCVRNFWVMEFFK >RHN38561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:254339:255702:1 gene:gene44514 transcript:rna44514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MDDEAMKELVRGHELAKQLGQHLSNDELFAQHLVNNVINSFTNTLFLLNNKHHQREQNFSLTIKSEEDSQDSCKTNSTPGARGSHKRRKTRETCEEVSERPTDDGHEWRKYGQKTILNSKYSRDYYRCTHKIDQRCKATKQVQRIQEKPPLYKTTYYDHHTCNKDNIIIFEPTSPHDHILLSFNNTFPTPTQQDCPFLSSSTSSSSFNSSHSVSVDEYLLSPQPILDNSISTRHVLSTISSSTTLESDDHKDMMIMYGLLYDSVELDTDFLHPFHGFQL >RHN71073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56287268:56291846:-1 gene:gene19716 transcript:rna19716 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-acylglycerol-3-phosphate O-acyltransferase MAEEIRQKDDVDSSSKSKSFWSSLRWIPTSTDHIIAAEKRLLSIIKTGYAQEHVNIGSGPPGSKVRWFRSTSNEPRFLNTVTFDSKPDSPTLVMVHGYAASQGFFFRNFDALASRFRIIAVDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLTNFILLGHSFGGYVASKYALKHPQHVQHLILVGPAGFTEETDPKTEFVTKFRATWKGAVLNHLWESNFTPQKIVRGLGPWGPNMVRKYTSARFGTHSTGQKLIDEESSLLTDYVYHTLAAKASGELCLKYIFAFGAFARMPLLQSAQEWKVPTTFIYGYEDWMNYEGAQEARKHMKVPCEIIRVPKAGHFVFIDNPSGFHSAVFYACRRFLTPNSDNESLPEGLSSA >RHN39382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6656272:6657302:1 gene:gene45400 transcript:rna45400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MSIIPQQNPSKDNKWLDDMKGSISLTASLIATLTFSLATNPPGGVVQASLDDSNYCSTILNTRMEWSLQTPFGILLGLGSVKLLFLGFS >RHN80997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42486342:42487370:-1 gene:gene4985 transcript:rna4985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MVKMHAILSIATLVIVILSVSTTLASSTSLKYGFYKTTCPSAEAIVRRAVNKAVSLNPGITAGLIRMHFHDCFVRGCDGSVLLDSIPGIRSERDHPANNPSLRGFEVINEAKAQIEAACPKTVSCADILAFAARDSARKVSGGRIDYSVPSGRRDGRVSIFDEVTQNLPPPTFSAEQLIDNFDRKGLSVDEMVTLSGAHSIGVSHCSSFSKRLYSFNATFPQDPSMDPDFARLLRSKCPPPQSQQSQSQIQNLDSTVAFDGSTPNDLDNMYYKRLKNNRGLLTSDQILVNSGLTKRMVLKNARHAAIWNVKFAKAMVHMGNLDVLTGSQGEIREYCSVVNFI >RHN45142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12254662:12260831:1 gene:gene39358 transcript:rna39358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M48 MASVSFFSQPLAFVASPSDRARFGASSKIRFIRVHNNHKPAGLTVSRAAASSSSSSAAALVFRDLDADDFRHPVDKENTMMLRAIPGLKQVGKALLGTVTEQVMLLENIGTSVLVSKNQLSDLHTLMAEAAEILNINAPDLYVRQSPVPNAYTLAISGKRPFVVIHTSLVELLTRAELQAVLAHELGHLKCDHGVWLTYANILTLGAYTVPGIGGLIAQTLEEQIFRWLRAAELTCDRAALLVAQDPRVVISVLMKLAGGCPSLADQLNVDAFLEQARSYEKAASSPVGWYIRNAQTSQLSHPLPVLRASEIDEWSRSSAYKSLLKRGTPIRSHQQKKF >RHN57298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37933600:37934112:-1 gene:gene32821 transcript:rna32821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MCRVKAKPSATKEIRYRCVRKTKYGCNCAKIRDPWRKPYVWLGTFDTAEQAAQAYDATATSYRGNNAITNFPIPYNAANSVVEASRVAVGSSSTVVSRVPVATSIPAIVGEDRHNDFDASSVDVDDDEEDCVITSSFRKPLNIDLNFPPPPNFNDDEEIRATTLRLSLPS >RHN76199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48482130:48486616:1 gene:gene12489 transcript:rna12489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructokinase MASSNGIPTTGTGLIVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVSRLGGKAAFVGKLGDDEFGHMLAGILKENGVVAEGITFDQGARTALAFVTLRADGEREFMFYRNPSADMLLKPEELNLELIRSAKVFHYGSISLIVEPCRSAHLKALEVAKEAGCLLSYDPNLRLPLWPSADEARKQILSIWEKADLIKVSDNELEFLTGSDKIDDATALTLWHPNLKLLLVTLGEHGARYYTKNFHGQVDGFKVNTVDTTGAGDSFVGALLAKIVDDQAILEDESRLREVLKFANACGAITTTKKGAIPALPKEEDVLNLIKA >RHN67854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30912829:30914475:-1 gene:gene16097 transcript:rna16097 gene_biotype:protein_coding transcript_biotype:protein_coding MLCKQLEGSTSEKNVASTLSTISETTRNELPIQLVSPKTKAFPFIFSSIIFYSFSMKELTCTTILNFHFYFKQGIELRVEEGTTSANAKIITPSLHSKSLSSSLGQLDTSKHKTTSQDDGDGRRVVASPLTAITKPLTTQDVDVKIWQETSKTKDDQVSLNDDAVIKVSSNIENQFPNDDEFRVSEPKPSPSNKLPKHLAFQTPSIPSEGNSSQIMEKSSSPSIVMSKLRQLVSENYLDYENLSLLTDFLVKHPSLLLKDTSLSNRYKGYAYGCLAELLQFLQTHSVFDVLGSSRSKFVKLLQDVRSFAFDKAWLDSLERRALFSDIQVSQNEFQKLLDSKQQVSKEVEVLRFKIDVLSQNVEDLKHQLTSSETVLKSIIQKEEQVLETRAALSAPLGY >RHN66138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8952384:8953975:1 gene:gene14060 transcript:rna14060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEIFKVFYTLIIFASLYYVVALVQNECVTDGDCRRLYPHLIPRYPMCNEGTCVCIFE >RHN50469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7362284:7362890:-1 gene:gene34752 transcript:rna34752 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLILPDILGPLNHVLELHHYLSIPFTNIFFLSLCLLDANNLPFKTLTYTSNT >RHN78725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17821571:17824352:-1 gene:gene2371 transcript:rna2371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MAKDYPDFFKVFSTEKHTERMRIPNAFVNLMRSKRKVIKDFILRDRRGRDWHVKARLIGDELYFDGGWKQFREENSLEEDDFLVFTHIENTVFRFKILELSSMCEKKKVSVVEENNNMEDEEEVNDGDDDDEDDDYEYDAAAAADDDGDDDDENERMYKEISRSKHQHCRTCCKCKAGNIGSSSARSKLEDDEIDAEIYIQPGNPHFIAKYIHSRPNELFIPKNVIKDFCLCFTKHVTLECCHCKDIQSNDLAAYHHILPQISTIHIEKRGQVRTWRDGRVRVLGWEDFCRKSKITENDSCLCEIVLREDGTIRILRVHVVRKE >RHN39292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5629393:5629854:-1 gene:gene45302 transcript:rna45302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin synthase MTRLSQSAKLVFIKLLHINWKMCVLLLFNLQVRDNPSGDYESMYRHMSKGSWTFSDQDHGWSVSDCTAEGLKCCLLLSMLPPEIVGKRWNLKGYMMRSISYFLFRQVQHTIALSKIFLTT >RHN61351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35013752:35018558:1 gene:gene23806 transcript:rna23806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbohydrate kinase, FGGY MSLLRYPCWKIYPQFFYVLQVGYDPEVDSYPSWLVSQPYSHLLPSILAPGTPIACLKEELSNKFGFQKECVVCTGTTDSIAAFLAARATQPGKAVTSLGSTLAIKLLSNTRIEDSRFGVYSHRLDDKWLVGGASNTGGVVLRQLFTDDQLEKLSEQINPSQISSLDYYPLPKAGERFPVADPDLVPRLLPRPENDVEYLHGILESIARIEAKGYGLLKDLGATAVDQVFTAGGGAKNEKWTKIRERVLGLHVSRANQTEAAYGTALLAIKGDQQNIL >RHN39807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10689507:10690010:-1 gene:gene45875 transcript:rna45875 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVRLRSSFNQGSEVTINIGVVNVHDTKGVENNPFHVLMAFIFFVLIGFLQIRYPENPTSFEVHPKTMFVSMASFLLYCLAFWIKIKFATRVFEGKIDTLLQMFGSLSIISLVMMFFPKSWGVVGYIVIYTTWFISHVFVMIIGLRPHIRRKLRPILPNTSIDLG >RHN59335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9669291:9672783:1 gene:gene21348 transcript:rna21348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle-associated membrane-protein-associated protein MGEPVSVVKLKNQEDSLYLQIHPQELHFPFELKKQISISFQMSNKSDNYLAFKVKTTIPEKYCVRPNNGVVLPRSTCDIQVTMQAQKKAPPNMHCIDKFLIQSIVAKPGATTEDITSEMFFQDSGYKVEMCKLRVVYDAPPPNLPSPAQASEFDLLDIQAKDQISKLTMEINDGNEKNKKLQQELNIRKRMDKDECCIL >RHN50622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8620808:8622670:1 gene:gene34930 transcript:rna34930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MMGVCTLLRRKRKVSKVMFLFYQAITTCLPKITKTFHHRDSMRTTNAAVHLRRHTSPPPFTLILSSRDSISSLNFSIWNFFFIVAIVEKKMGAPKKSAARVSEDHDELVRVPLQAIMLADSFTTKLDLSLLNAPKLYVLLPLVNVPMIYYTLTWLESAGVEEVFVFCCAHAKQVINYLEKSEWFNQPNFTVTTYEARRLLGLGVSQCRTRVVSDTDTTPTLVITPNYVIFSNY >RHN81177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43858868:43862564:1 gene:gene5183 transcript:rna5183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB family MGPMEYLKGDLKVSEKWMQEQNNATVLRRPPRKPSDNGYIPFPSSAFPTHPPHPLLSTPILAFKYSLNYFHKSHYFHSPFLSIPHFTSFSDPANNEVPQNHKIEVLSKTVYLEFGYNKRKRWSDEENNVLKSFLDAKSWKDINWRDIGNEEKLFGRDTEAIRDKARKLFFKKERQEKGFLESRRKRKGYRIMANSNSANNVVPQNRMIEEQSEEVNLKIGYNRGKKVKKIAENCELPWDVLNIISKTLDFDDLFQFAVVCKNWRAFYWRNLLVSQEPLLLQVSYNRHEESYSFISLPDRKIYLLKMMNFISYVYVTSSSGYFIMAGFCNSFLLINPFTRIKKVITASTFEVVPDMFDNHALLAFGK >RHN80890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41602963:41606995:-1 gene:gene4868 transcript:rna4868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VI-2 family MTKSMNLSRALCFLIVCFLFLNLNLCCYSLNEEGNSLLKLKKRIISDPFGALSNWIDDEVSVDPCDWFGVECSDRNVVVLNLKDLCLEGTLAPELVNLVHIKSIILRNNSFYGTIPEEIVDLKQLEILDLGYNNFSGHLDANFGHNITSLAILLLDNNELLIGFSPKINELKMLSEYQVDKNQLINADKMSSCSERSITWHVHENEGPRSLQEYHQHHRRPYQYRHNRTSPLYRSFPSHSSSPSSDSPIQNASESPNKNASDSLPPLSKKNQVPIFAGVIIGGAVFLVISSIGIYLCKTNKLAIVRPWSTGISGQLQKALVTGVPKLNRSDLEAACEDFSNVIGNSPIGTLYKGTLSSGVEIAVASVSVTLSKSWTRTLETQFRKKIDTLSKVNHKNFVNLIGYCEEEEPFTRMLVFEYAPNGTLFEHLHIKEGEHLNWGPRLRIAMGMAYCLQHMHGLDPPVVLINLNSSSVHLTDDHAAKTSDLSFSNEIDSSEKKSDGRKHIDMMQSASPSSNVYSFGVLLFEIVTGRIPYSVDNSSHENWASHYLKWDKPLKEMVDPTLASYQEDQVEQVAELIRVCVDPDSDKRPTMKEVSEKLREITKMSPEIVVPKLSPLWWAEIEISSA >RHN78163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12405534:12406493:-1 gene:gene1688 transcript:rna1688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain, reverse transcriptase zinc-binding domain-containing protein MKIPPRVCSFLWRLAHQCLPTRVNLTTRGISCDDTCVMCESLAESHMHLFFVCTKAMDCWDRINLGNHIRELLHRANDFTTMLFDFLDRLPSYQQHAAAMLLWSLWKSRNIKLWDSLDTTTASTVSRAKDTLHEWRCMQRARPQPQNQDHIISWKKPPEGVLKCNIDAATFSHNTVTGFGMCFRNFRGQLLMGKSALLQSFGSVLEAEAVAMLDALHTAITAGYHDVMFETDNKILVDAINSSFVYLNEFGNIVSQCRDLLFSNSDFVVSYVRRQANRVAHNIARASYSQSSPHIFYNVPLTLHSLIMNEMH >RHN72361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7059031:7061296:1 gene:gene8064 transcript:rna8064 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKCIFGLTMSLTESGVLRDFGESYILKLQQNHVNVILVNAQIVVDLEYKNYNIC >RHN71347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58444396:58448157:-1 gene:gene20020 transcript:rna20020 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPNVNMQHYVGDYVHVDMLAWLYPNTLRYCGMKELSKCVMRTC >RHN73871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20884083:20891044:-1 gene:gene9756 transcript:rna9756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Snf7 family protein MNIFKKKTTPKEALRQSKREMSVATRGIEREIASLQMEEKKLVAEIKREAKTGNEASTKILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMAPAKQAKVIQEFQKQSAQLDMTIEMMSESIDETLDKDEAEEESEELTNQVLDEIGVDIASQLSSAPKGRIASRNAENAAPRSESQDVEELEKRLASLRRI >RHN42284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37106748:37110457:1 gene:gene48708 transcript:rna48708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MANRVPIPANNSSLIAMIADEDTIVGFLLAGVGNVDIRRKTNYLIVDSKTTVKQIEDAFKEFTTREDIAIVLISQFVANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFNAESVAGDRR >RHN47439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39753991:39757106:1 gene:gene42040 transcript:rna42040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MEEPIVVNKGEDQTLDLPPGFRFHPTDAEIIVCYLTEKVKNSKFSATAIGEADLNKCEPWDLPKKAKMGEKEWYFFCQKDRKYPTGMRTNRATESGYWKATGKDKEIYHKGKGIQNLVGMKKTLVFYKGRAPKGEKTNWVMHEFRLEGKFATHNLPNKEKDEWVVSRVFHKNTDVKKPQISSGLLRINSIGHDDLLDYSSLPPLMDPSYTNDDFKGITTNQQISSTKSQSDGYYLPSFSINNNQHQFLIKPEDNYHRINYDQHEINPTMMNYTSISNQSNLNNPIGNTLPQPQIRIQNPNLNYFMYQNRMQSSMPTNVYGSGKNNECKVEQFSSNQSQDTGLSNDTSSAVSKLDMERNRALYDDLEGPSSVAPLSDLDSFWDY >RHN68442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35581242:35581973:-1 gene:gene16800 transcript:rna16800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MWLSWLGLSRGNKRDKPVRTTAPALTIRPCPYGSFHSFKDIQTILQPEPEPEPGSPKTPPSLFRRISFSPSLLRPVTIRSTITVPSTFDHGGVVVYYTSLRVIRRTFNDCRTVISILKRFSTAVDERDVCVDEKFREELQQILSRRNVPLPCVFIGGEYIGGVDDLKKIYDSGELQEMIERLPKTLPNSCDFCGGMRFVVCDECYGSHRVFVEKNGFRTCLTCNSNGLIRCPACFFDLPRYTK >RHN54449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9687237:9690523:-1 gene:gene29493 transcript:rna29493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stearoyl-[acyl-carrier-protein] 9-desaturase MAKRCPFPLSILLASASQQYTHTHTHTLSLLSFSQIRDSQIHLLLLQSNPTMALRATPFPTQTSSFSLPQMASLRSPKFVMASTLRSGSKEVENIKKAFTPPREVHVQVTHSMPPQKIEIFKSLEGWAEETLLTHLKPVEKCWQPQDFLPDPSSDGFEEQVKELRERAKELPDDYFVVLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFISHGNTARLAKERGDLKLAQICGLIASDEKRHETAYTKIVEKLLEIDPDGTVIAFADMMRKKIAMPAHLMYDGRDDNLFDNYSAVAQRIGVYTAKDYADILEFLVGRWKVADITGLSGEGRKAQEYVCGLPPRIRRLEERASARAKESSKLAFSWIHDREVLL >RHN64207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57270936:57274888:1 gene:gene26996 transcript:rna26996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MTIEQHKDVESGHENTHRDLQEPFIQHGKDATVDYHDIESNKRAENGSIGMVLLSTFVAVCGSFSFGTCVGYSSPTQAAIRADLNLSISEFSMFGSLVTIGAMLGAITSGRITDFIGRKGAMRLSTGFCITGWLAVFFSKDPYSLDIGRFFTGYGIGVISYVVPVYIAEIAPKNLRGGLATTNQLMIVIGASVSFLLGSVLSWRKLALAGLLPCLSLLIGLCFIPESPRWLAKVGREKEFQVALRRLRGKNVDISNEADEILDYIETLQNLPKVKLLDLFQNKHARSVVIGVGLMVCQQSVGINGIGFYTSETFVAAGLSSGKIGTIAYACMQVPFTILGAILMDKSGRRPLITASASGTFLGCFMTGIAFFLKDQNLLLELVPILAVAGILIYVAAFSIGMGPVPWVIMSEIFPIHVKGTAGSLVVLINWLGAWVVSYTFNFLMSWSSPGTLFLYAGCSLLTILFVAKLVPETKGKTLEEIQACLNSSI >RHN57192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37219496:37220026:1 gene:gene32701 transcript:rna32701 gene_biotype:protein_coding transcript_biotype:protein_coding MFAILSFDNSGSKYPNAPAVAITLELAAVVIKLDRPKSVILAFVFSSSNTLLEVRFPCIFSCEYRYITSHEPCSMFAFVDSIDKFHGKRQKELQQYQNQILMHL >RHN80393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37681413:37688652:-1 gene:gene4318 transcript:rna4318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MNFSLGQVNNLQCWLLVQMVHFSLNETVKWNIIGDKSMVSIGNNDVICLVFLDVGSDAANASQVGFVNIKCTYFSMLAAIFTSQFLLYFKLQIIIIDFKFKCTNIGHCIFFYFLVMELEASNSSTTSTYNRIAEVKAFDESKAGVKGLVESGTCVTKIPRMFHFPKSSLKNNTHETILQIDSSSKLCVPIIDLQDMNTNPCLHVEVVDKIRSACKEWGFFQVINHGIPVSVLDEMTSAIRRFHEQEVDARKPFYTRDTSKKVRYFSNGTLFRDPAANWRDTIAFFTSPDPPNPEEIPQVCRDIVIEYSKKVRALGLTIFELYSEALGLHPSYLTKLLSTYGQFLLCHYYPACPEPELTMGTSKHTDIDFMTILLQDQIGGFQVLHQNQWVDVPPLHGSLVVNVGDLMQLITNDMFTSVYHRVLSKNIGPRISIASFFVNPSSEEVTSKVVAPIKELLSEENPPIYRDTTLKEVLAHYFTKGLDGNSSLHPFRL >RHN66155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9156199:9156576:-1 gene:gene14082 transcript:rna14082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MKFFISYKTMSLLGFFLIMVTLVSQVQSSPCSSTFFSSLVQLIPCRGAVAPFSPIPPNDSCCNALRALGQPCLCVLVNGPPISGVDRNMASQLPDKCATSFDPCVFLVSLYPLSSIFVYFEKWFF >RHN53072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41751765:41752892:-1 gene:gene37823 transcript:rna37823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MNMFHKDLVSNSHRCSYYDGASILLYSIEHYKDVLYSLFGERFLNKIKLDFSNLFEEDIYNHIDILGFGSINGTLCLHQYETDNYGKTDNYGKTVLWNPTTQTIKHLPPSMDESIVTREDEDGFFDISVKARLHGFGYNHVTNDYNVIRHVKVFIKPNSSTDYGGNFKEIVSYRFGDINSPKWEIYSLISNSWRELDAVMPYSMECKKGTQVYMDGVCHWLCKQYKKYRHNKNYRPVGPCLVSFYLSNEASFTTPIPPEVDDCFDDSAKWINLVVLNGSIALITYHKEMTTFRVSILGQLGFKESWIKLFMVGPLPYVERPIGVGTKGKIFFIIKDKEVAWFDLSIHMIEELGYRAETIRCRIINCKESILPFEE >RHN62313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42551792:42555009:-1 gene:gene24875 transcript:rna24875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(+) diphosphatase MNSILRTITTSLLPRTVSVTKVMDSSSNVTTSGSQRLLALAQHLRLYKPPPPFPEDVLEQSIEEESGGKVVSQLGFPESATMIQNPEKFSPKKAAVLICLFEGDDGDLRVILTKRSSKLSTHSGEVSLPGGKAEEGDKDDADTAKREAEEEIGLDPELVNVVTVLEPFLSKHLLRVVPVIGILHDKKAFKPVLNPAEVESVFDAPLEMFLKDENRSQEEREWMGEKYLIHFFDYIDIEQKNYLIWGLTAGILIRAASIVYKRPPAFVEQNPKFKVPQVVSKDSSMT >RHN67278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25459173:25463633:-1 gene:gene15455 transcript:rna15455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif domain, nucleotide-binding alpha-beta plait domain-containing protein MLGRSDALRSNLTKPTSCLIEATTGLCLNFQIRFMESDLGKLFIGGISWDTDEERLKEYFATYGEVIEAVIMRDRATGRARGFGFVVFSDPAVAERVIIDKHIIDGRTVEAKKAVPRDDQQNINRQTGSVQGSPGPGRTKKIFVGGLPSTITESDFKMYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLYKTFHELNGKMVEVKRAVPKELSPGPTRSPLIGYNNYSLNSRASSYLNNYGQGYSMSPIGGFGVRMDGRFSPLTGGRTGFTPFGNTGYGMGMNLESGLSPNFGGNSNFGNNLGYGRIFSPFYSGNSSRYATPIGYNGGNGRGDSLMNSTSRNVWGNGGLSNANNPVSPGAFLGSGGGAFGVSIGNSGTNWGAAIQGQGGGAASGYATGNNVYEGGDSSFGLGGVGYGRNSNAVANPSSTFNASAGGYDGSYGDLYRSGSGSVYNDSAWRSATSEIDGSGSFSYGLGGIASDDPVKTSEGYIGSYNVASRQPNRGIAA >RHN77355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6248193:6249159:1 gene:gene801 transcript:rna801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MIKIVSKALEVHQLCQGNRKKAKWFRPKPRKQPNGNDCGYYVMKNMLDIISANITKSWMEVFNDPMALTEDDLYDLRNQWATCFLDLYNA >RHN69394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43110211:43111581:-1 gene:gene17859 transcript:rna17859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAT/LH2 domain-containing protein MANPTTLFALFFLLSFCFAGTVTSDDCVYTVYVRTGSIIKAGTDSIMTLTLYNADGYGILIRDLEAWGGLMGSGYNYFERGNLDIFSGRGPCLDGPVCNMNLTSDGSGSHHGWYCNYVEVTTTGAHIPCAQQQFEVEQWLATDTSPYELSAIRNNCQYNNLGQAHHKLKTVDAVSSESGSDFSILASTVHV >RHN50543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8028854:8029302:-1 gene:gene34840 transcript:rna34840 gene_biotype:protein_coding transcript_biotype:protein_coding MWLWYSNHFDDSYKRETYRKTVLEMSILDGTYENMKDTCNMFIWADEVEEIDDTDEIEDVDDAGGKDVSVIALGIAEEARRKNVKLYTRFNQERLKGKVTLTLLIVSLIINLVLVMKLMF >RHN65269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:694441:699231:-1 gene:gene13090 transcript:rna13090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor and/or regulators TTF-type(Zn) family MQNFLKRSRPSHEQGSSSQHVSCNLEELPSDPGKRPKMSTYHPNDQEIIRRAYLQKGPCQPNQHNFPQRKIGNSMRRFCPSWFNEFGNWLEYSIEKDAAFCLCCYLFRPDFGKQAGGDTFITEGFTSWNKKTTLSSHVGAGPNSTHNIAWKKCGDLMKQDQHIEGWSCLFISIEG >RHN65932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6646317:6647084:1 gene:gene13830 transcript:rna13830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MATSLRFFFLFTVTFIFAQFFTTNGMFSEQSNIMLPTNKQSTEKLTHLHFFYHDILEGKNPTVVQIIDPSNTIGFGASYMMDNLLTEEQEITSKPVGRAQGMFGLASLHDRGMVMLINLAFTEGDFAGSTLSMLGRNPVQDTVRELPIVGGTGVFRFARGYAIAKSVWEISTSEHFVVEYDVTVSHP >RHN38949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2996041:2996761:1 gene:gene44926 transcript:rna44926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glyoxalase/Bleomycin resistance protein/Dihydroxybiphenyl dioxygenase MDPQLSAVGIILYVEPEKVNDAVAFYKAAFDAVEANDPYFAAQVIIGGTVYLIEYHEDPSDLTGSATTVGVADVAATVQKAVSAGAVEEELDEFAPTYAGQHIVKLRDPFGYVWYICEGKSLAKLQ >RHN46250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30004375:30005820:-1 gene:gene40716 transcript:rna40716 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MGFMSLGADHSSLRPPSCPTLSDCIKPTGVQLSILYLGLGFFAIGSGCLRPCNIAFGADQFDTKTEKGKAQLESFCNWWYFLFTVALLIALTGVVYIQTNVSWFIGFIIPTGCFTVSSTIFLLGQCTYIKLKPKGSVLSDLVKVIVASIRKHHIDIKKDSELSFYDPQLSSNESEDSRNVKLAHTNRFRYLDKAAVITNQNEIDSNGNSIDNWRLCSLQQVEELKAILSTLPIWLAGIGCFISMGQANSYGILQGLQIDRSIGTKFIIPPAWMGLVPMIFLSSWIVLYEKIYIPFTKTATSNGKRLSIGQRITIGIIFSIVGMVVSGLIEVRRRDNALKHGTFQSPTRIWWLIPQFGLSGLVEAFAAIPMMELLTSYWPDSMKTLGGAVFFLSLSIASWLSNLLIKIIVALTKGNGGPQWLGGNDLNKNRLEHYYYTIAAFGVLNLLYFVFFARRFLSSDVLQRQTRSEARDSDLSTLSEL >RHN62414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43256867:43260257:-1 gene:gene24988 transcript:rna24988 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGKFDPRHTGELLKHMDKQNEVLMDAYRSMLHELQTLQVEEEMLMRKLYEVMSVHGLTKQNEGNSNTSHNNAGAEQSNNEVNTTHEEQ >RHN68504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36047026:36050644:1 gene:gene16867 transcript:rna16867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LysM domain-containing protein MQNKNILLLFLLFKLLITTTSKSTIEPCTTSDTCNSLLGYTLYTDLKVSELSSLFQIDPISLLTANSIDISYPDVEHHILPSKLYLKIPIQCSCIDGIRKSVSTNYKIRPSDTLSSIADSIYGGLVSSDQLREANSVTDPNVLDVGQNLVVPLPCTCFNGSDNGLPAIYMSYVVQPLDSLNNIAARYFTTLTDLMNVNAMGTTGISAGDILAIPIPACASKFPKDSADFGLLVPNGSYAITAGHCVQCSCGPRNLNLYCMPASLAVSCSSMQCKSSNLMLGNVTVQQTSGGCNVTSCTYDGIVNGTIATTLTPSLQPRCPGSQEFPPLIAPPTVVPRESLFAPAPSPSSLFDGTDGRSPKSSVVPSTGFTPALGPSGISSGASAACSLVKPLPTLTLTLVLLFVKLMIPVAL >RHN39022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3590251:3590544:1 gene:gene45007 transcript:rna45007 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRDSPVNVLSIWLRKRSMKVKIFLGILLAFSALVALKFTIRDPNFFFQVSETIHIVGLIVLIYKLYAQKTCSGKFFNFSSSVGHCLDFFFFLGI >RHN47063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36875966:36877247:1 gene:gene41618 transcript:rna41618 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHKWKISTTKGSYVSINLHQSLLKFLKREVELIVIKYIMLKNETKFTKPFQSYQISRCINTE >RHN81323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44862349:44862994:-1 gene:gene5341 transcript:rna5341 gene_biotype:protein_coding transcript_biotype:protein_coding MYAHRRNILPSFLSISIVGKLKQVDPKSTSFASSNLKPSLLHKLIDPNTNFQFRRLRVALNVSSMIINYSKSIFINTKSNNNS >RHN60325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25267723:25268207:-1 gene:gene22624 transcript:rna22624 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRRNCYAIKLVFVFALFIIASDMYMGSEAKGLGDEIISPINNVTITPCEDCCPSTDEKPCPDCVCCINHEDCFDYCRKVPPPTIPFCFGPLGHKFCGCGFFPSSTNNIHIPNPPITN >RHN81206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44088640:44089821:-1 gene:gene5218 transcript:rna5218 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNSSSNSSVCTKIRHAFASSPALRAIQRISSFNQEHKHVTNGSNSPPKANNVKTKPHQTKPHHKAQTETSSEVIPIKFDYSTPTYKQNGNSSAVSSVAKSAPTHVGNSERTKVSAKSELPQPQQYVPKKVVAQNGNQHGKESMDINETFKEYIQRAKKKIRTVSNIGRGQNNPAAAPDHEVHHDTTTSGKNESLEEHFQDFIHRAKKKIRATTMVGRRN >RHN42020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34814036:34815046:-1 gene:gene48407 transcript:rna48407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MEMHTLYTKSFLLPLFFLLLTSLISHSKACNVIDKEALLQFKNKITSDPSQLLNSWTLSTDCCKGWNGVTCDSTTGRVVSLTLSGTVDDGIDLPFDTYLSGTLSPYLGNLTNLKILSLIGLMQLNGPIPVEFNKLAKLEKLFLNDNKLSGDLPLEIGSLVSLLELGLSGNNFSGIIPSSIGSLKLLTSLDLKKNNLSGGVPESIGNLKNLGFLDLSGNKIGGKIPESIGGLKKLNTLDMMQNKIEGNVPVSIGGLSSLTFLRLSDNLLSGVLPSEIGNLKNLKNLNLQNNMLNGNLPASIGNLNGLRELSLGNNKFSGKIPATFGNLKDLQNVDFS >RHN45456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20952055:20953358:1 gene:gene39799 transcript:rna39799 gene_biotype:protein_coding transcript_biotype:protein_coding MIISLNCNLPQPFEIWRIPTLMDHLSFCVSLDTLPNNLESCLSQFSIVQNK >RHN61975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39696868:39697851:1 gene:gene24493 transcript:rna24493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MAPEYAVDELFSVKSDVFSFGILLLEIIRGKRNRAYYHTYETLNLVGKAWVVWKEDKALDLIDSNIGETLIISEVLRCMHVSLLCVQQNPEDRPTMATLILMLGSTEMELGEPKEPGFISGNVSTESNLKTNQKDCSSSNQMTISLLDAR >RHN48446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47511206:47514104:-1 gene:gene43162 transcript:rna43162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MFCLLFIATFCTLNFIQIVLGTICYILLITIDTNTRRVRSKETLIASKKKMNEGDTVKPPPPSYRKPVSCIIGDAYTGKTMLLGCIRVVKESRICYFYCLSGSNTPLFTSLPTVNKLCRDLNMIFVHLCSSLMMIWTPTCNFHSLEAILKIMKTPQVNIPVSAVNIGPVHKKDVEKASAMLEKKPEYAVVLAFPAMVTPGAWQLAHKLGVKIFISDKMHHLFDRFKTYMNNIKEAQKKDSADEACVLKIKPNFVFNHKDPIVLGVDVLQGILK >RHN64540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59926462:59934765:1 gene:gene27364 transcript:rna27364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MKAPNNGYMPNSGEGERKTINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKQTDFIPSYPNLPSKLICMLHNVALHADPETDEVYAQMTLQPVNKYDKDAILASDFGLKQNRQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVAKDLHDNTWAFRHIYRGQPKRHLLTTGWSVFISTKRLFAGDSVLFIRDEKQQLLLGLRRANRQQPALSSSVISSDSMHIGILAAAAHAAANNSPFTIYYNPRASPSEFVVPLAKYNKAMYTQVSLGMRFRMMFETEESGVRRYMGTVTGISDLDPVRWKNSQWRNLQVGWDESTAGERPSRVSIWDIEPVVTPFYICPPPFFRQNFPGHPGMPGNDGSDVENSFKRAMPWLGDDFGMKDASSSVFPGLSLVQWMSMQQKNQFSGAQSGCFPSMLSSNTLHSNLSTDDPSKLLSFQAPALSAPNLQFNKPNLPNQINQLQQSPTSWPQQQQQQQQPLQQQQQQQQQQQQQLQSLSQIPMNQFQQQRQQQLPESQNLTLLQQQITHQLQKQPQSSQHAIMNNGVVASNQITNQFQQPQPLAYAQLQQQQQLLQGSIPLQQSIQSASKNAFPLTSLPHDSQFHQQIDQQASLSQRQQQQTQSQQSSLQALQQSQPQRVQPNLQATQMSQQNTSEQQLQLQFLQKLQQQQQQQQLLSTSNPLLQSQLLQQQNTNQQNQQLSQLPISQHHNQQFGNNAFSTEKLLNSNNLSSSSLMQSQQLSVNQTRNTQKPLTITRVPSTLTDGEAPSCSTSPSTNNCRITQPNLLKRNQQVPTTIGGILAVEPTSNLIHDLQSKSDMHIKHEFSNVKGSDQLKYKGTTTDQLEASSGTSYCLDPGNVQQNLPLSNFCMEGDVQSNPRINLPFDSNLDGLMSDTMLSRGFDSQKDLQNLLSNYDAAPRDIETELSTADISSQSFGLPDMSFKPGCSNDVGINDTSGVLNNGLRANQNQRMRTYTKVQKRGSVGRCIDVTRYKGYDELRYDLARMFGIEGQLEDPQRTDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSSAEVQQMSLDGDLGNVTIPNQASSGTDSGNAWRGQYDDNSAASFNR >RHN48974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51496155:51498416:-1 gene:gene43751 transcript:rna43751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MSFITMGWKAFSIATTFSIFFLLPFFAFLATANDTLVPPETICKSSQYPSYCTNLLPKQNAKVHDFARFSIQKSMFQSQKFLNSLNFYLESTFTKETINALKDCLFLSQLTSQYLSKSNYVALKNTNETLYTSQVDDTQTLLSAVITNHQTCLDGLITVANSNLTIENDLISQLSNDSKLYSVSLDLFTKGWVHEKKNKTLWNSTGLHPRFKNGRLPMKMSNRTRVIYHSSRGGGETHDDDDQSGVLVRDIVVVSQDGSGNFTTINDAVAAAPNNTINATNGYFMIFIKEGVYEEYVSIPQNKAYLMMIGDGINRTIITGNHSVGDGWTTFNSPTFAVVAPGFVAVNITFRNTAGPSKFQAVAMRSGADMSSFYRCSFEGYQDTLYAHSLRQFYKECDIYGTIDFIFGNAAVVLQNCNIYPRLPLHGQFNPITAQGRTDPNQNTGISIHNCTVKPAEDLAPSVSTVKTYLGRPWKEYSRTVVMQSFLENLIDQAGWSIWSGDFALSTLYYAEFDNRGPGADTSNRVTWPGYHVINATDASNFTVDNFLQGNVWLPQMGVPYIRELTTFN >RHN70097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48728012:48729384:-1 gene:gene18645 transcript:rna18645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MEKLNFVKNGVSKLPPGFCFQPTDEELVFHYLKCKIFSYQLPASIIPEINVCKFDPWDLPGNCGEQDKYFFSSKEAKYRNSNRMNRTTSSGYWKATGSDKKVSVSSSLSNGIAGIRKSLVFYQGKSPNGSRTHWIMHEYRLVSLGTTACNQLQNYANEIGNWVLCRIFTKKRSNIENGYMMKSNIVMNTNVEVAQPRFFDFMRVHNLASDPTTHFSISSSCSSSSEVSSSEERCSDIYTDF >RHN61706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37678999:37681967:1 gene:gene24195 transcript:rna24195 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHTLSRKELQALSKMNKIPANITNVAMADALSALPHVEGLDEILNQREGGDIGTPAVQPRTARRTTTQRKPVKEAESTKVSTRVNRGGRGGVAEGEVEQENLDANVDAGTPAVVPTSRRRVPAVSTRRKKEVIVIEDEDDVVSEVQGKATDVAKTPAAAPSSRTRAGRSVRNKTEISDGTSVQKAYSTRRSVRLVGKSLSKMSLADTEDMESTKNDDVSEEMSVSQNEGGSIETENGASSQTESNVVSQNTDEVEVSSLNKADCESQSHDSGSEVKSTDAEDVLQADPKEEGSENVNHVEVSREDSSLNLQDSFETCADSNEAGSEQLEPEKTSDSAEIENKECFVAEQDQAMELAASEEVSVEIAASEEVSVEIADQTIASLTVAEPEDAFVDVPNQDVAGLSLEASEEAYKEIADLVIAPLNVVVPDDACGDDLDQDVADMSVVLPEESSEEITHHAIAPETAVVPNGTIETSSEEHQVEEVFEPEPKKVECVSSAILVEKDGTSGDSGAENEVCIQSFENEKESNGVEDVILQLSMLDVAAKKVEDQKDTSEKQEAEAQTEEHAAEDYTEFVVSEKIKGEPVCSAHSEIKEIECGTGNPTVMKENSKTINVKTASLRVLKKLVRKKLEEKTNMVNNDDVQMQGVEQKRTALQALPQNQLAE >RHN66117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8674470:8678556:1 gene:gene14035 transcript:rna14035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MAKSKNNAKKLSYISVPSQIINSISSSSLQSLIDSPKKSSKTTIFTRKSTLWFLALFLVGFFGMFKFGFNPDTPFPQKPCSTTLQKFSISNHHSFSKLGFGSVLLQKERKTSLKNDHNDEFLQHGSEFLVSHVQLQAKGSSEFWKKPNGLGYKPCLSFSNDYRRQSERVLKDRRKYLMVVVSGGMNQQRNQIVDAVVIARILGAALVVPVLQVNVIWGDESEFGDIFDLEHFKRVLANDVRVVSALPSTHIMTRPVEGRPPLHATPSWIRARYLRRLNREGVLLLRGLDSRLSKDLPSDLQKLRCKVAFNALRFAEPIEELGNKIADRMKSKGPYLALHLRMEKDVWVRTGCLPGLTPEYDEVINNERIQRPELLTARSNMTYHQRKMAGLCPLNVMEVMRLLKALGAPKDARIYWAGGKPLGGKEALLPLIQEFPNFYNKEDLALPGELQPFVRKASLMAAIDYIVSEKSDVFMPSHGGNMGRAIQGHRAFTGHKKYITPNKRHMLPYFLNSSLSEEEFNRIIKELHQDSLGQPELRTNKNGRDVTKYPVPECMCNDSHAQS >RHN76853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1807333:1810309:-1 gene:gene235 transcript:rna235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deoxyribonuclease V MEQNEEEPSTSSQQDQQNWITEQDNLKEKLITEDNFTWKLPSSSQELRYVGGVDISFSKHYPSLACGTLVVLDFQTLQILYQDFSFVTLRVPYVPGFLAFREAPVLLDILEKMKRSGSPFYPQLLMVDGNRILHPRGFGLACHIGVKANLPTIGIGKNLHHVDGLHQSRVRNLLEAKENSSKHFITLTGCSGRTWGAAMKSTQGSIKPIYISIGHRISLQTAIAIVQMTCKYRVPEPIRQADIRSRDYIRKYEMNAELK >RHN73621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18262684:18264420:1 gene:gene9456 transcript:rna9456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubulin MRECISIQIGQAGIQVGNACWELYCLEHGIQPDGKLTVDGGEIAFGSFFSETVTGKHFPRVVFLDLEPTAIDDVRTGAYRELFQPDQFITANEGATNSFARGRTIGKQIIDLCLDRIRKIADNCDDLQGLLLFNAVGGGTGSGLGSLLLEHLSAEYGKKIKLGMAVYPSPHVSTSVLEPYNTVLSTSVLLEHTDMAVLLDNESVYDICKRSLDILRPTYNNLNCLISQVISSLTTSLRFDASVNVDLNELQTNLVHFHTTHFMLTSYAPFISANKPYHDQHSSAEITNSVFNPSSLMVRCNPRYGKYLGCCLMYHGDIVPKDVVSAIATIKRNETIQFVDWCAAKFKVGINYYPRTVVPGGDLAKVQRAVGMISNSTSVAQVFSRIDHKFDLMYAKRAFVHWYVCEGMEEGELSQAREDLAALEEEYEDFAPYSNDTWEVESDDSEEFDLMSSNKRAFVHWYLTEGMEGGSLESCFSREGL >RHN65265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:672002:672638:1 gene:gene13085 transcript:rna13085 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHFQWYLPPHQSLLILLPLFLHHRRINTTFNNLINNLLIINYSNSNVVSTNNVAIRIRIIPTTKIIRVNLISNV >RHN51494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18880143:18880697:1 gene:gene35938 transcript:rna35938 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSPTQSQSRKFENIMIIVIVLFMIVMVALFIKDNTGYMSGIKYKVVDASLIEFNLTSDNIIVYGLKVNMTATNSNEEAYKPKSEYFAHFSYKCNRFTELSMEPFVIPSKTTMLLEPTVLGGYTTIKKLKPLQLDEYNEETRLGIYNLNLYIDPCISCTNLRVMLISKGILKPDTTCKRNLWF >RHN72058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4685813:4688014:1 gene:gene7728 transcript:rna7728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MKFNIILILISFIFCFHEKVISNPTPRPFNAIFNFGNSLSDTGNFLATGANLFTVIGQPPYGETFFRHATGRCSDGRLVIDFIDCDSYFKRPLFVVGEIGGNDYNYAAFAGDITHLRDTVPLVVQTIAKVIDELIAEGAVELLVPGNLPVGCSVVYLTSFSSKNIKDYDENGCLKSFNDLAKNHNMQLNIALQTLRKKNPHARIMYADYFGAAKRFFHSPRHYGFTNGALNACCGGGRRYNFNDSARCGYKGSKVCEDPSTYTNWDGIHLTEAAYRHIAKGLINGPFSIPPLKPAFFKIA >RHN65810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5564312:5565470:1 gene:gene13700 transcript:rna13700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative annexin MVSLIAPSNHSPVEDAEVLQRAVKGWGADEKAIIAILGHRNGTQRTQIRQAYYELYQEDLIKRLEFELSGDFEVHTRRKKKLSIKYIKIDVPKRKYILKLKFC >RHN54569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10556114:10559824:1 gene:gene29634 transcript:rna29634 gene_biotype:protein_coding transcript_biotype:protein_coding MTFIWEHWAAVLLCPSKYVMNFKVLRCQTIVCEISQEKVGHYVCSCLVYSSIDKLSFLSREHFSWLFLML >RHN47234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38178091:38180171:1 gene:gene41805 transcript:rna41805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MASTTKDSDLEYLEHIQQYLLFDDSSMLKSHQPFPSPKSDNDLDTTVEAREVSMPPVWKRYKGVRRRPWGKFAAEIRDPKKNGARVWLGTYVTEEEAALAYDKAAFKMRGRKAKLNFPHLIGSDVSTSEPEREVVLKRESPEPSSSSSEGSCESVSPGLKRRRGMVDLLNKLAKNRSQVATVVGVEKASQANDFEQWVNELNDCTLI >RHN78767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18158910:18159962:1 gene:gene2416 transcript:rna2416 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNNYSLKRMLANLVQLADKVAKAAEKAIPFKQECGDIRSKVEKLSDLLRQAAMITYELYEPPTRHIFWDTLNILNKALSLLLKKRIFIIIPVSAFSKTSTKLQNSIGNFSWLFRISSLHDDNQYIGLPPIAANTGMIYHIWEQMAILRTGSPKDRSDAAASLMSLADDINFYTCIIILEGGVVPILKLMKEGNTKEGKQTAARAIALFVSDIAADKQVVSFICEQISILHTDSLEDRSDAAASLVFLANESDRYGEMIIEEGGVGPLLKLMKEEGNYQGRENAAKAIRILKPINSAIILNTNATIGTQEDLSLCTNIRTPVHFIREKRINKHNVFGKLYESNQISEIV >RHN46132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29019297:29023329:-1 gene:gene40575 transcript:rna40575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyridoxal phosphatase MEYEGRFRQAQRQKYDCLLFDLDDTLYPLSCGIAKACGQNIKDYMVEKLGIDRSIIDDLSNHLYKNYGTTMAGLRAIGYDFDYDEYHSFVHGRLPYENLKPDPILRNLLLSLPYRKLIFTNADKVHAIKALSRLGLEDCFEGVICFETLNPIHKNSVSDDEDDIEFVGSSIANHTTNTSASNFQIFDIIGHFAQSNPSQVLPKTPIICKPSEYAIELALKIANLDPQRTLFFEDSARNIQAGKRVGLDTVLVGKSQRIKGADYALESIHNLREAVPELWESELKSEVGYPNKLAVETSVTA >RHN53634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2952749:2959619:1 gene:gene28561 transcript:rna28561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative generative cell specific-1, HAP2-GCS1 MTVPSPRITLIIFIFFTVSSFLTCHVTGVQIISKSKLEKCEKNSNSDDNLNCTTKIVLSMAVPSGSSGGEASIVAELVEVEENSTTKMQTLRVPPVITVNKTSAYAVYELTYIRDVPYKPEEFYVQTRKCEPDAGANVVKICERLRDEDGHIIENTQPTCCPCGPQRRMPSSCGNFFDKLTKGKANTAHCVRFPGDWFHVFGIGRRTLGFSVRIQIKSGTKVSEVVVGPENRTVTSDDKFLRVNLIGDFVGYTNIPSFEDFYLVVPRQGDPGQPHDLGRNISMWMLLERVRFTLDGIECNKIGVSYEAFNGQPNFCASPFWSCLHNQLWNFHEADLNRISRNQVPLYGLEGRFERINQHPNAGSFSFSIGITEVLNTNIVIELSANDVDYVYQSPGKIISVSVPTFEALTQFGVATITTKNTGEVEASYSLTFDCSKEITLMEEQFLIMKPNEITTRSFKIYPSTDQASKYSCAAILKDSDYGEVDRAECQFTTTGTVLDNGTQVCFFLRIENFKSLFSYEMKTVVLFNLINVDSPSGNRLWLLYFRIQSSILLL >RHN65543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3104454:3114641:1 gene:gene13390 transcript:rna13390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MAKKLILIFIIRFISIISLFTKSIAQSPNYVGDDCHNSTEQSLTATYKSNLNKVLSLLSSDAIVSKGYNHTSIGENTIDAVYGLYDCRGDVTGSFCQFCVSTAASDVLQRCPNRASAVIWYNFCIFRYSNHNFFGNLTTSPSWQRPGSKNITNPQELDKAEDNMQSLISEATLETNKMYAMGEFNLSIEKRYGLVQCSRDLNEKQCNQCLEAMLDKVPKCCGTKIGWQVLAPSCLMKYDDFMFYQLTSATSAPLPNPAGEGGSSKSKTLIITIVIVLVVVLALISCCIFFIWRRNRSNKDGIPSKTIPISHHGHIQGEDTYNADLPIIPLIWIRQSTNNFSELCKLGAGGFGPVYKGNLVDGTEVAIKRLSTTSGQGLEEFTNEVIFIAKLQHRNLVRLLGCCIEDNEKLLVYEYMPNSSLDFHLFDEAKRKLLDWKLRLNIINGIAKGLLYLHEDSRLRVIHRDLKASNVLLDQEMNPKISDFGLARAFEKGQSQEKTRRVVGTYGYMAPEYAMQGLYSGKSDVFSYGVLLLEIICGKKNGGFYLEEHGQSLLIYSWNLWCEGKSLELLDPILKNTCTTNEVIKCIHIGLLCVQEDAVDRPTMSNVVVMLASDTMTLPNPNHPAFSVGRKVTDDQSTSKASKDPSVNEVTISNVFPR >RHN60276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24522775:24523405:-1 gene:gene22560 transcript:rna22560 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVTVPAESPSTSLSWIDTLPNIYLLLDTKPAPEFIKFEFKEISIMEKMVKSPTWLEEFIKLGRGRQRHT >RHN60927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31635962:31636353:1 gene:gene23324 transcript:rna23324 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAYLYTQLLEVGSIVGYMSLLKAWAVEHLKKLYVWKVNPEYDRRDSRAGRFKLSRGNADPAHYRSLIDLTVNDDIIWRPYEDT >RHN76925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2443076:2443755:-1 gene:gene318 transcript:rna318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glomulin/ALF4 MFSYIPIFSFSVLISTQMRQFEQVRAVVPSILNSLKVVHLETDKAIDDVFDRTVEISNSIYEVCSKLVDNVAREKLRAVLDLYVLQCLALLSGINIYDVPSYHSLVLQLSRISLNCGLSYLSLVTTYDVEAVVSTVFGGSTLRL >RHN53048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41490963:41491202:-1 gene:gene37797 transcript:rna37797 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLLDVNTEIYPMDRKEKFLLALSPSLVLNTKVNLMLILFVVPCYIIFLSSIKADIYLQSSTLIYAFIIHLIFCGPIP >RHN55669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20915095:20921678:1 gene:gene30888 transcript:rna30888 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEGELAFFHSKMGVELDSQSFEFNDSDENSPTFKSRNQKEKEYDNKVSKNLKKIEHGFDSSPNDMKSANCMPDPKLEFYDSSIDLKNNYEAHVIGPKSPSSKDKRGLDPSPKLEFYDSMLDLKNGLGTKVTESINPVSRSSNDVGSFMMFATDKQSVKKSVTSPISNPLLVDKFQDSLDVFVDRTEAECEPELEICYKEDGYHVVKDICVDKGVYTQHKFMFEETEDGKAYNFFPLESFDDNQKPKDNTGIKVLNQPEIEDSDKVSLNHDQQFHVMPKDDDEIEDLIDNVTKAMDLHEDMHDSVAPDDKDEQQLGKHNLHSQSKASNDIVEEEVLASPALGLATDESNSDGIRSLTYHFGPSAPADCIKKEFHQLGGCNCVESHLPVMAVDGSSGGEISEIHHAETSQIRRGFGESSFSAAGAVSGRISYSGSIPYSGSISIRSDSSTTSTRSFAFPILQSEWNSSPVRMEKPDRSHYRKQRKWKNGLLCCKF >RHN45186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12730730:12731056:1 gene:gene39411 transcript:rna39411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSSHRSIPNVDRISNLPDSVICHILSFLSTKQSAATSILSKRWNPLWHSVFTLDFDDQSFTDFHTFRHFVYSGASLRGCKQLKRAGPPSRMGLNFFFIFISSIMVVSF >RHN78619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16818211:16822793:-1 gene:gene2246 transcript:rna2246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MEDLERVKELKAFDETKLGVKGLVDAGITKIPRIFYQPRDSTKKASELGHTTIVPVIDLANIEKDPFARKRVVESIRDASETFGFFQIVNHGIPVSTLEEMKDGVKSFFEQDSEVKKEFYTREHKPFMHYSNIALYTAPAATWKDSFLCNMAPIPPKPEDLPVVCRDILLEYLSQVKKVGTLLFELLSEALGLNSTYLTDIGCAEGFYAFGHYYPPCPEPELTLGTSKHVDVVFLTLLLQDHVGGLQYLHRDMWIDVPPMPEALIVNIGDFLQLITNDKFKSVHHRVPANLVGPRVSIASFFGTLHHPTTRTFGPIKELLSDENSAKYKETSFPKFMDSYITKCNKGTSPRLHFKN >RHN81815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49121471:49126470:1 gene:gene5905 transcript:rna5905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MNSEFGEQKMLREEKPEAFASPEQNPEDGSSKKKKKKRCRWRKKKKINENPNPQPQVCSEPLVVDAPISELKSNEESVTLPDSNNIATKKKRKKNRNKNKNKSMINAEEPKPALELGKNEEPKPALELGKNEEPKPVLELEKNEEPKPALELEKNKEVESIAVAKTMTRKRKRKSVQNGAESNEHNIEQAETAVQMSILDTEVPPIDKAIAVNNQHVSLDELEERYFERKKTRKERRKEYLEMKKLKSEGEVKTNGEEKTKNDTLETLAQRPFDPITEPSADPAVVMDTHPATPIVAEQKIAKKRHKKKKRKREAVQYSIAETSVQGTKGVTVTNAPNGQHGQSSMLCWACRETDHTIQQCRKLKSLSKDEDVCFFCGEIGHSLGKCSVYIAGGGRLARCLFCNAHGHFSYNCPGNCHDPKVL >RHN57927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42619314:42619658:1 gene:gene33518 transcript:rna33518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MIQILHSVQIIGGNYKKYTYVTELLSSKCVQSFQSIREEEVSKLVKSICTCEGSIVNLTRNIFSMTHEITSRTVFGKRTKHQQVFITAMEEIVSLLGDFVLLICILLLEYCFRV >RHN45212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13077300:13081725:-1 gene:gene39442 transcript:rna39442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sister chromatid cohesion protein Dcc1 MEPEPQQTSGGAETLRHMSPGSSISVAYHPDFGSHDDLIILELDEKLIPDVLNERMVLRGQPDEDAVLCTESKTYAMKFVGTSNSVLLVPPANQSESYENQQKNNSNTSEEKVVASVLKVVPGSMELIEVSPRLDKLKLLLSENTYRFDENDMENLEEIQESRSGLYNWNDLIENIQASDEELRVGLQALSAVEINGYWRLVDENYMDMILEMLLKNLVLNDWSLNALNEDEVVNMLESDGFPKVLARHCLHVYAKKVKENDCMQSGVWKLDEKRVCIHYAREILKGGKRKLENFMEEWRKKTPDEMHPTFDLMEGEVLTEKIGVETWVRAFRVSSLPSTPAERFSILFRERAKWEWKDLQPYIRDLDVPGLSAEGLLLKYTRKTQPSANDDPIFSAR >RHN48203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45764209:45771458:-1 gene:gene42893 transcript:rna42893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ADF/Cofilin, ADF-H/Gelsolin-like domain-containing protein MSFRGLSRPNASSGMGVDDNSKNTFMELKQKKVHRYVIFKVDEKKREVVVEKTGGPAESYDDFAASLPDNDCRYAVFDFDFVTAENCQKSKIFFIAWSPSTSRIRAKMLYATTKERFRRELDGVHYEIQATDPTEMDLEVLRDRAH >RHN39487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7472473:7475264:-1 gene:gene45513 transcript:rna45513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MAFPHSLFLTFFLLFLSFSHSSQQHEQEQQSNNSPQTYIIHVAKQPKNSIFSTNQKTHFSSILNSLPPSPNPATILYTYTSAIHGFSAHLAPSQAAHLQSHPDILSIQTDQIRYLHTTHTPVFLGLTESSGLWPNSHFASEVIVGVLDTGIWPELRSFSTSDDSNSLKSLNSWKGKCEISKDFPSSSCNSNSKIIGAKAFYKGYEAYLQRPIDETVESKSPRDTEGHGTHTASTAAGSVVGNASLFGFARGEAKGMATKARIAAYKICWKLGCFDSDILAAMDEAVADGVHVISLSVGSNGYAPHYYRDSIAIGAFGAAQHGVVVSCSAGNSGPGPYTSVNIAPWILTVGASTIDREFPADVVLGDGRVFGGVSLYYGDSLPDNKLPLIYGADCGSRYCYLGSLDSSKVQGKIVVCDRGGNARVEKGSAVKKAGGLGMIMANTEENGEELLADAHLVAATMVGENAAEKIREYIKSSENPTATIKFKGTVIGGEGSPSAPQVASFSSRGPNYRTAEILKPDVIAPGVNILAGWTGKVGPTDLEIDPRRVEFNIISGTSMSCPHVSGIAALLRKAYPEWSPAAIKSALMTTAYNVDNSGGKIKDLGTGKESNPFVHGAGHVDPNKALNPGLVYDLNINDYLAFLCSIGYDAKEIQIFTREPTSYNVCENERKFTSPGDLNYPSFSVVFGANNGLVKYKRVLTNVGDSVDAVYTVKVNAPFGVDVSVSPSKLVFSSENKTQAFEVTFTRIGYGGSQSFGSLEWSDGSHIVRSPIAARWSNGFSSASF >RHN40474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16636611:16636961:-1 gene:gene46627 transcript:rna46627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LysM domain-containing protein MATFNSRKGAILSSKAIAEAASWYCAIFLVALILLSIFRDSSMIPNNNDHNMIESNHFLSSKPCDEIYVVGEGETLNTISDKCNDPFIVENNPHIHDPDDVFPGLVIKITPSYYHT >RHN58235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:152234:154070:-1 gene:gene20123 transcript:rna20123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin 11-oxidase MELQWFWMFAATLLACYIFVSKVMRNLNGWYYDLIFKNKQYPLPPGDMGWPLIGNLWSFFKYFYSGRGEMFINNIIFKFGRTGIYKTHLYGSPSIIVIAPAICKKVLIDEVTFKIGYPKSALELGDSKILHKERGRFKQLVSSPINGHNVLEMYLERIEDIVINKLEELSSMKHPVEFLTEMKKASFEFVIHIFFDSCDQDTVNKIGDLFNVMSIALLSFMPINVPGFAYNKALKARMEFVKIIENIICGRRMAIKNGQIGENNNLLDIILETKDERGEKLEDKDIIDLLIAFLFGAHDSIATASMWSVMYLAQNPLCLKKAKVRKSLTILLLLNILHFI >RHN70080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48551135:48553985:-1 gene:gene18624 transcript:rna18624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MGIGSTDDTLFKSMNETSMSNNVVNSNLLNYNVCISTPPNILSHGIWGKHESEGSPFRSSFPVFLHQVILIYVTTRAINFPIKQLGLPKLISQMMAGLILGPAVPIFLDLKRNLFPYGSQDTLATIAGLGYVLFLFETGVKMDFNMIRRTGRKGWTISLLGLVTPMLIGLCFSIWDPKHRNVFNKESIEKGIILLGHNTTSFAVIVSLLKDLKLLNSELGRLALSVALVGEILSNIFITVTSTLLDQGHYISPVMRLGYLFAITLFILLIYRPAMFWIVEHTPEGKEVKDIYINIVIGILFCLCWLSGELEKGPVFLPFILGLATPEGPPLGSTLIKRVHLLGIKLFLPIYMTTSTMKVEYGFWRSSYSGSTLETSLFVLFTGYFLKMVACFLSSLIFNMPLKDGISLALLLNCKGVVEVNMYSTALDRNDIRPKIYCVVIFIIMVSICSTHLLVKHLYDPSRKYAGYQKRNIFSLKPDSELKILVCIHKPHHITPMTEVIDLCDPIVEYPVTVDALHLIELAGRASPIFISHKKKKVVSLNLHDSYSDNVVLSLKLYESDKQGAAIVNPYTAISPLNLMHEDVCHLALDKVSSIIFLPFHKKWSSDGKLEYDDKNIRSLNRNILEKSPCSVGILVTRFVHQTDSSLRLALVFLGGRDDREALYLAKRAARVSSIELVIYHIVDKNKSDKDQESMDAVLDKAVLKDVYVEHCRMENVTFREIKVEDGSQTLDVLRGMVKEHNFIIVGRRNGVNSPQTYGLQHWSEFPELGPVGDYLASSDLGCKSSILVVQQQQQICT >RHN55021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14455770:14464392:1 gene:gene30138 transcript:rna30138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-KNOX family MEEMYGVPTTVEYGDKSLMTPENLIFPADYNSFLMSTTSSTNRIPMFGSDDIFTAAEPSSAGIQDDVASNIMKAKIASHPYYPRLLQAYIDCQKVGAPPEIASLLEEIRRENDMCKRDVVVSTCFGADPELDEFMESYCDMLVKYKSDLTRPFDEATTFLNKIETQLSHLCTGGAAAASLPTASDDGGASSDEDLSTGDGDVQDGQSRGEDRELKDRLLRKFGSHIGTLKLEFSKKKKKGKLPKEARQTLLQWWNVHYKWPYPTEADKIELAKSTGLDQKQINNWFINQRKRHWKPSENMQFSMMENFTGRFLTEE >RHN57632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40655627:40657057:1 gene:gene33209 transcript:rna33209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 3-O-glucosyltransferase MKKAEVVFVPFPAEGHIVSALEFAKLLINRDNRLRITVLVIKFPHITETDVYTKSLPISDSLHIINLPECSLAPNTDQGPSMTALLEAQKPNVKQVVSNLITRSENGVLAAFVVDMFCTNMIDVAKEFSVPTLVFFTSGVAFLGLSFHLYTLRQRDNVYSTKLLQLTDLAVPSFANLVPTKALPSAVLSKEWESFMMGYWKGLKNADGFIVNSFEELESHAVHSISSDPGPAGLPIYPVGPILNLEPKTKGTVDSDDIIKWLDDQPASSVVFLCFGSMGSFDEDQVTQIACAIENSGARFIWSLRKPPPEGTMASPSDYPLFDLGSSLPEGFLERTAEIGRVVGWAPQVQILAHPAIGGFASHCGWNSVLESIYFGVPIAAWPLYAEQQTNAFELVCELKIGVEISLDYRAEFNGAPNYLVTADKIERGIRSVLDKDGDVRKKVKEMSEKSKKTLLEGGSSYAYLGRLVDYIMNQV >RHN57675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40945346:40947830:1 gene:gene33254 transcript:rna33254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MANHVVVPPLDESKSSDPRNMTTNLNWRDFIDSSWKDAIYKRRAIASLIQAVYYLELDRQENRTKENSRAPDFWIPFKYKPTQILIDERDGSIFGAIFEWDRSAALSEFKPFKPIGAPRAVLALRGTLIRFPTMRRDFEDDFRFLAWESLKDSVRFKVSMDAVKSMYDTYGSRNVWIGGHSLGAGFGLQVGKELAKEMINVEAHLFNPPSVSLAMSLGNIGEKAEYVWNRTRILLPSSGEPQISIDVDETYVDETYIVRLKRMMPRLSRLMDARLGREKWVPHLYVNKNDWISYFYIHADGTRENIADVENMDPSNEHNEAKLYVITKEDQKFLEAHGLKQWWSSDGNIELKHDIRNGKLVSVQLKSLNTGTPSEVALFYYSQYVSLTTSHINIREATDYVWNILKCVPHHISGKAQISNDGQMTSDISLMGWIPQLSGLKDTSYWVWKWIPLLYANENVGAVKKMVRKENMDHEDGRITANLFTVSKEQLKFLAAHGLEQ >RHN69861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46876690:46879369:1 gene:gene18386 transcript:rna18386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MSTTNIVNHHSLFDQEQDHEIPMQMGFNIPFPPNMTLPPLDNCHHQSLKAVQKTREFDDHLTSSFGGGGQLLSLNRSKVNSWAWGEVTGSLIGKRSSGGDDQHHHHHNQQLGVSAIKMKKMKGRRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHAHSPSNELEESQTQSELTNFFW >RHN45924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26815183:26817677:-1 gene:gene40351 transcript:rna40351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MISYVLDANRKNMAKTLNYVYVLILFISIFLSITVYGYIPGIVNKPCKTDKDCPKKPPHNIRCRKGQCVEIL >RHN53887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5032354:5033320:1 gene:gene28847 transcript:rna28847 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTFITNKETKNDEKTKPPLRPKKRRICFSFTTYANDLIQNLKSSNIIIEQGLTESEFQHLESKFNLKFPPDLHAILQQGLPVSPGFPNWRSSSHQQLQILLNIPVSSILRRVKNNSFWHPSWGPIPKDKLTAAQRILDPAPQLVPIFRHCYIPMNPFVTGNPVFYVDHSGDVRLVGYDIVGFFRDGGFLDGVEEVDDPVWAAREARRIEVWTEVADGRGERGWKWWWDDRRGVVGRCMDGVLRRLREGGWKEEEIQEMMMMNEDEEGEKKHEKHVSVLGLELLRAGWSREDIVYSLGVDVGNSWLDLEFDC >RHN75093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39290976:39297871:-1 gene:gene11248 transcript:rna11248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II S4 MAATTTSFGTAWCLRRVTQAFALSHSHTLRTNGNNLSFQRTLTSHLLSFSTSSDSGASTTVQASKREVDVLLKGVGEKSVENEVKHVLEMARRASLKREILHTNFLTPPVLKESMQVLEKLADIKALAQGGYPQAERCRISVGHPDELTSDPDVISALSITGNFQFEPCSHGDFLGSILGTGIAREKVGDIILQGEQGAQIIVVPELVEFLTSALDKVRNVPVTCTKIPLISLDYEPPRTKSFKTIEASLRVDAVASAGFKISRSKLVDMISNGDVRINWIPITTKGTTVKSGDLVSVSGLGRLKIGEVNTTKKGKFAVELIRYL >RHN64196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57193255:57196018:1 gene:gene26983 transcript:rna26983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (guanosine(18)-2'-O)-methyltransferase MVFESYVVVHNIAKRHNVGTLARSATAFGVSELILVGRRDFNSFGNHGSSNHLRFRHFHSLQDAKHFLKDKDCDICGVEITHDALPVNQHPFKKNTAFLLGNEGSGLSMKECEICDFFVYIPQYGCGTASLNVTVAASIVLHQFGVWAGFAERSRDGNKFVVAERPVKHGRRNYCTETDDSVIEERRARRENAANGFFEEAESSNSSSNLLDALFVDG >RHN39056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3821982:3825974:-1 gene:gene45048 transcript:rna45048 gene_biotype:protein_coding transcript_biotype:protein_coding MEVINVNQWNNEADTDEVEEISLQRKSVVQHSSSSSSSSSDNNYIEAKGGGLDFIESDETKPKFEVEIKERNAEMIIQKEDIDENDTILPASDPPLPEDSTSLINVTNESGTVIVVEENGQERQEFYLEKVFEKPPTHGFYCPNCNVCIQKVYIQKIYIQPVQQPDTIRCTSCFSFLIPIGSWLFPGLVSNGDGESNDQGLSSNQRNPEVTEQTFKVASQHEADSILGQSEEVNQSITRGTKTVTKIVDDTGKQTMQIIEDVVIFGRQNGEVVTKKKHFWSDWGVIGGTSSEVAKTKKPETGSTDNSDWKVIGSVSQTTLSKQPEIDFEGKKQSDFVEVKVEGSSSTGSAEGIVPPVTLRTPLLTDDSNPPAPASNRPLEILKSIVYGGLTESLASLSVVTSAASADAATLNIVALAIANLIGGLFALGHSLRELKANEPKRSNTEAVVDQYNEVLGQRKNFILHAFIAILSFIIFGLIPPVVYGFTFRENDEKDFKLAAVAGASLLCITLLSIAKAYIKRPNSYSTYFQTVFYYVSTGAVATVLSYLAGDMMKKLIEKLGWFEPASSFDLQNQPGFGSF >RHN47580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40779613:40782749:1 gene:gene42198 transcript:rna42198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MASALATLCGQAYGAKEYGLMGVYLQRSWIVLSLTALILLPLFIFTTPILIILGQDETISQVAGTIGYLSIPILFAFIASFTTQTFLQSQSRNNIIAYLAAFSISVHVLLSWLLTMKIKLGIAGAMISISLALWIPNIGQLIFITCGWCSDTWKGFSFLAFQDLWPVVKLSLSSGFMLCLELWYNTVLILLTGNMENAEIQIDALAICLNINGWEMMISLGFMAAASVRVANELGKGSAKDAKFAVNMIVLTSFTIGFLLFLFFLFFRERLAYIFTTNKDVASAVGDLSPLLAVSILLNSVQPVLSGVAIGAGWQSIVAYVNLGCYYIIGIPVGIVLGKVYHLQVKGIWIGMLFGTLIQTIILLMISYKTDWDKQVTNARNRINKWSKVDPDHETVASSDN >RHN52337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34059900:34064253:1 gene:gene37000 transcript:rna37000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative annexin MGRPARFDSSSCYFFVCFTFIVFIERKMATLVVPPAPPSPLDDAMQLYRAFKGFGCDTSVVINILAHRDATQRAFIQQEYETTYAEELSKCLVSELRGKLETAVLLWMPDPAGRDVEIIRKSLIVDKNLEAATEVICSRTPSHLQYLKKIYHSKFDVYLEKEIESNTSGDLQKLLLAYVSTPRQEGPEFNKEIAEKDAKVLYKTLEKKLGSDEKTFVQIFSERSGTHLAAVNSYYHDMYGHSLKKAVKNETSGNFGRALVTIIQCATNPAKYFAKVLYKAMKGLGTNDHTLIRVIVTRTEIDMKYIKTEYAKKYKKTLNDAVHSETSGNYRAFLLALLGPNN >RHN78282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13592733:13593132:-1 gene:gene1817 transcript:rna1817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MNVLSLDTRIRGGWKSVAEKLCENGEVEKMVTRERTKFKEFLRYIMKYSISLCPGFAVYSSMIQCFFRFGKVEDAEKYLRIMKVRS >RHN41791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33030609:33034749:-1 gene:gene48152 transcript:rna48152 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDESSDKGVFSHLAQGIAGAAAHGGHGGHGYPPGAYPPQQGYPPQQGYPPAGYPPQQGYPPSGYPPQQGYPPAGYPGAHAGQHAGSHGHGGMGAMLAGGAAAAAAAYGAHHVSHGAHGGHYPPGGYPQGGYPQGGYAHGGSHMGHMGHGKFKQHGKFKGGKHGKFKHGKFGKHGGGKHGFKKWK >RHN41141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27379892:27382599:-1 gene:gene47431 transcript:rna47431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MCTIPEEIGYLDKLEVLYLYNNSLSGSIPSKIFNLSSLTHLGVDQNSLSGTLPSNTGYSLPNLQYLYLNHNNFVGNIPNNIFNSSNLIIFQLHDNAFSGTLPNIAFGDLGLLESFRIYNNNLTIEDSHQFFTSLTNCRYLKYLDLSGNHISNLPKSIGNITSEFFRAASCGIDGNIPQEVGNMTNLLLLSIFGNNITGRIPGTFKELQKLQYLNLGNNGLQGSFIEEFCEMKSLGELYLENNKLSGVLPTCLGNMTSLRILNIGSNDLNSKIPSSLWSLKDILLVNLFSNALIGDLPPEVGNLRQIVVLDLSRNHISRNIPTTISSLQNLQTLSLAHNKLNGSIPSSLSEMVSLVSLDLSQNMLDGVIPKSLESLLYLQNINFSYNRLQGEIPDGGHFKNFTAQSFMHNDALCGDPRLIVPPCDKQVKKWSMEKKLILKCILPIVVSVVLIVACIILLKHNKGKKNETTLERGFSTLGAPRRISYYEIVQATNGFNESNFLGRGGFGSVYQGKLLDGEMIAVKVIDLQSEAKSKSFDAECNAMRNLRHRNLVKIISCCSNLDFKSLVMEFMSNGSVDKWLYSNNYCLSFLQRLNIMIDVASALEYLHRGSSIPVVHCDLKPSNVLLDENMVAHVSDFGIAKLMDEGQSQTHTQTLATIGYLAPEYGSRGIVSVKGDVYSYGIMLMEIFTRRKPTDDMFVAELSLKTWISESLPNSIMEVMDSNLVQLTGDQIDDISTHMSSIFSLALSCCENSPEARINMADVIASLMKIKALVLGANRV >RHN40515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17065229:17065679:1 gene:gene46673 transcript:rna46673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MVFVRAYEGWKDAKRERSDYNYCWRNFLSSQTLHEIHSIRKQLSSILKETGLLDTDASINNNLSIDQSLVRAVICSGLFPCIASVNQESIKTMDDGYVLLAEVTIQICFSDQLQ >RHN42261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36798694:36799966:1 gene:gene48681 transcript:rna48681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MISISIQKYENLLSTNFVLQKPFLVDEVIPTIIDSSTNRLLTMIPSSKEIKDAVFDLNNNSAPGPDGFGAVFFQTHWEIVNKDVIVVVTQFFHSGWLPNNFNANSLILILKNPNAGTIDQFRPIALANFKFKIITKVLADRLAKILPSIISKEQRGFIRGRNIKDCIDLASEAVNVLDNKCFGGNIAMKIDISKAFHTLNWDFLIAVLKKQEGYFKCKRGVKQGDPLSPLLFCIAEEVLSRGITKLVEEGKVDLISASRNAKIPSHCLYVDDIMVYCKGKMSSLVALKELFTSYANCSGQAINLRKSSIYYGGINHDKLLCIVKFLDFSNGSLPFTF >RHN77684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8627119:8628425:1 gene:gene1165 transcript:rna1165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MRNHEKRDQFKCPFWKLVFLVEHNHVNELIKLKSLGEEGMIREMIQYLHVAENLFIYSNPSLGTDMYNIVLHYLVEAQESNMAIEVFKKMKLCGCHPDSTTYNTMIDCCSVIRSYRSASLLIAMMIREGFCPVVCTYTALIKVLPV >RHN68496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35986028:35986833:1 gene:gene16858 transcript:rna16858 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIPSLLLGGFLSMICFSKSINVEPLLANSLLKLITESSLKLATARSACPKSYLQVSLSISF >RHN56037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27057939:27058990:1 gene:gene31358 transcript:rna31358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAIILKFVYTIILFIYLFLFENSVDGYIKCKTVVDCPYLISRTLVIMCINKQCVAHYIH >RHN70840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54395428:54395775:-1 gene:gene19467 transcript:rna19467 gene_biotype:protein_coding transcript_biotype:protein_coding MARWIDVHAQFNGGEPQRLKVRCLGVTLRGLNDELTEFNQGVNPRDTRRVEHVRYKRPTLNEGRVSFTWVELTNDENVTSMFWEHNMFQCIDMRVTLLRSTEDIIKSLIPPEDRH >RHN43244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44279487:44284613:-1 gene:gene49789 transcript:rna49789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MVVSYHEEQPHRKPMEEHEQTGITSTTSTSFIDEQEQEEALIALVNHRCRQVKNLLDQLKKAEEKYRNSKSKLALFQGKKNGSLLDAGKTKHGSNSLIRKNETPSKILHQSKAQHFNPKTSQCIQLPDSAKALINYNAGANLTQRFPIELDNKGTKRKYEIKEQKYLVSLIGTSSSARSVNCQMTSSIPSLHKKRLRSLALCPLNDQHFVTSALDGVIRLWEVQSRGLGARLLSTTDCASAEQKRWPEDIAWHPEGKSLFSVYSADSPDSQVSVTNFKDGERSGQVNFLQDKPHVKGAINSIVFLPWEYSSFVTAGTDHTVMLWSENDEKKWKSEPLHRNIHTSAVMGVAGVQHKKIVLSVGQDKRILGYNAHVGRQDFIHQVDSKCLSILPNPVDFNLFMVQTGTREKQLRLFDIRLKLKEVHAFGWKQERRDTQSALVNQDWSPNGLYITSGSDDPLIHIFDIRYNGHEPSQSIKAHQKRVFRAMWHHSRPLLISISSDSNIGFHKRVQ >RHN57255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37591313:37592742:-1 gene:gene32777 transcript:rna32777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine rich protein MDSKKAILILGLLAIILLISSEVSARDLTEASSNTQKEADDQTNELNDAKYGSYGGGYPGRGGGGNYGGGYPRNRGGYPGNRGGNYGGGYPGNRGGNYCRYGCCGDRYYGSCRKCCSYAGEAVAMQTENNTRN >RHN40724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19980349:19980794:1 gene:gene46918 transcript:rna46918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MNYYVFIEKIVQIKVTRLEEELKHIIEGISQGLLYLQKYSRLKIIHKDLKANNILLDENMNAEIYDFGMARIGYMSPKYAMEGICSTKSDVYSFGVLLLEIICGRKNNSFYDVGRPLT >RHN39325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6054717:6058342:1 gene:gene45335 transcript:rna45335 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:copz1 MASNGLCPSIKNILLLDSEGKRVAVKYYSDDWPTNSSKLAFEKFVFTKTVKTNARTEAEITLLENNIVVYKFVQDLHFFVTGGDDENELILSSVLQGFFDAVTLLLRSNVDKSEALENLDLILLCLDEIVDGGIILETNGPLIAEKVTSHNMDADAPLSEQTLTQAWATARDTFTRTLLT >RHN56788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33764929:33767183:-1 gene:gene32239 transcript:rna32239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-coumarate--CoA ligase MDQLKPTQANNSPLTPLTFLERTSTIYPNTPSIIYNDTVFTWSQTHKRCLQLASAITSLGIRRGNVVSVIAPNIPAMYELHFAVPFTGAILNNINTRLDARIISNILLHCESKLVFVDIAARDLVLQALSLFPSKQHKPLLILIKDQTFETYENVSSSSTVDFISTYEDLMASGDPNFNWVYPNSEWDPMLLNYTSGTTSSPKGVVHSHRGAFIVTVDTLIEWTVPKQPIYLWTLPMFHANGWSFPWGIAAVGGTNICVRKFDAEIVFSLIRKHHVTHMCGAPVVLNMLTNSPDNKPLQKTVHILTAGAPPPAAVLHRTEALGFTVSHGYGLTETGGLVVCCTWKKKWNLLPATERARLKSRQGVRTLGMTKVDVVGPSGESVKRDGATLGEVVMRGGCVMLGYLKDSEGTKNCFKKGWFYTGDVGVMHEDGYLEIKDRSKDVIISGGENLSSVEVESVLYGHPAVNEAAVVAREDEFWGETPCAFVSLKEGLKERDIPTEKEIVEYCRKNLPHYMVPKTVVFKDELPKTSTGKIQKFVLRQIAKDMGPLRKDVGPPTKSRM >RHN59195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8354638:8360214:-1 gene:gene21193 transcript:rna21193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MENHEDEDFTHDVFLSFRGRTRYSFTDHLYRSLLRHGINVFRDNPNLNIGDEIRLSLLQAIEASRISIVVLCKDYASSTWCLDELVKIVDCYYEMKGKTVFVIFYKVEASDVRHQRKSYEIAMIQHEKRFGKESEKVKKWRSALKRVCALSGLYYKDDIYESEFIEKIVRDISAKLPPTPLQIKHLVGLDSRFEQVKSLINIDSDVVCMLGIYGAGGIGKTTFALDIYNKIRRRFEAACFLGNVREKSNENTRGLEDLQRTLLSEMGEETQTMMGSTYRGSSEIKRRLARKRVLLILDDVDSVKQLKSLAGGHDWFGSGSRIIVTTRDIDVLHKHDVKIKTYKLEELNNHESIELFCMYAFNMSRPAENFAKISTQAISYAQGIPLVLTVIGSNLKGKSIHEWHIELQKYRKVPDAEIQSVLEISYKGLSDLDQKVFLDIACFFKGERWDYVKRILDACGFYPVIRVFVSKCLLIVDENGCLEMHDLIQDMGREIIRKESTSNPGERSRLWSHKDALDVLKGNLGSTAVEGIMLHPPKQEKVDHWDDAAFKKMKNLRILIVRNTVFSSGPSYLPNSLRLLDWKCYPSKDFPPNFYPYKIVDFKLPHSSMILKKPFQIFEDLTFINLSYSQSITQIPNLSGATKLRVFTLDNCHKLVMFDKSVGFMPNLVYLSASGCTELKSFVPKMYLPSLQVISFNFCKKFEHFPHVIQKMDRPLKIHMINTAIKEIPKSIGNLTGLELMDMSICKGLKDLSSSFLLLPKLVTLKIDGCSQLRTSFQRFKERNSGANGYPNIETLHFSGANLSNDDVNAIIENFPKLEDLKVFHNWFVSLPNCIRGSLHLKSLDVSFCKNLTEIPELPLNIQKIDARYCQSLTSKASSILWSMVSQEIQRLQVVMPMPKREIPEWFDCVRTQGIPLLWARQKFPVAALALVFQEVKKTDNLSKLVGSTHLTTEVKDWHNVSLHLFIDGQQICGRDCRYFNIGPDHVLLCDLRVLFSDEEWQDLDANLGDDWKTIQVQHVSDLILTNWGVYVYKKETSMDDIQFIPPNHVSFSDMPSSCLVPKGSPEQQMKHLLQSFNPRNMFNEHFPLLESEGPVRPLKVVLRALRNAKAEIIEETSSSGYGESLKQDHEDSAEGVVQLLELIKENVPEHITDFCPEDLQIAGGLAERLLRARVELMKENSLDIRMAIILKNDDMLGAKHRRYWGFLEIKFGDPFYKPLLRRLCQLSWKHWESKESSRSNKRVTVVELKCQPPGTEEASTSSLEESWEEVNYNPELDELMSAIEQDAMSLNRTYGKMKASIVRTDRPISENHLSEGLFLKGQTIEGKLTTFRSLTKFKITPYGKMRAEDEF >RHN52785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38786930:38787412:-1 gene:gene37496 transcript:rna37496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MFMIQCIGGFAAILFVIYIYYWRHNGGEIMMNWPIVGMLLSVLRHLSNFNNHVTLVLKGHEGMFRFEGPWFTNTSFIATADPINVNHIASKNFGNYGRGSINFQEIFEFFGGGIVNSDSHVWKEKRTMFHSILKRKSFKNLFQQTSQKKLEKFLLPFIQF >RHN56653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32584780:32585767:1 gene:gene32087 transcript:rna32087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQIRKIMSGVLKFVYAIILFLFLFLVAREVGGLETIECETDGDCPRSMIKMWNKNYRHKCIDGKCEWIKKLP >RHN70911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54973828:54980000:1 gene:gene19545 transcript:rna19545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-phosphofructokinase MASSESNSQMKVVHGDAGYILEDVPHLSDYVPNLPTYPNPLRSNPAYSVVKQYFVHMDDTVPQKVVVHKDGPRGVHFRRAGPRQKVYFRSDDVIACIVTCGGLCPGLNTVIREIVCGLSYMYGVDKVLGIDGGYRGFYSKNTITLTPKVVNDIHKRGGTILGTSRGGHDTGKIVDSIQDRGINQVYIIGGDGTQRGAAVIYEEVRRRGLKVAIAGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAESVENGIGVVKLMGRYSGFIAMHATLASRDVDCCLIPESPFYLEGKGGLYEFITKRLKESGHMVIVIAEGAGQDLLTESMQDMDQKDASGNKLLQDVGLWISHKIKDHFAKENKMAIVLKYIDPTYMIRAIPSNASDSVYCTLLAQSAVHGAMAGYTGFTAGLVNGRHTYIPFNRITEKMNNVVITDRMWARMLSSTNQPSFLKPKDVHQIMKAGQSPTQLLEGSNCNGGGEEAKKVEQIPTQLMEGDKSKDNQKSRNLADSDSCIKK >RHN77909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10421701:10422836:1 gene:gene1414 transcript:rna1414 gene_biotype:protein_coding transcript_biotype:protein_coding MKVELVHIMGNSHLMHLATKKQFFVAVFWVMLLLDIHLGWIWTLNITGAQLFYQNQRKTLISHFT >RHN48684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49466810:49467677:1 gene:gene43426 transcript:rna43426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MENTTAIATNENVSNYIHDDITFSIISKLPLKSFKRFECVRKLWSLLSENHHFMNMFRDNFFSNLHSCSYYDQSSLILKVYEPHQEVLYFLSGQRFENKVKLDYSNPSAHPFDFRIFGFGSINGTLCLHEYDNYGKIVLWNPSTQAIKFIPLSLVELVESSISDAGEDLVSILMFCLIFMDLVMTVLQMTIRSFVMYVLYVTPMDE >RHN65230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:386354:387324:-1 gene:gene13049 transcript:rna13049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MAEVNSLRKPPDRSSVLARLKLQNLSTYVEKNQLRPMSNPPHRPPAKPPHHSRHIGLKELHVDGVQFRFCHKSYAVEDKDQKFITKSYACGDGRPFGPVIVRNDEVVVSFHNMVLRNKDPTAHAEIIPIREACQKLDQIYLTDCGIYMLLVNLRLVYGAKYEVAGAIGFDSLMIKKIDGNAAEIAEQVFEKKKGKYYSICRKIYKGSETRSIVWCDNYQEVFPTCKCKLESECAGVKFNMFSGTSMSCPHVSGIAKMIKAKHTEWSPATIKSLIMTTTYYVHDNIIKPLGVVSSAEFSTPYDHGAGPIY >RHN79240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26447728:26455853:-1 gene:gene2994 transcript:rna2994 gene_biotype:protein_coding transcript_biotype:protein_coding MKWCLLGKWGMKKNIQFSFPPCVEIGYRSLRSDAALEAIAKASEDKVPNVVLYNYPSFSGAFSSLFAHLFHTRHDLPSLSLPFSSVPSLAFRVEDLCIESLQTCYLLDFLPPKEFIFKLSHQSNCKIIGFDHRKSVLSQIPSTNECPENIMINLNHEKSSSRAVYEYFTDKHEDIQTSNGVVPSLVDSKDKGRVELILKYIEDADLRHWSLPDIKPFNIGLSEWRSRFSCISNPYMFKQLLELSVEELIAKGNSSLLARQNAASKLLDKVFRVRLGRGFYGECLGVRADGNSNLSDEIGMLLSVKSAAIGLRPIGAVIFMQRNNLKMCLRSSDNATDTSEVAKAYGGGGSASSSSFIIRMDEYNQWLSANSL >RHN51976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29441738:29445269:1 gene:gene36564 transcript:rna36564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative salicylate carboxymethyltransferase MDLAHILHMNGGDVEETSYAKNSLIQQKVISGAKSSRDKAITNLYCSLYPRRFAVADLGCSSGPNTLLVISEIIKVVEKLCIELNHESPEYDVSLNDLSGNDFNGIFKSLDTFKEKLSNELENKMGPCYFSGVPGSFYGRIFPNESLHLVHSSYSIHWLSKVPNGVNNNKGNIYIANTSPSNVFKAYYEQFRIDFSLFLKCRAKELVEGGCMILTFIGRESDDPLSNGVCYLWELLATALNDMVNQGIIEEETLNTFNLPNYYPSPTEVKLEVLTEGSFVIDQLEILEANKNASVDIDFEMSRLQHIRAGIEPLLTSHFGENVIEDIFNRYKKILSDRISKKRTSTTNLTITLTRKP >RHN70368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50924415:50929211:1 gene:gene18938 transcript:rna18938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MEGTFNFNDNSSSAFSDCDSDRSTEFTTTTENRIQRLLITCASDNYSDELIRRLILDLNSSSIDQQKQATMEIRLLAKNKSENRLRIAKAGAIKPLISLLSSQSPVMDLQLQEYIVTAILNLSLCDENKEIITSSGAIKPMVKALKTGTPTAKENAACALLRLSQTEENKGAIGRCGAIPALVNLLENGGIRGKKDASTALYSLCSVNENKIRAIKAGIMKPLVELMADFDSNMVDKSAYVMSVLVTVTEARTALAEEGGIPVLVEIVEVGTQRQKEIAAAILLKICEENVSYRVMVCREGAIPPLVCLSQSGTIRAKHKVETLIELLRQPRSSNATVRAS >RHN47750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42255677:42259996:1 gene:gene42386 transcript:rna42386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde oxygenase (deformylating) MASKPGILTNWPWKPLGSFKFVILIPWIGHSIYSFIWVERDPIQYLICPFILVRMLHNQIWISISRYETARGKSKIVDKSLEFEQVDRETNWDDQILFTALLYYIGYMIFPMASNLPWWRIDGVILTAILHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPVTSVAHPFAEHLSYFTLFAIPMLTTLFIKKSSVAALYGYVFFIDFMNNMGHCNFEFFPKKLFSYFPQLKYLSYTPSFHSLHHTKFRRNYSLFMPMYDYIYGTVDKSTDVIYETSLMRPKESPDVVHLTHLTTFNSIYQLRLGFASLASNPQTSKWYLHLMWPFTMFSMLMTWICGRAFVLESNSFKNLKLQCWLIPRFKRQYFSKWQSKTFNNLIEEAIVEAELNGAKVISLGLFNKNHQLNERHEHYIGRLPQLKIKVVDGSSLAAATVLNNIPKGTNQVLLRGKFNKVAFVIANALCKKNVQVVVLYKDELKELEQRINTSKGNLALSPFNTPKIWLVGDEWDEYEQMEAPKGSLFIPFSHFPPKKMRKDCFYHYTPAMITPTTFMNSHSCENWLPRRVMSAWRIAGIIHALEGWNVHECGDTILSTEKVWEASIRHGFQPLKNILTN >RHN41244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28569934:28571023:-1 gene:gene47546 transcript:rna47546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MQNSLSLMKSFQHSNYILSNFRELVKLETKMSTSQGGKKLTEDDAFAYLKAVKDVFRDKMENYSYFLKIMKDYKDERINYHDVVMEVKELFKGHNDLITGFNIFAPSGYQIPISHETEQLDPMILDECEMTIPSEELEPLNAQSPQMMLGGEEDITTPLRNEPFGGPEHANEFVSKVKSPTCGTTYGPKLRKLTFVEFVEYIMFTIIFAKRVNMLAFVDVDLTKPLLDIFAIKDRNYVV >RHN72048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4624694:4627767:1 gene:gene7717 transcript:rna7717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MQGRVRKVSARGEPVAANYAFGPSIDDVIIKHRLLTRTTTTRGEPPLKKLQKKFTSFVSEVDKDEDNYNDCEKLARAFLQELTTFEIPLLKSKAIVEANVREKDNFNELKDEMNRQIAQAQVDIEDLKKQLEESKVERRHKEECEAIRKLIAVQPPRSETQKVLSELEKEIAALDAENTAGSRLLELRKKQFSLLLQVVDELQNTIEEDQKSLVEEMRLATEELKNGMEDASGGSDAMAVDH >RHN46899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35646785:35648382:-1 gene:gene41434 transcript:rna41434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MFIQISNLLSGLLRCGKSCRLRWTNYLRPDIKRGPFTPEEEKLVIQLHAILGNRWAAIASQLPGRTDNEIKNFWNTHLKKRLKSLGIDPKTHEPVASLTSYPNHKSHASVSTRHMAQWESARLEAEARLSIESSHFNNNPNSFTKTDSSSDYFLRIWNSEVGDAFRKVQKPDTDHINIITSCSQSPISAGSLSSNKCDSISAVTASNSIVKEELDWRNYKFSAEDVLLESDSSSSNDLQDSSDTALQLLFDFPMNNDMSFLGNSFASPF >RHN45713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24857406:24857810:1 gene:gene40100 transcript:rna40100 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCLRWTRRLQRFLRRVWSTKSIVAGVGDFDVQAILQDLGEEINEMFRWISGLPEIGHFRKMQGNSLLNESGIFSEGHAALKVQPLGCRKISNLHLGVLVSMH >RHN78981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20567261:20567728:-1 gene:gene2656 transcript:rna2656 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFVLQHNSYATPEISFNQKGTFFYPKPIPRKQLGWKFCQENEGHDSNVGTELLDIIQNKEVSSSPPYFLGSPPVRVSNPLVQDEQFTWEEHIPQSTPVVSSSPGLSSPSSASSGLSSPSSPFRKGGCVRMKFGVKSAKVRVVGFDCHVPAVAY >RHN43337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45009449:45013728:-1 gene:gene49894 transcript:rna49894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MMGKLKVYADRMSQPSRAVLIFCRFNGIDFEEIKVDLSKRHHLSPEFTEVNPLHKVPAILHGNFNLFESHAILVYLSSAFPGVADHWYPTDVFRRVKINSVLDWHHSNLRYGAVNYVSNTVLGPALGRPLNPEAAAEAEKVLLSSLSKLEDIWLNGDGHFLLGGFQPSIADLSLVCELTQLEVLDEKDRDRILFPYKKVLQWIEDTRTATNPHFEEVHNILYRAKKKFQQQRSRVAKTGTETNNVMERHSKM >RHN65664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4209570:4212473:1 gene:gene13532 transcript:rna13532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MLNTDHEVDGTYPTEAEVFCQKVLEANSYLFAYPDYNYSITRIVSLAPLKNALDWGSRPSNVWAGKAAAAVSAVGGGRRAQFLLCQIDR >RHN70478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51694322:51699520:-1 gene:gene19059 transcript:rna19059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MTDPYYPYPTPAPSDGASFARSSYAGYIPSEAPSLASPLPKSTDFPGYGSDYLNKDVSLFRMEPYGVDDTRGSRVHSEHNATSYNPLEDVDLSTKRDALLGVSTGVPDPIANNERSISKSNYDALPVSAAESNILFVGGLPKDCTRREVGHLFRPFIGYKDIKVVHKEPRRSGDKAMIFCFVEFTEPKCALTAMEALQGYKFDDKKPDSPTLKIKFAHFPFRPPTDDGQ >RHN64234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57490510:57494511:1 gene:gene27026 transcript:rna27026 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLFGVAESFIGKLASVAVQEASLSLSVYKDLQEIKKTVSLVKAVLLDAEQKQWQNNELREWLKQIKRVFYDAEDVIDDFECEALRKHVINTSGSIRRKIVQNYVEDTSLKSDMIGTRYHTSNK >RHN50308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6005858:6010263:1 gene:gene34580 transcript:rna34580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate adenylyltransferase MSLTIKLQLVSHLNINHNNNHANKLIKIKNNTFGNTLNFLPKPTFGNNSLTVTKKSNNKVKRRMQGNFMIKSSLIEPDGGVLVDLMVPENERESKVLEAKSLPNVKLTKVDYEWVHVIGEGWASPLKGFMRENEYLQSLHFNSLRLNDGSFVNMSLPIVLSIDDETKERIGSSSNVGLIGPDGDIVGILRSIEIYKHNKEERIARTWGTTAPGLPYVEEVIAPAGNWLIGGDLEVLKPIKYNDGLDNYRLSPKQLREEFDRRKADAVFAFQLRNPVHNGHALLMNDTRQRLLDMGYKNPILLLHPLGGFTKVDDVPLDVRMEQHSKVLEDGVLDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFRVAAYDTKVNKMAFFDPSRAKDFLFISGTKMRSYAKSGENPPDGFMCPSGWKVLVNYYESLQTEEASQQPVLSS >RHN78194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12640058:12646614:1 gene:gene1726 transcript:rna1726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MEKVAPPLLPLHPPMLSSHQFYDSSNTKKRDLLSSYDVVHIPNDNWNPKEWNWDSIRFMTAKSTTVEPQQVEESLNLNLGSTGLVRPNKRIRSGSPTSASYPMCQVDNCKEDLSKAKDYHRRHKVCEAHSKASKALLGNQMQRFCQQCSRFHPLVEFDEGKRSCRRRLAGHNRRRRKTQPDEVAVGGSPPLNQVAANLEIFNLLTAIADGSQGKFEERRSQVPDKEQLVQILNRIPLPADLTAKLLDVGNNLNAKNDNVQMETSPSYHHRDDQLNNAPPAPLTKDFLAVLSTTPSTPARNGGNGSTSSADHMRERSSGSSQSPNDDSDCQEDVRVKLPLQLFGSSPENDSPSKLPSSRKYFSSESSNPVDERTPSSSPPVVEMNFGLQGGIRGFNSNCISTGFGGNANKETSQSHSCTTIPLDLFKGSKSNNMIQQSSSVQSVPFKAGYASSGSDYSPPSLNSDTQDRTGRIMFKLFDKHPSHFPGTLRTQIYNWLSTRPSDLESYIRPGCVVLSIYASMSSAAWVQLEENFLQRVDSLIHNSDSDFWRNGRFLVYSGSQLASHKDGRIRMCKPWGTWRSPELISVSPLAIVGGQETSISLKGRNLSAPGTKIHCTGADCYTSSEVIGSGDPGMVYDEIKLSGFEVQNTSPSVLGRCFIEVENGFKGNSFPVIIANASICKELRPLESEFDEEEKMCDAISEEHEHHFGRPKSRDEALHFLNELGWLFQRERFSNVHEVPDYSLDRFKFVLTFSVERNCCMLVKTLLDMLVDKHFEGEGLSTGSVEMLKAIQLLNRAVKRKCTSMVDLLINYSITSKNDTSKKYVFPPNLEGPGGITPLHLAASTTDSEGVIDSLTNDPQEIGLKCWETLADENGQTPHAYAMMRNNHSYNMLVARKCSDRQRSEVSVRIDNEIEHPSLGIELMQKRINQVKRVGDSCSKCAIAEVRAKRRFSGSRSWLHGPFIHSMLAVAAVCVCVCVLFRGTPYVGSVSPFRWENLNYGTM >RHN57254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37562138:37563045:-1 gene:gene32776 transcript:rna32776 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKPVIFLCFLCALLLIASAATEPSKDGKQAGGTEESPTKIEVDDRGRGLQGGGGWGGGGGRGGGGGWGGGGRGGGGFGGGSGGGEGGGGGRGGGGYGGGGGRGGGGGWGGGGHGGGKHGGHGGSP >RHN55223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16253441:16258245:-1 gene:gene30368 transcript:rna30368 gene_biotype:protein_coding transcript_biotype:protein_coding MQALEEASINVNLSSLGHSCTDSIRWNIVNAYEKDKIKSMILMGCTNITADILEKVL >RHN57823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41949801:41950295:-1 gene:gene33412 transcript:rna33412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stigma-specific protein Stig1 MRTLAKQLVSIVLLLVLLIEIEGDLISTIPKDNANKNFTNTSVEVEAASEDQESPNCATQPSICDTEEPPPRRVCCRNRCVDVSFDADNCGVCGIACPRFGSWHCCWGVCVNINFNPFNCGACGRPCRLATPCIWGRCLFTTPEPPALLSAGSPEENSPKIPNH >RHN73893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21257908:21264170:-1 gene:gene9784 transcript:rna9784 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLSSIDEDGRVGICKERKKVIKQLVCNREEFSDALLAYLKALRNTGATLRQFTESDTLELDFASTGLPEAPPSPPPDLLPPPLPPFLAEKSSMHIGEAEILENDGTGNFVHMLQIDQGLSSSLLFQPVDKVEAVESFEEENWEETKTEFEDEVQDSEADVSVGRSRSGKQPVKEPVDDSSSAITLFRKETHVTKAMPVVIGRSGRSLEGIVKELDDHFLKASACIKEIAVLIDISSGDTLLRQNSGRHPSKRTNSARVFSVLSWSRHTKSSTFTKDDAEFSSPSEPCRPGAHCATLKKLYAAEKKLYKAVKEEGITKLEFERKSLSLKKQEDENLDLVKIDKIRSNVEKLESDLISLRQCISETTSSILELIDEELLPQLVALTAGLSQMWRIMHGCHQSQALISQQLSNLGDNHNTILNSEYHHQATIQFESEASYWYNSFCKLVKSQQEYVRTLSKWIQLTNCLRDGHESSNHSSIRTICEQWELGLDGLPDKEVADAIKNLLLSIRSIIAQQAEEDNILKKLEKLERKLQRCSTSLAEMQRKIELNFEDDGDEGNISPRHPLFHKKAETEALKKQVESVKADYLDSVQYSRTMTLDNLRTRLPHLFVSLMEFSSASSQAIEAINSQSSQ >RHN58675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3867069:3870268:1 gene:gene20607 transcript:rna20607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MSFSFNNHFSNTNNNITTLDITKFVETSSLKTHDDDHFALDDVIYPSYSDFPPGFSPSELLNSPSFLSSSNIPSSYTNEPSTVQSMNQQHSKELEERNFSEPSFQTQKQTMHDLFQSSTSMFQVEEPLKTHDTLIFNESTKQTNFSFEGTTKHEAQTNSSVPNSSYFNNTSASMSIREQRKSEEDGYKWRKYGEKQVKGSENPRSYYKCTNPICSMKKKVERSLDDGQITQIVYKGSHNHPKPQCTKRRANSQYIHQPSSSCNNSMVSDLSLGEDDFDQTSQTSFSGGDYDDLGIEAKRWKGENENDSYSYSTEGCRTVKEPRVVVQTTSEIDILDDGYRWRKYGQKVVKGNPNPRSYYKCVIQGCTVRKHVERAAHDIKAVITTYEGKHNHDVPLGRGISSSSINSTSLNNNTCNVTPIRPSAVTNYSSLANFTNSLHDSKLPTSENQEHFQLDMLMNSRSFLDRSIGSNTNSEQYATKDDSFLQSFVLKNI >RHN59136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7813727:7814190:-1 gene:gene21126 transcript:rna21126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MKSIESGACTYWTKPLAEEEVKIMWQHVVRNGSTENKYEIVGSLVVQECRKRGREDANASKETLAKKTCLSWSPELHQQFLCAVNQLGLDKARPKMILKIMDVPGLRVGHVASHLQVCFWKESI >RHN79209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25852474:25853180:1 gene:gene2951 transcript:rna2951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MFTFIFCRVLQVFRSARDAQVSKNKFNNISWVRVQCPRQENGIDCGYFVMRFMKEILISKLNEIPKLYIDDFKCVTYSKDKLREIQEEWCQFMLDLKVI >RHN48465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47661163:47662308:-1 gene:gene43184 transcript:rna43184 gene_biotype:protein_coding transcript_biotype:protein_coding MERNRVQDSYIRLSNNRDLFEMLFGTKSSHDISIYSHLLNIWNTPNKVHDYHSIFLL >RHN74368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32262366:32264636:1 gene:gene10419 transcript:rna10419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MQSLVSAKFLVLVGESFPMRRALLNSRFAWFHSSVKCLNKHDSLTLSSVGFESEIEPKITSEENKVKPLGLSSSEGFKVKRKPFGISEKKSVRIKERKEIENAPFAAKSFSELGIPEVLIERLGKEGFNVPTDVQSAAVPTILKNRDVIIQSYTGSGKTLAYLLPILSVIGPLKGENNEGGGDGGESGKKLGVEAVIVAPSRELGMQIVREFEKILGMENRKVVQQLVGGANRTRQEEALKKNKPAIVVGTPGRIAELSASGKLRAHGCQYLVLDEVDELLSFNFREDMHRLLEHVGRRSGADHNSKAKKTERQLIMVSATVPFSVVRAAKSWGSDPLLVQAKKIVPLETLSPEPVKLSPSSSSTPSVPSKAVVESLPPALKHYYCVVRLQHKVDTLRRCIHALDAKFVIVFMNHTKQLKDVVFKLEARGVKAAELHGDLGKLGRSTTLKKFKNGEVRVLVTNELSARGLDVAECDLVVNLDLPTDSIHYAHRAGRTGRLGRNGTVLTICEESEVFVVRKLKKQLEIPIACCNFVEGKLTITEEENALRSTS >RHN44736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7943567:7951759:1 gene:gene38895 transcript:rna38895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-N-acetylhexosaminidase MMMFHSKPRRTLSPSFIFFFLFFFISLSFASKTTPKHHSQSLTYLWPLPSNFTSGNHSLSVDPLLTLSVIGNGGVASSPILDAAFDRYKGIIFKHAGFEFGKGFVRKLRERISLIAYDVVGLNILVHSDDDELQLGVDESYTLSVSKASESSVAWEATIEAHTVYGALRGLETFSQLCSFDYTTKTVQIQKAPWSIQDKPRFAYRGLMLDTSRHYLPINVIKQVIESMSYAKLNVLHWHIIDEESFPLEIPTYPNLWEGSYTKWERYTVEDAYEIVNFAKMRGINVMPEVDVPGHAESWGAGYPDLWPSPSCKEPLDVSKNFTFDVISGILSDMRKIFPFELFHLGGDEVHTDCWTNTSHVKEWLQSHNMTTKDAYEYFVLKAQDIALSKKWTPVNWEETFNTFPSKLHPETVVHNWLVSGVCAKAVAKGFRCIFSNQGVWYLDHLDVPWDEVYTADPLEFIHKESEEKLILGGEVCMWGETADASNVQQTIWPRAAAAAERMWSERDFTSTRNATLTALPRLQHFRCLLNRRGVPAAPVTNYYARRAPDGTGSCYDQ >RHN52975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40786877:40790122:1 gene:gene37717 transcript:rna37717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cycloartenol synthase MNSSGNTSCWYRHISKGGWPFSTQDNGWPVSDCTAEGLKAAILLSNLPIESVGKAVETEQLCNAVNLILSLQNRNGGFASYELTRSYTWLEKINPTETFEDITIDYQYVECTSAAIQGLALFTQQNPRHRKMEIDICIVKTANYIESIQWADGLIAAGKSYKDSVSIRKGCEFLLSKQHKLSGGWGESYLSCQHKVYTNLEGNKSHVVNTAWAMLALIEAGQAERDPTPLHHAAKVLINSQMENGEFPQQEMIGVFNKNCTINYSACRNIFPIWALGDQYRSRVLL >RHN78823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18750832:18751512:1 gene:gene2479 transcript:rna2479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAKATAMSLPDEVMIEILSRVDSTNHLELRCVCKLWKLLVLDPLFMKNHLLTSITDLASICCKANEQFNALKSCIKEEEKEHNEEDLDVDINGEEDEDGDGANFDAEEEEEEDVDFDAEQEEEESKNNVLAELNKFMEKDNQLEKKVENLDNLDVQWMIINVAKFDNILTYIGYIKSFTLNFLESIKSLEDRMELKGNLESVKVEKQTMEDNLNCLKSFIMRTYLE >RHN80975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42360310:42360759:1 gene:gene4962 transcript:rna4962 gene_biotype:protein_coding transcript_biotype:protein_coding MMHIKSINCCIWFICYKLHDITLNAYPVDLNTKLTTINYNEGKLNLFRVQVDVTLSLLKDQLDQINHRLNHKDIRRVDNVEYYHPSTDSYGNVWFAKMKLMNDENMRTMISTFGKYSSKEPIKLDASLVGSFKDIRESLIKLIEPKKKS >RHN44132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1927614:1929505:1 gene:gene38200 transcript:rna38200 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNDITRGSGRGAGISINKVKGNKGGLSLLLSPHVTLQRL >RHN56021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26896104:26901047:-1 gene:gene31337 transcript:rna31337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TGA like domain-containing protein MGSSRTINSGISLFEIEYGRWIEEQDRQNEELRNALQTNASDIQLHLLVESSLNQYSNLFRMKAEAAKIDSLYLISGAWKKPLERLFLWFGGSCPSQLLNIVVPKLDALTDQQIVNVNNLRLSSLQAEDALTEGLEKLQQSMINNIQADPLDFGNYGFQMAAAAIEKVEALESFVNQADHLRQQTLVYMSRILTIVQAAQGLLAMGDYFHRLRTCSSLWTSRSCISLFEIEYGRWIEEQDRQNKELRNALHNNASDIQLHLLVESSLNQYSNLFRMKAEAAKTDVFYLISGVWKKPLERLFLWFGGYHPSQLLNIIVPKVDALTDQQIVDINNLRLSILQAEEALTQVLEKIKQSMISSIQADPMDFGNHGFQMAAAMDKVEAVPSFIIQADHLRQETLVQMSHILTIRQAAQGFLAMGGYFHLLRNFRTRLMSKI >RHN76030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47123676:47129049:-1 gene:gene12302 transcript:rna12302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease P MGFFDLNIPYPSPTTKPSKSTIENNRTRLAVKAMELGYTGIAYNRTMKGLMSDNHRCSISPLSLSSLLNVVPFLASSAKLHRELLGVSASTPFRQYTRITVCVENTLQANALNAGNPILKTYDLVAVMPLNQNAFDVACERMAVDIISIDFSAKLPFRLKQSMVKMATQRGVVFEVSYSGLIADVQLRRQLISNAKLLIDWTRGRDIVFSSAAPSVNELRGPCDVGNLLLLFGLSKEEAKSAISKNCRVLLANALRRKRFHKEAIRVEVLSSDVASHSQELLKWDPLSSGEGDILLDDMENSGSASCKASKAAKAIDFVKILDNLPSEGYKVQDFLPGNDAVSIFSINKVNFMPVAENVNQSTPAPDNLTEQPNRANVCPKQDESSSLDGITKHHIVRCGNFSEKNVHNGTAEAFHSKEIDTETNGAKLELKNSIDSDVRMDDVEKSFTASCEASKIAKATVDTYINGNLLPVSEKANQSTPEPNNLTKQSDRLRVSLAEDERSLLSDTVATDDVVSRDDLFEKNTHNGTIQSFNSKVEIDTQTNAPKLGLPNFIDSDVDCTQLDAKSLDSQSDLCISSNVLDTLKPHENEKPLKSSVDPHNINEKVEISSPSIGVIFPATEHAKHNENNSDVNLNAHFSTMQENLPKEDFKIAEHTVVDMNSSTSVTSVGDGQFNKPETDAVEVDELPDQTPFDEMNTEDDSTAAIHSFPEVMVEDKKLVEVSTDSDQLASVQSDSGRLRVKRRTPVLFPLKRLLHMVPFKKKGKKVKRTNPK >RHN72575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8804482:8805849:1 gene:gene8302 transcript:rna8302 gene_biotype:protein_coding transcript_biotype:protein_coding MTIILDDVSRLLLEPIHGKLFSHHAKISQEQGAYLITHLLGVDPDYVAADCDNMKDAHM >RHN62202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41679822:41680936:1 gene:gene24753 transcript:rna24753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSPPKPYINQLKSLTFPSTSKSSKPSKTQFPYMNYAFSTTTLTSDQELSVIVAALTNVVSGSTSTVGLDRIVQPVNIETCRECNIAGCLGCNFFPEEKKQKQKQKQKRAKNNKYRGVRQRPWGKWAAEIRDPRRAVRVWLGTFTTAEEAARAYDNAAIEFRGPRAKLNFPLVDESLKRTVEDPELVVHVKDEEMQIETTMGFGNNTTECDFWDSIGEEADFQQLMRFMDSSHSRTGNTFN >RHN66948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22081467:22084585:1 gene:gene15072 transcript:rna15072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MMTILTSQMSLFLLLLLFITTFHKTMCSNHTVVQCNEKDRETLLTFKHGINDSLGRISTWSTKNDCCAWEGVLCDNITNRVTKVDLNSNYLEGEMNLCILELEFLSYLDLSDNKFDVIRIPSIQHNITHSSKLVHLNLSSFNFDNTLHMDNLHWLSPFSTLKYLRLSGIDLHEETNWLQAVNTLPSLLELRLKSCNLNNFPSVEYLNLSSLVTLSLSRNNFTSYIPDGFFNLTKNLTYLYLRGSNIYDIPSSLLNLQKLRCLDLSQNYFMISSSIEYLNLSSLVTLSLSGNNFTSHIPDGFFNLTKDLTYLDLHESNIHGEIPSSLLNLQNLRHLYLSYNQLQGLIPNGIGQLPNIQYLDLSENELQGSIPTTLGNLSSLNWLFIGSNNFSGEISNLTFFKLSSLDSLDLSNSSFVFQFDLDWVPPFQLTYLSLENTNQGPNFPSWIYTQKSLQLLDLSSSGISLVDRNKFSSLIERIPNEIYLSNNSIAEDISNLTLNCSTLLLDHNNFTGGLPNISPMSNRIDLSYNSFSGSIPHSWKNLSELEVLNLWSNRLSGEVLTHLSASKRLLFMNLGENEFFGTIPISLSQNLQVVILRANQFEGTIPQQLFNLSYLFHLDLANNKLSGSLPHCVYNLTQMDTDHMDSWYVTTVVLFTKGQDYVYYVSPNRRTIDLSVNNLFGEVPLELFRLIQVQTLNLSHNNLTGRIPKTIGGMTNMESLDLSNNKFFGEIPQSMALLNFLGVLNLSCNNFDGKIPIGTQLQSFNASSYIGNPKLCGAPLNNCTTKEENPKTAKPSTENEDDDSIKESLYLGMGVGFAAGFWGICGSLFFIRKWRHACFRFIDRVGDKLYVTLIVKLNSFRRN >RHN41290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28910261:28910902:-1 gene:gene47595 transcript:rna47595 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLRNLEVVELVGEACLLSFDFDPLLHLSTCTLPLSYCWCPQLFLGHHHQLHL >RHN57006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35656542:35657372:-1 gene:gene32489 transcript:rna32489 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPVSVEEHHHETQFPARWPASCRGGATAPESKTPLFFDFFFVLSPSFLSFFPIFNSKMLKTQRFDLDLKGKKYYFFGRSGLNSKPKVVWFGFDGLLC >RHN43770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48366300:48368145:1 gene:gene50392 transcript:rna50392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, 4Fe-4S ferredoxin, iron-sulfur binding, leucine-rich repeat domain, L MEESCKASSSEMSTTVEEEEQEGPPHEAMFLVIAYLRVYEVLTMSRVCTSLRYAVNNDVLLWLKIIVETPLNSRLSDDTLLKITSKANGRLQTLALMNCIHVADHGLQRVVEQNPFIEELHIPACTGITPEGVLTAVKTLCQRANCLTTLSLNGIYNLQNDHLDVLTSNLRKNLPLEDELTQQPIYYHKRGSVSAFKHNENQRIIDLEKCPKCFEVRMVYDCPKVDCNRKELCQAQCRGCIFCIPRCENCGGCVGSEELEDVACGDFLCLECWLQLPKCNFCNKPYCKQHTNWWCTSSESSFLCRVCDENSHGYTYTDVL >RHN56376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30437322:30442041:1 gene:gene31766 transcript:rna31766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxyisourate hydrolase MESHTCLTLVFFVLVNLAVGVLSTDNYSRHDFPVDFVFGSGTSAYQVEGAANEDGRTPSIWDTFAHAGFARGGNGDVACDTYHKYKEDVQLMVETGLDAYRFSISWSRLIPNGRGPVNPKGLQYYNNLINELIRNGIQPHVTLHNYDLPQALEDEYEGWLSRQVIKDFTNYADVCFREFGDRVKYWTTVNEPNIFAVGSYDQGISPPKRCSPPFCVIESTKGNSTFEPYLVVHHILLAHSSAVRLYRRKYREEQNGFVGISIYAFGSVPQTNTEKDRAACQRFHDFYLGWIMEPLLHGDYPDSMKANAGARIPSFTSRESEQVKGSYDFIGIIHYIKLNVTDNSDVLKTELRDFIADSAAKPLGTEDIFVANEYPFTPWALGEVLETFKTLYGNPPIFIHENGQRTLSNASLHHDESRVKYLHGYIGTVLDSLRNGSNMKGYFAWSFIDAFELLDGYESIYGLYYVDRNDPELRRYPKLSAKWYSQFLKGTRSSLVGAIELNNDSSLVSVGHLLQ >RHN73638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18410544:18413949:-1 gene:gene9475 transcript:rna9475 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQYQRENLHFLSEEILRLQESLSKYEGTDDRSTPQVDLAHLLAARDQELRTISAEMNQVQSELRLARSLIAERDSEIQRVRTTNNQYVEENERLRAILGEWSTRAAKLERALEAERMSNIELQRKIPTVRSQTHMSVEATDQGA >RHN76960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2717799:2723749:-1 gene:gene356 transcript:rna356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MSFLSTLRNSTSHFFHHFSIQRLQILHTSSSPSLLNARNGLFAAIIAGTSLGYVLYTTDFDSTHFQSSISSFVDRSTPNSPSFLTKFSLPETSGAFLFREAYRRKVFFNYEKRIRLRSPPEKVFEYFASHRSPEGEVLMIPADLMRAVVPVFPPSESHLVRDGYLRGERSPGHLRCSPSEFFMLFDVNNDGLISFKEYIFFVTLLSIPESSFSVAFKMFDVDNNGEITKEEFQKVMALMRSHHRQGVQHRDGLRTGLKVNDSVENGGLLEYFFGKDGKGCLQLDKFVIFLQDLHDEVRTRLWLYTSFYPLVFCSYVREDSLSSKCTILRLEFDHYDYKSRKAISAKDFALSMVASADMSHLGKLLERVDELNNEPCFKDVRIRFEDFKNFAELRKKLLPLSLALFSFGKVNGLLTRDDFQRAASHVCGISLSDNVVEIVFHLFDTNRDGNLSTDEFVRVLHKRERDIGHPVETGMLGLLSCCWNCRENISSSRFFS >RHN47929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43635596:43637857:1 gene:gene42585 transcript:rna42585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S10 MAYAAMKPTKPGLEESQEQIHKIRITLSSKHVKNLEKVCADLVRGAKDKHLRVKGPVRMPTKVLHITTRKTPCGEGTNTWDRFELRVHKRVIDLYSSPDVVKQITSITIEPGVEVEVTIADA >RHN71722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2200108:2203375:-1 gene:gene7351 transcript:rna7351 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVFSLIPMAVLCNLAAIETRSAQILLTRFCSWVFQFEVSPFLQKPYIRKQSSQFILQFRPLILPDKETIIHPQLESFLHNMP >RHN77606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8086268:8090821:1 gene:gene1076 transcript:rna1076 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTQNMKRGVKKQRHTNKLLFGAFQFSKKPKKQEKVRSLSAVTEMSCHVSEKQQEHPKRFKLHNNFLNGCYGASVPRKLRSAMKKRGRESILHDKEKVNHKIDGIESLEKDNVKKSKKHEIGQNWSQRGVFGSITKDEEEVAETLYALAAMFPRSGSNDIIKELDGEPFMENSSVLQDMKEKVNASLEASVIIQGESFCCESCLLGESSKITNETINGQELFERAKLLVGSHSTAPSTDLQTMPETVKHECRKKVALDDSELCLAMGLNMTGKSRILQCERKPDVELDAARNFDSKQKQQSMKEQVKNEGLALWPGLSSVSYDVSPKRSRKRCATHVYISQTIRSLESPKQRVIKESNLHGCHETRASERSKYGVLSEVQNLNRMINGVVTCDTARNPYESKNGILLQQCHYGEISQAASTYGVYGPQKQSFNLLSLSTGSYGLKVDDNNYNKVRSRLEPLSNMHVPYFQSLAQQQRVMPIPTYQSRYASTVYLNHMTVGGPQLQLQQPHYYGSQLYGTQYSSTVSNKQEQQKNLGMQQAAQGRSSVNWNIMRTQNPNWQSGRNYYSAMGPCAPQVIFPHTPTSQEIFGSKITSMVGQQQQLISPIHDKWARSSSQFYL >RHN71092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56432429:56433489:-1 gene:gene19735 transcript:rna19735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MNITVMLLRHARLQRMTYASKIKIDVQVQVYTPKKVQSDKFKTGKEEYIDREIIMEEKREITFGRLPVMVKSDLCWMSDVEKGDCEFDHGGYFLIKGAEKVIYNYDFFIYLHEFLSCLLHIFLVLYNSQPFEFPA >RHN48736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49824036:49824282:-1 gene:gene43484 transcript:rna43484 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDASIGDFVNKLKEIRMENDEFVDEDDDNDNDFDDSDDEYENEPITLGFLEKPKNPLNLSQKPKNS >RHN53398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1464240:1464839:1 gene:gene28300 transcript:rna28300 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFSPSSANLFSPFRISSSRSSKKIFLYFTRIDSLLFLMFGNINLIFSVKFQYQCRRILAGSPNSDPEHELLKSATISRALSVSISADTRKDLE >RHN65235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:424223:424737:1 gene:gene13054 transcript:rna13054 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSVIAVQFLFPPQLIFQPPFLFLPQPFGNEKHIYSIIYGHRDGTIASYSSSLFFGFDMRDVIIDGKSTGMCRFMLAEMLIHWFDQLPNNYPSKRTSGYKRFYAKAVSADSHKPLVDNNQNTPSSSSSKLSLFLVVQAVLVTIHLFFFLLFLL >RHN46573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32893074:32893497:1 gene:gene41074 transcript:rna41074 gene_biotype:protein_coding transcript_biotype:protein_coding MDRETLFKDPMSMEPKTPKKPTNQLNSNYSDTPTLEKSKGEFEVIGEDEDDALSLAS >RHN68827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38884611:38890099:1 gene:gene17236 transcript:rna17236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannose-1-phosphate guanylyltransferase MGIAEERVVAVIMVGGPTKGTRFRPLSFNIPKPLFPLAGQPMVHHPISACQKIPNLARIYLIGFYEEREFALYVSSISNDLKLPVRYLKEDKPHGSAGGLYNFKDVIMEEDPSHIFLLNCDVCCSFPLPQMLDAHKKHGGMGTILVVKVSPESASEFGELVADPVTNELLHYTEKPETFVSDLINSGVYIFTPDIFTAIEGVSSQRKDRANLRRVSSFEAMQQDTRSLPANYVRLDQDILSPLAGKKQLYIYETMDFWEQIKTPGMSIKCSALYLSQFRHTSPHLLANGDGIKKACIIGDVYIHPSAKVHPSAKIGPGVSISANARIGAGARLINCIVLDDVEIKENAVVLHAIVGWKSSIGRWARVQGRGDYNAKFGVTILGESVAVEDEVVVISSIVLPHKTLNVGVQDEILL >RHN71400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58737282:58742227:1 gene:gene20079 transcript:rna20079 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGEGGEEENTLEFTPTWVVAGVCTVIVAISLAVERLLHYGGKFLKSKDQKSLYEALQKIKEELMLLGFISLLLTVSQNRLTKICVPPGVLRHMLPCSLEDKKESHSHSAFSFPGRIARRLLADRLLAESESAEEPLKTGFCGRKNKVPLLSVEALHHLHIFIFVLAVVHVTFSVLTVVFGGARIRQWKHWEDSIAKENYDTNKVLKPKLTHVQQHEFIKGRFSGFGKDSALIGWLQSFFKQFYGSVTKSDYVTLRLGFITTHCKTNPKFNFHKYMIRALEDDFKQVVGISWYLWLFVVIFLLLNINGWHTYFWIAFVPVILLLSVGTKLEHVITQLAHEVAEKHAAIEGDLVVQPSDNHFWFHRPHIVLFLIHFILFQNAFEIAFFFWIWVTYGFDSCIMGQVRYIVPRLVIGVFIQVLCSYSTLPLYAVVTQMGSHFKRAIFNDHVQVGIVGWAEKVKKKKALKADGQPSQGSSHIHEGSTGSSTGIQLGSVFQKRASAPEDTTSVTKPEGSN >RHN53158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42428201:42435772:1 gene:gene37928 transcript:rna37928 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIGVIRLPCCLKIFIKVEMILHEASTIEVPVPQGAADLNLKNALDILLVGLAFITLPFLL >RHN53195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42725365:42734757:-1 gene:gene37974 transcript:rna37974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cycloartenol synthase MWKLKFSKNKDGTEELVRSVNKNIGRQFWEYDPNLGNEQERAQVEHARKQFHVNRFKTKNSSDLLMRLQFEREKGVLNMKSEKNNNKVKMEKEEGYIISEEKVGKTLKRALRCYSTLQTEDGFWPGDYGGPLFLLPSLVIGLWVTGAVNAVLTPEHQSEMRRYLFNHQNEDGGWGLHIEGPSTMFGTAMSYVTLRLLGEDIDSGDGAMQKARKWILDRGGATSIPSWGKLWLSVLGVYEWSGMKAIPPEIWLLPYFVPLHPGRMWCHTRLVYLPMSYLYGRRFVGPFSAIVLSIRREIYTLPYHILNWDHAKYHCAKEDLYHPCPMIQNILWGFLDNVGEPLLMHWPYSKLRNKALNHVMKHIHYEDENTNYICLGPVNKVVLNMVCCWLENPNSEAFKCHILRIKDYLWLAEDGMKMQGYNGSQCWDVALSVQAILATNLDDEYGSMLKKANNFIKLSQVTMNSSGNTSCWYRHISKGGWPFSTQDNGWPVSDCTAEGLKAAILLSNLPIESVGKAVETEQLCNAVNLILSLQNRNGGFASYELTRSYTWLEKINPTETFEDITIDYQYVECTSAAIQGLALFTQQNPRHRKMEIDICIVKAANYIESIQWADGSWYGSWGICFTYGTWFGIKGLIAAGKSYKDSISIRKGCEFLLSKQHKLSGGWGESYLSCQHKVYTNLEGNKSNVVNTAWAMLALIEAGQAERDPTPLHHAAKVLINSQMENGEFPQQEMIGVFNKNCTINYSAYRNIFPIWALGEYRSRVLL >RHN55668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20902054:20903411:1 gene:gene30887 transcript:rna30887 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLVLFFLFLQITSFIAFTEELETFHNKPATPLHPPTKSPVHKPHHNHSPSHAPSHVHTPLHPPHPAKPPTHHHHQHQHHSPSPTPSHVHTPIHPRHPAKPPTHHHHHHHSPAHAPIKPPVHTPLHHPHPAKPPTHHHHQHHSPSPAPSHFHTPLHPRHPAKPPTHYHHHSPAHAPIKPPVHTPLLPPQSAKPPTHHHHHPPAHAPTHTPLLSRSLIVVEGVVYVKSCNHNGVDTLKGATPLLGAIVKLQCNNAKHKLVLKAKTDKKGYFYIGGPKNIVGYSTRHCNVVLDNSPKALKPSNLHGGLTGALLKPVKRSVSKGISLKLFTVGPFAFEPKCHH >RHN81707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48312585:48314664:-1 gene:gene5788 transcript:rna5788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine decarboxylase MTHKYCFFFFLQGKKGWTFILMYVIARSTLMVFVKAGYPTNQNFDYDALAPLLHFHINNAGDPFMGSSYGVNSTDFEISVLDWFAKLWEIKKGEYWGYVTTGGTEGNLHGILIGREKFPDGILYTSQDSHYSIFKIARIYRMQCIKVGTLINGEIDCADLKTLLLAHKDKPAIINLNIGSTMKGAIDNIDMVIETLEERGFPRDRFYIHCDGALFGMMLPFLEQAPSITFKKSIGSITISGHKFLGCPIPCGVLLTRLEHINTLCKDVEVIGSRDTTISGSRSGHASIFIWYALQKKGLLGLENEVHKCIMNACYLKRKLRDAGICTMLNEYSNTVVFEKPLDCEFIRKWNLAYEGDIAHVVVMQHVTVEMLDSFVDEFIKTRLRKPLCLADKIGAENCTCILHI >RHN69668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45408573:45408998:-1 gene:gene18167 transcript:rna18167 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEWGEIMVLDPFIENRSGNALESHVSLVTTVCKYNKMCATRT >RHN73437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16403410:16403679:-1 gene:gene9250 transcript:rna9250 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWKFYLFFRSPSFLAFPVRFSCFPLLRFGCSSLFRFGAGFFCSGSTQAFLVPVRFWFSAPVRFSLFQICLDDDLCVWIDLRFFLF >RHN59920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14794707:14796059:-1 gene:gene22090 transcript:rna22090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MSIVKRIKSRLSGWNSRYLSFGGRLVLLKSVLSSLPVYVLSFFKAPSGKWCWRLLVDRESLWYRVVSARYGVEGGYVREAGREASAWWREISVLRVEGWFSDNVSRVVGDGKNTMFWTDAWVGGMPLSERFTKLFNLSLLKSESVFGMFTLGWGLEGAAWRWRRGLFAWEEELVGDLRLLLQNVTLQVDRVDRRFWRLETDSVYTVRSAYNFLTANAPTDGAVSLPFLWNRDVPLKVVLFAWRLLRDRLPTKDNLIRRHVMGIDDQFCVGCGEVETSSHLFLHCNLFGAIWNYIFRWIGVSSVLPGDVISLFNQFNFFGGAANSRKALLQVIWFASVWEIWKERNNRVFNDKNCSIPQLVDKIKSLTFLWLKGKYVTLPSNYHGWWLCPFTILGVG >RHN65066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63978583:63978988:-1 gene:gene27959 transcript:rna27959 gene_biotype:protein_coding transcript_biotype:protein_coding MENLHGEILHASSMYEKLVDVAIDFVDEMSEAGFTLSSHAMQSLLETCSKTDQHFRVLYIFLLKAASLLR >RHN64434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59069338:59070453:1 gene:gene27250 transcript:rna27250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S49, ClpP/crotonase-like domain-containing protein MESKTESKVFLSLIEFSENLRKASHDPRVSALFIRIHIDFECRWGMAQEIGVDITNFRKSDKLAIAFVPTTIYRRLYIGCFCNELYLPPPKPENGSGGPSGLESDSGSAQQDLIDYSLYVETEVIAMGKYKQDTPGSAEKIESDDAIVSDVICHWLGKFSSLRGLSLEFLLDFLQSGDQFDLRNWVVAGLITGLCEPDELISSLSRKFGDLVDLKQYSRVRKWTVGLVEGEERIAIIRVSGGINPSYLIPADDNIITKIRQVRSSNTFKALIIRVDSHGGDMYTSDLLWREIRHVSRKIPVICSIYDHGYSGGYMVAMAGNVIVANELSLTGSIGVFLSRANFRNVYALLNNKNKEFFSRGLFAGVHEADH >RHN41158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27656398:27658868:1 gene:gene47449 transcript:rna47449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MTTPYNILFKTSPNYIKLKTFGCLCFPWLKPYTNNKLEQKSEPCVFLGYSSTQSAYNCYNFKTNKIHHSRHVQFLENEFPYSYDFNSTTTPTTSPTTSPSNINQSFTPLTNHIPLSTSESNSPSNSISPITPIVSTNQTNQHHITNNPTITSPQNSNSTPSNNPPNINISPNPNSNLHSTYTPNQTPSPSNETSDNSPTLCTLSQSQSIPATQPPLVPTHNMVTRGQKGIFKPKKLFSVSKYPIPPSVELTCVSKALQHVEWKQAMSDEFMALMKNGTWSLVPPEPHFNIIGNKWVFRLKRNPDGPIARYKARLVAKGFHQRPGIDFKDTFSPVIKPQTIKLVLSIAISKKWLLSQMDVNNAFLHGTLSEEVYMSQPPGFIHQNFPHHVCKLHKSLYGLKQAPRAWYNELKSFVVAYGFSNSKSDPSLFIYNKDNVISYFLVYVDDILLTGNDSSSLHNFKQALAKKFSLKDLGTPSHFLGVELLPTSTGIFMTQHHYIRELLQKANMLDAKPVSTPMSTSCSLALEDDPSTCDASSYRSIIGSLHYLSITRPDVAFPVNKLSQFMQKPNATHMQALKRVLRYLKATISHGLHLVPTKCLTLQAFCDADWGGDINDRKSTGAYIIYLGSNAISWSCKKQPTVARSSTEAEYRTIGSTTTELLWLQQVLKELGINIQQPPAIFSDNIGATYLCANPVFHTRMKHLAIDYHFVRDLVAKNQLTVSHVPSSHQLADLLTKPLSSTRHSFLTSKIGVVESSSILRGRIGVLRTNPS >RHN73760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19717672:19721319:1 gene:gene9622 transcript:rna9622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TIFY family MDGVTVKFEPEQFTVLESSPIAVDGASSNMVEGSMMNSSANKSMPASGMNPVIANTTQLTIFYNGSICIYDGIPAEKVQEIMRIAAAAAKSSETKKIVKQSPAPSPVPTRPSSPHGTADNIASSQALPFPAKSSICRMQEFPIARRHSLQMFLQKRRDRLGSKAPYPSSPKTKVADNMENNFGADNSPDSVSMKEPKEEFQPTISAS >RHN72636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9289566:9290207:-1 gene:gene8379 transcript:rna8379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MIALALKNKVHVGIVFDRVFFKQLAGNYIITLEDIRNADPIMYSSCKQILEMDADYIDSDALGLTFSIEVEELGHRKVIELCSGGESIVVNSKNREKYVDLLIQNRFVKSISEQVSHFAEGFADIISGSRLEFFQFLDLEDLDWMLHGSENAISVEDWKAHTKYRGYKKNDCQISWFWKVCRTLILWNHIVFFIFTIFYFPPFSCIFKILWRV >RHN66181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9526207:9528158:1 gene:gene14114 transcript:rna14114 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFSFFLVIIFAATVASAQDLSPSLAPAPGPDAGAAGSITSSAAMIGVSIVLSMLAIFKH >RHN42556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39282623:39290825:1 gene:gene49008 transcript:rna49008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MVFPQEEKESLVYDLRVSSVGPGHATGSDVFHYPNGLDLTMKLHYLKVVYFFDSEAAQGLTIKKIKESLFNLLDYYFIPCGRFRRSESGRPFIKCNDCGARTIEANCTKTLDEWLAMMDWHSYKLLVSQQVIGPELSFSPSVLLQVTQFKCGGISLGLSWAHVMGDPHSASDFINLWGQTLNNLSLKLPFNIPRSVSTPLNFGPEKDPATVKRVDPVGDHWIPANNKKMDTFSFHITSSLMNNLQEQIWGPNVEQTPPFASLCAIIWRCIARVREGSEPTTVTVCRPDPNGRGNDIMGNDQLICKVEAGKECSIVDTDLKNLASMLVDQGIDERNQIKDIVENDQGVTDFFVYGANLTFLDLEDVNVHDLKLKGQKPRFVYYTLQGVGDEGVVLVLPKVNDIEGKFVTIILPEDEMVKLKSELKVNGIMFEGDF >RHN62023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40138998:40142314:1 gene:gene24548 transcript:rna24548 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVPILLLFFGCCNCCFMFLLLLDGSRFFVGFYAWWRGAACSLVDSSGCWLWVVVPGPVLVACGEAERCLWRGRDILVASHMYMSASLPDFLVC >RHN60398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26216993:26222101:-1 gene:gene22706 transcript:rna22706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAATLVAGAFLSATIQTIADKLSSSEFRSFIRSTKFNYSQLKELKTTLFSLQAVLVDAEQKQFNDLPVKQWLDDLKDAIFDTEDLLDLINYDALRCKVEKTPVDQLQNLPSSIKINLKMEKMCKRLQTFVQQKDILCLQRTVSGRVSRRTPSSSVVNESVMVGRNDDKNRLVSMLVSDIGTSINNNLGVVAILGMGGVGKTTLAQLVYNDEKVEHHFDLKAWVCVSEDFDVVRVTKSLLESVVRNTTFAASKVWESDNLDILRVELMKQLMDRRFLFVLDDLWNDNYVDWSELVTPLFKGKAGSKVIITTRLKKVAEVARTFPIHKLEPISDEDCWSLLSKHAFGGEDLGHSKYSNLEAIGRKISRKCDGLPIAAKALGGLMRSKVDENEWTAILNSDIWQLQNDKILPALHLSYQYLPSHLKICFAYCSIFSKDYSFDRKKLVLLWMAEGFLDYSQGGKAAEEVGDDCFSELLSRSLIQQTNDDSHEKKFFMHGLVYDLATVVSGKSCCRFECGDISENIRHLSYNQGEYDIFMKFKNLYNFKRLRSFLPIYFSTAGNYLSIKVVDDFLPKLKRLRVLSLSNYKNITKLPDSVANLVQLRYLDLSFTKIKSLPNTTSNLYNLQTMILAYCRVLTELPLHIGNLINLRHLDISGTTIKELPVEIARLENLQTLTVFVVGKRQVGLSIKELRKFPHLQGTLTIKNLHDVIEARDAGDANLKSKEKMEKLELQWGEQTEDSRIEKDVLDMLQPSVNLKKLSIDFYGGTSFPSWLGDSSFSNIVFLGISNGEHCMTLPPLGQLPSLKDLLICGMEILERIGPEFYHVQAGEGSNSSFQPFPSLECLMFRNMPNWKEWLPFVGINFAFPRLKILILSNCPKLRGYFPSHLSSIEVFKIEGCARLLETPPTFHWISAIKKIHIKGFSERSQWSLVGSDSACQLQYATIERCDKLLSLPKMIMRSTCLQHLTLNDIPSLTAFPTDVQLTSLQSLHISMCKNLSFMPPETWNNYTSLASLELWSSCDALTSFSLDGFPALERLHIYSCKNLDSIFISESPSHQPSVLRSLKIKSHYSIGSLKVKLRMDTLTALEELSLGCRELSFCGGVSLPPKLQSIDIHSRRTTAPPVTEWGLQGLTALSSLSLGKDDDIVNTLMKESLLPISLVSLTICHLYNLNSFDGNGLRHLSSLESLDFLNCQQLESLPQNCLPSSLKSLEFCYCKRLESLPEDSLPSSLKRLVIWRCPILEERYKRQEHWSKIAHIPVIEIEDQVTI >RHN63826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54286421:54287007:1 gene:gene26580 transcript:rna26580 gene_biotype:protein_coding transcript_biotype:protein_coding MEITAMSSLPTPKPSLPSPSTLPHTITTFSKPQLRRISLPTSTTISLLTLFTPPNEARAAVNISKDQIVSSLTQTIDQVQVVGSGFLDSAQRVAEAVGSALKPGFDTALPIVQQAGQEALKIASPAFSEASKKAQEALQSSGLDTQTAAKVCLIHLDKHL >RHN47250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38300552:38303246:-1 gene:gene41825 transcript:rna41825 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPRDLVAGLSIEVVQLLQEELTKIGHSMGKAVIVAANMIGCLIGKGGSIITEMRRLTRSNIRILSKENLPKIASDDDEMVQGSVPGFLPVLPYIPAPVDGPDVLNYDSRDGKRHGRGHSYSSGYGGSSDLGPGDTYGSYASSQGMRWTCFESTEFSQYIAEVNYWQLGNGFLVADWTIYLRYLGME >RHN68493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35961566:35966570:1 gene:gene16855 transcript:rna16855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEAKEDYTHDGTVDFHGKPAVPSKTGKWKACAFLVGYEAFERMAFYGVASNLVNYLTTQLHEDTVSSVRNVNTWSGSVWITPILGAYIADSYLGRFWTFTLSSLIYVLGMTLLTIAVSLKSLKPTCTNGICNKASTSQITFFYTALYTMAIGAGGTKPNISTFGADQFDDFNPHEKELKASFFNWWMFTSFLGALIATLGLVYIQENLGWGLGYGIPTAGLILSLVIFYIGTPIYRHKVRTSKSPAKDIIRVFIVAFKSRKLQLPSNPSELHEFQMEHCVIRGKRQVYHTPTLRFLDKAAIKEDPTTGSSRRVPMTVNQVEGAKLILGMLLIWLVTLIPSTIWAQINTLFVKQGTTLDRNLGPDFKIPAASLGSFVTLSMLLSVPMYDRLFVPFMRQKTGHPRGITLLQRLGIGFSIQIIAIAIAYAVEVRRMHVIKENHIFGPKDIVPMSIFWLLPQYVLIGIADVFNAIGLLEFFYDQSPEDMQSLGTTFFTSGIGVGNFLNSFLVTMTDKITGRGDRKSWIADNLNDSHLDYYYGFLLILSSVNLLVFLWVSSRYIYKKESSRIKEALCVQMEGNSTLDASLGLQV >RHN73269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14989261:14997833:-1 gene:gene9066 transcript:rna9066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MPPARELPGFYFDPEKNRYFPIKGPIPGSSSSKTKIITPRPSQPSSSQLQEKNRSSCRKLRNRTSKLLQIRELDGRHVNASHYCKCNFTEELRKIQTSQPAVWKYQGTNRIGISALEHLNVDVQTAEGRFRTDVLLNGSINGSLSFSEVGRIGQNFDDGVKWMPDCVKSRIKGKTDEHNEVPGPLFRPNGATLIMSSRISCIRLGPNVSPHAANDNPIVGNILFTTLGSETSGGSVYTISLVDPIDLGQGILNTWSRLEEITSFNSTLWTAEYDYIRHRAIIGTNQGGASVDLQSGTRSWFLRCKSDVFAQQIVDSGNVILCGLRNGAVVSVDFREKRLLSSRLTEHRISYVSSNKKVGSFKKDWFKLQGSIYPSHTIKMPSSISSLASLQFDDQYFLASSMDGSIRLYDRRMVQRGAVQSYEGHVNSHTQIQLGVDPYERYVMSGGEDCKLRIWSIKSGELLLEDKFSNSVLSTVCYQTFNKFKAEEENQYTHDSSLGAWLGSHEGLFYMHWL >RHN52291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33510417:33514353:1 gene:gene36950 transcript:rna36950 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMFFFSLGVNQNIVDEHNYEPIQKCMENAIHILHKHSRCIGHTERHNFVFIVTITRSESCLLHILIFYFNLVITRSQINLAENSCTH >RHN75440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42347514:42351563:-1 gene:gene11651 transcript:rna11651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGLRDQCNVEDKNLYLQTPTFIEWLKPSNSSLSSSPSSTHQHKLSQETFQFLPISSGIKPYEDDHGMQKEDFEVKEEKVEHVTVALHIGLPNIGGHESDEHDEKNKVFDCVKEEELKKNVHGFCFKEERRFWIPTPAQILVGPMQFACSICSKTFNRYNNMQMHMWGHGSEFRKGPDSLKGTQPAAMLRLPCYCCAHGCKNNINHPRAKPLKDFRTLQTHYKRKHGTKPFICRKCSKAFAVKGDWRTHEKNCGKFWYCTCGSDFKHKRSLKDHVRSFGKGHSPLPSLEGFEDEKECSNTGSDDDEVAHA >RHN59507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11508137:11510006:1 gene:gene21548 transcript:rna21548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MGTESKPLKIYMLPFFAQGHLIPLVNLARLVASKNQHVTIITTPSNAQLFDKTIEEEKAAGHHIRVHIIKFPSAQLGLPTGVENLFAASDNQTAGKIHMAAHFVKADIEEFMKENPPDVFISDIIFTWSESTAKNLQIPRLVFNPISIFDVCMIQAIQSHPESFVSDSGPYQIHGLPHPLTLPIKPSPGFARLTESLIEAENDSHGVIVNSFAELDEGYTEYYENLTGRKVWHVGPTSLMVEIPKKKKVVSTENDSSITKHQSLTWLDTKEPSSVLYISFGSLCRLSNEQLKEMANGIEASKHQFLWVVHGKEGEDEDNWLPKGFVERMKEEKKGMLIKGWVPQALILDHPSIGGFLTHCGWNATVEAISSGVPMVTMPGFGDQYYNEKLVTEVHRIGVEVGAAEWSMSPYDAKKTVVRAERIEKAVKKLMDSNGEGGEIRKRAKEMKEKAWKAVQEGGSSQNCLTKLVDYLHSVVVTKSVELN >RHN70519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51978936:51980925:-1 gene:gene19104 transcript:rna19104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MDSKSVYWAIIVAWLTLFQGSMILVQGQFNYKEALTKSLIFLEAQRSGKLPPNNRVPWRGDSAVDDGKLANVDLSGGYYDAGDNVKYGLPMAFTVTTLSWAAIFYKTEFEATKEMGNIQDAIKWGTDYFLKASSRRNKLYVEVGDPEEDHHCWAPPEKMKTKRSVKVIDSNTPGSEIASETAAAMASSSIVFRHTDRKYARRLLNKAKLLFDLAKSHKGTYDGECPFYCSYSGYNDELVWAATWLYMATKKSLYMKYIQEESISANVAEFSWDLKYAGVQVLLTQLHFEGQKGLETFKSHGESYICSVLPDSPYHQIHLSPGGFIHMRDGANTQYATSTSFLFTVYSDLLAKYKQKVKCGNKEFDSSHVLDFAKKQVSIPIAHILILYYQTNLEAHKIKLISNFAISSTKIQDILLIFSLQFFKLNFLTL >RHN82189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51817056:51821072:-1 gene:gene6329 transcript:rna6329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MIKLIPCLQHNTKLVNMKNHLLFLCIFFSFFILPITSQKHACDKGSPKTSNFPFCNTSLSYETRAKDLVSRLTLQEKAQQLVNPSTGISRLGVPAYEWWSEALHGVSNVGPGTRFDSRVPGATSFPAVILSAASFNETLWYTMGQVVSNEARAMYNVDLAGLTFWSPNVNVFRDPRWGRGQETPGEDPLVVSRYAVNYVRGLQEVGDEASAKGDRLKVSSCCKHYTAYDVDNWKGVDRFHFDAKVTKQDLEDTYQPPFKSCVLEGHVSSVMCSYNRVNGIPTCADPDLLQGVIRGQWGLDGYIVSDCDSVEVYYNSIHYTKTPEDAVALALKAGLNMNCGDFLKKYTANAVNLKKVDVSIVDQALVYNYIVLMRLGFFENPKSLPFANLGPSDVCTKENQQLALEAAKQGIVLLENNKGALPLSKTKIKNLAVIGPNANATTVMISNYAGIPCRYSSPLQGLQKYISSVTYARGCSDVKCSNQNLFAAAVKAAASADAVVLVVGLDQSIEAEGLDRVNLTLPGFQEKLVKDVAAATKGTLILVIMAAGPIDISFTKSVSNIGGILWVGYPGQDGGNAIAQVIFGDYNPGGRSPFTWYPQSYVDQVPMTDMNMRANSSRNFPGRTYRFYNGKSLYEFGYGLSYSTFSTHIASAPSTIMLQKNTSISKPLNNIFLDDQVIDISTISCFNLTFSLVIGVKNNGPFDGSHVVLVFLEPPSSEAVSGVPLKQLIGFERAQVKVGKTEFVTVKIDICKMLSNVDSDGKRKLVIGQHNILVGTSSEKQVTHHIDITNGEEFMSE >RHN52600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37003719:37014241:-1 gene:gene37294 transcript:rna37294 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFVFSFFLVLSCLSVIVVDASKGDAHPLYRSCIRQCEETGCVGPKCFPQCSFSSDGELVGRPWYIQEPLYLQWKKWDCLSDCRYYCMLDREKEKELLNHDPVKYHGKWPFKRIYGMQEPASVAFSALNLAMHFHGWVSFFIVLYYKLPLKDGKKAYYEYASLWHIYAFFSLNSWLWSAVFHSRDVDVTEKLDYSSAVILLGYSLILAILRSFNIRDEATRVMVSAPLIAFVITHVMYLNFYKLDYGWNMIVCVVMAVAQLTIWAVWAGVSRHPSRWKLWLVVISGGLAMLLEIYDFPPYEGFLDAHAIWHATTIPLTYVWWSFIRDDAEFRTARFLKKAK >RHN65694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4451324:4451740:-1 gene:gene13566 transcript:rna13566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 2-beta-dioxygenase MVLASPKPMRNETILPNDLIPIVDLKSERSEVIKQIVKASEEYGFFKVINHGISDGTIEKMEEAGFSFFAKPMSQKKQAAPAYGCKNIGFNGDIGEVEYLLLNANTSSIAQISKTISNDDPHSNFRNVMKTTSLLVLY >RHN64598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60301021:60303127:1 gene:gene27426 transcript:rna27426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative threonine synthase MVVSSLFHPSFSTLVFNAPKPNTHNRTLTVATATTNNHSPSPDLATDNIKDIARRHPATSNNFTAKYVPFNSTFDSPESYSLDEIVYRSNSGGLLDVHHDIEALAKFDGAYWRNLFDSRVGKTTWPYGSGVWSKKEWVLPEIHPDDIVSAFEGMNDLWVNRLRKMNRPLVGVGCASTGDTSAALSAYCASAGIPSIVFLPANKISTAQLIQPVSNGALVLSIDTDFDGCMKLIREITSELPIYLANSLNSLRIEGQKTAAIEILQQFDWQVPDWVIVPGGNLGNIYAFYKGFKMCKDLGLVDKIPRLVCAQAANANPLYLYYKNGWKEFKAIKAETTFASAIQIGDPVSIDRAVYALKNSDGIVEEASEEELMDAMALADSTGMFICPHTGVALTALIKLRNNGVIGASERVVVVSTAHGLKFADSKIDYHSGNIAGIGRFANPPVSVKADFGSVMDVLKDFLLSKAPK >RHN79540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29993460:29996011:-1 gene:gene3344 transcript:rna3344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MNTSHHRHSTSSPPVPGESKQNPTTAWNCYLRELSKQRKFMEALTVYRHMLRSSFFPNTFTFPVLLKSCALLSLPFTGSQLHSHILKTGSQPDPYTHSSLINMYSKTSLPCLARKVFDESPVNLTISYNAMISGYTNNMMIVEAIKLFRRMLCENRFFVNSVTMLGLVSGILVPEKLRLGFCLHGCCFKFGFENDLSVGNSFLTMYVKCGEVEYGRKVFDEILVKDLITWNAMISGYAQNGHARRVLEIYREMRKVGGVNPDPVTLLGVLCSCANLGAQGIGREVEKEIDRFGFRSNSFLMNALINMYARCGNLVRAREVFDCMDERSKSVVSWTAIIGGYGIHGEGETAVELFDVMVRSGVKPDRTVFVSVLSACSHAGLTEKGLEYFDEMERKYGLQPGPEHYSCLVDLLGRSGRLKEAMDLIDLMKVKPDGPVWGALLGACKIHRNVELAEVAFQHVIELEPTNIGYYVLLSNLYSDTKNLEGVLKVRVMMRDRNLRKDPGCSYVEYKGKMHLFYSGDTSHPQSKEIYRMLNELENLVKDIHGKDHKCQGKSEEPLIGAGVHSEKLAIAFALLNTKPGTDITVMKNLRVCVDCHVFFKLVSKIVDRQFIVRDATRFHRFKNGVCSCKDYW >RHN75211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40181768:40183141:1 gene:gene11382 transcript:rna11382 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRKCNKISSLFVCFKAIVDANDDFKPRRRKRNPSSDPVLAYMATADKDGVVLFSSTSKEESSRRRKRARKTWYALKMAINDTPLMKKIFSRRKSKKDSMSIINSNIEPEIIDKHKTTGRTNSNTSLNNIGSSTMFSSTSSLNSITSSHPDHMPNSSSLEINNIPKPPPLNGTNGASKKISSVVEDRRKRNIALCMLWIINLLVLILWGKLFAILCTLIWLCFVPYHLIWKCKEGVSSSSYKESEFDSVQYKKKIMMERILERSHDRDSLLNSTTKS >RHN47993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44119916:44137945:-1 gene:gene42658 transcript:rna42658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MASKQGAKSKRFGSIGGTKGVNSPSSSTTSSSKQFHETSNDAPSSPASSSVRSKPQQFYPETVPLDSQKTKENVTVTVRFRPLNPREIRHGEEIAWYADGDTVVRNEYNPSIAYAYDRVFGPTTTTRHVYDVAAQHVVSGAMEGVNGTVFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNLNLLSSRSHTIFTLTVESSPCGEYIEGEAVTLSQLNLIDLAGSESSKAETIGMRRREGSYINKSLLTLGTVISKLTEAKASHIPYRDSKLTRVLQSSLSGHGRVSLICTVTPSSSSSEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQQEIQCLKEELEQLKRGIVTVQPKDIGDDDIVLLKQKLEDGQVKLQSRLEQEEDAKAALLGRIQRLTKLILVSTKASHSTRFPNRPGPRRRHSFGEEELAYLPYKRRDLILEEENIDLYVNLEGNAGTADDSPKEEKKTKKHGLLNWLKSRKRESTLTGTSDKSSGAKSTSTPSTPQADNGNHVESRLSHSLAAESSPSADHISDARDDKDIHEDSLLGQETPLTSIKSVDQIDLLREQHKILSGEVALHSSSLKRLSDETRTNPQNSQLQVEMKRLKDEIKEKSEQIDLLEKQMSNYFIASEQTDQSGVSQAVAELMEQLNDKSFELEVKAADNRIIQEQLNQKICECESLQETVASLKQQLTDAIELRNFSPVVNHSQHFPGTKDYHGELYPDKGNMDSTNEGNLMQAQASEIEELKQKVEELTASKDQLEVRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNHNERLSAELAASKNSPTPRRTSGTAQNGRRESQVRLRRNDQGVSNSDVKRELALSKDRELSYEAALLEKDQKEVELQRKIEESKQREAYLENELANMWVLVAKLKKSQGAENDVSGSTKESLQFDGFDI >RHN78984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20574302:20575399:-1 gene:gene2659 transcript:rna2659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MGCPVSKNLTIVVKNSEDNHSNSSQVSKAMSLPMPLVHHPPTRKGDTHHLVSLTSTTYGSLLMINQNDYDDEPSKLNETQREESLSPDSVINAWELMDGLDDVDDEPNFDTPMEKNISSSSSSSPSSLNKKPLWQHLSEEALLAKLDSNVVSSYKRALLSRKHGRNNHLSRSAESSSGSSSSSSSSNSPLSCSLSSISRNLCCLPGAEDRIVFYFTSLRGIRKTYEDCCSVRMILKGFRVRVDERDISMDSSYRKELQNALGDKMVTLPHVFIRGKHVGNAEEIRLLHESGELENLLKGFPIKDSGFVCERCGDARFVPCSKCNGSRRVFEEEKGKLRRYNDASLEKRCIDCNENGLIRCPTCCS >RHN39379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6613128:6617221:-1 gene:gene45397 transcript:rna45397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MCFGLHRRSRPAAFKFFTAGHSSSEIRKSVQNAPSVCQFDAGRSPTSLNNLVIQLRKVCNHPDLLESVFDGSYFYPPVNEIIGKCGKFQLVDRLLERLFARNHKVCFRVLCLCLSASFLWPSCYSSAPAFANLNYPPVILCLIWV >RHN67001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22639956:22646146:1 gene:gene15130 transcript:rna15130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triose phosphate/phosphoenolpyruvate translocator, sugar phosphate transporter MISSLRQPGIVITGSDAGLRKRHATLVQPQSFLPSLVGGKSQRSVISMKKPLHIACAGVGNFGSVKNFEFESEKKSFEKGDLVKCEAYEADRSEVEGAETPSEAAKKVKIGIYFATWWALNVVFNIYNKKVLNAYPYPWLTSTLSLACGSLMMLISWATRIAEAPKTDLEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFILGETFPVPVYLSLIPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSILSLAILTPFAIAVEGPAMWAAGYKTALAEIGPQFLWWVAAQSIFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPIQPVNALGAAIAVFGTFLYSQAKQ >RHN71529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:801797:803167:1 gene:gene7138 transcript:rna7138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA synthase MIMKSFLPNYFSFLNLSSISKCSISVTQSIAVISLILILLYICFRSTSVYLIDYVCYLPPDNLRLPHSHFIEHLDLYNFDKEIIDFHIKVLEKSGIGDEACMPESVHQLPPYTSLKNTQAETEMVLFTIVDDLLSKHNIHPKSIDILVSNCSIFSPTPCITSTIINKFGFRSNVKSFSLSGMGCSAGLLSVSLAKDLLRVHKNSLALVLSMEAVAPNGYVGNKKSMIIANVLFRMGGAAILLSNKNQDKGIAKYKLQHLVRTHLGSNDKAYQSVYQEPDENGIVGVSLSRSLLSVAASALRINIITLGPLVLPYSEQLQYVWSMIHRKIWAVENKEMYVPNFKKAFEHFCIHAGGKAVIDGIVENLKLHREDGEASRMTLYRFGNTSSSSLWYELSYLEAKGRVKKGHKVWQIGFGSGFKCNSAVWKCLSDIDPNVRSAWSDRIHLYPVEIPVFDC >RHN46352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30924497:30925994:1 gene:gene40834 transcript:rna40834 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGNSYMGSIDNLYQSISVLDRSKYLRSSYVMDMLLKTPLAHHFKISNQMLPIDEVSAVGYACDSKKNYGEVVSGSLVSSNGGSYSTNLRSCIHLEYLEPQSSIGEAYNNYGGRGFTKKPSLYMVTDDLVVTPGSSTSAITVLTKLRIPLSDVMECSINIGQNECLSILKASLISSSALTNGLSQFLTKFT >RHN67574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28362051:28363030:-1 gene:gene15773 transcript:rna15773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTKILKLVYIMIFFVFLFFLVRVVNSDYPYPCFVDKKTCQLNCPKPLIGVCSNKQCHCIGKFKMEKDNVTT >RHN68247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33978123:33978622:1 gene:gene16577 transcript:rna16577 gene_biotype:protein_coding transcript_biotype:protein_coding MFQMKFFRLLVTLMPQLWLQYRNSLLCRAKLESCLFHSNHHNQCIL >RHN79434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28824449:28825834:-1 gene:gene3224 transcript:rna3224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDEIEVPQYFICPISLQIMKDPVTAITGITYDRDSIEHWLFTNKNTTCPVTKQPLPLDSDLTPNHTLRRLIQSWCTQNASLGIDRIPTPKPPLTKTQVQKLLKGIKDPKIQLKSLMQLELLAAEKASNRKILLENGVPKAMIMFIVDCYKNGEIYEGIEEALGFLQFVKVPTEEVKNLLFENNQILDSLTWILVSEMKNSVTVKSHAVLVLKKFINKCDSTVLERLKPEFFERIVKVLRNGVITQQGLSSALHIFLYCCPLGRNRLMMVEAGAIYELIEIELMAIHEKRITELTFGILFHLCSCANGRFQFLSHQGSIAVLTERLFKVSMTVDDRAVFILSLISKFSATKMVLDEMLKVGTVAKLFVLLQTDHAKYLKDKVMEIFKAHSEVWKNSPCFPQTSLYAR >RHN49802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1204435:1208140:1 gene:gene34023 transcript:rna34023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M48 MHSEINKLRSISDDISQYGFWHRVWLRMTRKLPPSLSHLDGLNWEVLIVTGVPVTSFPSLVCPGGKIIASTTFIELHPTDVELATMLAHEIAHIMAHHGCERRSEFFLICMIDHVLN >RHN44822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8937052:8937410:-1 gene:gene38987 transcript:rna38987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MEQSGINFAKEVFVALLHAYAAYDEFEKAKQVVQDQRIPVKWLIEIKRMLVSSLASHGKLSEALVLLEEIKKAGQTLNPRAVLCLMVRF >RHN64567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60101793:60106799:1 gene:gene27395 transcript:rna27395 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSKSNSNVEEEPATSWDELYNINLMPSELFLKFRKEIQGVRVALNMEIYNAPINECQAKLVFKPLTPEWKWKLIYEPIQHDVRILSKKIPITRFVNLQVGVGHSFKMHATGWKWKLTTCLGGDNVSRIRNKTSVGLFPGFDLRFGWRADYILPELTGGLGTGEPMFNMQSGRLEASLDRVEAIITHSDAD >RHN61586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36634745:36635113:-1 gene:gene24064 transcript:rna24064 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSTKPLNLFHDLGTFTGDHHAGSCCSRAGKLKVKERETKIQGWLCHFLSFAAKLNNSDS >RHN81332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44942844:44946512:1 gene:gene5353 transcript:rna5353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MDYMTSAMLFSVTCIVTIFIGSLHARSRKSNYKLPPGPSFFTIMSNVVELYNKPQQTLAEFAKLYGPIMRIKLCTETTIIISSSHMAKEILFTNDSLFTDRSVPDNTTTHNHNNFSLVFLPFSPLWQHLRKICHNNLFSTKTLDGSQELRRMKLKDLLNDMHKSSITGEAVDVGRAAFKACINFLSYTFVSQDFVENLDDEYKNIVSTLLSAVGTPNIADHFPVLKIFDPQGIRRHTTLYVSKVFYALDIIIDQRMKMRQSEQYISKNDMLDALLDISKEDSQKMDKRQIKHLLLDLLVAGTETSAYGLERAMSEVVRHPEVMSKAKKELEETIGLGKPIEESDIDRLPYLNAVIKESLRLHPPAPMLLPRKARVDVEIAGYTIPKGAQVLINEWAIGRTDIWDDAHLFSPERFLGSEIDVKGRHFKLTPFGSGRRICPGSPLAVRMLHLMLGSLINSFDWKLENNMEPKDMNLDKQLRAIPVALNKVY >RHN71118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56586754:56592867:1 gene:gene19763 transcript:rna19763 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCSAGMAESNAEELGGVNNLGFSGKVLKKENSFTNRKDDFSDSRSSSKKQNKLENGFSDEFGLSTSASIGEKQVTRKGSLLGKASYRAVEVLDTLGSGMPKLNTSGGFVSGKLSRGKKISILAFEVANTITKGAILFHSLSEENIQFLKKEVLNSEGIQQLVSTDMEELISFAEVDKREEFNVFSREVVRFGNMCKDPQWHNLHRYFSRLDSDVLGDKQNQVDAEKTMQEFTSLVHHTAELYHELSAYERFQHDYQQKIKEMESLNLPLKGESITIFQSELKHQKKLVTNLKKKSLWSRYLEEIVEKLVDIVTYIHQAIRELLGNHGTGAVKNGKGPQRLGEAGLALHYANMINQIYMIASRPASLPPNTRDTLYQGLPNSIKSALPSRLQSISIQKEHSVTHIKAEMNKTLQWLVPFAANTIKAHQGFGWVGEWANTSNDFGDNTTKESNPIRLQTLYYADKQKIDVYIIELLVWIHHLISSVRSRQNASRPMAMPIRSSPPKRPELQSKMRQFLILSLDRNNKPLGTQLSPEDRILLEEVMTRRRSPGISKSEELGAAKKTQDRHPLKTKSARSSPDKEFLGTTPIANRQSYNVLDIMDGLGS >RHN82578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54911650:54926573:1 gene:gene6751 transcript:rna6751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative importin-beta domain-containing protein MAAAVTPSWQPQEQGFKEICTLLEQQISHSSSSADKSQIWNQIQQYSNLPDFNNYLIFIFSRAQGISVEVRQAAGLYLKNNLRNVYNLMQPEYQQYVKSELLPCLGAADKHIRSTTGTIISVVVQTGGVSQWPELLQALVSCLDSSDLNHMEGAMDALSKICEDVPQILDTDVPGLAERPINIFLPRLFRFFQSPHASLRKLSLGSVNQYIMLMPSALYVSMDQYLQGLFILANDPTAEVRKLVCAAFVQLIEVRPSILEPHLRNVIEYMLQVNKDADEEVALESCEFWSAYCDAQMPPENLREFLPRLIPILLSNMAYADDDESLIEAEEEGSQPDRDQDLKPRFHVSRFHGSDDAEDDDDDDVVNTWNLRKCSAAALDILSNVFGDEILPTLMPIVEAKLSTVGDDGWKEREAAVLALGAIGEGCINGLYPHLPEIVAFLIPLLDDKFPLIRSISCWTVSRFSKFIIQGIGHPKGYEQFDNVLMGLLRRILDDNKRVQEAACSAFATLEEEAAEELAPRLEIILKHLMVAFGKYQRRNLRIVYDAVGTLAEAVGAELNQPVYLDILMPPLIEKWQQLSNSDKDLFPLLECFTSIAHALGPGFTQFAEPVFRRCINIIQTQTLAKANPAAAGAQYDKEFIVCSLDLLSGLTEGLGSGIESLVSQCSLRDLLLQCCTDDAHDVRQSAFALLGDLARVCVVHLHPRLSEILELAAKQLEISKVHQAISVANNACWAIGELAVKVRQEISPFVLSVISCLVPILQHAEGLNKSLIENSAITLGRLAWVCPDLVSPHMEHFMQPWCNALSMIRDDVEKEDAFRGLCAMVKANPSGALSSLVYMCKAIASWHEIRSEDLHNEVCQVLHGYKQMLRNGAWDQCMSALEPPIKEKLSKYQV >RHN40283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14847429:14856401:1 gene:gene46419 transcript:rna46419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MASSPSSSLVGPLLLAPNNGYKVWEDPSFIKWRKRDPHVHLHCHESVEGSLKYWYQRSKVDYLVSQSAVWKDDAVNGALESAAFWVKDLPFVKSLSGYWKFFLASNPCNVPAKFHDSEFQDSEWSTLPVPSNWQLHGFDRPIYTNVTYPFPLDPPFVPTENPTGCYRMDFHLPKEWEGRRILLHFEAVDSAFCAWINGHPIGYSQDSRLPAEFEVTDFCHPCGSDLKNVLAVQVFRWSDGCYLEDQDHWRMSGIHRDVLLLAKPEVFITDYFFKSNLAEDFSSAEMLVEVKIDRLQDTSIDNVLTNYTIEATLYDSGSWESSDGNPDLLSSNVADITFQPTTTPLGFYGYTLVGKLQSPKLWSAEQPYLYTLVVVLKDKSGRVLDCESSQVGFKNVSKAHKQLLVNGHPVVIRGVNRHEHHPEVGKANIESCMVKDLVLMKQNNINAVRNSHYPQHPRWYELCDLFGMYMIDEANIETHGFDYSKHLKHPTLEPMWATAMLDRVIGMVERDKNHTCIISWSLGNESGFGTNHFAMAGWIRGRDSSRVIHYEGGGSRTPCTDIVCPMYMRVWDMLKIANDPTETRPLILCEYSHAMGNSNGNLHIYWEAIDNTFGLQGGFIWDWVDQALRKVQADGTKQWAYGGEFGDIPNDLNFCLNGLVWPDRTAHPVLHEVKFLYQPIKVNLSDGKLEIKNTHFFQTTEGLEFSWYISADGYKLGSDKLSLPPIKPQSNYVFDWKSGPWYSLWDSSSSEEIFLTITAKLLNSTRWVEAGHVVTTAQVQLPAKRDIVPHAINIGSGNLVVETLGDTIKVSQQDVWDITFNTKTGLIESWKVKGVHVMNKGIHPCFWRASIDNDKGGGADSYLSRWKAAGIDSVHFIAESCSVQSTTGNAVKLLVVFHGVTKGEEGSLPNQDKSKVLFTTEMTYTIYASGDVILECNVKPNADLPPLPRVGIEMNLEKSLDQVSWYGRGPFECYPDRKAAAQVAVYEKSVDELHVPYIVPGESGGRADVRWATFLNKNGFGIYTSKYGSSPPMQMSASYYSTSELDRAGHDYELVKGDNIEVHLDHKHMGLGGDDSWSPCVHDQYLVPPVPYSFSVRLSPVTPATSGHDIYRSQLQNS >RHN72076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4800058:4801772:1 gene:gene7748 transcript:rna7748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MISYNSNLFLIFIIFTTILCLPSSTYGIPTKYSSIMGPNLDKLPTQDKTIEIFQLWMKEHGRVYKDLDEMAKKFDIFISNLKYITETNAKRKSSNGFLLGLTNFTDWSSEEFQERYLHNIDMPTDIDTMKVNDVHLSSCSAPSSLDWRSKGVVSDIKDQKNCGSCWAFSAVGAIEGINAITTGKLINLSEQELLDCDPISGGCNSGWVNKAFDWVIRNKGVALDNDYPYTAEKGVCKASQIPNSAISSINTYHHVEQSDQGLLCAVAKQPVSVCLYAPQDFHHYSSGIYDGPNCPVNSKDTNHCVLIVGYDSVDGQDYWIVKNQWGTSWGMEGYMHIKRNTNKKYGVCAINSWAYNPVKYNGRKPNISSILDQQ >RHN51242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15315012:15315349:-1 gene:gene35649 transcript:rna35649 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSYFLFLQKITCFKPYLENAEIRPRLRLSYDGELLMT >RHN47125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37306054:37312385:-1 gene:gene41683 transcript:rna41683 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDVLMGANRNGDVREVNRKYYDRDVCRLYLVGLCPHELFQLTKMDMGPCPKVHSLQLRKEYEEAKSKGTDSYERELEDVIDKLIGECDRKIGRALKRLEDDDAKAAIAISVSEVTQTPEIIELSKEIREKLKEADKFDLEGLSDMKIRALEIVEELRIKRADKQSTLLLDAFNKDRASLPQPLPNPPPLAPLPVVTPDARTQEMINEKLKKAEDLGEQGLIDEAQKALEEAEALKKLPSRQEPPLDSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIREKLAELQEERNKSRKIDRLDDRRSKERSRDRDREPSRDRERGESHERGRDNDRRSRDRDNRHHDRDRGYDRDRDRDSSRYDSRSRRRSRSRERSRDYDRHRRHDRY >RHN62275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42268534:42273751:1 gene:gene24830 transcript:rna24830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding protein MGKKGSWFAAIKRVFTHHSKGKDSENKSTKEKKKGVGKLKHGETNSFIPLFREPSSIEKIFGDFEREQQVLAIRPPTPPERPKTPPFVPPRVASPRPPSPKPPSPRDPSPRAASPRVTSPKAASSRNVHQHKEVRYRPEPTLQNQHVSATKIQSAYRGYMARKSFRALKGLVRLQGVVRGQNVKRQTVNAMKHMQLLVRVQSQIQSRRIQMLENQARYQAEFKNEAGSTLGKSALGHGSEAGNNEDWDDSLLTKEEVEARLQRKVEAIIKRERSMAFAYSHQLWKATPKSTQTPVTDMRSSGFPWWWNWLERQLPASNPPEKQVLKNFQFTPPRPYSEQKTSPRPGSSSQRPFAFDNMDTPTPKSTRSTIFPSSRPSRTPPFRTPQGNTSSATSKYSRPRGVGSNSPFDVPLKDDDSLTSCPPFSVPNYMAPTVSAKAKVRASSNPRERFGGGSSGCATPTSTDSKRRVSFPLSQGIGSFKWNKGSMFSRNKDPHGSHRTPDKYQSLESIGNVSVDSAVSLPARVERKPFTRFV >RHN54169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7510626:7514062:1 gene:gene29162 transcript:rna29162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MMETQKSFLASKFSSLLIFFLIITSLCELNALSSDEHSVKAHKGPVVERGQRKTLFETEYGEISATDVKDGHNTQHYHIEFFTLEPNSVFLPVLLHAAMLFYVHTGSGKLSWANEDGTSTIDIREGDVGSLTEGSVFYIHNNLDDQRKKLRIYAMFTNTDDSTFDPSIGAYSRINELVKGFDKKIIQAAFKVPEDLIEAITNKTETPPIVHAVSEKKHSTVLELEASFLKYFTGIEYNSKNLKTYNIFDSDHDFENCYGWTSTVTKKQLKRLKSNNIGFLMVNLTRASMLGPHWNPMATEVAVVLEGEGMVRVVCGSNNDDKCKNKRFRVQQGDVFVVPRFHPMAQMSFVNQPLVFMGFSTAAKKNHPQFLAGKESVLQILDREIVATSLGVSNTTIDKLLEKPDDSIIFECNSCAEEEERLMEEEKETGKEEEDEKREKEEREREREEEEEVRRREEERKREEEAARRQQEERERRRREEEQEEREREKEGEKEREEEEEEAAARRQQEERERKEEAARREREREKEEARREMERERKRREKEVEREKERKRQEAESEEEAARRQQEERERKREEKEARRRKERGQEGRSKEEEEEEEAEGEEEAARRQQEKRERRREEGEARTEEERREEGREEEGETESETGGGWRQPKRGDTGRRSRGGTEWEEEAAARRQQEERERRRKQGGWSSYEGRRVLKMRRNV >RHN73419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16232547:16233193:-1 gene:gene9231 transcript:rna9231 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFVVGQVTVKGSETCSQFIAGCLDTPSCDSYCKEQYSDGNGICANERCICNFTCGGKPGKKAPKRDCEVNLGFCDNGGSPSCNDKCSSRYQAGTGYCDASIDPRFATCTCLYVC >RHN44596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6614502:6614888:1 gene:gene38734 transcript:rna38734 gene_biotype:protein_coding transcript_biotype:protein_coding MCCFVRVFTTLSHFSRISTRYFMCIMFVFVMFDLHTIPCELCYCLLCVCHCFDVLGLILASCALFITCFRLIFIVTLPSYAILSSIASCHILFYYFATNVSFHVDHFIALHYLHYCIR >RHN69251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42110646:42114446:-1 gene:gene17694 transcript:rna17694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoside-triphosphate phosphatase MSLASFSIIRHQRTVATAAPRLLHHFQISARNQNPKHEAPSKNLLKAKRTLKDFSSLALILSREETPPLSESQAVGVVASSQANFMRVIVQSQPSGTFQDASSRGGAELLCVVRALLKKIKRRVMVGDKVLVGSVDWVDRRAMIENVFQRNSEMLDPPVANVDHLLVLFSLDQPKLEPFTLTRFLVEAESTGIPLTLALNKTELVDKETMDSWKARFRGWGYQPIFCSVESGHNIDLLAFQLRDQTTVVVGPSGVGKSSLINALRSNPRTCDTADGENWFEPILGSKWYDDQRVAEVSTRSGRGKHTTRHVSLLPLSGGGYLADTPGFNQPSLLKVTKQSLAQTFPEIRKMLSGNESTKCSFNNCLHLGEPGCIVKGDWERYSFYFQLLDEIRIREEFQLRTFGTKRESDVRLRTGNMGSQQAEPRLVLKKHRRQSRKKTNQSILDDLDDDDNLLDEENDPLLNALRNENP >RHN52404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34922109:34922897:-1 gene:gene37076 transcript:rna37076 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMYKEKNNMEENAIFTNSYGKIKLDECRNALQSQFDALVSGMPNFVLTETKEKEHR >RHN46177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29395688:29399008:-1 gene:gene40621 transcript:rna40621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MLQTLIPFLLFFFVPVLSQVDQLLYTGFKDVGPKNLTLNGIAEIEKNGIIRLTNETSRLLGHAFYPQPFQIKNKTTGKVFSFSSSFALACVPEYPKLGGHGMAFTIVPSKDLKALPSQYLGLLNSSDVGNFSNHLFAVEFDTVQDFEFGDINDNHVGIDINSMRSNATITAGYYSDDDMVHNISIKGGKPILVWVDYDSSLELISVTLSPTSNKPKKPILTFHMDLSPLFLDTMYVGFSASTGLLASSHYVLGWSFKINGPAPFLDLSKLPKLPHPKKKHTSLILGLSLGSALIVLCSMAFGFYIYRKIKNADVIEAWELEVGPHRYTYQELKKATKGFKEKQLLGQGGFGKVYNGILPKSKIQVAVKRVSHESKQGLREFVSEIASIGRLRHRNLVMLLGWCRRRGDLLLVYDYMANGSLDKYLFEDSEYVLSWEQRFKIIKGVASGLLYLHEGYEQVVIHRDVKASNVLLDFELNGRLGDFGLARLYEHGANPGTTRVVGTLGYLAPELPRTGRATTSSDVFAFGALLLEVVCGRRPIEPKASQDELVLVDWVWERFKEGRAFEVVDPKLNGDFVETEVMMVLKLGLICSNDVPTIRPSMRQVVRILDGEVELPNEMRKPGGIDSQEGFDEFLNSLGTSNSFDQMSSSSYNGKRDIDNTSFITFGNSPQSSLLNARGETR >RHN64561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60062601:60065997:-1 gene:gene27389 transcript:rna27389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MKITWKSIFSSCYQGEGEYTSPKATTKETSTKVVATKTSSFNRISLTDLSFPSATTLSEDLSISLAGSNLYVFTLAELKIITQGFSSSNFLGEGGFGPVHKGFIDDKVRAGLEPQPVAVKLLDLDGTQGHREWLTEVVFLGQLRHQHLVKLIGYCCEEEHRLLVYEYLPRGSLENQLFKRYSTSLPWSTRMKIAVGAAKGLAFLHDAKKPVIYRDFKGSNILLDSDYNAKLSDFGLAKDGPEGDDTHVSTRVMGTQGYAAPEYIMTGHLTAMSDVYSFGVVLLELLTGRRSVDKVRPPREQNLVEWARPVLNDARKLSRIMDPRLEGQYSEMGARKAAALAYQCLSHRPRNRPTMSTVVNTLEPLKDFDDIPIGPFVYTVPTDVSNNEVQKESATIETPKERKRESSSSSTSTHHHHRRNQDVNNSPKPKHLIIDNNNNEVLVHKKDGEEYYDTPKEKKRENGHRHRSHHHHHRHNGHKHPLKSPKTKSSNEGQQSGSHSSPDTSSITSESQGN >RHN57349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38295526:38299944:-1 gene:gene32877 transcript:rna32877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-KNOX family MDHQNQMMNMETNRKFFSFPLSNNSSGVQNHHNYTQHQNNTNNNTCRDKIMAHPLFPRLLSSYLNCLKVGAPPEVVASLEESCAKCEILNGSSGRTGSSSSCIGEDPGLDQFMEAYCEMLIKYEQELTKPFKEAMLFLSRIESQLKAVAVSTDFGQSEFAASQNEIDVHENNLDTTQGEDQELKVQLLRKYSGYLGSLKKEFLKKKKNGKLPKEARQQLLDWWSRHYKWPYPSESQKQALAESTGLDLKQINNWFINQRKRHWKPSEDMQFAVMDATNYYMENVMCKPFPMDAMPMLL >RHN46066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28240881:28241482:1 gene:gene40506 transcript:rna40506 gene_biotype:protein_coding transcript_biotype:protein_coding MMTYRNIQDLRDVGINFKSSKTRRPRDIDFSEGLFTAELTLPEIVVDDSSATTFLNLIAYEMCPDFHNDYGICSFAAFMDSLIDNPEDVKLLRSKEILLNSLGSDEEVAELFNIISTDLVPNADTYLEVRAKIHDHYRNRCNTWIAQGFRTYFSSPWAIIAFIAALIALVLTFIQTWFTVNPPSK >RHN77310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5943500:5944407:1 gene:gene752 transcript:rna752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-amylase MVVGYAPRFTKNYVEKTSPDFTVGELYRNVELGSDGKPLANQDKHRETLVKWVNDAGGVVTTFDFTTKMILGAAVQGELWRMKDANGKPPGMIGIMPSNAVTFVDNHDTGSQKLWPFPDDKVMLGYVYILTHPGHPTIFYDHYIEWGLMEPIKKLTAIRKRNGITATSNVNILAAENDLYMANIGNKIIVKIGPKLDLGNLLPPNAQVATSGQDYAVWEIK >RHN59753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12810154:12812887:1 gene:gene21865 transcript:rna21865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CR4L family MAFHHKHLFISLYFFLSFILLPIINTLSTVSISHTSNSNQTLICVLQSQNQQKHSNLNCTSFSPSISFGTKLELNSNVSYSQIVGGNGFLCGLTSSSNSSNSIIVCWRFSNSSNSSTIVSFKRLYHGPVIEDIDSGNSHVCGLVKGNNGFRLECWQWRGFNSSSGMNMNMSNIAVGENFVCGLLENGKGNVVTCKGSNNRVVGNEPKNGGNYSVIEAGSNHVCAISNDGGLDCWGDMVGEKPKGRFISLALGENRSCALGYDGIVTCWGLNNFTLPLTLKNTFFESIVAKKSVFCGVLSSNYSLFCWGNEVFESKKVFDNVLPGPCKNQCPCGPLSDSAKLCVSPAIICKPCSPSFEIPTLPPSNPPSQMPENPSKSGTWSNKNVAFLVVGCVGCTSLLLVLSFFLYKYCKGTACKSSRVHDSGRLDDLDRENESQPRANHAVLEKRLSHVISMGNGGTLLEEISLQTLLEATNNFSEENKIGVGSFGSVYRAKLEDGKEVAIKRAEISSTSTSHANFGVTKRQEDTDSAFVNELESLSRLHHKNLVKLLGFYEDKNERILVYEYMNNGSLNDHLHKFQTSTIMSWSGRIKVALDAARGIEYLHKYAQPPIIHRDIKTSNILLDSKWVAKVSDFGLSLMGPEDEESHLSLLAAGTVGYMDPEYYRLQYLTSKSDVYSFGVVLLELLSGYKAIHKNENGVPRNVVDFVVPYIVQDEIHRILDTKLPPPTPFEIEAVTFVGYLACDCVRLEGRDRPNMSHVVNSLEKALEACLAQPIFCESTRTTSTNVSYE >RHN55531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19265104:19266195:-1 gene:gene30728 transcript:rna30728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MDDPNDPSISIKDALNDEKRIKYHNDYLSNLLASIKEDGCNVKGYFVWSLLDNWEWQAGFSSRFGLYFIDYNDNLRRYPKKSVEWFKNFLN >RHN79280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27040637:27041146:-1 gene:gene3049 transcript:rna3049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRRKIKIERVKDSNTRQVTFSKRRTGLFKKANELSILCGVEVAIVVFSPGNNPYSFGHPGVDFVAAKYLKLKPKRMNSIGNSSSDSPNMEKLNLEHIEVLGQVQEGEKQAENHDEIPKQNNVTKLLELKELRDSYKELQDCIKLRLSDIEISECLMLLAQDPVVGIKE >RHN50878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11372283:11372651:1 gene:gene35225 transcript:rna35225 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPSNFTFYGGENDYVEPFSAFTKLKSLIIHGCKIMDTQIINISSETLVNFAMDYSSSKIAKIELSTPNLCTFTFYGIPHPKIGGSNLSSVKEVNIYAHMDAYLEKLPIVLFNWLQELSGV >RHN52464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35588960:35590555:1 gene:gene37147 transcript:rna37147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ion channel, cNMP-binding protein MGVPESMVLKNLPEDLQTDIRRHLYKFVNKVPILSLMDGGEPFLDAIRERLIQTTYIKGSRILSQGDLVQKMVFIMRGKLESVGEDGSSVMLSEGDACGEELLRWYLEQSSESKEGKQVKIQEHDLISDRTVRCLTNLEAFSLDAKDIEEVTTRFSRFLQSPRVQQVIRYESPYWRFLAAKRIQDAWRNMKKCLSQANTTQNDYQTLRSFIPSMLII >RHN43967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:400033:401739:-1 gene:gene38010 transcript:rna38010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MSKINGEANVVAVNAAEEDIELTSTLLHQIQKHKRYRAFNSSVQKISFIAHSLGGLIARYAIAKLYERDISKELSQGNVHSESQISNQECHIRKYEGKIAGLEPINFITSTMPHLGCRGHKQLILLDM >RHN60471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27162576:27164223:-1 gene:gene22785 transcript:rna22785 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVHVITALLFALVLVRIDLSACEILQGKVSCVDCTHSYDLSDIKVTVKCEGVKNLAMTTTEEDGSFKVNLPSDNTKTSMNCLAKLLGGPIQLYAKKQNQVSQVIKGKEENSYIISTPLSFLISCPQNTNCKATKPIGSSKTIDLPLPPEWGLAPSSYYVPFVPIIGIP >RHN40491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16818407:16826151:-1 gene:gene46647 transcript:rna46647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll(ide) b reductase MASSMASFQLLSSHQLCISPFSRLPKFNTTFTSCTPNSNNNNRFSLSLTKPTSSSFLIITASSNDNTMLPPYNVLITGSTKGIGYALAKEFLKAGDNVLVCSRSDERVETAVKSLREEFGEQHVWGTTCDVKNGEDVKKLVSFAKEKLKYIDIWINNAGSNAYSYKPLAEASDEDLIEVVTTNTLGLMICCREAIKMMVGQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMQDVKNVMVHNLSPGMVTTDLLMSGANTKQAKFFINVLAEPAEVVAEYLVPNIRSIPANRSMKPTYIRFLTGLKAYSQIFSRFAFGARRNRYIIED >RHN39755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10265331:10270598:-1 gene:gene45817 transcript:rna45817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc-ribbon domain, plant protein MEDSAKLRLVRCPKCQNVLHEQASYSIYQCGGCGAVLRGKVNNGNGNGSLWEASDEGQGGGVSAKLGSSFRKDVGFLSDNSDVDVKSNAGFSREDQRDSGRLNKERRERILNRYEDGREKGVPGNGYDVNKSRDEGGKAIRREQHESKFQVGGSNFPRRMSNWPNEERIDMEGFQRYPSADIEGVRFSNLNFPNEGTSRFSYNHGEQWSNYEDMDGMSRVRHLEQDRAELLRKLDELSKQLSNSSEMVNNPKEKGHPDSKMVPPDPRGGPDTRFPDGPSGSDWTTSRQFFGPNKHLAGPPYSSYHHDPYGYTSGHEMAMHNNFQSSMNNPNYFPGYGDPFGSQMSRGPHLSSRQFTQQPMHPYFPGRYTDTGPDSYEQYTHNPTPHLPSCSCFHCYNNKIRGSMPVPPATFLNSRFPHTSNDPMLYRNEIPAAVSQHVHNSRTGVPVANSREKQLHTRLVSDFDSERGGFVTGRSQKVMSASGSQRCHPIAGGSPFITCHNCFELLQLPKKVLAKVKNRKQKMRCGACSSDINVSIINKKLVTSLHGEVEGTTTRIDDDASIEVVNSRVSHSHGHVNTNRVNFSSDDYSGYDFQSVDRGSPVMASDPSLNSSKLQEMQSFHSSSQSISEDENIPEVMTAPGEAAKSIQPTKTSVSPPAGSPLQEYLDYSSSNNHAVNRLGKGNQSGRSEPEKVKLEKNTSRQNSLKEVVLASEMDVHDYSNSGISQDYCDASQEHDHAGSNKGGESFFANIFKKGSRGSSQADKVDDREKCIVTVNGQPLSDRVVKKAEKIAGPIQPGNYWYDSRAGFWGVIGGPCLGIIPPFIEEFNHPIPDKCAGGNTGVIVNGRELHQKDLDLLIRRGLPNDSDRSYIVEITGRVLDVDTGEELDGLGKLAPTVEKAKHGFGMKVPRAAAS >RHN46880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35531947:35539152:-1 gene:gene41415 transcript:rna41415 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDKAVLSDTMKNMISPIQSAAPSPTFLWRFKVTLFLIWALTCCKISWDSVMRMDAKLRDLFLYEAFLYYNPLLLVTIMVWLWGVNLWVFLQSNVSYPKIFDLDQNHLTHREIWKCSTWMTIIVPTSMTAYLYLYSHGEVSLAASQPVLLYIFVAMVLIFPFDIFYLSSRYFFLRTLLRIAFPLQPISFPDFFLADILTSMAKVFSDLERSVCRMVNRQVATIAWLEADSVCGSHSIAIPIVLVLPYLWRLLQCLRQYKDTKEKNCLFNALKYSTAIPVIFLSALKYHVFPEKWTNLYRPLWLLSSVINSLYSFYWDITRDWDLSGFSRIFKFNKPSLVSNVFYGRQWVYFWVIGSNLILRGSWTYKLSAHLRHNYLTVFGITLLEMFRRFQWVFFRVENEWNKITRSGVQLAEIPREEEKLLGTNIHDV >RHN46347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30910397:30911479:-1 gene:gene40829 transcript:rna40829 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGATQSAEQVVNVTLRVLVDKARKKVVYAEAEKDFVDVLLSFLTLPLGTIARLVSKESNIEAVKFNSISSLYQSVSDLKEEYLWNKACKEMLIKPGNSMEAYCHQLKLNIDETEPLQYLCEYPFCRLVRNSWSIFYKQKCKCGMLFNSGPVVIDDMTCVPDGFVKETASFIIRDDLYVMPNHLRTSVCLLKKHGINDLANTEKKTLLITKKEVVDLLKLSLLSKTPITDFILKNKNFLCNSNPKFQSKIRIGKDLPSDSDEAKKNMVVILMVRKSNTKVLFATAEEDFADFLFSFLAFPLGVLQMLEGLSSLSSIDGLYRSMTELSSERY >RHN53045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41468810:41469034:1 gene:gene37794 transcript:rna37794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate carboxypeptidase II MKTSNLILFFPVFQIYGPSKHNNYGSQSFPGIYDAAKMAKNVHTAESWSQIQHEVWRVSRVIRHASLALSGQLT >RHN62745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45599621:45600079:-1 gene:gene25350 transcript:rna25350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MIASTMQHFLSCILLLLIITTQRFIIPSLSEKCNQHDKEALLQIKKEFGNPTKLSSWDPNNNDCCNDKWKGVSCDSDRVANLGLDDLNLPKPVPFPPSITNLPFLDDFSLSRIPNLVGTIPPSISKLTNLDYLTLSHTIPASPARYQTLFQI >RHN59564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12033738:12035734:1 gene:gene21610 transcript:rna21610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MDSSSNGSEESQLYHAQIHLYKHIYSFINSMALKSAVELGIADAIHNHGKPMTLTELASSLKLHPSKVSVLYRLLRLLTHNGFFAKTTLMNGKEGEEETTYSLTPPSMLLISGKSTCLSPFVSGVLHPCRLNVWHSSKKWLTEDKELSLFESARGETFWDYLNKDTESDELSMFQEAMAADSQIFNLALKECNHVFEGLESIVDVGGGRGGFTKLIHEAFPDLKCTVFDQPQVVANLSGDENLKFVGGDMFKSIPPADAVLLKWILHDWNDELSLKILENCKKAISGKGKKGKVIIIDISIDETSDNHETNELKLDFDFMMMTLLNGKEREKKEWEKLILDAGFSSYKITPICGFKSIIEVYP >RHN58679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3876045:3878194:1 gene:gene20611 transcript:rna20611 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDNEKFTGNNDFGLWKATMQVVLIQQKCAKALKKEVVLLVTMSQAKKTEMVDKDISFIVLCL >RHN64782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61779082:61780018:-1 gene:gene27639 transcript:rna27639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MLTSKYVGGFIQLNHGYPNSLQYVVNASFMASLFADYMKAQGVPGWYCNHNYFSISVLKAFATSQMDYIMCKNPMNMSYIVGYGNKFPRHVHHRGASIPNDDKHYSCIEGWKWRDTPNRNPNNIVGAMVGGPNGFDQFYDLRNNNSYTEPTLAGNAGLVAALISLTSTSTIGSGFDTDHTIFNQTRQYRPQNLPPP >RHN68091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32782915:32785640:-1 gene:gene16388 transcript:rna16388 gene_biotype:protein_coding transcript_biotype:protein_coding MVILPQCVSYTFVQVLMDNFLGEMVTKTLKLFQDSHVQVRLAAFTLMEMPINFVQAAQLLYHHRFMHAFSIALGSDEDNKVKEQAASAMLFFLKNTLPDSLALYEYADILMKKLLSMIQDKRSAKQRRIALLTFNIVVQRCNQVAHKYFAIYLPNLVEACSDKDSEIKEEAARGIRICAEFGTPTFKPFINMILSELNILIKDPNRSENAKACDIAVSAIGRICEFHRDCIDGSMFIPAWLSFLPLKEDLVEAKIMHEQLCSMVARLDRDLLGAGNQNLVKIIAVLLEVIEKGDKLATAQTINQMNNLLRQFGKTIPPSAFEKILMSLSAQQRELLLPFVSSF >RHN52800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39007490:39011575:-1 gene:gene37513 transcript:rna37513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-acylglycerol O-acyltransferase MLIEMGEIEGMSEELQNIYLSNMDEAPARRLAREAFKDIQLAIDHCLFQLPADGVKMEEIYEVNSRGLKVFSKSWIPEKSPMKGIVYYCHGYADTCTFYFEGVARKLASSGFGVFALDYPGFGLSDGLHGYIPSFENLVNDVIEHFSKIKEQVEYQNLPSFLLGESMGGAIALNIHFKQPTAWDGAALIAPLCKFAEDMIPHWLVKQILIGVAKVLPKTKLVPQKEEVKENIYRDARKRELAPYNVLFYKDKPRLGTALELLKATQELEQRLEEVNFSILVTFLI >RHN56904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34614731:34616008:-1 gene:gene32369 transcript:rna32369 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPPTTHPPPEKKYQQGPVAFFCCFFALLPALTHMLFAHPCSFYFIFFFLFVSFRAFFI >RHN49732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:720240:724834:-1 gene:gene33939 transcript:rna33939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MKPAIPKPVFLTKAQREQAALERRHNQVAASHKKELLLRPSDSDRRSYRERDRERERDNRDRERRNRDREREEEDKAREHARSEKLAERKREQDLESIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDRNDLYENPHTAQLLFGRGFIAGMDRREQKKLAAKQEKEMRDQIRKKDGVEEKPEEADAQRRKEEAADAYDAFDMRVDRHWSEKNLEEMQERDWRIFREDYNISYKGSKIPRPIRSWVESKLSQEILKAVEKAGYKTPSPIQMASIPLGLQQRDVIGVAETGSGKTAAFVLPMLSYITRLPPISEENEAEGPYAVVMAPTRELAQQIEDETVKFAQYMGIKVVSIVGGQSIEEQGFKIRQGCEIVIATPGRLIDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVMGVLDAMPSSNLKPENEDEELDEKRIYRTTYMFSATMPPGVERLARKYLRNPVVVTIGTAGKATDLISQHVIMMKESEKNYNLHRLLDQLNDKTAIVFVNTKKSADFLAKNLEGYRVTTLHGGKSQDQREISLEGFRTKRYNVLVATDVAGRGIDIPDVAHVINYDMPGNIEMYTHRIGRTGRAGKTGVATTFLTLQDTDVFYELKQMLIQSNSPVPHELARHEASKFKPGSIPDRPPRRNDTVFTH >RHN45972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27445790:27446698:-1 gene:gene40402 transcript:rna40402 gene_biotype:protein_coding transcript_biotype:protein_coding MWETSMQEKIYAILMPYATVKKIRGLVLRTCFMNVCLADGTTSKHLGMVRVMKINIDGFKFGIDVIVLKVNNAQDCPLILGRSLMVTSKSLLDMELKEVYIRSNGYYQCYKGTNI >RHN60427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26637693:26638028:1 gene:gene22739 transcript:rna22739 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDGWKIHGKGEPCGLVYLDLRFSANARYIQPMWPVMKDKVEGHCGEMKVEMCDSGSSVVVNPLLASCDVYSDLVTNVRIALFGMLIFLLVIVVAVPLIVEHFFCPATFS >RHN44048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1238955:1239558:-1 gene:gene38096 transcript:rna38096 gene_biotype:protein_coding transcript_biotype:protein_coding MERWSRVLRVPLLSNSETFLRVGASLCLSSEIGTLSVPIANAIFFCGDRVERTSNPVIEKLSDLQKLSEIIVSKFGPFINAWVIEASVFNGPFAVYKDFIPSVNQYGEPRSYHPTGFPASTSTVSLLSNCLQEVRNCSSHSYMLQIPALKFSMIMLLLIV >RHN75174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39967685:39968694:-1 gene:gene11342 transcript:rna11342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MGKPTPKFHINIVVIGHVDSGKSTTTGHLLHKLGCIDKHVIDSLEEEAAQIDKCSFKYANWVLDKLEAERERGISIDVSMRNFETNQYRYTVIDAPGHRDYIKNMINGTSYADCALLIVDSSPGGFEVGFSKHGQTREHALLACTLGVPQMICCINKMDAANCCMFRFQEIQSKLHCFLEKLGYNLDKVPFVPISGFEGDNLIERSTNLDWYKGPTLLEALDRI >RHN62527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44144734:44157146:-1 gene:gene25116 transcript:rna25116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEALGIIWEVAKSLFSCTNAQAAYVYKLQENLESLKEKWDDLQNKEKDVQTEIDRAESTGVKKRTNEGIGWLQEFQKLQEKMMKDIPNFQEVQSNRCLNGYCPKNFVSSYKLGKKIVESLNEVNAMLSKADKTQFAIEQPPKLVAEIPCGETIGLDLMVDKIWHSLEDDNVGIIGLYGMGGAGKTTLMKRIQSEFGKREHCFDLVLWAVVSKDCDINKIMTDISNKLGIDESFWKRSSEDQRVAKIHERLKGKKFVLMLDDLWGKLELQAIGVPVPKESNNKSKVVFTTRFEDVCAKMKTETKLEVRCLYDKEAFELFCNKVGDETLKCHTEIPKLAHEMAKECGGLPLALITVGSAMAGVESYDAWMDARNNLRSSPSKASDFVKVFRILKFSYDKLPDKAHKSCFLYCALYPEDFELDGDELIDRWIGEGFLDKDGKSIHDMYNQGKSIIEKLILSCLLEEGIGSELNFLTGWYKRKIKMHDVIRDMALWLARDEDENKDKIVVQGEAISISEMDSKRLNVVERISIITRDTKLLEESWKIPTCPNLITLCLNLGEGHPLSLNFQSIKRLRVLDLSRNRCIINLSSEIGELINSEFLNLSGSKVLELPIALKKLKKLRVFLMDGMTCTSTSSNPIPLEVIESLEQLKVFRFSRGDDIENTVQEEISLLEKLESLPKLEALSIELTSITSVQRLLHSTKLRGCTRRISISGWKKEDNKSVEMFSLLTSMSEMNHLESIYLSSTDSLVDGSSITDKCHLGMLRQVCINFCGSITHLTWLRYAPLLEVLVVSVCDSIEEVVKEAKDDEQADNIFTNLKILGLFYMPKLVSIHKRALDFPSLKRFEVAKCPNLRKLPLNSSFALKNNLIAIKGETEWWDKLEWDDTIIPTLLRPKLQW >RHN58584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3007536:3009294:-1 gene:gene20507 transcript:rna20507 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVRSRLLTSDKAKTMGMYVPKSPTAPESSEQRVVLSLRVDGRWKKVRRRRGVSGGGWSLEMLSCAMMVWWLEI >RHN74468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33777160:33785142:-1 gene:gene10550 transcript:rna10550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-Pl-6 family MQKHQYNSMDPRNEEFHSAPQPVRQDHLDGMHTNSRPPPAFSMSENKPVHNFSIQTGEEFALEFMRDRVNVKKPAFPNVVGEPNYSTGYMELKGILGHPGSESGSEISILTNIEKVPKEFDRRNSVLHQERSNYGSARSIPRSSSNQDNNRVFQGISSSGSSDNLSMKMKVLCSFGGRILPRPGDGKLRYVGGETRIISIRKDISWPELMQKILSIYNETRVIKYQLPGEDLDALVSVSSDEDLRNMMEECHDLQRRRGSLKLRMFLFSINELEDTQFGLGSMDGGDSEIQYVVAINGMDMESRSNSILRRGGSSNNINELDRQSIDRETKRVAVESYGVGSSSLTGNVNSVLTNQSSQPILPTPSSAYEAYPFFYEDPIIQQGGAGQYPIHTGPFPSNSSALNLGEVPVSLPTHGVVNQGITSKGQVSSELQVQRKGDNVIHAANDRVNALSTEAPYSLPLNPFEGSLQGNLSEASVPAAVSEAIHPAVPLENKIPAAVSEVLNPTQISRSGEDDFYTGSADAFSRALVDAESNVIDFSCLEPPPLPNRVYYSERVFPREHADLLNRSAKSDDAYGSHLLMSDLLSDLNHMNSVNESSDMLHSENMSNLNTVSNTSAKPLHADGHSISSKHLPDATSQVNSKLYQRVDSDLKPVLSDNKISENETNISKDRHKIPQVDETKGSEHLAFHRVPSVEHNDNLASKLQEQNLSAVPTRDPNNDAKVHAPPLDAKSKPSQGDILIDIEDRFPRDFLYDMFSKAVHSEDSANISPLPTDRAGLSLRMENHEPKSWSYFQKLAHEGFDNVSLIDQDNLGYSSAVSKVKEGDGMLQHSAPLPAESVIMTGPKESHSQLNFVEENQKSLPVTTKTEAVAFQPENNPSELKGNENKNVNATVENDRAQEPEYLDGNDETRDVVSAPPDISFGEFDISTLQIIMNADLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFSGRSSEQERLTIEFWREADILSKLHHPNVVAFYGVVQDGPGGTMATVTEFMVDGSLRHVLLRKDRYLDRRKRLIIAMDAAFGMEYLHAKNIVHFDLKCDNLLVNMKDPLRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPSYCDLEWRTLMEQCWAPNPAVRPSFTEIARRLRVMSAAAAQTKGQGHKASK >RHN50229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5348610:5355120:1 gene:gene34496 transcript:rna34496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MIIICFALTISFILYCHFLIQQMVKENDSKTIGDSLSPNNPTSDHYFSSVFELIPDLELESEPKRLFLNFSEEDALSSFTSHLLAALQKFRITVFTYDNVLQRGDHISTSLSSAIGESKIFIIVFSKNYANSRRCLDELEIIVNCGRTIGEVVVPVFYHVAPTEVRYQKGEFGISFQSFLNKTSNKVELELSWRAALRRAASIAGFLILNSRNESEDIKRIVDRVVHLFSLKPSSSTPMPSISTGEQSIEQEAFRRSRHGRKPILRLDPTSNSVVLDPNPNPVSNPESDPVLDPVVSDPKSDPDPESKLKPERVRIHDVFLSFRGEDTRSSFTSHLYAALKNFGIKVFRDDNELQRGDCISTSLSHAIEQSRISIIVFSKNYADSRWCLNELEKIMKCQRTIGQVVVPVFYHVDPSEVRNQKGEFGRAFESLLNRILNEVELALNWKEALRVAAGLAGFVVLNFRNESDAINDIVENISRLLDKTDLFIANYPVGVESRVRDVIQLLDIQRPNDVVLLGIWGMGGIGKTTLAKAIYNRIGRNFEGRSFLANIREVWEQNVGPVDLQKQLLFDICKETKTKIQNIESGKSILKGRFFHKRVLLILDDVNTFEQLNALCGSRNWLGSGSRIIITSRDMNILRGNRVDQIYKMKEMDGSESIELFSWHAFKQASPREDFAEISRNVVEYSGGLPLALEVLGSHLFELGLAEWKCVLEKIKRIPNDQVQKKLKISYDALNDDTEKEIFLDIACFFIGMDRNDVIHILNGSELFAEIGVSVLVERSLVTVDDRNRLAMHDLLRDMGREIVREKSPKEPEERSRLWFNNDVLDVLSEQTGTKAVEGLALKLPTTDEKCFSTKAFKKMKKLRLLQLAGLQLDGDFEYLSRNVRWLSWNAFPLKCIPSSFYQGNLVSIELVNSNVKHVWEDPQRLEKLKILNLSHSHYLTQTPDFSNMPNLEQLVVTDCPKLSEVSHNIGDLKKILLINLENCIKLQSLPRSIYKLKSLQTLLLSGCLLIDKLEEDLEQMESLTTLVANNTAIKRVPFSILRLKSIGFISLCGYEGFSCEVFPAIISSWMSPTINLPFPFQTSAAMSSLISLHVTSSSSHELSSFSKQLPRLRSLCVDCNSEDQLSLDAKIILDALCATNSKELESTKTTSQESNMTTSKLIQYDNPMSVPGSKHSPKSLLIQMGTNCQVANILKEIILQNIDGNGNGGCFLPSDSYPNWLTYSSEGSSLTFEVPHVEGRNLKTMMCIVYTSTLDNVTSSGLKNMLVINYTKATIQLYKSEALFSFEHEEGQRVVSSIEPGNKVEVVFVFENDFIVKKTTVYLVYDLNAIACNDENERPVKRFSTEDEPTDDFNQKRKKKSRVE >RHN49742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:761569:762516:1 gene:gene33950 transcript:rna33950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRGRISMELIQKERSRKITLQKRKDGLIKKAKEFSILCDVDVCLILYAPNLEGQGYIEPETWPKDKREVQRVLQKYYETTIDRRPKTYDVQEYFKERMKKVELEIYKVRKERLKMKYPTWDESYNSFGNEQLRSFVRFLDSKLDACDQKMNMRKDESYKVNNLISSPYLTSNSGTNFNLMHTNISQVKIYSPLMNICDKNPLGFWPIRLGQSSQHSLMVSSAQSSYYYPSKHIDANVTYDSKISMKKKDEVKNDKNLPSYYYNGNAMIMQSYPIAMPTPPFQNLANLSHEYLLYGSYDIDSIQAQLFNSKNGTK >RHN50094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4000834:4001437:1 gene:gene34347 transcript:rna34347 gene_biotype:protein_coding transcript_biotype:protein_coding MIHMLNIVSAGITDSWNMIFGDETPFTDDEMTKVQERCASLILERVDVI >RHN39636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8926474:8928765:-1 gene:gene45678 transcript:rna45678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MASNICVNLLFPCITILHLIFTLARSENYIIHMDLSAMPKVFSNQHSWYESTLSKVTANNNLTSSKIIYTYTNVMNGFCANLSPKEHEALKTSPGYISSIPDLPLKLHTTHSPQFLGLNPFEGAWPASKFGQDVIIGLIDSGVWPESESFNDNGMTKIPSKWKGKLCQFENSNNSSFCNKKLIGARFFNKGLSAKNSNINTTIVNTTRDTNGHGTHTSTTAAGNQVDGASFFGYANGTARGIATLSRVSMYKIVWGQNEDPIAISSDIMAAIDAAISDGVDVLSISLGPTFDLPLNEDPIAIASFAAMEKGIFVSCSAGNDGPDFKTLRNGTPWLTTVAAGTMDREFHATLTLGNGVSLTGWSNYLGNFSASNLPIVFMGLCDNVTELIKVKNNIVVCDDGDIIEQYSYVYQANVVGAVFISNISNFDFFRKEEYSFQDLSYANIFISPIDGEITKSYIKNNSMSASIANMSFKLSIFGTKPAPGVYSSSSRGPSNSCPYVLKPDITAPDTSILAAWPTKLPVLDPVTEVFNKFKFLTGTSTSCPHVAGVGALLKGARVDWSPAAIRSAIMTTSNIYDNTKEHIKDIEKGNEVATPLALGAGYVDPNRALDPGLVYDVGVQDYVNLLCALNFTQKNITTITRSSFNDCSKPSLDLNYPSFIAFFNAGNSSSRAIQEFHRTVTNVGEGQAIYVASIMPVEGYRVSAIPNKLMFNKKNEMLSYKLRIEGPRMTQNNEVAFGYITWQDGKHVVRSPIVVTTTNFNL >RHN69545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44305224:44311271:1 gene:gene18027 transcript:rna18027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MQQVKKIGGITKSFNPSNWSHSIRNNSTNQASLKRALVLYKQTRHDTTHDPTVIPQLLKACDSHPFLPYVKSLHAESIKAGSDVDVFIGTAIVAAYAKCGVVCDARKVFDLMPERNVVTWNAMIGGYLRNGDAKSALLAFEEMPGKTRVSWSQMIGGFARNGDTLTARKFFDKVPYELKDVVIWTVMVDGYAKKGEMEDAREVFELMPERNYFVWSSMVCGYCKKGDVMEAEAIFRRIPVRNLEIWNSMIAGYVQNGCGEKALEAFGEMGVDGFEPDEFTVVSVLSACAQLGDLDAGKQMHHMIECKGIAVNQFVLSGLIDMYAKCGDLVNARLVFESCNERNVFCWNAMIAGFAVNGQCNEVLEYLDRMQESNIRLDAVTFITVLSACAHGGLMSEALEVISKMEEYGIEMGIRHYGCMVDLLGRAGKLKEAYELIKRMPMKPNETVLGAMIGACWIHSDMKMAEQVMKMIGADSAACVNSHNVLLSNIYAASEKWEKAEMIRSSMVDGGSEKIPG >RHN54670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11164977:11168326:1 gene:gene29744 transcript:rna29744 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFKGMNGDGSDCPFDMRDIQRCPFLRNIDEPTNFSFASTKFSIPVHGAKGPIFEDGPSFDMAFKLFHGKDGIVPLSERSDFHNGNKEADSMPVFNPLAGRAASISLSSFGLGGPFNFGDFSEKWKKQKNSESSNKKEYSSQEGEMSKHEALGNEWLENGNCPIAKSYRAASRVVPLVATALKPPTAMKFKCPAAVVAARAALARTAFVKNLRPQPLPAKMLAIAALGMALNVPLGMWKEHTKKFSLSWFAAVHAAVPFIAMLRKSVRMPKSAMALTIAASILGQVIGSRAERIRMKAIAEMGKVTTLTETTSSVTTYDTRQLDDFRTRRCGAEGMVLNSIPIKDAGTSSTANTCY >RHN46725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34354299:34360764:-1 gene:gene41247 transcript:rna41247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative STAR protein, homodimerization region MSGLYNQNFSHARAASPQIRPSSEVDSQYLSELLAEHQKLGPFLQVLPICSRLLNQEILRVSGMLSNQGFGDFDRLQHRSPSPMASSNLMSNVSGTGMGAWNSLQQERLCGPPGMNMDWQSAPASPSSFTVKRILRLEIPVDTFPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPEKEDKLRGRPGYEHLNENLHILIEADLPANVVDIRLRQAQEIIEELLKPVDESQDFIKRQQLRELALLNSNFREESPGPSGSVSPFNSSGMKRAKPGR >RHN67548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28171369:28172127:-1 gene:gene15746 transcript:rna15746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKRSLSACNRMKRKSHSEINDQKKKKPALTPVRSSSQSLIFDSKPEKLFTKTIGGESSRSLCGICFDLKTDSDMFKRKSCNHLFCVECISKYVVSQINNNVVKVTCPTPNCFVKFEPRHLKHILPREFIDRWELAVYESKIALDQKTYCPFKNCSVLLVNDNNRGVVLTSCECPSCHRLFCAQCKVPWHAEMNCQEFQDLKRPKKEQDLDDKFLELANRKKWQRCPNCSIYVKRSDGCEHMKCRFILYSTFS >RHN81274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44492437:44497726:1 gene:gene5290 transcript:rna5290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADIGISLALSAANVANGLSKILIEEYAVLGGGTIRHVEWIERELRSMQGLLEQAGQRAYGEQEQEFNEWEEKLKEVAGDAEDVIETFVIKSVKRRRWGVLYWIDKHKVGKELQKIRRRMRDISQTGMNLNTNVVSVSVETPGREAPSSTTTSVVAPAMEKLDHILSQTTIVTGDEVVEMVEQVKGELVELQNIVYSLRSASEREYVWLEEVKELCNYTESVAGNFILVKERRSKMGMLKKLLYLSADYASENEFKKQMKYIGTRIGDAVHISLTYEVGGQLDMGVGFKKTSPVPDLSIESWIILHILFLFVCPVIVLSKFSEPSLFLLLVIISPAYKIVHDRGRKTSKSSMVKKKKKKKKKWMGKIRRFLRFFFSTVIYIIIFILFQSYPVMGTFLFILSFSLTEVIAWSINKNKRWKRREIIRRFTRFLFSTVIYLPIFFLFKFYPVLAMILFILGYSLNKFIARSLKKKKWKVREKIRQSPQLFFTTVSYTAISSLILFHPVLGVFLFILSNLLYEVIVWTVNLWRSTANNLKCTERYLAVMRVFLNDTAESAEGLNTRQRAWVDQLRVVSQNGEFFIDGDAKGKGGCLSRIKFAKDINCLLKEILDISDRKAIYCIANVQRNQQDLVPLLGIQERDIDNEIIVEHAENSSAMPAAAASSSSSYRPVMGLKEKVQSIRREKELMDALLLDAQEMGELEGRSRIWVEQLRDISLEAQSVINKYDAKLKHKPNVIYIFKYWTRCVLNKKVDGIKNKIEEASRRRKAYRLVQIQSRAVSMFQILRARKQLPFVANDSSVVGFHDDRQALMAELLSDEKRRCITWIVGIEGTGKTALAKLIFEDNTVVDHFQHRVWVSLPSNCTTNQFVAEIGKEAAEQITVEEEVLSTDYVLTTLAHTKYLVIVDGIKETSQVYLDTLNRAIPDRSTGSRVLFTTRNANVSQHAAGTIFVHPLQLLDDETSWLLFTRHLKVDIHPESETELIKVGKEIVMKCGGLPSQILKMSDFLSHKDVTHEEWSRVLRGEQLNEYQIQSWSGMLDTINNNLPSYLRRCLFYFVIFPAEFGIPVRRLVVLWVAESLVHQTEDDEMPPELVAERYLTELIDRNMVQVSKRKRNGKVKTCRLPSALRQLWLTKANESRFLHGRQSSTDSNEDPKKSIIRRVADHLDKDDIWNDHIHGDITDSTSLKTYYKDVLSFLSFDTQEGSKPGHQVGSFLKGCISSDCFLLLRVLDLERVYKPKLPNRIARLSRLRYLGLRWTYLESLPSSISKLLKLQTLDLKHTYIHTLPSSIWKMELRHLFLSETFRSRFPPQPKGNSLSDLQTLWGLFVDEKTPVKDGLDRLVNITKLGLACQSMSLNQEAMIAQLDTVADWITKLEHLQSLRLKSRDEEGRPWNLHLKSLENNINLTDMYLLGRLSSSSILSQFPLSLVELTLSHSELEDDPMILLKDFPHLRTLCLLAESYVGTTVVCESQSFPQLHVLKFWKLQHLEEIKIDPGALPCLRQLEIRSCPNMQMLPDGLKHVNTLLELKLTNMPMKINVEAHNIPTNCQVVQTKFQ >RHN73132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13673359:13674663:1 gene:gene8917 transcript:rna8917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain, Bet v I type allergen MVVSTFTNDYSSPIAPSRMFKALITDSSNLLPKLLPQFIKDVNLIQGNGEAGTIEQVNFAEASPFKYLKNRIDMIDNDNLVCNYTMIEGDPLGDKLESIAYEVKFEAIDDGGCLCKMTSKYNAIGDFEVKEEEIKEGRENSIGICKVVEAYLLENPQVYV >RHN39641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9017326:9020485:1 gene:gene45683 transcript:rna45683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MISFLLRVPMLWNLRMRQPHMVSGNNNQDQYNDTTFTKLFVGGLAWETQRETMRMYFEQFGEILEAVVITDKYTGRSKGYGFVTFKDPEAAIRACQNPSPVIDGRRANCNIASLGANKNRSLTLHHGRFRPPHGVVPSVPYHGSSSTVFHQPTRQYTFPYSAYGYSGYSQDTLYPANYYNVYGVQQYSPYYPAVGASGAMGLVQNMYPYYGQYAQNIHGHGFGVQYPQMTQIPVLPQHYGSQGILTIPSSVTIPTISAATVTATTTTIAAPVAVTRVGTSQASGTDTEQQHSTS >RHN57693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41096541:41097888:1 gene:gene33273 transcript:rna33273 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQYSLQGAFQHNCHQREIHLMSTKVHLLPQHMLKITQENYSVP >RHN48416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47318711:47322664:-1 gene:gene43130 transcript:rna43130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylate kinase MAAITRLVKRTTSFYPLARGFSSSSYSHASPRENRNIQWVFLGCPGVGKGTYASRLCNLLGVPHIATGDLVRHELSSNGPLSSQLSEIVNQGQLVSDEIIMSLLSKRLAEGQAKGESGFILDGFPRTIKQAEILEGVTDIDLVVNLKIREEALLAKCLGRRTCSQCGGNFNVASINIKGENGCPGISMDPLLPPEHCMSKLITRSDDTEPVVKERLRIYNELSQPVEGFYRSRGKLMEFELPGGIPESWPKLLHALNLDDEEKQSAAA >RHN43030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42697359:42700981:1 gene:gene49550 transcript:rna49550 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSVESRLDEVDRTMYSTFCTTANSLSHLYTHAMNQQKLSFQAGERHALEKMYQWILRQQQEGMRVTTIDIVSHLQNELEYGAEESPVSPRQSIQQNSQTAIQTNFAASIPSNAFGSTVAGQGIRTGQTDQVKNSVFSNALSSPIRRSLQPYHLAQGSNPSSNVMSSGNGTRNNEMVYPSGQNRDTNSSNSSDCMDMHADSPGHDFPY >RHN78309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13958404:13960157:1 gene:gene1849 transcript:rna1849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNNLKTIWHRQFEKLKMLEVNNCKKIVVVFPSSMQNTYNELEKLEVTNCALVEEIFELNLNENNSDEVTTHLKEVTIDGLLKLKKIWSGDPEGILSFQNLIYVQLESCASLEYLLPFSVATRCSHLKELVIKWCENIKEIVAEEKESSLSAATIFEFNQLSTLLLWNLTKLNGFYAGNHTLACPSLRKINVSRCTKLKLFRTLSTRSSNFRDDKPSVLTQPPLFIAEEVIPNLELLRML >RHN51225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15197965:15198249:-1 gene:gene35623 transcript:rna35623 gene_biotype:protein_coding transcript_biotype:protein_coding MWEKHVEQKWSRLVGDDAYHEWKYHTTKCKELLVDQNLSEPFGTISGDSPSQRLHSYLKINRTLIGLIKNHSKMALYIFLETGRFWFPAQVYKV >RHN63607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52668257:52672261:1 gene:gene26331 transcript:rna26331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Band 7 domain-containing protein MGNLVCCVQVDQSQVAMKEGFGKFEKVLQPGCHCMPWFLGKRIAGHLSLRVQQLDIKCETKTKDNVFVNVVASIQYRALADKANDAFYKLSNTRNQIQAYVFDVIRASVPKLNLDDTFEQKNEIAKAVEEELEKAMSAYGYEIVQTLITDIEPDVHVKRAMNEINAAARMRLAAKEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVIGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVASQIRDGLLQGSLSHQ >RHN68032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32270492:32275394:-1 gene:gene16318 transcript:rna16318 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSRKHVQSVIALLLIALLSLTWNLNSVSASSGGVAGGSFFDSDSSSSDSFTSDSDSERVREHHHMYDSPPHHDGDDKVASGGNGPLVFFMIFAFGIFFVGFWNKDANGNRNSVTVLKLQVGMLVEVGNTIQRDLARIAEAANTSSREGVCNLLKETMQTLDQHHGFCVAGYSSVDLKRSKDDGEKCYNQLSNEERAKFDEETLVNLTDNHKTIIRSQSYDKIGNENSTFDVRKSSEEAEKFETEKLLDGPDNKYIVIILLVAVKGAHKLPDINGAEDLKEVLPKLKSLISSKYLLAGEVLWTPQKENDTVSDAKLLKDYLQLAKSMKISKKHE >RHN39626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8824373:8828751:1 gene:gene45667 transcript:rna45667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative O-methyltransferase COMT-type, S-adenosyl-L-methionine-dependent methyltransferase MLDRLLRLLSSNSMLYCSLSEDQQGHISPQRLYSLAPASKYFVMDAGGVSFEPTLNLTLDKVFLGSWTEMKGAIMEGGIPFNRVYGMHAFEYPIVDPRFNDVFNNAMANCTTIVMKRVLEIYEGFEHINKLVDVGGGLGINLKLITSKYPHIHGVNFDLPHVLEHAPSYDGVTHVGGDMFESVPDGDVIFLKWILHDWSDEQCLKLLKNCHKAIPNDGKVIVVDSILPVFPESTIVAKTGFQADLLMMAQNPGGKERTKDEFMKLALESGFSGIKFACYLSGFWVVEFFK >RHN69543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44261566:44262533:1 gene:gene18025 transcript:rna18025 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRPARFKRPDFMETKEITPTQLKSLRAAAARVFVDERNLKEKSSEMNIVADGSTDKKENVASFDNGVQNLSTAPIKIEPKGTKSNN >RHN71828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2853327:2859496:1 gene:gene7468 transcript:rna7468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator C3H-WRC/GRF family MVKNSTKEECPPDNLRCSRTDGRQWRCKRRAMENVKLCEVHHLQLQHRQKKVKVPESLKLQRNMKMKKKKKQNDVVEVEIRSNKKKKKSKKNDVVDVQLDLIRMVLQREVEKKKNPNKKNVKNVDEELELVKVNYSEGELRKELPNGVMEIAPVSTSHRRDDDDDVVDFHCNGKAVVTPPYFRSKNVDRRVLSDNFQVLKKCRRKKCHWCQSSDSLNLIKCNNCQKEFFCMDCIKQRYFDTQNEVKKACPVCRGTCSCKDCRASQCKDRESKDCLAGTSRVDRILHFHYLVCMLLPVIKQISEDQHAELETEAKNKGESISDIIIKQIEFDCNEIIDCNYCKTPILNLHRSCLNCSYSLCLRCCQTLSQGSPFEHINSPLTELPDKMDTCIADESCLFEDKSISSDDETDTSMLLDSTGFNGTTDSISCPPSELGGCGNDNLDLRCVFPISWIEDMEAKAEEIVCSYDVPEILDKNSSCSLCIDTDHKTNRHKQFEEAARREDSNDNCLFYPTVFNINCDHFEHFQKHWGKGHPVVVHDVLRSTKKLSWDPLVLFCAYLESSIARYENNKDLLEACLDWWEVEINIRQHFTGSLKYQPRKNDWHETLKLNGWLSSQLFKEQFPAHFAEVIGALPLQEYMNPRFGLLNLAANIPEGRAIHDKGPYVHISYGCADDKAYSVLNLSYDSYDVVNIMVYTTDVPVSTEHLTKITKLLKKHRTLCQRGSPKITTENAEDAEQKEPESIVNEGTDFYRRVNRTSYISTEVKAIASQSLENNTSSNGECGSGSDAEKTESSLPFHKTDQSTEMYSVAQWDVFRRQDVPKLLEYVKRHRDEFCDTNEYDSNKIVHPILDQRIFLDNTHKMRLKEEFEIEPWTFEQNVGEAIIIPAGCPYQIRNSKYCVQVVLEFMSSESVAECIQLTDEIHLLPENHKAKVDKIEVKKMALHSMDMAIKEIRELTSNPKHDLAKIDS >RHN52165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32225493:32232067:-1 gene:gene36797 transcript:rna36797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling SWIB-Plus-3 family MGDEKLFGSFWVEEINGEVQTSAKKKRKYQHKKKEYNGWGSTLLIQFLKSIGRDTSNKISQSEVTQIITDYVHQNNLRHPTKKKRIECDARLFSLFGKKTISRLKISDLLESHFAENCGESDDGFLLDSEDDEYAPGARETPKPASLERKSQPRKYVVEKPRSCFAAINPFNIKLVYLKKSLVEELLKDPETFKTKVVGSFIRIKCDPNDYLQKNSHQLLQITGIKKSSGVDGEILLQASGFIKDISIKMLQDDDFSEAECEDLHRRVKDGLLKRPMIVDLEEKARVLHEDMTKHWLAKELALLQNLIDRANEKGWRREYPFLIIFSKLFSCLHINFLLQKILMW >RHN58301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:587217:587738:-1 gene:gene20200 transcript:rna20200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MADLPSDLLTEIISRLPPQPILRFRLSSKWLKSIIDSHNFTNLHLKNSLNFNLILSHDSEFYQFDFPNLTTTGSLYHPLTSKSDVALLGSCNGLLCISNQVDEIAFWNPNIRKHHFIPYPPSPHRSIGATFHFVVHGFAYDPFSEDYKLLRISSSIDIICERFDSQVTLFSSK >RHN62340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42743961:42745359:1 gene:gene24902 transcript:rna24902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MAFTTNCSEESELYHAQIHLYKHVYNFVSSMALKSAMELGIADAIHNHGKPMTLSELASSLKLHPSKVNILYRFLRLLTHNGFFTKTTVKSNEEEETAYGLTPSSKLLISGKSTCLSTLIEGALHASSIDMWKSSKKWFNEDKEQTLFECATGENYWDFLNKDSESGTLSLFQDAMAADSRMFKLALHENKNVFEGLESLVDVAGGTGAVTKLIHEAFPQIKCTVFDQPQVVGNLTGNENLNFVSGDMFKSIPPADAVLLKWVLHDWNDELSLKILKNSKEAISHKGKDGKVIIIDISIDETSDDRRLTELQLEYDLVMLTMFLGKERTKQEWEKLIYDAGFSSYKITPISGFKSLVEVYP >RHN60939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31709814:31710435:1 gene:gene23338 transcript:rna23338 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQISIHLLFLFAWLLHSITSMPMFRLINQLISSSEPNKLSQSHILG >RHN45692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24520436:24529147:-1 gene:gene40075 transcript:rna40075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MEKMEILSSLRITKTVLAILSLVLLSNIRVQAQGILLLPSQKPSQRETRVIQIGEGATTNAHDSMLKFVNSSSFPNRETFPRGFLFGAGTSAPQIEGGSHEGGRGLGIFDEIYSGADKFDTKIEHYTRYKKDVQKLKILGVNSYRFSISWNRVIPDGTLKGGINKEGINFYNNLINELLNNGIEPFVTILHLDYPLALQKKFGGFSNHSNVKHFKDYSELLFKTYGDRVKHWTTFNEPEVQAVFEAVYNVGKLSTDPCPTTKICTEVYTKLHNFLIAHAMASKLYKSKFQAIQEGEIGLVISSESYFPYSSKLEDVDAAQRLTDFTWGWVLEPLFHGDYPQIMRKLVGKRLPKFTKNEKEMLKGSIDFIGINYYTSHFVRHEPNRTKVTGGYFDALANTEDINAEGKTLGYLDQYGGRYVYPEGLYNFLLYIKKKYKNPKIYITENGIPSIKIPNPLKDKHRIAFITAHINATKTAIDDGVNVRGYFAWAAFDTFDFKDGYSHNMGLYHVDFNDCLKRIPTDTAKWYKKYLTRDLKE >RHN82304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52703722:52704710:1 gene:gene6460 transcript:rna6460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exonuclease V MCYKYLWDNLVVRDFPSKRLFEYFELNPRRNLCKDLRTACVDSGISALTIADVVICYQNMCKLLPRANDKLVLRYESQRDHSLLEEEKFVYEGSWIKNEIRICLEFWLGKREASSVDEEDQWKCGFCDFTSQCPAYIGDSGSTETLSEYDYSSDCCSVQ >RHN62044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40281305:40283755:1 gene:gene24573 transcript:rna24573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative X8 domain-containing protein MAFLVYFLIFLSLTGHSSALYCVCKDGVSSQLLQKAIDYACGTGADCSPILQNGPCFQPNTVKDHCNYAVNSYYQRKGNVQGSCDFAGAAAPTQTPPTAASGCVYPSSPGNAGTPSTGSPGSTPGTGTGTGTGTGTGTGTGTGTGSTAGSPNVIGISPSSTIDGSSAAGESCMKNTNTILLLSLVITMCLAFKV >RHN76139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47955036:47960811:-1 gene:gene12420 transcript:rna12420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MATTYHQPASLEEVRTLWIGDLQYWVDENYLTHCFSHTGEVISIKIIRNKITGQPEGYGFIEFVSHSAAERVLQTYNGTQMPGTEQTFRLNWASFGIGERRPDAGPDHSIFVGDLAPDVTDYLLQETFRTHYGSVRGAKVVTDPNTGRSKGYGFVKFSDESERNRAMSEMNGVYCSTRPMRISAATPKKTTGYQQNPYAAVVAAAPVPKAIYPVPAYTTAPVNTVPPEYDVNNTTIYVGNLDLNVSEEELKQNFLQFGEIVSVKVHPGKACGFVQFGARASAEEAIQKMQGKILGQQVIRVSWGRPQTARQDVPGGWGQQVDQSQWSAYYGYGQPGYEAYAYGAAQDPSMYAYAGYASYAQYPQQVEGAQDVSAMSVPTLEQREELYDPLAMPDVDKLNAAYLSVHGNAILGRSLWHKTHSSSLQQQA >RHN41618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31548729:31554007:1 gene:gene47960 transcript:rna47960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyltransferase A, auxiliary subunit MAVSLGNLTILLDISSPRTLTLDRKPLLTLPLKRDSSSCSCSYGCDGEVRGRVVVARGKSNSEQNGVDFDSESDEEGGLGDGDDFETKIRRRVKEFEERRELEKKAEEYLQSKGDDDGEEESEEEKRMRVKKELEKVAKEQAERRATAQLMYDLGKKAYGRGSYGRAVEFLEGALTIIPRPTLFGGEIQIWLAMAYEANNRHKDCIALYKQLENSHPSISIKRQAAELRYILEAPKLKITQEEMVTIPLIGSSYDSYAGTWSDKNKDRKSGTVNSPFPSSRDNLLDLLVWRPPAGLGKNRAFWVGLTIWLVLVGAALYIQR >RHN38655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1042688:1043886:1 gene:gene44613 transcript:rna44613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MYYCSLEVFNKFKVVVEKESEKSIKILRTDGGKEYTSSKDFEEFFAREGITHEIISPSPPQHNGLVERRNRIILDMSRSMLKQNNMPHKNVNSRIFTESDQSEDLDEDIEDTNNVIENEEEAQPRQVPIRLEDCEVLPDSVVNDEREFIHFAFLPHAEPLNYKEAMQIGVWRKGMIQEIKAIEKNNTRELVELSEKKKTIDVK >RHN80797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40930934:40932857:1 gene:gene4763 transcript:rna4763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MAASETQAEQHLNYKTTVLKVSIHCVGCKRKVHKILQAIQGVQDINIDLRQQKVIVTGNVNSDILIHKLASKTGKHVELWPEPTESKKKKQPKPEKNKEKQSDPESENSEEIEIKHNSENNNNGTGKVDTSTSTSTSKNVEVNGNTAKSGNGSGDVNVNVNGKVNKPSEGSATGKTGVVHVQELKPEVRKQTVVLPAKPVAEKKVSVAVQFPNDDNEEPLTNEKTGSTGGDSTGVKKKKKKKGKGKVVDNNVEHFGDAPGTGDSGNRSHGRGYSQGQSSNFLGQVHSGSNLTNENEIPPRHYINEQFYPPQQYYGTPRSHVVAAPPVVTVSHHTAYPSSSSYGAAYYAPPQPYQYAHVMNTGNEMELQPRPYTYELESYTSSQPSDSFVYFSDENPNACNVM >RHN81260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44401334:44409617:1 gene:gene5276 transcript:rna5276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MGIAMGGTDSLSVKAAMMRESLQKSQTITDNVVTILGSFDHRLSALETAMRPTQIRTHSIRKAHENIDKTLKAAEIILSHFDQYRQAEAKILKGPHEDLENYLEAIAKLRSNIQFFGSKSSFKNSDGVVSHASSLLTKAISKLQDEFNQLLLSYSKPVEPERLFDCLPNSMRPSSGSPGNEGEHSGKSNHHSDNNNADAVVYTPPTLIPPRILPLLHDLARQMIEAGHRPQLLTIYREARSNVLEESLQKLGVEKLNKDDVQKLQWEILEAKIGNWIHFMRIAVKLLFAGERKVCDQIFEGFDSLSEQCFAEVTTNSVSMLLSFGEAIAKSKRSPEKLFVLLDMYEIMQELHSEIETLFKGKACTAIRDAAMALTKKLAQTAQETFGDFEEAVEKDATKTAVTDGTVHPLTSYVINYVKFLFDYRSTLKQLFQEFEGGNDSSQLATVTMRIMQALQINLDGKSKQYKDLALTHLFLMNNIHYIVRSVRRSEAKDLLGDDWVQRHRRIVQQHANQYKRNAWAKILQCLSIQGLASSGGGSTNAGGDGGTGSSSGASRALVKERFKQFNIMFEELHQKQSQWTVPDSELRESLRLAVAEVLLPAYRSFVKRFGPLVETGKNPQKYIKYTAEDLDRMLGEFFEGKNMSETKR >RHN61594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36694918:36697815:1 gene:gene24073 transcript:rna24073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Dim1 family, thioredoxin-like protein MSYLLPHLHSGWAVDQAILCEEERLVVIRFGHDWDDTCMQVRAYLYSYNMVKKLFTYINFTTMFFAYILSWGE >RHN55606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19971157:19973062:1 gene:gene30809 transcript:rna30809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLC-like phosphodiesterase, TIM beta/alpha-barrel domain-containing protein MIESLGSGKRLGLWVELGGGLLSPPDLEPAINALKEVENFLTANPSEIVTLILEDYVETPNGLTNIFKASGLMKYWFPISSMPKDGQDWPLVKDMVAKNHRLIVFGSQKNKEQSEGIAYQWNYMVENQYGKNGMVHGKCPNRVDSSALNDRSKSLVLVNHFRTIPIQQATSAAGNRWANFVAVDYYKRSDGGGSFQAVDMLNGKLMCGCDDVHACMVSLLYFLPVSTHGPSSQMWVPS >RHN43580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47008299:47009498:-1 gene:gene50184 transcript:rna50184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAHYISSPKLCSRFESKNKLSSRCYIDYLSDDLLGQIFTRVPSRSTVACKCVSKRWLSLISNTDFIKQFTSHQHSLFKSMLIFVTPRELMLAFWDQSQHNQSLEIPISFPPDMLIKGKGSSICGCSNGLFLCCNNRYTYGSGYYVYDPLVKGRIDIPDPSPPLTCIENLYAVGFVCKPKQKVTARRSNKHNFRVVIIKSFLVRVSEIKVDVFSSKTGQWNHIVMKIPKGFAFAPHWLLSFSYCGKLYFMGRANIFVFDPYSRKRYTINYPSEADAMNIVSCGFLGISCSRLRIADIRQHDLRVWEHVEKNQWDLLHCIDISTKLPQKFCVNYYKRVAGFHPFDGDIVYLHSYAEGIFVCYLGTRKFEAVPGYEKADISPFQLEISDLLLPQESRAITIN >RHN59808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13472990:13473946:-1 gene:gene21964 transcript:rna21964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MEAFSSRILLTIFAFSFITHSIQANERPYQQSNTLFIRNSCSSTTYPRLCYTSLVKHADFIQTNQMLLTGTALNVTLASAKSTSALMSTLSKGQQLNPREAAAMKDCVEVLSDSVDELRRSIDEMSRLRTSNFEITMSDVQTWVSAALTDQNTCTDGFQEINATENVKTLVRGSIVQVAQLTSNALALINKLATSHG >RHN71079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56325045:56325606:1 gene:gene19722 transcript:rna19722 gene_biotype:protein_coding transcript_biotype:protein_coding MCRHGFSFCACQWQPYDGVSFKVWVTAGRSFVSISLSFGGEGS >RHN42382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37890502:37891923:1 gene:gene48818 transcript:rna48818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MEMICNILLVFLVTPFFLLNMISCEDDEVKRTLIQFLTQLRGQQNNSSSLVWKPDTDPCKDHWNGVYCDAQMSIKKLDFYRFNLSGTLDVALLCNLQPLAESLTFLSLDDNNISGEITSEIKNCKQLTRLH >RHN53145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42311843:42317466:-1 gene:gene37915 transcript:rna37915 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSSDELTNSHAAYFIIKERIFFYRLVVPVAIASWIPLSHCAKKPVGIARKEDVPYIKCQVCEILAKQLYQQVQSKKAEISPKKISEYQIIEIAENVCNLKKVEADWILRIDIVEKADRLELEEEHDSEGQCNSECKTVERACQEVMGYSDTDVAEYLYSCKPDIDSLTNYLCKDLSKSCNTKPPPVPKVFQTRTPGEPFVAKSSNEAEMEKLLKSMEGMPGVPDMKMYSSDDLM >RHN81321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44847346:44855155:-1 gene:gene5339 transcript:rna5339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-PDK1 family MLEMEKDFDSKLKIQRSSSSSNGGGGGAGNVQRSKSFAFRAPQENYTIQDFELGKIYGVGSYSKVVRAKKKDTGVVYAMKIMDKKFITKENKTAYVKLERIVLDQLDHPGIVRLYFTFQDTFSLYMALESCEGGELFDQITRKSRLTQDEAQFYAAEVVDALEYIHSLGVIHRDIKPENLLLTTEGHIKIADFGSVKPMQDSQITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIAREIRFPDYFSDEARDLIDRLLDLDPSRRPGAGPDGYAILKSHPFFKGVDWNNLRAQTPPKLALEPGAGTQSPADDSQESSWSPAHTGDGSAASARQPDGATSSSEGAGSITRLASIDSFDSKWQQFLEPGESVLMISMVKKLQKITSKKVQLILTNKPKLIYVDPSKLIVKGNIIWSDNPNDLSIQVTSPSNFKICTPKKVMSFEDAKQRASQWKKAIEGLQNR >RHN60580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28431721:28432185:-1 gene:gene22911 transcript:rna22911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation HMG family MEDQNLNLPYTLSPSSTQNESETTNNAAIVTEVLGNDAGSDTGAKSKSVGSESAPVKRGRGRPRKYEVGGKPLSPVTPTPGLAIQPCGSEEKRGRGRPRGSGKLQILASIGMSFFYFSLGFFVPFCLFLALSFHFLFIFNIFYIVWLRRLVFYT >RHN55840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24662134:24663302:1 gene:gene31110 transcript:rna31110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MDLKRCLSGCPILEDLLTIDIRKATEGGFETALSNLVRATISPFDITFKAIYNVEFLRIIKMDEIDHNKNINAYYKDFPVFCNLIHLEILFSDYDHSWNNVAKVLQHSPKLQILLIRKRSSNYYTYRKDWESPNSIPECVSSHLKTCTIINYEGWKGDIQFSRYILKNARFLQVMRVMVSRIASYRKSQILEE >RHN74371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32283466:32285086:-1 gene:gene10422 transcript:rna10422 gene_biotype:protein_coding transcript_biotype:protein_coding MLYILVRGWCLSPRQGRPSNTKITYKGNYIYLPPKALAGLEPRFSRI >RHN76064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47372888:47378223:-1 gene:gene12339 transcript:rna12339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronate decarboxylase MAANSSNGDQHNGDQQTTTKQPPLPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVADNYFTGCKDNLKKWIGHPRFELIRHDVTETLLVEVDRIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQPETYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGLEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRGEPLTVQLPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMTELAENVKELINPAVEIKMVENTPDDPRQRKPDITKATELLGWEPKVKLRDGLPLMEEDFRLRLGVPRKN >RHN62748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45612931:45614078:1 gene:gene25353 transcript:rna25353 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGAYVCSNLQLVRYGNKAWLLSLNSLLRRDSALKYYAPNKSGCL >RHN79672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31139073:31139978:-1 gene:gene3492 transcript:rna3492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MVYISVLNYINFPYMVGGAKVTFTNRCAYTVWPGTLTGDQKPQLSTTGFELGPGATIAVDLPSPWSGRFWGRTGCSDNNGRFSCATADCASGQVGCNGAGAIPPATLVEITIASNGGQDFYDVSNVDGFNVPMSVMPQGGSGDCKTASCPRNINVVCPSELQVIGSDGSVFACKSACLALKEDKYCCRGDFNTEEKCPPTDYSKIFKDECPGAYSYAYDDKSSIFTCFARPDYAITFCPSA >RHN39003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3448417:3452143:-1 gene:gene44987 transcript:rna44987 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDEDDSKRYKPNKEFIEYATEPLVGLNLTDSTELWLIKVPNSHDKNLLDDINGKELSFKLNKEGTLASFEGVSGETYDFLSFASTEPDETVFVSSATESEIAGKISRRVSVVHYPDPRALEKISSTDPNQTLQNSIAAASQSAQRRSHASATKSSRAKSSISGLSERTTTPKRHGVNRRPQPESSRGHSSGVSAVSSDHSDGGKSKRRKHTE >RHN70900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54887736:54893160:1 gene:gene19533 transcript:rna19533 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADP-dependent malic enzyme MATEDFVNGVGGGVKDLYGEDSATEDQLITPWNFSVASGCTLLRDPRYNKGLAFTEKERDAHYVRGLLPPAVFTQELQEKRLMHNLRQYDVPLHKYIALMDLQERNERLFYKLMIDNVEELLPVVYTPTVGEACQKYGSIYRRPQGLYISLKEKGKILEVLKNWPEKTIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSSCLPITIDVGTNNEKLLNDEFYIGLRQKRATGKEYAELLEEFMHAVKQNYGEKVLVQFEDFANHNAFDLLDKYSSSHLVFNDDIQGTASVVLAGLLASLKLVGGTLADHTFLFLGAGEAGTGIAELIALEISKQTKAPVEETRKKIWLVDSKGLIVSSRLQSLQHFKKPWAHEHEPVKELLNAVKAIKPTVLIGSSGVGKTFTKEVVEAMASLNEKPLILALSNPTSQSECTAEEAYTWSKGKAIFASGSPFDPVEYEGKVFVPGQSNNAYIFPGFGLGLIISGAIRVRDEMLLAASEALAAQVSQENYDKGLIYPPFTNIRKISANIAASVAAKTYELGLASHLPRPKDLVKYAESCMYSPGYRSYR >RHN41721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32354206:32361763:1 gene:gene48073 transcript:rna48073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S2P endopeptidase METRRMRRFVSHQKPNSRTLLPLHAPSSSSSHLSNTISFFYCDYKFISFNKPLYTFGRKHANFFKLWFSIGLGFALSAMLAVTLILVWEVATALNICGESNKVRSIASEMLFGFPNLLSGLSLSLADAGCICVSTIVSVFVHEFGHALAATSEGIQIEYIAIFIAVLFPGALVAFNDDLLQASEHLTALRIYSAGIWHNAVCCAACGLTLFLLPLLLFPFYSSGHGPMVMDVPSTSPLYGYLAPGDVILSVDNVRIRNAQEWLKLNTLTYGIQLKNVNISQHTGDLAALNNGKGYCVPSLVMEASKITGLLENQLVCSSELNAFVKISCSGNITLDDGQSETDLSNGRRNMYCLNAKDVVKLDKCGDGWGLAKSNGSSCTCSLDEFCLAPVQEPGMVWVEITYSRPQECLREENSFPVSTTSSLKERNCGATFIFVGDVISMAHSIHLTSYQPRWGPKIVAYFPNFLERFLIWTFHISLALALLNGLPVYFLDGESILDATLSHYTSLSPRKRNKVLRLCLLCGLLVSIIGLFRLLL >RHN41034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26253858:26259101:1 gene:gene47307 transcript:rna47307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L34Ae MGTKNLVMLKTHIKLCFPNDFVYENLIWALASTWIFLCNYVVHSMGLILTYIFRYQKKNEQVVPFDLVEQNMRDQFEDYEIDEFTEEVANLIFPSYEDFHIESEEREEETEYSVLVESDCDNQQDDEKGKGEKDCSVFNEDGDNSEEETKGSFFIHSFTHDVKKVDEYETECSVFIKGDSYLDQDGRKSLKTIHEEEEEYEEEELKDSVFMEEEFEKKDFGDLEEEPMILSFSFLRNDALSSVENIKGSLVDENEAECSVFIKGDSDFHLDGRKSVENVEEEEETKGFNSTTSKCSLVQYKDISVFDEEPMFLSFSFLRNVTYDNELSSIGNIEKKELLEHNLEEFHVFQEDDESEFVKENRKLTSNSNDDSLTCKIIGSKNSCEEFECESLEELQDLKETHFSCDREEDSASFVEREYEKEETMYEEELDEMDYEEDEDDEDEFEWENDEVMEQIKLELKNARQGGLATILEEEEEEREYSSKVVEEKIKPLSIEEKMEYKDHIVEIQKVYNCYAQKIKKLDVLNFQAMHAIGLLQLKDPPKLFLMQKSTVQQVKPLVIPQNLWPRKAQKNKIDPMLKLVNELHRDLEIVYVGQICLSWEILCWQHEKIKELKKYDSPRPRRYNLIAGEFQLFQVLMQRFLEDEPFRQDHRVQNYVKNRCVIRNLLQVPIIKDDSTKDKKKIKWGEEDGIASERLEQIIKKSMQVFWKFVRADKDDDNVFHKVFHHHKENEVKDTEISELLRDIQIQLNKKERKLKERLRSGNCIVRKFQKHNEDQIQLDHEQFLAQVGLRLISKVINMKKLTKDHLIWCNEKLNQINFVDKKIQVEYSFLLFPC >RHN53339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1065905:1071909:-1 gene:gene28237 transcript:rna28237 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIHNRFPRLDVLFSPNTWRVWIPLSGVRYTGSFGYEKTSVRTGCSLRVIEDSMRLWNIAIGSVSCECVRDTGSFSYEKTTFGCSL >RHN75519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42938623:42938823:-1 gene:gene11740 transcript:rna11740 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L16 MFRSVTVRPTETRMGSGKGSPEYWVAVVKPGKILYEMGGVPENIARKAISIAASKMPIRTQFILSE >RHN53159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42428385:42430790:-1 gene:gene37929 transcript:rna37929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HRT family MFLLFIFDHLCFHMICVILQYSLFHEIIFQGFPIVYRWASMKTDGEACKVKSQMLETFDYAWNKVDNGKRRPNDILQMLNIISSNKLPITNDKLDEAGNNSSFVDESITKNDVGKAKVALEVEVNHQLVDDKLDEAENNSSFVDESITKNDIGTSEVALEAEVNHQLVDDNLDEAKNNSSFVDESITKIDVGMSEVVLEVELDHQLADDKSDEAKNNISFVDESVIKNDVGTSEVVLKVEVDHQLAEDKSDEAENNSCFVDESITKNDFGTSEVALEVVVDHQLADDKSDKAEYNSSFVTLEAEVDHQLAEASPLKKKGKSDKGETTKKNDGSICTKPPAEKRVSCQEHKGMRLNVFSAKAIRRSKSESEILAGSFVDESITKNDDGTYAVVLQAKIDHQLVEACPLKKKGKSDKGEANKKNVECVLEVEIGGSLWNRNFDRAGFVKDHFNLYEDFEATRKSNHSNMFHDASVSCLRSVVLLEVMEEKFQAVKSNADRLKQENEDLKLRVKTLEDQLKESRNSLKTTKDEKKKVEEDKRDVEAKCLELNNNYGAIKGEVKKDVQKIIEFQEGVENAKKGWLRIWKRGKLRSHVVIPRS >RHN58215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44693109:44693744:-1 gene:gene33835 transcript:rna33835 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYSKPCAYLNYQSKSIPRVQADQHIITFFWMKLAFLQMIYRSLCTLYLMFAPICYVHLAAAQVAQFMKFENISETSSSQGGNNASSIPQLPKFHTKVWNSMFFVSDNEIMIQTCSCTIKPIVLVVNFVDPCRIPCSQSNL >RHN38756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1807676:1810838:-1 gene:gene44722 transcript:rna44722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MGTTNTTKPNLDSTFNERKAFDETKAGVKGLVDGGVEKIPSLFHHQPDKYEIAYNTSHVIPVIDLKDIDNKDPSIHQGIVGKIKEACETWGFFQVVNHGIPLSVLEEMKDGVKRFHEMDTDAKKEYFYTKDRNKSFIYKSNFDLYSSPALNWRDTCACYLAPDTPKPQDFPVVCRDITLEYGKHVMNLGTMLFEFLSEALGLKPNHLKDMGCTEGLIAVCHYYPSCPEPELTMGTTKHSDHSFLTVLLQDHIGGLQVLYEDKWIDITPATGALIVNIGDLLQLITNDKFKSVEHRVVANQVGPRISVACFFSTGLRPSSKLYGPMKELLSEKNPSKYRETTVADYAAYFKAKGLDGTSALTHYKI >RHN48155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45452055:45457496:1 gene:gene42842 transcript:rna42842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSNDVVKVKRETIAACMTCPLCNNLFKQPTTISECLHTFCRKCIYDKFTDEDLECCPVCNIDLGCVPLEKLRPDHTKQDVRAKIFPLKGRKVKAPEPEAVAASEPLPAKRKERSLSSLVVNTPRVSVQTTMTGRRTKPTRKASSLRSSSFSIDKSIKKEAELLDDCPESSSSPEASNKLRQNNGQSEGSQSAPNRVTENDSKTCDAKMDLWKPLNCLVEVASRTKSLKSNNIQGSDAKPEPAQANESGSQVQKIKNKEKKRKAKVEDESISPFPVSSDTAKPNKLRRVRRKKEPFGESGISPQAVVDSTGSKLFKGGPIWFSLVASENQEGDTPLPQIPASYVRIKDGSVPVSFIQKYLMKKLDLTNETEIEIICMGQPVLPTLTLHNLVELWLDSTASTSHRIPAIIGSSAKDFVMVLAYARKSPRP >RHN43190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43905377:43908553:1 gene:gene49730 transcript:rna49730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane attack complex component/perforin (MACPF) domain-containing protein MDPSQRVSRFEAAQKAINSIGLGFDITLDINFDNCKSIGSPLIFINNQQHCRHLELPGGVTIPNVSNSVKCVRGESIRIHSDVLTLHQMLQHFNHEMRLVGDTASGHFCASFGLSGRCIKDLASIKSLAYDGWFIKRYAVELENYHGELHDHVKEAVPSSWDPEALARFIERFGTHVIVGVSMGGKDVFYVRQEDTSDISDPTSIQKLLKETASMKFMDSADNQRSASEDLSNKKENLFMVHKRKGGSSKTMYHSEWLDTIDQQPDVISMHLLPLTSLLLNIRGNGFMSHAINLYLRYKPPIEDLHQFFEFQLPRQWAPILSEIRLGSYWKHQMNTWLRFSILGPKLYINTIPVDVGNRPVIGLRLQLEGRRSNRLAIHLQHLTSLPKSLPLADNANAYLSCDSYSCNFHKKVKWSCFSYVCTAPVESDDSLSIVTGAQLQVEKKCLLLRLRFSKVIGATLQKPPEWDQSSNLGGFSSKSTGVLAFIPKEGKRGHPKPGDVTIGSTTYSAALPAPVRTPKLQKYVDITEMMRGPEDTPGYWVVSGARLSAQNGKIYLLVKYSLLNFVMQCETKAS >RHN45265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13966546:13967018:1 gene:gene39508 transcript:rna39508 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLQVCSSNFIASLVHNRLTDLLHFLLYVSFKNCRRRRY >RHN68555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36509900:36517661:-1 gene:gene16923 transcript:rna16923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MHHIFYHNNLTNIMDSKKLRRKPKLERRNAQKYTDYDAGSSSSSFDDSSGSLYTRSMELYDRTSFRIEGVEGEFDRICRSLGLSGPEDFAIPAAAWEAMKIRSNSDGLPSLKLDELDLKEKKVNDMRELENKGELNKKCEDRDMIRVRVRDEVDDSVVVVSSSGGINGIRPPMIKPPPGMRVPVVVDNTCSTWDLLKDLAPVGEGEEEGFDREVEENEGEEVGREEGVVDNEARIDAIVAGLMESSLFSTSNEDDSSSTATEPRSNNVSPNGRINRFITPGSWQKGGFLGGGSFGSVYEGISDDGFFFAVKEVSLLDQGEQGKQSVYQLEQEIALLSRFEHDNIVQYYGTEMDESKLHIFIELVTKGSLRSLYQRYTLRDSQVAAYTRQILHGLKYLHDQNVVHRDIKCANILVHASGSVKLADFGLAKATKLNDVKSCKGTAFWMAPEVVRGKNKGYGLPADIWSLGCTVLEMLTGQIPYSNLEPMQALFRIGKGEPPLIPDSLSRDAKDFIMQCLQVNPDDRFTAAQLLNHPFLQRPLSQSSSPYIHGRRG >RHN62229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41869874:41872501:1 gene:gene24783 transcript:rna24783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase MARCH MLDHVDKGVDKNLINLVEGVATDAGAGHSLGVRVSICSEDRNSEETCNDLVLNEELEEKALEVRSQNIGGDPEKIDNELHSVDQGTSYNSLNRETLETCIVIDSSAQVERVTVNGDNRKLEAKTNESGLRKLSIKAPKGVSETDKNSCVIDMNCGTCEGFGENLDDEMICRICHLASGQPLEATAVGTPNIADKSTGLIMLGCACKDELGIAHSHCAEAWFKIKGNRLCEICGETAKNVSDVTANAFMEEWCESGFTDNDSTSPRRLVGCWRGQPFCNFLMVCLVIAFVLPWFFHVKMF >RHN63417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51084811:51087402:1 gene:gene26114 transcript:rna26114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MTTTTTSSLFFLLSLLTFSLISSSPLQDPDLVTQEVNRKINGSLARRNLGYLSCGSGNPIDDCWRCDPNWEKNRQRLADCAIGFGKNAIGGKNGKIYVVTDSGDDDPVTPKPGTLRFAVIQDEPLWIIFARDMVIQLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNVIIHGIHIHDCKQGGNAMVRDSPGHYGWRTVSDGDGVSIFGGSHVWVDHCSLSNCNDGLIDAIHGSTAITISNNYMTHHDKVMLLGHSDTYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFVAPDDRFSKEVTKHEDAPEGEWKGWNWRSEGDLLINGAFFTPSGAGGASSSYARASSLSARPSSLVGTITTGAGVLGCKKGSRC >RHN48618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48862127:48868008:1 gene:gene43357 transcript:rna43357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucomannan 4-beta-mannosyltransferase MDRFSSTTIPFALQGAKDDLTMQISLIWSQIKAPLIVPLLRISVFLCLIMSVMMFIERVYMGVVITLVKLFGRKPEKRYKWEPIKDDIELGNSCYPMVLVQIPMYNEREVYQLSIGAACGLSWPSDRIIIQVLDDSTDPTIKELVQLECQRWASKGVNIKYEVRDNRNGYKAGALKEGMKHSYVKQCDHVAIFDADFQPEPDFLWRTVPFMVHNPEIGLIQARWKFVNSDECMMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLSNLKVKNELPSTFKAYRYQQHRWSCGPANLFRKMVLEIIRNKKVSLWKKIHVIYSFFFVRKVIAHINTFVFYCIVLPATVLVPEVAVPKWGAVYIPSVITLLNAVGTPRSLHLLVFWILFENVMSLHRAKATVIGLLEASRVNEWIVTEKLGDALKAKAGTKALKKPRFRIEDRIHLLELGVGMYLFFIGCYDVMFGKNHFFIFLFIQAIAFFIMAFGYVGTFVPN >RHN55873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24945376:24950446:1 gene:gene31151 transcript:rna31151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prefoldin MSSSNIRDLQRELENKANDLNKLQKDIAKNHQVRKKYTIQLGENELVLKELDLLNEDANVYKLIGPVLVKQDLAEANANVRKRIEYISAELKRLDATVQDLEEKQNSKKETIMKLQQKMQSLQAGKGKA >RHN82736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55993569:55994879:-1 gene:gene6920 transcript:rna6920 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKTLLACDPSDDDYIDMEVSSYSKLFNHHSENSHPQHPREFEFQMSSIVQEKEPTTSPADELFYKGKLLPLHLPPRLQMVEKLLQNPHKPFEVEKDIFEEFYSTPLATTTFTTPITGTPFESCNISPSDSCQVSRELNAEEYYNLDYHTTDTSGFVVENQKKSWTKKLKQSSLGSKLKASRAYLKSWFGKSGCSYENYASSTKVADEGSVSKAREILNKHVQGANKNPYGQIQRQSYQSSISVMRGYKEKSSEDGSNHHRRSFSVGIKLLSGNKSSSNSSISGSSSFSLSNKSYGCHQTHQLLKRCSSASSEIENSIQGAIAHCKKSQQMLT >RHN61081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32822047:32822814:1 gene:gene23503 transcript:rna23503 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWIREERRERIEERGEEGGREERNRERRGMNVVAGIRKEREREEFEVLTLLVSSSFSSLPNSNLNLLFDLIPFVLFMIDSIRK >RHN82005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50532010:50532388:1 gene:gene6121 transcript:rna6121 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSECTWWVMLCIVITRCFFSHPLNNRKLTSGSQIKHLEPPCSGPSHIHTVSLIYVTS >RHN42141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35782477:35786867:1 gene:gene48544 transcript:rna48544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Coiled-coil domain containing protein MAFKKTLTQRLLSLTKISSQSLSNCRISSSSLQSRHPSFVANRDIAPEPGDSSNDGVLRRFLHKQAVFNSELRPPHPGGSDGLLQKLREMDIARNRIRLDGLTPPEAEMEVATEELKSTAEDVRKLLRATQLEAVKSKIRMIQQSCVTYSEFVEMCGENCSDQEQAKKIAKILDDSATVIILGDVVFLKPEQLCLFRSKTDSTFVAKTIQALFPVPAPKPNEAETKELEEMEKQKATIDSRAHTMARRELWGGLGFVLVQILASMRLTFWELNWDVMEPICFYATSMYFMAGYTFFLRTSKEPSFEGFYQSRFSTKQKQLMKLHNFDIARYNQLRDASPPAPSSELNSTIVHPLNQFHRNI >RHN63622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52752984:52757758:-1 gene:gene26347 transcript:rna26347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anamorsin, S-adenosyl-L-methionine-dependent methyltransferase MDAAKMYGAVLACTDEAVLPVSQVFDAIRELGNEGVEKLDPLVITSASSLSKFPVESSSVDLVVLIWKSLDFPIDQLTQEVLRVLKAGGTTLIHKSSQSAVGSGDKMIPDLENKLLLAGFSEIQALQSSVIKAKKPSWKIGSSFALKKFVKSSPKVQIDFDSDLIDKNSLLSEEDLKKPELPSGDCEIGPTRKACKNCSCGRAEEEEKVLKLGLTAEQINNPQSACGSCGLGDAFRCSTCPYKGLPAFKMGETVLLLSPSLKLFGVISLVCPVA >RHN68759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38319195:38319696:-1 gene:gene17150 transcript:rna17150 gene_biotype:protein_coding transcript_biotype:protein_coding MCVTGCGKEESAPHLFIHCATFSTLWHHIRSWIGMSGVNPFNIDDHFIQFTHSTGHSKARQSFLQLI >RHN59539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11797761:11798522:1 gene:gene21581 transcript:rna21581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exo-alpha-sialidase MGNFLALLMLILQLTFFTPFAEEFETTLQELDAFKPSVPVKPVPVKPAPVKPLKPPIPVTPPTPIKPPAPRTPPTPIKPRVPVTPPIPVTPPTPIKPPAPRTPPTPIKSRVPVTPPTPVKPPVPVKPPTHVTPAPVKPPAPVTPAPVKPPVSIKPAPVKPTPVKPGPFVPNPISVHIPVMPIPGITVPITPAFVTPPAQVDPHALVTPAPAEPPSHVTLSPVEPPIIPTNPLALAYSPLPLRLNHISRKLMVV >RHN77047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3500192:3501266:-1 gene:gene454 transcript:rna454 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIPTTMLNTHPNNTPATITPSLWHTPIPYLFGGLAAIMGLIALALLALACSFCKLSRNNQDGDHNDLDNKESDPQTKEPIKSYEEKVLVIMAGNEKPTFLATPVVFSIIDKDIDNLVLVPTTSTSQENEGSCSSQHRQ >RHN64632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60624103:60625456:1 gene:gene27464 transcript:rna27464 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKEKEKLHKKIHDLQRGLDAKQALELEIEQLREAFEVKKKLEAIEMELQEKEEGLQDMDDLQRTLVVQERKTNDELQDARKKLISWIGCPKKPRTIISVKRMGNLDTEPFLEAAKRKFSDEVNGKARPRKKARNKVSEEVQLKAVEWCSKWDECLKDPSWHPFKIVVDKEGKSKEILDGEDEKLKSLKDEFGDKVHDAVVTALKELNEYNPSGRYSILELWNFKEGRKATVKEGVSHLIRQWKSAKRRKTYRNYF >RHN68592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36872176:36874088:-1 gene:gene16962 transcript:rna16962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zf-FLZ domain-containing protein MMLGKRPRPPMKRTTSMSEITFDLNTVTTEEDPNNNNNLFNRHGPGVGPYGPYPPTSPGINGSDQSRVMSMVSPRNLRRNSADMTHNPDFLRSCFLCKRRLVPGRDIYMYKGDSAFCSLECRQQQMNQDEKKDKCSVVSKKQVVVAAGSKVVATNL >RHN79494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29530283:29535643:-1 gene:gene3291 transcript:rna3291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(A)-specific ribonuclease MFTQSMFIAKPYAPSISIRPVWSFNLESEFKLIRSFVDSHPIISMDTEFPGVVVRPDGITDLTSYHRTPATHYSVLKANVDGLNLIQVGLTLSDAKGNLPKLENGNSEEFLIWEFNFSDFDVVRDIHAHESIELLKSQGIDFEKNKEFGVESMKFAELMMSSGLVCNEEVSWVTFHSGYDFGYLVKALTQRALPDELAEFLVLVRVFFGESVYDVKHLVKFCEGLYGGLDRVGKTLNVDRVAGKSHQAGVFFGESVYDVKHLAKFCEGLYGGLDRVGKMLNVDRVVGKTHEAGSDSLLTLHAFRKIKEVYFGNDDGQLMKYAGVLYGLENVV >RHN45522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22046746:22050425:1 gene:gene39878 transcript:rna39878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MLFLFSNLQSMKLLPFWLFLLTYFCAFTTATSTTSSRTIQNSEANNLLMWKASLDNQSQALLSSWSGNNSCNWFGISCKEDSISVSKVNLTNMGLKGTLESLNFSSLPNIQTLNISHNSLNGSISHHIGMLSKLTHLDLSFNLFSGTIPYEITHLISLQTIYLDNNVFSGSIPEEIGELRNLRELGISYANLTGTIPTSIGNLTLLSYLYLGGNNLYGNIPKELWNLNNLTFLRVELNKFNGSVLAQEIVKLHKIETLDLGGNSLSINGPILQEILKLGNLKYLSFFRCNVRGSIPFSIGKLANLSYLNLAHNPISGHLPMEIGKLRKLEYLYIFDNNLSGSIPVEIGELVKMKELKFNNNNLSGSIPREIGMLRNVVQMDLNNNSLSGEIPPTIGNLSNIQQLSFSLNNLNGKLPMGMNMLLSLENLQIFDNDFIGQLPHNICIGGNLKFLGALNNHFTGRVPKSLKNCSSIIRLRLDQNQLTGNITQDFSVYPNLNYIDLSENNFYGHLSSNWGKCQNLTSFIISHNNISGHIPPEIGRAPNLGILDLSSNHLTGKIPKELSNLSLSKLLISNNHLSGNIPVEISSLDELEILDLAENDLSGFITKQLANLPKVWNLNLSHNKLIGNIPVELGQFKILQSLDLSGNFLNGTIPSMLTQLKYLETLNISHNNLSGFIPSSFDQMLSLTSVDISYNQLEGPLPNIRAFRNATIEVLRNNKDLCGNVSGLEPCPTSSIESHHHHHTNKILLIVLPLIAVGTLMLILFCFKYSYNLFQTSNTNENQAGENIIVPENVFTIWNFDGKIVFENIVEATEDFDEKHLIGVGGHGSVYKAKLHTGQVVAVKKLHSVANGENPNLKSFTNEIQALTEIRHRNIVKLHGFCSHSQFSFLVYEFVEKGSLEKILKDDEEAIAFDWNKRVNVLKDVANALCYMHHDCSPPIVHRDISSKNILLDLEYVARVSDFGTAKLLDLNLTSSTSFACTFGYAAPELAYTTKVNEKCDVYSFGVLALETLFGKHPGDVISLWSTIGSTPDIMPLLDKRLPHPSNPIAEELVSIAMIAFTCLTESPQSRPAMDLVSKELAGFQGACNVKMVSHKKQKDPTY >RHN64903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62826735:62827325:1 gene:gene27776 transcript:rna27776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRRKIEIEIVKDPNTRQVTFSKRRTGLFKKANELSILCGVEIAIVVFSPGNRPYSFGHPGINVVAAKYLQQEPELSDSLGNPSSDAPDIEKLNLKLVEASSDAPGIEKLNLELTEVLTQIQEGEKQNETHDEILKQDNVMKLSELKELRDSYKELHDLVKLRLSDIEISVCMMLLAQDPVVGIKEKSARKKRRKN >RHN53453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1738099:1739262:1 gene:gene28362 transcript:rna28362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MIRFADQRCQSTVFFSNIQVGSASCFSKNKEEKSEKNNDEKNLNLRSFSCLFCKRKFSTSQALGGHQNAHKAERALKKQRKLRYDLGLGEPHFNLYFSYPNSFFTSPYYRKLGVRVESMIQNPSYISPGITSHSFGPFGYNNGALGLQEMLNPSLVSLRNMEGSNNNSGVGILGIGGGTTSSPFGYSNGTLGLQEMLNPSFVSLKNMEGSNSTSGVGVLGIGGGTASRIEDSKNNKICGILKCGDSFKNVASSSNSIIDKKNPRIIPNGFGPFGYSNGALCLQEMLNPSLPLVSLRNMESSYNGAGILGIGGGTTSRIEDDTNNKIGAIFKWGDSSKHVATSSNAIIKNKNIVDATTTKDDIDMSKFKAEEESSNYDSCGLDLSLRL >RHN46198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29577838:29582312:1 gene:gene40647 transcript:rna40647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MYVFLKEIPGHYKLPTEASVVKAAAGWAHCASVTEDGDVYLWGWKECVPSGKVFTDLITVGSLQKDVAGKQSSSVAEQGSPQSPNTSSGSDSHHDNKKVVEEAGKRRKISFAKQESDSQASGDELFIVSPSLVTLGHGVKITSVAVGGRHTLALSGSVKYEDMEGF >RHN73030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12863358:12864879:1 gene:gene8804 transcript:rna8804 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRWLRGMSIFRWPELDLSFPWSVFRWPALNLSYWNVGRSFQETLLRWNFWLVDDVLWELVRCIESLALLSIICYYFLCCGCTL >RHN66684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16498943:16500753:1 gene:gene14734 transcript:rna14734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQISKFVYALIIFFSLILAVTNAGLFRCKVDIDCPQILCFDEQIAKCIARMCECDYE >RHN77328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6036400:6036996:1 gene:gene773 transcript:rna773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MAFIQRNISSDGKRVMTLQQFKQWLKTSFDTNGDGRISKGELREAMRITSGLFASWKSNKVLKSVDSNHDGFIDDKEFINLARFAEKHLNIRVTK >RHN63553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52159694:52159993:1 gene:gene26266 transcript:rna26266 gene_biotype:protein_coding transcript_biotype:protein_coding MISNHVKTASFHIYVLGEFGVRESWTKLFIVGPLPSVECPVGEGKKVDIFFIKNDNEIACFDLNTQTIEDLGVKGEYFCCHFAIYKKNLLSFRRIRS >RHN48122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45189573:45194520:1 gene:gene42802 transcript:rna42802 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDIFWSSDHPGIDFTVTSPGGNVVHSLKGTSGDKFELKAIHGGIYKFCFHNPISTPETVSFYVHVGHIPNVHNLAKDEHFDPINVKIAELREALESIITEQKYLKARDTRHRNTNESTRKRVIFYTLLEYILFVGTSLLQVVYIRRLFSKSFAYNRV >RHN71638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1575619:1578992:-1 gene:gene7258 transcript:rna7258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MTKLNVIELEAGGFKIQCTLFGSYVDDLNSFIASGDIPNAIVIIQLAKAKSFQDKIHVQNCIGCTKVIFKPTCDAAVSMRNRLSETVDTPSPLGLTQLQPEAKLDPREEFLYGTPRNTMQGLKDATTNEVYVVLGTIKRIVNRENFWYTACICSKAVIPDSQMFYCEKCDRHVKKVFSRYCLRVRAIDHTDCATLVIFDKEATALFNKSCVDMLAEHGVAVSEGHLPPEIAGIIGKTFLFKVETKVDQNPRFEQSFRVRKICAMPDVINEFKKKWGEEEAAFFKNAMEASSLSVLLDKGKAPMIAGSSDVLNQDDFSLTEPVEKCKEMLLGEGSGIVTQDLLPKFAVADCEFDVVEISQKGSAASSKRGSPTTDDDEMNMSLKMLRKTIKIEKP >RHN71568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1057769:1061041:1 gene:gene7181 transcript:rna7181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plastid lipid-associated protein/fibrillin MTYLSAVLSTPTSTLPFRCRIDSHPSQPNLLFAPPRPKFNTTNIILPSSVAADSAKWRNMVSIFQGFLTGGRGNDVESLKVELYETIEPLERGAEATPEDQQRVDKIARKLEAMNSVKEPLNSDLLNGKWELLYTTSQSILQTQRPKFLRPNGKIYQAINTDTLRAQNIETWPFYNQATANLVPLNSRRVAVKFDFFKIASLIPIKSSGSGRGQLEITYLDEDLRISRGNRGNLFILKMVDPSYRVPL >RHN51101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14015019:14015483:1 gene:gene35477 transcript:rna35477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rossmann-like alpha/beta/alpha sandwich protein MVHQYEVELDAEVTVVAKVYWGDAREKIVDYVGDLKLDALVMGTRGLGAIQRYYYVVDDSCAIPLFSLRLVLTSHSSAYTPFSFE >RHN51385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17021097:17024026:1 gene:gene35815 transcript:rna35815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MTLTQETILKFPEFFEASNAGFNTNDSENPASNVVKDVTDGEKPTKCVSKVVTDATPLRSVMPDEIINLDNVQTVTTKKRKKHNMLYSDSTYPERRRVVKKSKYLDSPYDDAVHESTATELQKNLSTYAWSSELDQDELLYCSDNRAHDYFVERIDLWSLQQDKWVSCFVINVWINCLNWNQQRDKMTRLVTPMINYVELERPGAFDKNNPAAFTRFIERLSKFKYLDWKAIDPNSLEYIMTPALIGDPGSHYVCFVVNLKSQKFEYLNSLTGDKLHTKNGAPTVYKDMFDVWLNEVEVFVEEMYKTRKIRMPFKFSTFKWDTPRMPNQVDKDSCGVFCMKFLDEWGGDNTQLDSFKGWSKMKKIERAAKIMDLRIGICSTIISNTSNCRRNLVENKAKIYYEKKLQKLQKH >RHN42083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35297948:35301291:1 gene:gene48478 transcript:rna48478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TUBBY family MSFKSIVRELKGMKNGIGSISKRGSDTKNWLCRSKSHVAPDVTPIEPIQQGQWASLPPELLLDIIRRVEESETSWPARAVVVFCASVCKSWRSVTKEIIKTPQQCGRITFPISLKQPGPRDYPIQCFIRRNRETSTFVLYLGLVPSEHESNKLLLAARKIRRATGTDFVISLGTDDFSRASNKYVGKLRSNFWGTKFAIYDNQPPHDAAVQPNRRPSARLNSKQVSPRVPACNNLVGAISYELNALWTRGPRRVHCIMNSIPISAIQEGGNAPTPTSLPQIFSEPFPPSPALKEKSPMTDSYSGCLSELPEPSQGSMDSLVLKNKSPRWHEQLQCWCLNFMGRVTVASVKNFQLVASVDPSHNVSPAEQERVILQFGKIGKDIFTMDYSYPLSAFQAFAICLTSFDTKPACE >RHN59864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14142552:14143048:-1 gene:gene22029 transcript:rna22029 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRKFSLTMVFLLAFLIIASSLKPTDIRMESQGRRMAHGVVPPSCKSDGDCKNYKCPPSLPFPYCDHDKCGCGN >RHN74336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31923852:31924556:1 gene:gene10377 transcript:rna10377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLNPWLCNECPITNLSLMKHLYLGDFPSLLTLPHWILGASNTLLSLVIKNFPNLKSLPECLSFLTCLKRLQIVDCPQLLSLPNDMHHLIVLKYLGIDGCPELCRKCQPQFGEYWPMLSHVKHVFIGDPNGKEN >RHN82601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55093224:55095760:-1 gene:gene6776 transcript:rna6776 gene_biotype:protein_coding transcript_biotype:protein_coding MTERETVELSIKTFSPIENSQPYCGLFLQQSILLKLIRRFKQRHWAVG >RHN61129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33275872:33276258:1 gene:gene23562 transcript:rna23562 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHLFKAHVSTVEIVICSRCLSVFFIECFNGGNHHLFKVRVDITLKDMNDQLNEINQGLNLGDTRRVEDLQYACPGYLKGQKIMLTDDDYVRSMFSSYYRERMFPVIELEATLLRSPKDILNNLIRP >RHN46837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35231213:35238175:1 gene:gene41368 transcript:rna41368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase D MDNNYGYPYRYPNPYMYPPNPNPNPHPHPHPHPPYPPQDPYAHSHTHHAPPYPYPYISSHSFNYSSYPRSPPLPSSSSSNYTAPFDYAYPPPAPLHQLVPSAPPSYPYHVPPGSHHSPPQHSLSHSHHASLLQHGSSSHYYNYYQQNTPHEDRPDLHSRHNSFSGPYWPDTSSSTAVGGVSQTSGGDNSKPSAYPRLDDLMNNVKLSDNHPTPPASPPAPAASGQPFTHSISVSKLQQKKEDFYGHSNNSFSGWGSSYPSRVNSGRLSDYSGSFNGSMHSQSMQIVPVQNKGSLRVLLLHGNLDIWVHEAKNLPNMDMFHKTLGDMFGKLPGSVSNKIEGTMNKKITSDPYVSISVANAVIGRTFVISNSENPIWSQHFYVPVAHNAAEVHFLVKDSDVVGSQLIGTVAIPVEQIYSGAIVQGTYPILNNNGKPYKQGAILSLSIQYIPMEQLSFYHQGVGAGPEYIGVPATYFPLRKGGNVTLYQDAHVPDGSLPNVLLDSGMFYVNGKCWHDIFDAISQARRLIYITGWSVWHKVRLIRDAGYSSDYTLGDLLKTKSQEGVRVLLLIWDDPTSRSILGYKTDGVMATHDEETRRFFKHSSVHVLLCPRSAGKRHSWVKQREVGTIYTHHQKTVIVDADAGNNRRKIVAFVGGLDLCDGRYDTPQHPLFKTLQTIHKDDYHNPTFTGNTGGCPREPWHDLHTKIDGPAAYDVLTNFEERWLKASKPQGIKKLKISYDDALLRLERIPDVIGINDTPSGENDPESWHVQIFRSIDSGSVKGFPKDPREATGKNLVCGKNVLIDMSIHTAYVKAIRAAQHYIYIENQYFIGSSYNWSQHKDLGANNLIPMEIALKIAEKIKANERFAVYIVIPMWPEGVPTGAATQRILFWQNKTMQMMYETISKALVEAGLEAAFSVQDYLNFFCLGNREAINIYENISVSGNPPPANSPQANSRNSRRFMIYVHSKGMIVDDEYVIVGSANINQRSMEGTRDSEIAMGAYQPHHTWARKHSNPLGQIHGYRMSLWAEHTGTIDDCFLQPESLECVRKVRAIGEMNWKQFAANDVTEMRGHLLKYPVYVDRKGKVRSLPDQEEFPDVGGKIVGSFLAMKENLTI >RHN60390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26115763:26120477:1 gene:gene22698 transcript:rna22698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MAMDCGIYGFINIKHRTFFFFFTFFCLVLSFSSCSSSSTVQINSNSILVALLDSHYTELAELVEKAMLLQTLEDTVGKNNITIFAPKNQALERDLDPNFKTFLLEPRNLKSLQTLLMSHIIPTRINGSVSSKTGSTRHRTLSLEHKIVIQSNETSQQWLVNGAKIVHLNDVTRPDGVIHGIERLLIPRSVQDDFNRRRSLVSIAAIKPEGAPEVDPRTHRLKKPPPPQNPGSPPALPIFDALAPGPSLAPAPAPGPGGPHHHFNGEAQVKDFIQTLIHYGGYNEMADILVNLTSLATEMSRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGSPEQIMYYHLIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVDAEEADGSVKFGHGDGSAYLFDPDIYTDGRISVQGIDGVLFPHEEKEEVVDKAVTQERKGKPAKVVAKQRRGKLLETACWMLGTFGQHSRFNCQ >RHN48047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44512901:44516711:1 gene:gene42718 transcript:rna42718 gene_biotype:protein_coding transcript_biotype:protein_coding MCILLSITTNVVANIIEEEEGELIEEAECGPTNQGKNQQVLGKRRGELITCLQSLGDFQCLLTPPQAVLREANQAAAKAMPIMLVSGNPVGSGHSKSSSMDDLPMNCFGNLRHLIVEACIARNILDTSAYFWPGYVNACSNQIPSSISNQVDGWSSLMKGSKLTPGLVDVLAATPASSLAEIEKVYEITIDGSDEEKISAATILCGASLASGWNIQEHTIIFITKLLSPICLPNHTGTENHLISQARFLNVLLVGISSADCVHIFSLHGLVPLLAAGLMQICEVFGSCVYDVSWTVATGGKLSPQEVFSNAFTLLLRFWRFDHLSIEQVRGNAATPPLGNLFSPENLLLVRNNRVASFGRSTKDSLKLKRLSKIVRFPKESIYIDSFPKLNFWHRQHQERIASTHSGFMPGGHVQQIVDALLRMMFRKVNVGFEPSTPTTLGSISSSRFGSALDDALVKLKVPAWDILEAVPFVLDASLNACAYGTVSTRELATGLPCFIFNLSYIELVMIITRD >RHN62810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45985574:45994915:1 gene:gene25423 transcript:rna25423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MPTRSSSIGSKKISTSFLDEYLMQHSSTPRYSMCSDTYKFEASYAQHYTNKKMMHLGSYLSIVLIAILFSFTSSAIGTTTTTNEDNSFLQCLYSYSHNSTSISKVVYTKANSSYTSILQFSTQNLRFATNKTPKPLVIVTPLEISHIQATVICSQYHSLQIRIRSGGHDFEGLSYVSEVPFVVIDLINFREIEINVHKKTAWVQSGATLGELYYAISQKSKTLGFPASVCPTVGVGGHFSGGGYGTLLRKYGLAADNIIDAYIIDVKGRFLDREAMGEDFFWAIRGGGGASFGIVDVDGRVLDRESMGEDVFWAIRGGGGASFGVIVSWKIKLVSVPEIVTVFRVEKTLEQGGGDIVHQWQYVADKIHDGLFIRVVVSPAKRKGKKTIKAKFNALFLGNAYELLDVMNESFPELGLIGEQCIEMSWIDSVLFWYNYPVGTLTDILLERHSSKEKFLKRKSDYVQKPISKIGFDGIWKKMIELGKVSLTFNPYGGKMGEISEMATPFPHRAGNIYKIQYSVNWKEESNDVANQYLDRIRKLYDYMTPYVSDSPRSSYVNYRDVDIGVNGDGDVSYEKASIWGKKYFKGNFDRLVEVKTAIDPSNFFKYEQSIPSLASETSIMAEYRQPSKGVSL >RHN72011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4360577:4362156:-1 gene:gene7678 transcript:rna7678 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGVHPQKQWISYVTQSGRLLHVMMTKIHPVGKVYHFRAKRQMAESLGQIAKFKRRFGLENQETSSSANAGTSANAIEKK >RHN53512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2185799:2187079:1 gene:gene28424 transcript:rna28424 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMETYTMRPVEMEQQQKEETKSSINDTKGKNSVSMKVVLTKEELKWLIFQLNKKGGMKVEQVLEEIEKRRQKVEGWKPSLESILEAPEMLEIN >RHN82326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52837258:52838004:1 gene:gene6482 transcript:rna6482 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILIFGHLSKKSISLIIMIMNFALLLIYLICAILHIDDSQSFTIFRVF >RHN49250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53558680:53559536:-1 gene:gene44062 transcript:rna44062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MRIAGTSRPALTYQIARRRRGPRHARQQGRFKEDVLNLEEVIVQKGVAHFNNQEAEEEISNYIYEFNEERV >RHN79122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23481270:23482955:1 gene:gene2838 transcript:rna2838 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEEDDDDDGCSSKGLLSATIAKGLVSSINSFSLILNKL >RHN45378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:18233652:18238127:-1 gene:gene39670 transcript:rna39670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysosomal cystine transporter MASWNSVSLEVTYEVLGWFAFIVWSISFYPQVILNFRRKSVVGLNFDFVLLNLTKHSSYLIYNSSLYFSSAIQKQYFQKYGYDQMIPVAKNDVAFSIHAVLLTAIALFQIAIYERGSQKLSKICIGIVSIVWLTAAVCFFVALSNHSWLWLLNVFNSIQVLMTTIKYIPQVSMNFLRKSTDGWSIGNILLDFSGGIANYGQMVVQSVDQDSWVNFYGNIGKVLLSLVSVFFDIIFIIQHYVLYPDKKSSKLVTTTEDEDQIREHLNRPSDESPSENV >RHN39386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6699873:6700845:1 gene:gene45404 transcript:rna45404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MRRRSYLSYLYHDEYLRFLICNTICFIASLSVILLLVSGIPINNKFSMWLLSIGMSIVITSLALTYLYAANMVTPTPFGVLFRDWVWCCSYCLGLDCHSFTCLYHSRGLHLCELL >RHN81051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42915144:42923207:-1 gene:gene5045 transcript:rna5045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MLETSHSSRVFRGVHFALFGFDHLTENKIRFKLVNGGGVDAGKNTGNCTHVIVDKIAYDDPVCVAAREDGKTLVTALWVEHSADIGMPVDATSVMYRPLKKLDGIPGAKDLVVCLTGYLRQDRDDIMTMVGLMGAQFSKPLVANKVTHLICYKFEGEKYELAKRLATIKLVNHRWLEDCLKDWVLLPEDKYNKSGFELEMMAEEAKDSEDEAEDSKLGQSGGRTISKSPLGSKFGTTATHGLSKPLREEASNAIPNSTGPQVFPNANKGKDSSITTGNKNNSGQDVDLNNIGDLKVSCQVPDVSLHSTSCQLPESYVRTIESKNADFPKAPGFQGQDQDITGNINSSGLRPNLHGDNLETKISYTRSTSTSADGLAHSDEKLGATSYSRKNQKEFTFSRVVDQYEGREGNSLETPSTKVEKTSEGIKSACVEGSGNETGVIQEVYRNNSLPQKRTNEAASSTKLKSRKITSNAKLSIEKTPLTNGKSQGLKVPSVVDEPPVSDGFLSVDKDGINNLNTCLISKSAASASNSVAFDKPISGNAESAQLDNAYQNSAQKTVQSLNKSKIGGEPDVTGFGKGHGDNEEEQLNVTTHLECSSPGKNSKNEGFPGLDNLDLSNEESNKLIRKSPRKKSAAKRTLGSRPRKGVTAKLKSSVCLNKTTQQDEGVSSSGRSKEIATSGAKERQASPQILDVNKLMEQKPVNGYAEGAGGRTDFLDDETEAPDDKCESELGMAPNEELVHLSKKVDTSTEEKLEAVNHDKKCEEPLPPKKVTNETKKQNLPSELDSTSKLKVKHQAIKRPASKTKKTTVAKRLAKSEKAVFGEKIPNETRDEAEIKILKEMSLSVPSDISENSNAPKNKPENFIEEEKENRPNDGEHGLEERRNVRTTKSSVKPANIKSKEMKHAPSTSEFNARVKPETTCFILSGHRLQRKEFQQVIKRLKGRVCRDSHQWSYQATHFIAPDPLRRTEKFFAATASGRWILKTDFLSASSQAGKLLPEEPYEWHKNGLSEDGAINMEAPRKWRLLKERTGHGAFYGMRIVVYGDCFAPPLDTLKRAVKAGDGTILATSPPYTRFLDTGVDYAIVSPGMPRVDLWVQEFLKHEIPCVVADYLVEYVCKPGFSLERHVLYGTNALADRSFAKLQSKAEEIIEEVIPHEECDNDDDDVACQVCGSRERGDVMLICGDESGSVGCGVGTHIDCCDPPLAAVPEEDWFCPKCSSTQTCSKKANKRKKGALSSSKAKSFAKYQSKAEEIVEEVIPHVGCNEDDVACQVCELRERGDVMLICGDETGSVGCGVATHFDCCDPPLTAIPEEDWLCPKCIRTQKCSKKVNKRKKGALSSSKAK >RHN56056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27236972:27240576:1 gene:gene31381 transcript:rna31381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTTTLKFVYAIILFISLFLHALNAAENIECEVDADCPKSQVNSFVIKCIKNLCLYTKIHILYDTISKSESTLPQKKKSLIVHLHISRKNELLH >RHN80349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37360542:37362505:1 gene:gene4263 transcript:rna4263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKNMSAIIKFIYAMSLILFIANEHYRELICKTDDNCPRRGTNKYFIHKCIDYRCQWIPR >RHN67326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26045824:26049859:-1 gene:gene15506 transcript:rna15506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MELGFHLLISTILGFLLFMVIKITKKSKAKKINSKLPPGPRKLPLIGNIHQLGTLPHQSLAKLAQEYGPLMHMQLGELSCIVVSSQDMAKEIMKTHDLNFANRPPLLAAEIITYGYKGMTFSPHGSYWRQMRKICTMELLTQKRVESFRLQREEELSNLVKDIILSEGSPINISEKVDSLAYGLTSRTAFGSQVEGKERYRKLMKDVSKMAGGFSLADLYPSIGILKVLTGLRQGIEKLHREMDEILENVVRSHREKNLETGDKEETGEDLVDVLLKLQKHSDLEHPLSDNILKATILDIFSAGSDTTFTILEWAMSELIKNSQVMKKAQAEVRSVYNEKGYVDEPNLHKLKYLKSIIKETMRLHAPVPLLLPRQCSERCEINGYDIPAKSKVIVNAWSICRDSRYWIEAEKFCPERFIDGAVDYKGVDFRFIPFGAGRRMCPGIAFGIANLEISLANLLFHFDWKMPNGCKADELDMDESFGLAVRRKHDLWLVPTTYHP >RHN47704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41774619:41775096:-1 gene:gene42331 transcript:rna42331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MASSSMSASGSWSVKENKAFERALAVYDKDTPDRWYNVAHAVGGKTPEEVKKHYELLVEDIKHIESGKVPFPNYKKISVSHEEKRMRNMSLH >RHN61567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36450870:36451211:1 gene:gene24044 transcript:rna24044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFYAESQNYQKAAEAYEQVYQLCRDDVDALKAAAKFYDKCGQVERLICIIGDYLKSKPDRVDTSVVDLLVFNVIKFVYE >RHN42919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41938832:41947144:-1 gene:gene49425 transcript:rna49425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor CG1-CAMTA family MAHLHPNQFDIEEILLQAQYRWLRPAEICQILTNYNSFQISSQPSYMPPSGSLFLFDRKATRYFRKDGHNWRKKKDGKTVREAHERLKAGSVEVLHCYYAHGEQNDNFQRRTYWMLEEELSHIVLVHYREVKRTKATLIHAKENEESNPCDQQSYKVMPNTEAETSLPSSMNSGQASEYEEAESAFNSHANSDFYSFLELQQPAVQKIKAQLPYSNCPLPLKDDQERLPVIPQVDDISLSQTNETKYINNVGLTCELSKVLGFSSWQDILENKAGSHNVPFQSFPEKEPNNMEINSTSQGYETMGQHLTISITKQHENRSFIQAEAEGNWQASGFNSLSASTCPKDSAYSGSSCEVTYSDNEQEVNEVDFQQSLEQFLLHAHQQHKVCMRNSSHEIPLKAEDRLKSDLGVDKSPDGIEDTQFTSKKTILSVSVAEDGLKKLDSFNQWMSKELCDVEESSKHSPSGAYWDTVESENGVDSTTIPSQVHLENYVLDPSICYDQLFSIIDYSPSWTFEDSEIEVLISGRFLKSQHEAEDCKWSCMFGEIEVPAEITRNGVLCCHTPQHKAGRVPFYVTCSNRLACSEVREFDFRVNYTQEDNTAGETRSRNTYDTFNKRFGEFLSQEHDFPRVLDSISVNEKSQLRSKIGSLLGRKDDEWDELLKFTLDKDFSPELVHDQLLQNLLKDKLHAWLLQKTTEDGKGPNVLDEGGQGVLHFAAFFGYDWAFEPTIVAGVNVNFRDVNGWTALHWAAFCGRERTVASLISLGGAPGALTDPCPQHPSGRTPADLASANGHKGIAGYLAESFLSSQLKSLDLKRNMRETVGTKQRVQEQNNECFSHEPSMKDSLAAVCNATQAAARIHQVFRVQSFQRKQQKEYDGDKFGISDERALSLITVNAKSHKSGLRIEPVHVAATRIQNKFRSWKGRKDFLIIRQRIVKIQAHVRGHQVRKNYRKIIWSVGIVEKIILRWRRKGSGLRGFKSEAISEGTMVQGVSSATEDDYDFLKEGRKQTEKRLEKALARVKSMAQYPDARDQYHRLLNVVTEIQENQVKQDRNFINSEESRQFNSLVDLEALLDEDTFMPTAT >RHN46981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36225142:36229289:1 gene:gene41525 transcript:rna41525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine synthase, L-3-cyanoalanine synthase MASLMSFLKRTSSSSSSSLAVACQHPMMMRRLMTTTATSADSSSFAQRIRDLPKDLPGTNIKKHVSQLIGRTPLVYLNKVTEGCGAYIAVKQEMMQPTASIKDRPALAMMEDAEKKNLITPGKTILIEPTSGNMGISLAFMAAMKGYKMVLTMPSYTSLERRVCMRAFGAELILTDPTKGMGGTVKKAYDLLESTPNAFMLQQFSNPANTKVHFETTGPEIWEDTNGQVDIFVMGIGSGGTVSGVGQYLKSQNPNVKIYGVEPSESNVLNGGKPGPHQITGNGVGFKPDILDMDVMEKVLEVSSEDAVNMARTLALKEGLMVGISSGANTVAALRLASLPENKGKLIVTVHPSFGERYLSSVLFQDLRTEAENMQPVSVD >RHN44603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6691007:6697323:-1 gene:gene38741 transcript:rna38741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-PERK-1 family MATITLSPNGSPVALPPSSGAAVLPPTTSSQSNQTTNSPPPSVPPLSTTVPVTPPPSPSLSPPPPSTTPPPTSPPPASSPPPIVTSPPPALSAPPVVTSPPPTPAATAPPPAETSPSIPNLSPPPPSAGSPPPQPSKPPPSESVSPSPPPPAPKPPSTKPPPPTPQAKPPKSNTPSPPSHNAPPPSVSHTPPSPSTDVPPPSTSTVVPPPSTLPSTPPSVPSKSAPPRAVPSGSAAPPEASLPRPPRNETVAAGGPTVSLPSIPAEKPTARPTVDGSGNAATSNAPSNSGGLNTGGAVAIGVVVSLFVISLLVMAVLFVRKKKKGKVPIADYAAPSPFTSSHNSGTLFLKPQSPANFIGSGNGSEFVYSPSEPGGVNSSRSWFTYEELIQATNGFSEQNMLGEGGFGCVYKGLLIDGREVAVKQLKIGGGQGEREFRAEVETISRVHHRHLVSLVGYCISEHQRLLVYDYVPNNTLHYHLHDENAPVLNWPIRVKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDQNFEALVSDFGLAKLTLDSNTHVTTRVMGTFGYMAPEYATSGKLTDKSDVYSYGVVLLELITGRKPVDASQPIGDESLVEWARPLLIEALNSEDFETLADPRLGKNYNRNEMFRMIEAAAACVRHSSVKRPKMSQVVRAFDSMDEFSDLNNGMKPGQSSVFDSAQQSAQIRMFRRMAFGSQDSSASSFFNESQSSYRTRDQDSTSIFPQNKSRSWNFRDDA >RHN77397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6571370:6574946:-1 gene:gene844 transcript:rna844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSSFLCSLLLIFIFTSQLTTADVDQGKNFQYFCDQNNDGGIYTTNSTYHTNLNTLLSILTSNKEINYGFYNSSYGINSDKVNAIGLCRGDLKPNDCRNCLQNSTVFLTQRCQNRKQAIGWYDDDRCMLRYSSRSIFGLYDTRPYYEAWSLKTAINEDEFDKVRKNLLDNLRNRAASGDSDLKYAVGSDEVGPNNNQTIYGLAQCTPDLFKTFCDDCLVQSINEIAICCNNRMSARVVRPSCYLRYETDSLFYQPTQDSPSSLSPSPTSVPSLAAPPPFANNTTSYPGKSNNYGSTIGIAIGVPIALVAMVFIFICIYLKVRKPKKRFEEVQEEEDDDKIEITEGLQFHFNTIRIATNDFSDSDKLGQGGFGVVYKGRLSNGLEIAIKRLSMNSGQGDLEFKNEVFFLAKLQHRNLVRLLGFCLEGSERLLIYEFVHNKSLDYFIFDQAKKAQLNWERRYTIILGIARGILYLHEDSRVRVIHRDLKASNILLDKRMNPKIADFGMARLFGVDQTQENTNRIVGTYGYMAPEYARHGQFSTKSDVFSFGILVLEIVSGTKNSYIRDGENTEYLSSFAWRNWKEGTAANIIDPTLNNDSLNEIMRCIHIGLLCVQENVASRPTMASVVVTLNSPSVTLPIPLQPAFHIGPQDMKSSGHSSAQESVNGASNTQLFPR >RHN48246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46071428:46072467:-1 gene:gene42941 transcript:rna42941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MGPHFDIPPACLTTFKTIFMLISIVVYDLAFVPIIRRYTKNPRGITLLQRLGIGLVLNIAIMVTACLAERKRLRVARENNLFGRHDTIPLTIFILLPQFALGGIANTFVEIAKLEFFYDQAPEGMKSLGTSYFTTSLGLGSFLSTFLLSVVANITQRHGHKGWVLDNLNISRLDNYYMFMAVLSLLNFLCFLVVAKVFVYNVDVRQDKSSLEMNPASSQNIPRISKSTPQQDANS >RHN78409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14855624:14859320:1 gene:gene1961 transcript:rna1961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MLQKIKLLPMSCLILFFYVFVIATSPHAATIIQGSEADALLKWKASLDNNSRALLSSWNGNNPCSWEGITCDNDSKSINKVNLTDIGLKGTLQSLNLSSLPKIRTLVLKNNSFYGAVPHHIGVMSNLDTLDLSLNNLSGNIPKSVGNLSKLSYLDLSFNYLIGIIPFEITQLVGLYVLSMGSNHDLSGSIPQEIGRLRNLTMLDISSCNLIGTIPTSIEKITNMSHLDVAKNSLSGNIPDRIWKMDLKYLSFSTNKFNGSISQNIFKARNLELLHLQKSGLSGFMPKEFKMLGNLIDLDISECDLTGSIPISIGMLANISNLFLYSNQLIGQIPREIGNLVNLQRLYLGNNNLSGFIPHEMGFLKQLRELDFSINHLSGPIPSTIGNLSNLGLFYLYANHLIGSIPNEVGKLHSLKTIQLLDNNLSGPIPPSIGNLVNLNSIILFQNNLSGPIPSTIGNLTKLTILNLFSNELGGNIPKEMNRITNLKILQLSDNNFIGHLPHNICVGGMLTNFTASNNQFTGPIPKSLKNCSSLIRVRLQKNQLTGNITDGFGVYPHLDYMELSENNLYGHLSPNWGKCKSLTSLKISNNNLTGNIPQELAETINLHELNLSSNHLTGKIPKDLGNLSLLIKLSISNNHLSGEVPIQIASLQALTTLELATNNLSGFIPRRLGRLSELIHLNLSQNKFEGNIPVEFGRLNVIEDLDLSGNFMNGTIPSMFGVLNHLETLNLSHNNLSGTIPFSSGDMLSLTIIDISYNQLEGPIPSIPAFQQAPIEALRNNKDLCGNASSLKPCPTSNRNHNTHKTNKKLVVILPITLGIFLLALFGYGISYYLFRTSNTKESKVAEESHTENLFSIWSFDGKMVYENIVEATEEFDNKHLIGVGGHGSVYKAELPTGQVVAVKKLHSLQNGEMSNLKAFASEIKALTESRHRNIVKLYGYCSHPLHSFLVYEFLEKGSLDKILKDDEQATMFDWNKRVKSIKDVANALYYMHHDRSPAIVHRDISSKNIVLDLEYVAHVSDFGTAKFLNPDASNWTSNFVGTFGYTAPELAYTMEVNEKCDVYSFGVLSLEILLGKHPGDIVSKLMQSSTAGQTIDAMFLTDMLDQRLPFPTNDIKKEVVSIIRIAFHCLTESPHSRPTMEQVCKEIAISKSSYLPGVNHVHDMERGDLSKE >RHN45930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26943214:26943813:1 gene:gene40360 transcript:rna40360 gene_biotype:protein_coding transcript_biotype:protein_coding MFYPGSLFRHHVPKNFKFTFGYDNSIDIYKSVVLCDDEDITTVKVLSIGDNVWRELQSLPMVLLNYTHPNETYTMLLPPQGFDYRTHFFDPTLCVLLDCLCFSHHFEGLYLIILQMKDFGVENTWTQFIKNLENIHNDFVDASCLQPMCISKDGDTLVLTNRKQTQVVLYNLIDNKVERTRINDISWFIHVKDYVESLV >RHN81275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44498862:44511030:1 gene:gene5291 transcript:rna5291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MLHSLISQQTQERKMLRLTSSPFSLSFPSISNLNLLPSFPPTSLFYLPSSSTTFHTTSLRIHTPPPLSSSLSSFSVDQTTSISQMQDNLLLYSRAYWLTQSLIAWNVDHHQNGICYLLSSKDASLNISNSQIQGEDLKIKLQEDKAGLPANVVEKFPHIRGYKAFNLPPGSDIKSLLKSQLAVVIYDSDEKCRDCTGLQLPGVLDELFSYNGPLGALFSEEAVSLYLWAPTAQSVRAYIYKHPSGDDPIEIVPLEEEHGVWRTKGPKSWEGCYYVYEVCVYHPSTSRVEKCYANDPYARGLSSDGRRTFLLNLDSNELKPDRWENLADEKPILHSFSDISIYELHIRDFSANDLSVQPEFRGGYLAFTLTDSAGVLHLKKLSSAGITHVHLLPTFQFAGVDDQKENWRNVDTSVLESFPPDSDQQQALITAIQNFDGYNWGYNPVLWGVPKGSYASNPNGPNRTIEFRKMVQALSHIGLRVVLDTVYNHLQGNGPFDEHSVLDKIVPGYYLRRNTDGFIENSTCMNNTASEHSMVERLILDDLLHWAVNYKVDGFRFDLMGHIMKSTMVKAKNALQRLTKEKDGVDGSSIYIYGEGWDFGEVAKNGRGINASQFNLAGTQIGSFNDRIRDSVLGGSPFGHPLQQGFVTGLLLQPNGHDHGTEANMKSMLAASMDHIQIGMAGNLKDFVLTNSEGEEVKGSEVLTYGGTPVAFASYPIETVNYVSAHDNETLFDIVSLKTPMDIGVAERCRINHLATSVIALSQGIPFFHSGDEILRSKSLDRDSYNSGDWFNRLDFTYNSNNWGVGLPPQEKNEKHWSLMKPRLADPSFRPQRIDILATMDNFLNLLRIRYSSALFRLRTANAIQQRVRFHNTGPSLVSGVIVMSIEDGHDGFPGLSQLDPIYSFIVVVFNASPQEVSFVSPSLQSRNLQLHPIQEMSSDELVKSSKYEASSGCFVVSRRTTAVFVEPRKM >RHN38912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2765874:2767433:-1 gene:gene44889 transcript:rna44889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MTSGGANNQFDELTYGKSEYIIPLSKYKKKVKYTNPEDICKDGGLVKKILKPRDDKYQHVDDYDYVLVKYEARLDDGTLVRKSDDDGVEFKLNNGQLVVQSLPTSFIIHTTLLYFPFPGRSYILLRHLIGHFCPALSIAVRTMKIGEKVILTVKPQYGFGDKGRPAHHDEASVPPNATLQITLRLVSWNKATFHKQLLKEGEGADLQDGTVFLNKGYNDGDDDEADLFEFKTDEEQVIDGLDKAVLTMKKGEVALLTITPEYAFGSSESQQELAVVPPNSTVL >RHN55969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26167996:26170914:1 gene:gene31269 transcript:rna31269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin-fold modifier 1 MANGGGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGASDMFRDV >RHN81788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48880081:48881118:1 gene:gene5876 transcript:rna5876 gene_biotype:protein_coding transcript_biotype:protein_coding MQGARRPTQGAARMIFNFLFSVSLSFLRLYQLRSSCLPRHRLEYFVVEVQIVFLICFELE >RHN63847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54423541:54431388:1 gene:gene26601 transcript:rna26601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSWSRVLKSAQAFAAHTFLLCFTLLLLLKLDHRISSSWWIIFAPLWMFHGVVARGRFSLPAPSAPRNRHWAPCHAVVAMPLLIAFELLLCIYLESLYVRGFAAVDLKIVFLPLLTFEIIILIDNFRMCKALMPGDEESMSDEAIWETLPHFWVAISMVFFVAATVFTLLKLSGSVASLGWWDLFINFAIAECFAFLVCTKWSNPVIHRSSREPSSSTTTIRYLDWNSGLLVSSEEDQRQAGMCSLQDIGGHFMKVPVIVFQVLLCMHLEGTPAFAAHLPLAVLFSPLFVLQGVGVLLSASKFAEKLVLLLRSGAGRGLYFRFSSRAHDCLGFLHHGSRLLGWWSIDEGSREEQARLYHEGASGYNTFSGYPPEIVKKMPKRDLAEEVWRLQAALGEQTEITKYSQQEYERLKNEKVLCRICFEGEISVVLLPCRHRVLCNFCSEKCKACPICRNYIAERLPVYDV >RHN58248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:255801:256377:-1 gene:gene20138 transcript:rna20138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, BPI/LBP/Plunc family protein MESKNSKEIIDIEKLPDHVMIEIFIRTEVSDWTQISCVKKQWASLFRTECFWQAALSHIYPFTNPSQTWPRPIPPGLVRKIFMTIHINQQLFERAASFFYYTFGSS >RHN50298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5923165:5926431:-1 gene:gene34570 transcript:rna34570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase WNK-NRBP family MYYCDHKKDNNDGRAEHSYMETDPTGRYAKVGGILGKGAMKIVYKAIDKVLGIEIAWNQVKLNEALRTPEDLERLYLEVHLLSNLKHQSIMQFYTSWIDVDNRTFNFITEMFTSGTLREYRKKYKHVDMQAIKSWVRQILHGLVYLHEHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILRGSQPAHSVIGTPEFMAPELYEEEYNELVDVYSFGMCVLEIMTSDYPYSECTNPAQIYKKVTSGKLPATLFRIEDAEAREFIGKCLVNAARRPSAKELLHDPFLVSDDESSITVSGMQDPFLNYTEMDKLQLRGDSARASMSITRKRNPDGDALFLKVQIVDKNGSARNVYFPFDIFTDTPIDVAKEMVKELDITNWDPSEIATMIEAEISMLLPDRTNSYQDDENNASPRRYHHFPSVSSVSSSQESLSGAVNRVDDISNGYRWHPDDTFDYDSSLCSSYTYSDLNFCSVDDQYHNVASTRNNKHPIMSHKCTRFSHGEDQLTLNRCKILAKPQEPSTSECERMIENRILTRNKSLIDMRSQLLHRSLVEEVNKRRLFKTVGAVEDIGYQTPYKIKSKKSQLRSQ >RHN47635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41153378:41166746:1 gene:gene42257 transcript:rna42257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDFCMELPKGAASKLGEMGVESIIKQFKYMIQYKNIIANLNEEHNNLDSLRQSLQGWVDAESTKGNEIPRNVLNWLSKEAEIEAVLESFYENKVNKNKKCFWGQCINFAFNYSLGKQATEKIEVVTRLNEEGKQLSLISYRKDAPALGSTFIENYKSLESRNQIIQVLIEKLKDGQLKRIGICGMGGVGKTTLVKELIKTVENKLFDKVVMAVVSQNPDYEKIQRQIADGLGLELKGQSLEGRGWEIFQRFKEFEEKNVKVLIVLDDVWKELNFELIGLSSQDHQKCIKILFTSRDEKVCQQNRSQDNVHVSVLLHDEAWSLFREMAGNVASKPDINPIASEVARECGGLPLAIATVGRALGNEEKSMWEVALQQLRQAQSSSFSNMQECVYSRIELSINILGVEHKSCLFLCGLFPEDFDIPIESLLRHGVGLGLFMVDDYVWKARNYINYLVNSLKKCFLLLDSEEPGCVKMHDVVRDVVLKISSREELGILVQFNVELKRVKKKLAKWRRMSLILDEDIELENGLECPTLELLQVLCQRENREVNIWPENFTHGMTKLKVLYIQNVCIPKTLSHFHASVNLRTLQLEGCDVGDISIIGKELNKLEILSFANSNIEELPLEIGNLEFLTLLDLTGCDYLNSISPNVLARLSSLEEFYFRIKNFPWLLNREVLNELRNISPQLKVLEIRVRKMEILPCDMDFKNLEFFWVYIVSNDSYERCGYLEPNRIQLRDLDYNSIKSSVMIMQLFKKCEILILEEVKDLKNVISELDDCGLQCVRDLTLVSCPHLECVIDCNTPFSAFPLIRSLCLSKLAEMREIIHAPDDQETTKAIIKFSNLEKLELMFLDKLIGFMNFSFLNEHHQLIHSGLSSTTKLTDSTNIEDGETSRSNPDGCRPSSVSGKLFSSNWIIHFPKLEIMELLECNSIEMVFDLEGYSELIGNAQDFLFPQLRNVEIIQMHSLLYVWGNVPYHIQGFHNLRVLTIEACGSLKYVFTSVIVRAITNLEELRVSSCKMIENIIVYSRDGKEDDTIKGDVAATIRFNKLCYLSLSGLPKLVNICSDSVELEYPSLREFKIDDCPMLKISLSPTYIHANQDSLNNVTHSKNKEDDNIEVNNSNSSTCPPAGCTPFLSKFFHKGNANKRINKEVSITRAPEDHIPSSFEMKMKKGKSHMPVLEDLCIGKCDFLEFIFFHKEKVNFLVPSHLKTIKIEKCEKLKTIVASTENRKDVTNSFTQLVSLHLKDLPHLVKFSICGPYESWNNQIDKDECMDDQESIRCHLLMDDSLFPNLTSLLIEACNKISILISHSSLGSLEHLEKLEVRNCKNMQEIASLEESSNKIVLHRLKHLILQELPNLKAFCLSSCDVFFPSLQKMEINDCPNMEVFSLGFCTTPVLVDVTMRQSSLNIRGYIQKTDINDIVRGFKAFVASQGSKMLSWTMLHNEGYFIKNSKISIKECHELPYLVPYNKIQMLQHVEELTAGYCDSLVEVIESGGGKGTRKGDVNTHYQLKNLTLQQLPKLIHIWKHDIVEVISFQKLTKIDVYACHNLKSLFSHSMGRSLVQLQEISVWDCEMMEEIITKEEEYIEGGNKVRTLFPKLEVLSLAYLPKLKCVCSGDYDYDIPLCTVEVEKEFNNNDKVLILFPQLKDLVLSKVPELKCFCSGVYDYDIMVSSTNECPNMRTFPHGNVIVDTPNLDHLWLEWIYVQTLGDLNLTIYYLHNSEKYKAELQKLETFRDMDEELLGYIKRVIVLEIVNCHKLLNCIPSNMMQLFSHVKSLTVKECECLVEIFESNDSILQCELEVLNLYCLPKLKHIWKNHGQTLRFGYLQEIRIKKCNDLEYVIPDVSVVTSLPSLMSIHVSECEKMKEIIGNNCLQQKAKIKFPKLMKIKLKKLPSLKCFSESSFHCYVEMPACEWILINDCPEMKTFWYNGILYTPGIYRICVEDTKFDIDEDVNEVIQRQNK >RHN48455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47612780:47614566:-1 gene:gene43172 transcript:rna43172 gene_biotype:protein_coding transcript_biotype:protein_coding MGKICSRICIYQTPVHPHVFTTHRRQSPTDLFTALEELKRQSPILSSSRLSVGCWINC >RHN50590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8362878:8371212:-1 gene:gene34893 transcript:rna34893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Ribulose-bisphosphate carboxylase]-lysine N-methyltransferase MELSHLSLSENHFFFSTPTSPSSLSHRLPSFLSLSTNHRRRRRSFCSASNSDTLVAATGKKKRDEDDGDLKTWMHKNGLPPCKVVLKDKPSLDDSVKPIHYVAASEDLQKGDIAFSVPNSLVVTLERVLGNETIAELLTTNKFSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSESELAYLEGSPLKDEIVKRIEGIRKEYNELDTVWFMSGSLFQQYPYDLPTEAFPFEIFKQAFAAVQSCVVHLQNVSLARRFALVPLGPPLLAYCSNCKAMLTAVDGAVQLVVDRPYKAGDPIVVWCGPQPNTKLLTNYGFVDEDNSNDRLIVEVALSTEDPQYQDKRIVAQRNGKLSIQTFYVYTGKEREAVSDMIPYMRLGYVSDPSEMQSVISSQGPVCPVSPCMERAVLDQLADYFNTRLAAYPTTLAEDESMLTDGSLNPKRRVATQLVRLEKKMLHACLQAIMDLISQLPDHSVSPCPAPYAPSLK >RHN82531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54538017:54554248:1 gene:gene6702 transcript:rna6702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative von Willebrand factor, type A, Zinc finger, Sec23/Sec24-type, sec23/Sec24, trunk MAAPVPPGAPRPGSQPPPPNYVPNYRPNSDGLADNFNNLNLNRPPMTSNPVARPPPFGQQPPFPSSGPGIHASQPPFSRPGPPPGALVRPGGGPPSGGPPNAGPPGRPTGPPPGQPSPFGSRPTGPPGSFAAPVSGVGVPPPGGSPPLRPSGPPPQNFGARPSQSPFNAPPSQSPFNAPPNQSPFNAPPSSAPPGMPPTNVPPSNLLSNGPPAFSGGAMPGPPRFPGGGVQQPPLGPPTMRTPAPPAQSPFNMAPPAQSPFNMAPPAQSPFNMAPPQGIMQPPSSPFGAPSWQTQQQQQVGPPPTVPGSAQPPRMFGMPPPLPNQSMTTTISPAVGQTGAPMAGPSKIDPNQIPRPTPGTSVILHETRQGNQATIPPPATSDYIVRDTGNCSPRYMKCTVNQIPFTAELLTTSGMQLAMLVQPLALPHPSEEPIQVVDFGESGPVRCSRCKAYVNPFMKFIDQGRRFICNLCGFSDETPRDYHCNLGPDGRRRDADEKPELCRGTVEFVATKEFMVREPMPAVYFFLIDVSMNAVQTGATAAACSAISQVITDLPEGPNTKVGVATFDSTIHFYNLKRALQQPLMLIVPDVQDVYTPLQTDVIVGLSECRQHLELLLESIPTMFQSNRTSESAFGAAIKAAFLAMKDTGGKLLVFQSVLPSIGIGALSAREAEGRTNISAGEKEAHKLLQPADKTLKELAVELAEYQVCVDLFVTTQTYADIASISTIPRTTGGQVYYYFPFSALSDPAKLYNDLRWNVTRPQGFEAVMRVRCSQGIQVQEYYGNFCKSIPTDVDLPGIDCDKTFMVTLKHDDKLQDGSECAFQCALLYTTVYGQRRIRVITLSLPVTSMLSNLFRAADLDTQFCCFLKQAANEIPSKPLPLVREQVTTLCINALFSYRKFCATVSSSSGQLILPEALKLLPLYTLALTKSTGLRTEGKIDERSFWINYVTSLSAPLAIPLVYPRMVAIHDLDSKEDEESVIPSFLPLSSEHISDEGIYLLENGHDCLIYVGDSVSPDIVRKIFGVSTVDEIPHLFVLQQHDNPLSKKLIEVVNEIRRQRFCYLRFKLCRKGDPSGMSFFSYMVEDKSAGGFSYVEFLVHVHRQIQNKMAS >RHN48261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46137822:46141352:-1 gene:gene42959 transcript:rna42959 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MTMLGENGKEDYTEDGTVDLKGRPVLRSNTGRWRACSFIVGYEMIERMAYYGIASNLVLYLTKKLHEGTVKSSNHVTNWVGTVWIMPAIGAYIADAYLGRYWTFVISSGIYFLGMCLLTLTVSLPMLRPPACAQGIADKDCQKASSLQIGIFFFALYIIAAGTGGTKPNISTIGADQFDEFEPKERSQKLSFYNWWVFYILIGTISAQTILVYIQDNVGFALGYGIPTILLVVSILVFVLGTPLYRHRLPSGSPLTRMVQVFVAAMRKWKLNVPIDSKELHEVSIEEYTSKGRYRINHSSSLRFLDKAAVKTGQTSPWMLCTVTQIEETKQMTKMLPVLITTCIPSTIISQTTTLFIRQGTTLDRGMGAHFEIPPACLIAFVNIFMLISVVIYDRVFVPVIRRYTKNPRGITMLQRLGIGLVMHVIVMIVACLIERKRLSVARENNLLGPLDTIPLTIFILVPQFALMGIADTFVDIAKLEFFYDQAPESMKSLGTSYATTTLSIGNFLSTFLLSIVADLTSKNGHKGWILNNLNVSRIDYYYAFLALLSAVNFICFFVIAKFFVYNDDVTRTKMDLEMNPNSSKHKAEISQVSYN >RHN58566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2842005:2849792:-1 gene:gene20488 transcript:rna20488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MVKGKLKEPVLTAFTPNGDYAAILSANGTAKIWNTNSGDLLAEWKPSDGDHDIRYSCIACSFTGKKRKKGKGPCLLALGTEDGKVLAVDVSTGERKWPTSHPIPGGICGLSFAKKGQLLCVVGHDGKAYEFNSETGELLKEFKISKKSISSLAFSHDEKYLAIFSSRLRVISWETGKEVLKCPNDMGNIHRVSISNDAKYLITSDSESKHLQVWRCDLSLGTVSSGPTLPLRHAPLVLDCHSGGNENDLVVSAVTSSGGTYIWKNLNASSEDQIHRTKITLKTEKVESDKENSESSKKRCSSFIASKFQSMGEDGQMQALVTYGSVDHPQFTVLNISDLGENVVLTVGDELDSIQKQDSLSKKAVEKESKKSKKRQATSDPDLPTTTDKVDLDQCEVVDGVLDDDPNEQTIGEKLTNLNLLDENKSKSDKEQVSSKPPSADSVDVLLKQALNADDRALLLDCLYTQDEKVIMNSVAQLNPSNVLKLLNSLISIIESRGAILACALPWLKCLLLQHASGIMSQESSLKVLNSLYQLIESRVSTFKSVFQLSSFLDILYTGVLDEEVDEVETVPIIYVDTDDSERESEDAMDTDKDSKDGELSDEAFDNLSDVEGSDGMMED >RHN66012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7592072:7605679:-1 gene:gene13921 transcript:rna13921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAELIAGAFLSSFFQVTLDRIASRDFKDLFNKKLINKLEITLNSINQLLDDAETKKYQNQNVKNWLDRLKHKLYEVDQLLDEFDTHVQRKSKVQHFISAFTNRFESRIKDLLDNLNTLAEQKDVFRLTERSYESAVSLQSSKRSPTASLVDESCIYGREGDKEEIINYLLSDKDNNNQVSIISIVGMGGMGKTTLAQLVYNDQRMEKHFELKAWVHVSKSFDVVGLTKTILRSFHSPADGEDFDPLICQLQKTLTGKKCLLVLDDVWNGNKECLERLLLSFNPGFSGSKIIVTTRDKHVAFVMKSDHQLPLKKLEKKDCWSIFVKHAFQGKNVFEYPNHESIGKKIVEKCGGLPLAVKTLGKLLQRKFSQAEWSKILETNMWHVREDGDEINSVLRLSYHNLPSNLKRCFAYCSIFPKGYEFEKDELIKLWMAEGLLKCCGRDKSEEELGNEFLDDLESISFFQEPLYSWGDKSFVMHDLVNDLAKSESHEFCLQIEGDSVQNISERTRHVCCYLDLKDGAGILNHISKIKGLRSLLVLPRGYGKECFMISNNLQRDLFSKLKYLRMLSFCGCKLRELSGEIGNLKLLRYLNLTESLIERLPDSICKLYKLETLILKRCFKLTELPSDFYKLVSLRHLNLEGCNIKKMPKQIGSLNHLQTLSHFVVGEENGSNIQQLGNLNCLQGKLCISGLEHVINPKDAAGANLKDKKHVEELNMEYSDNFKFNNNGRESNVFEALHPNSNLKRLYIGRYQGNNFPNWITGCHLPNLVSLQLKGCGCSHMPPIEQLPSLKEFSISNCNGIKIITEEFYGNSSTNVSFRSLEVLKFEEMNNWEEWFCPEGFPLLKEIYIWNCPKLKRALLPQHLPSIQKLKICDCNKLEASIPKGDRIIELNIQRCDRILVNELPISLKKLSLWENRYTEFSVGQKLVNNTILEELKLDFRGCVNCPSLDLRCYNSLWRLSIKGWHSSSLPFSLHLFTKLHSLYLYDCPELEWFQMGGLPSNLADLYIENCPKLIASRKEWGLFQLNSLKSFFISNEFENVESFPEKNLLPPTLQTLCINDCSKLRIMNNKGFLHLKSLIELHIWNCPILERLPEEALPNTLTSIEISDCPLIKGKYEKEGGENWHTISHIPHVTIDGIEQK >RHN76814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1490035:1495907:1 gene:gene192 transcript:rna192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-exporting ATPase MHEKLFICQLSLFHKKVKYPFRVLIHTTYGWRFERVPIEEVFDHLKCSRQGLTSEEGASRLQVFGPNKLEEKSENKFLKFLGFMWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGIIALLVINSTISFIEENNAGNAAAALMAGLAPKAKVLRDGKWSEQDAAILVPGDIISIKLGDIIPADARLLEGDALSVDQSALTGESLPVTKYATQEVFSGSTVKKGEIEAIVYATGVHTFFGKAAHLVDSTNQVGHFQQVLTAIGNFCICSIAVGILIEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDTNLIEVFSRGMDKDFVILLAARASRVENQDAIDTAIVGMLPDPLEARAGINEVHFLPFNPVDKRTALTYIDSDGNWHRSSKGAPEQILELCNCKENVSKRAHAVIDRFAERGLRSLGVAYQEVPERTKDSPGAPWQFVGLLPLFDPPRHDSAETIRRALELGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDRDASTLDVPIDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRSIFQRMKNYTIYAVSITIRIVFGFMLIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGSYMALMTVIFFWAMHDSDFFSDKFGVRSLRNNPAEMMAALYLQVSIISQALIFVTRSRNWSFADRPGLLLLGAFVLAQLVATVIAVYADWEFARIKGMGWGWAGVIWLYSLVTYIPLDLLKIAIRYILSGKAWNNILENKTAFTSKKDYGRENREAQWASAQRSRHGLQPPTSNTINEYSSYEELSEIAEQAKKRAEMARLMERNTLKGRVESVVKLKGLDIDTLNTNYSI >RHN58393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1384877:1385317:-1 gene:gene20300 transcript:rna20300 gene_biotype:protein_coding transcript_biotype:protein_coding MKIILSVLFLLMISSAQALNQNDGFVESRNDHKHELSLTIRKGGGFGGGGRGGGGFGGAGKGGGFGGAGKGGGGGRKGGGGGFGGGFGGGVAGGIVGGYIGGSIANGGHNGGYNGGYNGTQNSATTLSAWPHFSVSTLILCLSFWL >RHN50170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4757978:4758280:-1 gene:gene34429 transcript:rna34429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucose 6-dehydrogenase MKLRNNYYFLELDILIGGPAMAVIALICPSIEVAVVDISKSRIAVWHNNQLPIYESGLDDVVKQCRGKSFFFSIQVEKHVYEVEIVSVSVNTSTVLVFGA >RHN75803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45228430:45229002:1 gene:gene12056 transcript:rna12056 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEGRVKEIEVNEEQLKCRLKEFDSEKEKFKSQVKELESEKKKFKEKLIEDQVMEEKFKGHVKELESERKLFEGRLKDLLSKEKEIKGQMQDLKRFVSQMENFKSEQKQLEGRWKELESEEKEFKVHAKELEPIEKQFDGCVKDVDLREKQYDALIEPSDEEAYLGKCSFYLVFETISLQLFFFNGVDI >RHN56172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28486126:28490662:-1 gene:gene31532 transcript:rna31532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate peroxidase MGVSFLSISTLPSLHPLGGSHYPKTTSTRHQPRSVSICCAKIKTDVSDEEEQFFHWRRRDVLKCIGLTIGLESISSSGSLLGTANAADLIERRQRSDFQSQIKGTLYTAIKANPDLTPSILTLALNDALTYDKATKTGGPNGSIRFSSEISRPENKGLSAALNFIEEAKKEIDSYSKGGPISYADLIQYAAQSATKAQFLASAIRKCGGNEEKGNLLYTAYGSNGQWGLFDRQFGRTDTDEADPEGRIPLWEKASVQEMKDKFSAVGFGPRQLAVLSAFIGPDQDATETLLASDPDVAPWVQKYQRSRETVSRTDYEVDLITTFTKLSTLGQKINYEAYTYPRKKIDITKLKL >RHN45655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24161184:24163625:1 gene:gene40036 transcript:rna40036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MMQNLIRKSLTNLTNVSMNITKHLLSNQELKEKNVVFSPLSLNTVLSMIATSSKGPTQKQLLSFLQSESPGDLKSLYSRLVSSVLSDGTPAGGPCLSYVNGVWVEQSLPLQPSFKQLMTTDFKATLAEVDFLNKADEVREEVNLWAEKETKGLIKNLLPHRSVDSLTSLIFANALYFKGVWQRPFDTSKTKDYDFDLLNGKSVKVPFMTSKNDQFISSFDGFKVLGLPYKQGNYGRAFSIYFFLPDAKDGLSALIDTVASNSEFLEHNLPRRKVEVGKFRIPRFNISFKIEASKLLKKLGLTLPFSMGGLTKMVDSPISQELYVSGIFQKSFIEVNEEGTKAAAATAGIVYGCSPYRPPPLPPMDFVADHPFLFLIREEFSGTILFVGKVVNPLDG >RHN50652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8867667:8870748:1 gene:gene34962 transcript:rna34962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MELRQAEANPVIIKVSKDASSKFKTIQEALNSIPPQNNRRVIVSIAAGVYREKILVKLPFITFLGDPTDQPIVIGNDTAHDIGGDGKPLRTLNSATVAVDSDYFIAVNMKFQNTASPRIGSNDDQAVALRTSGNKSAFYNCSFYGFQDTLYDHQGLHYFENCFIQGSVDFIFGHGRSLYEVCFHFNFFIDPINAFFKRIYEQRNINMLHL >RHN53923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5370353:5372291:-1 gene:gene28889 transcript:rna28889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MDTLFRLVSFQPHQQQEDQSLNSTTSRTTTSSSSRSSKQNYHQYYNPQEVEEECFNFFMDEEDLSSSSSKHYYPYNQPQTSNTITTNTPTTSLTPPPPTADFTFELTGRWANNILLETARAIAEKNSTRLQQLMWMLNELSSPYGDIEQKLAAYFLQALFSRMTEAGTRTFRTLASASEKTCSFESTRKTVLKFQEVSPWTTFGHVACNGAILEAFEGDSKLHIIDISNTYCTQWPTLFEALATRADDTPHLRLTTIVTAGGSVQKVMKEIGARMEKFARLMGVPFKFNVIHHSGDLSDLNFLDLDIKEDEALAVNCVNALHSVTVGNGNGNGNNRRDSLIASLIALRPRIVTMVEEEADLNFGNEGYEFVNGFEECLRWFRVYFEALEESFPKTSNERLMLEREAGRGIVDLVACAPAESIERRETAVRWSRRLHGRGFNTVAFSEEVCDDVRALLRRYKEGWSMIRCNDAGIFLTWKEQPVVWASAWRP >RHN82130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51461825:51462127:1 gene:gene6256 transcript:rna6256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MMQIVCMMLQIMQPYTVMPDLSSQQCNDCLAQTISEIPDCCNGKMGGNILKPSCRIRFDPYRFFNSTIVLDSNATPPEPPSSLILSPSSPSTNNGTSSGI >RHN50359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6468414:6470568:1 gene:gene34636 transcript:rna34636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MPNNKENSSFLFPQIQSTVLPDPSKFFSPNLLSTPLPTNSFFQNIVLKNGDQPEYIHPYLIKSSNSSLSVSYPFHHFNSAFIYQVFNADLTITSIEQKTNQTSNEKHIVSSYSDLSVTLDMPSTNMSFFLVRGSPFLTVSVTKPTPLSISTIHAILSFSSNENLTKFTFHLNNDQTWILYASSPIKLSHELSEITSEAFSGMIRIALLPDSNSKNEDVLDKYSSCYPLSGEAMLKEPFSVEYKFEKKGSGDLLMLAHPLHLQLMSKSDSNATFLRDFKYKSIDGELVGVVGDSWLLKTDPVSITWHSSKGVKEESHDEIVSALSKDVESLKSSPITTASSYFYGKLIARAARLALIAEEVNYLDAIPIVKKYLKESTEPWLDGTFNGNGFLYDKKWGGIITKQGSTDTGADFGFGIYNDHHYHLGYFLYGIAVLAKIDPVWGKKYKPQAYALMEDFMTLSKNSNSNYTPLRCFDLYKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALIGMAYNDAELTANASTLTSLEILAAKMWWHVKEGENLYEEDFTKENRMMGVLWSNKRDSGLWFAPAAWKECRLGIQLLPLIPISEVLFSDVDYVKELVEWTLLALNREGVEEGWKGFVYALQGIYDNESGLKNIKSLNRFDDGNSLTNLLWWIHSRSDKV >RHN68006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32080332:32082748:-1 gene:gene16281 transcript:rna16281 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-linalool synthase MDVTYVKQALIFKQVYKKLVKIEDPMESFYLIDIIQRFGIEHYFTEEIKVALEKLHLILNNNPIDFVSSHELYEVALAFRLLRQGGHYVNADLFDSLKWNKRMLKEKHGEDVKGLITLYEASQLSIDGEDSLNDVGYLCRELLHGWLSRNQEHNEAIHVANTLQNPLHYGLSRFMDKSTFIHDLKAEKDLICLEELAKINSTMVRFMNQNETIEVSKWWKELGLAKEVKFSGYQPLKWYTWPMACFTDPNFSEQRVELTKPISLIYVIDDLFDVHGTLDQLTILTDAVNRWEITGTEQLPNFMKVALNALYDITNNFAEMVYKKHGFNPIDTLKKSWILLLNAFMEEAHWLNSGHLPRAEDYLNNGIVSTGVHVVLVHAFFLLDHVNGITKQTIDILDEKFPNVIYSVAKILRLSDDLEGAKSGDQNGLDGSYLDCYMSEHQDVSGEDVQRYVAHMISNEWKCLNHEILVANQFSSSFSNFCLNAARMVPLMYHYKSNPSLSNLQEHVKSLINVGVGRD >RHN75194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40087360:40098625:1 gene:gene11363 transcript:rna11363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MATSDGGIKSTSINGVKVYTIASQQPSLASWIPTKKQQSHRPIKSYTQNVQLIEDLRFTTATTKIKATPDGEFIIASGIYPPQVKVYEVRELGLKFERHLDSEIVDFQVLSDDYSKLAFLCADRSINLHAKYGKHYSLRIPRMGRDITYDDWSCDLLCAASSPDLYRINLEQGRFLSSLTTQSPALNVVCRSKVHGLVACGGEDGAVECFDPRVRSSVGRIDAVGPSGDVDQEVTALEFDGDGDFLMAVGSSAGKVLIYDLRSSRPVRIQDHMYGSSILDIKWHRTLNFEGPKLITSDKHVVRIWDPDTGESLTSIEPTTGEINDVCTFPGSGLILLALDCSQIPSYIIPSLGPVPKWCSSLENFTEELEMGGQTTIYDHYKFLTKEELDKLNLTHLIGTNVLRAYMHGFFINYKLYKKAEDLAGTSNAENYIEQRKREKLEAERASRITIKRKLPKVNRLLAARLLESEDVENEKRDAVEDVETKKASKKKKGLSMQDLEDDRFKAIFTNKEFEIKEDSEEYLALHPMGAKKQTSLLKEHFEPVMSDDDLSLGEDDASEDEPANKTNEKTRVPRMYEIKDEQHAEAFWSRKSIADEESLPMGDRVEALKNEQQSSHIPRGVKRGPGGSREITFTSRNRATYNEDDIDKKVEPRKKRGVQSLGLKSQGPVFNGRGRGRGRGGRGRGRR >RHN51882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27573402:27575160:-1 gene:gene36440 transcript:rna36440 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKINFSSPPIQCKFHIKKSNLTRKNTIIFSSFPSSEKQNKTISKTIENPSNDKAYTINFKTKSACKLGISRYPDFVYDAEGGIGTGFGAKERQNSDNNDILVSFDLDTLYIPSLTSSTTKFLGLSLPPFLRIDIVPEAFQGSINQESGKVDLEFKAKFLFSAGSIYKAPPLLVKTVLTSEESKGTLKSGRGMRLDEEGKCRLVGVATVDPIDDFLMNSFLGLPTECLAELNAVISISSSS >RHN40922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24378863:24380094:-1 gene:gene47168 transcript:rna47168 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLRLRRSSMKLKTQIPHSDHSPSKSTTPSDSFSFPSTPNKEDSDFEFGSLTPDSPSCTTSPADQLFFNGRLQPHSFPLNNIVITSRTSSIGSKGSLLSSRSNSTNSTCSSARTSASDSSERRLFIHNNMSKLSSRSVARPVQQSYGCSQRWQYITPVPAALNRDTSKRRKQQRAKRKKKKKKIKKRVSLRVVRSIFRWFFIACRQCHAMEPSKTNNKGIKIKKSN >RHN53681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3301645:3305375:1 gene:gene28614 transcript:rna28614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Bile acid:sodium symporter/arsenical resistance protein Acr3 MQFLTLVRWNINSATKQNMYSISCRVNQLHNVSCSHLSLFHVRRPPIYVPCSTNLSSIFSIPSGSHFLRKYRSSKFLLKCASLNSSGSLTPDPIPQIMNQKPMSILEILKQANSILPYVVLASTLVALVFPPSFTWFTSRYYAPALGYLMFAVGVNSSEKDFLEAFNRPAELVTAYVGQFVVKPLLGYLLCIISVNLFGLPSAIGAGIVLLACVSGAQLSSYATFLSDPQMAPLSIVMTSLSTISAVFVTPLLLLFFIGKRLPIDVKGMVFSITQIVLVPITFGLLLNRFYPNICNAIRPFLPPLSVLVAALSAGAPLALNIKSVKSPFGFSILLLAVAFHLSSFVSGYILSGFIFRDSPDVKPLQRTISFETGMQSSLLALALANKFFEDPVVGMPSAISSPLMSLMGFSLVLIWSKIKSKKKHGS >RHN50793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10539952:10543584:-1 gene:gene35122 transcript:rna35122 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIVSGIVILLPRLRFNFDCFDLKNKLRYQLEYSNFIKLENSCSHIVNKSNISDQDQITTNFMDLHRMIQTC >RHN74414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32956442:32958531:-1 gene:gene10475 transcript:rna10475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aconitate hydratase MIEAYLRANKLFVDYNEPQQDKAYSSYLELNLDEVRTIPHDRVPLKEMKSDWHSCLDNKVGFKGFAIPKEAQGKVAKFDFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKAHDLGLKVKPWVKTSLALGSGVVTKYLLQSGLQKYLNEQGFNFVRFGCTTCIGNSGDLDESVASAISENDIVASAVLSRNRNFEGRVHPLTRANYLASPPLVVAYALAGTVCMPNSFKCLLYVIYEWFPVFVFSIFSCCLIFVIASKIINAKD >RHN75470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42520029:42523110:1 gene:gene11686 transcript:rna11686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative senescence regulator S40 MDLHRPTRFRTRKFLPTKAERFLGVQPHAPPSFNNSSSLELDEDDVVFDFDYSHPSSSSSSSSSSPSIPTPISNHNRHHYNHHQFQRKSSPLGPPDSFGVLAVLPENEESPDSGDNSNLLNDALIPVSLSASSSSNSSPSSSSKPMLIVQRPSERTPSWSSPASDKFYHSAPMNVPMMSSAMANRARRYEEEDAHALNEEEEFRSTMPPHEYLSRQVDFSPMHSCSLFEGVGRTLKGRDMRQVRNAVLSQTGGIHTFIQHANEVTCTAEMPEESLIA >RHN74576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34831162:34836081:-1 gene:gene10667 transcript:rna10667 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGEKVSHRIRRHSIRDAQFHFDHQELNNTYERGVVGSSSG >RHN48893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50969555:50974784:-1 gene:gene43659 transcript:rna43659 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGPGGPGGPGWGPGPGGPGGPGWGPGPGGPGWGPPGPGGFFGGFANGLCSLLSSCLSCLCCCWLLQDCFGGPPRGPGPF >RHN67343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26259632:26261280:-1 gene:gene15523 transcript:rna15523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MHLQLGEVSTIVISSTEFAREVMKTHDIHFATRPQILATEIMSYNSTNIAFSPYGNYWRQLRKICTLELLSLKRVNSYQPIREEVFSNLVKTIASQNGSPFNLTEAVISSIYTIVSRAAFGNECRDQEKFISVVKQTLKIAGGFNLGDLFPSSKWLQHVTGIRPKLEKFHRQNDEMFENIIDEHKKAKYTNAQGKVVEDLVDILLKYEDGSDQDFFLTKDNIKAIILDIFGAGGETSASTIDWAMTEMIRDQRIMKKAQAEVREVFKMKGKVDENYIPDLNYLKSVVKETLRFHPTVPLLLPRECGQACEINGYHIPIKSKVIVNAWAIARDPNYWTEPERFIDSAIDYKGSNFEYIPFGAGRRICPGSTFGLRNIELALAMLLYHFDWTLPDGIRSAELDMTEEFGIAMRRKDDLLLIPLIHQPLNVT >RHN62843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46152165:46153059:-1 gene:gene25463 transcript:rna25463 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHHTPNMHLSYIILTAMQINVQTILHIELILLSCNREIFVGLRYIFYIKILRIVASFLVKQSSTLPFPNYLSEERNSSHSIFSSACFCSVLIVFKLCFFMHICVVYLAFFNIVVHVQFANIVFLV >RHN46165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29329342:29330483:-1 gene:gene40608 transcript:rna40608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MFLKFVFMLCLLVTLVASEDNGFIYKGFQSSHLYLDGIAELTSTGLLRLTNDIGQDTAHAFYPNPIVFKNTSNSLPNQYLGPFNDSNIGNTSNHVFAVELDTIQDLEFDDINNNHAGIDINNLKSANSTPAGYYNAIGRFNDLSLSSGYPMQVWIEYDGVKKNIDVTLAPINFGCNVVKPARPLLSFTKDLSPILNNRMYVGFSSSTGVIVASHYILGWSFKVNGQAQNLEISKLPELRIFATELGIFNEKKESKTLTVGLPLIFLSLLFIIALRIMYHIEQKKFAKVLEDWEHEYGPHRFKFKDIYFATKGFMVKGLLGVGGFGRVYKGVIPNSKLEVVVKRVCCFCNFQIMTEL >RHN79297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27240591:27243591:1 gene:gene3067 transcript:rna3067 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKDVSGKELRELSIKSKRMADTISNSPKEDPTNNSPDTPLINVEVKKPRLVVSSSPPFQSPRKSQHSSSEGVDEMWTSFFTTINSSGDGDATSIWDDHFPLGNLIDKNFRDEKFQEKVKEFGLERVLQTSLTDSIRMIFLLRVMGQKFGETEKENKAYVGEISELKKMLTENEKNYVGEITELKNKLSEYEKNMVEMTSLKDELNKLKKTLEYSSLEKNEMVAREKELKKENSNSRIKLLIKEDAHRVNVEKLKAEIEELKSGIELQYKAGYDKAVKQVVFFASKLEP >RHN41135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27331510:27331887:-1 gene:gene47425 transcript:rna47425 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRDHKRISSLVSNPAFVFGYVGHTGVRVPSRLDIKLGFSSVAAFFSRAPPLPSNSEIVSATSNILQSMCFVGVSYTARFDLGFDWFY >RHN67537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28079814:28082705:1 gene:gene15735 transcript:rna15735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MTSYSRRSRYSPSPSPSPSPYRRYSRSVSRSLSRSVTPDVENPGNNLYVTGLSPRITKRELEKHFSAKGKVVDVHLVVDPWTRESRGFGFVTMDTLEEADRCVKSFCGSRLGCEAALHQTLKSQLNKSNTSTSIRPLGYQARRRRGRTPTPGKYLGLKTIRGRRRSPSYSPRRSPSYSPYRRSYNRSPYSSDHSRSRSYSPDYRRRRSYSPDYRRRRAYSPYYSRRRSYYRYDRHRSYSRSRSPYSRSPVRMRDRSYSPYGSRYESPDDSYCGRYRYRSVSRSVSPRPRRSRRSYSRSASPVRSVSPRARKRSGRSHSRISRRSGGYSKVKHSRSRSSSVSASSRSFSRSNTPGTTSPSN >RHN51255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15427474:15433337:-1 gene:gene35665 transcript:rna35665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative MRN complex-interacting protein MLQLLHHAGKAKEEKQQQMELRCVQSKQSVRKIFAQGYKAKDIRSFVQSVNMSRKSIEEDDQQQWLLAGTLNPTPEEHVRGEYEFPADFNNKKNCTTDWSVYLDNDDHRATERDEQQQHEDDFEPLVVTELPNGMLKKRKSVDNSTPRCGRRFKSPLFQNSEDAGEPVKDQRRITVLTESNSQRNSIVTSANQRTQKCKQAINTSTSKWNDYLAEDNLEHGYNKRGFNFKTLQVHGTAMTS >RHN40942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24774118:24775357:-1 gene:gene47190 transcript:rna47190 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKKAASAKKNYGPTIEDIDHLVETNEVTLEGFEVDEEFDPNGSPKRPSIRKPQDVPSSRNKKRARKVDEDETSMSEIAKTFKKMAEMFELNTAELVKQNKSSSAEDV >RHN70100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48762734:48764718:1 gene:gene18649 transcript:rna18649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MHEPSTLYIRRLTNTHIHISVCDTKFKLEFGLQFKPSFSPFSQGTIQRERERKSFHKIITITMSSHHSHLSFAFGVLGNISSFVCFLAPLPTFYRICKKKSTEGFQSIPYVAALFSAMLWMFYAYTKKGETLLITINAFGCVIETIYLAVFVTYCPKKVRMSTLRMIVLMNFVGFGTIVLLTHFLAKQEEGRIKLLGWICVVFATSVFAAPLSIIRVVIRTKSVEFLPFPLSVLLLISAVMWLLYGLSLRDIYVTLPNVVGLTFGIVQITLYAMYRNSKPVIDEKLPEHKGDIVDKEIENVVVPSKTTNDEKKLEVSVVDMVIVEKKEEKQDEEHDEKEKKQDQVTQDKTKVKNENDNININKTEEKDSGCEV >RHN52373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34388398:34390063:-1 gene:gene37038 transcript:rna37038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MALQSNFSSSSYGFTYDVFLNFRGSDTRFGFTGHLYKALCDSGIHTFIDDTELHRGDEISPSLIKAIEESMIYIPVLSINYASSIFCLEELVKIIKSFHSGHHHILPVFYDVHPSQVRSRTGSFGEAIDKHKEKGTSRVYEWNNALIQVANLSGYHWSRDGNKYEHEIIGMIVKEVSNKINPSLLYVPDYPVELEPHITQVHQLLDSQSGSSFYRWCLWSWRCGQNNTCTGSL >RHN64698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61083892:61086088:1 gene:gene27542 transcript:rna27542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxysterol-binding protein MCMYIPQLPPTFNMPKSQLQWYGECVYSTGVDLINSINNGKTPLDRFISVVAWSISTTRPQTFGVVPYNPILAETHHVSKGNLNVLLEQVSHHPQVSALHATDRKGNIDITLCHSPLPKFVGTGVEVDMHGKRHLHLHNHGETYEMNCPNFLFRFLPIPGIDWVGNVTIRCLETGLVAELSYIRQSFFGFGSGNRRRIKGKIFDSLTKNVLYKVDGHWDSTVILKDATNNAEVRVIYDAKEVLSGLHTPFVKDPESVWQTESALVWGELSQAIISNNWGKAREAKNTVEETQRIHLRERESKGETWVPKYFTVTHSKEDGWKCSPIQKWVPDAPIATL >RHN78421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14951552:14954415:-1 gene:gene1973 transcript:rna1973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MEDLYFVYKYLPWHYNNKSLSLIRNFLCVQISKMFQKMKPLPLLCVRLFFYVFVIATSPHATTKIQGSEVDVLLKWKASFDNHSRALLSSWIGNDPCSSWEGITCCDDSKSICKLNLTNIGLKGMLQSLNFSSLPKIRILVLKNNSFYGVVPHHIGVMSNLETLDLSLNRLSGNIPSEVGKLNSLTTIQLSGNNLSGPIPSSIGNLIKLTSILLDDNKLCGHIPSTIGNLTKLTKLSLISNALTGNIPTEMNRLTNFEILQLCNNNFTGHLPHNICVSGKLTRFSTSNNQFIGLVPKSLKNCSSLKRVRLQQNQLTANITDSFGVYPNLEYMELSDNNFYGHLSPNWGKCKNLTSLKVFNNNISGSIPPELAEATNLTILDLSSNQLTGEIPKELGNLSSLIQLLISSNHLVGEVPEQIALLHKITILELATNNFSGFIPEQLGRLPNLLDLNLSQNKFEGDIPAEFGQLKIIENLDLSENVLNGTIPTMLGELNRLETLNLSHNNFSGTIPLTYGEMSSLTTIDISYNQFEGPIPNIPAFKNAPIEALRNNKGLCGNSGLEPCSTLGGNFHSHKTKHILVVVLPITLGTLLSALFLYGLSCLLCRTSSTKEYKTAGEFQTENLFAIWSFDGKLVYENIVEATEEFDNKHLIGIGGHGSVYKAEFPTGQVVAVKKLHSLQNGETSNLKAFASEIQALTEIRHRNIVKLYGYCSHPLHSFLVYEFLEKGSVDKILKDNDQAIKLNWNRRVNAIKGVANALCYMHHNCSPSIVHRDISSKNVVLDLEYVAHVSDFGTAKFLNPDSSNWTCFVGTFGYAAPELAYTMEVNEKCDVYSFGILTLEILFGKHPGDIVSTALHSSGIYVTVDAMSLIDKLDQRLPHPTKDIKNEVLSILRIAIHCLSERTHDRPTMGQVCKEIVMSKSS >RHN64489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59506626:59509635:1 gene:gene27308 transcript:rna27308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phytepsin MVLKHLVTVICLWAWSQSLASATSDDGLMRVSLKRRTLNINTLNSARINEAIYHNNYLTTDIVYLKNYLDAQYFGEIGIGTPPQIFKVVFDTGSSNLWIPSSKCKLSIACYIHSKYRSKLSSTYTKIGTSCKIPFSRGHIPGFFSQDNLKVGNIIIKDQEFTEITKEGSLEFLAMHFDGILGLGFQDISVGQVTPVWYNMIEQGHMTQKIFSLWLNQNPDSNIGGEIVFGGIDWRHFRGDHTYVPLSQQGYWQIDVGDILLEDNSTGLCQGGCAAIIDSGTSLIAGPTSVVTQINHAIGAEGYVSFECKNIIHTYGDSIWEFITNGLRPEIICVDIGLCSRNGSHRINDDIETVVDDESWDETQSRENPLCTLCDMIVFWIQVQLKQRNTRERIIKYVDELCEKLPNPVGQSFINCDNIPTMPQIIFKIGNRSFPLSPEQYVLRVEEGCSSVCYGGFVGIDVPPPQGPLWVLGDIFLGAYHTVFDYGNLRVGFAEAA >RHN46071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28263238:28263836:-1 gene:gene40511 transcript:rna40511 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYEDTRVPILEKTDYTLISGNPYIKKTGWRKISCYFNISYEIRDKSIEFDGDRNVQRAEFVIRAHMQGGRFSDGWGSCDRREKRFQKPNHDVPSTAETRAKNKACQVCHIIIFCFF >RHN79484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29455396:29456102:1 gene:gene3279 transcript:rna3279 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPSGKEGIIVGGTAVSPNGILVPLVAGSADVVGEINRTQAIVSLHLGKESYQEISQPDYGMPSNLTLGIMKDCLCVFSCSDSLIDVWLMKEYGNKESWIKLIHLPFSSSLGLYCQDPKMVYISEDDNNVLLLFREFSKLKWVVYDRKYHTTRTIKIEDFSWVNSKLYVESLVSP >RHN76593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51505992:51506408:-1 gene:gene12943 transcript:rna12943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MFSDVNYRVSDLVWGKVRGHSWWPGQIYVPSVASVKAKRHCKGNCYLIAYFGDQTFAWNDVSMIKPFHKHFSQMEKQSDLKHFRHAVDCALEEASRHVEFGLSCPCMPGQALPKLNANDATSFEPTELVNFVKSLAQS >RHN59401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10295856:10297125:-1 gene:gene21427 transcript:rna21427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MGYSTMATRLVCLAIVCLVTFGPKAEAAVTSCGPVVTSLYPCVSYIMNGGNTVPAAQCCNGIRNLNTMAQTTNDRRAVCTCIKNAVSQSGFSYTNLNLNLAAGLPRKCGVNIPYQISPNTDCNSVH >RHN66716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17333380:17336767:1 gene:gene14776 transcript:rna14776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSSSLVKIQDDLHRLSIKDLIENRSEEEENHHVGFGKHGGTCAICLDNIVLQETALVKGCEHAYCVTCILHWATYSQKVTCPQCKHPFEFLTVHRTLDGSIRDYMFEESVCLLLRAQWFKPLTVEERVVEEDIYEELVDYYYQFENDDDLDDEDYYGGSASVRIGNRRWGDNGFVRAGRQEARPVHRPSFQDSGASSSSSREPKKKEDSKVMTGRRAKRALKREAADKAAEAKHQQHLARFGRK >RHN59476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11089962:11091009:1 gene:gene21507 transcript:rna21507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEDRHQSVLPSELMTKILSLLPVKPLMRFRCVNKFYNTLISDPHFIQMHLKNSARNPNLMVIARQHNFNSFDENVLNLPISLLLENSLSTVPYDPYYRLKNENPHCPWLFAGSCNGLICLCLDIDTSHGSRLCLWNPATRTKSEFDLASQECFVFAFGYDNLNGNYKVIAFDIKVKSGNARSVVKVFSMRDNCWRNIQCFPVLPLYMFVSTQNGVYFSSTVNWLALQDYFGLDYFHLNYSSITPEKYVILSLDLSTETYTQLLLPRGFNKVSRHQPKLAVLMDCLCFGHDYEETYFVIWQMKDFGVQSLNGWIFCHCVFLRMVIH >RHN59488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11189152:11189391:-1 gene:gene21519 transcript:rna21519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MLLQTGGPYWEVKLGRLDSLTASQEDSDNIMPSPTSNATTLITLFQRFNLTVKDLVALSRSHSIGKARCLSIMTRLYNQ >RHN56519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31569134:31570623:1 gene:gene31927 transcript:rna31927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MADALIGVVFDNLKSLLQNEFATISGIKSKAQKLSDTLDMIKAVLEDAEKKQVTDCSIKVWLQQLKDVVYVLDDILDECSIKSSRLRGLTSLKFRHEIGNRLEEINGRLDDIADRRKKFFLQEGTGTVRESPNDVAEWRQTSAIITEPKVFGREDDKKKIIQFLLTQAKDSDFLSIYPVFGLGGLGKTTLLQSVYNDVTVSSNFNTKVWVCVSENFSVNRILCSIIQFITEKKYDGFDLNVTQKKVQELLQGKIYLLVLDDVWNQNEQLESGLTREKWNTLKSVLSCGSKGSSILVSTRDEVVATITKTRETHRLSGLSEDECWLLFKQYAFGHYREESTKLVKIGKEIVKKCNGLPLAAKALGGLMSSRNEEEEWLEIKDSELWALPQEILPALRLSYFYLTPTLKQCFSFCAIFPKDGEILKEELIQL >RHN50233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5395444:5400838:1 gene:gene34502 transcript:rna34502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, toll-like receptor MQHIYLLTILLTITVLSREPEIARIHDVFLSFRGKDTRASFTSHLYAALQKAGIKVFIDDNELQRGYHISSSLSRAIEQSQISIIVFSKNYADSRWCLNELVKIMNCRKNIGQVVVPVFYRVDPSEVRNPRGKFGIAFQRLLKKVPKKEKLVLSWKKALREAANIAGFPIVKSGNESEDVKGIVDNISHLLNKTDLFIANNPVGIESRVKDVIQQLHIQPKGVLLIGMWGMGGIGKTTIAKAIYNKTGRNFEGRSFLANIRENGKKNAGLMGLQEQLLFDICKERTIKIPNIELGKSMLKDKLSHTRVLVVLDDVNTLDQLNTFCASREWFGSGSIIIITTRDMHLLKGRVDKIFEMPIMNESESIELFSWNAFKRACPTKDFVRISKNVVEYSEGLPLALEVLGSYLFNKTESEWELVLETLKRIPYDQVQKKLRMSYDGLNHAEKEIFLHIACFFVGMDQNDVILLLNDCGLSAEIGISVLVARSLVTVDDKNMLGMHGQLRDMGRGIVCEESPRRPEKRSRLWDQEDVIDVLSRQTGKKGILGLALKLPTTNAKCFSTKAFEKMKRLRFLQLAEVKLDGDFEYVSRDLTWLSWNGLSDIPTNFFRENLVSIELENSNLEVLWKKSMRMEKLKILNLSHSHYLTQSPDFSNTPNLQKLVLKDCPLLSEVSPSIRHLNKILLINLEDCISLCSLPKSIYKLKSLKTLVLSGCLKIDKVEEDFEKMESLTTLLANNTSITTIPLSIRMENLKNLNLSHSHRLTNIPDFSCLPNLEKLVLKDCPMLSEVSPSIGHLNKILLINLEDCIGLGNLPRSIYKLKSLKTLILSGCLKIDKLEEDLEQMESLTTLLANNTSITTVPFSVVRSKSIGYISLCGFEGSSHDVFPSIIRSWMSPRNNIHLLPTSIIPMAFPSDVPHSSSQELSSFSQYLPSLRSLWVQFSSELQLSHEAAIILDALYATNYKELELTAVTSQISNHFLKSLLIQIGMNCEVTDILKEIILQKIDVGGCFLPGDSYPDWLTFNSEGSSVTFEVPQVEERQLTSLMCIVYTSTPDNVTSSGLKNVLVKNYTKATIQIYKSETLVSFEDKEGQRLVSSIEPGNKVEIVFVFENDFTVEKTVVYLVYDAQDFNVIACRGDENECSLKNFSTEDDPADDFNQNRKKKNQVE >RHN51450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17943672:17946056:1 gene:gene35885 transcript:rna35885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MDTHNLIIHFIFLIFIPFSSSSFTPTDNYLLNCGSNNNATIFNRLFIGDDSTNLGSNFLSAEKSISLTNQNPSPNLATLYHTARIFTSKGSYRFTLKQNGTFFVRFHFSCFKAQGFDLKDAKFNVLVGEKLVLSNFKLNLKPSNDTVIKEFILKFESNLLEIVFRPVSDSGFGFINGIEVFSAPEDYVVDYGARFVGPFGVREYKNLSNVLETIHRINVGGEKLTPFNDTLWRTWIPDKDFLVFKDAAKAVVSTHTPDYQKGGAAREIAPDSVYMTAQEMNRDHNSILASQFNITWNFSVDSIGVRHLVRLHFCDIVSPSLNLLYFDVYINGYSAYKDVDLSSLTFHMLASPVYVDFVVDSDDSGVIQISVGPSDLSSSMRMNAILNGAEIMKLLNVTDSHVAPRKKKLLVLVGSIVGGIVVLLLVIAVFLVCCRRRKMKPKIRTVGSIGWTPLRMFGGSSLSRMSEGTAYPSPGSCGYLGLKISFSDIQLATNNFDESLVIGSGGFGKVYKGVLRDNVKVAVKRGMPGSRQGLPEFQREISILSNIRHRHLVSLVGFCEENSEMILVYEYVEKGPLKDHLYGSEGLQPLSWKQRLEICIGAARGLHYLHTGFTRGVIHRDIKSTNILLDEDHVAKVADFGLSRSGPCIDETHVSTNVKGSFGYLDPEYFRMQQLTDKSDVYSFGVVLFEVLCVRPAVDPQLDREQVNLAEWALKWQKKGMLENIIDPYLVGKIKDRSLKKFGETAEKCLAEYGVDRPSMGDVLWNLEYSLQLQESGQEVNAITNAEVNVTSTT >RHN82495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54266602:54274142:1 gene:gene6665 transcript:rna6665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMMECR1 domain-containing protein MVTANKEMVVYCFDTLVAHYNGDQPPTPSFDDGQHPLFVTWKKVVNGGEPRLRGCIGSLEARGLINGFRDYALTSALRDRRFPPIEARELPLLECTVSILTDYETANDYLDWEVGKHGIIIEFSDPDYNTRRSATYLPEVAAHEGWTPLEAIDSLIRKAGCNSRITDSLRKSIKLTRYQSTLFTMHYGEYFSYVKETRGEAPSIVGVKLPG >RHN56972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35358580:35361919:1 gene:gene32444 transcript:rna32444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MKLCFFPYRQPRGFAFVQFVDAYEASEAQYHMDRQIFAGREISVVVAAETRKRPEEMRHRTSRSRGPGGSYGGQRSSYHGRSRSRSISRSRSPPYHSGSRNRYRSRSRSFSPAPRRQGDYSVSPRRHAERPRSPRSPPRSPPVERDADHKRRSYSPLGYGNDVDQNQSNGYAEKSVYKSEADRGPWKSSRSPPGSRSRSRSVDLSPRRGR >RHN74216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30343275:30345179:1 gene:gene10239 transcript:rna10239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase MKFSTVIIVSFLFLADFCAAQSGVLDIAKFGGKPNSDIGMALTAAWKEACASTTAAKIVIPAGTYQLNGIELKGPCKAPIELQVDGTIQAPADPSVIKGTEQWFKFLYMDHLTLSGKGVFDGQGASVYKKAQPAAAWSGKGGNSKNFMNFGFNFVNNSLVHGVTSKDSKNFHVMVFGCNNITFDSFTITAPGDSPNTDGIHMGKSTGVKILNTNIGTGDDCVSIGDGSKQITVEGVKCGPGHGLSIGSLGKFTTEENVEGITIKNCTLTATDNGVRIKTWPDAPGTITVSDIHFEDITMTNVKNPVIIDQEYCPWNACSKKNPSKIKLSKITFKNVKGTSGTAEGVVLICSSAVPCDGVELNNVDLKFNGAPTTAKCTNVKPIVTGTAPVCQAPGAAPAASTTASPAAGKAPAGKSPAK >RHN39079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3967081:3968327:-1 gene:gene45072 transcript:rna45072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase, Xyloglucan-specific endo-beta-1,4-glucanase MSTIQNIGSGFASKLTYGSGLFHMRIKVPGRDSAGVVTAYYLMSQGDSHDELDFEFLGNREGKPYALQTNVWANGEGDREQKIHLWFDPTTDFHDYKILWNPHQIVFYVDNIPIRVYKDNSNIGVGYPSKAMQVQASLWNGENWATDGGKAKINWTNAPFKANFQGFDVSGCQSQTLIDPNCASNNYWWNEQKFWQLDPAGQRQYENVKQNYVTYDYCKDRHRFPTPPLECLY >RHN44953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10235535:10236411:1 gene:gene39140 transcript:rna39140 gene_biotype:protein_coding transcript_biotype:protein_coding MNINGNNKDNHKSKEEKGMNKNGNSTCLEIDKFHHPAFAW >RHN50752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10145986:10151042:-1 gene:gene35077 transcript:rna35077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I, cationic amino acid transporter MGFVDSQEGSGSDYGGQGSSCSWRFLTRRKKVDNSSNSHHGVQLAKELTIPHLMAIGVGATIGAGVYVLVGTVAREHAGPALPFSFMVAGIAAALSALCYAELASRFPSAGSAYHYAYICLGEGVSWLIGWSLILEYGVGGAAVARGITPNLAALIGGVDNLPRFLSYQRIPGIDIDVDPLSAFMVLIVTWILCTGIKESTRIQSIVTSVNICALMFIIVAGGYLGFKTGWIGYKLPTGYFAFGVDGMVAGSATAFFAYIGFDAVASAAEEVKNPQRDLPIGIAASLSLCCGLYMIVSIVVVGLVPYYDINPNTPISSAFAVNGMQWAAYIINAGAITALCSSLLGGMLPQPRILMAMARDGLLPPFFSDINKQSQVPVKSTIVTGLVAASLAFFMDVSQLAGMVSVGTLLAFTIASISVLIVRYIPPSLQEPIDSESMECGWSHLVTNVKDENKKPLLVKEDVSTDYPLLAKHLAIENYVHNGNRRAIVGCVIASICLGVFVLTFAASSTYLPSSVRFTLCGVGGIVLLSGFVFLTCIDQDDERHNFGHSGGFTCPLVPLLPIICIVINSYLLISLGGATWLRVSVWLALGVIVYAFYGRTHSSLKDTIYVKTAQVDDTNYTPTSLLA >RHN79175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25189387:25191142:1 gene:gene2905 transcript:rna2905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative OTU domain-containing protein MGRTTRGGYFGSCFSKQSGNNQVVSSIVSRKRHNKILLSTQIMCLRLMAHKKKMLLKIKSNVGQITSPQDCASDEKNGNDRDKNAKASCGNEVYKEYSVIGIPGDGRCMFRSIAHGARLRSGKPPPSESIQRELADDLRDKVADEYVKRKEQMKQWFIEGDFESNISQIRKPHVWGDEPELFIASHVLQMPIAVYVYDPKAGGLISKSEYGQEYGKENPIRVLYNGFTHYDALEFPISRRKGSKSRLWHFTFQMLKRLKAFI >RHN78416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14921415:14923370:-1 gene:gene1968 transcript:rna1968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MELSDNNFYGHISPNWGKCKKLTSLQISNNNLTGSIPQELGGATQLQELNLSSNHLTGKIPKELGNLSLLIKLSINNNNLLGEVPVQIASLQALTALELEKNNLSGFIPRRLGRLSELIHLNLSQNRFEGNIPIEFGQLEVIEDLDLSGNFLNGTIPSMLGQLNHIQTLNLSHNNLSGTIPLSYGKMLSLTIVDISYNQLEGPIPNIPAFLKAPIEALRNNKGLCGNVSGLEPCSTSGGNFHNFHSHKTNKILDLVLPLTLGTLLLALFVYGFSYLFYHTSRKKEYKPTEEFQTENLFATWSFDGKMVYENIIEATEDFDNKHLIGVGGHGNVYKAELPSGQVVAVKKLHLLEHEEMSNMKAFNNEIHALTEIRHRNIVKLYGFCSHRLHSFLVYEFLEKGSMYNILKDNEQAAEFDWNKRVNIIKDIANALFYLHHDCSPPIVHRDISSKNVILDLEYVAHVSDFGTSKFLNPNSSNMTSFAGTFGYAAPELAYTMEVNEKCDVYSFGILTLEILYGKHPGDVVTSLWQQASQSVMDVTLDPMPLIDKLDQRLPHPTNTIVQEVSSVLRIAVACITKSPCSRPTMEQVCKQLVMS >RHN82389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53376401:53378120:1 gene:gene6552 transcript:rna6552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MALVHALHLLFSHLTVLLLLVLTSKGNGHSDDCPESFDCGNLGLVGYPFTTVEFPNCGALAIQGCDDLNKTATKHVQFTKGGKHFQVTNIKNERPNTISIVDLNFTKLLQKNACEAFSYDITLPPPSPFGTFYMKDNITAFKCSHTQKLASNPPNNFFKNSTCPRYDFYFGDSTISNDEPNHSFTSCFPFHLPVIKLGFALSGNPFRLLADEITFNFKSSYYCRQCYYRDKKSNCRAHINGQIYCAARTKIIPPASLLFRKESSTHQIIEEFIKEHGPLPTARYNYSDVKKITHSFRNILGQGGFGSVYQGKLPDECVIAVKVLSESKGDGEDFINEVASISRTSHINVVRLLGFCLDGSKKALIYEFMSNGYLEKFIYEEKNPLKDDRQLDCKTLYNIAVGRCSWTRVFS >RHN70311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50499641:50502648:1 gene:gene18879 transcript:rna18879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SPRY domain, concanavalin A-like lectin/glucanase domain-containing protein MWVHIAVIASTVGCVSTILLILIYRRWCHRRNRRNSVEPGENSRNRIHNHMPSVHHQLEQGSNKNNNNSLFRSYVSGKRTTNLFSWIENPSMAADAVENGWSRFAFTSYKSYMPSPSKKVTLLGSCAPVGDYRRESSEAEISWEVSRGSDEFMQKVRLNPGLRKSNQVIQNNSMVTSVIRTALPLPGPVLGNYVFPQEAYFEITMLSSSRGDEFESVRKSVEGDKMKLIVKGNSEALVHVTSGNSHKSNSVEEMKVDGRENGGKKTDSVMFSLGLTVGGPVVLKVPGSYPGSIGFNSNGSVYLDGMKLVFESEKAEWIGTDKVIGCGFDPRQKKVFFTLDSELMHVVHCQTEEFSTPLYPTLAANIDIMVLVNFGQNAFKYAPANAQRTPNPCLVSPLVSSPAAIGYDDDSKELFSMGRIDSHWLNRTTTKGSHNNGNNISTMDFDEESEADLFEIVIDGSRKSPSTTS >RHN74418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33014517:33015161:1 gene:gene10480 transcript:rna10480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSETLRFGDGVTRENKKLKRKMDDANIDADSDVLLSLSVGGGGSGRSSFKPLKSHEEQREYPCKFCNKKFPSSQALGGHQNAHRRERVLSRIEKEIQLRTFGLGVHHFFPYSNHHQYPFIVAGSSPLYHGVGWPQFVSPAIYGNSIGMIINSAWPTQTPLNNNVGFENYQHNDHLQVPSFNVALNSHVVMVNNNHYEPNDNQNSSSFPDSSFKL >RHN50276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5751710:5751904:1 gene:gene34548 transcript:rna34548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MNKCVETERNALLKFRDVINLKYRDGISSWKGEECCKWKGISCDNFTHHVTSMELSFGFGGKLD >RHN47838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42934448:42934633:1 gene:gene42484 transcript:rna42484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative iron-chelate-transporting ATPase MVMIWEDVTVTIGEDKKKKLLDGVTGFAEPGRIMAVMGPSGCGKTTLLTSLAGLFLYYISI >RHN71513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:688030:697271:1 gene:gene7122 transcript:rna7122 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSLELLLIQFLMPDNDARRQAEDQIKRLAKDPQVVPALIHHLRTAKTPNVRQLSAVLLRKKITGHWSKLSPQIKQLVKQSLIDSITMEHSPPVRKASANVVSIVAKYAVPSGEWPDLFPFLFQCSQSPQEDHREVALILFSSLTETIGNAFRPHFAALQALLLKCLQDETSNRVRVAALKAVGSFMEFTHDGDEVIKFREFIPSILNVSRQCLASGEEDVAIIAFEIFDELIESPAPLLGDSVKSIVQFSLEVCSTQILEPNTRHQAIQIISWLAKYKSSILKKHKLIIPILHVLCPLLAESTNENEDDDLAPDRAAAEVIDTMALNIPKHVFPPVFEFASVSCQNANPKFREASVTALGVISEGCLEQMKKKLEPILQIVLGALRDPEQMVRGAASFALGQFAEYLQPEIVSHYESVLPCILNALEDASDEVKEKSYYALAAFCENMGEEILPFLDPLMGRLLAALQNSSRILKETCMSAIGSIASAAEQAFIPYAERVLELMKNFMVLTNDEDLRSRARATELVGMVAMSVGKTRMEPILPPYIEAAISGFGLEYSELREYTHGFFSNVAEILGDSFAQYLPHVVPLAFSSCNLDDGSAIDIDECDDDIANGFEGVSSDDEAHDEPRVRNISIRTGVLDEKAAATQALGLFAQHTTISYAPYLEETLRILVKHCGYFHGDVRLQAITALKHALTAAHAIFQSQNDGAAKAKEILDTVMNIFIKTMVDDDDKEVVAQACTNVADIIRDYGYATLEPYLPKLVHATSLLLQEQSACQLQESDSEIDEDDSAHDEVLMDAVSDLLPAFAKSMGAQFAPIFEQLFDHLMKFAKAFRPPEDKTMVVACLAEIAQNMGFPIAVYVDRVMPLVLKELASPEATNRRNAAFCVGEFCKNGGDSALKYYDNILRGLHPLFGESEPDDAVRDNAAGAVARMIMVHPESIPLNQVLPVFMRVLPLKEDHEESMAVYSCVSTLVFSSNPLIHSLIPELVNIFAQVAASPIETSEVKALVGRAFCHLISLYGQQMQPLLSNLSPAHAHALSAFSTMS >RHN72916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11819173:11819598:-1 gene:gene8682 transcript:rna8682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin MLGNSVCKDPKLVEANDFLFSGLHIAGNTTNPVGSRVTPVFAAQLPGLNTLGISMARVDIAPWGVNPPHSHPRATEIFTVLEGTLEVGFITSNPENRHSRKVLQKGDVFVFPIELIHYQRNIGYMTTLLPLLLLVVRIQEL >RHN48563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48490181:48492060:1 gene:gene43294 transcript:rna43294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 7-O-beta-glucosyltransferase MPKCPYLFIPIVPCQHNTHTHTKKTSTMNSTPKCYNDLHFIFIPLMAPGHLLPMVDMAKLLARRKVKVTILTTPLNSIRFQSTIDREIQLGSQIQIVHIKFPSVESGIPEGCESVDTLPSMDLMSNFYIALCKMQNSLENVFEKLRPIPSCVISDKHISCVAEIAMKFKVPRIIFDGTNCFHLLCNHNLRNFNNIPNEGKFIVPGMPDQIELRKCQLPGLFNPGENKKLNGFREEVREIEEKYSYGVVVNSFEELEEKYVEEYKRVTGYKVWCVGPVSLSNNDELDKFERGKKLNSNDESQYDKILKWLDSWPSNSVIYVCLGSLNRATPQQLKEVGLGLEATKRPFIWVLRGAYGREEMEKWLYEEGFEGRVKNRGFLIKGWAPQVLILSHKAIGIFLTHCGWNSTLEGISCGVPLVTFPMFAEQFYNEKVVVQVVKNGVSVGAQSAVHLGEEEKCCVVVKRENVRDAIENVMGEGEEKEKIRGRARKYADMAREAIEEGGSSYRNMTLLIEDIMSSDRCTTIGGTTPYTTLMWHHK >RHN39295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5646824:5653779:-1 gene:gene45305 transcript:rna45305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amyrin synthase MLAMLHFHLKNEDGGWGLHVEGHSIMFCTVLNYICMRILGEGPNGGKENACAKARKWIHDHGGVTYVPSWGKFWLSILGIFDWRASNPMPPEFWMLPSFLLKHPARMLCYCRLVYMPMSYLYGKRFVGPITPLTLKLREELLTEPYEKVNWQKVRHLCAKEDLYYPHPLIQDLIWDSLYIFMEPLLTHWPLNKLIREKALQVAMKHIHYEDENSRYITIGCVEKVLCMLSCWVEDPNGDAFKKHLARLPDYLWVAEDGMTLHSFGSQTWDASLIIQALLATNLIEDIGPTLAKGHKFIKNSQVRDNPSGDFESMYRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLLLSMLSPEIVGEKMEPERLYDSGKKGGLPAWEPSKALEWLELLNPIEFLEEIVVEREYVECTSSSIQAMVLFKKLYPEHRKEEVENFIAKAVKFLEDKQTSDGSWYGNWGICFTYGSWFALGGLTAAGKTYENCAAIRKAVKFLLTIQREDGGWGESHLSCSKKIYVPLEGSQSNIVQTAWALMALIHAGQAERDPTPLHRAVKLIINLQQEEGDWPQQELTAVFMKNCMLHYAMFRDIFPMWALAEYRKGIMLPSIAV >RHN69723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45806795:45807539:-1 gene:gene18234 transcript:rna18234 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKVKKLYFSLNTIMLLLGGPNSMNVKLMGRFTLLLRYKLVLLFPLSDFPNIIPSEHKLINFPHWTTRVYHSNLTFRETLEIFLTVFTFYTSIFYIHRCIDAGGGGSIGSGGGDNCGRRDENFRVCLFPGTFL >RHN60073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:18404543:18404845:-1 gene:gene22277 transcript:rna22277 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLPIPHPSFPLLYCNHNTNVMSHILLCYADALVDVAVAANQPTLYAAAVAKTTKEENNLIDAANEARTRYEYRIRHTSDTAIRHSLENIGYNTFEIRI >RHN50988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12706514:12707680:-1 gene:gene35348 transcript:rna35348 gene_biotype:protein_coding transcript_biotype:protein_coding MNKCNVVEEGNRLEEEGKSKHNVVEVVRKQVEEGTYTCILVEVVSRQVGEETYTCILVEVVSRQVGEVTYTCILVEVVSRQVGEVTYICKLVVVVSRQVEEETYTCKQVEVVSRQVGEVTYICKLVVVVSRQVGEVTYICKLVEVVSRQVEGVIYTCRLVEVVSRQVEEVTYTCKLVVVSRQVEEEIYTCKLGVVEMGTCMVSLEVEGEMSTCKEEEVTYTCRLVVVVMSTCTEEVVEMNTCMVEVVSKLVEEEIYTCKLVEVVSKQVEEETYTCKLVVVVMNTCMVSWVVGVEICTCKLGEEVTCTCKLVVGEIDTYIPVEEVICTCILVVEEKGICIPSLVAVVVNCNGKEEVGSALVVVESGSSKALVVVEMNRCNCLLKFEMGS >RHN42478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38660299:38665660:1 gene:gene48925 transcript:rna48925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MYEKVILTFIFVFLLIISYSIFIGTLDIRSYFYQSPTLQPAPCKPDPPLRVYMYDLPPRFNVGMITRRNTSESPVTVRDFPRWPGNWGLKKQHSVEYWMMGSLLHDGDGGEAVRVSDPELADAFFVPFFSSLSFNTHGHTMTDPATEIDRKLQVDLMEFLKKSKYWQRSKGRDHVFPMTHPNAFRFLRNQLNDTIQVVVDFGRYPKGMSNLNKDVVSPYVHVVDSYTDDEPQDPYEIRSTLLFFRGRTFRKDEGIVRAKLMGILSGYSDVHYERSVATGANIKASSKGMRSSKFCLHPAGDTPSSCRLFDAIASHCVPVIVSDQIELPFEDEIDYSQFSLFFSFKEALQPGYMVDQLRKVPKQKWTEMWRQVKNISHHYEFQYPPKREDAVNMLWRQVKHKLPGVRLSIHRTRRLKISDWWRKR >RHN38861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2488834:2489985:-1 gene:gene44831 transcript:rna44831 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNTFLIWVALILCVFSLRAIKLDGASELQPRWYEMTKSRRLLGQEQTGPTKGGGSSLIGKPKNKPYDDPCCHNYYHP >RHN50828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10896544:10900318:1 gene:gene35164 transcript:rna35164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MNYSLRMCLILLSSFVYASFAANPRTPIDVPFGRNYVPTWAYDHIKYLNGGSEILLNLDKSTGTGFQSKGSYLFGHFSMFIKMVPGDSAGTVTAFYLSSQTAEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTQAYHRYSVLWNMFQIVFFVDDIPIRVFKNTNQLGGKYPFNQPMKIYNSLWNADDWATRGGLEKTDWSKAPFIASYKGFHIDGCEASVNAKFCDTQGKRWWDQPEFHDLDVAQWQRLRWVRQKYTIYNYCNDRKRYPQVPLECTRDRDV >RHN70484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51741873:51742895:-1 gene:gene19065 transcript:rna19065 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIAKKNMTDKNPISPNSPDSDFTNQWSLELSEYLKFDDDIWPDDDTEPFVSEHVPNRDIQQANEFVGDFGGSGSQIDGSSSSKPSVPK >RHN58599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3118411:3120151:-1 gene:gene20523 transcript:rna20523 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLKKCGVGGDCAGIDLGGFFTPEVPPPPPPSPPPPNSGTGSGEMLNCSKKLYMLAIMILAIISQNWI >RHN76917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2353292:2353603:1 gene:gene309 transcript:rna309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M48 MLSHYIYAYACSQPTLFTICMFEFEADYIGLQLMAAAGYDPRVAPKVYEELGKLSRHNNDFMFTGFLSTHPSGRQRAKALAQPKIMEEALILYNDARARSEVN >RHN61966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39648986:39649300:-1 gene:gene24483 transcript:rna24483 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFEPAFHDKKGVRITKEEYLSKKPKEEIGMEWGKELARKRKVEAWLEAEKEMTFAITGDYAEDAELEKDLKEMIRWGDLQIIICGSAVERPDKRARFHLNEA >RHN77440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6882990:6883492:1 gene:gene892 transcript:rna892 gene_biotype:protein_coding transcript_biotype:protein_coding MLVELPEIPTVVGLQGPSRRRKTFRWLKGLRCILVDYSKSQG >RHN49021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51839987:51842290:-1 gene:gene43802 transcript:rna43802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MPSKSRSMSRKSKSKKFKYSSKSCGLPSKWKRRRNTDEKNKLEKHYTPCECEGACGKQCPCRLNGFCCEKYCGCSKLCKNRFGGCQCTKSQCRSRHCPCFAASRDCDPDVCRNCWVSCGDGGDGDLGESSYRGEDQCENMMILLRKQQKILWARSDVAGWGAFLKAPANKNDFLGEYTGEVISHIEADKRGKFYDRVDFSYLFNLNDTYCLDAFRKGNKLKFANHASKANCYGKIVFVNGDHHVAIFAKERIEAGEELFFDYGYDEDSRPPWLHRLLDDGSKEDDDATFSQAKAKKHCSR >RHN47969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43910455:43912948:-1 gene:gene42630 transcript:rna42630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Actin family MAESEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYTFTTSAEREIVRDMKEKLAYIALDYEQELETAKTSSAVEKTYELPDGQVITIGAERFRCPEVLFQPSMIGMESPGIHETTFNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >RHN68688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37830693:37833267:-1 gene:gene17072 transcript:rna17072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-XI-2 family MAKNNNIHNHLLLLSFLSLLFSIHAKLTLHPSDTKALSTLQNNLGLNLDTTTNNLCNKEGVFCERRLTNNESYALRVTKLVFKSRKLSGILSPTIGKLTELKEISLSDNKLVDQIPTSIVDCRKLEFLNLANNLFSGEVPSEFSSLIRLRFLDISGNKLSGNLNFLRYFPNLETLSVADNHFTGRVPVSVRSFRNLRHFNFSGNRFLEGVPLNQKLLGYEDTDNTAPKRYILAETNNSSQTRPHRSHSPGAAPAPAPAAPLHKHKKSRRKLAGWILGFVAGAFAGILSGFVFSLLFKLALILIKGKGKGSGPAIYSSLIKKAEDLAFLEKEDGLASLEKIGQGGCGEVYKAELPGSNGKMIAIKKIIQPPKDAAELAEEDSKLLHKKMRQIKSEIDTVGQIRHRNLLPLLAHISRPDCHYLVYEFMKNGSLQDMLHKVERGEAELDWLARHKIALGIAAGLEYLHTSHSPRIIHRDLKPANVLLDDEMEARIADFGLAKAMPDAQTHITTSNVAGTVGYIAPEYHQILKFNDKCDIYSFGVMLGVLVIGKLPSDDFFTNTDEMSLVKWMRNVMTSENPKEAIDARLLGNGFEEQMLLVLKIASFCTMDNPKERPDAKNVRIMLYQIKH >RHN55841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24663474:24667235:-1 gene:gene31111 transcript:rna31111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane transport protein MSSSLYDMNLRSGGADLATAIVPLLKLLCLTVIGLLLANPTMQFIPKATFKLLSKLVFALFLPCLIFTELGESITLENFVDWWFIPVNVLVSTALGCLLGFVVVVICRPPPQLTRFTIIMTGFGNTGNLPLAVVGSVCHTKDNPFGKHCNTRGVAYVSFAQWVAVILVYTLVYHMMEPPMEYYEIVEEGAVTEIEEQRRALNDISRPLLVEAEWPGMEDKETEHSKTPFIARVFKSISGISSSAIPDLEIMAERDDGNNSPRSIRCLAEPKVVRRIRIVAEQTPLQHILQPPTIASLLAIIIGTVPQLKALFFGYDAPFSFITDSLEILGGAMVPSVMLILGGMLAEGPNESRLGLRTTIGIVVARLLVLPVLGIGIVALSNKLNFLVENDAMFRFVLLLQYTSPSAILLGAIASLRGYAVSEASALLFWQHVFALFSLSFYIVIYFRIIEYI >RHN79391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28334180:28334979:-1 gene:gene3177 transcript:rna3177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MPVSDLWRDLKKLYKNHLFSNKTLDASNELRCKKLQELLSDIDRSSLTCKAVDVGRATFKTSLNFLSNTFFSMDFVNSTGETDEYKDIVENLVRAIGTPNVVDFFPVLKKFDPQGIKAISATYVEKLFQIIDSFITKRLKLREAENYVTNDDMLDTLLNISQENAQKMDNTKIKHLFLDLFVAGTDTTSYTIERAMAELIHNPHAMSKAKEELEQIIGIGNPIEESDITRLPYL >RHN63262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49781170:49782655:-1 gene:gene25942 transcript:rna25942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MASKTCSSLAIFLTINLLFFSLVSACGSYSCNPTPNPTPKPKPRPNPNPNPNPTPSSGTCPRDALKLGVCANVLSGLLNLTLGKPPVTPCCSLLNGLVDLEAAACLCTALKANILGINLNLPISLSLLLNVCSRKVPHDFQCA >RHN73966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22285446:22285964:1 gene:gene9870 transcript:rna9870 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYLSVLVLFLAVITIIFNIAGSPKHQIHAQPDGPIIYQPPTIHQETVGGLNYGPPFDIIPKHRKLAKLPPPPLLVPTIIIPGTPNLPPGEPARPP >RHN40369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15673273:15675463:-1 gene:gene46516 transcript:rna46516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MNPGLLTQLILHMCHHNAPLSLLLSFVFIYNIVICEINASCNQKDKQILLSFTHGLIDPLGMLRTWSNKKDCCKWRGVHCNMNGRVTNISLPCFTDDDIIIGNKKNKTHCLAGKLHLSIFELEFLNYLNLSNNDFNYLVNTSYGSGNFSNVVHLDLSQNENLVINDLRWLLRLSSSLQFLNLDYVDLHKETLWLQILNMLPSLSELHLSSCLLESVHPSLSYVNFTSLEYLDLSYNNFFSELPLWLFNLSGLSYLNLRENQFHGQIPDLFLNLPNLHSLILRGNKMSGIIPDWIGQFANLQNLNLYRNLLIGSIPITLGNLSSLTAFDVASNNLTGNLPQSLGNLSNLKVLGVGENSLSGVFDPSWTPPFELLTLILEYADLKLIPWLYTQTMLIGLTIENSMFKDVSQDKFWSLASHCWFLSLYHNNMPWNMSNVLLNSEVAWLVDNGLSGGLPQLTSNVSVFKIISNNLTGPLSHLLCHNMKENTNLMYLDVSDNNLSGGLTECWGNCKSLIPISLGRNNLTGMIAHSMGSLSNLMSLDIYDTKLHGEIPMSLKNCQKLVIVNLGKNKFSGIIPNWIGKDMKVLQLRSNEFSGDIPLQICQLSSLFVLDLSNNRLTGKIPQCLPNITSMTFNNVTLNEFDISYNVFGVTFITPITLLSKGNDLDYYKYMHVIDLSNNHFSGRIPSEVFRLTALKSLNLYKIN >RHN63172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49047541:49050815:1 gene:gene25845 transcript:rna25845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-OST1L family MEERYEPLEELYYFLNQQVKESGIARLAKDKNTGELVALKYIERGKKIDESVQRDIINHRSLRHPNIIRFKEVLLTPSHLVIVLEYAAGGELLKRIRTTGRFSEDEARCLFQQLISGVSYCHSMEICHTGLKLENTLLDGNPSPQLKIFNFDYSKSTILHSQPKAAVGSPSYITPEVLLQKEYDRKIADMWSCGVTLYVMLVGAYPFEEPEDHRNFRKTIGRIIGVQYSIPDDVHISVECRNLLSRIFVADPAKRITIPEIKQDPWFLKNLPEEIIEAEKKGYVETKMDQPSQNVEEIMRIIQEARVQGSKAGDGGEAGTGSMNVRR >RHN68028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32236272:32237078:1 gene:gene16312 transcript:rna16312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MHFDKNMAEIGKYIYVIIIFISLFFITTSVEGWRCKTKYDCIKIRFCKFPTIARCTKPDFLFLEYDRGFCTCDD >RHN65545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3117420:3122848:1 gene:gene13392 transcript:rna13392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MIRNCNCSSRRMLHLWLFTIKLIYLFYIVVGQNNSNSQELLEYRYACLDQSSTPPSTTYQTNLNNLISSLSSDSASSNGFGNSTSGDNQNNMVYGQYLCRGDVNTSLCHSCVQNSSRLLKQHCPNNASAILWYPFCLLRYSNKNFFGNLTIRPRIPMFDVAQNLTSAGEFDSDARVLMNGLIQMGSQTPLMFGTHMFNINGTQRRYGWVQCSRDITSEECRTCLSNMLEDVENCCMEKKVWRIFSPSCIVMYETQPFFVNGTVSEAPVPQQANEKDGTRSWIIIIIVVIGAVVAALVVFSTYYFWCLKHRKKRNFMQGHSRIFSQDQTDIEKTGNTDLPMMPLSTILKSTNNFSDEYKLGKGGFGTVYKGVLADEKEIAVKRLSKTSSQGVEELKNEIILIAKLQHRNLVRLLACCIEQNEKLLIYEYLPNSSLDFHLFDMVKGAQLAWRQRLNIINGIAKGLLYLHEDSRLRVIHRDLKASNILLDQEMNPKISDFGLARTFGGDQDEANTIRVVGTYGYMAPEYAMEGLFSVKSDVFSFGVLLLEIISGRKNSKFYLSEHGQSLPIFAWNLWCKRKGFELMDPSIEKSCVPSEYLKFLHIGLLCVQEDAADRPTMSSVVHMLAGDTVTLPSPTRPAFSVGRARAIVDRESSSNTSISANEVTLSELMPR >RHN74286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31492222:31492617:1 gene:gene10324 transcript:rna10324 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRQRIFQTTEQAPTEPEAIDSVGEKEVTAEEEIPPESERVDIVLLHEASQLLDESLKNREPAITT >RHN53591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2741860:2748281:1 gene:gene28515 transcript:rna28515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MTFTEVNCQLEEAIGKHRSWQEQVRQFFNLSSRDRTWSSLLQLKERGDTIAFSCSELELILSEVEKVENWMKKCMDNIGALFQKENSLLHALQKVKQNLDRSLYIYGKLQNQKEPNLCNCCFVDSDDQKFLTCSTCMDCYHLRCIGLTSKDAGLRNYKCSYCEILKAKSQYSNGSSLLRFEKHIELNILVKLLSDAEHFCLWIDEKYLLNQLIEKAFACKSGLREIVNLSSAYVNEDITIISEKLTIAIKASKVAGVYDQGDKCDLELALAKYLWKIQVNILLSGVQKPSIEQIQKHLKEGMSMEISPKDHYMLKLTNMNCLVMHWVEIAKKASNDSGAHSLDKVYELLAEGENLPVDVNEELRMLRARCMLYCICRTPFDPGRMIACYQCSEWYHFDCMKLSCTQDMYICPACIPCTTLPTNHDRLTSGKLEEPKTPSPRHTNPRKKQKRDVPSHTCIMFASRNEDGSNFRYPNGIECLRWRNRKPFRRATRRRVELQSLSPFLYA >RHN59591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12317784:12320568:1 gene:gene21641 transcript:rna21641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MAAACWYRLSLPYSDAAEGLAILLGVEFAKDSSFRSVEANSDSTNVIEALNNRHTQYTYLGAIAMECTQLCSSFNNINFSHVGGV >RHN44459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4949954:4950658:-1 gene:gene38580 transcript:rna38580 gene_biotype:protein_coding transcript_biotype:protein_coding MKISIISSVVLTTLLVASLFQPHQHISAAPQNITDQGANGCIGLHCLIAVDDEADFLMDQRATRPSRMLANAFNYNIAKTNDANKQSPDCGVMGLNGQTTCLGSKQLVNKQGRPCDPTNRVYPYCTA >RHN59785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13084442:13085603:1 gene:gene21933 transcript:rna21933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-hydroxybenzoate 6-monooxygenase MKRSEENEIVIVGGGICGLATALALHRKRIKSLVLERSEELRATGAAIIVQANGWHALDQLGVGSILRETAIQIQGIYKEFRCLKRTDLIKAMANCLPMETIRTGCQVVSIELDPITQYRQLVLSNGSILQAKVCLVENKTVTFSPFNLLVCSIQIIKSEKMECYRSFSQVFYVFGLYNSKFLARARNQ >RHN61446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35535904:35536415:-1 gene:gene23915 transcript:rna23915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPGIRRSSSKTVDGIPKGYLAVYVGDKMKRFLIPISLLNQSSFQ >RHN80945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42096689:42099497:-1 gene:gene4930 transcript:rna4930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyridoxal phosphatase MDTQRIAGVKYECLLFDMDDTLYPLSLGINLACRKNIQEYMLEHLHIEESKVPKMCLDLYLEHGTTMAGMKALGYEFDNDDFHAYVHGRLPYEKLKPDFVLRNLLLSMPQRKIIFTNADHTHAIEVLSRLGLEDCFEGIICFETLNPINSYQRILCKPSVEAFEAAIRIVNVDPKKTIFFDDSVRNVASGKVAGLHTVIVGRSDLVPGADHALNSIHNIREALPEIWEVEECNQQQMIRSLAVEATVHA >RHN56606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32190049:32191221:1 gene:gene32036 transcript:rna32036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MHCYRHNLREIVSKSILVQQDIVRPNRIPADLVVNCVITTIVVHLDQDPNKFIYHISSSLRNPFKISDLINIAYDYFVKNPWIDANGKPIVTSKRLWLTSLDAFNNYMMFRYVMPLKVSNFVNKIFFRLFQNNTYDNNCKKIRMLKGLAKLYTPYACFKGVFDDTNTENLRRVAKGYMGNGELDFDPTNIDWTNYMMNTHIPSLVKYATK >RHN65731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4825328:4826727:-1 gene:gene13609 transcript:rna13609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MVRNNNNNQDQSLDPYFVHPSENSSTVSVTPQLNGDNYHSWSMKMRRALAMKNKFKFVDGSIEVPDEHDLNRAAWERCNNLVHTWIINSISPSIAQSVVFIENAMDMWNDLKDRFMRGDRIRVAQLQQEISNLKQGSKKVTEYFTELRGLWEELDQYRPMPHCTCPIPCSCLAMRNAKGFRLEDRIIQFLIGLNEEYQSVTSQVLLMDPLPQINRVFSMIMQQERKAQYGIIVAPASVVEDTSARLVNAVDAQRQFGRGRGNAGFQGRGRGNGRVCNFCGRSNHTMETCYKKHGFPPNWGRGGGNSYGSSSASANMVESEKYDAKGTSNVAKNDDGGMMLTRDQYQNLMALLEKNAIDAKANMMKASSSVANIGGNFYEHSKNYDDTSWIVDTGATHNTCYDINWFITYKEIDPISVKLPNNNIVQAFCKGKVQLNCYRDFRVSSH >RHN39468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7314296:7315688:1 gene:gene45492 transcript:rna45492 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SRP MDSNYASSNHGSSQRSLAMVLALVSAVVLSPLYVNSKSDRRYYESKWTSSGFVLPMILFGLIIAIKRTSSSSSSCVSSSSTKGSLLPSHDPSLVLRIGSSSWGLAAVLVMLMLVLHWQGSVQELLWK >RHN58281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:532787:533271:1 gene:gene20178 transcript:rna20178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH-plastoquinone oxidoreductase, subunit I MFLMVTGFMNYGQQTVRAARYIDLPVVDWKLETDIRKKRLLNYSIDFGICIFCGNCIEYCPTNCLSMTEEYELSTYDRHELNYNQIALGRLPMSVIDDYTIRTIQIK >RHN72573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8778848:8787040:-1 gene:gene8300 transcript:rna8300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative malonyl-CoA decarboxylase MNKKALSILLRARMNKPNLSLSPLPLTNAASKMHQQNSQKSPEEGNSASNNHSGNSESEFKRVHASMQSAISLNKTEVLDDVLNNFSEGYFTLSHENRRKLLLVLARDYDLNRSQVRELIKQYLGLELPDDKAQVSGSKEEGLFSSFYRIEWNLRHAIQPVYEVLFDRLNTHPGGLRFLSILRANILDILAEENIASLRALDSYLKEKLSTWLSPAALELHQITWDDPASLLEKIVAYEAVHPISNLLDLKRRLGIGRRCFGYLHPAIPGEPLIFIEVALMKNVAHTIQEVLWDSPPIPESEATSALFYSISSTQPGLAGINLGKFLIKRVVKLVKREMPHISTFATLSPIPGFMTWLLSKLASQTVLAEGDMSQPVAEGSGSTFYENILKPDEEEALMSLPKDIATGKNGMEVMLNLLTSTTYKWIHSPEISSALKSPLMRLCARYLLKEKKRGKALDSVANFHLQNGAMVERINWMADLSEKGLSQSGGIMVNYVYSLDNIEEYAHSYFSNGVIQASSDLHHHVEPHSDK >RHN54768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12046934:12047269:1 gene:gene29851 transcript:rna29851 gene_biotype:protein_coding transcript_biotype:protein_coding MRDANSDLLSSMDSCNRGIFSYAIMYRKQNVFQLMLGLEGQKETFRRYGMDKFGNNLLHLAAYLGPSFNPKTRYGAALQMQREIQWFKVSHLISTYIYSKISHSNNYNILN >RHN76338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49479252:49482112:-1 gene:gene12647 transcript:rna12647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSEMEMTKPYVWLQTSDGSTQQVEQDIAMFCPFICEELQKGMGSSKNCAVCLPQQVSSPMWSLILNYCRFHQAPGRSNKERKSYDDSFVKIDTNMLCELACAAHSLQFRPLIDLTSRALARIIEKRSPEEIRSIFRVPDDLTEEEKLEPLLNITDDPSIRLLNRLYAKKRRELLKERESIKKNVDVEEDERSVDDLLSFINGDPKEIKTTSKNKKKRKKGQHKKNVKVNGHDDIGNQSAETDKPFETSGLHGDFMVEFDDDNSDDIDDEIDPALQARIDREVEEFARRLNCSLQERIQDFSSSAQERILA >RHN49730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:716432:716806:-1 gene:gene33937 transcript:rna33937 gene_biotype:protein_coding transcript_biotype:protein_coding MISLTMCRHYEIRVGLFDGGGRRRERISDGVKEKKRSSVAWILVVKKKNVAVRQKKRPPRHREFQILTDLKGERRWWRMEGAVGRTVVGGEDMEDGRRVREKTQRREEEGRGKTVVFLQNCPYF >RHN63585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52441225:52452871:1 gene:gene26303 transcript:rna26303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MGGGDPSLSDMEEEEEENDVMVNDSVDILSRFPIFDAPILLFVCFHQALRSELDQLRPFAETASSLEHDPNRCREIVFKLQHRFQFLKLAFKYHCAAEDEIIFHALDIHVKNVVCTYSLEHNSTNGLFDSILHFLDELMGSSENISKLFRELVYCIDILQTSVYQHMLKEEEQVFPLLIQKLSTKEQASLVWQFICSVPIMLLEEVLPWMVSFLSADKQAEVTRCFNEIAPMETTLQEVLVSWLGSNKQTFTGTYFQSEELQGSHGFLHIEKPFGPSSFNRNYSKEISSQRKVNDKETEDGVNQIKVLHLWHNAIKKDLKEILQELYLIRNSGSGCSQNLDSILIQLKFLADVLIIYSNALKKFFHPVLKKHAHKRLSKSTEHFLGESHIEDLQQLLFYNSESEMPLTKFVEKLCGKLELFVSTVNKQFSFQEIEVFPIFRKNCRNGMQVRLLSLSMLMMPLGLLKCVITWFSVHLSEKESRTILYCIKEGNNSVSKAFAPLLHEWFRIGYSGKTSIEKFRQDLQHMFKRRHSFSSEKMKEACGFSFLNSDKQPHKSCGKNCLSYSSSSGSKNVSKYETPYSTGINLHIFFPDTAMKLNQHPRLHAANSSSVSFLNDPKPIDLIFFFHKAIKKDLDYLVHGSAQLEGHDDLVTDFQKRFNLIYFLHQIHSDAEEEIVFPALEAIGQLKNISHAYTFDHKHEVEHFGKMSRILDKISELHLLVSTTDSKIRDKRVLRRHHLIRKLQERCKSMHKLLSDHINREEIEIWPIIREFFSNREQGKIIGCILGRISAEILQDMIPWLMASLTQEEQHVLMFLWSMATKNTMFDEWLGEWWNGYSVAKAADGSNDAPLQNVEPLEIISKYLSEEVLNALQEESSANESITFLQKDLIGNNFELSNNNVDDNVKDYNAAQSYSQCSECTNHFHDIKKNGCNEVKPVGTMTSQSVQHFDFDKSGHYDRLLKLSQDDLEKVIRRVSRDSCLDPQEKSYIIQSLLTSRWIISQKISSMEANIKSDGQEFPGKHPSYKDPHEQIYGCKHYKRNCKLLAPCCNQLHACIHCHDEASDHLIDRKSITKMMCMKCLMIQPINSTCSSVSCRNLSMAKYYCRICKIFEDEREIYHCPYCNLCRVGKGLGVDYFHCMNCNACMSRSLMIHTCREKSLEENCPICHEYIFTSCSPVKALPCGHAMHSTCFKEYTCFSYTCPICSKSLGDMQVLFRMLDALLAEQKMSDEFSGQTQVILCNDCEKKGAAPFHWLYHKCSCCGSYNTRVI >RHN54587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10618993:10620233:1 gene:gene29652 transcript:rna29652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKPYNTFLLPMSWYVYLHLFILTLMCFGPNRAVTVTLGNQTDHLALLQFNQLVSSDPYGILDSWNSSTHFCNWHGIICNPKHQRVTKLRYLNIGNNSYNGNIPQELGRLSKLGYLLLLNNSLVGEFPINLTKCYELKTIDLEGNNLIGKLPSQIGSLQKLQNFFIERNNLSRKIPPSIGNLSSLAVLSISYNNLVGNIPQEMCFLKHLWAIAVDVNKLSVAANNFSGSLPPNMFYTLPNLQYFTVGSNKFSGPIPTSISNASSLTLFEIGDNHFVGQVPSLGKLKDLYLLNLEMNILGDSSTMDLQFLKSLTNCSKLQSLSLTYNNFGGSLQNSIGNLSTTLDELKIGVNQIYGQIPIDLGNLISLRLLNMGHNH >RHN45427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20666516:20667621:1 gene:gene39765 transcript:rna39765 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLTFISSEEINNNEFSKVPCILHMNSMKGTHTDLEKHIER >RHN74961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38191355:38192917:-1 gene:gene11102 transcript:rna11102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MFDTKPLVSAMASIVLMRTITNELIPHELLHIFQAGLHHLFRQSSAQFTIIIEEFQGMARNQVFEAAQAYLGTKATVAAERVKVGKSEDHKEIAFNIDRNEEVSDVFGGVSVKWKLICIQVDSSRIRSYDNDSAESELRSYELSFHNKHKNKIIDSYFPYVMEIAKQIKQGNTAIKIHSIEYDDYDGTIRWNQEPVKFNHPMSFNTLAIDEDLQREIMNDLDKFVRAGEFSRRTGKAWKRGYLLFGPPCTGKSSLIAAMANYLKYDIYDLDLTDVQDNKRLKQLILDIPKRSILVIEDIDCTINLQNREEDKDVVDNGYNKVTLSGLLNAVDGLWSCCGEEHIIVFTTNHKDRLDPALLRPGRMDKQIHLSYCNFSAFKQLVVNYLCVTQHELFDKIEVLLGEVQVTPAEIAEELTKDCDATECLQDLIIFLQAKKMIKEEVKNEENIKEEGELGRENI >RHN79804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32342256:32346160:1 gene:gene3645 transcript:rna3645 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHKAETNKEEEKHRIFNAKGNKENVERRDIKEEKQRKRNKYPSYS >RHN56283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29492507:29493062:1 gene:gene31655 transcript:rna31655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MIMKYLSLVYTHLKWVLDFLIYYPFYNKLHRSHFPIIGEMYNTCINYKHKSCSDEDVECVVCLSKIEEGDEIRVLRCDHMYHKNCLDKWVGFKNHTCPLCRESLRPKRAITELGAEVLEFNFFAIRKDRDHDDWWLR >RHN79248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26613904:26632495:1 gene:gene3008 transcript:rna3008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MASKGPRSKIDPEYKVKRHKAVEASREPRRPKTHWDHVLEEMVWLSKDFESERKWKLAQAKKVALKASKEGMLDPAARGEKKMKEEEQRLRKVALNISKDVKKFWTKIEKLVLYKHQMELDEKKKKALDKQLEFLLGQTERYSTMLAENLVDANAPAEKNSAEHQMSFQCKDIGGDIINEPKEANVEYKSDAPDHDEEYDVQSDDVSDDDEQTLEEDEALITKEERQEELAALHSEMDLPIEELLKRYAGDKGELARQESSPESSEDGGQIVRTGDPDGQEELASENGDHISISEICTNDSSTVLGRRCDDSNGDVATPTNNLSQNEVHQSENLKEVPSEAANENVPFDFSDEEEDGDFLFGTEDKDDETTLSEEEKLDRVDAIDPKDEIALLQKESDMPVEELLARYKKDLSDDWDQEDESDCASASSEDQRNSPVHDDAKQTDPDISVDEDMNSSEKLATVQTQAEEQGEAPCENSEERESEDIIADAAAAARSVQPTGNTFSTTKVRTKFPFLLKYSLREYQHIGLDWLVTMYEKKLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKHKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGEEKVNKEVVDRLHNVLRPFLLRRLKRDVEKQLPMKHEHVIYCRLSKRQRNLYEDFIASSETQATLANANFFGMISIIMQLRKVCNHPDLFEGRPIVSSFDMCGIHTQLSSSICSMLLPSSFSTVDLEGLGLLFTHLDYSMTSWESDEVQAIETPATLIMERTDRADLEVIKPGLKCQKKQLGTNIFEEIQKAIWEERIREAKERAAAIAWWNSLRCKKRPIYSTTLRDLVTIRHPVYDIHQKKANPVSYLFPSKLADIVLSPVERFQRMIDVVESFMFAIPAARASPPVCWCSKSETTVFLHPSYKQQCSDILSPLLSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRKLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKAKQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHRTLSIKDTPKEKNQNSGEVSVTNADVDAALKHVEDEADYMALKTRELEEAVDNQEFTEEASGRLEEDEYVNEDDEPQELGESVSNLNKENELMLNGGDPNEDKLPAVVAKDDDVDMLADVKQMAEAAAAAGQALSAFENELRPIDRYAIRFLELWDPIIDKAALESEARIEDTEWELDRIERYKEEMEAEIDEDEEPLVYESWDADFATTAYRQQVEALAQHQLMEELEYEAKLKEEAEEEKKTQAPSESKPKPKKKPKKTKFKSLKKGSLTSGVRTVKDELRAVPMVIDDDDVVTSPDFVSPSSSMHKKRKKSKLTADGEEEKRSKKTKKSKRDLLDIYDSDLESNSLDMQDEHAESDPYKSLVVSEQKTVGRSRMGGKITITPMPVKRVFIIKSEKLKKGNIWYKDCIPSADFWLPQEDAILCAVVHEYGPNWSFVSEILYSMTAGGAYRGRFRHPVHCCERFRELFQKYILFSMDNANHEKINSTTSGKALKVTEDNIRILLDVASEQANRELLLQKHFFALLSSVWKVGSRVDRRQNPPATCNGLYFDQSFFTSIGQHSQNPPNKPYDRMTFANSAQSKKLIAAALDDMRSRPENDKIFLSNQGEDMPVSADQVDITLEFPKEESDSLSSFPSVIKLSIKGDEAPPSLKHTRDDHLKMCYSAAENRFRDIAKACEEDSSGWASPTNDARSRPGSKIQSSGKQRSSISDITKLSRSKTKRASVDSNEMHRHQAELLPPVPSLHELTLDLPSSTMDEFGFNMDSNFTFDLNEESSLERENFGVVPHDYVAELIPGLDDCIAFSEYTDIR >RHN61549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36316124:36318863:-1 gene:gene24023 transcript:rna24023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MVTDKLTRSIQGPILQSLSIPTISELLSKQHWSELKPHLRVTKPATFLDQLLNAGVDSELVLRFFKWSQKEYRLSYGLEPTSKVLHFLANSKRYSKVRSFLDSFVKNEKHTVSSVFHSLLLDGGRPGATALIIDMLVLAYVKNLELHCAYEAFTRAKDYGFKLSPTSCNPLLSALVKENKIGDVEYVYKEMIKRRIHPNLNTFNIFINGLCRAGKLNKAEDAIEDMKAWGISPNVVTYNTLVDGYCKRGSAGKMYKAEAFMKEMLANKICPNEVTFNTLIDGFCKDENVAAAKKAFEEMQKQGLKPNIVTYNSLINGLCNNGKLEEAIDLWDKMVGLGLKPNIVTYNALINGFCKKKMMKEATKVFDDVSKQELVPNVITFNTMIDAYCKEGMMEEGFSLCSSMLDEGILPNVSTYNCLIAGLCRKQDLQAAKELLNEMENKGLKGDVVTYNILIDGLCKNDKSRNAESLLNEMFNLGLKPNHVTYNTLMDGYCMEGKLKAALNVRMRMEKERKQPNVVTYNVLIKGYCKINKLEAANGLLNEMLEKGLNPNRTTYDIVRLEMLEKGFSPDIEGHLYNISSMS >RHN82500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54289070:54292941:-1 gene:gene6670 transcript:rna6670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative coatomer delta subunit, Mu domain-containing protein MESHEEKLYKLVQQSKVNETKDLMKRKASEIDKSKIEKNRGDKGCFGPLTSMGSGRIENSFSDMSISSTGTGFGLTTTDVDSFSTKPKGRPTASATAPPKGLGMKLGKSQKTNQFLESLKAEGEVILEVVQPKLGQSRTAAPPLTDPVTLTVEEKLNVTLKRDGGVSNFHVQGQLSLQILNQEDGHIQVQVQTGDNQAISFKTHPNTNKELFANEYILGLKDPSRPFPTGQASDAAGVGLLKWRMKSTDESMVPLTINCWPSSSGNETYVSIECLI >RHN60966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31950244:31954705:1 gene:gene23371 transcript:rna23371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Tim10/DDP family zinc finger MDKSMIADMENLPEADKQRMATMIDQLQIRDSLRMYNNLVERCFHDCVDTFKHKSLQKQEETCVRRCAEKFLKHSMRVGMRFAELNQGAATQD >RHN47413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39536942:39556082:-1 gene:gene42008 transcript:rna42008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exportin-1/Importin-beta MELAMKVGEAVHVLNHDTQSCNRVAANQWLVQFQQTHAAWDVATNILTSDRRHASNFELEFFAAQILKRKIQNEGYLLQSGPKDALLNALLLAVKRFSSGPPQLLTQICLALSALVLQVVAHGNPIEQLFYSLRNLQSEENGNIAVLEMLTVLPEEVVDNQRIDSKINSLHISHYTQELLSHTPMVLEFLLRQSEINFDGAVQHNERNRKVLRCLLSWVRAGCFSEISPGTLAAHPLLNFVFNSLQDSTSFDLAIEVLVELVTKHEGVPQILLCRVHYLKEVLLFPALNRGDMKVIGGLACLLSEIGQAAPSLIVEASAESLAVTDALLSCVAFPSEDWEIADSTLQFWSTLASYILAIDVDGAKRKHTEAIFSPVFSALLDSLLLRSQVDDSTYNDEGRVIDLPDGLVHFRMNLVELLVDICHLLGSMIFTQKIFIGGSASSNLSLPWKEMESKLFALNSAADVIIQDGQHFDFSAVMQLVTMLSSKPSDGLKGFICIVYRSLADTIGSYSKWISAFKDNFRPLLLFLAIGISEPLSSNACASALRKVCEDAPVVIYEPSHLEILMWIGEGLEKWHLSLEDEEEVMHTISLVLGSVPNLELKSNLLAKLLSSSYDAIGKLVDPENNGSLKQNPACYTQILNAASRGLHRIGTVFSHLSISVANEPVADDLILLLLRVFWPVLEKIFTSEYMESGNLSIAACRALSSAIQSSGQHFMTLLPKVLDWLSTNFVLFQSHECYIRTASIVVEEFGHREEYGPLFVTMFERFTHSTSVMALNSSYICDQEPDLVEAYTNFASTFIRSCNKDVLSVCGSLLEVSIQKAAICCTAMHRGAALAAMSYLSCFLDVGLVSLLESMNCIAEGSSNTTTIHVISHSGEGLVSNVVYALLGVSAMSRVHKCATVLQQLAAICTLSERTSWKPILCWQTLNGWLQSAVQALPAEYLNHGETETLVPLWSKALAAAASDYLESKNSNGLKSDFGHMQGKGGRVLKRLVREFADAHRNIPNLT >RHN55750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22551956:22558549:1 gene:gene30992 transcript:rna30992 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSQPGMEETILVGDDLMMGPPSPIVPPEIASHVLQGVDLCDGILRNLFLCLQINDIEPFCQDEIALYKQCAERRDKEIRNRLQDSEFKLGSSMPLDAAKERSTQLEAEVTSLERHLILASGVQGIEGFRTRWSLHGRLTDSKKRLESLKQGMDERKR >RHN71275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57837339:57838469:-1 gene:gene19934 transcript:rna19934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative organ specific protein MKSILVVFLLFSLLLVTNLSCATKENMVEYWKNMMKGEAMPEAIKGLNSGSTSNICREWTLM >RHN39707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9808036:9809933:-1 gene:gene45759 transcript:rna45759 gene_biotype:protein_coding transcript_biotype:protein_coding MYGCRQIRKLQHTPLAFFFIKAQLKTMAQSEAPPSIHFFKISFAPSVRSKENRENGSMV >RHN51750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24315066:24315339:-1 gene:gene36267 transcript:rna36267 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDKDVRGVFVESGDCAGEDRYWSEKGEGILVELTVVGWGRDWDVEVNADDGAAVLEETVGWWCCVRRSAR >RHN58556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2767099:2768030:1 gene:gene20478 transcript:rna20478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MAETCSFLPEEWWECIFKLVDDGDNNHYWEPLSLVSKQFLSITNRLRFSITISDKTIPFIPRLFDRFPNITSLNITGFSTESDLDELLTNISTFPVDIKSLTFFHCYSNIPTDGLRAFSKNMKNLTSLTCYRINKIRKNDLFFLADCFPLLEELNLSHPVIATSYDFELDDDDRLLALPKLRKINLSGNFIDRQYVNSLCKNCNLLRDVVVTDWF >RHN48220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45888991:45889502:1 gene:gene42910 transcript:rna42910 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGGGACGGFWWCCAWWLVLLFGEGKWWSMVVLVTVVVVVLVFVVVRVLGRANGGVWGCIPDCFSKLCFGGLFWCFYLLISDIVIC >RHN47933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43669500:43673420:-1 gene:gene42590 transcript:rna42590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, phosphoribosyltransferase MQRPPPEDFLLKETKPHLGGKVSGDKLTSTYDLVEQMQYLYVRVVKAKELPSKDVTGSCDPYVEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKDRIQASVLEVFVKDKDFVKDDFIGRVWFDLNEIPKRVPPDSPLAPQWYRLEDRKSDKVKGELMLAVWMGTQADEAFPEAWHSDAATVSGTDALANIRSKVYLSPKLWYLRVNVIEAQDLQPSDKGRFPEVYVKAILGNQTLRTRISQSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKEELLGKCVIPLQMMDRRLDHKPVNTRWFNIEKHVVIMEGDKKKEIKFASRIHMRVCLEGGYHVLDESTHYSSDLRPTAKQLWKSSIGVLEVGILNASGLMPMKSNNGRGTTDAYCVAKYGQKWVRTRTIIDSFAPRWNEQYTWEVFDPCTVITIGVFDNCHLHHGGDKPGGQRDSKIGKVRIRLSTLETDRVYTHSYPLLVLHPTGVKKMGEIQLAVRFTCSSLLNMMHMYSNPLLPKMHYIHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLSGLIAVGKWFDQICNWKNPITTVLIHILFIILVMYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHADSAHPDELDEEFDTFPTTRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVLFCLIAAIVLYVTPFQVVALLSGIYVLRHPRFRHKLPSVPLNFFRRLPARTDCML >RHN67791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30317053:30317656:1 gene:gene16024 transcript:rna16024 gene_biotype:protein_coding transcript_biotype:protein_coding MKCQNKDKLTEISVQNGKSISASVLVNWDILSSGSNVIKNNLRYAFSSSKPLQRNLPSSSQIHLEPQVFQEV >RHN58139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44027052:44027861:-1 gene:gene33751 transcript:rna33751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKNCAHVIKATNENVKVRNQSHIPNDLFFFILSKMSIKSLKRFGCVHKSWSLLFDNLYFMTMYRNSFLTKNHPYYDDTSVLLHQTFHTYLQEPYQLQTLSGERFEKRVNLDWPSVKLDPIYLHKEEYDSGFNIIGSGSVHGTICLLCASQENIILWNPSNKEFKLLPPSPFDSEPYWGVLIDHRSFGYDRVRDDYKVMCHGQVIQKYNYGIYSGSYIWEIYSLRSNSWRKINVDMEHNHMDCEQVYLDGLAHWMCSNEGRNQVYLLSFD >RHN81906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49702998:49709624:1 gene:gene6003 transcript:rna6003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucomutase MAFCHRLNSFTISAFKPQTSNVSLSIQPSFTLQSPSSSFKLQNSPFKVRFNSIIRATSSSSSPTTIAEPQGIKINSIPTKPIEGQKTGTSGLRKKVKVFMQENYLANWIQALFNSLPPEDYKNGVLVLGGDGRYFNREATQIIVKIAAGNGVGKILVGKEGILSTPAVSAVIRKRQANGGFIMSASHNPGGPEYDWGIKFNYSSGQPAPESITDKIYGNTLSISEIKIADIPDVDLSNVGVTKFGSFNVEVIDPVSDYLELLETVFDFQLIKGLVSRPDFRFTFDAMHAVAGAYATPIFVDKLGATPDSISNGIPLEDFGHGHPDPNLTYAKDLVNIMYAENGPDFGAASDGDGDRNMILGTSFFVTPSDSVAVIAANAKEAIPYFKNSVKGLARSMPTSGALDRVAEKLNLPFFEVPTGWKFFGNLMDAGNLSICGEESFGTGSDHIREKDGIWAVLAWLSIIAHRNKDTKPGEKLISVSDVVKEHWATYGRNFFSRYDYEECESEGANKMIEYLRECLSKSKSGDKYGSYVLQFADDFTYTDPVDGSVVSKQGVRFVFTDGSRIIYRLSGTGSAGATVRVYIEQFEPDVSKHDLDAQIALKPLIDLALSVSKLKEFTGREKPTVIT >RHN53237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:292511:297139:-1 gene:gene28126 transcript:rna28126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar-sorting receptor 1 MKFLWRLSVFMLVGFMLTGMSTARFVVEKNSLSVTSPDKIKGKHDSAIGNFGIPQYGGSMAGNVVYPKDNNKGCKDFDDSSSFKSKPGALPTILLLDRGSCFFALKVWNAQKAGASAVLVADDIEEPLITMDTPEEDVSSAKYIENITIPSALIGKTFGQKLKDAISGGDMVNVNLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLIEFLKDFKGAAQILEKGGYTQFTPHYITWYCPHAFTLSKQCKSQCINHGRYCAPDPEQDFSTGYDGKDVVVENLRQLCVFKVAKETEKPWVWWDYVTDFQIRCPMKEKKYNKECGNAVIKSLGLDIAKIDKCMGDPDADTENSILKEEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLEKGAVLKAICSGFEETTDPAVCLSNDVETNECLTNNGGCWQDKAANITACKDTFRGRVCECPLVDGVQFKGDGYTTCEVGGPGRCKINNGGCWHDARNGHAFSACLDDGGVKCQCPTGFKGDGVKNCEDIDECKEKKACQCPECSCKNTWGSYDCSCSGDLLYIKDHDTCISKTASQAKSTWAAFWVILIGLGMIAGGGFLVYKYRIRQYMDSEIRAIMAQYMPLDSQAEVPNHVNHQRA >RHN71712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2099913:2102729:1 gene:gene7340 transcript:rna7340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSLISQNARSKNETKREEVVKGNEVEDGKEFDVDTVEAKKRKLDGKEEQLAKEQVKEMKKLESFLFGSLYSPPEFGKGDDDEVDAAASATASNLFFTDRSADSVLTVYQEDADFSDESDNDDALKRKPVWVDEEEEKVTVNIAKVNRLRKLRKEEDEDLISGSEYVSRLRAQHAKLNRGTDWAQLDSGSKMDGSSDDELTDDENKAAVSRGYEDLDDILRTNEDLVVKSSSKLLPGHLEYSKLVDANVQDPANGPINSVQFHRNGQLLLAAGLDRKLKFFQIDGKRNTKIESIFLEDCPIRKASFLPDGSQVIISGRRKFFYSYILLVSTKSKQLVGTLKMNGTVRSLAFTEDGQKLLSAGGDGHIYHWDLRTRTCIHKGVDEGCLNGTALCTSPVGTHFAAGSASGVVNIYNSEEFLGGKRKPIKTIDNLNTEVDFMKFNHDSQILAICSRAKNSSLKLVHIPSYTVFSNWPRSNASLHYPRCIDFSPGGGFMAVGNASGKVLLYKLHHYHHA >RHN70383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51035837:51038271:1 gene:gene18954 transcript:rna18954 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKSEGLNATHKKNKILNGEEEREHSKVGKKSKYQLIEYNSLPAFLRDNEFILDYYRSEWPLKQIILSIFSIHNETLNVWTHLIGFFLFLFLTIYTAMRAPMIVDSLQHLPDVIGKADLKKIHEELLKCLPSLPNITNLHKFKNELSTSLHALNFSSLSGWNVMEHVTKCLPEHFSLNGLKDETMDFVSPSIVPPITRWPFYAFLGGAMFCLLASSTCHLLACHSQRLSYIMLRIDYAGIAALIATSFYPPVYYSFMCNPFFCYLYLGFITLMGVATIVFSLLPFFQKSEFRKYRASLFFMMGFSGVAPIMHKLILHREEPEALQTTGYEILMGVLYGLGALIYVARIPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYRDGLIYLRWRDLKGC >RHN78551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16162775:16164591:-1 gene:gene2134 transcript:rna2134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTHIFKFVYALIIFLSIYVAVNDCIRIHCKDDFDCIENRLQVGCRLQREKPRCVNLVCRCLRR >RHN73664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18669895:18672258:1 gene:gene9510 transcript:rna9510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DYW domain-containing protein MELKNSLKNCCFKKCEYFIHFSIYFQPPKPSLPLHTPKRILKVGELGNFASHFIQLFYVKKKKLIRIERHGSTIFTTGRHSLTRRLKPAVHRRAQPSCSHHHPSHRQIQSQGTDSVVTIWFCSERNITKSSSNSNFLDFMKPKNHIFNHPTLQTLQQKCNNFNTLKQIHTQIITTGLSFQTYCLSHLIKISSKFNLPYAFKIFNYISNPTIFLYNTLISSLINQTNQNQIHLAFSLYNKILTNKNLQPNSFTFPSLFKACCSNQSWFHYGPLLHTHVLKFLQPPFDNFVQASLLNFYAKYGKMCVSRYIFDRINEPDLATWNVILNAYARSSSYHSYSNSFDDADFSLESLYLFRDMQVIGIRPNEVTIVALISACSNLGAVSQGFWVHCFVLRNKIKMNRFVGTAFVDMYSKCGCLNLACQVFDKMPENDRDSFCYTAMIGGFAVHGYGNQALELYRKMKFKGLVPDSATFVVTMFACSHVGLVEEGLEIFKSMKEVHGVEPKLEHYGCLIDLLGRAGRLKEAEEWLADMPMKPNAVLWRSLLGAARIHGNLGVGEVALTKLIELEPETSGNYVLLSNMYASVGRVNDVKRVRKLMKHHGVNKLPGFSLVEIKGAMHEFLTGDRSHPFSKEIYLKIAEINSRLEEYGHKARTSEALFDLEEEDKEGVLSYHSERLAIAFALIASPSSLAIRIIKNLRVCGDCHAFTKLISVAYHREIIVRDRNRFHHFKDGSCSCLDYW >RHN79134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23739438:23749148:1 gene:gene2851 transcript:rna2851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-acylglycerol-3-phosphate O-acyltransferase MPISPAAVIIPLGILFFASGLIVNIIQATCFVILRPFSKNLYRRINRMVAELLWLELVWIFDWWAGVKIQLFTDPETFRFMGTEHALVISNHRSDIDWLVGWILAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFSEYLFLERNWAKDERTLKSGLQQLRDFPLPFWLALFVEGTRFTQAKLLAAQEYATSTGLPVPRNVLIPRTKGFVSAVSHMRSFVPAIYDVTVAIPKSSPPPTMLRLFTGQSSVVHVHIKRHLMKDLPEAEEAVAQWCRDIFVAKDALLDKHTADDKFSDHEPRDLGRPIKSLLVVITWICVVVAGTVKLLQWSSLLSSWKGVAFSVFSLAIVTALMQFLIKFSQSERSNPAKVSPSKSKSREELQASDDKQE >RHN42557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39295788:39299661:-1 gene:gene49009 transcript:rna49009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MRCYGLTIPGSDHRFITPTLPPPSLSFRPTTIPMTRISFGSSFPKLRATFNDGVVAEERSFYDLLGIPESGSLMDIKSAYKQLARKYHPDVSPPDRVEEYTKRFIQVQEAYETLSDPSRRIMYDRDMARGIHLAFNARKCYNHSDQVSEQKGEWKSRWQSQLDGLKRRSDSKVMDGAENTSWAARIRQQRGGL >RHN81652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47822614:47824742:1 gene:gene5724 transcript:rna5724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S13 MSLVANEEFQHILRVLNTNVDGKQKIQFAMTSIKGIGRRFANICCKKADVDMNKRAGELSAAELDNIMTVVANPRQFKVPDWFLNRKKDYKDGKFSQVVSNQLDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >RHN65322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1206595:1208230:-1 gene:gene13147 transcript:rna13147 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSFVSRLIAATMAVFQIEEQVSLKLLVNTETNKVLFAEAGKDFVDILCSFLTLPLGTIARLVQKDSNMGPVTIGCLNSLYQSVENIGLLPINNSSEDYCSTLKINIDDTVPTIYFRCSKDHGHHCPYLSIGTKYIYGRCGNRLNRSVSLAQLCNGFVKGATTFVITDDLFVSPHSINHTLLDLIKNLGMKNTSSVKEMTVNVTKEKVLDLLKCSLLSKTPLTDLFLGKKPSIERLMMQSIISSCDVENIFCSDVQITIKLVVRKSDDNILYALGGNDFANLIINFLTFPLGGVLGRLQGNNSLGSINGLHKSLTTLNEDKYFTSKEAKNRLLELRLLQYYCHHQCDPSDDRIIHVQLYKSDEDWSGGVTFSKMELVTDEDYVKRSQMYLVTDDLVIEPWLSPISSLYLHNRFKTPLDDLEEKVVTIGTEESYSILKAALSSTSALTNGLRHLLT >RHN53365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1210174:1210521:1 gene:gene28266 transcript:rna28266 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMISYNWENKDPVLGFSNKSFHTQKKANNNSKHKHINESKIYSQKGYLRLKQQMHIKHVSKRKDDSKIYPSNALIFCTPSDRAGIVVSLPIVYRNFNLSAIISTICPPNDSNP >RHN59998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16268538:16269391:1 gene:gene22179 transcript:rna22179 gene_biotype:protein_coding transcript_biotype:protein_coding MQHNLHKLLLIILFKLSPFLTPSSHTLSSSSFYNNVFPSLTFHKKRLR >RHN71831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2895156:2902053:-1 gene:gene7471 transcript:rna7471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3'(2'),5'-bisphosphate nucleotidase MALAMDILRSSHLSAVRFFDSGGTRTRSCNTRRFNVRANLPFPQQNAKYHKELEAAIDVVHTACRLCVQVKSSLFSTDGKVLEKNDQTPVTVADFGVQALISLELSKLFPSIPLVAEEDSAFLRSRNLVGTVLDAVSAEASSTWKTLAQDDVLKAIDRGGKDAFVFGSKPATYWVLDPIDGTRGFLKDNKALYVVGLALVVEGEIAIGVMGCPNWQEDLSKKPSAEMEEGKEALPESGIMMIAHKGYGTWTKKLNSNPKSAGVWTRCVVDRFDMVHKARFCIPDSQSWESLPLSSIFNATSNANDVGSSQIVLVAACCGSLCKYLMVASGRASVFIQRAKETTTIKAWDHAVGMICVHEAGGKVTDWEGIEIDLAEDQPSRRIIFPSGGVLVTNGNLHDQIVQIISQTSRV >RHN63470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51517097:51518483:1 gene:gene26169 transcript:rna26169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MMFYLFLADVKDIKCVVNYDFPSSLEDYVHRIGRTGRAGAKGTAYSFFTSANAKYARDLIKILQDAGQIVSPALSALTRSGGFGQHASRGGFRSRGRGGYGNRGSTSGSNAIPVGGKRPWQSR >RHN48117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45139553:45139933:1 gene:gene42793 transcript:rna42793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor EIL family MNKTIDKVLQNKHKLEDLGHADGFVYGIIPKNGKPISAASENLQCWWKEKAKFDRNGPAAIVEYQEGFRYNVNVSDQKVFHFKGDNNVSPQKLHELLNTTLGTLLLCYAQFCCNPNQRRYVHLQSF >RHN64005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55847455:55850308:-1 gene:gene26777 transcript:rna26777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MEALRCFTTSLLLPLFFFLIFLFTSQKGFHFSFFVFPFSLPSIKDMNNATQVIKPHQFLTYNSAYRSFDHKENSSIKKIMSSLDRVEGSLSEARASIREAILSRNYSTSRRRDVFVPRGSIYRNPYAFHQSHMEMVKRLKIWVYQEGEQPIVHDGPVNNIYAIEGQFIDEIDNSKMSPFKAKHPNEAHIFFLPFSVANVVQYVYKPIMSKKDFNRDRLHRMVEDYVNVVAHKYPYWNRSNGADHFLLSCHDWAPEISDANPNLFKNFTRVLCNANTSEGFQPKRDVSIPEVYLPVGKLGPPNLGQSPLNRTILAFFSGGAHGDIRKLLLNHWKNKDAQVQVHEYLPKGQNYTELMGLSKFCLCPSGYEVASPRIVEAINAGCVPVIISQNYSLPFNDVLNWSEFSVEIPVEKIVEIKNILQNVTKDKYMKLHMNVMKVQKHFVMNRPAKPFDVMHMILHSIWLRRLNFRLIDSK >RHN78970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20385683:20399953:-1 gene:gene2642 transcript:rna2642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MAQSNWEADKMLDVYIYDYLVKKKLHNTAKSFMTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDNAAAYLEAQQLKAKEQQLQMQQLQLMRQAQMQRRDPNHPPIGTPPLNAITSEGVLGQSTATALAAKMYEDRMKNSNPMDTETSSQPLLDARMALLKSTNHPGQMVQGNSVSVTAALQQMQARTQQTPDIKSEVNMGNMQRSLSMDPSSMYGQGGLQSKSGITNAGLNQGVGGLTLKGWPLTGIEQIRPGFGAQVQKPLLQSANQFQLLPQQQQQQLLAQVHAQGNIGNSQVYGDMDPQRLRGLARGGLNVKDSQPIANDGSIGSPMQSTSSKINMPQMQQSISQQQHDPLHSQQLVQNNRKRKGPTSSGAANSTGTGNTLGPSNSQPSTPSIHTPGDGVAMAGNLQNVAGVSKALMMYGTEGAGGLASSTNQLLQDGMEHFGDVGSLDDNVESFLSQDDGDGKDLFGTLKRNPAEHATDSSKGFSFSEVSSIRKSNGKVVCCHFSSDGKLLASAGHDKKVVLWNMETLKTQSTPEEHTVIITDVRFRPNSTQLATSSFDTTVRLWDAADPSVSLQAYSGHTSHVASLDFHPKKNDLFCSCDDNNEIRFWNISQYSCTRVFKGGSTQVRFQPRSGHLLAAASGNVVSLFDVETDRQMHSLQGHSGEVHCVCWDTNGDYLASVSQESVKVWSLASGDCIHELNSSGNMFHSCVFHPSYSNLLVIGGYQSLELWHMAENKCMTIPAHEGVISALAQSPVTGMVASASHDKSVKIWK >RHN73748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19574941:19576172:1 gene:gene9609 transcript:rna9609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MHRFSNNVDYREYLKILSNIIVYILERKCLAPITKKWRGVCDGGVNFSCNKKIIGARFYAVGDVSARDKFGHGTHTSSIVGGREVNDVSFYGLANGIARGGIPSSRITAYKSCNDFGTCTNDAILAAFDDAIADGVDVITISLGAHNAIDFLSDSISIGSFHAMENGILTVHSVGNTGPVPSSVCSVSPWLFSVAATTTDRKFIDKIILGNGQTFIGKSINTIPSNDTKFSIAVHNAQACPIRGNASPEKCDCMEKNMVKGKLVLSGSPSGQLFSFTSGAIGVILNASQYDFDASLVTKNLTLKLESKDFVQVQYYKNSTSYPVAEILKSEIFHDTGAPRIAIFSSRGPNPSIQEIMKPDKRPRSRNPDCIFTFKFTLNGY >RHN59671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12608810:12614467:1 gene:gene21747 transcript:rna21747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ycf2 MKEHLFKFWILELREIFRESKNSHYFLDSWTEFNSAVSFIHIFFHQESLIKLLDFRIWSIVLSRKGNRYFTIKNVVFFVVGILIYRINNRKMIERKNVYLTGLLPISMNSVGPSNDTMDDSKDSFNINWYSKLIVPLLYRKKISDRDEDSKYHTRMNNHLFPKEIKEFVGNPTRAGRSFLYDRWSELQLDLNPTERLFKKEEGVSFVLLRQSENKEIANIVKIHMYLQNTVSIHPISSDPGCDGVAKDELYSSDSFLNKNRLFGLFDLFHDRNRAGYLLHHDFESEERFQEMANLFNLSITKPDLVYHKGFSFSIHSSGLDQKQKFVNEVNSRDESKKKFLLVLPPLFYEENESFYPNNTYGYIKNLWNRFHRNSEYGIQRYQIGKKIFNHRPTRKYTINQHFSNWKKNQKKWPLILIYQTERSMNKDPNAYKYKWSNGSKNFQEQLDRFISEQNSRFQVVFNRFQVVFDRLHMNAYSIDWSEVIDWSEVIDKKDLSKSLYFFLSKFLPFLSNSLYFFLSKFLPFLSNSLPFFFVSFGNIPVHRSEIHVYELKRPNDPLGNQLLESIGLQIVHLKKRKPFLLDDFYTSKKSKLSFNEGTISPFLFNKRENWISFHTRKKKKKEEEKKKRRKSFYNMDFSMISHDQENWRNPLKPFHRSSLISSFLKAHRLRFFNNQYAVRSCNYDFLKYVLTGIDDSEGKNHEYLKLKSQMMYLFYNRYWIHTQYSEKDFSGKYLLSEKRKKHSPCLTKDSEKYLRSEKRKKHSPCLTKDSEKYLRSEKRKKHSPCLTKCFEKGPMYRNYQRNSVFSTLSKLKQFQPYIIQLLLTRTGHEYLNLIFLDTFLDLLAILRRSPKFLQFVSIFHDIRDGSKRILREKLCLSPRNPIREILSKCRHNFLVHVCEDILENESPLISTHLREFFVLNLILLLVTGYLVQTHLVFVSRFSNELQTEFEEVKSLMIPSYMIELEKLLDRYPISELNSFGLKDMFLVALKQLGNFLEERRGSASAGNMPRGGGPAYVVKSIRSKKNDLNLIDLIRIIPNPINRIAFLRNTRHLSHTSKAIYTLIRKRKNLNSDWIDDKIESWILNSDFIADKEREFMVQFSTLTTEKRIDQILRSLTNSDRLSKNNSGYQMIEQPGTIYLRNLIDIHKKNLLNYEFNTSCLAERRIFLAHYQTITYSETPCGANLLHFPSHGKPFSLRLTVSPPRGILVIGSIGTGRSYLVKYLATNSYVPFITVSVNKFLDNYPKGFDINDLFDEDDDDDDLFDEREGTIYSLLPRTEDRGYNLDRYDSDYLDRNYDSHLEFLSMDYPIPEDIIPEIDQFFLTLQFELAKAMSPCIIWIPNIHDLDGNESNDLSLGLLVNSLSRDSEKSSTRNILVIASTHIPQKVDPALIAPNKLNTCIKIRRLLIPQQRKHFFSLARTRGFHLEKKIFDTNGCGSITLGSNVRDLVALTNEALSISIIQKKSIIDTNIIRHALHRQTWDFRSQIRSVEDHGILFYQIGRAVSQNLLLSNFSIDPISIYMKKKSCNGVDSDLYKWYLELGTSMKKLTILLYLLSCSAGSVAQNLWSLTGPNEKNDGITSYRLLYNDSDLVHGLLEIEGALLGSSQTGSPFENDGVTFLLRPEPRNPINMIQNGSRSILDHRFLYEKNESGFEEGEGVLDPLEEDLLNHIVWAPRIWRPWEFLFDDCIERSNEFGFPYWERSFWDKQISYDEEGELQENDSEFLQDGTMEYQTQKRSFKEQGVFRISQFIWDPSDPLFLLFQDDPSVSVFSHRELVADEEMSNRLLTCQTKKIYWKYLNKPWFRKNMPEQNFELLIDRQRQFRTNSSFSNELFRSTTLSESYQYLSNLFLSNGTLLAQITKTLLRKRWLFPEEMVVTICSNNE >RHN72767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10550331:10552207:-1 gene:gene8521 transcript:rna8521 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPYSTEPRKDDLGVPHMIGMTCDEVIQEAHAGEIVAIHDVINAPGDTFTDGLVRYTEASSIDISELLSRDSEQTSVDVSELVSRVSGVQVYKQNSSFGNIEITEDIWLKFQ >RHN40053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12786308:12787650:-1 gene:gene46145 transcript:rna46145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSTAPIIGDNDVNPVIFREYIGVKSYPDSLNNFPADIIGRHIPEFHFILGFAHETYVDGKGTGIFNASWKIPFFGPDNVDDIKTNHGNVKVVISIGGRDTKYPFHPAHKLEWCDNAVESLKKIFQLYNRTNSCYNLIDGIDINYEYIHPDVSEEDFSYCIGDVIKRLKKDVGIDVVSIAPSHETQKHYKTLYLARTNDINWVNYQFYIDTLKSKDEFVNLFLNLSDEYGSKKLLAGASTDPADAGKGKLSREDFLEGCVDLHSTQSLRGIFIWNANDSASNPNGKPFSLEKKAQEILNN >RHN49713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:525200:527368:1 gene:gene33920 transcript:rna33920 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKVSVGRLLENILSEVQNVKDKQDILQAQLETQTSVISNLVQQALSLSASALLQSQQYLPQSIHVVSTAIAPPQHGLPSPIQLPNQFPQIPNPTVLQRDPYLPPPVQSQEIPNQQYQLPLIQQPRPQPGALPHQQYQQTPHSQYSQPAPHLPQQQLPHSSGNPPQLQSSMGHRLEEPPYAPFQNYPPNLRHPPTLPQGYEPLSSISGASYSSGYDTLPGPAEPNRYGRPPQYGTPPQGYEPPSSRFGASYSSRYDTLSEIAEPNRYGGPPQYGGKQPQLHTASVAYISGSGYPQLPTAYILPQAIPTESSVSFGSGSPGAGNRVSVDHVVEKVATMGFPREHVRAIVRKLTENILILLFLYHLFLFL >RHN41092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26926022:26926381:1 gene:gene47380 transcript:rna47380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSSILKFNNSLLQDLFLSYNNFSGNLPSNICHGLPNIRVFDLYNNDLSGDMPTVWHQCEEMEQLHLSYNDFNKGPMPAGIRNMTKLQQLYLSRNNMEGNIVSLTTLFVYVLFLYSMYIQ >RHN75348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41476016:41476261:-1 gene:gene11533 transcript:rna11533 gene_biotype:protein_coding transcript_biotype:protein_coding MASILMVIALKCKQHVYGEGNWFDYTSVSCIEEDYRNGDRDSCKEGDDDDDDDDGGYDYAPAA >RHN71464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:379470:380602:-1 gene:gene7069 transcript:rna7069 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVAMKPIKPGLKESQEQIHKIRIIRWEEDEESNIIRCW >RHN76594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51508368:51513508:-1 gene:gene12944 transcript:rna12944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NRAMP family protein MAVPCSSNSGQPQFISSTGNRNFSNAPLIDSTNTDQIVVPDRTSWKNLFAYMGPGFLVSIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSMAANLGVVTGKHLAEHCRAEYSRVSNFILWVIAEIAIVACDIPEVIGTAFALNMLFNVPVWIGVLLTGLSTLMLLALQQYGVRKLEFLIAFLVFTIAACFWAELGYAKPDAKEVVKGLFVPQLKGSGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSVRGIKEACRFYMIESAFALMVAFLINVSVISVSGAVCNSPNINEEDQMSCQDLDLNKASFLLRNVLGKWSSKLFGVALLASGQSSTITGTYAGQYVMQGFLDLRLTPWIRNMLTRCLAIVPSLIVAVIGGSAGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGVHVNSIMISAITWIIGSLIMVINIYYLMNGFIKLLIHSDIEIVAKVFLGILGFSGMAVYLAGIAYLVLRKNKEGTHLLALTAPESQQMTNEQVNGSIYSLQREDIVNMQLPQRSSPADLD >RHN58966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6288552:6289713:-1 gene:gene20936 transcript:rna20936 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSTKLPLILLLSSFLIHTSFAMMVCEDLPKEVCAFSVASSGKRCLLETEKNINGETEYQCRTSEVMVERIAAYIETDECVEACGVDRSSVGISSDAFFEPYFTSKLCSPSCFSKCPNIVDLFFNLAAGEGVFLPELCEKHKNNPRRATIELTSSGAALGPASSISQDIALAPASAPTPISEISKENELSLKRIFCKGLNNTLPSVSSICGQSP >RHN59748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12742553:12742945:-1 gene:gene21859 transcript:rna21859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L2 MPLGTAIHNIEITLGKGGQLARAPGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGAKRWLGKRPVVRGVVMNPVDHPHGGGEGRARLVEKNPQLLGVILHLEEEVEKKKI >RHN75260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40733975:40737436:1 gene:gene11433 transcript:rna11433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MFSFLFREKKSQEKKNIPLYLYSLKKFRRNLFYMFYYQNSFSFTIREKASKLSLYTKKPHNFVEKKLMASSNKKFEVILDDQTPNKWCVSLGDETFKRFFSMTNPTVHKVFGDGSLFSPMLFGKFFDPSDAFPLWEFEPDVLLSHVRSSNQTTVDWHHTDEGCMLKAEIPGTGKNNIQVHVDKGKVVEISGQWRQQRDSKTNDWRCGHWWKNGYVRRLEMPEDADWKNIQAFIYNDIFLEIKMPKIKKGSDHAQG >RHN64258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57718948:57720525:1 gene:gene27055 transcript:rna27055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MFQCFKKRQEQTQFSLKPTIVNAAEPDEQSLPWSIKYGVFDDPETSVEVGEIYQAELPILISKSEYILLQNNTEAESIHHNFQIGLPIPITWIKSYDIREETKIGMHEEHEHKGQILVPGSRSDNWTEIEEAGLVLGLYIFGKNFVEVKKFIGNKKMKDILSFYYGKFRKSYKYQRWCGCREGKSKKCNLGHKIFTESRQRELLFRLIPNVPEEVQNELLEVSETLAERNISPEDYFSTLKNLIGLKALVDGLAIGKGKKDLICPHVDDVKSNEAVLVGPSKIPKGKACAMLEPSEIISFLTGNFRLSKSRTDDLFWEAVWPRLLARGWHSEEPRCYNYVPCKNSMVFLVPRVKQFSRNLLKGVQYFDSPFEILNKVASNPELIDLEKNATVIPPREKVPEAFAFGYLDKKKRNRSQEDCLVSKPSRHVCRKVEGSGSGGVAGSENEEGAKVVSNGNGIASSNEGFKQ >RHN45263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13923095:13925681:1 gene:gene39504 transcript:rna39504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MELDPSCAEKIPYLHRDFPKDLRVKPEIPKVIPSQPCSTLSPPPSHYTTYLYQNHRNQFKEHALNRSNNQSSFPTMIPSFRDPISMIPMPSYNDAHNNGSQREFLSARNPMIFTSNNNKIEAMHGCLHTGEGIWDLSKKNIFRYGETSQSMVSPDLSPSLVYDAHRSVSIKPKLQGDTFFYGGLRNEPQENDGPVLTGQRRQKRIQNNSEIQHKDLNIIKGQWTTDEDRILIQLVDRFGLRKWSKIAKYMNGRIGKQCRERWNNHLCPDIKESWTEEEDKILIEAHKIVGNKWAEIARRLPGRTENSVKNHWNTTKRRQKAKKKNRGNSSKGTLLLKYIMEVTSAKKVEKEMMNNSPSMMNIGNQPNYESSESDFFSEGLTTPEDGIGGYVPMMFNDDDGMASGFRSNGMEFFPEIPIKQEIDLMEKIYSNP >RHN78918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19995919:19996478:-1 gene:gene2586 transcript:rna2586 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKSTWKISLEKVGGGVKAAAVWFLYLGFCNLVGVLLWWWCGFVSAESCASFGSIPPLFGGILLCRRRGFGVWFSVFHVSLLSV >RHN74553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34690178:34692806:1 gene:gene10641 transcript:rna10641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MGMNEDFNMDNEYVVDGDDDVPLPGFRFHPTDEELVTFYLRRKLDKKPIAIDLIKQIDIYKYDPWDLPKANVPGAEKEGYFFCQRGRKYRNSVRPNRVTGSGFWKATGIDKAIYSNGAEGNDCVGLKKTLVYYRGSAGKGTKTDWMMHEFRLPSNIDAKTNISYPKNVADYAHEAEIWTLCRIFKRNVSKSKSMADVKPLATKHRTIHEKSSRMNSNMELNTNQQTYINFGQTIHEHHYNDDKPINNHTSSDQRNQFHVDQLSSSVEYQPQQINVTQSSNFWIKQAANELLSFDNWDELGSVVNFVSDSPSM >RHN68951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39811114:39817313:1 gene:gene17374 transcript:rna17374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthine/uracil/vitamin C permease MADITHPPMEQLQDLEYCIDSNPPWAETILLAFQNYILMLGTSVMIPSFLVPAMGGNPGDKARVIQTLLFVAGINTLLQALFGTRLPAVVGGSFAYVIPVAYIINDSSLQRINDPHERFIHTMRAIQGALIVASSIQIVLGYSQVWGLFSRFFSPLGMAPVVGLVGLGLIQRGFPTLGNCVEIGIPMLLLVIGLSLYLRHVRPFRDIPIFERFPVLICVTIIWIYSVILTASGAYRHRPSQTQHNCRTDRANLITTAPWFMFPYPLQWGPPTFSVGHSFAMMSAVLVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGILLDGLYGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFATLGKFGAVFASIPFPIFAALYCVLFGLVGAVGLSFLQFTNMNSMRNLIITGLTLFLGISVPQFFNEFWTSSHHGPVHTNAGWFNAFLNTIFSSPATVGLIVAVILDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >RHN82534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54567273:54573338:1 gene:gene6705 transcript:rna6705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial pyruvate carrier MAAFKAFWNSPVGPKTTHFWGPVANWGFVAAGLADINKPPEMISGNMTGAMCIYSALFMRFAWMVQPRNYLLLACHASNETVQLYQHTRWARAEGYLSGKKEKEASSE >RHN56086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27515245:27516640:1 gene:gene31419 transcript:rna31419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MENKEKQMVSPPPEPRVAVVVFLLKGRSFLLGRRRASVGDATFALPGGHLEFGESFEECAAREVKEETGLDLGENKIEFLTVTNNVFLEQPKKSHYVTVFMRVVLDAQEEHVIQNVEPDKCYGWDWFELENLPNPLFWPLEKMLKGGFDPFLN >RHN44108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1760137:1760753:-1 gene:gene38167 transcript:rna38167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTKILNFVYAMIIILSLFLLVTNIRALNCTTASQCVNNRCYLHGKPSCLNGQCACV >RHN59460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10947584:10953127:1 gene:gene21491 transcript:rna21491 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVRKGINKGEWIPVELREKLEPNWEDSKWKDKTEVNKQNRRSSDGPLHACGSIPTTEHSKRLKTDSNMTPS >RHN81018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42655235:42663344:1 gene:gene5008 transcript:rna5008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c-552/DMSO reductase-like, heme-binding domain-containing protein MFRYILVLLLLLLVSSYDVYSHEESGPWSCETGSEIHVESEFQPGVITLDGHADDWKDIDGSHFSLLLALDPDAENEFNGGKMTVKSVHDGRDIFFLLQVDGDYAYSNGESKKCPSVALMFQIGDGASYHNMGGCEEHSTSCTNKTCKGHEVDIMHFSIGNAIPGRLYGGNPIDNRDGNGGDRFGHLVDLYAWNPHCRYLDGTGPSGSVNDSSAQNDWKGAWWHSSFTVHSGFVKDENPYAENGKKGTYFFEFSRPLRTMDHLQQDVQFTIGGTSKMSVGFWYPVDGKPWRGSGHYSVNCDWVPIDISQSSSLSGKSVETASSSSWNFASAFSLILSVAALCVSVFVSYRVLHPKSVAFTPMENL >RHN45688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24480550:24486513:-1 gene:gene40071 transcript:rna40071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase C MFKMVYLCLVLLHIFLSFVPIQSAPRKSLITKLPGFSGIIPSKHYAGYVTLDKIHGKNLYYYFVESEGNPSKDPLVLWLNGGPACSSFDGFIYEHGPFNFIKPKTKGTLPTLQLNPYSWSKVSNIIYLDSPVGTGFSYSRNESDYYTGDTKTAFDTHTFLLQWFKLYPEFLANPLFIAGESYAGIYVPTLADKIVEGIEAGIKPKLNFKGYMVGNPVTDHKFDGNAIIPFVHGMGLISDEIFENVTKECRGKFYELGSNGCTQVLMNIGEILDKLNMYDILEPCYHGEKEENNESYSKLPLSFRQLGKTDRPMPVRKRMFGRAWPYRAIVKDGYVPSWPELESNSGGAPPCVDDEVSVIWLNNRKVRRAIHTVKESVVKEWVLCTGKVRYVHDSGSMIPYHKKLTSKGYRALVYSGDHDMCVPFTGTEAWTRSVGYKIIDPWRPWLINNQIAGFTQGYANNFTFLTVKGSGHTVPEYKPHEAFHFYQHFINGLPI >RHN73903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21400489:21400845:1 gene:gene9795 transcript:rna9795 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFFSSKNCPDKTDKAAKLMNSLQHLKGDLESLYYANEEIIFALQNSSFDERSPIESRDNEYFPIYSCKEIGSIVPTPPLPCVEVHVLCVKIFSFYEGHNLHGRQCSNNNDKYKHSP >RHN59403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10306734:10307117:1 gene:gene21429 transcript:rna21429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MSAQSSKSQTRPWVQDHSRGSVGSSLRRRSNRECWCGEQAVIRTVADMSNPNCGKNFWGCKNYKNSFDKGCRFFKLLDEDVIDERDVKIEKQKKKIKKLKIELENTRKLLRMSMFLGLMCFRMLLIA >RHN60515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27492591:27502643:1 gene:gene22836 transcript:rna22836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MIAEKPIWVRHEGMQIFSIDVQPGGLRFATGGGDHKVRIWNMKFVSTDLTNDLTNDESSQRLLATLRDHFGSVNCVRWAKHGRYVASGSDDQVILIHERKPGSGTTEFGSGEPPDIENWKVAMTLRGHTADVVDLNWSPDDSTLASGSLDNTIHIWNMSNGICTTVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWKTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIIVVKFNHSMFKKHLSTTEEVKSVPAGWSNGASKTGNKEPQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEAKELGQRLSDTELDELKRSRYGDVRGRQANLAESPAQLLLEAASTKQTPSKKVVANPQNQTIAKAYVDTRVGAKSVGAKNVEPQVEPNKKSDGPVGGDALNKITTSARISSPVKQREYRRPDGRRRIIPEAVGVPVQQENISGAVQSQELGFPVMSSEHQRGNNGVVSNNDTVRTSTLGGAPGRNSDLKERSGVTARATISESLVIEKVPASSGRDGTINVEQLGNSTTTGSHAALSIRIVDKKSGEDTLPICLEARPREHAVNDIAGVGNASMMRETEIACTRGPQTLWSDRISGKVTVLAGNANFLAVGCEDGCLQIYTKCGRRAMPTMMMGSAAIFVDCDESWKLLLVTRKGSLYLWDLFSRTCLLQDSLVSLVASSPSSSAKDTGTIKVISAKLSKSGSPLVVLATRHAFIFDMSLKCWLRVADDCFPASNFASSWSLGSIQSGELAALQVDVKKYLARKPGWTRMTDDGVQTRAHLEAQLASSLALGSPNEYRQCLLSYVRFLAREADESRLREVCESFLGPPTGMAEEASSDKSLAWDPVVLGMRKHKLLIEDILPAMASNRKVQRLLNEFMDLVSEYEIADTNHDQRNPVLLKTSSPVTNLIESGPLGTYVNDTPEDSMDNNTRVVESRENFTTAANEASAHDQVVQDTPDQE >RHN59466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11008156:11014107:1 gene:gene21497 transcript:rna21497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MASIEVLSDSTCRSMDDNKANDDEKVSPSSDEMIERSLGTFGWVEFVQCILVSFAMFFDAQQSFITIYTDDYPTWHCTDSTTCNSNSNICKIPKSSWSWDGPVQKTIISQWSLECASSFITGLPQSSFFIGCLIGSFALATLADTSLGRKNMLIISCLSMSITSIIIVFSTNVWIYSAFKFLIGFWRSSIGTCVLVLLTEKVSTEWRFTVGIVEYFCFTLGYMSLPGVAYVFRFSSWKSVYIWTSIPAIIYTIIGYFFVTESPRWLLMQGRNKEAIAMLKGMSSEENADLTASLLLNAPPKQKTSVFQLYSSITKLFERSWALKRMVAIMVLGMGIGMVYFGMPLAVGNLGFDIYLAVVFNALMEIPSCVATYFLENRQRKPSILAFSIASGVCCVMCVVVGSGVQGIKVGLALTSFFSATTAYNVFLIYIIELFPTSVRNTTTSLVRQAIVFGNIFSPFLISAGRKNDVFSYGVFGVVIMLSCVTLLALPETKGQALCDTMDQQEKKDKNYV >RHN65656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4160049:4161512:-1 gene:gene13524 transcript:rna13524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation protein, beta-barrel MNRFFARTSLPQLLYSTVRSSSASSLSPPSSVLATPLHLRHFSNKSVEQLRHVWISGYDDDTKPTYRSMYIVVSEQYFGLRDDSDEDEDEDEIDNRYTRTYVTSYKNITLFDISYCVTFLEKISSDHVDFNVDVQLASRIFDSAIIVLSCLDGVKTESIIIDQQMTRFQLPRLIFIDDLDQKGADLWNIVDQVKSKLNHRCAAIQVPIRSIDDCYIGFVDLVKLKAYFFTSKSKPFDRPFDLVNKLKAYLFCSETSDYDNVPEEMKAFVLKKRRELIEIVSEVDDKIYEALHGGSQIPESYLDGAIRRATIARKFVPIIISDERYEGLKLFMEGVIRYLPSPIDVSNYAHDQNRNGEKVELFGSIDAPFVGKAFTYWHRPFLKLTYLRIYQGVIKKGDFITNVNTGKKIKIPRLFKRHDNTIKAVDEAHAGELVIVLKFDAILKSGDTFTDGSIRYTMTSADVPAYSVSKDSGEKFSNGVNGFIPEK >RHN50009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3129635:3134868:1 gene:gene34255 transcript:rna34255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Band 7 domain-containing protein MGQALGCVQVDQSNVAIKEHFGKFADVLEPGCHCLPWCLGYQIAGGLSLRVQQLDVKCETKTKDNVFVNVVASVQYRAVADKASDAFYRLTNTREQIQSYVFDVIRASVPKLELDAVFEQKNDIAKAVEEELEKAMSMYGYQIVQTLIVDIEPDVNVKRAMNEINAAARMRLAANEKAEAEKILQIKKAEGEAESKYLSGLGIARQRQAIVDGLRDSVLAFSENVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVRDIAVQIRDGLLQGNAANL >RHN73416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16206687:16211844:1 gene:gene9228 transcript:rna9228 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSPLIFFFFIFLCILFIVYKQGQLSSSLDQLNTRISLLESIIEKSGHELRSKEEEIALIKKMVLEKEETNAFNQNKRLDLNQEWIPALKNELWTFISKISNTSKISEVSLMTFNTSGTIVEKLQRSATPFIKVVYKSAIPYIMKMSKWFLSVLVGLFQFCLNFARNLLDL >RHN48954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51338113:51342819:-1 gene:gene43729 transcript:rna43729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSRHSSRTVYVGNLPGDIREREVEDLFMKYGHITHIDLKVPPRPPGYAFVEFEDVQDAEDAIRGRDGYDFDGHRLRVEAAHGGRGNSSSRDRYSSHSNGRGGRGVSRRSEYRVIVNGLPSSASWQDLKDHMRKAGDVCFSQVFHDGRGTTGIVDYTNYDDMKYAIKKLDDSEFRNAFSKSYVRVREYDSRRDSRSPGRGPSHSRGRSYSRSRSRSRSHSRSYSPGHSRSKSPKGKSSQRSPAKSPAKSVSRSRSRSRSRSLSGSRSRSRSPLPLRNKSPKKRSASRSPSRSRSRSKSLSR >RHN39759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10294110:10294754:-1 gene:gene45821 transcript:rna45821 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVASKDFREDQKDERSIFPIWDCGSPLYDSCELVTLSHIIERQMREWPHLGGSKQIITKFSNLDEVMISNGNGKGSSKWINLSEFFEKIKWKGKVNGKKHKKIEIGLFSFYSRFVCGGNRVLT >RHN39749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10242594:10244884:-1 gene:gene45811 transcript:rna45811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MNFFMEKDRTTPKQELPPLVAMFPTPGMGHLIPMVEFAKRLSKHNLPITFIIPNDGPPSKAQTTVLTSLPHGISHVFLPPITLSDLPPNTKPETLMTATTVRSLPSLHQTLLSLMTSHRLSALIVDLFGTDVFDMAAELDIPSYLYFPTNANNLSFAFYFPQLAQRVQGEFRDIPEPLNIPGCFAFHGKDLADPVQDRNDEAYKCFLHHVTRYKLAKGIIENSFLELEPEAITFLKKNEPPVYPVGPLVNEDSINNGSEFDVCFQWLDEQPRGSVIFVNFGSEGILTSAQTDEIAYGLEMSEQRFLWVLRCPKDKVENDSNFIANSNVDPFEFLPNGYIERTKGKGLVLPYWAPQAQVLSHISIGGFVSHCGWNSTLESVVNGVPLIAWPLYAEQKMNAVLLSENVKVAIRPKVGENGLVQREEIASVVKRLMVGEEEQKIRYRMKDLKEAAINALKENGSSTKQICELALKWKGVTIPN >RHN51671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22128889:22130803:1 gene:gene36158 transcript:rna36158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-coumarate--CoA ligase MNQITRNQANSTPLTPLTFLDRAATVYGNSISIIYNSTSFTWFQTHKRCLQLASSLSSLGIVKGDVVSVLSPNTPAMYELQFSVPMSGAILNNLSFRLDYKTLSALLIHSESKLIFVDILSLSLALNALSLFPKNTPSPKLVLIMDETLLPDQIPSLPKNINIMNTYDYESLVAKGDPNFKWIRPDTEWDPITLNYTSGTTSSPKGVVHCHRATFIVSLDSLIDWSVPVQPVFLWTLQMFHSNGWSYPWGMAAVGGTNICTRRTDAPSIYNLIESHGVTHMCAAPVVLNMLSNFNKNEQLKKPVHVLTGGSSPPAAILIRAENLGFEVSHGYGMTEVIGVIVSCAWKREWDRFPATGKARMKARQGVRRVGVTEVDVVGPTGESVKHDGITAGEIVVKGACVMLGYLKDENATLQCMKKNGWFYTGDVAVMHEDGYLEIKDRLKDVIISGGENMSSVEVEGVLYMHPAVKEAAVVARPDDFWGETPCAFVSLKDELNENDIPTDMEIKKFCREELPHFMTPKTIVIMKELPKTSTGKVKKHVLRKVAKEMGSTSLPPSQPPSHRLISRI >RHN74527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34391230:34393014:-1 gene:gene10614 transcript:rna10614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MKHIILIAIFFTFWTCAFQTMSRIVLESSVATETRYEQWMKEFERNYADDAEKEKRFKIFAENLEYIENFNRAGKQTYKLGLNQFSDLTNEEFAALYNCVDLKRELESSMVSTAGPIFNMSEISPTNSPKGKRKPIPDSVDWKESGAVTNVKRQGCCYAFATTAAVEGIMKIKTDKELTSLSMQELVDCDKANGGCEGGSVRKALEYMKTNGIAKDVDYPYTEKVGTCLSNKKDRAAKIDGYVIVSPGEQNLLEAVAQQPVTVAIAINDDFKKYESGIFGSGPCGPKESLNFSHAVTLIGYGGSRRNKYWLIKNSYGDDWGEKGYMRLKRQGSSSSPVCGLAMVFSIYPTVK >RHN77961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10854199:10856447:1 gene:gene1472 transcript:rna1472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MALSGKVETEIEIQASGAKFYNIFRKQLEHLPNIATEVHGGKVHEGDWENIGSVKHWEYTIEGRKQSAKAKIENIDDDNKIIIYSFFDGDVSENYKSLKAILQVIHKEHGGGIVKWTYEYEKLKEEIAGSSPDSYLDFAVKLIKDIDSHLVKE >RHN71095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56457841:56462016:-1 gene:gene19738 transcript:rna19738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MREKSKSKGGCCGWFIAFVILALVVGAIVYAVKKKIDNSNSDKPEPVPGPPGAIDQKYASALKTAMQFFDVQKSGKLENNKISWRGDSALKDGKQADLDLTKGMYDAGDHMKFGFPMAFTASVLSWAILEYGDQMDAVGQLEPAQDSLRWITDFLVNAHPSENVLYIQVGDPVADHKCWNRPELITEERPLLQVNVSCPGSDVAAETAAAMASASLVFKKSDATYSSTLLKHAKQLFTFADKHRGIYSENIPEVATYYNSTGYGDELLWAATWLYHATGDDSYLQYVTGQNGEDYAQFGSPTWFSWDNKLAGTQVLLSRVSFFKAKGLSSSFGSGLQNYRKSAEAVMCGLLPDSPTATKSRTDNGLIWVSEWNALQHPVASAFLASVYSDYMLTTQTPNIKCDSDSFKPSDLRDFARSQADYVLGKNPQHMSFLVGYGKNFPQFVHHRGASIPANAKTGCKDGWQWLDSSDPNPNVATGALVGGPFLNETYIDSRNNSMQGEPSTYNSAVIVGLLSSLVTTSSALQSFS >RHN39570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8307557:8312872:1 gene:gene45607 transcript:rna45607 gene_biotype:protein_coding transcript_biotype:protein_coding MITASLFPSMLTQTHPLEYFICSKYKHKCPSHHVSTSNDTKCVCRGLLDHPIKLQHSHNGFLTDSDLIYVIDDSLRLEPLTVDSSGFNLIKGNLESRDSFKEWLVHVDRKRMVDLIKCCVSSTTPLTDFCFQRKPLIEQADVINYTKLASEDIFDDTNFERMSLEVFMTKEEQKIIYAYTDEKFVEFLISFLAYPLGSVIEKLNCKTGLANIDSLYRSAKQLKAMYLPDSINNLLLQPLVAHSLASKVCMFGCEKDPPIFMFYKSLQAESDGSIEWCLTRQETGNPESVLDSIPNISLIQKNAAKSGCVLKTPPNKYLIHSNLNFGEMSENISVPDYREYFPSLTAETISIGMRECLQILRASLTSGSALDDGLHAFL >RHN71707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2075180:2076623:-1 gene:gene7335 transcript:rna7335 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGMFGFEKRGLLDCSVPMNENSVFGDACASLRMRFKRGEQDDFQREKHKLPHVFSQMKLS >RHN54524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10237670:10238743:-1 gene:gene29588 transcript:rna29588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MALFHPTCFMPSPISNFVRPIPTSITNASSPITIFDLGQNYFVGQVPTLGWLNDLLLLSLEYNYLGDNSTKDLEFLKSLTNCTKLQVLSINNNNFGGNLPNFIGNLSTELIELYVGYNQISGKIPAELGNLIGLTLLGMEQNHFEGIIPAAFEKFQKMQDLTLNRNKLLGDIPHFIGNFSQLYWLDLHHNMFEGSIPPSIGNCQHLQYLNLAQNKLRGIIPLEIFNLFSLSILLELSHNFLSGSLPREVGMLKNIGKLDVSENNLFGDIPIIGECVSLEYLHLQGNSFNGTIPSSLASLKGLLYLDLSRNQFYGSIPNVIQNISGLKHLNVSFNMLEGEVPTNGVFGNVTCNNDWKQ >RHN42408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38097163:38102942:1 gene:gene48845 transcript:rna48845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ornithine aminotransferase MASTRQVQCLMRRFYRGSRTYGVATQPNASSSSQTIIDKEFQHSAHNYHPLPIVFAHAKGSAVWDPEGNKYIDFLSGYSAVNQGHCHPKILKALKDQADRLTVSSRAFYNDRFPVFAEYLTALFGYDMVLPMNTGAEGVETALKLARKWGYDKKKIPNDEALIVSCCGCFNGRTLGVISMSCDNEATRGFGPLIPGHLKVDFGDAEALEKIFKEKGDRIAAFILEPIQGEAGVKIPPDGYLKAVRDLCSKYNVLMIADEIQTGLARTGKMLACEWEEVRPDVVVLGKALGGGIIPVSAVLADKEVMLCIKPGQHGSTFGGNPLASAVAIASLEVIKEERLAERSTKLGGELLGLLEKIQKQYPDHVKEVRGRGLFIGVELNSESLSPVSGFELSEKLKDRGVLAKSTHDTIIRFTPPLCISADEIQQGSKALADVLEIDLPMLKKMKPKDAAPPAGPSACDRCGRVVYG >RHN56155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28221546:28222672:-1 gene:gene31512 transcript:rna31512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S1, PA clan MYDNTPQAVFKKILKRKNPWLRECIKEEFNPENYKPDPELMHRIKNLSKRPKFNSKDDNVFLDLQTKKAALKVSSSVVALLSYTTGDQELNQCSGVIVENDANNAHIVLTSANLLRRPREKGLLDDTLADSLKVIVYLYDGGSYVGEVCAYDFHFNIAWIRFQSDISLPTAILRQVDDYINVNPAQDNSFPLHPHSSHFNLVPGLPIVAVGRYFAKPFDLMAAPGQFM >RHN61671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37333529:37334417:1 gene:gene24159 transcript:rna24159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxy-lyase MFYKLECLSVFTCATLLTKALRISFKKPIDSVTISGHKFLGCPFPCGVLITRLKYINALSRDVEYIASRDATITGSRSGHAPIFLWYALKKRGLIGLENEVHECITNARYLLNQLRDAGIGAMLNEFSNIVVFERPFDDHFTRRWNLASNGNIAHVVVLKHITIEMLDTFVCEFIQKRSIWSKDGQFQLPCIASDVGSRNCACSIHSLSRNY >RHN64799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61967964:61971064:1 gene:gene27658 transcript:rna27658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSLFLSFLHIVFLISSIIHTAYSFSGLNSTEAHGKGYIQGLVVEEFTFPAKSSVPFNSCHASTIVEVGKGHFLVAYFGGTSEGAPDVKIWLQTYKNGIWQAPVIGDEEPNVPMWNPVLFKLPSNVLLLFYKIGQDFQKWSGFIKRSYDKGITWTEREQLPPGILGPIKNKPILLENGDLLCGSSVESWNSWGSWAEVTTDFGKTWRKYGPIYIKNNPLGVIQPVPYQTAKGTLRVLLRSFTGIGRVYMSESFDGGKTWGYAEPTQLHNPNSGIDGIKLKDGRLLIAYNTISRGVLKLALSEDDGDSWHQALTLEDTVGMEFSYPAVIQASDGRVHVTYTYNRTQIKHVVVRPR >RHN77068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3738604:3741855:-1 gene:gene478 transcript:rna478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MTTTKKITWKSMILILNCYKSKYPLEESEKHVLKQGSLQRLCLSDISNSSSSQAIEDLSVSFAGSKLHAFTLEELKEATHNFSWSNMLGEGGFGPVYKGFVDDKLRQGLKAQTVAVKCLDLDGLQGHREWLAEIIFLGQLSHPHLVKLIGYCFEDEHRLLVYEYMPRGSLENQLFRRYSATMPWSTRMKIALGAAKGLAFLHEADKPVIYRDFKASNILLDSDYTAKLSDFGLAKDGPEGEETHVTTRVMGTHGYAAPEYIMTGHLTTKSDVYSYGVVLLELLTGRRVVDKSSESSRGKNLVEWARPMLRDQKKLHRIIDRRLEGQYPTKGALKVAMLAFKCLSHHPNPRPFMSDVVKVLEPLQDFDDVFIGPFVYVAVNENGDKDTI >RHN76691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:455011:457023:-1 gene:gene56 transcript:rna56 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MDFHLVHTITFFMLVVVATNATMLPPQLYWKSMLPTSLMPKAITNLLHPAGYWSEEKGTWVDAGKGGVDVGVRKGYYEGGGTDMNVGVGRSPFSYNYAASETQLHDKPNVALFFLEKDLHHGTKLNLQFTKTTSNAATFLPRQVANSIPFSLNKVEYIINKLNIKKGSKGVQIVKNTISECEEQGIKGEEKVCVTSLESMVDFTTSKLGKNVEAVSTEVNKESNLQQYTIASGVKKLGEKNKAVVCHKENYPYAVFYCHKTDTTKAYSVPLEGADGSRVKAIAVCHTDTSEWNPKHLAFQVLKVQPGTVPVCHLLPEDHVVWIRK >RHN66758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18363653:18365268:1 gene:gene14836 transcript:rna14836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribulose-phosphate 3-epimerase MVTNPLDYVEPLGKAGASGLGTSKDNWKELIQNIKSHGMRPGVSIKPGTSVEEVYPLCFSLVEAENPVEMVLVMTVEPGFGGQKFMPGMMDKVDGGLGPSTIDVAASAGANCIVVVYLTTSCNQYPSRQRHKRDDTFNILQL >RHN59355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9809012:9814340:1 gene:gene21378 transcript:rna21378 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSSKSDLCLFKIIAGERAIKVPGKAKYFRVNRRERFS >RHN80589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39237979:39240043:-1 gene:gene4529 transcript:rna4529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MASSLMLCCSYILMINLFVGFDLAYAQPKRAFFVFGDSVADNGNNNFLTTTARADAPPYGIDFPTHEPTGRFSNGLNIPDLTSERLGLEPSLPYLSPLLVGEKLLVGANFASAGVGILNDTGFQFLQIIHIGKQLDLFNQYQQKLSAQIGAEGAKQLVNKAIVLIMLGGNDFVNNYYLVPFSARSRQFSLPNYVTYLISEYKKILQRLYDLGARRVLVTGTGPMGCAPAELALKSRNGDCDAELMRAASLYNPQLVQMITQLNREIGDDVFIAVNAHKMHMDFITNPKAFGFVTAKDACCGQGRFNGIGLCTPISKLCPNRNLYAFWDAFHPSEKASRIIVQQMFIGSNLYMNPMNLSTVLAMDSMV >RHN43222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44140956:44141772:-1 gene:gene49762 transcript:rna49762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MTLFTKKANCGDHIDRISDLPSNVIDGILEHLNARDLVRTSVLSRKWRYMWITVPRLEFCQDFFCKYKDLEALDVSRIITEVLFLHNGPIYKFILFITSNFLGFKSGYLIRWVMFSSRKGVKNIQLVNDRYYIYRMPSHLFSCQELTHNALDLSLVHLSLIFGCSLLQELYTVQCSGYECVDLSAPTLTVFRIQCKCNHAIKSICLEKAKNLIDLTLIVYRDGISGLNNILPKIQRLTVGLDSKVS >RHN49209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53301871:53302397:1 gene:gene44016 transcript:rna44016 gene_biotype:protein_coding transcript_biotype:protein_coding MINKHKFKHKYNTMDNFVISILSVRTKMNFQLKMKGNSVNSLFSVSPTQLTFLFSSNLLSSGLTTTILTTAAEKGGVRSNQYESYETE >RHN71270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57781951:57783280:1 gene:gene19929 transcript:rna19929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mediator of RNA polymerase II transcription subunit 32 MDSVVDSLNSAYLDFIAAAATVLEARETSTALKNIATDTALESFKQKWELFRVACDQAEEYVESVKQRIGSECLVDEATGHVAGKPGQATMTGLPPISAVRLEQMSKAVRWLVIELQHGSGGPAGSSSSALSHPSAPFDARFSEDAAQ >RHN52836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39349532:39355502:-1 gene:gene37555 transcript:rna37555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MPKKRVRKSPKQDDVVPRSSQRKKSKISPVIPVEEELVSLLPSRRKKAKQSSVNSDDACFIGEPIPADEAQKKWPHRYTKNDESSDDESFKAKFHYREAKVDGILYKLDDNAYVKGEEGKEDYIARIVELFETPDEEQYFTAQWFYRAEDTVIKDHGNLVDKKRIFKSDVKDENPLDCLVRKVNIVQISPDAAKKKKIPPCDFYFDMKYTVPYLTFCNIDNAPAIIESKTSTLSSESGSNVHATDKKGVKEKSTQIKESNRREWTLLDLYSGCGAMSTGLCFGASISGIKLVTRWAVDINKHACESLKLNHPETHVRNEPTEDFLSLLKEWAKLCDEFVLNGAESTDSDLNAGKEAEEEADDEAMDNSLDSEVFEVERLLSICYGDPNEDGKPGLYFKVHWKGYDSSNDTWEPIEGLSECKDAMKDFVINGYKEKILPLPGQADFICGGPPCQGVSGFNRFRNKNAPLEDEKNKQLIVYMNIIDFLKPKYVLMENVVDILKFAGGFLGRYAVGRLVAMNYQARMGMMAAGSYGLPQFRMRVFLWGALATEKLPSYPLPTHEVVSRSVIPTEFEEITVAYSTNENCQLAKALNLEDAINDLPPVENDDSDDERSYGTTPRTDFQKYIRLKRSEMANYSADYHSAPSGMLYDHRPLKLNTDDYERVCHIPKKKGANFRDLKGVLVKEKKVEWDPSVERVYLKSGKPLVPDYAMTFVRGTSSKPFGRLWWDEIVSTVVTRAEPHNQVLLHPEQDRVLSIRENARLQGFPDCYKLCGPVKQRYIQVGNAVAVPVALALGYTLGLAILGLSDDSPLTILPFKYPSCLAHSLDVVDDDGSS >RHN67808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30409427:30410743:-1 gene:gene16044 transcript:rna16044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative taxadien-5-alpha-ol O-acetyltransferase MVAKFICSCSDSKIHYFTKNCNGPLLNIFMKWNTTSYNSTTSIFIPQTTTKPINISLFLAGRMVKHADDGKLRVNCNPNAENYGVPFLEAIANCTLSSINYLDNTDTEIAKHLVLDPEEKAYPLVFKVTKFLCGGFTIGMGVLHAICDGVGASQFFKAIIELARGRNEPSKIPVWERERLIGSITEQPFPESPMNKESVAFSPFLNQHNTTVMKQYCFNIDGEMITRLKLSLMKESGNIRFTTFESIAGYVWRSRARALKLNSNGETVLTIVAGIRRNLKDFDPLPNGYYGNSCVDANTVLKVSELDERPLYEIIKLIRETKNIASTTDFVKNSINTLETNYNEESRMVSTGAVTVLTEWKHLGFLDGNVDFGGNEAVNLVPAPCNMFASIEISIFTSPNKFDNDDPSMKGGVKIFTTLPVAAMPKFKEEIEALRFLS >RHN67388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26754307:26755185:-1 gene:gene15574 transcript:rna15574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MSSAFSSIVSSDVVPQKKYDVFLSFRGEDTRRNFTSHLYDALSRKKVETFIDNNELRKGDEISAALIKAIEESHASIVIFSENYASSKWCLNELKKILECKKYMQQIVIPVFYNIDPSHVRKQTGSYKQAFAKHKQDLKHNNDKLKKWKDSLTEAANLVGWDSRNYR >RHN72258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6178431:6179063:1 gene:gene7951 transcript:rna7951 gene_biotype:protein_coding transcript_biotype:protein_coding MYILTGSNSRSCRSSVTFIALSYLIGSKTEPNKTLSRTFALKIHET >RHN77738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9073501:9081888:-1 gene:gene1225 transcript:rna1225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MTLILLLPFTLLIHQVTCWSIATISPIEQEHTCPPSSCGKISNITYPFRLNNDPINCGDKRYELACENNVTTLNLYSGKYHVQSINYNNFTIRLVDLGVQQSNCSSIPLYSLSQSNFCDTYNYYGRNCKDPYHAVSDQERLLYPDMGSHELLFKHIVYLNCTHQVTNNPKYVNTSSCFQFGYSKSNYIYAMAGDLIAQDFQVGCHVKLVTPTSLLGLERNKLLSYDIIHKALVYGFEISWMHLSCHNRCGDLAICLFINSTSDILQCRPYCGSSWDYMAGSTIRSCGNYSGLAFTIKGHIIAIGIGLYELIKGSIPEYLGFANFKVGFSIGHYGIPSFCAARFLFGMTLFIALLIYKWKRSHLSTYECIEIYLQQQNNLMPIRYSYKEIKKMARGFKDKLGEGGFGTVFKGNLRSGPCVAIKMLGKSKGNGKDFISEVSTIGRIYHLNVVRLLGFCIEGSKRALVYEFMPNGSLDKFIFSKEGSVNISYSQIFDISVGVARGIAYLHHGCEMKILHFDIKPHNILLDENFTPKVSDFGLAKLYPVENSIVTMTAARGTIGYMAPELFYKNIGGVSYKADVYSFGMLLMEMAGKRKNLNVQAEHSSQLYFPLWIYDQFGQEGEIEIEDVPEDEKKIVKKMIIVALWCIQLTPDDRPSMSKVVEMLEGDVESLEMPLKPTLYPHETAVDNQRTNLDQTTSSDYGSSYDSVEMETKSLLENIA >RHN71099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56479055:56480214:1 gene:gene19742 transcript:rna19742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MLSRDNTLPIRSFRFKCCAAYQPNEITKLIIAAIQRRTETLELNMLSNFLDMKLASNIFTCMTLTVLKLKHLTILGDIPQINNTISLLKTLHLDSVTFNTHKQIIDFLLFFPILEELQTNKVKVFPLREFVPKTADKIKCLPNLVTAKLSDNKPIPLFLLSRARSLSITLTWTHYFQVPIFYNLTQMELFSNLEGKSWPKKWMWMLEMLQHTPKLQHLIIHEEIENGIENGNDDDDDEDIWEDPKIVPECLSSQLKTYLFKNYRGKKCELQFAEYVMRSSKVLCNMIIHSACSIDLNAKYRMLQKLSVCPRGCKLIFE >RHN43326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44936167:44937456:-1 gene:gene49881 transcript:rna49881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubby-like domain-containing protein MAKVYPHQESINCISNKRETYTVWMKSLVLHSNGCTAYDSNGDIVYRVENYDRKGGREVNLMNLKGNVLCTIKKRLLAFGCWEGHKYCSNSNIRSQEEQPWFQVKRCHKIITGKIACQIKVGCQNLCIERISIGKSFAFRIVNKDGQIIADAKQKQSSSGVVLSNDVLTLDLAAGTDHASCPYNGFDNGLWINMRLIVDTSYYATIHLKALISKTFRFCTLFPLFAVL >RHN51644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21561024:21566767:1 gene:gene36125 transcript:rna36125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin repeat-like-containing domain, exocyst complex component Exo84 MSMDQLPFVTPRGSVSSSIGSVAELEANPNLTLSDKLRVFKSSSFDPNAYVSSKSRSMNEKEIRHLCAYLVDLKKASAEEMRKSVLANYSAFIRTSKEISDLEGELLSMRNLLSNQAALVHGLAEGCQLGSLVTGNEGSDIDAILKEKTDISNTEKWLIEYLETLDVLLAEKRVEESMAALEEGEKMTNEITQGKTLSPSLFQALQNAITEHRQKLADQLADTICQPSTRRAEIRSTALALKNLGDGPRAHTLLLKSYKEKLNRNIQSLESTTVSAYTASVSHLVFSTISQATSDSLTVFAGEEPAYTSELVTWAVIQAENFSLLLKKQILASIAASGGLRIALECVHVCLTHCHLLESSGMALSPVLLKHFRPFVEQALITNLKRIEQSSAALASADDWLLAYAPTTRTRKSNTGLPPVSSYSNLNSHQPKLSISAHKFNSMVQLFEDAGPLEILQLDGLALEGLHQVFNFYVNLLINAMPGSAVTENLESTGHKIVKIAETETQQIALLGNAILLADELLPRAVIKLSHSTKGDDDSLKRASDKQKPPEQRELKKRLQREVDRLRDIFCRQHALELIFTVDGEPLVNSQMYLGMEEKGERPEWFPSGIFQELFIRLTEVATIVSDVFVGRERFATILLMRLTETVILWLSDDQAFWDDIERTPLGPLGLQQLYLDMQFVMIFSSQGRYLSRHLHQAIKNIIGRAIDAVAATGLDPNSVLPEDEWFVEVSEIAMKMLTGKAAFDNVDEDAYSPTGSAHA >RHN82813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56571360:56574114:-1 gene:gene7003 transcript:rna7003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative procollagen-proline dioxygenase MSPPAMKIVFGLLTFVTIGMIIGALSQLAFIRRLELEEPFTTTTTTRSLLPRGYTYWNNNNDKEAQILRLGYVKPEVLSWSPRIILLHNFLSYEECDYLRGVALPRLKISTVVDANTGKGIKSDVRTSSGMFLSHEERKYPMIHAIEKRISVYSQIPIENGELMQVLRYEKNQYYRPHHDYFSDTFNLKRGGQRIATMLMYLGDNVEGGETHFPSAGSDECSCGGKLTKGLCVKPVKGNAVLFWSMGLDGQSDPDSVHGGCPVLAGEKWSATKWMRQSVHV >RHN69083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40875140:40876901:1 gene:gene17511 transcript:rna17511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain-containing protein MSKSKKQRSWIPTEKCDRVSSLPDSIICHILSFLPTKDTVATSILSKRWNPLWLSVFTLDFTEHTLAPICRRVRSVMLSRDNTLPIRSFRLKCCVVSYNEPNNVARLIIAAIQRQTETLELNKIKCLPNLVTAKLYDSEPIPLFLLSSAVSLSIKMTWTHYVQVPIFYNLTQMELFSNLAGKSWPKKWTWMLEMLQNSPKLQHLIIYEEIENRIDDDDDDIWEDPKIVPECLSSKLKTCLFKNYRGKKCELQFADYVMRSSKVLTKMTIHCVCSTDINAKYQMLQKLSLCLRGCKLIFE >RHN77339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6131158:6131475:-1 gene:gene785 transcript:rna785 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVRNGYSLHPMSLSGGSRPPTMFPQTELFNLDEGNSGFHNSNNAITPPTNNEYFARQAFSFPEQCSISNQSVILPSATNIASFDTSSSFQTSIKVHVGYFNTS >RHN39638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8942798:8945101:1 gene:gene45680 transcript:rna45680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MASNICLYLWFFYITNIHFIFTLAHFHNYIIHMDLSAMPKAYSNQHSWYKSTLYRVTTTNNNLESSTSSKIIYTYTNVMNGFSASLSPKEHEALKTSHGYISSIPDLPLKLDTTYSPQFLGLNPYKGVWLASDYGKDVIVGVIDTGVWPESESFNDNGFTKIPSKWKGKLCQFENTNNSSFCNKKLIGARFFNKGFLAMNSTIDTTTVNSTRDTDGHGTHTSTTVAGNQVNGASFFGYANGTARGIAPLSRVAVYKALWPGTNGKALSSDTIAAIDAAISDGVDVLSISLGFNNASNLYEDPIAIATFAAMEKGVFVSTSAGNNGPSFNTLHNGIPWVITVAASTLDREFFGNLTLGNGVSLTGFSSYIGNFSASNIPIVFKGMCDNFTELIRVKSEIVVCEDKNESLSNQIYNVVKAEVVGAIFISNTTQDDLSEDLRYLLPSIIINQKNGEMVKDYIHSNFNSSSIEKMSFKITSFGAKPVPRVDFYSSRGPSKSCPYVLKPDITAPGTSILAAWPPNVPVLDFGSHKVVNKFNFLTGTSMSCPHVAGVGALLKGAHADWSPTAIRSAMMTTSDIFDNTKELIKDIGKDNIAATPFALGAGHINPNKALDPGLVYDIGVQDYVNFLCALNFTQKHITAITRSSSNDCSNPSLHLNYPSFIAFFNAGNSSPRTAQEFHRTVTNVGEGQTVYVASITPIEGFNVGVIPNRLVFNEKNEKLSYRLRIEHRRMTQKNELSFGYLTWKNGKHVVRSPIVVTTPNFNLIEN >RHN56093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27638252:27642303:-1 gene:gene31429 transcript:rna31429 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKFGSHMQTLVQTQKMDQLEQEVHELRGEITTLRAEVEKLTSLVSSLMATKDPPLVQQRPQALCQPICMKRSRQQGSQRFIPQNQSPQPLIPQNQAQKASQCDPFPVKYADLLPILLKTNLVQTPSPPHVPNTLPPGYRPDRNCAFHQGARDHDSKQYYPLKEKVQKLIKDPDIKVLLQQQHLASHSVAAVTPITNVQDPGYQPQFQPSQQQYLAPLSVSAVMPIMNAVQDLGYQSQSQQYQQQPRLQAPRIKFDPIPIKYAKLLPYLLERNLVQTRPPPPIPKILPARWRPDLFCVFHQGAQGHDVERCFSLKIAVQKLIEDDLIPFKEFGSECAS >RHN67115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24025403:24025706:-1 gene:gene15260 transcript:rna15260 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSYSYSLLNFLFVIICSLFFTRSLVLIWKSIKRKEEMHHVSIRICMKDFYYQV >RHN59304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9279431:9283333:1 gene:gene21311 transcript:rna21311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MASFPLVTTLLIFPFLFSTIVYGYSLSSIKSWCSQTPYPQPCEYYLTNNAFNQTIKSKSDFFKVSLEIAMERAKKGEENTHAVGPKCRSPQEKAAWADCLELYDFTVQKLSQTKYTKCTQYESQTWLSTALTNLETCKNGFYDLGVTNYVLPLLSNNVTKLLSNTLSLNKVPYQQPSYKDGFPTWVKPGDRKLLQTSSAASKANVVVAKDGSGKYTTVKAATDAAPSGSGRYVIYVKAGVYNEQVEIKAKNVMLVGDGIGKTIITGSKSVGGGTTTFRSATVAATGDGFIAQDITFRNTAGAANHQAVAFRSGSDLSVFYKCSFEGYQDTLYVHSERQFYRECNIYGTVDFIFGNAAVVLQNCNIFARNPPAKTITVTAQGRTDPNQNTGIIIHNSRVSAQSDLNPSSVKSYLGRPWQKYSRTVFMKTVLDGFINPAGWLPWDGNFALDTLYYAEYANTGSGSSTSNRVTWKGYHVLTSASQASPFTVGNFIAGNSWIGNTGVPFTSGL >RHN80819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41039145:41041875:1 gene:gene4786 transcript:rna4786 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRRIEQDKGMMERGEKRTPPLIMVIQLGKYVIGSSWLDNRVSDEVIMVVPVGK >RHN55219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16233374:16236366:-1 gene:gene30364 transcript:rna30364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MKLSYPSLPLLTIFLFLLPSLIKSQMCQRNCGKETLKYPFGSGPGCGDPRFQPHITCSQQKLTFTTHTGSYPITSIDYANQVIYISDPTMSTCSCTLPSKGFGLDWNAPFTFDDSTIFALVDCSMNSSSICKSRSYDDGSNSKLQCDQNTQICDVMYSCRPISTNINLPISTCCVYTPVNLGPAFDMDLQKLQCSSYTGFYNYNDQQVDPEKWNYGIALKYKFSVTNDYPSSCAACERSFGFCGYSEAYNSFLCNCPNGINTTTDCFFTSSYNNGFRNGFAWLIYGVAWSLIRLILRKEPW >RHN64842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62400833:62406249:-1 gene:gene27708 transcript:rna27708 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SERKL1 MPLNFLLLLFFLFLSHQPFSSASEPRNPEVVALMSIKEALNDPHNVLSNWDEFSVDPCSWAMITCSSDSFVIGLGAPSQSLSGTLSSSIANLTNLKQVLLQNNNISGKIPPELGNLPKLQTLDLSNNRFSGFIPSSLNQLNSLQYMRLNNNSLSGPFPVSLSNITQLAFLDLSFNNLTGPLPKFPARSFNIVGNPLICVSTSIEGCSGSVTLMPVPFSQAILQGKHKSKKLAIALGVSFSCVSLIVLFLGLFWYRKKRQHGAILYIGDYKEEAVVSLGNLKHFGFRELQHATDSFSSKNILGAGGFGNVYRGKLGDGTLVAVKRLKDVNGSAGELQFQTELEMISLAVHRNLLRLIGYCATPNDKILVYPYMSNGSVASRLRGKPALDWNTRKRIAIGAARGLLYLHEQCDPKIIHRDVKAANVLLDDDYEAIVGDFGLAKLLDHADSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGMTALEFGKTLNQKGAMLEWVKKIQQEKKVEVLVDKELGSNYDRIEVGEMLQVALLCTQYMTAHRPKMSEVVRMLEGDGLAEKWASTHNYGSNCWSHSHSNNSSSNSSSRPTTTSKHDENFHDRSSMFGMTMDDDDDQSLDSYAMELSGPR >RHN70458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51574968:51575354:-1 gene:gene19038 transcript:rna19038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M41 MVAKYGMSTEVGPVTHHYYDQWRGMSSETRLLIEKEVKNLLDRAYNNAKAILTTHEKELHALANALLEHETLTGSQIKDILAKVKSQQQQPQPHVAEVQGSSRSDPTVDSATAATAAAKAHGVDLVES >RHN71163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56861870:56865357:-1 gene:gene19813 transcript:rna19813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lupus La protein MVTTNAPDSSSNFPPKNLTSPWAKVVRGADSSSSSSEPLNNHPPHSVSSSSSLDSANLVAAITAAVVVVDNNNSNADKINNVVNANPKKPAWKNTSNGLVVAEVSPVMGAVSWPALSAKPSAKLTSDSISAVDGAGSISISQGPVISNSPQKQATATAANARHTPPMNHSHSVSNRQQKPMERGGGNNNEPGPLSNNLSNPPQVNHQPSVAPPFPVLQITPNTFLVDGVQSYKNNNGWGPRSPAGGYGLPVDEHSHRGNYGHRPRNNYGTRRNQDPGNTMNTRDAHPPQHRMHSEGFLRPTLPNSAYLGSQPMPMRPFLNPAGFHEFYYYPTLQFEPFGGMPFLTHPPPPAMFFPVAEETPPTTPPTNIILKQIDYYFSDVNLTNDEFLKSNMDEHGWVPVSLIANFPRVKNLTNNIELILDSLRNSSVVEVNGDKLRKRKEWARFLSPVYQQVGSSSISSVWINLQEHNC >RHN40507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17040545:17041335:-1 gene:gene46664 transcript:rna46664 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVFYNLLQVTYRRESSASLISLFCEVYDPVKKSFVFNNNVSFSFCAEEVAEVLGIKNTGNSLEYTAAERFPEFVYELKENFDPDGSRQIKTKGIKIFLEKMTIDDEQSRSNFKQLLSYFLIERFLLCCPDPKKTRVSSWGMVEDINAFEEVNWAKTIYDNICESFGKLKAVMHKQQQHYFLGCAPVFEAIVFKRIKPLEPKANFGFAYLPIESYKPKKDWKPLEIIKA >RHN41686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32064205:32066547:1 gene:gene48036 transcript:rna48036 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFWALALASLCYPLASINAQSHAAAPSNLPITTSPFVAKQQPVVAAISPTSNKPTATVTAAAPSKLPTTNPSEPSTLPLYKQQPIVAATPASNTNKQQPIVAATPASNTNKQPAATSPTSNKPITTVTAAAPSKLPTTNPSAPSTLPLDKQKPIVAATPASSTKQPPAATSPISNKPSTTISAAAPSKLPTIIPAAPSKLPTAASPTSSTPSAAKKPPIVVATSPLSSKQPTTTQPPTTAKSSPVKSPVPKVTSPASSPVKSPVPKVATPTSAPVKSPVPKATTPTSAPVKPPVPKVATPTVAPAKPLVPKVTTPTAAPVKPIVPKTTTPTSAPLKPPVPKAPAPKPSPVKPPVPKSKPPTTAPVKSPVPDPPKLAPVKLPVPKVTPALSPKTPSPKIQPPPHPPKKAPVSLPPLSIPPVSLPPLPLPPASKPPKVSPAPAKVPKAPAPAKEAPAPAPTHKKKKAPKSSPVPSPAILPPSPAPTPAIDTPSSAPAPSPEDDAPEPPPPHKHKRRKHKHSKHKKHHALALAPEPTSSSSTIIRRSPPAPLADDNTTMSSDEGPSPAPSPSANGAQSYQGQWRKMLATGGIAIAILLCVT >RHN81127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43492529:43497648:1 gene:gene5127 transcript:rna5127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKFCKTYQEYMQAQEQKKLPVVGFKKLKKIMKKCRRSSQFHKPCPDQCPLCDGTFFPSLLNEMSEIVGCFNQRAQKLLERHLASGFQKYILMLKGKSKRNHSTLIHEGRDLVTYALINAVAIRKILKKYDKIHYSKQGQLFKSQAQTMHKEILQSPWLIELMALHINLRETKDKPRKATALFNGCCLTFKDGKPSLACELFDSIKIDIDLTCSICLDTVFDPVSLTCGHIFCYSCACSAASVTIVDGLKETHSKEKCPMCREAGVYEGAVHLEELNILLGKSCKEYWEERLQMERVERVKQAKEHWETQCRAFMGI >RHN68984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40077289:40082887:-1 gene:gene17410 transcript:rna17410 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDRSKELLRLEHKDTPFSSLETTLLVCDNNKELNSQTKRSPFDGTSLTAPLPPSQLLGKVKDFLGVMSEANKRLEHDAKDHPEKYDFEELTGNESEVIEMDLMLGVADLHTPEAVAAAESAVSSCQPVISLAADDSEIDSEEESGADDANEDAEIDSNVDECDYGKDGKKPSSLDQIHTSGLHYIHEKQKGNRRSKKRPAIVELS >RHN68464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35764644:35769173:-1 gene:gene16825 transcript:rna16825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MESVKTNSKYSSILIAIFVLSSFALALANPKTHEHEFVVEATPVKRLCKTHNTITVNGQYPGPTLEINNGDTLVVKVTNKARYNVTIHWHGVRQMRTGWADGPEFVTQCPIRPGGSYTYRFTVNGQEGTLWWHAHSSWLRATVYGALIIRPREGEPYPFPKPNHETSILLGEWWDGNPIDVVRQAQRTGAAPNISDAYTINGQPGDLYKCSTKGTTIIPIHSGETNLVRVINAALNQPLFFTIANHKLTVVGADASYVKPFTTNILMLGPGQTTDVLIHANQPPSKYYIAARAYQSAQNAPFDNTTTTAILQYHNSIKTKPIMPPLPSYNNTNIVTKFSRSFFSLRKAEVPTEIDENLFFTIGLGLNNCPKNFRKRRCQGPINGTRFTASMNNVSFTLPNNISILQAHYHGIPGVFTTDFPAKPPVKFDYTGNVSRSLWQPVPGTKAYKLKFGSRVQIVLQDTSIVTPENHPIHLHGYDFYIVAEGFGNFDAKKDTSKFNLVDPPMRNTVAVPVNGWAVIRFVADNPGAWILHCHLDVHIGWGLATILLVEDGVGKLQSIQSPPQDLPIC >RHN66883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20774269:20781190:1 gene:gene14997 transcript:rna14997 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQNSNIETSVEKEDDMIHDVEQISCVFPYDNNDDDNTNFDVGDDKNDIPKHNNDKAIIIAPINEILSPPTTSTRKPKIPKGLSKEWIKNWEVKETSRRDGIKVDKTYYHKKEKFTLRSLKAVEEYEISGTLPQHKRKAEEMEIIIVDKKIKESFAKKQKEEDESMPICVEEFLADAHYNLLHWFEH >RHN50627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8646762:8647374:-1 gene:gene34936 transcript:rna34936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Vps4 oligomerization MTLCGDGEHESSRRLKTQFLIEMEGFDSGNMKRKDFKNSLQEVRPSVSPNDLGIFEQWNKQFGTLAK >RHN69283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42319847:42321883:1 gene:gene17729 transcript:rna17729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitotic spindle checkpoint protein Mad2 MASKTVTKDIITLRGSAAIVSEFFGYAANSILYNRGVYPEESFVKVKKYGLPMLLTEDEGVKSFITNLTAQLSEWLEAGKLQRIVLVIMSKSTGEVLERWNFSIETDNEVVEKGESREKSDKEIMREIQAIMRQIASSITYLPCLDEPCVFDVLAYTNTDVEVPFTWTESDPKLIANPQMVKLHSFDTKIHKVDTLVSYKNDEWDEQ >RHN62192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41567152:41567397:1 gene:gene24742 transcript:rna24742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate dehydrogenase (NADP(+)) MNYKTFHTQKCISAESRVQFRHVPGNLYKRNFGTKLDKVTNELVLRVQPDEAIYLKINNKIPGLRMRLDKSDINFLYGSSC >RHN70148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49111179:49114738:-1 gene:gene18702 transcript:rna18702 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPPREIDDYIFKQRKLHESQESQKYLREQNLSLLLQLNQKDQLLQLHKSEADMNARALKKFDLENQRLASACQKLLDEGQNLEKEIALYEHDREALMEFGNEADERERQAQSRVLELERNLLLLMGQLKKYKHQNNSMVGSSTCTVGEKNLLDSLLATLTNKDDDSTYAFLESNIENESCKRLLSMWNCLEPSVHRVLSLVAKIKSLEKDKEHLRINLHKAEEEVKLLFDENSIVDKANKRISKKCKERNDPSSGEKHTSSPSSKSNKRKSSPKTSSPVEQKERNHPNSSEKHTSSRSAKSNKRTSSPRTSSSVERKIDFDDQDSERQPLSPLRYNSTDCRIRKQ >RHN49213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53334507:53336639:1 gene:gene44021 transcript:rna44021 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQGRPKQLVDQLSPHRCREWHGDQTSASDCLRLRLMPSLEDKNKDLYFQDNISINGILNHYP >RHN81515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46633814:46635680:1 gene:gene5572 transcript:rna5572 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHPVHVSCDLSLSLKFSNFLPTRSRLRQKGLQLPYCTTSVAIGVCCYVVAGVAGLFTCGILLMKIAPISHRAAEIFAAVARNQ >RHN82581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54934805:54936193:-1 gene:gene6754 transcript:rna6754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I MSTNVGENATPEQPSSVPKLDKLKKVSIIPLIFLIFYEVSGGPFGVEDTVRAAGPLLSLVGFLVFPLIWSVPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQLGWMKWLSGVIDNALYPVLFLDYLKSAIPIIASGFPRIIAVLVLTLILTYMNYRGLTIVGWAAILLGIFSLSPFLVMGLISIPRIKPKRWKMMDLKSVKWGLYLNTLFWNLNYWDSVSTLAGEVNNPGRTLPKALLYAVVLVVLGYFLPLLVGTGAVEVNRELWSDGYFSEVGRVIGGVWLRTWVQVASALSNMGMFVAEMSSDSFQLLGMAERGMLPEFFAKRSRYGTPLIGILFSASGVVLLSWLSFQEIVAAENFLYCFGMLMEFVAFVKLRIKFPNVSRPYKIPVGKIGGVLMCIVPTLLIFVVLALATLKVFLISISAVIIGLVLQPCLKYIERKRWFRFSVNPDLPDIFAA >RHN38450.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000012.1:44875:47702:1 gene:gene50716 transcript:rna50716 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MQIQVFFLLFLLFTKTTSSQSTTNILPQGSSLSVEKSNNTLISSNGDFSAGFLPVGDNAFCFAVYFTKSKQPTIVWMANRDQPVNGKHSKLSLFKNGNLILTDADRKRTPIWSTASFSPFPLQLKLQNNGNLVLSTTNGNISILWQSFDFPTDTLLPGQEINERATLVSSKSETNYSSGFYKFYFDNDNALRLLFKSPLLSSVYWPSPWVLPVDAGRSTYNVTKIALLDSFGHFMSSDAYQFVTIDYPKKLHILLKMDHDGNPRVYSFNDKTKTWEVSWQAIAEPCEVHGICGENSMCSYDPVNGRTCYCLKGYKLKNRNDWTQGCEPEFKPADLSCDSARVEDFGFLHLQNMELYGYDLYVAKVTSLKQCQKLCLDLCEKCKAVQFKFNGVATYDCFPKTLLANGRDSHNIDGDIYLKLPKNTLLSSTIPFKHSPLNCSIALFQPLNRFYEKPSKNSILSFLTWLALGIGVFEFSIILFVWFFLFRTNKNHDDVDQVQRHLLSATGFQRFSYSELKTATRGFSKEIGRGGGGIVYKGTLDDDRVAAVKCLNEAHQGEAEFLAEISTIGMLNHMNLIDMWGYCVEGKHRLLVYEYIEHGSLAENLCSNSLDWNKRFNVAVGTAKGLAYLHEECLEWVLHCDVKPQNILLDTNFQPKVADFGLSKLLNRDERDSSAFSRIRGTRGYMAPEWVYNLRITSKVDVYSYGIVLLEMVSGKSPMEIHSVVDNSGGLEHHHRMVSWVMEKVKSAPTTMFWIEEIVDGNLEGKYDVNQVENLVKVALMCVKDDMNERPSMSQVVEMLLQSHEKRGTPR >RHN53155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42396503:42400059:1 gene:gene37925 transcript:rna37925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSSSKVADPTLGYLTRKDTEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDSTELGEYRLRKRKEFEDLIRRVRWNVSVWIKYAQWEESQKDFTRARSVWERALEVDYKNHTLWLKYAQVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARLVFERWMKWMPDQQGWLSYIKFELRYNEIERARGIFERFVLCHPRVGAWIRYAKFEMKNGEVPKARIVYERAVELADDEEAELLFVAFAEFEERCKEVGRARCIYKFALDHIPKGRAEVLYRKFAAFEKQYGDREGIEDAIVGKRRFQYEDEVMKNPLNYDLWFDYIRLEESVGNKERTREVYERAIANVPLAEEKRYWQRYIYLWINYALYEELDAGDMEQTRDVYKECLNQIPHQKFSFAKIWLLAAQFEIRQLNLTGSRQILGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLEWTPENCYAWCKYAELERSLAETERARAIFELAIAQPALDMPELLWKAYVDFETVECEFERARVLYERLLDRTKHLKVWMSYAEFEATAIDESLDLSEQEQKERCLVRARKVFEDALNHFRSSAPILKEERAMLLEKWLNLEASSGELGDVSLVQSKLPKKLKKKRRQVATEDGSSRIEEFIDYLFPEETQTTNLKFFEAAYKWKKKRSSSADE >RHN50611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8572403:8573799:-1 gene:gene34919 transcript:rna34919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAESSHTKSSLRLASKIAIVTGGASGIGKETAHVFAEQGARMVVIADIQDELGNEVAASIGSHRCTYVHCDVTNEDQVKNLVQSTVNTYGQVDIMFSNAGIASPSDQTVLEFDISQADHLFSVNVRGMALCVKHAARAMVDGCVRGSIVCTASVAGSNGSMKLTDYVMSKHAIIGLMRSASKQLAKHGIRVNCVSPNGLATPLTMKLLDAGEETVDLIFGEYKRLEGVVLNTKHVADAVLFLVSNESDFVTGLDLRVDGSYLDGKSELVF >RHN61206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33841294:33842125:1 gene:gene23644 transcript:rna23644 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIVEAWVGKLSKLREKVLPISSNPLFSKSKQAIDQNNKDNVGVPLRDTSASHSMSEKTVFLLMDRFVPW >RHN82582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54939149:54939815:1 gene:gene6755 transcript:rna6755 gene_biotype:protein_coding transcript_biotype:protein_coding MKEENEGNTEVDAIVPTTSYTSLRDMMISLPQSSLNGSWNENIPIKNPLLKQAALAYLQPMSIPPDHDFVNNKCFSCCGGADSASCFEWLNCGTLIRAFVGFICGRRRRRRRRRRNTNEEDDGMEDKDHVVE >RHN74161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29512335:29515189:-1 gene:gene10163 transcript:rna10163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEEINSTAMNVSSSSSWLSDLEMDEYNLFAKECNLNFLDTSVEDFLSHDITINVFQEQNKQQCLTSGSTSTTTLSNTFSDETKLDCFDFNIDKTIMEMKTIDHSDKINETFTQKRSSSFQVQIPSFDSPPNSPTTSSQQYPTLNSIQNERVSVSPTELENKNHSTKTSKTSKTKRSRANNGEDHIMAERKRREKLTQSFIALAALVPNLKKMDKFSVLVDTIKYMKELKKRLEVLEEQNKRIKTESHVILTKPDLCSEDDSSSFDEHNESVVGSIFQVEAKVLGKYMLIRIQCKEYKGLLVKIMVEIQRFQLCIVNSSVLPFGDSIFDITIIAQLGEGYNLSIKELVKNIRKEALKFMSSR >RHN43837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48865902:48866847:1 gene:gene50467 transcript:rna50467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative T-complex protein 1, delta subunit MVDLRDVKMVKKLGGTVDDTELVKKGLVFDKKVSHAAGGPTRMENAKIAVIQFQISPPKNTDIQQSTVVSDDYSQMDRILKEDRSYMLGMIDKIKASGCNVLLTQEESILSDAATDLSLHYLAKANILLGYADLVEEVALGDDGKIVRFQVLRIWVKTTTVLVRGSNLLVLDEAQRSLHDALCVVRCLVGKRFLIAGGGAPEIELSRQLGAWAKVLHGMEGSCIQAFAEALEVIPYTLAENAGLNPIAIVTELRNRHAQGEINAGIDVRKGQFTNRWRLIFF >RHN67298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25788018:25788945:1 gene:gene15478 transcript:rna15478 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALICTQNWLKPSFVDFKDLNLSEEYELLENVVAVLSGVHVGPASPAVGVGPATGL >RHN67294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25673377:25676452:-1 gene:gene15473 transcript:rna15473 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MCDFLGAAKMIRGVPKEISDMKEELESIENFINNADRIADAEDDNASEGIKARIKQLIEASFGIQDVIDEYMICQEQPSGFANFVKTIILRRQIAYKIQKIKSQISEMNDTSGKEHSLHIQSSLEQGSSSTATNFNMENLRKAQFCIDEDDVVGFEVPRDILIDWLIEEREVHTIVTIVGKGGQGKTTLAKKVFDDNKIVKHFDCHVWIRVSQSYNIEGLLRDMLHKFYEQQGANLPQSIHQMNRESLVDEVRNYLQEKRYVIVFDDVWSLHFWDDIKFAMIDNKKGCKILITTRNMDVANACKKSSFVEVYEMKGLAEQQSLELFNKKTFHDLNGRCPENLIDISFKIVEKCNGLPLAIVLIGGILSCKDRNTSEWYKFSENLNIELKEDLKIKKIVGLGYHDLSYNLKSCLLYFGLYPEGCIVPTNILIRQWMAEGFVKDDMVKTLEDVADGYLTDLISRGLVQVVSISIDGRAKSCCVHDLVHALILEKCEELSFCKNISEDDQSSLSGMVRRLSIAIRFDNLMENIENSQVRSLLVKTLNESLARRIPTKYRRLNVLDLEHVGLLDVPKDFGSLTHLKYFRFRENFRGDRCVLPKAIGMLKNLETLDLTRTSFQAMPKEICKLRKLRHFLGYNMSLIQLKDGIGGMTSLQTLRDVYLDGGENEVVKLIQELGKLKHLRELVLIGVRSGYMSAISSSINEMQKVEKLQIRANGYDTVIDMHLNSPPPMLRHLTLDGKLEMLPLWIPKLQNLVKLKLKYSQLTDDKMKLLKSMPNLLTLSLSNNAYEAERLHFQDGWFENLKQLYLEDLENLNYIIIDEGALRSLKKLSLTFLRHLKTLPTGIQHLKKLGVLSIKQMSHLFSQAFFFDEGKVHWSFKHVPVVEIIHHPIN >RHN43488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46247519:46250905:1 gene:gene50073 transcript:rna50073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, NTF2-like domain-containing protein MDIFSNFSQPFLLAVTVTALCFFIALFSFFRTFSLSRRFTPSTKHCNCASSDSNSDAVVPYLNGGFSQMTEISPPPVVLADRRTGSSMMEELVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNAWKALYHKDFTLEQDSVRPSNGWKAYYAATRAIVIVNTEFFNILRDKSISAMSRFWLNADYVKCVHASGELFSGYNGVMQSWQLVFNWDQGLNFQVRDVRARVLTDMAWVTMKTYVDMDTGPFNVTNVFEFHNGRWYMVHHHSSVMNGDVEQQIVHG >RHN49399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54520202:54520892:-1 gene:gene44224 transcript:rna44224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative perakine reductase MATMQTELIPHVTLGTQGFQVSKMGFGCMGLGGAYSDLLPEQDGISIIKYAFSKGITLFDTADVYGVDGGNEILVGKALKQLPREKVQVATKFGIARSDDSGMVIKVHRIMCVHAAKLA >RHN48879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50875028:50875327:-1 gene:gene43644 transcript:rna43644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MHKYICNNAVPLTPSPLRPNSSTTFDNDYYPVLLQNKGLFTSDAALLTTKQSKNIVNELVSQNKFFTEFSQSMKRMGAIEVLTGSNGEIRRKCSVVN >RHN39733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10084109:10088250:1 gene:gene45794 transcript:rna45794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDILKVLFYLIFPVVYALNDCPFSLCGNNSFLIRFPFQLGEQYPYCVYPGFNLSCTNDSKTILKLPYSEEFYVRSINYLTQQIQLYDPDDCLPKRLLRLNFSNSPFIASFSRDYTFLGCSSQNIGSQFIPIDCLSNSTYFVSAIRSVSFVNSLSGCSVIKNLSVPIARPERFQENLRDDLSADLQLTWDKPDCSYCESHQLMCGFESINSNQVVCFSDYQPGTSRQGLKIFRIIALCVTGPALIFVILMASCVCYNDRIGNISRSAASRSAPAAISPQPEATVIAGLDESTIESYEKVIIGESRRVPGPNDGCCWICLAEYNSKETVRCIPECKHCFHADCIDEWLRMNVTCPVCRNSPAPSPLNVASSNV >RHN62827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46079327:46082065:-1 gene:gene25445 transcript:rna25445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine-nucleoside phosphorylase MREILHIQGGQCGNQIGAKFWEVICDEHAIDSTGQYNGDSDLQLERINVYYNEAGGGRYVPRAVLMDLEPGTMDSLRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRNLSVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLKLASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEEYEEDEEEIGA >RHN80600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39330236:39335907:1 gene:gene4542 transcript:rna4542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MEDTNNHNNQVTSLFEQQSTVNVTPELNGTTTDGSHHSVTENKKKRGRPRKYESPEEAIAGRKAIAARKAAAAAAAAANATATTSFSSPNFTKPKKFHSSSLGNSREGFNIHFVTVAPGEDIGQNIMMLMQKNSRCEMCILSASGSISSATLRQPATSGGNITYEGRFDIISLTGSYVRNELDGRSGGLSVCLSHSDGQLVGGSIAGPLKAASPVQVIAGTFSIPSKDPGAGIKGDVSTSKLPSPVGEPTSNLGFRPAINSSNGNAIPGNKEHQVIGGSHFMPQQYGVNVVPFHPSDWGSRPDSRNAGFELIGRTGHGANHSPENGNYS >RHN73143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13734731:13735145:1 gene:gene8929 transcript:rna8929 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVIPARRPAGFRRRRHRAGKFKLLFFSKFLHQKFRHHLLYTNPVLVLKRGERFVVDLHLNFRNFFTLFVKKLTDLDILFALESESGLKISKIRTYKLRSTNLDS >RHN42087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35313632:35313892:-1 gene:gene48482 transcript:rna48482 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYILSLDIFSLLGIDTCYLMESTRVGTSGTNLLVPWREISHVLEGRPIPWRDLSTILEGSPIPWSETSHVLEGRPILGDMNGKP >RHN62917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46748621:46749396:-1 gene:gene25554 transcript:rna25554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAENNSHSHSQSPIPCDNKHHDHEQVAGFDWMVLVAAILCAIVCALGLNTMLQCAFQCLGRVVTEPMQWIASRRLNSGIKKKEMVALPTSTFSTHDSGSPSSTSSCVICLAEFCNGDQIRFLPKCNHHFHVVCIDKWLLSHSSCPTCRHLTQV >RHN76181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48314174:48315843:1 gene:gene12467 transcript:rna12467 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTAMSLAGSGAGCMTKKQKHIAHLCNLRKQVSSSERNNDLQDWRGDSRNKRRSSSFVEENDYSASFHLDANSWLPFWLCLVTSSYFLLRDNINFFSSSRVKYEENVSLHNKSIPNERRDNINDATAVDDALSYSEGSGSPHSTCSSTYYDVFSEISDFDRTSYRHSLLSLEEEDIDWVSADSMTPENPSTPLSYIGDSFSEISDIGTPSYRDYLLKLKEEDSDWILDKASYSNSLLSLEEEDSEWLSGSMISENPYSPLSLSGDSFSKISDIGLPSYWESLIKLDEDNVWILDRASYTHSLLSLEEEDSAWLSDSMIHEGPSSPLSYNGDSFTDISNGGTPSYWDSLLRLEEEDNKWISDSKQELKYVQDGSPISSYKINWGIEVLPSVSTISSFIGTHCGKSEDAFSAEHLLETTDIEELNGDEPLFWPFEGEFNWDSEESSFCSSPSKRLVFDSRSTASRIKGDEQKGDEDFDSRMDIPLEYFDLDKECAIETLVGLKEFDGHEGLDSEFIGDGFMLEESL >RHN45677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24397232:24400933:-1 gene:gene40060 transcript:rna40060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Beta-grasp domain-containing protein MALASLRKLTTITTRQTTLSYLSQSPICRPTSTQKVSDRIVRLSAIDFQGQKHNVIGLSGQTLLKALINTGLIDPDSHRLEDIDACSAHCEINIAQEWLDKLPARSYDEEYVLKHNSRARVLNTHSRLGCQVLLNQDLQGEYCYFRLLLAKQDSKVKNGK >RHN65494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2679863:2680480:1 gene:gene13337 transcript:rna13337 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFLALVLLLLQLIFLTTFAQELEPVLYETDGFRFKPFVPSKPFIPSKPGLFRPNPISVDVPIVMPIPVTPVPITPVVVTPPAPVTVPPIDPLVPVPPAPVEPTVPVESSNPVMPSPVEPSTPVPITPVVVTPPSPVTLSPIIPLVPATPAPVEPPVDPSAPIIAPVEPPVIPTFPPLDVAAPSYSSLPLRPTYISRRLMAVHF >RHN69351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42833420:42834043:-1 gene:gene17806 transcript:rna17806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MRFLRGKLRSIQVSQFLLSILLQKLLHLFAYPLKYLGFIVELFLNLASCNYNIFKIILNFLQGCYLIHYFLHVDVYIKWIQINSADFVSIIGHMDKRVELDKSIKGEDPKYNVALSMMASKVSYENEAFIRDTVENRWKVKIEHYKILFPSKKKKNLLNKSVFFFLKKKRMFSLLNLCFCIDGSCGMR >RHN71480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:427578:433217:-1 gene:gene7086 transcript:rna7086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKKKTVVESEMKKKKSNEKEEAPPPPSDHDDSDDDDSDDSVEVSDYDSISEDEDSSADSIDDDDSSDSDSDSKSELEDEEGASPTTHHNASDDSDSQDEEEDVVDSEGGSESSDLHQEGGGAESDSSEDEVAPRNTIGEVPLKWYEDEPHIGYDIKGKKIKKKEREDKLGSFLANVDDSKNWRKVFDEYNDEEVVLTKDEIKMVRKLMQNKAPHSDFDPHPDYVDWFKWDDAKHPLSNAPEPKRRFIPSKWEAKKVVQYVRGIRNGTITFDKPKKEDDSYLLWEDDSGLTEKANHLAYIPAPKQKLPGHDESYNPPLEYIPTQEEINSYQLMYEEDRPKFIPQRFTSMRSIPAYENAMKESFERCLDLYLCPRVRRKRLNIDPESLKPKLPNKKELKPYPITCYIEYKGHEGAVTSISIEASGQWMASGSSDGTVRVWEVETGRCLRQWEIGEAVNCVTWNPLSDIHIVAVSAGHDVLLLNTGLGDEEEQQRIKELLLIDSPEASDETGKKAPSVRWLKDDKHDGIRLRHLKNVTSVEWHRKGDYFSTVMPADILY >RHN44165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2114420:2115178:1 gene:gene38252 transcript:rna38252 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDNLISFSSCTCWSHGDPYVQGLYYLGRLRSIPPPVELYLLITRSSLRIQFISLKGN >RHN77008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3148549:3154481:1 gene:gene415 transcript:rna415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartate--tRNA ligase MSTSESQEPTLSSEGSETKSKKASKKEAAKLEKQRRREVAAATSATSTLSVEDDPLAVNYGIVPLIELQSKTPANVNDWTRVEALNDSLENKQVLIRGRAQAIRPVGKKMAFLVIRENGFTVQCLVQAQPDLVSPQMVKFAAALSRESIVDVEGVVSIPAAPIKGATQQIEIQVRKLYCVSKAIPTLPINIEDAARSEVEIEKAIQAGEQLVRVNQDTRLNFRVLDLRTPANQGIFRIQSQVGNVFRQFLLAESFVEIHTPKLIAGSSEGGAAVFRLDYKGQPACLAQSPQLHKQMSICGDFGRVFEIGPVFRAEDSFTHRHLCEFTGLDVEMEIKKHYFEVMDVVDKLFVAMFDTLNTKCKKDLEAVANQYPFEPLKYLPNTLRLTYEEGIQMLKEVGVEIEPFGDLNTEAERKLGQLVLEKYGTEFYILHRYPLAVRPFYTMPCYDNPNYSNSFDVFIRGEEIISGAQRIHVPEFLEERAQACGIEVKTISAYIDSFRYGVPPHGGFGVGLERVVMLFCGLNNIRKTSLYPRDPLRIAP >RHN43484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46232935:46233132:1 gene:gene50069 transcript:rna50069 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRICGLINAKEFNGSEIVKVIKRKINHKSPVVQKHSLDLLETVAMNCDKVFSEIASEKVLDDM >RHN38607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:679851:680636:-1 gene:gene44564 transcript:rna44564 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQNSLKLDALSGRTGPCSQPMPRAKKTLSRVAVTRASSEKYSDFSLPRSDISKEQRKSCDICRRFENVLNHIPVCSGCKVLLEIYRVVKVYSGSVEDI >RHN76815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1499837:1511479:-1 gene:gene194 transcript:rna194 gene_biotype:protein_coding transcript_biotype:protein_coding MSATKPLTLFLDLSTFINNHRAEIDNPEAYLRILATRILHRG >RHN47953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43794871:43795324:-1 gene:gene42611 transcript:rna42611 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYLWWLLGRFLWVVVVVFVEVLVVGGDAGSGAAILGDVQVVVMQPECGDLQIEGHGLLLMVILVVVGDGYHKWWCLVLSWEAKYSLSLLVVVSSEVWR >RHN54129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7153172:7155789:1 gene:gene29119 transcript:rna29119 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPPVKFIHAYFPLTHLYTITSDGLVLIFHQMDQTRSSKVKESLELIFCQKNWNKNIFGDILYIIGFYW >RHN67768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30111722:30115798:1 gene:gene15996 transcript:rna15996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MAISILRAVMVSNSHCQSQPLIHYISSIDENAKRRKRVVFSSSHSSELNPVIRSSEVSFSFGTCLIPHPKKVEKGGEDAFFVSNYNGGVIAVADGVSGWAEEDVDPSLFPRELMANAYNFVQDEEVNNDPQILIRKAHAATFSTGSATVIVAMLEKNGNLKIANVGDCGLRVIRNGQVIFSTSPQEHYFDCPYQLSSERVGQTYLDAMVSNVELMEGDTIVMGSDGLFDNVFDHEIALTVANKEVSEAAKALANLANSHSMDSNFDSPYSLEARAKGFEAPWWKKVLGMKLTGGKLDDITVVVGQVVNS >RHN46820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35131298:35139020:1 gene:gene41351 transcript:rna41351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, calcium-dependent lipid-binding transcriptional regulator, plant MGLFFGIFLGVLFGVALMAGWERMMTYRSRKRIAKAVDIKLLGSLNRDDLKKICGENLPEWISFPVYEQVKWLNKQLSKLWPFVADAATMVIRESVEPLLEEYRPPGISSLKFSKLSLGTVAPKIEGIRVQSLKKGQIIMDIDFRWGGDPNIVLGVEALVASIPIQLKDLQVFTIIRVIFQLAEEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTALPGLSDMIDDTVNSIVTDMLQWPHRIVVPLGGTPVDTSDLELKPQGLLKVTVMKANDLKNMEMIGKSDPYVVVHIRPLFKVKTKVIDNNLNPIWNEEFDLIAEDKETQSLTLEVFDKDIGQDKRLGVAKLPLINLEAETEKEIELRLLSSLDTLKVKDKKDRGTLRIKYFYHEFNKEEQMAALEAEKMTLEQRKKLKEEGVIGSTMDALDGAASVVGSGAGLVGSGIGAGDGMVGHGFGAGAGIVGSGLGAVGSGLSRAGKFMGRTITGQSASRRSASGSSTPVFNVEESGGGAKPR >RHN74637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35373215:35382101:-1 gene:gene10741 transcript:rna10741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amine oxidase, FAD/NAD(P)-binding domain-containing protein MQCIYTPSYSIQSHHHDDFFHCYNYFPSPSLSIHLVSMSVSESNRQNTMTSTVFKVAVLGGGISGAVCASTLARNGVSVTLFESARGPGGRMSQRREKTEDGKELHFDHGAPFFSVSKPEVARLVQEWESRGLVAEWREKFGSFDIQTLKFDNIEQEGLSKRFVGVPGMNSICKALCNESGVESKFGVGIGRVEWLDDEKLWSLIGVDGQNLGQFKGLVASDKNIVSTRIADVTGRLPPLDLKLLPELSEKLHNLPVRPCFAVMLAFAEPLSTIPVKGFSIKNSKVLSWAYCDSRKPGRSTTSERWVLHSTAEYAESIIAQTGLKKPSDVTLNKVAEELFQEFQRTGTKISQPFFKKAHRWGSAFPAASIAQEEKCLWDRNKRLAICGDFCVSPNVEGAIDSGLAAALRLKDISSSCL >RHN75757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44821782:44823166:-1 gene:gene12002 transcript:rna12002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MATMKFTFLFFVSMMILSSSLSSAYKFHVGGKHGWAVKPSAGYSHWAEKNRFQVNDTLYFKYNKGSDSVLVVNKQDFDSCNTKNPILKLDDGDSTFKFDKSGPFFFISGIVENCQKGEKLIVVVLSPNHHYTPPSPTTVAPAPSHSAENAPSPSATGDTPPTTSPIDENSPAPSPAHSGSDRFRGSVGVGVALVLASFVF >RHN43065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42954550:42955747:1 gene:gene49588 transcript:rna49588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MESSSTFSSLRFSMLFAIFFTVMLSGDKVTATEGFEPSIPPLPPHDLQEHSFFSHTTLLPPILSHLGFHELATAAPSLSDAATTASSAWTGPSTIFAPSDASLRTCFSCSVPNLLREHIVPGLFTIEYLRTLPFGTKIETLSPGRCITVTSDSIHSNITTGGAPKVFIGGVEIAQPDLFNNGMVVVHGLQGFVSTLSPFSCDVERMTSLSFPFHPDHRSSAHVHTHGVTVLPAIMRLMLRDAMLRLRNNGFSILSLAMKVKYAELITLNNMTIFAVDDLSIFSGSQSYISNVRFHIIPNYYLSIADLEKLPVGTALPTLERGQPLLITTSGGGVTSAPMRINYVRVKVADVIRNVKIVVHSEVKERRKEQVLEPEHQLY >RHN69444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43449421:43454991:1 gene:gene17919 transcript:rna17919 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKLEEFPVVTLCRERKNFLKNASDQRYALAAAHLSYLQSLRDIGEALRRFSDQDLFLPTASTPSSSSSISSPVLTLPSREGKSSKNSSNHHDDHDHDDDSHLHLSSGSELSSPSSDHFNHHHEHQTPEAESEPERERDRGFEYGHEPQYEPEPQLEPSSSYGYNYPYPYPYQQDWNQNQNPNPNQAGVNSYAYYMKKSAPRGKSVIYQEPERHVAESGRWTGSPYGYGYNGMNGSAGGFFGFPAGPSTPAPPPPAPAPAPPSPPRVSTWDFLNFFESVDNGYSGYGSYGLGLGLASSGSSPDSKEVREREGIPELEDETEQETVKEVRHEKKKKKVAEEVEKEKAEAVKGGDFGEGTSNSKEVPLQQVSSSEGSSKTVRFHSSEGSGDSISSSEKLSPDNVVPKGSPPRKKGVSFEIEGDEDATVTTVEVDGESSMLSSLSTLSPHGTRDLKEVVREIRDEFETASNHGREVAFLLEVYKPPYQSRLAAFRVIYSRILEMLAPSKPPSHPPSGPPIQFSSRTMKLAKAYCGEPEKELISNPINLSSTLEKLYAWEKKLYKEVKDEERLRAIYEKQCKRLKALDNRGAEATKIDAAESLIRKLLTKINICIKSVETISGRIHKLRDEELQPQLAALINGLIRMWKFMFQCHQKQFQAIMESKTQSLKLNTGLQRDEGLKAIIELERELLNWCTQFNNWVRTQKSYVENLNGWLRRCLHDEPEETDDGVVPYSPSRIGAPQAFIICNDWQQAMNRISERGVADAMGEFAQKLHELWERQDEEQRRKIRAEYLTKDFEKQLKALRTEMGASKHEHDKVSGKNSLSKFNSDSGVSPLDDLKVDLDSMKKRLHDERAKHKEAIRLVRDAASNSLQTGLVPIFKTLESFTSEVVKAHEEVRLQNAAAS >RHN50223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5288714:5303137:1 gene:gene34490 transcript:rna34490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, heavy metal-associated domain, HMA, winged helix-turn-helix DNA-binding protein MGKKNDEPAASSSSSSASVVLQLDMHCHGCTKKILRTVHRFDGVQEVTHISYNKLKIVGNVDPEIVRDKLQRKINKVVYLVKENKLHEVFLSFRGKDTRVSFTSHLYAALQNAGITVFRDDDSLQRGDQISTSLLRTIELTQISVIVFSSNYSDSKWCLDELVRIMKCRRTTGQVVLPIFYDVDPSEIRHQTGEFGKAFQNLLNRIRISNEIDDSMNLVPLWIEALREVAGLAGFVVLNSRNESEAIKDIVEKVTRLLNKTDLFVVDNPAGVESRLQDMIQLLDIQQSNDILLLGMWGMGGIGKTTIVKAIYNKLGHNFEGRSFLANIREVWEQPDGQLHLQERLLFDICKETTTKIQNIESGKVILKDRLCHKKILLVLDDVNSLDQLKALCGERNWFGSGSKIVITTRDMNILRGNRVDQVYVMKEMDANESLELFSWHAFKQASPRDGFVGISENVVEYCGGLPLALEVLGSYLFDRGAVEWNCALEKLKRIPNNQVQKKLKISYDGLNDETEKEIFLDIACFFIGMDRNDVIQILNGCGLFAEIGISVLVERSLVIVDSKNKLGMHDLLRDMGREIVREKSPKELGERSRLCFKEDVLDVLSEQTGTQAVEGLALKLLKGDANRFRTKAFEKMNKLRLLQLSGVQLDGDFDYLSRKLRWLCWNGFPLRCIPKNFDQGNLVSMEFENSSVKLLWKENKMMEKLKILNLSHSHYLIQTPDFSYLPNLEKLVLVDCPSLCEISHSIGDLKKILLINLEDCISLCSLPRSIYKLNSLKTLILSGCLKIDKLEEDLEQMKSLTILIANNTAITKVPFLVVRSKSIGYISLCGYEGFSRDVFPSIIWSWMSPTSSLSFEVQISPAMSSLVSLDIPHSSSQDLSTISNHLSRLRSLWVECGSELQLSEDAQIILDALYATFSKEMESISATSQASDMKTSALIQRCIQLHGSRSEDYLKSVLIQMGMNFQVTNNLKENILQNMDENGSGGCLLPGDSYPDWLTFNSEGSSVTFEVPQVEGRMLKTITICVVYSSTSDNITSDGLRNLLVKNYTKATIQLYKREAVAAFEDEEGQRVVSSIEPGNKVEVVVVIENGFIVKKTAIYLIYDEKECLVRKDSLKATPMDDLQHNRREKFFKWRWKTVKEWCGKNKSLCWLESSVKDG >RHN45066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11278960:11279184:1 gene:gene39265 transcript:rna39265 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLFNSRLRIFPGNLKSKWSGPFMVKEVKPYGAVELEDPATKASWILNGQRLEPYFDGEFDRLTSTISLDNP >RHN82285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52571062:52575483:1 gene:gene6436 transcript:rna6436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MATLSETYACAPSTERGRGILISGDPKTNNILYCTARSVIIRNLDNPLQVSVYGEHSYPVTVARYSPNGEWIASADVSGTIRIWGTHNDYVLKNEFRVLSGRIDDLQWSADCQRIVACGDGKGKSFVRAFMWDSGSTVGDFDGHSRRVLSCAFKPTRPFRIVSCGEDFLANFYEGPPFKFNMSIRDHTNFVNCVRYSPDGSKFVTVSSDRKGIIYDGKTGSKLGEFSEEDGHKGSIYAVSWSRDSKQVLTVSADKSAKVWDIDENGSGTVHKTLAHPESGGVEDMLVGCLWQNDHLLTVSLGGTISLYSAKDLDQSPKSLSGHMKNITVLNLLNKSEKMLLSSSYDGVIIRWIPGIGYSGKFDSKQFGLIKLLAAAEEEVVTSGFDNKVYRVPLQGDNFGPAEHVDVGSQPKDLGLALNSPKLALIGIESGVVLLNGSNIVTTVNLGFVVTASTISPDGSEAIVGGQDGKLHIYSISGDTLTEQIVLEKHRGAISVIKYSPDVSMFASADLNREAVVWDCASREVVLNNMLFHTARVNCLAWSPNSKLVATGSLDTCVIVYEIGKPASSRRTIKGAHLGGVYGLTFIEPERVVSSGEDSCVRVWDLISE >RHN77678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8568883:8572187:-1 gene:gene1157 transcript:rna1157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate oxidase MAPNLVLLCVSVYLFAITTTIIVAEDPYRFFNWNVTYGDIYPLGVRQQGILINGQFPGPDIHSVTNDNLIINVFNSLDEPFLISWNGVQQRRNSYEDGVFGTTCPIPPGKNFTYILQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFDDPAGDYTVLIGDWYKSNHTDLKAQLDNGKKLPFPDGILINGRGSGGSSLNVEQGKTYRLRISNVGLENSLNFRIQNHKMKLVEVEGTHTLQTTYSSLDVHVGQSYSVLVTADQPSQDYYIVVSSRFTNPVLTSTGILRYSNSAGPVSGPPPGGPTIQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGMINLTRTIVLSSSAGQVNGKQRYAINSVSYVAPDTPLKLADFFKIAGVYRPGSISDRPSGGGIYLDTSVMQTDYRTFVEIVFQNDEDILQSYHLDGYSFFVVGMDGGQWTSDKRNQYNLRDAVSRSTTQVYPKSWTAIYVPLDNVGMWNLRSEFWARQYLGQQFYMRVYTTSTSLRDEYPIPKNALLCGRATGRHTRPL >RHN55624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20197981:20198208:-1 gene:gene30831 transcript:rna30831 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLTEFLLQGMLMNLISHNRQSTSNMDEQLDGLLHEESWLESRMKVLIEACEGNSSLLIQVKKLKDAAEKNSL >RHN68416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35314049:35317251:1 gene:gene16766 transcript:rna16766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase, protein trichome birefringence-like 28/ESKIMO 1 MKGKNNKSISIFFVVFSLFLFGVFMYNEDVKSIAEFSFSSIKYKTQEIQEEKSEPDNSVQRDGKNSEVKDSEEIQEPVNLKDVVLTKKNEGEEEKEEKIVLPQEDCDLFTGKWVLDNVTHPLYKEEQCEFLTSQVTCMKNGRSDSLYQNWRWQPRDCSLPKFKPRVLLEKLRGKRLMFVGDSLNRNQWESMICLVQSVIPQSKKSLNKTGSLSIFRIEDYNATVEFYWSPFLVESNSDDPKLHSILNRIIMPESIEKHGVHWKNVDYLIFNTYIWWMNTGTMKVLRGSFDEGSKEYDEVPRPIAYRRVLETWSRFVDNNIDPNRTKVFFNSMSPLHIKSEDWNNPNGIKCAKETTPILNMSTPVQVGTDHRLFVIAKNVTKSTKVKVDFIYITKLSEYRKDAHTSVYTIRQGKVLTPEQQANPATYADCIHWCLPGLPDTWNELLYTRIVSLS >RHN54270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8177449:8178299:-1 gene:gene29275 transcript:rna29275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-epi-6-deoxocathasterone 23-monooxygenase MELKKQKTNCPDGYTWTDYMSLPFTQNVISETLRMANIVNGIWRKAVKDVEIKGYLIPKDWGVMASLTSVHMDSKNYENPYKFDPWRWEKIGVVPSNNCFTPFGSGHRLCPGLELSRLELSIFLHHLVTTYRWEAERDEIVYFPTVKMKKKLPIRVQPIST >RHN55066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14844842:14850780:1 gene:gene30192 transcript:rna30192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif domain, PWI domain, nucleotide-binding alpha-beta plait MVRPVYPPRLPGAINVLPVSRPPVAGIPSIRPIIPPVVRPVVPPSVTPAEKQHTTVYIGKIAPTVENEFMLSLLKLCGNIKSWKRPQDLSSGTPKSFGFYEFDTAEGVLRALRLLTKLNIDGQELVINVDEAMRNYLERYVQKKTDNSKEKETQAAEVGKDQVAKTSDVNEEAKPDVEHSNKEEGNDSVNKKTHDVATFGIVTDEDREVDRDALEKIKQMIEERLNSRPLPPPPPLPTGDGSVDSTSEQPTKTREGDSDVDTKNESGEEKNERETNGDKKPTSEHDRPETPDRRHDRKSRERDRERELKREKERVLERYEREAERDRIRKEREQKRRIEEVERQFELQLKEWEYREREKEKERQYEKEKEKDRERKRRKEILYDEEDDDGDSRKRWRRNAIEEKRNKRLREKEDDLADKQKEEEEIAEAKKRTEEDQQLKRQRDALKLLTEHIVNGRDETMATREITNEMNNIVAVQDTVADFNHEGDANVLNTTHDESTMASVATTDTQSSGNAPLKKLGFGLVGSGKRTTVPSVFHEDEDDDAHKDKKLRPLVPIDYSTEELQAVESTPSGPTPPNLAAAAEFAKRISSTNFKEERLDGERDRSRHSNEKSNHRDRDRSDEDGTNHRDEHRERNSDRDRDRDHGLEKHKTYDNSRRLLDAKQLIDMIPKTKEELFSYEIDWAAYDKHQLHQRMRPWISKKIKEFLGEEENTLTDYIVSSTQEHVQASQMLERLQVILDEEAEMFVLKMWRMLIFEIKKVETGLASKSKS >RHN52122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31724062:31725561:-1 gene:gene36750 transcript:rna36750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ANTH domain-containing protein MGMDIQTKLRLALGTMKDQASIGKAMMYNHQQHEGFSNIEIAILRATSHGNSTIDNKYMHEILFHVSNSKGSIPFLAEKISRRLCKTKDNLVSLKTLVLIHRLLRGGNRTFEQELCKAHVSGHLQISIIRYACVTRNFSDPLVCFLHKYASYLEERMSWHVNQGGKLEPIMSKGLGFRRYDEKSFDMAFRILPKCQILIDKVLECSPYDILRSSYHSLAHVAMSNTLRESFQVYMTFCEGIEALVNMFFDLESSAKSLACEILKKGSIQSQKLHDLYQTCKKLVENKNLEYPFVQIISMNHVMALDQFGFQENKVEASHVSISSISKLPQISSLLNRSSELELEVTTKEIKKDEEKVDLIFSTTPTLSSWTLETKISKVWVVFEDEVHNESQILPTQ >RHN69552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44350477:44354272:1 gene:gene18034 transcript:rna18034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol dehydrogenase MSNTTTGQVIKCTAAVAWEAGKPLVMEEVEVAPPQAGEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTHLTPGDHALLVFTGECGDCPHCMSEESNMCDLLRINTDRGVMINDNQSRFSIKGQPIHHFVGTSTFSEYTVVHAGCVAKINPDAPLDKVCILSCGICTGLGATINVAKPKPGSSVAIFGLGAVGLAAAEGARISGASRIIGVDLVSSRFELAKKFGVNEFVNPKDHNKPVQQVIAEMTNGGVDRAVECTGSIQAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPMNLLNERTLKGTFYGNYKPRTDLPNVVEKYMKGELELEKFITHSIPFSEINKAFDYMLKGESIRCIIRMEE >RHN63024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47691258:47697329:-1 gene:gene25672 transcript:rna25672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CMGC-CK2 family MRTNPKQAPQLRLLLVCTLISLRAPVAQPPNVRIPTQSNESNTTTTIIVSQISSTMSKARVYTDVNVIRPKEYWDYESLTVQWGDQDDYEVVRKVGRGKYSEVFEGINVNSSERCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLNKYHLELDPQLDALVGRHSRKPWSKFINADNQHLVSPEAIDYLDKLLRYDHQDRLTAREAMAHPYFSQVRAAESSRMRTQ >RHN42222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36455282:36458749:1 gene:gene48638 transcript:rna48638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MSSATTHIITLTTRFHDVLNKISQIVETARKHQSARQLLRSILKDLTLVVQDIKQYNEHLDHPREEIKTLLEENDAEESACKCSSENDSYVVDENQSLIVNDVEETLYKAREILELLNYETFEHKFNEAKPPFKRPFDVPENPKFTVGLDIPFSKLKMELIRDGSSTLVLTGLGGLGKTTLATKLCWDQEVNGKFKENIIFVTFSKTPMLKTIVERIIEHCGYPVPEFQSDEDAVNKLELLLKKVEGSPLLLVLDDVWPTSESLVKKLQFEISDFKILVTSRVSFPRFRTTCILKPLAHEDAVTLFHHYAQMEKNSSDIIDKNLVEKVVRSCKGLPLTIKVIATSLRNRPDDLWRKIVMELSQGHSILDSNTELLTRLQKIFDVLEDNPTIMECFMDIALFPEDHRIPVAALVDMWAKLYKLDDNGIQAMEIINKLGIMNLANVIIPRKNASDTDNNNYNNHFIILHDILRDLGIYQSTKQPFEQRKRLIIDINKNRSELAEKQQSLLTRILSKVMRLCIKQNPQQLAAHILSVSTDEACASDWSQMQPTQVEVLILNLHTKQYSFPESIKKMSKLKVLIITNYSFHPCELNNFELLGSLQNLEKIRLERILVPSFGTLKNLKKLSLYMCNTILAFEKGSILISDAFPNLEELNIDYCKDLVVLQTGICDIISLKKLNVTNCHKLSSLPQDIGKLENLELLSFSSCTDLEAIPTSIGKLLNLKHLDISNCISLSSLPEEFGNLCNLKNLDMASCASIELPFSVVNLQNLKTITCDEETAATWEDFQHMLPNMKIEVLHVDVNLNWLL >RHN51703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23162803:23163025:1 gene:gene36204 transcript:rna36204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ent-copalyl diphosphate synthase MLFAYHVAAASIFEPERSLERLAWAKTTALLQILESNFKDEETRKGL >RHN73153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13885384:13885758:-1 gene:gene8939 transcript:rna8939 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMLALSITIVLVYTISSQLGIAFSRASIALGETEYLCDAFAADCIRLSDSAESRALYYSPDDSAESTAPYYSPDDSAKSPAPGISPSATYLESSEEYPTKLRYFDVTLYGAVADGKIHSSYI >RHN77207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5026496:5027439:-1 gene:gene633 transcript:rna633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MSFHEEEYPVRFTCVPVHETMFTQGEIEELFSLINQPVDPSSPGSGSQGSNRAVYSTRERKIRRMQSNRESARRSRWRKKRHVENLTNDLNRLRMENRELKNQLGLTMQYNLILSTENERLRLESMSLLATLLDLYRTLETIISQ >RHN39014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3566164:3569676:1 gene:gene44999 transcript:rna44999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDLPSFFPIVASILALLIVQLRFRSIRSPNRLKETIFSKNHNKIPEPCFALPFIGHLHLLSTKEPYFRTFSTMAEKYGSIFSLRLGCNQTLVVNSREIAKECLTKNDKVFASRPNVAAGRYLGYNNAILALAPYGDYWRYMRKISTLELLSSHRLEKLKHVRDFEIYSLVKNLYTFVKKTNGSIEVPISKFLDHMTFNIIVKMIAGKRFSGETINQEDSEAWRLRNAIKDTTYLCGVFVMADAIPSLSWIDFQGHVGFMKRTAKEMDFILDKWLSEHYKKRDEVQSGKEDDFMDVLISMFEEDDEICGHKRETVIKATTLILILTASGSTSITLGWALSLLINHPKVLKQAKEELDTNIGKDKWVQESDIKNLKYLQAIIKETLRLYPPAPLTGIREATDDCHVAGYKVTKGTRLFINLWKLQRDPLVWSNPNKFQPERFFNIHDQIDNFQNQEFGYLPFSYGRRSCAGATFGMQVLHLTLARLIQGFDINTKDGGPVDMIEGVGLALPKENPLDVMLQPRLPLELYESL >RHN80460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38280687:38281730:-1 gene:gene4391 transcript:rna4391 gene_biotype:protein_coding transcript_biotype:protein_coding MKASTILKSYLLRSRSPAAVAPLPEKSRFDQELTAELDTLGGDIELAQLLDASITTQKIVLNSLVNVSYRDDSDRGDVDKYLEDNVEILDVCNYFVEKIESINNYVDTLKMVVHLVDNGSAKHNKVATIRATELLESSCKSVENRSCKGLKNLLRQRLCHRETNISEIMCGSKAVTLMCLRFLEHGLSFDSKSGILPTMKLSQPTSTSWLRLLLELTKQAEASVDEKKLQKRRSSLLQQTMDAARELRQQMKVEKEMQSCVDRLKRNCKELEDEIEDIEGKVKDLYRCLIDVRMTLLGILSQA >RHN68568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36629829:36631984:-1 gene:gene16936 transcript:rna16936 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSTNSVNGFYSFLTRGIDDLERVFLTNNFMSIQFLQRTLSLLRSLHTQLTLLVQKLHLPVGDKWLDEYMDESSKLWEACHVLKSGISGIENYYSAASNITSTLDSHIHITPQISRQIIRAISGCRREAVGLEEENRALMETRIQPLSLRFDERVSIESKLNGFNGFRGVLYAMRNVSSLLLMILLYGLVYCYPESSDLIFGGYEDYEYEGCLFLGSSFMISTARLQQRVAAEMAGINGGPGMLLHEFRRSKVVIEDLRGEMERKGSMMEWENEVAIRERVDNLRSSFGVLRSGADNIIAQLDDFFDEIVEGRKKLLDFCSHR >RHN70683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53177346:53178341:1 gene:gene19293 transcript:rna19293 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFVPPDPDLCRLPPKPPWLICILVYFVIVLCVLCSGLVIKSVSQLSFDAIKHISCVVFYEDAPHFNTFAFYQLIFVQHDKVNKSETKFSQNARDEAKNMIRNWIGKKTLDSHIFFISIRR >RHN50077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3902071:3907372:1 gene:gene34329 transcript:rna34329 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSILLLAILTLALTSFVNSMRFDLQMGSTKCISEDIKINAMTVGKYSVVNPNEGYPLPDSHKITVKVSSPHSNTNHYAEHVESGNFAFTAPEGGDYTACFWIPSSRMAPSTVTIEFEWRSGVTAKDWSKVAKKGQLEIMEFELKKLYDAVSSIHDEMFYLREREEEMQDLNKATNSRMFTFGFLSILVCLSVAGMQLWHLKTFFERKKLL >RHN75585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43537923:43540065:1 gene:gene11814 transcript:rna11814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MCYHLACLLAAWTIYLYRFLCLIYLNQTTIYKSLTTVMYSSTREIQVFQVSHTLVAASYIHMKMRNQCNYFKVLILCILAASTHAQLELGFYTKSCPKAEQIVANFVHEHIRNAPSLAAALIRMHFHDCFVRGCDASVLLNSTNQQAEKNAPPNLTVRGFDFIDRIKSLVEAECPGVVSCADIIALSARDSIAATGGPYWKVPTGRRDGVVSNLLEANQNIPAPFSNFTTLQTLFANQGLDMKDLVLLSGAHTIGISLCTSFSNRLYNFTGKGDQDPSLDSEYAKNLKTFKCKNINDNTTIVELDPGSRNTFDLGYYSQVVKRRGLFESDSALLTNSVTKALVTQFLQGSLENFYAEFAKSIEKMGQIKVKTGSQGVIRKHCALVNN >RHN80977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42367015:42372563:1 gene:gene4964 transcript:rna4964 gene_biotype:protein_coding transcript_biotype:protein_coding MHFMQNLTTQILQEFEKLLNTKGVDKHEVSESKIKGYTSGRQPYTTFNPLSSSIFSCISFAKNTLSPLNSCLNI >RHN55102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15167910:15169975:-1 gene:gene30230 transcript:rna30230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase, trichome birefringence-like family MVMKMGISNPFKDQLHSLTKKLFPWTLYALLPIALLSLYLYPLPFLPSTESELPHSTTTIISHSSPPSFTSTPPPLEKENTFDTPTCDYFNGKWIRDRRGPLYNGTTCGTIKEGQNCIKHGRPDSGYLYWRWKPSECKLPRFEPNTFLQFIENKHMAFVGDSMARNQLESLLCLLSSVSTPDLVYTSGEDNKFRKWHFVSHNASVSVYWSPFLVQGVEKSNDGPNHNKLYLDLVDEKWAKDMEKMDFIVLSIGHWFLHPAVYYEGDSVLGCHYCVGLNHTEIGFYDVLRKALRTTLNSIIDRRGNKGSKIDVLVTTFSPAHFEGEWDKAGACPKTKPYRSREKELEGMDSEMRKIEVEEVEDAKVKGSEFGGVRFEALDVTKLALLRPDGHPGPYMYPFPFANGVPERVQNDCVHWCLPGPIDTWNEIFLEIMKKWEDNPRTQE >RHN76269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49021783:49038294:1 gene:gene12564 transcript:rna12564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MAKKRISTATLFFIFISFIALCAIVPVFAPLPSISSRQHHHAHHSHSKKVNKKFEIANDMFWKDGEPFRIIGGDLHYFRVHPEYWEDRLLKAKALGLNTIQTYVPWNLHEPAPEKLVFEGIANIESFLNLCHKLDLLVMVRPGPYICAEWDWGGIPSWLFSRNPTPKPRSSDPAFLKLVERWWGKLLPKLVPLLYDNGGPIIMVQIENEYGSYGDDKAYLHHLITLARGHLGQDAILYTTDGGSRENLEKGTIRGDTVFSAVDFTTGDDPWPIFKLQKEFNAPGKSPPLSSEFYTGWLTHWGEKNAKTDADSTAAALEEILRKNGSAVLYMAHGGSNFGFYNGANTGANEADYKPDLTSYDYDAPIREAGDVDNSKFNAIRRVISRYSSAPLPSIPSYNEKTTYGPIHLQRRSSLFDIFDFTNSSSSFESENPMSMENVGQFFGFLLYVTDYEARRGGRNLSIPKVHDRAQVFISCSSKGRGTRPTYVGTVERWLNKKLSLPEYQCHSKINLYILVENMGRVNYGPFIFDRKGILSSVYLDGNRVQGWKMFPIPLHNLNEVPNYNRIMQASYSAFGEISTSRKRLMNKSENTSKEPAFYSGHFLIDKTSQVKDTFLSFRNWGKGVVFVNDFNLGRYWPLRGPQCNLYVPAPVLKQGDNFVVIFELESPDPNLLVHSVDEPDYTCGFNGMNIHQL >RHN74554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34706845:34708830:1 gene:gene10642 transcript:rna10642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MGMNEDFNMDNEYVVDDDDVPLPGFRFHPTDEELVTFYLRRKLDKKPIAIDLIKQIDIYKYDPWDLPKVNIPGAEKEGYFFCQRGRKYRNSVRPNRVTGSGFWKATGIDKAIYSNGAEGNDCVGLKKTLVYYRGSAGKGTKTDWMMHEFRLPSNIDAKTNISYPRNDADYAHEAEIWTLCRILKRNVSQRKQIPEMKQLANKLQSVHNTSTRMDNNMEFNINQQTYINFGASHEHHHVHEDKPVNNYTSSDQSNQFHGSNQFHEDANELLPFDNWDELGSVVRFAVDSPSL >RHN71175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56967214:56971548:-1 gene:gene19825 transcript:rna19825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative procollagen-proline dioxygenase MAKGKHTHPRSQVKKLSTLILLTLFMLTLVIIVLLALGILYLPNTTDDSLITDRRKIYESLAEKKEQWTEILSWEPRAFVYHNFLSKEECEHLINLAKPFLAKSSVVDSKTGKSTESRVRTSSGMFLKRGKDKIIQNIERRIADFTFIPVENGEGLQVLHYGVGEKYEPHYDYFLDEFNTKNGGQRVATVLMYLSDVEEGGETVFPAAKANFSSVPWWNDLSECARKGLSLKPKMGDALLFWSMRPDATLDASSLHGGCPVIVGNKWSSTKWMHLEEYKV >RHN82213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52003788:52007079:-1 gene:gene6358 transcript:rna6358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MTKMAPSIPFSVLFILLLQFPFQTITANSEGNALHVFRNSLSDPNNVLQSWDPTLVNPCTWFHVTCDSNNRVSRLDLGNAGLSGSLGSELGHLHHLQYLELYGNDLRGKIPKELGKLKELISMDLYYNKLEGKIPKSFGKLKSLRFLRLNNNNLTGSIPRELTRLTHLEVFDVSNNDLCGTIPVDGNFGSFPIKSFENNRLSGPELKGLVPYDFGC >RHN79312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27471069:27473702:-1 gene:gene3087 transcript:rna3087 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYGLHGVISSLMLCFLMNEGFHICYDDSVFQVSGDAKEILFGSHESIDVGLDKNQPSGPNRPVRMAR >RHN65455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2375316:2376300:1 gene:gene13294 transcript:rna13294 gene_biotype:protein_coding transcript_biotype:protein_coding MLITCNCITNNIKKMMIRCCIKRFELQASNIPTLFTYTRALSLSQVLLLFFCINIRFLGEGSSSNPKFDREVSKV >RHN56315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29795974:29800116:1 gene:gene31697 transcript:rna31697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MSLPRLGIGDEESKSNVTLLEKSLHLNGSKPKEFNYMGLPSSNCSSVDSSVPKIQSFKDETKSNLNLKATELRLGLPGSLSPERDSSDFCLRSSKQFDEKPLFPLHPQKDDHLFESKPAVLGNKRGFSDAMNVFSEGKLKPSSKMLENVAGQKVKADEIATVKIGLERPNGVGESKPGLNGSANNGNSTAPASKAQVVGWPPIRSFRKNSLTTASKNTEEVDGKLGSGGAVFVKVSMDGAPYLRKVDLKNYTAYSELSSSLEKMFSCFTIGQCESHGNQMLNETKLRDLLHGSEYVITYEDKDGDWMLVGDVPWEMFIDTCRRLRIMKSSDAIGLAPRAVEKSKSRN >RHN73006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12527331:12537763:-1 gene:gene8775 transcript:rna8775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MVKVRMNTADVAAEVKCLRRLIGMRCSNVYDLTPKTYVFKLMNSSGMTESGESEKVLLLMESGARLHTTVYMRDKSNTPSGFTLKLRKHIRTRRLEDVRQLGYDRIVLFQFGLGENANYVILELYAQGNVILTDSSFTVLTLLRSHRDDDKGLAIMSRHRYPVESCRVFERTTTAKLQTALTSSKEDDNDEAVKANGNGTDVSNVEKEKQGSKKSGKSYATLKIILGEALGYGPALSEHMILDAGLIPNEKVSKDKVWDDATVQALVQAVAKFEDWMQDIISGEIVPEGYILMQNKVLGKDSSVSQPESLKQIYDEFCPILLNQFKSRDHTKFETFDLALDEFYSKIESQRSEQQHTAKENSALQKLNKIRNDQENRVHTLRKEADNCIKMAELIEYNLEDVDAAILAVRVSLAKGMSWDDLARMVKEEKKAGNPVAGLIDKLHLERNCMTLLLSNNLDEMDDDEKTLPADKVEVDLALSAHANARRWYELKKKQESKQEKTITAHEKAFKAAERKTRLQLNQEKTVASISHMRKVHWFEKFNWFISSENYLVISGRDAQQNEMIVKRYMSKGDLYVHAELHGASSTVIKNHKPMQPVPPLTLNQAGCFTVCHSQAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNYLPPHPLIMGFGLLFRLDESSLGSHLNERRVRGEEETIDDNVETGPVEEQSDSESEKNVADGETAADSERNGNLSADSPIPSEDLLADTSQTSLAAINAKTTVSDDFSAKDPSTKNMLDSEKLSDFSGNGLASVSPQLEEILDRALGLGSVAKSNKSYEAENTQLDLSSENHNESSKPAVRDKPYISKAERRKLKNEPKHGEAHPSDGNGKDKSKLKDISGDLHAKDAENLKTGGGKKISRGQKGKLKKMKEKYADQDEEERSIRMSLLASSGKPIKKEETLPVIETSDKGKKSDSGPIDAPKICYKCKKVGHLSRDCKEQPNDLLHSHATSEAEENPNMNASNLSLEDRVAMEEDDINEIGEEEKEKLNDVDYLTGNPLPNDILLYAVPVCGPYNAVQSYKYRVKIIPGPVKKGKAAKTAMNLFSHMSEATNREKELMKACTDPELVASIVGNVKITAAGLTQLKQKQKKGKKSSAKQGS >RHN44360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3847356:3855647:-1 gene:gene38471 transcript:rna38471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MQLHFSPSMRSITISSSTNNHQNGFIDLMKIKVAARHISYRTLFHTILILAFLLPFVFILTALVTLEGVNKCSSFDCLGRRLGPRLLGRVDDSGRLVRDFYKILHEVKTGEIPADLKLPDSFDKLVSDMKNNQYDAKTFAFMLRGMMEKFEREIRESKFAELMNKHFAASSIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPLLSENSYHHFILSTDNILAASVVVNSAVQSALKPEKIVFHVITDKKTYAGMHSWFALNSASPAVVEIKGIHQFDWLTRENVPVLEAVENQNGIRDYYHGNHLAGTNLSDTSPRKFASKLQARSPKYISLLNHLRIYLPELFPNLDKVVFLDDDVVIQRDLSALWEIDLEGKVNGAVETCRGEDDWVMSKHFRNYFNFSHPLISNHLDPDECAWAYGMNIFDLGAWRRTNIRETYHSWLKENLRSNLTMWKLGTLPPALIAFKGHVHPIDPSWHMLGLGYQSNTNIENVKKAAVIHYNGQSKPWLPIGFEHLRPFWTKYVNYSNDFVKNCHIQES >RHN65314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1154017:1159221:1 gene:gene13139 transcript:rna13139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MTNTLPIIMLLIISNLLFFFSQLSTAIDTITQFQSLDDGNTLVSNDGTFELGFFTPGSSTNRYVGIWYKNIPKRRIVWVANRDNPIKDNTSNSTMLIMSNDGNLEILTNNNQTLVWSTNITTQSLSTTSSHVAQLLDNGNFVIKANNNTDQQSNNFLWQGFDFPCDTLLPDMKLGWDLKTGLNRQLTSWKNWDDPSSGDFTWAIVLRSNPEIVLKKGSVEIHRSGPWNGVGFSGAPAVTVTQIVETKFVNNTNEVYYTYSLVNKSNVSITYLNQTLEKRQRITWIPEDNDWRVYEEVPRDDCDAYNPCGPYGKCIPNESPICQCLEGFEPKSPQNWDTFNWTQGCVRKGEETWNCGVNDGFGTFSSLKLPETTHAWVDGNMTLENCKNKCLENCSCMAYSNLDVRGDGSGCSIWFGDLIGLKQVSSVQQDLYVRMDASTVDPNGDVSGGNKNNHTLVIAITVPLVIVLLLVVIVFYVYKRKRKQRGVEDKSENINLPEKKDEDEQDFELPFFNLSTIIDATNDFSNDNKLGEGGFGPVYKGTLVLDRREIAVKRLSGSSKQGTREFKNEVILCSKLQHRNLVKVLGCCIQGEEKMLIYEYMPNRSLDSFLFDQAQKKLLDWSKRFNIICGIARGLIYLHQDSRLRIIHRDLKPSNILLDNDMNPKISDFGLAKICGDDQVEGNTNRVVGTHGYMAPEYAIDGLFSIKSDVFSFGILLLEIVSGRKNKGLSYPSDKHNLVGHAWRLWKEGNSKELIEDCFGDSYILSEALRCIQVGLLCLQHHPNDRPNMVSVLAMLTNETVLAQPKEPGFVIQMVSTERESTTENLISSSINEVTISLLDVR >RHN43689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47733656:47734415:1 gene:gene50304 transcript:rna50304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arabinogalactan peptide, AGP MIEMKALRFFSFSILSLVLFAISEAHDFSPSPTPAPAPAPAPSSDGTAFDQGIAYFLMLVALLITYMFH >RHN56631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32422347:32424636:-1 gene:gene32063 transcript:rna32063 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSFSIETSHRPSSVFQNVKDRLRFNLIYSLASFSLTFPLRSRVHSLRLFSDSSAILNCYTCCSYPFSTVGRHFRRYWVLLLLF >RHN65362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1483211:1487057:-1 gene:gene13191 transcript:rna13191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative derlin MSTPAEYFWSLPPVSKTFGVACFMTTAAYYLNLYDAESIALFYGLVFKRLQVWRLITNFFFLGPFSFPFAVRLIMIAKYGVSLERGPFDKRTADFVWMLIFGALSLLVMAVVPYLWSPFMGISLVFMIVYVWSREFPDARINIYGLVSLKGFYLPWAMLGLDLIFGNPLKPDILGMVAGHLYYFLTVLHPLAGGKFKFNTPLLVHKIVAYWGEGTQINAPVQSNPSAGIIFKGRSNRLGGNQTTTRSTSQETSGINASSPQQQNQGDGIAFRGRSYRLNK >RHN39680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9529814:9532940:1 gene:gene45727 transcript:rna45727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MNQVKQFHGYTLRNNIDNTKILIEKLLQIPNLNYAQVLLHHSQKPTTFLYNKLIQACSSKHQCFTLYSQMYLHGHSPNQYTFNFLFTTCTSLSSLSLGQMIHTQFMKSGFKHDVFASTALLDMYAKLGCLKFARNVFDEMSVKELATWNAMMAGCTRFGDMERALELFWLMPSRNVVSWTTMVSGYLQNKQYEKALGLFMRMEREKDVSPNEVTLASVLPACANLGALEIGQRVEVYARKNGFFKNLFVCNAVLEMYAKCGKIDVAWKVFDEIGRFRNLCSWNSMIMGLAVHGQCHKAIQLYDQMLREGTLPDDVTFVGLLLACTHGGMVEKGKHVFQSMTRDFNIIPKLEHYGCMVDLLGRAGRLTEAYEVIKRMPMKPDSVIWGTLLGACSFHGNVELAEVAAESLFVLEPWNPGNYVILSNIYASAGKWDGVAKLRKVMKGSKITKTAGQSFIEEGGQLHKFIVEDRSHSESSEIFALLNGVYEMIKFDKNEYEWHLDFDLNK >RHN80536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38865142:38868531:1 gene:gene4474 transcript:rna4474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEMAVSFAIKQLLPLLTEETKLLKGVHKEFADIKYELESIQTFLEDADRRAAAEGDNTTEGAKMWVKQVREVAFRIEDVIDDYMIHVGQQPCDPGCISPLHKVARFPKTMTPRRQIASEIQDIKSVVCGIKERSERYGFQIQGSSSFRGNQNAKWNDQRMAALYIDEAEVVGFQEPKNRLIDWLVKGRVERTVISVVGMGGQGKTTLAKKVFDSKEVVGHFECRVWITVSQSYNTEVLSRHMLEKLYGQKGEKPPKGITEMDRGALISELRKYLQKKRYVFVFDDVWNTSFWDEIEYVVSDNKNGSKIFITTRNKDVAMYCKKSSFIEVHELQPLTEEQSIDLFNKKAFQFDLEGCCPKELIDIAFEIARKCKGLPLAIVTIGGLLSTKGRNAFEWQRFSENMTVELRNDSHLTRIKKILGLSYDDLPFYLKSCLLYFGMYPEDYEVKSKRVILQWIAEGFVKEESGKTLEEVGERYLTELIRRCLVQVSSVSIDGKTRSCCVHDLIHMMILEKCEDLSFCKHFNDDDHSSLSGTIRRLSIATNSGDFRACIENSHIRSLFLFTDKSNYLEESILKRIFKKQRTLKVLHLEDVGCFVDYKPFRCLIHLKYLSLKNRSGKYLCISNRSRKYTYAFPKWIGILLNLETLDLRAAFGFIIIPKEISKLRQLRHLIGCRMSLFHLKDVIGCMESLQTLSGVKIGKGGIELIKELGKLRRLRKLSLNDVRARHSSALSSSLNEMRHLEKLRIVSSSGYDMVHDVIDMHLVSPPLPMLRNLKLCVKLEKFPEWIPQLKNLVKFDLAYSLLTDDPIKYLENMPNLLSLSIINKAYEGESLHFHDGGCQNLKELYIGDCPNMNSIVIDKGALHSLKKFELFEIPNLKTSGIQHLEKLEVLNVWDVPLAEFNLSEENQSETLRTKEIEITNHPNRRTEFWRR >RHN73546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17539166:17548238:1 gene:gene9370 transcript:rna9370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CK1-CK1 family MERRVGNKFRVGRKIGSGSFGEIYLGTNIVTNEDVAIKLENVRTKNPQLLYESKLYRMLQGEPGIPNIKWFGVEGDYNVLVMDLLGPSLEEMFNFCSRKLSLKTVLMLAEQMIKRVEFVHSKSLLHRDIKPDNFLMGLGKRENQVYCIDFGLAKKYRDSTTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESVGYVLMYFLRGSLPWQGLQARTKREKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDRPDYAYLKRIFRDLFIREGFQSDYIFDWTILKYQQAQLITPPARAIGLNAGTSSGIPPAMASANRHTGREEGRHSGMVSVNSSRRRMSGPILNSVNISNQRNPGPGDAAISRDVMLSSANVLGESSGSRRLHGSSSRNAYGGADSNFRARATEDINGKAINSSGQRISQSGPLDPRRVTSSRNSSHINNYETALKSMEGLKLKNVDSHQ >RHN78119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12059404:12066458:1 gene:gene1640 transcript:rna1640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-ketoglutarate-dependent dioxygenase AlkB MAMPMGNAVTPEIKHFPAVVTAGEMQYRPPPQQQQQHQQQWFVDERDGFMNWIRSEFAAANAIIDCLCQHLGVIGESGEYDHVVGAIQQRRVNWNQVLLMQQYFSVNEVAYALQQVAWRRQQRFVKPVVKEFRKGRQWQRFEGGNGKEGSNSSVENHRRGEGNFVVKGSQVVDKIEEVKSGGKIGNKDAKILDTSEEKKDAIKNHQSDGLLKSSGNSQGSLSSTECASNSGENDSHSMQNQQQNVSGSATGKTFISNEMFDGKMVNVVEGLKLYEDLFSSTEVSKLGSLVNDLRVAGRKGQFQGSPTYVVNKRPIRGHGREMIQLGVPIADVDNVTASFKDKNVESMPSLFQDIIERMAASQVMSVKPDACIVDFYNEGDHSTPNIWPSSFGRPVYTLFLTECDMTFGRTFVSEHFGDYKSNVKLSLGPGSLLVMQGKSADFAKHAIPSIHKQRILVTFAKSQPKKSLPIDAQGLASSATSHWSAAPNRSPNHISHRPLAPKHYSAVQVTGVLPAPSLHVAPNSMQPLFVPAPVATPIQFATPVPIPAGSTGWTVAPPRHPPPRVVVPGTGVFLPPGSANSSQHSQGTFTEVNLGVGIPTPTIKENGKSNHSNTNGSPKGKMNGNIERQECNGNAGATEVEQAIEEKGESNAETVASQ >RHN43448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45968020:45972326:1 gene:gene50026 transcript:rna50026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MADPPKENQNHHHPQDEDDAGAAFVLQSKGEWWHAGFHLTTAIVGPTILTLPYAFRGLGWGIGMLCLTVMGVVTFYSYCLMSKVLDHCENAGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGVGVGAILLAGQCLQIVYSNIAPHGALKLYDFIAMVTVVMIILSQLPTFHSLRHINLCSLFLSLGYTFLVVGACIHAGTSKNAPPRDYSLEPKESSRVFSAFTAISIIAAIFGNGILPEIQATLAPPATGKMIKGLTMCYAVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDDGPSLAPTWVLGLAIVFVLLQLFAIGLVYSQVAYEIMEKKSADVKQGMFSKRNLLPRLILRTTYMIFCGFLAAMLPFFGDINGVVGAVGFIPLDFVLPMILYNKTHKPKKSSLTYWINISIMVAFTGAGIMGAFSSIRKLVLDANQFKLFDSEVVD >RHN46445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31783377:31789699:-1 gene:gene40931 transcript:rna40931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Root UVB sensitive family MSQTFQFSLSSTAFNSSSLNLKKLKKVKILCSSKHSSFKDDDVNEGGEGLSRVILVERYSNGTAKRYIIGDDSRLRTILIEEDRSTQNRFGVLHSPDKRLSWLPDTVKAFILPAGFPGSVSDDYLQYMLLQFPTNVTGWICHTIVTSSLLKAVGVGSFSGTTAAASAAAIRWVSKDGIGAVGRLFIGGRFGNLFDDDPKQWRMYADFIGSAGSIFDLTTPLYPGYFLPLASLGNLTKAIARGLKDPSSRVIQSHFAISANLGEIAAKEEVWEVVAQLVGLGLGILILDTPGLVKSYTVLSSTWLIVRLLHLWLRYESLSVLQFNTINLKRARTLVKSHVLHSTVPGCMDCNKEENILVWSQFMKPIIIFGSPLDKMDGMERSHFMVEALLKLYANEKYILVVNQQLDDLKFYVSFKVGATSVSVLRSIWQTFWLSENWESKGNVCDQLANSLMELENRFDDFIQKLKDAEWDTQQLNLKVPKEISIDDINTS >RHN76550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51167587:51168947:1 gene:gene12893 transcript:rna12893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterile alpha motif/pointed domain-containing protein MDWFSWLSKTNLEPSLVYEYGLVFAQNELEEEDMFYFNHEFLQSMGISIAKHRLEILKLAKKDKRKSPHTVAKFVAAIKRTKKYLANYVRTLTHTEESALMVMPTRPNGGFGRRWKSAIMKRNTKFVVAKQEKMFLTNGRSSMPKTVAPALSGDLDGFSSPVVYNFQKEQKMDGDDGGDGNNDNHDDGYWSAAVEEIKWDTMFKDLKPN >RHN60136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21624635:21629823:1 gene:gene22372 transcript:rna22372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGCCVSTSSRSTCSSGSNGEMITPSCFEICFCGQKRARRTFSDHAISLHQLSSLPSRIFTNGKSRGSCIFTQQGRKGINQDAMVVWEDFMSEDTIFCGVFDGHGPQGHLVARKVRDTLPVKLLSFWHSLESKQNRSDKTCFKRNITPDCGESEKDCSAEDKLNSTWREAFIKAYKTMDKELRSYPNLDCFCSGSTSVTVVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAIQLTVDLKPDLPREAERIKQCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRLLTDRDQFIVLASDGVWDVLSNEEVVEIVSSAPTRSSAARILVNSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYDEQGFSSATIQSNHSGNPVESDDGQKSEPSLRRNFTVRSSEENETNGAVSVDVEDEAASGEDQNWLGLEGVTRVNSLVQLPRFSEERSNS >RHN61175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33660018:33666330:1 gene:gene23612 transcript:rna23612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative programmed cell death protein MNPVPFQSAPPPPPQLFLEPMQLPILPPEPPKSSSFWETRNVCDWFRELQDTLNLAKGMQKELEMLKTIKESKGPLEDVTHGSNENLLSFMKCLKDRGVSIETQEAMAVEEANSLMLKLKAQLEPFRYVADEASPWEEKSAVAKFTNKVHKSKRNKLWRKKKRKRIAEMLAKEHEQFDQIDREADEWRAREIAKEIASIKVKKMNEIGELKVKEEKKKLESELELLLMEEKLKELRSIRIQKLKKQGHFLPEEDDKFFERVQAAVEEEEREALAAAETDAAKDAIATAEESRKAMQNQGKLSKESNDESQIKENNGQIVHSVTEEGLDATDEKKSSNIASEGQNYGGAYDPLANLPIEFYHYYHGSNNDMGTLIEVRRGWDAYIRPGGSRIPGHWVQPPPPANEIWASYLVRSKR >RHN39112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4190286:4192128:-1 gene:gene45108 transcript:rna45108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MSVTFAPTGLQAEVKSVEMYPGTRLQAEANSSEMHREPLDVAYPGDIVRFNVNVSATDLKQGYVASNPEDDPAKEVTHFTSHVIITNHPSQIEEGYTPILHCHTSHIPVKFAELIYKVDYCSHKIIEKRPPFLKNGDNCLIKMIPTESMVVEPFSLSPPLSRFVVQDLHQIVAVGVAINVKRKN >RHN70041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48259061:48266665:-1 gene:gene18581 transcript:rna18581 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTAVVEGGDAAAAPQGRQQAGGGFSLTGIIRMVVFWYFASKFFSPASKKSPNNEPAILISNLFHKAQPMDMWVYLTENDKFNEFGNESALVWHETNILYAEWGPENTRTLTLNYPPTESLKHNGSLYAHVFFAQSGYSPDPSDPEYQPQAAFGRTHPVVIYKPKSKADKRKSLLGADSSEGQVAPEVIDDIEDDSKDEGPVEWRAYWKPNITINLIADFTQYPNTGIPPNIAPYLNIDPITGNYYPTIFFNEFWLLRDKLIALNETVTELTLNLEVGPISMTKWQLFMQIDQSFQIHRSYGSMLDGEADELKRVFLEGNPYLLGITMIVSLLHSVFDFLAFKNDIQFWNKNKSMEGLSAKTVVVSFICQLIIFLYLLDNDTSWMILLSSGVGLVIEFWKIGKAMHIEIDRTGRIPMLRFRDRESYAGNKTKEYDDIAMKYLTYVLFLLAAGFAVYSLKYERHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPTLHRLSVFRDDLIFLIYVYQRWIYPVDKKRVNEFGFGGEDDQPPAVDSAETVAAKEEEKKTN >RHN49148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52808942:52809446:1 gene:gene43946 transcript:rna43946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MMIWTLFSKFNMSRQYDSGSWAAIGSMDQMIIWDVQHYLARSTCTHEYGATCLTWLGTSCVATGSNDGVVRLWDSRSGECVRTFRGHSEPIQSLSLSANRDYIVSASMDHTARVFDVKGFC >RHN43601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47155726:47158986:-1 gene:gene50207 transcript:rna50207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MKIEELEDAECSRDEEENFERQIVRVDAKRALVGAGARILFYPTLLYNVLRNKIETEFRWWDQIDEFLLLGAVPFPKDVPHLKNLGVGGVITLNEPYETLVPSSLYHAHGIDHLVIPTRDYLFAPSFVDISRAVQFIHHNATCGKTTYVHCKAGRGRSTTIVLCYLVEYKHMTPVAALEYVRSRRPRVLLAPSQWKAVQNYYKQRPCPLPCSPSGDAVLKHKDPVQNYNKQRPCPLPHSPSGDTVVITKDDLEGYHSTSDTSIELAIVPKVPKTKPMIARLSCLFASLKVSGSSVPMTRRLPVSESRAC >RHN53009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41119504:41120626:-1 gene:gene37752 transcript:rna37752 gene_biotype:protein_coding transcript_biotype:protein_coding MEIETNQAMVVTKKVWNTLRIILFMFTKNIAKSKMVAQFNLLLKRSKLAAIKAIANTLTLRHHSSSSFVSPHDYEFSCSNNPAVIKFHNKNKNHRHSCHHNDVSTMQKVLEILNDVDATFSSPSPLVAFPGFGKSPIGKKIRVTDSPFPLKDEEGDDHSHVDVAAEEFIKRFYKNLNLQQKLAAIQSPYNNSRNR >RHN40446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16371895:16378343:1 gene:gene46598 transcript:rna46598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MEDSFDKSKIVEVKPLRSLAPVLPKHVQSVFSREYPSGFPPFYSFVKPHQIPLPKEAEEIPLDDKVAEKTPVKAAAAAAHDTPVAAKVTPIRAYKSSHDEEMNQQGDGDNNDYSEGFIGDKQKRSTDNIENCKEFLRRKKNKTREASVGGTSSYVGVNPTLKDDGDRESVNHVLMTFDSIRRRLCQLEESKELNNALAIKRADLRASNALTCKGFKTNTRRRAGVVPGIEIGDVFFFRMEMCLVGLHAQSMGGIDYMSIKDGSKEDTVALSVVSSGVYGDDTEDNNVLIYSGQGENFNKKDKHVTDQKLQRGNLALDRSAQRHDEVRVIRGVRDPMNRSAKIYMYDGLYKIQDSWIERGKSGGGIFKYKLVRLPGQKIAYAAWKLAQKWKAGSCARNGFILADLSNGVESIPVSLVNDVDDEKGPAFFTYFTSLKNPKPFGLVQPSLSCNCNTACVPGDLNCSCIQRNEGDFPYTANSVLVSRKPLIHECGPMCRCFPTCKNRVSQTGLKQHMEVFRTKGRGWGLRTLDPIRAGTFICEYAGEVINRAKVYQNVEGDNDEYVFDTSRIYEPFKWNYEPSLLEDVSSNVCSEDYTIPSPLIISARNVGNIARFMNHSCSPNVFWQPVLYAENNQSFIHIAFFALRHIPPMAELTYDYGITHAGHAGGSSALRRRKKCLCGSARCRGFFG >RHN39532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7926935:7927285:-1 gene:gene45562 transcript:rna45562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S49, ClpP/crotonase-like domain-containing protein MIKLKEYPDDGDFYKLHAAKKGSSRPRKTGKFTKSLAYKQFRDDAALSRLMTIDKMEEVAQGRIWTGKDAISNDLVDAIGGISHAIAIAKLKANIPQNKHVTIVEFSYSSCGFKGY >RHN54039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6409441:6410025:-1 gene:gene29015 transcript:rna29015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MALAMIISLITTKLLPFFYITIDLMIVVVVAVRKTQVMVHTLGTDSWRLIQDFPSLITGTTYLKSGKFVSGTINWLVCRGDWSIVSLDLRTVSYQQILPPDYGEEKFTFKLGVSRDCLCILGDHVSQRVSDVWLMKEFENRESWTKLVSFHSDHYYSINKVLYIFVDDQLLLEVMKLGLVVYDPINNTLKIQDL >RHN52565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36685814:36686200:-1 gene:gene37257 transcript:rna37257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MADNTEVHENSSSSTQQHVNKANQIVPFYKLFSFADRLDVTLMIIGTISAMANGFASPLMTLLLGKVINAFGSSNQSEVLNQVSKVFINILHHFCFVFFLVQINMHVYSWNFCKLIIYQESEAKLMEL >RHN47784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42520000:42521012:-1 gene:gene42425 transcript:rna42425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MHPWLENSCAVIGTMVNRKIHAEQLTRDHKINDQAISELKSKHPDDPNIVSRTIGDAYLKRPEFMLHESFPKFKKVPKPCTSGVPSAEPEMFTRVLTENDKFLIFASVGLWEFLSNEQAAEIVQKNPRNGVAKRLLNSALAEAANRRNVTYMDIQAAALGHDNMSRRSFHDDISVIVLFLAKKLFLRRRVHNLFIACI >RHN52933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40485660:40489360:-1 gene:gene37675 transcript:rna37675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, toll-like receptor MASSSKSSSALVTSSKKNHYDVFVTFRGEDTRNNFTDFLFDALETKGIMVFRDVINLQKGECIGPELFRAIEISQVYVAIFSKNYASSTWCLQELEKICECIKGSGKHVLPVFYDVDPSEVRKQSGIYSEAFVKHEQRFQQDSMKVSRWREALEQVGSISGWDLRDEPLAREIKEIVQKIINILECKYSCVSKDLVGIDSPIQALQNHLLLNSVDGVRAIGICGMGGIGKTTLATTLYGQISHQFSASCFIDDVTKIYGLHDDPLDVQKQILFQTLGIEHQQICNRYHATTLIQRKLCHERTLMILDNVDQVEQLEKIAVHREWLGPGSRIIIISRDEHVLKAYGVDVVYKVSLLDWNEAHMLFCRKAFKDEKIIMSNYQNLVDQILHYAKGLPLAIKVLGSFLFGRNVTEWKSALTRLRQSPVKDVMDVLQLSFDGLNETEKDIFLHIACFFNNDSEEDVKNILNCCGFHADIGLRVLIDKSLVSISYSIINMHSLLEELGRKIVQNSSSKEPRKWSRLWSTEQLYDVMLENMEKHVEAIVLYYKEDEEADFEHLSKMSNLRLLFIANYISTMLGFPSCLSNKLRFVHWFRYPSKYLPSNFHPNELVELILTESNIKQLWKNKKYLPNLRTLDLRHSRNLEKIIDFGEFPNLERLDLEGCINLVELDPSIGLLRKLVYLNLKDCKSLVSIPNNIFGLSSLQYLNMCGCSKVFNNPRRLMKSGISSEKKQQHDIRESASHHLPGLKWIILAHDSSHMLPSLHSLCCLRKVDISFCYLSHVPDAIECLHWLERLNLAGNDFVTLPSLRKLSKLVYLNLEHCKLLESLPQLPFPTNTGEVHREYDDYFCGAGLLIFNCPKLGEREHCRSMTLLWMKQFIKANPRSSSEIQIVNPGSEIPSWINNQRMGYSIAIDRSPIRHDNDNNIIGIVCCAAFTMAPYREIFYSSELMNLAFKRIDSNERLLKMRVPVKLSLVTTKSSHLWIIYLPREYPGYSCHEFGKIELKFFEVEGLEVESCGYRWVCKQDIQEFNLIMNHKNSLTPKCKILTIEDETQPEPQP >RHN42207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36374349:36375239:1 gene:gene48621 transcript:rna48621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cu(2+)-exporting ATPase MDLTPDTATLLTLDDDKGNVIGEREIDSRLIQKNDVIKVVPGAKVASDGFVVWGQSHVNESMITGEAKPVAKMKGDMVIGGTVNENGVLHVKVARVGSETALSQIVRLVESAQMAKAPVQKYADQISKYFVPIVIVLSLSTWISWFVAGKLHSYPKSWIPSSMNNFELALQFGISVMVIACPCALGLYSYSGHGWYWSWCNSRCVDQRWPSIRKCTQGEGNCSKQGNNGWK >RHN44010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:788266:788859:-1 gene:gene38054 transcript:rna38054 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRFWNPATRTISKKLGSCRVDGLVHYSNLKFGYDSSTDIYKVVYFLPETTNVRIFNLGDNVWRNIQNSPVTSRGFQEMPFVIPNLSVLKDCLCFCHDFKQTRFVIWQMKEFRVEESWTQFLKISYHNLQIDNNFNYLNFYVSTIRLSEKNDTLLLKTSCESSAILYNWRDKSIKRIKKSWWFNVQDYVESLVTYC >RHN76986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2950219:2954509:-1 gene:gene387 transcript:rna387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase transcription regulator Homeodomain-LIKE family MARAHRVGQTNKVLIFRLITRGTIEERMMEITKKKMVLEHVVVGRKAQNIKQEELDDIIRYGSKELFADENDVAGKSRQIHYDDAAIDRLLGRDQVGAEEATLNDEDESGFLKAFKVANFEFIDKGEASEGKNFWEELLKDKYQEQKVEVQNALGKGKRNRTTLLGENFARLEGVGSSDYEDDDYEVDHTDGDSNSTRTTTSKRPSKKTARNTYQYSLIISFLILEFIYLSLTMMLIYSLAADNTNPPALMEGEGKSLKVIGFTQRQRAAFLQLLMRFGVGDFDWKDFIPHMKQKTCEEINEYGALFLSHIAEDINDSPAFSDGVPKEGLQIVEVLVRISVLSLIREKVKFASENPGTPLFSDDILSRYAGLRSTKIWKEEHDLVLLHAVLKHGYGKWHDIVDDKDLNIQRVICQELSTPFINLPSLGQVGSQVKNGANMTNVESPSNQSRENNGSAAAVNGAHGSYDARSQEPLYQLSDLLLQFRNMQRRQIDFIRKRFPLLENCLNDEYCQKEYSDKMEATAGTYQETRNESTQTPTIYQLPQVEKISMNLHL >RHN78968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20371262:20371465:1 gene:gene2637 transcript:rna2637 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGWIESSSKRKGLGADLVRKYEDSETKICLPKVRSLARPVLALARPVLALARPVPPSRVTFAAFA >RHN58232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:113603:118903:-1 gene:gene20119 transcript:rna20119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MLLLVAMVVAVTISIKQNQNDVKDDFKDDLADNKKNHVASTLKAVQTICHPTTYKKECVESLVVEAEAGNVTDPKELIKIAFNVTINKIGEKLKETEMFSEIEKDSRSKDALDTCKQLMHLSIGEFTRSLDGISEFDLKHMNQILMNLKVWLNGAVTYMDTCLDGFENTTGDASKKMKHLLTSSIHMSSNVLAIVSNFADTVSDMNVSKLFGRCLLQDSEIPSWVEHRILLDAMTNKSKPKPNVTVALDGSGDFKSINEALKKVPGEEDETPFVIYIKEGVYREYVEVLKNMTHIVFVGDGGKKSIITGNKNYMDGVTTYHTTTVAIQGDHFTAINMGFENSAGPQKHQAVALRVQGDKAIFFNCSMDGYQDTLYVHAMRQFYRDCTISGTIDFVFGNAESVFQNCKFVVRKPMSNQQCIVTAQGRKEITAPSAIVIQGGSIVADPEFYPVRFDHKSYLARPWKNFSRTIIMDTFIDDLIHPDGFLPWHTEEGPINMDTCYYVEYHNYGPGSDKSKRVKWAGIYNINTKAAQKFAPSKFFHGGDWIKDTGIPYYPNIPEHKKHEKTVPKW >RHN66735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17901625:17902436:-1 gene:gene14805 transcript:rna14805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 3-sulfotransferase MVLFPFWSLIFTTKILFLDVKTLSSSRLFSTHLSYELLPKSVKESTCKVVYLCRDPKDTFVSLWHFTNNLRAQSRGTLPLQEAFENFCRGVSSFGPFWEHVLVYWKKSLERPEKVMFLKYEEMKMKPNFYLKELAEFLECPFSKEEEFKGVVDDILNLCSFEKLSNLEVNKIGKGFLNMENKAFFRLGNIGDWKNHLTTEMVEQINTIAEEKFVKHGLCF >RHN74347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32056813:32068990:1 gene:gene10390 transcript:rna10390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myosin ATPase MGTPVNIIVGSQVWVEDPEIAWIDGEVTKINGTNATIITTDGKTVVAEISSIYPKDTEAPPAGVDDMTKLAYLHEPGVLYNLLCRFSLNEIYTYTGNILIAVNPFRRLPHLYDSHMMEQYKGAAFGELSPHLFAVADTCYRAMMNENGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSNTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKNGKISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPQEDVKKYKLGDPRKFRYLNQSSCYEVSNVDDAKEYLETRNAMDIVGINQDEQDAIFRVVAAILHLGNIDFVKGSEFDSSKLKDDKSLYHLRTVAELFMCDEKSLEDSLCQRVIVTPDGNITKPLDPDAASLSRDALAKTVYSRLFDWIVDKINSSIGQDSNAVSLIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFTKPKLSRTDFIVNHYAGDVTYQADYFLDKNKDYVVAEHQALLCASNCTFVANLFPPLPEETSKQSKFSSIGSQFKQQLQSLMETLSTTEPHYIRCVKPNTVLQPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFEEFLDRFGMLAPDVLDGSDEKKASIAICDKMGLKGYQMGKTKVFLRAGQMAELDARRAEVLAKAARLIQRQIRTHLARKEFITMKKATIHMQKIWRAKLARELYDDMRREAASIRIQKHVRAHRARVYYASLQASAIVIQSGLRALAARNEYRYRRRTKASTKIQTQWRKVQALCSYKQQKKSTVILQCLWRAKVARKELRKLKMAARETGALKEAKDKLEKRVEELTWRLDVEKHMRVDLEEAKGQEILKLQNALQEMQGRLDEAHAAIIHEKEAAKIAIEEAPPVIKEVPVVDNTKLEILSHKNEELESEVEELKNKIKEFEERYTEIERENQARLKEAEEAQIKATQLQETIERLESSLSNLESENQVLCQQALVESKNEDLSEEIKILKDQISNLESENECLRSQAAVVVEQKIHPEKTETDQEVSVVQQIQPRSIEDNMTTQIKDLDNGNKTEEEMHAKKEPRVAVSFLTKQRSLTERQQESHDALLKCLMEDKRFEKNRPAVSCIVYKSLLHWRSFEAEKTHIFDKITHTIRTSIESQEGINDLAYWLSTTSTLLFYLHCTLKVSNNTTKALSRNRNSPATLFGKMAQGLRSSSMGIGISSGYSGMVEKPNEQSKVEAKYPAILFKQHLTAYVEKIYGMIRDSLKKEISPFLNLCIQAPRSIRSRSIRGTSRNIHSNIVAKQQALHMHWKGIVSKLDHVLSILSHNYVPPIITRKIFSQVFSYMNVQLFNSLLLRRECCSFSNGEYVKSGLHELELWCLKTTDQFAGTSWDELKHIRQSVGFLVLHQKTQKSLEEITNELCPVLSIPQIYRIGTMFWDDKYGTQGLSPDVISRMRVLMTEDSTNILNNSFLLEVESSIPFLMEELFRSMSDIRISDMDVDPPTILRQRSDFQFLLQHIDSDSQ >RHN50400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6796145:6798955:1 gene:gene34678 transcript:rna34678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative allene-oxide cyclase MSSSSFSLRTISSFYTKPSNTKSSQLNISSKSTILPFSSLTTPTLNLNINTSSLNSYQSNKSFICKSQAYQSSNSVKVQELSVYEINEKDRGSPAYLRLSQKNVNTLNDLVPFSNKLYSGCLQKRVGITAGICVLIQNKAEKKGDIYEAIYSFYFGDYGHIYVQGSYLTYEDTYLVVTGGTGIFEGVYGQVKLHQIVFPFKLFYTFYLMGIKDLPHEFLVDPIEPNDVIACFTN >RHN76841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1725440:1731461:1 gene:gene221 transcript:rna221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Lunapark domain-containing protein MAEEKTVTTAGGEKKSTASDTVTSSTSPAGNGKKKRKGFVSRIWNFVFRSNKDDFEKRLQCISKEETSVMTRMNNRSRSWRRTSRHIILFSVLFEIIAVSYAIMTTRSTDMNWKMRAIRVLPMFLLPALSSAAYSTFVSFIRMCDRRDQKILDRLRAERKEKIDELKERTNYYVTQQLIQKYDTDPAAKAAAATSLASKLGADSGLKLYMEDESKSGAPAGKANNVEPVQSSGLRNRKQGQTQSTNLGTTTPNHTDQQLVASGGDQTQTRVQKQPVVVEHHQPQSSNKYAGGWIAQIAALLVGEDPLQSYALICGNCYMHNGLARRDDYPFITYYCPHCHALNKPKQLAEPISGFNSPNTEPQKTDAGEAVKSAGIDASDSLARNIKLVKATPEIEHISEGADLEKETNHDSGFNSLNTGSPKTEDGEAVKDASICASSDSLVSNIKPVDATPECEQISEGWTNLGKEES >RHN48308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46512385:46515331:-1 gene:gene43010 transcript:rna43010 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMPTTSEEETSIDSDWVKQFLEMSDEELSEVVVVAVDDDDDCVVLDGDPENQVNCDNDTPTGSDEEILVVGEKGQIACRDYPHSRHLCGNFPYSSTPHERHCGQCHCYVCDSPAPCLKWGDGLLNTDHCHATDKSDIWKTLRQDSLSASTKSGNVVNSQPNHMLPLETARLSPNSVLVNHTSRSTALLPLSANLIPQNQAYWNACSSLSSRLQNQVSRSTETHPHSVNLIPQNQAYLPIAMNARSSLGSGLQLQVSRSAETHPLSVNLMPQNQASRQITMNAYSSLGSGLQNQASRSTETHPHSVNLMPQNQVSRQITMNACSSLGSGLQNQASRSTATHPHSVNLMPQNQVSRQITMNACSSLGSGLQNQASRSTATHPQSVNLIPQNQASQPITINAMPLQNYRLQNQISRPNNAPGCSTASNFTIPNGANNGICQESGPTLARNRYPSNTAARMPLGVRNHAIQKKRGHGVGTGNPVTMNHVTAPGAPGFSNHINTQYSDRSNAAAATGFSNSRNGYGQDGIRITNAINPLLTQPSHSLAYETQPSYQSNSIQNLYGYNNFQGDESLSSYVARLNTNRYLNEHQIGSQNENIINSGATVQDVFQQNPHGGIQNEGFLARDSSGAENTNQNISYVQNLVSQNISQNANESSTPFNGNTQLSLDDIKHILFDSN >RHN78937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20122145:20133297:1 gene:gene2606 transcript:rna2606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monosaccharide-transporting ATPase MEAEMVEEDIVRSMSDLRKRVSFGELIRTSASFHEKTKSEINEEQDLKWEALWRLPTYDRMRKGILKQVLDNGKVNYEEVDITKLGVEEKKHLLESIIKTAEEDNENFLHKMRDRIDRVGIEIPKIEVRFENLSVEGDAYDGTRALPTLVNATLNVIEGLLGYIKILAFKKRVAKILKDVSGIIKPSRMTLLLGPPSSGKTTLLQALAGKLDKDLRVSGRVTYNGHELSEFVPQRTCAYISQHDLHHGETTVRETLDFSGRCLGVGTRYDMLTELSRREKAAGIKPDPEIDAFMKVTSMEGQETSLVTDYILKILGLETCADILVGDEMRRGISGGQKKRLTTGEMLVGPAKALFMDGISTGLDSSTTFQIVRFMRQMVHITDVTMIISLLQPAPETYNLFDDIILLSEGEIVYQGPRESVLDFFQNVGFKCPERKGIADFLQEVTSRKDQEQYWFRRDIPYEYVSVPDFVIHFNNYSIGRELHEEIKTPFDSSKAHHAALVKEKFGISKWELFKACFSREWLFMKRSYFVYIFKTFQITIMSLITMTVFFRTRMEHGKLEDGGKYYGALFFSLINVMFNGAAELAMTVNRLPVFFKQRDFLFYPAWAFALPIWVLRVPLSIVESVLWIILTYYTIGFAPAPSRFFRQLLAFFCVNQMALSLFRFIAAIGRTRVVANTFASITILVIFVLSGFTVSRDDIDPWMIWCYYASPMMYGMTAISINEFLDKRWSTPNIDPRIDEPTVGKAFLKARGIFTEDYWYWISIGALVGFSLLFNVCFILALTYLDPFRSSKSIIVEQEDNRESTTKSSSVEKTTGEMTESSASNVESFEGVDMEVRNNTNSSIPKAAKNVKFKKGMVLPFQPLSLVFENVNYYINMPNEMKTRGIEENRLQLLRDISGAFRPGILTALVGVSGAGKTTLMDVLSGRKTSGYIEGSISISGYPKNQATFARISGYCEQNDIHSPNITVYESLLFSAWLRLSKEVDIETRKMFIEEVIELVELHQVRNFLVGLPGIDGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLDARAAAVVMRTVRNTVDTGRTVVCTIHQPSIDIFENFDELLLMKTGGQVIYGGPLGRNSEKLIEYFEAITGIPKIEDGYNPATWMLEISSPVVESQLDIDFAELYNKSSLYQRNQELIKELSIPAPGTKDLYYPSKYSQSFVTQCSACFWKQYRSYWRNPQYNAIRFFITIVIGLMFGLIYWKKGEKMQREQDLLNLVGAMYSSVIFLGASNTSSVQPIVAIERTVLYRERAAGMYSELTYAIGQVAIEVIYVAIQSLIYSNILYWMLGFPPQVENFFWFYFLIFMSFLYFTLYGLMTVALTPNHQIAAIVMSFFISFWNLFSGFLIPRTQIPIWWRWYYWASPVAWTIYGLVTSQVGDKNSPIEVPGYRLMTVKDYLERRLGFEHDFLGYVALAHIAFCLLFLFVFAYGIKFLNFQKR >RHN46011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27727251:27730378:-1 gene:gene40446 transcript:rna40446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MCPQKEEKQKGHLCVLEMEGISVLKKSSKGKKEDLYHVIHKVPYGDTPYVKAKHAQLVDKDPEVAIVYFWKAINAGDKVDSALKDMAVVMKQLDRAEEAIEAIKSFRGLCNKHSQESLDNVLLDLYKKCGRVEEQIELLKRKLRLIYQGEAFNGRTTKTARSHGKKFQVSIKQETARLLGNLGWAYMQKTNYMMAEVVFKKAQMIDADANKALNLALCLMRQSRYEEAYLILEQVLQGKLPGSDEIKSRNRAEELLVELSANLPQPKFMDDLGLDDDLLKGIDGLLNVWSPTRSRRLPIFEEISSFRDQLAC >RHN71397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58716636:58723980:1 gene:gene20076 transcript:rna20076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA polymerase III, clamp loader complex, gamma/delta/delta subunit MSSLQHRNFLEAPTRDGTSIIVMKCYWLNGRVRERKKERMKVPSPTRKQSVGDRHMISPTRHNMSRSARRRKNSGHWWLSSISWMKKEVDSYDMTHHTHLSSDSPYYKGLTDQSLAIPHEEYQEDDNNNISSSLRPILLSSSPHSSPYYKGLTDYSLVIDASWATPHPHPHHNSSLSCYHDSSPYYRGLIALPVPTSPSSLFSLNINFNTTPTTQPPTHDFVVFLSPEDQSLMVTEPEATLEEAMVLIHPDIQGKPLRVNNPSSSQATLEKMDDGSDDVEGGKPLRVNYESETIPEKTILCDDVVLLVEEHKRKPLRERVTSNSETVLSQQENADKTDLGMEEEMELVMIEQEKPLRESRASQVGNENVLINTETTGLKEELRDFWLGGQKTASEQTVSMELPCEHKSMNTAVEGNMYEYLWATKYQPKILADFICNRDKALQLKALVKGGCGCNHFIFEGPPNVGKRSMIRAMLREVFGADGVQVTEEYKDFNLKGEMVENLKLRVQKSLHHVEVNLSEAKGYEKNVIVELFKETYGKVINSSLPCSPENCQAIILYEAEKLSLESVLYIKWLVEKYKGCNKLFFCCSDESRLQPIQSYCTTVRLSSPSTQQIVKILEYIVQEEGIKLSHESIKSIVLRSKNNLRQAIRSLEATYRNKNALNDDDLVLTGWEDDILNIARNIITEQSPRQLYAIRKKLQSLMIHDVPPDFIYKSLVANLTSLVDDSLCSGVTKLGKDYTKGGAIKFEGVKHYAQNKQGGSDEKNNKLTKKNAMNYLKVEEFIAKFMSWYKNSCKSNDHV >RHN68059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32428026:32434905:-1 gene:gene16349 transcript:rna16349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MGKKNNKRKKEEIAEEWCFVCKDGGELRVCDFRDCLKTYHAKCLGHDASFMENDNNWCCGSHYCYLCGRASKFMCLCCPIAVCGRCFYDAEFATVKRNKGFCRHCSKLAFLIETNADVDSDGEKIDMRDPDTVESYFLEYYQVIKEREGLNSQDIYIARDIVKNGKNKRDLDPYEIGEGEDDTGDSDVSNFIGSDCDDFDETSRVKSVKRKKCTKKLKSIKGKVAKDKKKDFVGWGSRSLIDFLKNIGRDTTKAFSEIEVASIVNDYCHKHQLFDPKKKKIICDANLKTLLRRRKTVKKNNIQKLLASHFVDNFEETDSSSEERDDNEAFKFRKHRNLNSTIKSCQNVRSKELPSGFAAIVSSNIKLVYLKRSLIEELLKQPETDGKVLGSYVRIKSDPNDYLQKNSHLLVQVKGTLGLCLKLLQFALIGTKEYCTRLSHTLLQKEVNMSDLLFAIILFLYAYIDICICITGINRSSKQDDISQEILLRLSNVPKDVPISQISDVDFSEEECQDLYQRMTNGLPQKPTILELEKKARTLHEDITKHWISREIELLRNRIDRANEKGWRREYPFMIYLYMDQKQKLESAAEQSRLLSEIPKVIPELVGTNLSPEDSSRKDMLEKKDLPELDIGETCDAFGQYSSEETCDSTHDGFTQCPDKRADVVGPKSPVKNNQDDPAFPAPVEQLSALLKESNSNCQTLNPEKCGLPQSSNTISASDDVNCRNLSTDMDANHAVKERRSASVADPVKVIVNDATVLSDSDEEDVSIEVTSSGRKGVESIDIPIWYCSGVRGCGTRGPFPMTVLKHWSELDSTYAPLDFKVWKTGESEREAMLLRDALKLFFP >RHN63142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48814323:48814703:-1 gene:gene25809 transcript:rna25809 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFLAHFSRFFSVDENPKCIPNYPFAAKWAIQRGHGEGLTYRSLRDKLGFDDVTQRSYREHREIQDFEEILWYSGWIMCGVDNVYRHFLERVRRQ >RHN63105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48499006:48499960:-1 gene:gene25764 transcript:rna25764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-25/LEA-D113 MQSSMEKLKNKASAAKEQADIYKAKIDEKAEKRMARTKEEKVIAHERAKAKEHKAKMELHEAKARHAAEKLHTKKPHYYGHHGPVEGVQQPQPQVVGTKNEPVGVHQPEAVVGNQYQENEPVRVPQPQPQVVETNQYQGNQPLGSIPKPGTVAPTYPLGGGNLPQNNRM >RHN75424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42202112:42210620:1 gene:gene11633 transcript:rna11633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase transcription factor WD40-like family MEEHENGANASEDEPIVGPGPPPPPRARPKRPLQFEQAYLDALPSANMYEKSYMHRDVVTHVAVSAAEFFITGSADGHLKFWKKRPIGIEFAKHFRSHLGPIEGLAVSIDGLLCCTISNDRSVKVYDVVNFDMMVMIRLPYIPGAIEWVYNQGDVKASLAISDRNSSFVHIYDARSGSNDPIISKEIHMGPIKVMKFNPVYDTVISADIKGIIEYWNPTTLQFPEDEVNFKVRSDTNLFEIVKCKTSVSAIEVSPDGKQFSITSPDRRIRVFWFKSGKLRRVYDESLEVAQDLQRSDAPLYRLEAIDFGRRMAVEKEIEKTESAPQPNAVFDDSSNFLIYATLLGIKIVNLHTNKVARILGKVENNDRFLRIALYHGERSSKKVRKIPSAAANANESKEPLTDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPADELLAVSDIGKSLTTSLPENVIMHTTMGDIHMKLYPEECPKSVENFTTHCKNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVRGMDVVQAIEKVKTDKTDKPYQDVKILNVTVPKS >RHN63990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55740657:55745073:-1 gene:gene26762 transcript:rna26762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative telomere-associated protein Rif1 MLGSHALKNKHLVNDMLKIPERTFTDPDPQVQIATQVAWEGLIDALISHPILVSEKKTPSKDTSLQKQHSSSKTNCVDQVNGIYKSIKLIMTPLIGIVSSKCDISVHSSCLNTWCYLLHKLDTSVNESSLIKMVLEPILKVIFQNGPDSKTIWLWNLGLDLLSDSVSQKCRDAHCIETGHSSSDKSSWKQHPIRWLPWDISRLDFYLSIIFVIIRQASGATVTRDHRSHVYDAALKIFKYVLKGVKLDMESPSTNYDAVICCLNTLLTFVKIVCEDLYSDGSENYDVYYTSLRFIDAVTKELGSSILGSPLYKFPLDLKYINDMQSVDHNKHLKFLTVNCICYMDKVSPLVYLIVLYFHMMVQLTLKFQQSDHISQGMSEYFKFIFSSSNLLDNLLTCTGLLYKHAEPIYLNIWVAVAQGLNYCVCNANCKSLKESLSDGNEYYSICHLLIYPIVAHSEVPRLTSSNASGSMEKYPVSADEKPSLELVIQTWKSLYGSLSAGFGCSTTTNFSGDLCKLINRWLDENVSMLGSGTDFKLTYNDIDLGVLHLSGNFLICILEQIQTLELVSETSRSKSECDSKILYSIKNCLTFASKYMHLLRIKMVTDPPPSFVGTSRLSSALACFIDHLHRKQDILLFLEIISCPLLQWLSNMGVQNEGTNNNLKLLWNEILSSLRRSQPPLHFGSALLELHEPLFEKTLDHPYPSISEATIEFWNSTFAQQIIFDFPPRLLHVLDKLSRQGKLKLQSRSISSFKKCNTREEVGDALQGYRVAAKHNRTSKRVELVLDTQKDAPFSSFKKKRLELTEHQKEVRRAQQGRQRDTGGHGPGIRTYTNADFSQQGFDDSQESLDAIRDSEAILEMLRKTI >RHN75089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39277728:39278348:1 gene:gene11244 transcript:rna11244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKFMTFVYVMIYFLSLFLVTKGAYFECHSDSACETTVKCVLPRIPRCIKYKCLCGNGVGKRWSTTPKRIEKGSTVRNGFLH >RHN61930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39439260:39443038:1 gene:gene24444 transcript:rna24444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MTLLERKKYDVFVSFCGDDTRNKFTDHLFGALRRKNIAAFRDNRHLNSGASIEPALFRAIEVSQIFIVVLSKSYASSTWCLRELVYILLHCSQPSEKRVRTVFYDVNPSEVRKQSGSYAKAFAKHEENFGQDHVKVRQWREALTQAGNISGCDLGNKPENEEIETIVKEIVETFGYKFSYLPNDLVGMLPPIEELEKCLLLDSVDKVLAVGICGMSGVGKTTLASVLYCNKKNSPQFDACCFIDDVSKKFRYYGPVGAQKQILHQTLGEEHIQIYNMYDAANLIQSRLSRCRALIIFDNVDDSEQLEKLAVTRKSLAAGSRIIIVCRDAHILEEYGVDALYKVPFLNETNSLQLFCRKAFKCDNIKSDSYEEMTYDMLNYANGLPLVIKVLSSFLYNRSISEWRSALARLGESPNKNIMDALQFGFYGLEKTEFEIFLDIACFFNGREEKFVKNVLNCCGFHPDIGLRVLVDKSLIRISDENKIEMHGVFEELGRRIVQENSTKVARQWSILWLHKYCYDVMSENMEKNVEAIVLNGNERDTEELMVEALSNMSRLRLLILKDVKCLGRLDNLSNQLRYVAWNGYPFMYLPSNFRPNQLVELIMVDSSIKQLWEGKKNLPNLRTLDLSYSTNLIKMLDFGEVPNLERLNLEGCVKLVEMDLFICLPKKLVFLNLKNCRSLISIPNGISGLNSLEYLNLCGCSKALNNLRHLEWPSLASLCCLREVDISFCNLSHLPGDIEDLSCVERFNLGGNKFVTLPGFTLLSKLEYLNLEHCLMLTSLPELPSPAAIKHDEYWSAGMYIFNCSELDENETKRCSRLTFSWMLQFILANQESSASFRSIEIVIPGSEIPSWFNNQREDGSICINPSLIMRDSNVIGIACCVVFSAAPHGLISTTNGQKPVLYLSFHRGDFELHFSILVNANPIISSHMWLTYFTRESFFDILKDIGNRADDCISMEAFIVDGEGLEVKSCGYRWVFKQDLQEFNLITMQAENH >RHN48082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44840480:44847436:1 gene:gene42756 transcript:rna42756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MDTVSEPEIPIPSSSSSSSSMAKRPCPAQNPRMEVEHVLEEFLSLSDCPSLSIDLSLENLLQSMPADTDLIDRALKMGSLLLDAAKHSSRKRAYNHNSIVWPLPPDLTFKIFSMLDTQSLCFVSAACSFFSKCAKDPLCYENLDLRTLVPKVNNAVVATMIQRAGKALRSIKLGVVPVTACPLGFCQPSVSTVRKAIVEAPNYSWNDKRSRQGRESSILTRCCLSPLSGDGGAPGALLRKLHLYNIERMDNTSLCCALSACPSLLDLEIVGIHVELRQTLMSVSANCHLIERLFFESSRTGRDDSLKTQTCSELVNNCPHLTSLSLRGFKLHDCKVRILVKGFRKLQYVDFSSSYSITGSFLRNLGGCNGGNFLEVLILRDCMHLKEIEVARLLIAVLEGEFKLLKHLDISNREGLACEADWYHRCYNSSIMPIKQVLEARPGMRVVAEYPSEGSYVETCDTDMNSDISLLSQFSNHTSDGSIFMSTSESSYYSDHVSGNEEGQDAVIYGESSDEENNLNL >RHN61034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32410883:32411736:-1 gene:gene23444 transcript:rna23444 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISRRNLTTTSIHIMALDGIVNVNSLFTLALFLGITSTNTNNALVGDNPACIAGPSIAESLMKYHVYSFSSFLFSSLIALAIKNVINISKGVDGAAARNYLVQGYAELAAEVNTVGLRLGTLVSAFGSVFGCGFLVMALVDLVQIKLGTLACGSHYTLAAVAPLLFLVPTALLIYVILVLYAFTR >RHN43885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49213016:49216200:1 gene:gene50519 transcript:rna50519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide-binding protein subunit beta-like protein MAEGLVLRGTMRAHTDVVTAIATPIDNSDMIVTASRDKSIILWHLTKEDKTYGVPRRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLNAGTSARRFVGHTKDVLSVAFSIDNRQIVSASRDRTIKLWNTLGECKYTIQDGDAHSDWVSCVRFSPSTLQPTIVSASWDRTVKVWNLTNCKLRNTLAGHSGYVNTVAVSPDGSLCASGGKDGVILLWDLAEGKRLYSLDAGSIIHALCFSPNRYWLCAATESSIKIWDLESKSIVEDLKVDLKTEADAAIGGDTTTKKKVIYCTSLNWSADGSTLFSGYTDGVVRVWGIGRY >RHN39263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5390187:5394995:-1 gene:gene45271 transcript:rna45271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-epi-6-deoxocathasterone 23-monooxygenase MDTTWILFVTPIFLCTLILYYRNRLSLKLKPKQRNQLPLGTLGWPFIGETIDFVSCAYTDRPESFMNKRCAMYGKVFKSHIFGSPTIVSTDADVNKFILQSDAKVFVPSYPKSLMQLMGESSILLINGTLQRRIHGLIGAFFKSQQLKVQITSDMQKYVQESMANWKEDQPIYIQDETKKIAFHVLVKALISLEPGEEMELLKKHFQEFISGLMSLPINLPGTKLYQSLQAKKKMVKLVRKTVQAKRNKGIFEVPRDVVDVLLNDTSEKLTDDLIADNIIDMMIPGEDSVPVLMTLATKYLSECPPALQQLTVENIKLKKLKDQLGKPLCWNDYLSLPFTQKVITETLRMGNIINGVMRKALKDVEIKGYLIPQGWCVFANFRSVHLDEKNYECPYQFNPWRWQDKDMNSYNFTPFGGGQRLCPGLDLARLEASIFLHHLVTQFRWYAEEDTIVNFPTVRMKRKMPILVRRVEP >RHN68400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35161052:35165666:1 gene:gene16746 transcript:rna16746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLNWEEWSVNNQSGSEGFTLLQELYIENCPKLIGKLPGNLPSLDKLVITSCQTLSDTMPCVPRLRELKISGCEAFVSLSEQMMKCNDCLQTMAISNCPSLVSIPMDCVSGTLKSLKVSDCQKLQLEESHSYPVLESLILRSCDSLVSFQLALFPKLEDLCIEDCSSLQTILSTANNLPFLQNLNLKNCSKLAPFSEGEFSTMTSLNSLHLESLPTLTSLKGIGIEHLTSLKKLEIEDCGNLASLPIVDSLFHLTVKGCPLLKSHFERVTGEYSDMVSSIPSTIIEP >RHN61037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32430966:32431616:1 gene:gene23448 transcript:rna23448 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMQRLISYKFQFFLLVMVLSLLSNLGGFFAFKCFGHVFA >RHN50063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3776032:3777388:-1 gene:gene34313 transcript:rna34313 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFGTWDILAVTWHLAVTLTKWIIMLRRQLCAINELLNWYSFSTNESIIEDSLNVIYRRSFELYCKTQKEKDILKYDMLNGKITKPKKSKASLSENQELLDELKKMRENQEKTNLIMENMMSFIQNRFSGEDVNAIIQASRQLSYIILLVVFIFTLLVC >RHN60242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24181449:24186984:-1 gene:gene22511 transcript:rna22511 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIQFIFLIWDRNSNIIIAFNTWFQELTMWNVTNVAQSLGKGFFVEQYM >RHN80924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41940783:41942047:-1 gene:gene4908 transcript:rna4908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MALYAARRTIASPISRALFSIFKSNNTFPLPIPRHFTSTNKTIDTKINFSLSDSDSDDENTPTTTKPTEISKNLPPPYDPFSKKPAIEEPEDPKDLQQIFHKMRTGDGLFNHAVKMFDALSQQGLTHEALELFGQIKDKNQMPDVVANTAIIEAYANAGQPKEALKAYMRMLASGVCPNAYTYTVIIKGLASDAKFLKDAKKYLLEMMEKGMRPNAETYGAVFEGLVTEEKIDEAEKLLEEMKGKGFVPDENAVREVLSNKRGPVFRTVINILFGK >RHN43888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49229018:49234981:-1 gene:gene50523 transcript:rna50523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSAVELTETYACTPSTERGRGILISGDSKSNTITYTNARSVIMMNLHNPLQVSVYGEHAYPATVARFSPNGEWVASADVSGTVRIWGTRNEFVLKKEFRVLSGRIDDLQWSPDGIRIVASGEAKGNSFVRAFMWDSGTNVGEFDGHSRRVLSCAYKPTRPFRIVTCGEDFLVNFYEGPPFRFKQSHRDHSNFVNCVRYSPDGSKFVTVSSDKKGIIFDGKTGEKIGELSSEGGHTGSIYAVSWSPDGKQVLTVSADKSAKVWDISEDNIGKVKKTLICSASGGVEDMLVGCLWLNDYLVTVSLGGTISIFSASDLDKAPRSFSGHMKNVSSLTIRRSNPRVLLSCSYDGLIVKWIQGIGYSGKLQRKENSQIKSLAAAEEEIVTSGFDNKIRRVSLHGDQCGDAEAIDIGSQPKDFSVALSSPELVLVSIDSGVVMLRGTKVVSTINLGFTVTASVVSSDGSEAIIGGQNGKLHIYSISGDTLVEEAVLEKHRGAISVIRYSPDFSMFASGDVNREAVVWDRASRDVKLKNMLYHTARINCLAWSPDSSRIATGSLDMCVIIYEIDQPVANRNTIKGAHLGGVYGLVFTDEYSLVSSGEDAFIRVWKITPP >RHN81304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44687283:44692368:-1 gene:gene5322 transcript:rna5322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MLTKFETKSNRVKGLSFHPKRPWILASLHSGVIQLWDYRMGTLIDKFDEHDGPVRGVHFHNSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHESPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCALFHPKDDLVVSASLDQTVRVWDIGSLKRKSASPADDILRLGQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSAADDRQVKIWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILSAHPEMNLLAAGHDSGMIVFKLERERPAFVVSGDSLFYTKDRFLCFYEFSTQRDVQVLPFRRPGSLSLNQSPKTLSYSPAENAFLLCSDVDGGSYELYCISKDGYGRGDVQDAKKGHGGSAVFVARNRFAVLEKSSNQVLIKNLKNEIVKKSALPIATDAIFYAGTGNLLCRSEDRVVVFDLQQRIVLGDLQTPFIKYVVWSNDTEHVALLSKHAIIIASKKLVHQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDNGIIKTLDVPIYITKVSANIIFCLDRDGKSRVITIDATEYIFKLSLFKKKYDHVMNMIRNSQLCGQAMIAYLQQKGFPEVALHFVKDEKIRFNLAIESGNIQIAVASATAIDEKDYWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLVTGNLEKLSKMLKIAEVKNDVMGQFHNALYMGDVQERVKVLENVGHLPLAYVAASVHGLDDVAKRLAAELGDNVPSLPEGKVPSLLIPPSPVMCGSDWPLLRVMRGMFDGGFDNTGRGIADEEEDEAPDGDWGEELDIVDVDANGDVTAILEDGEVAEENDEEGGWEMEDLELGPEADTPKASVGTQSSVFIPPTTGLPVSHIWTQKSSLAAEHAAAGNFDTAMRLLNRQLGIRNFAPLKSMFLDLHTGSHSYLRAFSSAPIISLAVERGWTESSSTSVRGPPALPFKLSQLDEKLRAGYKFFTAGKFTEALKTFVSILHTIPLIVVESRREVDDVKELIIIVKEYVLGLQIELKRRETKDNPVRQQELAAYFTHCNLQAPHLRLALQNAMVTCFKAKNLATAANFARRLLETNPTIENQAKTARQVLAAAERNMTDATQLNYDFRNPFVICGATYVPIYRGQKDVACPYCTSRFVPSQEGQLCTVCDISVVGADASGLLCSPSQVR >RHN79111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23324675:23328201:-1 gene:gene2825 transcript:rna2825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MTMALQRYLSFIMIMIYFVACYSTNLQEDPLSSIVVNSPYINDGGIFKDFLMQSTDHVLSLKSKLGDTSPSSMKTFNVDQYGAQGDGKTDDTKAFKKVWQVACSSKGSVVVLAQKNYLVKPITFSGPCKSKSIVVQISGSLVASDNPSDYKQDPTHWLMFDSVQKLSVKGGGTIHGNGNIWWQNSCKTNKKLALTLYKCNNLVVEDLNVKNGQQIHVQFQNSANVRVSGLTVTAPEDSPNTDGIHVTNTQNIQISNSIIGTGDDCISIVNGTKNLKATDITCGPGHGISIGSLGEGGSKEFVSGITVNGAKLSRTTNGVRIKTWQGGSGSASNIKFQNIQMENVTNPIIIDQNYCDQQSPCQKQKSAVQIRNVLYQNIKGTSASDVAMQFNCSQNFPCQGILLQNIGLELEGGGEAKASCNNVKLSYRGNVSPSCNYIEEMHELGGFGKTHSMN >RHN52022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30526088:30526678:-1 gene:gene36636 transcript:rna36636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MRKLRALFFNSPLIFYDFKQKRPFSFKTSFGFSISTLAKPEYFGHGISFIISPAIASRDGPFAYPKNFFGLSIDTNLDPFGTPTELYIRLFNNSSSALASAKFIEDIRSGEEFTCWVEFEAITDIMMVYLDVGFIKPVQPLLVTRGEALDPLSSNNGIHFVGLTGSSNGCTEVYQIHSWALKMEQNKVEEEVNLEQ >RHN47922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43593707:43597269:1 gene:gene42576 transcript:rna42576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3,9-dihydroxypterocarpan 6A-monooxygenase MAFDGATIFFYIFSFLALLIFTLLVNNKRTTNGSSKFRPPPSPRSLPIIGHLHHIGSVIPKSFQTLSHQYGPLIQLNLGASTSIVVSNAQVAKQVMKTHDLNFSYRPQFGSSHDYLYKGSYFITAPYGPYWRFMKKICVTKLLSSSQLGRFMHIREQELEKLLKSLLICSNENRSTDLGLDFTTLTNNILCRMSMGTTCFKKYNIDPEVIQCLVREFMHVGAKLSMGEVLGPLGKFDLFGYGKKLRKIVGEFDKILEGFLKEHEERINTEDCQGDMMDILLQVYRNENAEVRLTRNDIKAFFLDIFLAGTDTSSVAMQWTMAEIMNNPKILKKLRAEINDVVGTNRLVKESDIPKMPYLQSCVKEVLRLHPTAPFALRQSSEDCKINGYDIKAHTRTLVNVYAIMRDPQSWVNPEEYIPERFLVGEEHEHEHEHVNKMDGDDFRYIPFGFGRRGCPGSSLALTVIHLTIAGLIQCFEWKIKGGDKIDMEEGSSFSAGLAKPLVCYPVTCFNPF >RHN82358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53150128:53155113:-1 gene:gene6520 transcript:rna6520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I MGSAYDTKLIINGHLPQDSGQSRLNELGYKQELKRDLSVLSNFAFSFSIISVLTGVTTLYNTGLNYGGPVSLVYGWLIASAFTMLVALSMAEICSSYPTSGGLYYWSAKLAGPNWAPFASWITGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGKNGGGYEGSKYVTIAIHGGILVLHGIINSLPISWLSFLGQLAAFWNVLGVFVLMIIIPCVAPERASAKFVFTHFNSDNGEGINSKPYIFLVGLLMSQYTLTGYDASAHMTEETRDADINGPKGIISAVGISVLAGWGYILGITFAVTDIPYLLNENNEAGGYAIAEIFYLAFKRRYGHGIGGIICLGIIAVAIFFCGMGSVTANSRMAYAFSRDGAMPLSSLWHKVNKQEVPVNAVWLSVLISFCMALPSLGSIVAFEAMVSIAVIGLYIAYALPIFFRVTLARKRFIAGPFNLGRYGFVVGWIAVIWVATISILFSLPVSYPITIETLNYTPVAVGCLLIIVLSYWVLSGRRWFKGPITNIQI >RHN61205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33824509:33825492:1 gene:gene23643 transcript:rna23643 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVEGWVGELTKLREKVLPNKSKPLLSKAKHGADQNNKKNVGVPPRDTSVASDSSTMSEATVCLLMDRFVPW >RHN80641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39705716:39706950:-1 gene:gene4588 transcript:rna4588 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQCHCSSSAALFGRMTQSKSGFFVITSRKKFLHYLDYASRQSIETLLFLCDEIGAKNFQSKIGQVIIMFCCKHQCPAHNDCPLAWDSEEPRKFFIYFKSKSCQ >RHN44582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6461283:6466651:1 gene:gene38719 transcript:rna38719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant organelle RNA recognition domain-containing protein MLFNFEKSAAKTIRKALRPIEESISPFSYKIPCLPFSHIQRFNYVNVYMKWKKDSYYDSIEHIHHSIQLKPVIALKNCIAQDPNGCIPISSVSKRGLQLDVPMKVARFLRQYPSIFEEFTGPKYNHPWFRLTPEAAEIDRDEKRVYEESREELRSRLKKMILMTKENVLPLKIIQGMQWYLGLPHDFLQHHEQNLDDSFRFVEMEDGLKGLAIESRERVYSVMEENAMKKGMYYDGSQTEAIEFPFFPSKALRMKTKIENWLHEFQKLPYISPYDDFSNLDPNSDIAEKRLIGVLHELLSLFIDHSAERRKLLCLKKYFGFPQKVHRAFERHPHMFYMSMRNKTCTVILKEAYCNELAIENHPLLSVRKRYIKLMKESEVILRNRRMNSRFSNSEKLDLESNDLDEGEHKIEGFSLEQVI >RHN65572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3309023:3309553:1 gene:gene13425 transcript:rna13425 gene_biotype:protein_coding transcript_biotype:protein_coding MYFDIIHYQLFNASTGLFSQTIIDSLWMINYGETFFFDFVTVDH >RHN79683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31193048:31193989:-1 gene:gene3506 transcript:rna3506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phenazine biosynthesis PhzF protein MFIQDPVCGSAHCGLAPYWSEKLGKCDLKAYMASTRGGALNIHVDKQKQRVFLRGKAVTVIKGYVLV >RHN66779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18667673:18668197:-1 gene:gene14860 transcript:rna14860 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVSKGIFEVALSDLREKGNPHIATEECINNQISKNTDNAEVLRTAPDVVAPEHEKGNSNVSLISDILEAGGKLILEKLNNVIDSVVSMDMDFSNDGKKADIDFSAQEHEITLNVEQHVETSLSNPDNHENVDTIGEDKNLGSKTTKAEAVNSENTICSTHSKGNKASREETK >RHN63523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51943839:51950076:1 gene:gene26229 transcript:rna26229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase TKL-Pl-4 family MKETSDGFVRADQIDLKSIDEQLERHLNKVLTIDKKKRVEEEDSSAASASVFDHVRVHTSSANTSPTSIKFKTNFKKQKQDWEIDPSKLIIKSVIARGTFGTVHRGVYDTQDVAVKLLDWGEEGQRTEAEVSSLRAAFIQEVAVWHKLDHPNVTKFIGATMGSAELQIQTDTGLIGMPSNICCVVVEYLAGGTLKSYLIKNRRRKLAFKVVIQLVLDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWETYCCDMPYPDLSFSEITSAVVRQNLRPEIPRCCPSSLANVMRKCWDASPDKRPEMDEVVTMLEAIDTSKGGGMIPIDQQQGCFCFRKHRGP >RHN49322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54033563:54036521:-1 gene:gene44139 transcript:rna44139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MLIFLKRPLKLEYIIREFTEASQQQTEANIFGLFQLQYLKSLTVGVGLIILQQFGGVNAIAFYASSIFVSAGFSRSIGTIAMVVVQIPMTALGVILMDKSGRRPLLLISASGTCLGCFLVSLSFYLQDLHKEFSPILALVGVLVYTGSFSLGMGGIPWVIMSEIFPINVKGSAGSFVTFVHWLCSWIVSYAFNFLMSWNSAGTFFIFSTICGLTILFVAKLVPETKGRTLEEVQASLNPYQQVSNKEMNLAYDPVTELHA >RHN74398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32649809:32650465:1 gene:gene10455 transcript:rna10455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mediator complex, subunit Med20 MPVRWILHWQPSQGTTVNSHILNEISQCVENFNGVKDGRCKTTITFYKPNLKDQSMSAQFPRDFLGISLMEQPNKYYLIIRDNKLVAEADSSILTIMEKLQSYKSKVALNCEGLQYNLGDFQMRLIKVVPNQAESLRGILMEIEYLPISSLENAKPIMEEFIEIWREVLSKKSLPGQFMRAEPIFADYGLSDNYSLQHTAVQYAAALPQLFASVQLRS >RHN40526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17191193:17192125:-1 gene:gene46686 transcript:rna46686 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLSFTVPQEDFLLFHQVDRDLYKILVTELSRDPSESMRLLAMWLWLEKVGFHNVVKNIMSLPIILINEIADETMLCLTCLTNNSNTSLTTIMLSSEANDIPLLQSVMENEISLKFFRQNCMEAIHGVEKTRKEVCMRAFGDIMQRAMMRNIAERMVENNNFLFGSTGPINLQFGSVGIAGALGQQHSNNNGGRGGIIPADERTLFVTFSKGYRVEEWEVREFFTMAYGDCIETLFMQETQPNEQPLFGRIIFHKVSTIDMILKGASKVKFSINRKHVWVRKFVPKRTNTDKVILPGETSGFGFGITS >RHN67901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31283072:31283925:-1 gene:gene16150 transcript:rna16150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MHMEENMVEIIKFIYVMVNFISVFLVSMNVEGRKCKQDSDCPEYICTFPWKPTCVEAYFLMYNRQNYCTCT >RHN60493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27349320:27353497:-1 gene:gene22809 transcript:rna22809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine aminopeptidase, S33, alpha/Beta hydrolase MVSKAVIVLIIGLLGMVYQATQLPAPKSNDYDSVVDDEENGFMVSTRIQLSDGRFLAYRESGVTKDKAKHSIIVVHGFGSSKDMNFPAPQELIDELGVYILHYDRAGYGQSDPNPKRSLKSEALDIEELADQLQIGSKFHVIGVSMGSYATWSCLNYIPNRLAGVAMIAPTINYEWPSLPQSLVRDDYRRKLIKIAMWLARYSPTLLHWWVSQKWLPSNSVIEKNPAFFNKRDIEILERIPGFPMLTKEKLRHEVVYNTLRGDWMTAFGNWEFDPMKLSNPFPQNNRSSVHIWQGYEDKVVPSQIQRFISEKLPWIQYHEVVDGGHLIVHYSGLGEAILKALLLGEEDISYKPRSSSISVS >RHN78351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14324263:14326797:-1 gene:gene1895 transcript:rna1895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGVAFCFRSKPFSCSVTDVEKVPPFEVGQEIRVMQSVNQPRLGWSNESAATVGKIVRIDMDGALNARVTGRQSLWKVSPGDAERLPGFEVGDWVRSKPSLGNRPSYDWNSVGRESLAVVHSVQDSGYLELACCFRKGKWITHYTDVEKVPSFKVGQYVRFRPGLAEPRFGWGGAQPESQGIITSIHADGEVRAEQIFEVGEWVRLKENVNNWKSIGPGSVGVVQGIGYEGGETDRSTFVGFCGEQEKWVGPSSHLERVDKLIVGQKVRVKQNVKQPRFGWSGHTHASIGTIQAIDADGKLRIYTPAGSRTWMLDPSEVEVVEEKELCIGDWVRVRASVSTPTHHWGEVSHSSIGVVHRVEDDNLWVSFCFVERLWLCKASEMERVRPYKVGDKVRIRDGLVSPRWGWGMETHASRGHVVGVDANGKLRIRFRWREGRPWIGDPADIALDEN >RHN60582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28468128:28469207:1 gene:gene22913 transcript:rna22913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAEAVMEEKVTNHIPYDISFSILSKLPLKSLKRFECVCNAWSLLFEDSHFMNEFRTNCISKSHSYYNDTSLILYQFIETHYSIRYSFYLLSGERFENRVKLDLPNPFQEENPFFDFIDCDIIAGTLCLKKQKTLVLWNPATHESKVIPPSPAESIPPYREASTLFHGFGYDHIQDDIKIIRYVHFCRINGGGLQLLNVRREDVPWNEISYEPLWEIYSVRCNSWRKLDFNMPNCWSGSSNERLYLNGICHWWHVTEDQDGHFLVSFDFSNEMFFTTEIPLDIPLDIGTNLSFRLTKRHLVVLNGSIASISWYLDTTTFHISILSELGVKESWTKLFVVGPLLYIERLIGVGMNGDIFLI >RHN75707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44455392:44458257:1 gene:gene11945 transcript:rna11945 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNHLTQINHLNILLNLLAKQHLNTLFNLFAKQHLNTKFNLSASAHEGNELGASFVLYLC >RHN54238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7992667:7997383:-1 gene:gene29240 transcript:rna29240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFSCHTLTHLKLSTYPNGGHETLFPKSFNLPALTSLQLESFGFCLGDNDRAEPFSTFNKLNSLIITNSTLSGAGTLCISSATLMNLTMYTRFRRLDSIELCTPSLCTFAFIGSPQKLSRSYVSSLKHVDIEINKVEPPLFLLNWLQELPDIKSLTVTSTTLQVLYLIPDLLKTDLPSLGNLKSLRVKMVKLSS >RHN50513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7794422:7794841:1 gene:gene34808 transcript:rna34808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MSFISQLLGNETYDPFLSMVKKCPVLSTPTDWKETKDAHVFISDLPGLKKEDVNVEIDEGKVLQISGERTHNVDENDEKDNKWHHVERCRGKFQRRFRLPQNAKVDQVKANMENGVLIVTIPKEDVKKSETKVIQIEGN >RHN66092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8503333:8510015:-1 gene:gene14007 transcript:rna14007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein LONGIFOLIA 1/2 MAAKLLHSLADDNQDLQKQIGCMTGIFQLFDRNQMLTARRISQKRLPSGNLHFSDGSLERDSDSMQHRPMETDTSLNRGLNEKQRLSTESSRASFSSCSSSVSSLDFKAEVETSYETPSRETFMNQPTISPRFGRHSLDLRDVVKDSMYRDPRGPSGKSMAKEQSTIHAMKHRDSPRPLQMSKYVDRSYGVEIDEKQSVPIDLKESIRVLSKLRDAPWHYAESTRENPRSSQEVKDGHWHSISKDAPWLAYDGRETSRLSFESRETIRSAPKLKEFPRHSLDSKEGSWRTYSSEAKPNHLSRNVYGATSTSNEKFSSPQQSSSSSQSRPPSVVAKLMGLEAIPDSSFAVDTQPGSTETYSAQDNGQFPRSSKNGFIRPLRVSNSPKISLKDPTSPRRKNSEVVMKPVSSSKFPLEPAPWKQHDANRNSQKQSLRTTKAPLRTLDSSPSVYSEIEKRLKDLEFKQSGRDLRALKQILEAMQEKGLLESRKEEQVPNVVGSRSDYEPKATNLNQNFRSVKQQNPQRNNFLSSTVKGNDSARAFDSPIVIMKPAKLVEKSEISASSAIPMGGFSGPNNRNNISSTLTAKEQSSKNIRRDASPVSTDKKTSITKPTRSPQSQSRSQQFPKENNQSSMKNSGSVSPRLQQKKLELERRSRVPTSPPSDSNKSRRQSGKKAASESASPGRKVHHKILNSQHSEEQLSEISNDSRSFCQGDEVSLQSDSVTFDSKLDIEVTSNLRSSEIDDSQSPSLKAIKQLISETVQKKSTPRLDEDETISEFATKAPEHPSPTSVLDGSVYKDDEPSQKRQTPKDLKVGNAQESRDNEVEDQWKPDGDLSFNGTGSGEINRKKLQSIDHLVQKLRRLNSSHDEARNDYIASLCENSNPDHRYVSEILLASGLLLRDLSSEFLTFQLHSSGHPINPELFLVLEQTKASSLLSKEESSFGKAAFSKQNTEKFHRKLIFDAVNEILVTKLGYSPEPWFQPNKLTKKNLSAQKLLKELCFEIEKVQAKKTEPCLEDDEEDDGLKSLLCEDVKHGTESWENFNGEIPGIVLDVERLIFKDLVNDIVIGEAAGLRVKSSVRRRKLFGK >RHN63384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50846806:50847531:1 gene:gene26078 transcript:rna26078 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLLLVQEREAKIPAPVWEVAARAAAAPPLPHMSVVLHGKFDSKMSCFAPKVPSNRSYYLTCSPIIRSSALQITATLLATAGENMSFPLFVEPVCRCNLATL >RHN56316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29804906:29806007:-1 gene:gene31699 transcript:rna31699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transaminase MVTNDLTKYQHPSLIIVDAVSSIGALDFRMDEWGVDVVVTSSQKALSLSTGMGIVCAGPKAIEASKSATSLRSFFDWNGYLKCYNLGTYWPYTPSIQLLYGLRAALDLVFEEGFENVILRHKRLAKATR >RHN80459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38271346:38272748:-1 gene:gene4388 transcript:rna4388 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDEDGTSGSGEDLNMLDGHKRRPTVVVPSGSGGRKRKATGDTIVDAMLEIAAASKMRASAILKNEDMFSISKCIKVLDKMRGVDERVYFHALDLFENSSSAREIFISIKSGKRLPWLQCKCGVPFG >RHN78038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11458372:11458671:-1 gene:gene1553 transcript:rna1553 gene_biotype:protein_coding transcript_biotype:protein_coding MYLMSTEKAANLGERKDVKPTMWSTIRSVRSVTRVGTGHFNVRMSGLLIVAVDHRLPRDQIILLVRVPAHRLNQRKKKSQKKKKQTKKKSKGKSRRYST >RHN80462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38288849:38291887:1 gene:gene4393 transcript:rna4393 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIF6 MATRLQFENSCEVGVFSKLTNAYCLVAIGGSENFYSAFEAELSDVIPVIKTSIGGTRIVGRLCIGNKNGLLLPHTTTDQELQHLKNSLPDRVCVQRIEERLSALGNCIACNDHVALTHTDLDKETEEVVADVLGVEVFRQTVAGNILVGSYCAFSNKGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREAKPSATVDEMRKSLFDGYN >RHN43972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:418315:418968:-1 gene:gene38015 transcript:rna38015 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFDWLQEEEVFDDIQAVSATSSDDDSSSDHVVEFEHLLEKSLDLMNNKPNRKDTEEEFMKDFVKSYPYCCNVALGYHD >RHN68232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33895213:33897695:1 gene:gene16552 transcript:rna16552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MPDYDVVDMGPQINATPEYIDTGRVKVVGREEDVLSGLSNKASSSSVLLTSKTEGEILQCNNLKCFTFNELKTATTYFHPSGQVDERGFGSVFKGWIDEHTLAPTKQGTGYVVAVKMFIKESSQLGQNEWLAEINYLGQLHHPNLVKLIGY >RHN77447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6910828:6911301:-1 gene:gene902 transcript:rna902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDLCAERMNWSSLNSDLQIEILFHLPVKSLMRFKSVEKSWNILFKTPYFVNRWKLHNSENRKGHSLMIIPRPIEFRPPYIALLSCDDLDHEEVERICFYSLFPANNKTILKIESYGNCNGVFFLKAFYWHSTNLGHLILWNPTVKQVHRIPSCTLIL >RHN77271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5587383:5589976:1 gene:gene709 transcript:rna709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYC/MYB MESGLPLLHSLLQHTLRNLCSFPTSSTSSKWVYAVFWRVVPRIFPPPRWEFGGTSLDRSKGNKRNWIIVWEDGFCDFNECEQRKSGCLNERFGADVFFKMSHEVYSYGEGLVGKVAADNGHKWVYSDTQNGCEANYVGPWNASIDHQPRAWEFQLNSGIQTIAVIAVKEGLVQLGSFDKIAEDLDLVLNIQRKFSYLQTIPGLLSFQRPQHIPFQHPCITKPNFQMMESNEISKNQVTKLNNMQDERSNYFSMIAINLGRNHPQNGTSMPPLWSPPLSLPNNHIQLHETNRINNTSHKVKIEEGTFHIANYGDQKGKAGWS >RHN53775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4076820:4082063:-1 gene:gene28720 transcript:rna28720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative palmitoyl-protein hydrolase MLRVPNDLSFFLCLLSCLPWQQQTSCSSFTMMLLLRLPPLSFLFIFFFFFFFPISHSIPFIVLHGIGDQCSNRGVKSFTQELITYSGVKGFCVEVGNGSWDSWFMPLKEQTDVVCQKVKKMKELKGGYNIVGLSQGNLIGRGVVEFCEGGPPVKNFISLAGPHAGTASVPLCGTGIFCVLADNLIKGEVYSNYIQEHLAPSGYLKLPNAIPKYLENCRYLPKLNNEKPGQRNSTYKERFSSLENLVLIMFEQDTVLIPRETSWFGYYPDGFFKPVLPPQETKLYTEDWIGLKTLDEAGRVHFISVPGKHLGISEADMKKHVVPYLNGKTSRNDSTTKAGFRRMRGFNQRFIHINKME >RHN56222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28941916:28942398:1 gene:gene31589 transcript:rna31589 gene_biotype:protein_coding transcript_biotype:protein_coding MAHELENKLEEDQVRSMEELQKLSILLTLVTAIFLTGLIIITSSATSAVDARHSLYSMMASFVFFLCLTFMSFSLRFSLFRMTITSCVIVLQSGSFLLLLSLHTLLSIILGGTNSSFSLLLLTMLFVLCFVHITTYVLFLLLVSWTTKSAWAREQCLYYI >RHN73741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19514158:19518827:1 gene:gene9601 transcript:rna9601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSVKTTTTTRDSVVVPPSTSTTEVIDVETFQFSSPIATTTSDVINLSDIEEDDDDDVKILNFVPKNTSFGKRRRVKLEKGESSKQNSNPNEVPFFCEICTETKTTKEAFFITGCNHAYCSDCVVLYVRSKIEENVINVRCPESGCSGLLEAEECRAILPVEDFDRWGKALCEAMFDVNEKFYCPFPDCSALLINDGTEAVLQSECPNCRRLFCAQCKVSWHDGIGCSEFQKLNADERGKNDIMLMKLAKEKQWKRCPNCKYYVAKSEGCLYMKCRCGIAFCYNCGVPNKNTIHYCSNCKH >RHN54297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8396698:8398221:-1 gene:gene29308 transcript:rna29308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lactoylglutathione lyase MQLLDSLREQELKMEIEEVCEAQALPLLSLNHVSLLCRSVLESMQFYEDVLGFVPIKRPSSFKFTGAWFYNYGIGIHLIQNPDIDEFDTYMNESRPINPKDNHISFQCTDVELVKKRLEEKGMRYVTALVEDEGIKVDQVFFHDPDGYMIELCNCENIPIIPISSCTASFKPRSHSFKRSTSNFKCGGFMQNVMMQSLSMDMMNFAF >RHN66866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20509448:20512207:-1 gene:gene14977 transcript:rna14977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proline--tRNA ligase MQSLLYCALEILELYRRIYEEYLAVPVIKGTKSVGDTCGGAIFTNAVEAFIPNIGRGIQGAATHCLGQKFAEKFEINFEDKKVEKTMVWQNSWGFSTRTIGVMVMVHGDDKGLVLPPKVASTQVIVIPVPYKNVDCEGINDACKAAVKMLREEKIRAELDSRDNYSLERKYSEWEMKGVPLMVEIGLKGLGNKQVCVVRRDNGAKIDIANADLVEEIKKLLNNVQQNMLDVAKQKRDECIQVIHTWDEFVEALNQRKMILGPWCDEKVVELDVKQWTENEMGAAKILCSPFDQPELPEGTKCFASGKPAKKWSYWGRSY >RHN48793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50326650:50328612:1 gene:gene43546 transcript:rna43546 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLSGDNNHHHIIQMAETYTAPVQTLEKFFQEVESLKEELKTLEKLHTSLKNSHEKSKTLHSAQAVKELRSAMDSDVTISLKKARLIKVRLESLDRSNEASRSLPDCGPGSSSDRTRLAVVSGLRKNLKDSMESFNGLREQISSEYRETVKRRYFAVNEEKADDKTVDLLISTGESETFLQKAIQKQGRATMMEKIQEIEERHGAVKEIERNLKELHQVFLDMAVLVQSQGEELNDIESQMMRANSYVRGGVQQLHVARKNQKNTREWTCFAILLLLIIALVIILPIVLKNN >RHN44868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9262546:9266535:-1 gene:gene39035 transcript:rna39035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MDTQQMQTPLSSDIRIRSRKATSFDCSSFLRRGMDISMLQISDQFRPIPTSIDARINSIQRQPHESDQCSCQFYVNFYEDQGSLGRNIKTLNKEVYATGIDRIFILQRLQHNSCEGLIKNSDSSEVVKPYRWDSSEDCSSLSESRLILGRFLSDLSWFVTTSFLKKVSFSIRSVQNKIFYHVMGNDADIINPSLLNVVNFKSEKGSIVPVVSLFDMFCDYDGEEDEALPMLSNQIEGLRRSKRRNVQPKRYIGSSVEKLEVGTFRMRPYKIGCYLIEDENSSDLEVDDNVTLGHYYSFCKEKPTKRRLHGSDDMDFETKWEGIRFKKGAQTKRNHSICLTNRSHVQEGGRTLNVDAKKEIIDTYMKNLDSLRAEEEPTIDEETNKLEEEKVSKSDDGEENPDDLSAIWEEMDTAMAASCLLDGTEGSNAEVLADTEEICEHDYTFNEEIGIFCLSCGSVKTEIRDISEPVVERQKWRKEEKQYSEEDNDEQKSEAKVDEDDNKDMFSTNATDPDEPISAEKDTVWESIPELKEKMHAHQKKAFKFLWQNIAGSMEPSLMQERSETNGGCVISHAPGAGKTFLVISFLVSYLKLFPGKRPLVLAPKSTLYTWCKEFKKWKVPVPVYLIQGRQTQRDSTAPKPTVLPGVPRPSGDVKHVLDCLGKIKKWHSHPSVLVMGYTSFLALMRQDTKFAHRKYMAKTLRESPGILILDEGHNPRSTKSRLRKCLMELPTELRILLSGTLFQNNFGEYFNTLCLARPKFVHEVLRELDSKYLRRGNREKKAQHLLEARARKFFLDNIARKINSDNDEEKMQGLHVLRKITSSFIDVYESGNSSDTLPGLQIYTLLMNTYDEQLEILQKLQKKMAECTGYPLEVELLITLGSIHPWLIKTATACAEKFFAEDELKRLDRIKFDLRKGSKIRFVLSLISRVVKNEKVLIFCHYLAPVRFFIELFEKYFQWQNGKEVLILTGDLDLFERGKVIDKFEDPRSGSKILLASINACAEGISLTAASRVIFLDSEWNPSKTKQAIARAFRPGQQKMVYVYQLLTTGSMEEDKFRKTTWKEWVSSMIFSEEFVEDPSKWQAEKIEDEILREMVEEDKSKAIHMIMKNEKDSVRNMPRHTEGQQGLLEGSYLIMQEPQSDGH >RHN81797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48942925:48943796:1 gene:gene5886 transcript:rna5886 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKEEKSSNVKKINSACDVDALKKCLEENKGNYVKCQSQIEAFKSSCSVKKPNPSLDSSPPLKTRSV >RHN48065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44684321:44687984:-1 gene:gene42737 transcript:rna42737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mad1/Cdc20-bound-Mad2 binding protein MSKTVPEMDLAEIYTAPDTIDSSVIFHTIYDVVAFVLYMHQQIPSTVQDMSVEFDSMHSEYKQLEIDKGNEVKASFRRMHVSRMREIKVGIKRLDKLMSSLSKLQTALKVIINECHNIDRVVLALGGSSLRPQNVYVLEFPCRVDVSNAGDDFARSKAAEALSRKAIRTLISKDAGSVTYPGPNKLFVLIKAPSSFNQPQHFLPKRDFKYNRKIVPLRLLIKCRNQDQEVAASTSEDWIWFQCRHVIKGLAMNAMPEE >RHN55358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17613204:17613818:-1 gene:gene30528 transcript:rna30528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin MVRYVHIKWMLYLFFYFPSLHSDKFYVSNISLSLFCGYRHAYYMVLHNFGEKLYSKLVATMTSHVKEIAKSVEASEGSSFLEELNTKWNDYYKALEMIRDILMYMDRTYIPSTKNKPVYELGLNLWRENVIYSNQIRTRLSNTLLEFVLKERAGEDVNRELIRNVTKMLIDLGPSVYEQVFETPFLQVLAESYKAESHKYIEFV >RHN44403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4298744:4303585:-1 gene:gene38522 transcript:rna38522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ethylene-responsive binding factor-associated repression, Ninja family MAVVEDRDNKTHSHSYSHDQNISTSSSITMSKNYTKDLFNKFMAAENHRRRCNIPLPTVKPVQRFDTAEEMDEEDFELSLGLSMNGRFGFDPNTKKIKRTTSIPESMIPVTVGGGSSSGGSGGGSSGGGGGLMRTCSLPVENEEEWRKRKELQSQRRMEARKKRYEKQRNSRAMRERSFGGSGGEGGVGSESGSGNSNNLVEVFVQGVGGESLIRTSSLTTRVGGLGLNGEKELDQVVPPSPQGGGGSIGSSSGTSESEGQQHGQGTTPMDTENSDKVAKLQNKTKDFKNLFEDMPSVTTKGEGPNGKKVEGFLYKYGKGEEVRILCVCHGSFLTPAEFVKHAGGGDVTNPLKHIVVNSSLI >RHN74519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34287511:34287944:1 gene:gene10606 transcript:rna10606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L12 family MSSGELGCIYATLILHDDGIPITAEKIGTLLKAANVTVESYWPSLFAKLAQSKNVDDLVLNSGAVGGAAVAVSAPTAGGGATAAAEPAAVEKKEEAKEESDDDMGFSLFD >RHN66269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10207903:10215362:-1 gene:gene14218 transcript:rna14218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Actin family MEAAVIDAGSSLLKAGFAIPDQTPAMIIPSQMKRMVDETNDNGNGQLVVDDVAVEPVVRGYVRDWDAMEDLLNYVLYSGLGWEIGNEGQILFTDPLCTPKANKEQLVQLMFETFNISGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVIEGAVNHIASRRFEFGGTDLTNFLAQELGKSNPLVNISISDVEKIKQQYSCCAEDDLAYQKTEDSCPVETHTLPDGQVITIGRERYTVGEALFQPSLLGLETHGIVEQLVRTISTVTSDNHRQLLENTVVCGGTSSMTGFEDRFQKESLLCSSAVRPTLVKPPEYMPENLTMYSAWVGGAILAKVVFPQNQHVTKADYDENGPSIVHRKCF >RHN65629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3917300:3922581:-1 gene:gene13492 transcript:rna13492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase transcription factor C2H2 family MPSKTNQSEKEEKSPYKVEQEEDDDEEEVEEEQNWDDWEENDGDSDSEFICLFCDSNFSSCTSLFQHCASVHHFDFHVVRDSLNLDFYASFKLINYIRSKVAESRCWSCGLACQSKHDLQNHLHDVTDFNGIKPLWDDDKYLKPFMQDDSLLYNFGEFEEGEDEETSTMDEDLVKDLKDAIYGDNQDAVKKLVVNDDVYVSDDHSSLPSSSDKELVNGKDSRGSVSSIDKNPEEGSLISNPQNHIATHIRKVNESYFGSYSSFGIHREMLSDKARMDAYGQAILKNPSLLNGAVVMDVGCGTGILSLFSAQAGASRVIAVEASAKMAAVASQVAKNNGLLLNKSETRVNGNQKGVVEVVHGMVEEIDKIVELQPHSVDVLLSEWMGYCLLYESMLGSVLYARDRYLKPGGAILPDTATIFVAGFGKGGTSLPFWENVCDFDMSCIGEELVIDAARYPIVDVIDHQDLVTSSTILQTFDLATMKHHEVDFTATASLEPKLSASENENSKTCCWCYGVVLWFDTGFTTRFCRDTPAVLSTSPYTPKTHWSQTILTFREPIAIGFGEENGTKPETIGTEGYPAVKIDLRVSIVRSTEHRSIDISMEAAGVSPDGRRRTWPAQLFSLQ >RHN69888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47127481:47128963:-1 gene:gene18417 transcript:rna18417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MYATTSFVSPLLHELVENFHSRRLLLHSSNSANPPISTSIHDSTKESSYAGDGNFDANVVMVLSVLLCALICSLCLNSIIRCALKCSNLVVMSGDRSANNIPVRAANTGIKKKALKKFTTVSYSDELKLPSLDSECVICLSEFTNGDKVRLLPKCNHGFHVRCIDKWLSSHSSCPKCRQCLIETCNKIVGSQGSSSQPQPMLFPVPETIVTIAPLEPEGLVRNYREVS >RHN55488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18920748:18921974:-1 gene:gene30676 transcript:rna30676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein O-GlcNAc transferase MLSISQWDWDWEIEANSTAIITCDRSNKDFDLCTMNSPTLLDPTSLTLFALGPHTRIQHHIHMKIKPFPLKNDTNAMSPISELTLTSAPLKSSQCGVTHHSPALVFSVGGYTGNFYHDMNEIFIPLFITINYSLSHDQDVILVIIDVKPWWFEKYVDLLSAFSPNHKIINTNNLTTTHCFPSAIVGLIKHGQMIIDPKLLPNPKTLLDFHSFLKRAYVKEDIPFVYLNSKGKPILTLVSRKGSSSRDILNEEEVIKLAEDVGFNVRVLKPSRDFSVADAFKLIHSSHVLLGVHGAGLTNLLFLRQGSVSVQVVPIGLEWASETYYNKPTKILGLEYVEYKVEANESSLSWEYGADSLVIKDPKAYTEGKWDKQLVYLKKQNVKIDLIRFRNCLTKVYEKAKIFMNSTS >RHN46440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31735378:31739653:-1 gene:gene40924 transcript:rna40924 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKERDEELALFLEMRRREKENEKNDLLLLQNSEELDLSNLESNHENSMISKMVSSVPPKKTVVEEFLNSENDKSDYEWLLTPPDTPLFPTLDKESQMPLKSETETHSSRPTALKLRVDNIQIEPSSRSNVVSKHHASMSSFGSSTNGSKRISSSRGPSPATSRSSTPSGRPTLPSTTKSSRPSTPTSRATLTSTKSTAPPVRSSTPTRPTSRASTPTSRPSLTAPKTSQRSATPNIRSSTPSRTFGVSAPPTRPSSTSKARPVVAKNPVQSRGISPSVKSRPWEPSQMPGFTHDAPPNLKKSLPERPASVTRNRPGVPSSRSASVEATSNTKSRRQSNTPSKGRASTGFTHNNHSSLHALSRARFTDGDEDSPVVIGTKMVERVVNMRKLAPPKHDDPCANNNSYGKSSSGSSGFGSTLSKKSLDMAMRHMDIRRSVQGNMRPHVTSIPASSMYSVRSGSSSKSRTMSVSDSPHATSSTASSELSVNNNSISYGSENEKDF >RHN49088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52334615:52335446:-1 gene:gene43878 transcript:rna43878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative condensin subunit 1/Condensin-2 complex subunit D3 MECLRVLLKDYKNEIDDILVADKQLQKELIYDMQKYEAAKAKATVAEAIAAKPKSGANQSPDVSKNLTKEHGQTQVQNVDSDMLPSGSRIASAMADAAAASTARSVLKEINKGTATPQLSSLNIPKVKSFTGECMSRGDKRLDFLKSLQKTLF >RHN46508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32378742:32382319:1 gene:gene41001 transcript:rna41001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate decarboxylase METMLGSLDIAKPTSNDVVSCKQQNSTATIQPSTAIATSDATLGRHLARRLVQVGVTDVFSVPGDFNLTLLDHLINEPELNLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDYGTSRILHHTIGVPDFSQELRCFQTVTCFQAVVNNLEDAHELIDTAISTALKESKPVYISIGCNLPGIPHPTFSRDPVPFSLAPKLSNHMGLEAAVEAAAEFLNKAVKPVLVGGPKLRVAKASDAFVELADASGYALAVMPSAKGMVPEHHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVVANGPAFGCILMKDFLKALAKRLKHNNAAYENYHRIFVPDGKPLKSAPKEPLRVNVMFQHIQQMLSRETAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQAVPEKRVIACIGDGSFQVTAQDVSTMLRCGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLIDAIHNGEGKCWTTKVFCEEELVEAIATATGPKKDSLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >RHN51876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27449716:27451503:1 gene:gene36432 transcript:rna36432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MISTNNSSYSHSISSKDFSPFDASSPGSEVRLASSNPKKRAGRKIFKETRHPVYRGVRKRNLNKWVCEMREPNTKNRIWLGTFPTPEMAARAHDVAAMALRGRYACLNFSDSVWRLPIPATSAIKDIQKAATKAAEAFRPDNTLMTNNIDTIVDVVATKELNMFCVEVDEQEEMLNMPELWRNMALMSPTHSFEYHEYDDIHVQDFQDDEDLKKKSVTTTWTVTATGVHSPHFTIMYRIVIVAVTANPAFIFSLFSSWQRKVEKKKE >RHN42521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39012675:39013064:-1 gene:gene48969 transcript:rna48969 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSASEITLNLDLMHNASESSPTALTLKFRNKNSVPSIAILNKLLCKFGPLIDSKTELLVKTNGVKLVFQKRCDAETAFTHVGKYRFGSSLKSFCLKVLPHKPKECTRKRGRKSKKQSSSLHDVSAV >RHN39091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4069954:4071387:1 gene:gene45085 transcript:rna45085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MENRQRITLYDQMTSTSNTRSSLASLIQNDAVLSNQKNTNQTLLDIIRENEPNNVVVNVSVTNSSSSSNNNVVKDRKSWKAFKELLLFKRRTSDESTHQQQQNEDIPTNSDPSEFLPGGEFSDETAQVSMSLMDLLEESEIELERISDVVDEGDDVEENNEKREEEEEREEEGEGEGSNVKVEHNCCVCMVRDKGAAFIPCGHTFCRMCSRELWVSRGNCPLCNHFILEVLDIF >RHN43966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:391642:393833:1 gene:gene38009 transcript:rna38009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MSSSEDEGGGGEEYLFKVVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSLEIDSKEVKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDISRRTTFDSVGRWLDELKTHCDTTVAMMLVGNKCDLDNIRDVSIEEGKSLAESEGLFFMETSALDSTNVKTAFEMVIREIYNNVSRKVLNSDTYKAELSVNRVSLVNNGNSTSKQSKGYFSCCS >RHN81962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50149030:50151343:-1 gene:gene6068 transcript:rna6068 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MNMNPHHGGMKEEFVGGSSSFSVVGEPQPREGLHENGPPPFLTKTYDIVDDPSTNHIVSWSTGNNSFVVWDPQAFSIILLPRFFKHNNFSSFVRQLNTYGFKKVDADRWEFANEMFLKGQKILLKNIRRRKANQSQVMQALDPSCVELGRFGSDGIEVDRLRRDRQVLMVELVKLRQQQQNTRTHLEAMEGRLKRSEQKQKQMMNFLARAIQNPNFLQQLVQQKEWRKELEEIFSKKRRRTIDQGPSNVEAGDELGYVDKECLNFVKLETHQDVEEDSNQINQLEVRDKEIDIEVFWQDLFKEGIEDEVDIEDVDVLAEQLGYLASSPPK >RHN67929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31539225:31539950:1 gene:gene16187 transcript:rna16187 gene_biotype:protein_coding transcript_biotype:protein_coding MLADKDTNHNSTVVLAESTDIVDQVSKEIVLLNQTVNSKVSDDMNNEPSESAALISKKTILVTDVWPHSFTTSVPLSPEQCSMTRRRLFPSAATVPVTIVRDPSFYLSFMLPVLSEPTSDAMTIITPIIFTYEILGPDKGKISAPATSKNTSKACMKSEKILSKFWADDLDTNQASDNTLELDTNAEGLQVLLFESSVAAQYLLQNSGTTKKGKRGRPRMTKNRKDNSGNKAQGFLQSAES >RHN38593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:532006:533854:-1 gene:gene44548 transcript:rna44548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MHGKKDQEHVRKGPWKTEEDEVLLHHVKKYGPRDWSSIRSKGLLQRTGKSCRLRWVNKLRPNLKNGCKFTIEEERIVIELQEQFGNKWAKIASYLPGRTDNDVKNFWSSRQKRLARLLKTSSSTTSKSHKNKAKVSSHVPSYEVIKKHMLLFFLSWFSAFSPSATFVVVGSKLDNVPYKFSSSSEGETSSKPQSYSLPCIENSDQVIKMVPLLDLKKSEQPCFDENYVEQELTPFDQSYKSTEYIGFPQIPELDTDFTFPMERVDEHNLFDVFGPLETSEFGMVPFFEPSESCKIDRFFDDFPEDMFDNIEPPSNFSKL >RHN73763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19755364:19755613:-1 gene:gene9625 transcript:rna9625 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKSSSNSFTSLFKCCFSSRSSYEHLEGSGRGRRIFTSDEDIGTWIGEPDIDRKASDFIAKYYATRVTNSQSQFAS >RHN68874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39272872:39275122:-1 gene:gene17289 transcript:rna17289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MDSYSPSTHIQQKPHAVFVPFPVQGHVNPFMQLAKLFRCKGFHITFVNTEFNHKRLIKSLGQDFVKGLPDFQFETIPDGLPESDKNATQDVVPLCEASRKNFHAPLKELVKKLNTSSPHIPVTCIIADGFSGFAGKVAKDLGIQELVFWTASACGMLGYLQYDELVERGIIPFKGETFIDDGTLDTSLDWISGMKDIRLKDLPSFMRITDLSDILFDFVGSETQNCLRSSTIIINSFEELEGETLDTLRANNPNIYSIGPIHMLGRHFPEKEKGFKASGSSLWKSDPECIKWLSKWEPCSVLYINYGSITVMTNHHLKEFAWGIANSKLPFLWILRPDVAMGEETSTLPQDFLDEVKDRGYITSWCYQDQVLSHPSIGGFLTHCGWNSTLETISYGVPTICWPFFAEQQTNCWYLCKSWKIGMEINHDVKRDDITELVVQMMEGEKGKEMRHKCLEWKKKARKATNLGGSSYNNFQKLIKEVLHHKAI >RHN43441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45916754:45917330:-1 gene:gene50019 transcript:rna50019 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVCLSSTTNLDSELGNKFNLSNQARDRSLLGYDIGIDIITFWF >RHN73754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19624041:19624299:1 gene:gene9615 transcript:rna9615 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWRNIWSTHELTRYEHSLLAHHSVNSKNCGGVIRVVDR >RHN57456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39264159:39269355:-1 gene:gene33002 transcript:rna33002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MQAIWLMLLLLVNTAFGNRDIDALLELKKGIQNDPFGLVLNSWDSKSLESNGCPQNWYGILCSEGNVISITLDNASLVGEFNFLAISNLPMLHNLSVVNNHFTGSMLHISPMKSLKFLDLSLNKFNGSLPPSFVELRSLVYLNLSLNEFSGTVPNVFHKLDQLEYLDFHSNSFSGDIMEIFYQMGSVLHVDLSNNKFSGALDLGLGDVSFLFSIQHLNVSHNSLVGELFAHDGMPYLDNLEVFDASNNQLVGNIPSFTFVVSLRILRLACNQLTGSLPETLLKESSMMLSELDLSQNKLEGFIGSITSMTLRKLNISSNKLSGPLPLKVSHCAIIDLSNNMLSGNLSRIKYWGNYVEVIQLSKNSLSGTLPNETSQLLRLTSLKVSNNSLEGFLPPVLGTYPELKEIDLSLNRLSGFLLPTLFASTKLTNLNLSNNMFSGPIPFELQLPNNLLVSAENFSLMYLDLSNNNLSGILSSKIKELHNLVYLNLCNNKLEGTIPNDLPDELRELNVSFNNFSGVVPDNLSQFPESAFHPGNTMLIFPNSHLSPKDSSNSNLGSRSHEKTFTRSVLITCIVTGVFVIAIMAAMIYYRIHQKKGSTSKQDATTSDIIQESTSPSKRRNLESLPPSQSEDTGNINPTVQNPKDPEFIKNEEGTSSPMSIISASNPSPSTSHQFENPGSLEVSSPDKLVGDLHLFDGSLMLTAEELSCAPAEVVGRSCHGTLYKATLESGHVLAVKWLREGITKGKKELAREIKKLGTIKHPNLVSFLGCYLGPKEHERLIVSNYMNAHSLDIYLHEADKRNLHPLSLDERLRVAVEVARCLLYLHTEKAIPHGNLKSTNILLETPNRNVLLTDYSLHRILTAAGTSEQVLNAGALGYRPPEFTRSTKPCPSLKSDVYAFGVVLLELLTGRKSGEVVSGIPGMAELTDWVRFLAEHGRSNQCFENSLVDNDNGEDSYRILDDMLKVAIRCTLSASERPDMKTVFDDLSTIKES >RHN76127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47881185:47890661:-1 gene:gene12408 transcript:rna12408 gene_biotype:protein_coding transcript_biotype:protein_coding MEARHASLGRRTLDEIRQKRAQDRLVKASSRSDLSQVPSIPETAGINKSESGNRLSSQADVSSLLSQIKVLQRKNNELDEENRKITSKLQTMEIDNGAMHKQLNELEQNTVPSLRKALRDVAMEKDAAVVAREDLSAQLRTLKKRLKEAEEEQYRAEEDAAALRAELNSIQQQSMTNTVSTIPSIGPPDHHLQILENELAGLKLELQRESLMRHQGQEQLAKEQSRIASLMSEKQELEEKLNSMSREAAEVSDKATQKTFTMEDRQKLDKQLHDMALAVERLENSRQKLLMEIDSQSTEIERLFEENSNLSSSYQEAIGAAARWENQVMECLKQNEELRGILENLRVEQANGLPESFKNEVHEVGSSTSTGEVASLKGQLVKELSRAEALSAEVMQLSAQLEQVKQAYDGLARFYRPVLRNIESGLVKMKQDSSLVVR >RHN66682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16382478:16383557:1 gene:gene14727 transcript:rna14727 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKPLIFVFFVCSLIIITVVAIDSSKVGETENSQANFGVERVEGGHNGDHEGGNVDGFLGKVINWLEDRGILGEGRKREARRKGRGRNEAGLGGGTEYSSGRGQNYGRGGGGGGNEEVWEGGVHKGEENEEPGLG >RHN61651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37200563:37202579:1 gene:gene24138 transcript:rna24138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MSIIFLLVGILSIGLQFTAVESIGVCYGMIGNNLPSRQDVVNLYKSRGINQMRIFFPDEPALQALRGSNIELILDVAKETLPSLRNANEATNWVNKYVRPYAQNVKIKYISVGNEIKPNDNEAQYILPAMQNIQNAISSANLQGQIKVSTAIDMTLIGKSFPPNDGVFSDQAKPYIQPIINFLNNNGAPLLANVYPYFAYIGDKVNIPLDYALFRQQGNNAVGYQNLFDAQLDSVYAALEKVGASGVKIVVSESGWPSAAGDSASTDNAATYYRNLINHVKNGTPKRPGAIETYLFAMFDENQKTGAATEQHFGLFNPDKSPKYQISFN >RHN44106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1727362:1731224:1 gene:gene38165 transcript:rna38165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MVASFKIYSVVFESRTPSKIFNLTMSVLSVVPDLKVNSFKILTFFLGINSNFFPFLLIIIFYVLINHFPISSHKVRSLTWYVTKLYLHYFRTIHICEQFLHQMISNFFNIPPTPYFRKISFLLLLPMDPFKGQGGIQMLLTAEQEAQHIVSNARNLRTQRLKQAKDEAEREAAQYRSHMEEEYQKSLTETTGSSGLNVKRLDQETDTKIGDLKQSGSKVSTEVVDMLLKYVTNIKT >RHN47595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40862059:40864511:-1 gene:gene42214 transcript:rna42214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydrofolate reductase MEGENGRKLKILCLHGFRTSGSFIKKQISKWDPSIFSQFHLEFPDGKFPAGGKSDIEGIFPPPYFEWFQFDKDFTVYTNLDECISYLTEYIIANGPFDGFLGFSQGATLSALLIGYQAQGKLLKEHPPIKFLVSISGSKFRDPSICDVAYKDPIKAKSVHFIGEKDWLKIPSEELASAFDKPLIIRHPQGHTVPRLDEVSTGQLQNFVAEILSQPKVGVSICEHESKVEVDGTNGDKGVNGVEINQGNAETVEVVQA >RHN80990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42438516:42444597:1 gene:gene4978 transcript:rna4978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-GSK family MMATAGVAPASGLVDVNASSAIAVDKLPDEILGMRIKDDKEMEAHVVDGNSTEAGHVIVTTIGGKNGQPKQTISYMAERAVGQGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVTLKHCFFSTTEKDELYLNLVLEFVPETVHRVIRHYSKMNQRMPLIYVKLYSYQILRSLAYIHNCVGVSHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTSAIDIWSAGCVLGELLLGQPLFPGASGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFRKRMPPEAVDLVSRLLQYSPNLRSTALEALVHPFFDELRDPNTRLPNGRHLPPLFNFKANELKGVPAEMLVKLVPSHARKQCTLFASS >RHN47884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43294827:43295162:-1 gene:gene42534 transcript:rna42534 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSSPRSPMETKQENSPKRSSEHATSPGSKVEEVESTTTNVEEVNEENICSSDGFTTPKGKRFKIPEIIDCPPAPKRKRTWKCPPFRTSKSFSSPEIELFLTTIRKFAS >RHN73225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14535465:14550407:-1 gene:gene9019 transcript:rna9019 gene_biotype:protein_coding transcript_biotype:protein_coding MWPFISAKEFQPNWLTQKLITKLILSIEANNNSGRHLNARIIASSDQPHFLKVSIFSSQSFLLIHFLAIKAVPKLVIEG >RHN79224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26215989:26216741:-1 gene:gene2972 transcript:rna2972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MMKNSFSSSHHSIHHKPKNNVVDRENEKLHQGARLYKAYHNSNNLLKPKIQKHEHPQGINIDTLKINHSCVLCSKAFSSIEALNGHMKWHKQNGSKKGSNNCDLDQFPPIDLTKYLPPIRYETKKRSWDYFLDVETVNVAEIMVDMSQQSSANLNVNHRDNKRMKLCSSVDDDEKINEQGGVMQTSGKNNEKNRLVFRLKIAKGLNIQTSQASNQPASDDDDVENNTDEGDESDGESDLEFESNIFGILI >RHN56192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28616017:28616329:1 gene:gene31554 transcript:rna31554 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYIFMNRLCTNSTIVILSIGYLLPFSITSYLLLLLLLTTIDFRFS >RHN51314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16104802:16110972:1 gene:gene35735 transcript:rna35735 gene_biotype:protein_coding transcript_biotype:protein_coding MNANFSVVFKFIVHNVLPKSHTSDTTMKVTPLIWCIMQGTQVDVARLIANELKSVALKCATGSKASLNFPGLIMSLLISKGVEIPEPADEEIQHPIDDTFISSLVKREKRTTDYHGSSDDEADTSAGIFDFSSLQSFMAEQNQHKQLVRD >RHN75662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44147402:44148432:1 gene:gene11897 transcript:rna11897 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSRAWVAAGSVAVVEALKDQGICRWNLTLKSLQNHFKNNVRSFSQAKKLSSSFSSSSSSSSAMVSISKRQKENAKQSEESLRKVMYLSCWGPN >RHN74082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:26763992:26767054:-1 gene:gene10048 transcript:rna10048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MAGRNDAALAAALQAVAQAVGQQPNANAGANAEARMLETFMKKNPPTFKGRYDPDGAHTWLKEIERIFRVIQCTEDQKVRFGTHQLAEEADDWWVALLPTLGQEGAVVTWAVFRREFLRRYFPEDVRGKKEIEFLELKQGNMSVTEYAAKFVELSKFYPHYTAENAEFSRCIKFENGLRPDIKRAIGYQQLRVFQDLVNSCRIYEEDTKAHYKVVNERKGKGQQSRPKPYSAPADKGKQKMVDVRRPKKKDAAEIVCFNCGEKGHKSNVCPEEIKKCVRCGKAPTTGRVFALTGTQTENEDRLIRGTCYINNTPLVAIIDTGATHCFIAFDCVSALGLDLSDMNGEMVIETPAKGSVTTSLVCLKCPWSMFGRDFEMDLVCLPLGGMDVILGMNWLEYNHVLINCFSKSVHFSSVEEESGAEFLSTKQLKQLERDGILMFSLMATLSIENQAVIDRLPVVCEFPEVFPDEIPDVPPEREVEFSIDLVPGTKPVSMAPYRMSASELSELKKQLEDLLKKKFVRPSVSPWGAPILLVKKKDGSMRLCIDYRQLNKKVTIKNRYPLPRIDDLMDQLVGARVFSKIDLRSGYHQIKVKDEDMQKTAFRTRYGHYEYKVMPFGVTNAPGVFMEYMNRIFHAFLDRFVVVFIDDILIYSKTEEEHAEHLKIVLQVLKEKKLYAKLSKCEFWLKEVSFLGHVISGDGIAVDPSKVEAVSQWDTPKSVTEIRSFLGLAGYYRRFIEGFSKLALPLTQLTCKGKTFVWDVHCERSFGELKKRLTTAPVLILPKSDEPFVVYCDASKLGLGGVLMQEGKVVAYASRQLRVHEKNYPTHDLELAAVVFVLKIWRHYLYGSRFEVFSDHKSLKYLFDQKELNMRQRRWLELLKDYDFGLNYHPGKANVVADALSRKTLHMSAMMVREFELLEQFRDMSLVCEWSPQSVKLGMLKIDSEFLKNIKEAQKVDVKFVDLLVARDQTEDSDFKIDDQGVLRFR >RHN54363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9011209:9013879:1 gene:gene29382 transcript:rna29382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSSTRKITLKSSDGETFEVDEAVALESQTIKHMIEDDCADSGIPLPNVTSKILAKVIEYCKKHVDAAAAEEKPNEDELKSWDSEFVKVDQATLFDLILAANYLNIKNLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFSPEEEEEVRRENQWAFE >RHN58506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2340498:2346793:-1 gene:gene20425 transcript:rna20425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal-recognition-particle GTPase MVLADLGGSITRALKQMSNATIIDEKVLNECLNEITRALLQSDVQFKLVRDMQTNIKKIVNLDDLAAGHNKRRIIQQAVFNELCNILDPGKPAFTPKKGKASVVMFVGLQGSGKTTTCTKYAFYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIITKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGQGDLSGFMNKIHEVVPMDQQPELLNKLQEGTFTLRIMYEQFQNILKMGPMSEVFSMLPGFSNELLPKGKDQDSQAKIKRYMTMMDSMTNEELDSSNPKLMNESRMMRIARGSGRQVREVMEMMEEYKRLAKIWSKMKGLKIPKKGDMNSMTRNMNATHMSKILPPQMLKQIGGMGGLQSLMKSMGSNKDMMGMFGGGGEQ >RHN82085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51153749:51155359:-1 gene:gene6204 transcript:rna6204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligosaccharyl transferase complex, subunit OST3/OST6 MASHRNISPISLGILIIVIFSLCFANSSNEERTSELLSLSSRSDSGIIHLNDQSISRYLTSIKTPRPYSLLIFFDATQLHDKSELKLTELHKEFSIVASSFITNNANSSSLSKLFFCEIEFKESQLSFSQFGVNALPHIRLVGPNHGLKDSEHMDQGDFSRLAESMAEFVEVKTKLSVGPIHRPPILSRNKIILIVVVVLIWLSFFVKKLLTGKTLLHDPRVWLAGSVFVYFFSVSGAMHNIIRKMPMFLQDRNDPSKLVFFYQGSGMQLGAEGFTVGFLYTLVGLLLAFMTHGLVKIKSVTVQRVVMIFALLVCFLAVKQVVFLDNWKTGYGIHGFWPSSWN >RHN55648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20584730:20591324:-1 gene:gene30864 transcript:rna30864 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MLGKGVSREHFRPRTCSSGKNASKTGQAKGDLENIVFIDLDSDHFDDVEIIGSSGPSKDRTSTPQSVISIDDDDDDDSDDDDDDDDDESGDVDQSGIDVDGVGELDSDASSNKRFSMPSSSARKSIYVDVDDCDVYENGSVSKRQKSNKASASNVWKKVFSPNAKERNRYGLYGSESDSSDSDCSDCEVIKREQWEEDTAMKKGRVFNEHVSSSGLHTNNYNNREVENRSEKHGKGPLYGPSCSKENQSSFTGKDDIRNGERTTKKKVNPCPKSKNCNFCNDGIGSSKSRNELGDDESTFKDDIQHGEKVSACPNSENSNFCNGVAGSSSLKKGVGDKESKFMSSNQGAHDMQVDDDESPLNAHDRQVDNEESPLRSKDDNISEENSNGASFDERHINGHELALNTQDADLTASNEKNIINQKEKHKQTDLYKKAMEEELASRQRQLQIQAEEAQKMRKRKKAENSRLLDIERRSKERLEEVRETLKKDKELMNTKDELRVEIKKKLNHLENRCIDMTSLLRGLGVNVGASLRQPTQKEVHTAYKHAMLKFHPDRASKTDIREQVEAEETCKLISRMKEKFCSTSWR >RHN74580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34876859:34881475:1 gene:gene10674 transcript:rna10674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MVKFTADELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMSDESLKSFKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDETKMMERLWGENFFDPATKKWTTKNTGSATCKRGFVQFCYEPIKQVINTCMNDQKDKLWPMLTKLGITMKSEEKDLMGKPLMKRVMQTWLPASTALLEMMIFHLPSPSTAQRYRVENLYEGPLDDQYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGLKVRIMGPNFVPGEKKDLYVKSVQRTVIWMGKRQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIVAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVLERSCRTVMSKSPNKHNRLYMEARPLEDGLAEAIDDGKIGPRDDPKNRSKILSEEYGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALSEENMRAICFEVCDVVLHTDAIHRGGGQIIPTARRVFYASQLTAKPRLLEPVYMVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAATLVTDIRKRKGLKEQMTPLSEFEDKL >RHN63390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50886036:50889810:1 gene:gene26084 transcript:rna26084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Nop domain-containing protein MALFLLHETALGYALFEAHGIDEIGQNTEAVRNSVTDLSRFGKVVKLRSFNPFTSALESLEQINAVSEGIMTDELRTVLETNLPKVKEGKKAKFSLGVAESKIGSHIHEATKIPVQSNEFVGELIRGVRQHFDKFVGDLKQGDLEKAQLGLCHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDVNSFAMRVREWYSWHFPELVKIVNDNYLYCKVAKFIEDKSKLAEDKIESLTDLVGDEDKAKEIVEAAKASMGQDLSPVDLINVHMFAQRVMDLSDYRRRLSDYLSTKMNDIAPNLQSLVGDSVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASARNKGRMARYLANKCSIASRIDCFSEKGTSAFGEKLREQVEERLDFYDKGVAPRKNIDVMKSAIESVDNKDTEMETEEVSAKKTKKKKQKAADGDDMAVDKAAEITNGDAEDHKSEKKKKKKEKRKLDQEVEVEDKVVEDGANADSSKKKKKKSKKKDAE >RHN78054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11537069:11538316:-1 gene:gene1569 transcript:rna1569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MRRFIPSQLGNLSQLRHLDLSYNELIGEIPFQLGNLSLLQSLSLYGNLLRGTIPDDFGNVMQSLVHLYLSENSLEGKIPKSIGNICTLQSFEARDNRLSGGISDFIIHNNYSRCIGNASSLQDLYLSNNQFSGTIPDLSILSSLTWLILDDNNLIGEIPTSIGSLTELEILNLGGNSFEGIVSESHFTNLSKLEELDLSQNLPTVKVSANWVPPFQLQYLFLASCNLNSTFPNWILTQKLLLVLDISKNNITGKVSDLKLEYTYYPNIDLSSNQLEGSIPSLLLQAVALHLSNNKFSDLVSFLCSNIKPNSLGLLDISNNQLKGELPDCWNNLTSLYYVDLSNNKLSGKIPLSMGALVNMQDLVLRNNSLSGQLPSSLKNCSDKLAILDLGENTFHGPLPSWIGGSLQQLVILSL >RHN79409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28578261:28579030:-1 gene:gene3197 transcript:rna3197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MVSIFILLLLFSNYFMSVHGRVVSLAESHISLPSEKLQSSTHIHFYFHDILDGEKPTTLKIINPPNESSHGPFGATYILDNPLTREPNLSSKLIGRAQGTYSLASQQGDFAFKIDINFVFTAGTYKGSTLTMLGRNVIVDEVREMPIVGGTGAFRFARGYALAKTVWYNSTSGNAIEEFNITILHL >RHN61005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32232921:32236691:-1 gene:gene23413 transcript:rna23413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MNSESSPETPSYWCYSCTRFINLSDHTLIVCPHCDNGFVEEIAAGESPHHRLSPFPADTVSSRRQGFRRRRRDAGSRSPFNPVIVLRGAGDDGVGEDGAGAGGSAFELFYDDGDGSGLRPLPPTVSEFLLGSGFDRLLEQFSQIEMNGFGRPENPPASKAAIESMPTVEICEEHVSCELHCAVCKEEFELHAEARELPCKHLYHSDCILPWLTVRNSCPVCRHELPSDLNNPLETRVSGQIDEEAIGLTIWRLPGGGFAVGRFSGGRSAGESHFPVVYTEMDGGLNAAQGSAPRRISRTVRAHRVRESHGIGRVFRNFFSFFGRIGSRSNLNSNSGTGNVASVSRSRSLVNSMFSRNSRRRRRALELED >RHN55180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15779931:15786785:-1 gene:gene30318 transcript:rna30318 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFHPLLAILIVTSLLSSFGDSFKVPFRVNDVLPVLPKEISWPVLNNFHSAVDLLPSFVGSVTPYNNGSIQWKGACFFDNQAKLEFTNHGDDSDLGGAVLYLKTGEAHSWTCMDLYVFATPYRITWDYYFSAREHTLKLDSWEEPAELEYVKQHGVSVFLMPAGMLGTLLSLVDVLPLFSNTAWGQSSNLQFLKKHMGATFEKRIQPWRATIDPADVHSGDFLAVSKIRGRWGGFETLEKWVTGAFAGHTAVCLKDEMGNLWVGESGHENEKGEEIIVVIPWHEWWEAALKDGSNPQIALLPLHPEMRAKFNSTAAWEYARSMSGKPYGYHNMIFSWIDTVADNYPPPLDAHLVISVMSMWTRMQPAYAANMWNEALNKRLGTEDLDLHDIILETEKRGIAFDELLAIPEQDDWVYSDGKSTTCVAFILSMYKEAGIFGPISSSIQVTEFTIRDAYMLRIFEDNQTRLPRWCNNENDKLPFCQILGEYRMEFPGYNTLVPYANMNEHCPSLPPTYDRPSQC >RHN54668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11148648:11152741:1 gene:gene29742 transcript:rna29742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MAVNLTQGAIVTMCFTSEDLHPVLQVIDLKLVQSQQNSGTERYRVVLSDGLHYQQGMLATQKNELVHSGRLQKGSIVKLSQFICNVVQNRKIIIIVDLDVIMDKCDLIGKPDPAPKEDPAQSAVSHAGNVQSAAGHLGSNAGNSQYLNSGSHTGGGVNVRPNVALPSMDRPTVNPPASVVYSNGSGSARYGASNAPPPYPKPEPGVSLNRPASVNGSSGEQNTSFRNPLCETSRPVQNSYVRPPQPVNQQPSPMYNRGPTGRNDAPPRIIPISALNPYQNMWTIKARVTAKGELRTYTNSRGQGKVFSFDLLDSDRGEIRATCFNTVAEQFYNVIEVGKVYLVSRGSLKPAQKNFNHLPNDQEITLDITSVIQPCVDDNSILQQIYNFRPIGDIENMQNNSIVDVIAVVTSISPTASIIRKNGTETQKRSLQLKDMSGRSVELTVWGSFCNTEGQKLQNICDSGEFPILVVKSARVNDFNGKSIGTIATSQLLVEPDFPEAFTLRGWFDQEGRNVPSQSISRESSSFGKSEVQKTISQIKDENLGTSDKPDWISICANVVFFKYDNFYYTACPNMIGDRKCNKKVTDNGDKTWHCERCDTSLSCDYRYLLQMQIQDHTGMTWVTAFQEGGEDIMGMPAKDLFSVKFEEKDEEKFKEIISKVVFTKYMFKLKVKEETFNDEARVKSTVVKAEKIVNFASESKSLLDLIDKLKSEKAEGTTINSAINTTGPGSLPIGQATPPVYNPINSNTNTGRDYGTPANQVSQYGNQHNSSFASSGAPGSYMSCSNCGGSDHSSAQCLHLRNPPEQTAGGAYVNTVSGSGGASGKCYKCQQPGHWASNCPSMSAANRVSGGSGGASGNCYKCNQPGHWANNCPNMSAAPQSHGNSNTGQGRYGIAQNQPDGRC >RHN66264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10169592:10170444:-1 gene:gene14212 transcript:rna14212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEILKILYVFIIFLSLILAVISQHPFTPCETNADCKCRNHKRPDCLWHKCYCY >RHN60140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21652148:21655172:-1 gene:gene22378 transcript:rna22378 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLKEMDESVAESKKKRNHGSTRLFVFIAYLFLLIFVDFLCFIIFKIVGI >RHN43925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49489048:49490968:1 gene:gene50562 transcript:rna50562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation HMG family MEVKLKEQLEAFKGELLGGLNIVLTQIHQCYPKIAISTLFSIPKTNDVQADARSFVEIKEGKEENTHKKLREKEIQPVDNLAEVKPKKHTQKPRGLCPSGSKPEVLEVQQEKPRIIGNKRKVSWGSMFEVFGDQEKIASSPHLAPKSVDQEEVVDQYIQKSKSKEHQAKKIKVEKDHDMLENPPSSFSLLWDKFGKNFKEVDRNSGVNKMAIKAWNSMNNEDKQHYLDKAAKRKAKHEKLKKKG >RHN54216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7875996:7879332:-1 gene:gene29216 transcript:rna29216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MASWTARQNKLFEEALAIYDRETPDRWHNVAKVVGKSVEDVKRHYEILKEDIKRIERGEVAYPY >RHN61116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33098071:33101276:-1 gene:gene23546 transcript:rna23546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 13S-lipoxygenase MHVLNEDQFFVHVDLYACFALPNQFFSFEQSYLPSETPEGLIRLREEELKTLRGNGQGERKSFDRIYDYDVYNDLGDPDSDPELKRPVLGGKEHPYPRRCRTGRPRCDTDPLSEKRSNNVYVPRDESFSELKQLSFSANTLQSAFHALLPVVKTAVIDKNLGFPLFSAIDDLFNEGFNLPPQAEKGFLASVLPRLVRLVNEARNDILRFETPATMDKDRFFWFRDEEFGRQTIAGLNPCCIQLVTEWPLKSKLDPKIYGPAESAITTEMIEQQIRGYATVEEAIKQKKLFILDYYDFFLPLVEEVRKLEGTTLYGSRTLFFLNEDSTLRPVAIELARPPIGGKPEWREVFTPAWHSTGVWLWRLAKAHVLAHDSGYHQLVSHWLRTHCATEPYIIATNRQLSAMHPIYKLLLPHFRYTMEINALAREALINADGIIESSFTPKQLSLLVSSIAYDKHWQFDLQALPNDLIHRGLAEKDPNAPHGLKLAIEDYPYANDGLVLWDTIKSWVTDYVNHYYNNESRNVESDKELQAWWDEIINVGHGDKKDEPWWPNLKTNDDLVEIVTTIVWITSGHHAAVNFGQYTYAGYFPNRPAIARNNMPTEDPSDSEWELFFEKPEVTLLKCFPSQIQAMTVMTVLDILSSHSPDEEYLGETIEPAWQEEPMIKAAFEKFKGRLMELEGIVDERNANMNFRNRNGAGILPYELLKPTSEPGVTGKGVPYSVSI >RHN68096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32826186:32829091:-1 gene:gene16393 transcript:rna16393 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRRRIEHNQDKSLIHGLQLQEHATRLLGSNDLASLQQAIEEYTQTNNESLMKIFKSFAHHYINGFSLKLAKILELHPPLQTRTEIVSLLLQTLPRGINSSMSLSILLNLKNPLLNSLKVESEEILFPSLCEMMGLFADRLYRFTYSSWVELLQYVCDCISGDVRSNKKKGLLLLTEFSALVVQNREFWLNQGNLDLVFSNISNLINSMDQELKALAFTASLSLMLLSKDLQRTDVYDILLPILLNIINQHGEEEILGNRIKRLWDLAKLDDGNIFKGKLGEVFWCMIRVTEVEDVSEELKFYAVCVIKDVGSANLKEMGSLIKNLSHEEVRRVVTVAVNMLSCVIDDPLWYDVDDKNCITAGMLDAFYLGVFLFKSLTTDGHEDVFVPTAIEMMTMQYVSHVYWWFRCAAMLAISWIAESNIKGKDMMLYFNQVAMLALKSLDDLDPRVLWATMPTISVLSEHKELLIQDQYHKKFLEKLVPIIRCNSCARVQSNAVIAIHSLVKNCGLDKISPFGEHIVASVLVLLKHEKQKLQTEAIDTLKTFAVLMPGNFRQNYYDTTMEALKVLVFDKSSLPKLVLCAKCLEFMIYLVREIGPDNFEEQEAVQVSISPNSLS >RHN54223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7923968:7926754:-1 gene:gene29223 transcript:rna29223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MWRSQRLFRKLKLESVVIQRRWMSTVMSFGDGTHGALGLPTTTVGIGTHAYEPTPIPSLPSDILTVHAGHYHSLATTSQGHLWAWGRNNEAQLGRGLSSRETWNEPRRVEGLEHVNVCGAFASGVVSCCVGDDGSVWVWGMSKRGQLGLGKHVTEAVVPSRVEALSRQNVVKVSFGWGHALALTVDGKLFGWGYYADGRIGNMGNDHLESSTLDSSSSAFSNNTQITSSDLEVAEKKVLQGMAEETNMPIIWEPRLVEELRGIQVVDIACGLDHSLVLCRDGALLSSGSNVYGQLGRAREDLGFFPVDIKFTPVSVAAGLGHSLAICQLDESDSNVTLGTTDIASWGWNQSSQLGRAGPAYLPALIDALAGENPVSVSAGRAHSVALTSKGEMWVWGSGKNGRLGLSSSVDEDEPFYLDSLEGFQILQVVSGFDHNLVLVAG >RHN65714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4676906:4683938:-1 gene:gene13587 transcript:rna13587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MQSLISEATLETNKFYGMREFNLSNSPIEKRYGLVQCSRDLNENQCNQCLVAMLDKVPKCCGTKIGWQVLAPSCLIKYDDFMFYQLTFAPNSEQGSSSISKTLIKIMIFELVMALVLISCGIFFIWRRNHSNKDRLASKKIPISHPGHTQGEDTYNADLPIIPLIWIRQSTNNFSELCKLGEGGFGPVYKGNLVDGTEVAIKRLSITSDQGLEEFTNEVIFIAKLQHRNLVRLLGCCIEDNEKLLLYEYMPNSSLDFYLFDEEKRKLLDWNLRLNIINGIAKGLLYLHEDSRLRVIHRDLKASNVLLDQEMNPKISDFGLARAFEKGQSQENTRRIMGTYGYMAPEYAMEGLYSVKTDVFSYGVLLLEIICGKKNSGFYIGEHGQSLLIYSWNLWCQGKSLELLDTILKNTYISNEVMKCIHIGLLCVQEDAVDRPTMSNVVVMLASDTIALPNPKHPAFSVGRKVNDDPSVNEVTISNIFPR >RHN82779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56309076:56313521:-1 gene:gene6965 transcript:rna6965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MVKFVISPDIPPVFLTDAAREAGTENPAYTEWEEQDSLLCTWILSTISPSLLSRFVLLRHSWQVWDEIHSYCFTQMKTRSRQLRSELRSITKGSRTVAEFIARIRAISESLASIGDPVSHRDLIEVVLEALPEEFDPIVASVNAKSEVVSLDELESQLLTQESRKEKFKKAAISEPVSVNLTETANSESQSHGPNSQNHNYTDGTGNNQFPNSNSNFGGRNGQFRGRGGRFGGRSNVQCQICSKTGHDASYCHYRFFAPQNDYYSPYGSPGGYGAPPNVWMQNMSRPQHSGQFLRPPTQAANQRGQAPQAFLTGSDPYNSFNNAWYPDSGATHHVTPDASNLMDSTSLSGSDQVHIGNGQGLAITSVGSLQFTSPLHPQTTLKLNNLLLVPSITKNLVSVSQFAKDNNVYFEFHPNHCFVKSQDSSKVLLRGILGHDGLYQFEHTKSFKTTAPVSQNSSVNTVCNKVPAQTDNSASFHLSPSTGFNFNNFQCNNVEHLPSSSTSSSTQSFPSMYGIWHSRLGHPHHEVLQSIIKLCNIKLPNKSLSDFCTACCHGKVHRLPSFASQMTYTKPLELIFCDLWGPAPVESSCGYTYFLTCVDAYSRYTWIYPLKLKSHTLSTFQNFKTMIELQLNHKITSVQTDGGGEFLPFTKYLNSLGITHRFTCPHTHHQNGSVERKHRHIVETGLTLLSHAQMPLKFWDHAFLTATYLINRLPTPVLANKSPFFLLHLQFPDYKFLKSFGCACFPFLRPYNSHKFDFHSKECVFLGYSNSHKGYKCLDASGRIFISKDVVFNEVKFPYLDLFPSQKVCSVLPDGPTLSTFLPTPVSTTFTVNSHTPQNSHSKSGPHIVNSPTPQTSHSEFVPTTPISNTPQTPSISSHHSESSHRNNVVLNPTPITILSPSASQNSSPESSASVTSSQSTNSESPPPVPHRIHPQNCHTMRTRGKHGIVQPRINPTLLLTHVEPTTYKTALQDPKWHLAMQEEYNALLHNQTWSLVSLPANRLAIGCKWVFRVKENPDGTVNKYKARLVAKGFHQQAGFDYNETFSPVVKPVTVRTVLTLAVTYNWTLQQLDVNNAFLNGVLTEEVYMVQPPGFESSDKNLVCKLHKALYGLKQAPRAWFERLKSSLLSFGFKSSRCDPSLFTLHTQAHCIFILVYVDDIIITGNSKLAIQNLVHQLNSEFSLKDLGILDYFLGIEVHHSPSGSLLLSQTKYIKDLLQKANMINANSMPSPMASSTKLSKFGSSTVSDPTFFRSIVGALQYATITRPEISYSVNKVCQFLSNPLEDHWKAVKRILRYLQGTLHHGLMLTPASSTEPIAITGFCDADWASDPDDRRSTSGACIFLGPNLVSWWARKQTLVARSSAEAEYRSLAQASAEIIWIQSLLNELQIKSKIPHVYCDNLSAVSLAHNPVLHSRTKHMELDIFFVREKVIRKELNVSHVPAQDQWADVLTKPLSTARFLYLRDKLRVCDTLRLKGDC >RHN41124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27224196:27226552:1 gene:gene47414 transcript:rna47414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3,9-dihydroxypterocarpan 6A-monooxygenase MAEMQDYIQLSFVMLLSIIAIRTILTRKKNNNHLTPPTPPSLPIIGHCHLISKFPHKSFHKLSIQYGPIIQLFLGSIPCIVTSNPEIAKEFLKTHETSFSNRLKNAATTYISYGLKGFIITPYGDYWQFVKKICMSELLGVITLDQLRPLRQQETLRFLRLLQKKGETGEAIEVGGELLTLTNSIITIMTMKKTFGSENDISDVKEIRKMVTETAELVGKVNVSDFIWFFKNIDFYGMNKKLKRIRDRFDTMMDRVTKEHQEEKKKRGEGAHHVRDLLDILLEIQEDESNEIKLTSENVNAFILDIFMAGTDTAAITIEWALAELINNPHVMEKARQEIDSVTGKSRLIQESDIPNLPYMRAIIKETLRIHPTVPVIVRESSESCKVYGYEIAAKTVLFVNLWSMGRDPKLWENPLEFRPERFMCEENNKFDMRGQNFQLMPFGTGRRACPGYLLALQVVPTNLAAMIQCFEWNVDGNGKVDMEEKPAVTLPRAHPLICVPVPRFNSIPSCE >RHN61440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35516746:35518182:1 gene:gene23909 transcript:rna23909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFGLFGVKRGRDVVPKGCVAVYVGENMKRFVIPIGCLNQPSFQDLLSKAEEEFGYHHPMGGLTIPCSEDSFLNIISSVDS >RHN58845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5390503:5392340:-1 gene:gene20799 transcript:rna20799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S8e/ribosomal biogenesis NSA2 MVSFRYELGRQPANTKLSSNKTLRTIRVRGGNVKCRALRLDTGNCSWGSEAVTRKTRLLNVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVEIGSAKKTSGKKDSAEESEAVTEEVKKSNNVQRKLEQRQKDRRLDPLIEVQFGGGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQKKKNGNGAA >RHN77512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7327790:7334291:-1 gene:gene976 transcript:rna976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKIKVISRSTEEFTRERSQDLQRVFRNYDPILRPQEKAVEYVRALNAVKLDKIFARPFIGAMDGHVDAISCMAKNPSQLKEVFSGSMDGDIRLWDIAARRTVCQFPGHQGAVRGLTASTDGRILVSCGTDSTVRLWNVPVASFGDSDGSIKTTIEPASVYVWKNAFCAVDHQWDGEHFATGGAQVDIWNHNRSQPVNSFVWGSDTVISVRFNPGEPNLLASSASDRSINLYDLRMDTPVRKMIMMTKTNSIAWNPMEPINFTVANEDGYCYSYDSRKLGEAKCVHKDHVSAVMDIDYSPTGREFVTGSYDRTVRIFPYNGGHSREIYHTKRMQRVFCVKFSGDGSYVISGSDDTNLRLWKAKASEQLGVVLPREKKKHDYHEAIKKRYRHLPEVNRIARHRHLPRPIYKASSLLRVIADAKKKKEERRKAHSAPGSVTTKPLRKRRIIREVE >RHN48720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49711553:49712759:-1 gene:gene43466 transcript:rna43466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVISTIGKTTPTEGATFSAVPPDIIQTHILTYLDGSSLASAASTCSQLNTLSSHDHLWTNICHSTWPSTNTPRIRQVISTFSNTSRSFFSDSFSTVTAKTFHHHRRVNINTTPEFLSAVDLFHRRRMILSRVVETETVSGWFRYSPFRVDILDPKDSVETDMEYLKTEEECKNLEEELSLSWIVIDPSGKRAVNVSSRKPVSVNRHWLTGDIQVRFATVLHGGEKGSATEATVCSLLVTLGREMQVREACFQIEDMDGNQLNGGDSLGILQRALEGERKRLRSEKEGKERYVEFVKRKVERKERKLRSERRLDMLCVSLAALSVAAFSTLFLS >RHN58383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1328198:1329570:1 gene:gene20290 transcript:rna20290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MQFEDGFTRLIIHCNQQGGDEGAHTPAFLALSRPIVSQGFEWKEKAENLEVELQQCYKAQSLLSEQLVKELTELRDECSQLKTDLDQKIKEVDLVLSENSELKAQLEQMTTKANEAEAENKMLTDRLMLEKMKDAERLNEANALYEDMVQRLRASGLEQLAREQVDEIVRRSEEGAEFFSQSNIPSNCKYRLNAHEGGCASLLFEYNSSKLITGGQDHSVKVWDTNTGSLSSSLTGCLGSVLDLTITNDNRSVIAASSSNNLYPYWTHR >RHN68938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39753864:39756826:-1 gene:gene17361 transcript:rna17361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MASANVASALVYMAEKCISMRNFKLIHAHAFRTCLHQHAVVLGKLFRFAAVSPFGDLSYAHNMFDQMPQPTTFFYNTLIRAHSHSTTPSFSSLFFNRMRRNSIAPDEFSFTFLLKSRSFTMPLVHDIHGAVFKFGFCRHLHVQNALIHLYAVGGVTISARKVFEDAVRVGLDVDIVSWSGLLVAHAKAGELDVARKVFDGMPERDVVSWTIMLSAYSKAKRPHETLDLFQEMRLAGVWPDEVTVLSVISACAELGDAEMGRMVHKFVEENGFGWMVALCNSLIDMYGKCGCLEEAWQVFDRTKRKSLITWNAMMMVCANHGYAEDAFRLFEGMIGSGVVPDGVTILALLVAYAHKGFVDEGIRLFESMQRDYGVEPRIEHYGAVVDMLGRSGRLQEAYNLLTSMPIPSNDVIWGALLGACRIHGDVGMGERVIKKLLELKPDEGGYYILLRDIYVAAGRTAEANEMRQAMLASGARKNPGCSWVEA >RHN62479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43726665:43727603:-1 gene:gene25059 transcript:rna25059 gene_biotype:protein_coding transcript_biotype:protein_coding MNERKMMTILYFNFFFRNDYGSGSNFFIRNDCVDEDEDEEEDGRRR >RHN74537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34509489:34510424:1 gene:gene10625 transcript:rna10625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant organelle RNA recognition domain-containing protein MIMMSNGLRLRLEHVRIARSALGLRDDFEYLVVLRYPEFFRLVDAKETRNKYIELVEFDPKLATCAIEDARERVYRERGSEAEDIRFSFLIDFLPGFKISKYFRIAMWKWQSLPYWSPYEDVWGYDLRSPEAQKRMEKRAVATIHELLSLTVEKKITLERIAHFQMAMNLPKKLKEFLLQHQGIFYVSTRGNHGKLHTVFLREAYRKGELVEPNDLYLARRKLAEVVLLSPRKARVDRELVGYRRSKLDDEMGQVTRAYLEDACEDFKGGDRVEQGVDDEDGLSSDIGSDVDSANEDDDFDDNVSNEKEIS >RHN56375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30431077:30434552:1 gene:gene31765 transcript:rna31765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase C78, ubiquitin modifier-specific peptidase 1/ 2 MNMDDEDSSTCPFCFLSLPSSQLQWHANTHFEDDDFRSPQVEKAVRNLHLNTTFGDCNNWCGGGGGSSSIGRDNGVCNMDEKISCLVDLQIKGEFHNVNGGLMNLLRNCLESDGDNSRSILSGYVDHFQSNKFEDVGWGCGWRNIQMLSSHLLAQKRETKDVLFGGSGFVPDIPSLQRWLEIAWERGFDESGSHQFNHAIYGSKKWIGATECAALLRSFGLRSRVVDFGPKESESLYLSVPGSSLGGQDLVRIGDERKRKAPNVSGPMDRYLSRCGGAVSQTSCRKNAESCSSINATVDRKSGGEFVVKSAAKQSKNHQVLMDFVWNYFSNKNSIQFGYRRVVFSEKTPLYFQHDGHSRTIVGIQVRHQRNGILHYNLLVLDPGHRTAAIESSLRERKGWQRFIKRGVHTLRKQQYQLCYVDPGIASEEEMEKLKTVDSVFIEL >RHN50999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12762718:12763332:1 gene:gene35359 transcript:rna35359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MFAGASDSSAITIDWAMSELMKNPRVRMKAQAEIREVCKGKKRIYESDLHELSYLKSVIKETLRLHPPGAILTRECREACNIGGYEIPIKTNLILNAWAIGRDPNHWSDAEKFIPERFHDNTGFDFNKVNDNSFQYIPFGGGRRMCPGVLFGLANIELPLAALLYHFDWKIPNEMKAEDFDMTEEYGATVSRKNNLFLIPTPYI >RHN52202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32649927:32650152:1 gene:gene36847 transcript:rna36847 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEIDWFIAFTSFELYLCQIRSNISQVNHIKCLKLFCYKMWMMMLHIILAKQWGDYSKIFDLIMQVGR >RHN70873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54682929:54685038:-1 gene:gene19504 transcript:rna19504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MEDAGSYPNPDNPSHKIVDVAAGESHTLLLTEDGSVYCWGRGMFGRLGNGSQKDELFPKKLNFGNPNGTQDSVKIVGIAAGSYHTLALAEDGSVWCWGYNICILNMLGVF >RHN62958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47037579:47038922:-1 gene:gene25599 transcript:rna25599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MASIKLISTTTIQAPSHINTNSKKIHLTPWDLSSLQVEMNQKGLLFHNHQNPKDTSNQIQHLKNTLSSTLVFFPPLTGRLIIEHQEDDDNSINDDTTSCFILCNNLGALFIHAAAENTSVFDIVKPNYVPSIVHSFFPFNKVKNYEGTSKPLLAVQVTELVDGIFIGFSINHVVVDGKSFWHFINSWSEISRGFDQLSKLPTLNRWFLDESIEIPIKFPFTKEENGKSTEIDENPVPLERIFHFTKEKIAKLKSKANEEANINKISSLQALLTHLWQAVIRGQNIDPEEEIMYCLVIDVRGRIIPPLHENYFGNALQVGGVKMKAKELLAEGAFGKVAFEMNKMIASHCDDTVKRHYESWVKNPRLFQGRLSSVKALATSSSPRFDVYGNDFGWGKPVAVRSGGANKSDGTITMFSGIEEGSIDVEVCLSYDILEAMGNDPQFIHIF >RHN43578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46996529:46998562:1 gene:gene50181 transcript:rna50181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L31e MVEKTGAGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFAQKAMGTNDVRVDVKLNKAIWSQGIRSVPRRVRVRIARKRNDDEDAKEELYSLVTVVEIPKEELKGLGTKVIDDED >RHN69437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43402450:43405754:1 gene:gene17911 transcript:rna17911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MASELQLPPGFRFHPTDEELVMHYLCRKCTSQPIAVPIIAEIDLYKYDPWDLPGMATYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPIGHPKPVGIKKALVFYAGKAPKGDKTNWIMHEYRLADVDRSIRKKNSLRLDDWVLCRIYNKKGTIEKQPSNSVVNRKTEHSEIEDRKPEIVTRGGGLPPHPPPQTTAGIRDYMYFDTSDSIPKLHTDSSCSEQVVSPEFASEVQSEPKWNEWDKNLEFPYNYIDATLNTGFGSQFQNTNPLQDMFMYLPKTF >RHN71613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1367999:1372205:1 gene:gene7230 transcript:rna7230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasaponin III rhamnosyltransferase MNSQCIWSINMIRYINYSMNLKYYFLVINVTEGVISSYNILVECETYRLRLLLYLQLLHTLPNHIFNFYIFFLLIHTKQIQVSMGSIANEDSNKPLHIVMLPWLAMGHIYPYFEVAKILASKGHTVTFINSPKNIDQMPKTPKTIEPFIKLVRLPLPHIEQLPPGAENTMDIQPNMNRFLKQAYEGLQDDVTEILKTSKPDWVFYDFASGWLAPIAKSLNIAAAHYNITPAWNKCFFDPPKDQVKTNFKLEDMCGPPKWVPFQTTIHLKPYEIIRAFTALRNESGGIAGFDLNKAYSSCDLFLLRTSRELEGEWLDYISEQYKVPVVPVGLLPPSMQIRDDEEEENNPDWVKIKGWLDSRESSSVVYIGFGSELKLSQNDLTELAHGIELSGLSFFWALKNLKEGTLELPEGFEERTKERGIVWKTWAPQLKILAHGAIGGCMSHCGSGSVIEKVHFGHVLVTLPYLLDQCLFSRALVEKGVAVEVPRSEEDGSFTRDSVAHSLRLAIVDEEGSSFRNNAKELGKVFSSKDIHNQYIDDLIAALYKYRNPSNSNN >RHN39206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4973599:4974994:1 gene:gene45210 transcript:rna45210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MSLIIVVYLTKQTVVGMFLHFLLVLLTVLTVVVLVQAQDPYAGFISLDCGLPKDSRYSDISTHINYISDAKFIDTGESKKLAEENDIKQQLQYVRSFPIGMRNCYRINVASGTKYLIRASFYYGNYDNLNKPPEFDLHFGPNVWDTVKFASLSHITDSEIIYTPSLDYIQPCLVNTGKGTPFISAIELRPLNNTAYITYSPKSVLSLFNRYYLGSTADKEYRYKDDVYDRIWFPFKLSSGWAKLSSSLNSDDLHQNEYKPPAIVMSTAVTPVNDSAPLQFHWEADNVNDQYYTYLHFNEVEELAANETRAFNITENDHLPYGPVIPEYRVVNTIYDEIPYTGTKMYQITISKTEDSTLPPILNAFEIYKVKNFSQSETDRDDGKLAQHIYLHTFLQSVIHIMNKT >RHN51841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26493685:26495059:1 gene:gene36381 transcript:rna36381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFSSFNHILHMNPTPSIIEFNKILGSLVKSNNNHYPTAISLFHQLEFHGITPSIVTFNILINCYCLLREMDFAFSLFGKILKIDGKLVNTDVVMYSTIIDCLCKDKLVTEAYVLYSEMITKRISPNVVTFNSLIYGFCIVGRLKEAFGLFHEMLLTNILPDVYTFSILVDGLCKEGKIKEAKNVIAVMMKEGVIPNVVTYGSLMDGYCLVNEVNKAKHVLSLISRMGLTPNANSYNIIINGFCKIKMVDQALNLFNEMCCRGIAPDKVTYNSLIDGLCKSGRISHAWELLDQMHDRGQHANVITYNSFLHALCKNHQVDKAIAFVKKIKDQGIQPNINTYNILIDGLCKEERLENAQVIFQDLLIKGYKLTLWTYTIMINGLCLEGLFDEAMTLLEKMEDNGCIPDAVTYATIIRALFKNDENDKAEKLLREMIARGLL >RHN52145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31978977:31979392:-1 gene:gene36774 transcript:rna36774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLYKDSISWNGLLAVYVQNGRLEEARRLFESKVCKRKMLGDAIRLFDHVLVRDVISWNTIIFGYGPDGDLFLAGRLFEESPVQGVFTWTTMVFAYVHSGMLDEARGVFDEMPGKRKMTYNVMIA >RHN51937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28713002:28714102:-1 gene:gene36510 transcript:rna36510 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDKGDTSKKQPQQQQQFSSSSSPKPQHEESIIETTRPIHHHHQHQQSSSSQQIVVSGSGTNPFISTPLYVSSGGASSSPFETQFETSLTTKRPRYSGQWKLLPSPTQQQKQPQITNILNPTTESKSTTPSPSNLPQQQPQTTPLAASSSETTSSQSHDHSPMPCQEGNRLQELEQQVHQQLRKGKYVSPVWKPNEMLWLARAWKEQYQTSSETSSRTEQEMGMSRGKTRADKDKEVAEFLNKHGVNRDAKTAGTKWDNMLGEFRKVYEWERGGEREQVGKSYFRLSPYERKLHRLPASFDEEVFEELSQFMGSRMRSSHGGGGGGRVGSSFVSCDEARTRSLPPPRPFKDDDLPLSGLFYMKKI >RHN45221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13152398:13165436:-1 gene:gene39452 transcript:rna39452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spleen exonuclease MGVHGLWELLSPVGRRVSVETLAGKTLAVDASIWMVQFIKAMRDEKGEMVRNAHLLGFFRRICKLLFLRTKPVFVFDGGTPALKRRTVIARRRQRENAQAKVRKTAEKLLLNHLKALRLKELADDIKNQRLTQKSGKKSQEKSNQMDSVGSDLEKSHTKELEEMPASLSVAKEDRNPPQTKISSSYNQEELDEMLAASIAAEENEIRSREGMTSTVINSSDADEETILLTANEEVDLAVLAALPHSMQLDILAQLKGKKAEGPMKQVDSQIRHGVSDCGKGKGIIFNETDMVGCSSKCDVNGTSSSDNQNRIDEMLAACIDMEENAKLVKNVTSSSSLGNSTNKEKDGNYDEDEDEEMILPSMHSEVDPAVLASLPPSMQLDLLVQMRERLMAENRQKYQKVKKDPAKFSELQIEAYLKTVAFRREINEVQKASVGGGVGGVQTSRIASEANREYIFSSSFSGDKQGLASTRLERNVEDTQQMQRGTHPSHNFVNNITAGNVSKTSAGLVGNDSSEPVDESIETYLDERGRFRVSRSRAMGMRMTRDIQRNLDLMKEIEHDRTDVNKVDNIETVPNTDNSPLECSGNQLSCKAQEVNLELVGENVENEKLMLGKDTSIEISFEYDCKNEFASGGDDIFASLVGGISLEHSHADDTVVNVQPSGSDSDCDWEEGTVQDKNTIFPGYNEVGLKSSGEYDDNNNDNNDSEVEWEEGDCNGTTSTLCPAESGKVASKGHLEEESDLQEAIRRSIESTQDGKLKYVSSSDEHSSACENKLDPNLEHGDNGGFGSNPMDLNDSMVDSNLPREGHNEQSELHETVGDKRENHVTRNNRETSHFNGSQLKSFVAINSNNTDTLINEPSKLDGHDIFENSISDTTAMMMDEVPNPIVAEESLDNHNDGKTSLCCNSLSNVGVTEEDKNKLINESEPMSNSTDNTNTAILSMDSSLKGAKKDIDMELKLPSVNNDGNFSMERTSNVSQGSMNVPGDFPVQLDEVQLNEEMQILDREYRNLENEQRKLERNAESVDSELFTECQELLQMFGLPYIIAPMEAEAQCAYLELSKLVDGVVTDDSDVFLFGARSVYKNIFDDRKYVETYFMEDVEKELGLNREKLIRMALLLGSDYTEGVSGIGIVNAIEVLNAFPEEDGLLKFRQWVESPDPTILGRLDAKSVSKKGSKLEEKESPDYIQETKQTFMDKHRNVSKNWHIPSSFPSETVISAYISPQVDKSTEPFAWGKPDQLVLRKMCWEKFGWSSQKADELLLPVLKQYNKHETQLRLEAFYSFNERFAKIRSKRIKKAVKGITGKPPSDLIADSSGSMSNGTKNGIGSSVDPEDDKLETSKGTDKSLAARKKSKAKESTKRKNDGNTVAKQHTKKKKINDVSSSAPAASEIENLQPCMQTEGQYDGEDLVQIKSSRGRGRGKGVGVTRGREKKSRHFQPSETETSSSSLDIDNHEPRAQVDLSSVPDVKRRSMRSRKPVNYSFEDLEVEDAVDSFDQSNQKCLRRELVEEKSMCIDGSSIGKESGMLEIPLKDNLPADYLEPETDAGAATPRTHPSDDYLEMGGGFCVDDSEMDNNHDAIDDMNTSTANSPPFSELLGETDRDKSSSDILFLGAEKATSETQHGGECKNSNKLPNDHLLNADIGVLIPENAHHNSESSNVAFSAMPFLRKKRKK >RHN52899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40104080:40115760:1 gene:gene37632 transcript:rna37632 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEEKSKPTTWVISGPTYTGKRRSGPKTGKLLIKNDRDHQTYYDIFYDEMIKDVRSCINKPDADLNHELYRLKIAWSGKGQYREYVAKSRKELRILMKKIKKKEAEILGISKEKEEAEMKRKEEEEAERKEKEEAEMKRKEEEEPERKEKEEAEILKKSKEKKEAKIQKKKMKKKEAKIRKQAAKKVCEEGAKAC >RHN73507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17211472:17212052:-1 gene:gene9327 transcript:rna9327 gene_biotype:protein_coding transcript_biotype:protein_coding MKEREDGRMDKGSISLSGISSGALQTVGSRFVLLSEGNSNFKFALMQEKIKEVIEENIVNEVLHVRDKTIIKHIMVRNPCT >RHN72950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12083129:12084881:-1 gene:gene8718 transcript:rna8718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MCFLRNLHKTSAGKAKTSTNGWTCYKESWSCAQASWGLAPPPVAVWLEQHSRVEIIHNDQGNKITPSCVAFTENQRFVGDAAKNQAAANSQNTVFDAKRLIGWKFSDLVVQDDGLLWPFKVTADVNDKPIITVKYKGQEKQLCAEEVSSMVLTKMREIAEAYLESPVKNVVVTVPAYFSDSQRKATIDAGAIAGINVIRVMNEPTAATVAYGLDKRADCVGERNILVFDLGGGTFDLSILTIKDNVFQFKIKNEVDISGSSKALRRLRTACERAKRILSFLVVATIEVDSLFQGIDFSSSINRAKFEELNLDLLNDCIKTVESCIMDAKMDKSTIDDLCKSINPDEAVAYGAAVQAALLSEDEVRGGIMSVVIPRNTCIHVKKTREYVTFADNQSHSLIEVYEGERRKAITK >RHN67374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26534838:26535539:1 gene:gene15556 transcript:rna15556 gene_biotype:protein_coding transcript_biotype:protein_coding MILYLVPDFKRVMLKLLTNCHLVIVLELNNLNFFPLYNSVSKEIYLYNYLFIFYNFCDNSISYSHYIFIFFYCFDFYTNTPFLFL >RHN45436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20746580:20748869:-1 gene:gene39776 transcript:rna39776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDKYKCKLCYRSFNNGRALGGHMRSHMMNLLVTKQEEESSRTVQLSFEAESAPSSSSSSEDDDVDEKGLNYGLRENPKRSIRLVDQEFSFPQVDTSSVILQDIESETESSKNNPTRKRSKRVWKIRGFDQKYYNESATKKMKFFNKNDSSVVEHEPVSSVSDATTEEDVAFCLMQLSRDKWNRQNEQYEDVEDEDDDDEAEEEEEEEDEIEIERSLEDSDESQELLKVCKNNNKVRKGRYKCETCNKVFKSYQALGGHRASHKKIKPNITVEESSPEFEIVEKKVHECPVCFRVFNSGQALGGHKRTHVIHGSSSTTVPIFSSKRVTKSVIDLNLPAPIDDDEVSQIENSAVSDAEFLKTR >RHN57103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36496030:36499562:-1 gene:gene32602 transcript:rna32602 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein P0 MAPKPTKAEKKQAYDVKLCQLLDEFTQILIVNADNVGSKQLQNIRGGLRGDSVVLMGKNTMMKRSVRMHAEKTGNNAFLNLIPLLVGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLVVLSVYDNGSVFNPEVLDLTEDDLVAKFAIGVSMVTSLSLAISYPTLAAAPHMFVNAYKNVLAVAVATEYSFPEADKVKEFLKDPSKFAVAAVAAPADVSGATPAPAAAAAAAAAEPEEESDDDIGFGLFGDD >RHN80804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40966310:40973973:1 gene:gene4771 transcript:rna4771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MGLGNEEEDDNNNHNHNKVVDEGNKSLGSVSCSICLEVVTDNGDRSFAKLLCGHQFHLDCIGSAFNIKGAMQCPNCRKIEKGQWLYANGSRSYPEFSMDDWTHDEDLYDLSYSEMSFGVHWCPFGNMARLPSSFEEGEFSSIAYPDIIGQHAAFAEHTAVSSASHPCPYVAYFGPIHPSSSNSGGAVSEAPNFNHWNGSSVPSEMPASYTFPAVDLHYHSWEHHTPPFSTASSRLVGADQPSVSPASQRPVRGGSDVPRSGSFMHPFLVGHSSAARAGSSMGSSMIPPYPGSNARARDRVQALQAYYQPQQHPNSTTMRAPVSSVPRRASSHSGSTQLAPVATSPDQSGGFFLIPSSSSGRNFQEENHLPSRFHAWERDHLPSLSLSQGDRDSGWRPYHQSANRSDPSTRSNNFRLRHGSDRMPSQHR >RHN54839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12787800:12789382:-1 gene:gene29932 transcript:rna29932 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSFTQEQGKVPAQDKNSGQGLGNVLCKTGKGWTCVITKTEGPDAGKVFAKCGENCNCTLDGGAGSPVIESSSDNGSETFCKCGEGWSCSIFKTEGPGVNSGKGFAECTQQYNCACNC >RHN81581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47260356:47266246:-1 gene:gene5650 transcript:rna5650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MCFILTNICYMCCLYRKLRIIFFIDNHFYSLNLYRSTFLVNRIVYIIHLNKHKTLFRVWIQNKREENMRICFVIFCIVSLIHFCRAEPLSVDGKVLILDESNFDSAISSFDHILVDFYAPWCGHCKRLSPELDAAAPVLAALKEPILIAKVDADKHTSLARKHDVDAYPTILLFNHGVPTEYRGPRKADLLVRYLKKFAASDVSILDSDSAVNNFVEEAGTFFPVYIGFGLESSMIEKFGKKYKKNAWFSVAKDFSEDLMVTYDFDKIPALVSLNPLYNERNTFYGPFEDDFLEDFIKQNLIPLAVPVSYETLKLMKADGRKIVLTIVDDESEESSKELVKLLRAAASANRDLIFGYVGVKQLDEFADKFDTTTKLPKMVIWDKEDEYLSVVGSESIEAEDQGTQITKFLEGYREGRTVKKSFSGPSLMQFIHRSFDIRMVYIVVFMIAVLMLIQTLGSKGDDGEYQRVPNQDKVNQPSSSTSEGESKEYKEGDKED >RHN80301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36996788:36999084:-1 gene:gene4207 transcript:rna4207 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ENOD2 MKCQRIEDDFTLKFYVFLLINTCSSIPFLFITTRGMASMHSLAILLLGVVMLTTPVLAEYYKPPTYEPPIEKPPIYEPPPTEEPPPVYKPPIIHPPPNYKPPAHTPPIYHPPHEKPPPVYEPPYEKPPHEEPPREYQPPRENPSPEYEPPHHGKPPYENPPPEYKPPYEKPPPEYQPPHHEKPPPEYQPPHEKPPPEYTPPYEKPPPEYQPPHEKPPHESSPPEYQPPHEKPPHEHPPPEYQPPHEKPPHEHPPPEYQPPHEKPPHVHPPPEYQPPHEHPPPEYQPPHEKPPHVHPPPEYQPPYLKPPHEKSPYEPPPQEYQPPHEKPPQMKPPSEYQPPHEKPPHEHPPPEYQPPHVHPPPEHQPPHENPPHENPPPEYQPPHEKPPHYEHPPPENQPPHVHPPPEYKPPHEKPPHEHPPPEYQPPQENPPPEYKPPHEKPPHENTPPTHHPPHEKPPQEISLPEYQPPPPSTKYQPPHEKSPHENPPPEFAPPHKKLPPNYNPPRHEKPMPKYQPPHEKLPPVYKSPYVKTPPPQAYHPPPPIYHHPPFHPPPHVKPPVYETPLAKVPQMKKPTRYNTRPFGHYPPYKKNQ >RHN43043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42787022:42787398:-1 gene:gene49564 transcript:rna49564 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRITYWRFGISDRFLSSKVEFKRLDCIGECGARTKHQHIFLRICMNKISRVFFDGRNLIYCLIAAANFKIIIVVGIIPYITDKKPK >RHN48533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48250228:48251032:-1 gene:gene43262 transcript:rna43262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylinositol N-acetylglucosaminyltransferase subunit Y MASSFDETKLWGCFLVSIGSIFFAGYFFLALFSKLLPPSQIPLIPSFQNDWYYCFLVPLTLPIFVVVVYFHWLSMKTFKHA >RHN56855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34229901:34230268:-1 gene:gene32314 transcript:rna32314 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTPLQSAMLMGSPCFPNAISWSDDNLIAVASAHFVTILVCTFPLCFRPDMPNGPRGLIKVLPRQPLILGFLQRQGTHLFVTLVQRIYKQPVFLLIFTK >RHN50112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4132193:4138158:-1 gene:gene34367 transcript:rna34367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MGGHGDGVWKRYIELEKQRTKVDSGIEEKEVEIEDLKSEMRDAKEEEDRIPNEDDYRYGEDPDLEEEDFYEEDLDGKGEDSILLSLEQERMDVNENLSDSKKSLKIAVRCLARLMAKKDFILDEYTKLKNKQMQLGTTAIGLKFKEGVVLAAEKRITWPTSPLYPGIVQNIMEIDNNICIAVTVTVTGSIANASKLVGEARTLALANNISRCQPMVVDSMCQKLSYELADQIHPFVVSLIAGHDNNRPSLYYSDPFGSFQQCNAKAIGLRSEGADRSLEEQFNQDLTLEKAETIALSILKQVMGEKVTPNNVDIAKVAPAYHLYTFSELEAVISRL >RHN40825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21719894:21721251:-1 gene:gene47042 transcript:rna47042 gene_biotype:protein_coding transcript_biotype:protein_coding MFKGILNLLNCNLFASVCVNCCTNNSIATLSNNLNNLVSVSFPILSEKLQLF >RHN66278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10279480:10279743:1 gene:gene14228 transcript:rna14228 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLQVEVRVKEGGIVNIHITCASKPGVLVSTMMALDSLGLDVHQANISCFNDFSLDVFKVEVYIYIFFTAILYRKLSYLITLSFIL >RHN39513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7767412:7767642:1 gene:gene45540 transcript:rna45540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MVEGIENEIKVIDKALEKGVVYMLGETEVVADPKSSFLNKIVVSAYNFLGRNFQQRDELMAIPRKKLIKVGMTYEI >RHN39837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10947668:10948452:1 gene:gene45912 transcript:rna45912 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNSENLFSFSYKYTVNCTSPCMLSKYASSSYETQVLTNYHIDLIM >RHN63498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51787746:51790431:-1 gene:gene26200 transcript:rna26200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MKNFHILCGIVISSLLSYVTCFDGAIHEAGLTCGTYQYPHDGNNTNNHKLKQNLMVVMDAVSFQVKQQGWGAQTLVGNWHPMYALGQCQRDLDPTQCNICFTQARQLLSRCIPKVSGRIYLDGCFLRYDNYTFFDESVDLSRDTKICGSTEKGETVDAKHVETVILKVTKGAGEHKFSVDGEGGAFAMAQCWETLDKLSCQKCLIAAEKKMQECVPSNEGRSLFTGCFMRYSTRKFYNNVYVQNDTWVEIPLPRGNDSIGVSPNFSYVAGFSFKYDVLEKGTNYFDSANKLGLHGEGEGSVFKGTLPSGRIVVVKRLFFDTRQWTDVLFSEVNLINGIQHKNVVKLLGCSIDGPESLLVYEFLPNKSLDQILSGVDSKNVLTWEKRFQIICGIAEGLAYLHEGSGTKIIHRDIKSSNILLDESLNPKIVDFGLALCVAENKSHLNNGNAGTQGYMAPECLNKGQLTEKADIYAFGILVTEIVCGKNNGVFPQGSNLVLHNVWKNYKANNITTSIDPALHGKFEVEEASNALQAALLCTQSSPTQRPSMSEVVQMLTKKDYKIPTPKQQPLLNNHLRNMSNGLASTRSSFHSTTSSLPSGDDTNLLDHPFSSSAKFKTSGSPDSDIHANIVLPQPK >RHN47935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43682678:43693022:1 gene:gene42592 transcript:rna42592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclase-associated protein CAP/septum formation inhibitor MinC MTEPPPESAPSTPPPPPTSTTVIHPRREPFEHGLLPIPKLIFSDPAQTLISFKHKLLESSSNNRVGSVAISESLQISVEQAKLVLDTLASVLPSESDSESDSVDVNDLVLFLYVQSYKRLLPRTHKDSAAVADVWPSTSAFDGYLSALSPLQLVRSNSKRFTPSQADEEAHQLSYLQKHLANIVSLLAEPVEGESEESLVLTMDRFEHLGFLFQYGDKGSEGNSLSQSSPFFANSDPDMPAVPVPASQVHDWLLQNIASALEYIAERTSSKENGPVSVSDQDVAMTDASTVPVKVSTSARGASLIEGISKSSYAKHASDIKGSSVKVLNCHESAIYILAPLRYATIYGCSDATIVIGAVGKAVRVEHCERVHVIVAAKRICIANCRECVFFLGVNQQPLIVGDNHKLQVAPYNTFYSQLEQHMNEVGVLPSVNRWDEPIALGMVDPHDSLSHPAGVSDAQAESAARVDPDQFTNFVIPNWLEGESTGSTKDNPFTLPEGYMASQQRNERNLGEIRQLLREAPLEESRKRELSSALHVYFKDWLYASGNIRQLYYLQGD >RHN50775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10410008:10413599:1 gene:gene35103 transcript:rna35103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, phosphoribosyltransferase MATVRKLIVEVIDAQNLAPKDGHGTSSPYIVIDFHGQRRKTRTLVRDLNPVWNETLSFNVGERNEIFGDVLELDVYHDMKHGPTRRENSLGRVRLSSTQFVKKGEEALIYYELKKKSLFNMVQGKVGLKIYYVDEEIPPPPPPVPVPENPPAPSSEPPSGKVEESPPPPSELEKVEPPPSDQPSGAPPPPSEAGPQPKAEGEQEPKVEPEPVPEQIPVQEEVVDPMDANPPEAFEMAAASISRSNSEVRFSGINGPHPQPIRRSASTASFTSEASMDSMLIERSTFDLVEKMHYLFIRVVKARYLPTNGNPIVKISVSGHDVNSKPARKTTTFEWNQTFAFARDTHDSSPILEITVWDPQTIEENRSLLGGVCFDVNEIPVRDPPDSSLAPQWYRMEGGGAQHGDLMIATWIGTQADESFADAWKSDTTNHVNSKAKVYQSPKLWYLRVTILEAQDITPLTPTLKESWFHFQIRAQIGFQVLKTKTTVTKNGIVSWNEDLLFVAAEPLTVSDFIVFSLENRQHKAPVTMGVVKIPLTAVERRVDDRNVGSRWFTFDDPNDEKRSGYKGRLHLRLCFDGGYHVMDEAAHVTSDYRPTARQLWKPPVGTIELGIIGCKNLIPMKTVNGKSSTDGYCVAKYGNKWVRTRTVSDNLEPKWNEQYTWKVFDPSTVLTIGVFDSFSVFESDNSKTEMTNESTRPDFRIGKVRIRISTLQTGRVYKNTYPLLVLTHGGLKKMGEIEIAIRFVRTVQRLDFLHVYSQPMLPLMHHIKPLGVVHQEVLRNTAVKMVAGHLSRSEPPLRKEVVFYMLDADSHNFSIRKVRANWCRIINVVAGLIEIVRWIEDTRGWKNPTATILVHALLVMLVWFPDLIIPTLAFYVFAVGAWNYRFRARDPLPHFDPKISLADVVDREELDEEFDIVPSTRSYEAVRARYDKLRTLGARVQTVLGDLATQGERVQALVTWRDPCATGIFVFLCLVVAMILYLVPSKMVAMACGFYYLRHPIFRDRLPSPGLNFFRRLPSLSDRIM >RHN72183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5598663:5604667:-1 gene:gene7867 transcript:rna7867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MAFKAKSWLILHLLLLLAYFMQHCVYGEFTQVPCLFIFGDSLSDSGNNNNLQTHAKPNYKPYGIDFLKGRPTGRFTNGRTSIDIIGQLLGFKKFIPPFANTIGSDILKGVNYASGAAGIRNETGKRNVGDNIALGLQIKNHKKIVSRIAAKFGGLPQAKHYLNKCLYYVNIGSNDYINNYYQPLLYSTSHIYNPDQYAKVLVNQLSNYIETLHEVGARKFVLVGLGQVGCTPHAIATSGKPGLCAEKQNIDTLIFSHQLRSLVDKFNIQHLDSKFIFINSTAGTLDRSLGFKVLNAPCCPMGLDGMCIRDSKPCSNRNQYIFYDGFHPTSALNNITALSSYNSVFNPKMTYPMDIKHLAQI >RHN71056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56141456:56142895:1 gene:gene19699 transcript:rna19699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-transporting ATPase MYYINNYTFFMLCHIVLNLKKKQEEMESSSLVSNGRVDRHGRIADKRTTGGWKAAPFIIMNEVIERLALNAIAVNMTAYLVFQMHQSLPDAATHVTDWAGAAYVLTLFGAFLADAYLGRFKTIIVFSAIYAVGMVLLTMSASFDTLRPQKCLAKPCKEASQGQISFLYGALGLIALGTGGIKPCVSSFGADQFDEGDEKEVQQKYAFFNWFFFAINMGSLLGITILVYAQDKLGWGWGFGIPTITMVLSIVLLAAGVRYYRFQKPMGSPFTRFLQVIVASVKKHRKGVSVENEPTLYEVETTHSDIIGARKLPHTRQYRLVNKSGIFFFQKINKSVIKCT >RHN70296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50351532:50352347:1 gene:gene18862 transcript:rna18862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MDCNQLKSSSSSSEEQIDMMLMMMMQLPEFSSSNGNNNTINQFPPSDQQFYGTSNASNNTRPLADLIDNPPNQIPWSSSSSFTHLPSQSTTNTNTIYFTNNNSTPLMFQQQQTTPLMFSNSSNEIAPNTNHYGTASPSEKRNSMAAMREMIFRIAAMQPIYIDPESVKPPKRRNVKISKDPQSIAARHRRERISEKIRILQRMVPGGTKMDTASMLDEAIHYVKFLKTQLKSLQERASGANSNRTVAGSGLGFPVSLPKPNYQDRNVDRYG >RHN79747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31810608:31811268:1 gene:gene3579 transcript:rna3579 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFAFFLPLASFQHINYSQYLKFKFPLFSFQSTFFKSLFLLILLCHHNLLLSHYSSSPSLFFFTQHVLKFLHIQPN >RHN50124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4261134:4265053:1 gene:gene34379 transcript:rna34379 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTNILVGQNPKTNIPRVKTISNSVFHFICTYNKTSTLVYDNINNKKVTKMVAAIAVSSPNSTLLKNHINLKHSSSNFVGGSLKGLCLHVKQRQQRRDSFNLVVASATSSSGTTSSANGRFYFNFTGFPFPLGPFLNRLTIRTEAVKGCIWLFEQEQALGFSSVSTNIRMTVIKLKSGGLWVHAPIAPTDECIQLIKELGAPVEYIVLPTFAYEHKIFVGPFSRKFPRAQVWVAPRQWSWPLNLPLEFFGIFRAKTLKDEDLSTPWAGEIEQKVLSSPEVGIGPYVEVAFYHKPSRTLLVTDAVILVPKQPPVCINKESLLASAKNGLAVKILSKGKEVPDEPVIDNKRNLQKGWERMVLQILFLGPANLLEPNASFEQMSEKLIVSPIVKTLVFSKVPEKVKDWVDSISRDWKFRRIIPAHFSAPINASRSDFRAAFAFLDEFLDDRYDAWPSLSLLFSSIKGKAASYFPPDDMRTLSSLDEFLVSVGAVKKTVAGRKR >RHN55880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25062754:25067145:-1 gene:gene31159 transcript:rna31159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MFKFGSSILVPSVQELAKQPNTEIPEQYLHPNQDPINVSNTTSLQQVPVIDLSKLLSEDATELEKLDQACKEWGFFQLINHGVDPLLVENVKIGVQEFLSLPLEEKKKFWQNQNDIEGFGQLFVLSENQKLEWADLFFTTTLPSYARNTRLFPNIPQPFRDNLETYCLELKNVCITIIKHMSKALKVEPNELLDSIDDITQSMRMNYYPPCPQPENVIGLNPHSDAGALTILLQANDIEGLQIRKDGQWISVKPLTDAFVINVGDILEILTNGIYRSIEHRATINSKKERISIVAFHRPQMSTVIGPTPRLVTPERPALFKTLTVEDYYKVIFSRQLQGKSCLDLMRIQKDNRK >RHN78472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15421276:15424441:1 gene:gene2040 transcript:rna2040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MKLLPMSCLILFFYVFVIATSPHAATKIQGSEADALLKWKASLDNHSRAFLSSWIGNNPCGWEGITCDYESKSINKVNLTNIGLNGTLQSLNFSSLPKIHTLVLTNNSLYGVIPHHIGEMSSLKTLNLSINNLFGSIPPSIGNLINLDSIDLSQNNLSGPIPFTIGNLTKLSELYFYSNALSGEIPPSIGNLINLDLIHLSRNHLSGPIPSTIGNLTKLGTLSLFSNALAGQIPPSIGNLINLDTIYLSKNHLSGPILSIIGNLTKLSKLTLGVNALTGQIPPSIGNLINLDYISLSQNNLSGPIPSTIGNLTKLSELHLSFNSLTENIPTEMNRLTDLEALHLDVNNFVGHLPHNICVGGKIKKFTAGLNQFTGLVPESLKNCLSLKRVRLDQNQLTGNITNSFGVYPNLYYMDLNDNNFYGHLSPNWGKCKNLTSLKISGNNLTGRIPPELGSATNLQELNLSSNHLTGKIPKELENLSLLIKLSLSNNHLSGEVPVQIASLHELTALELATNNLSGFIPKRLGRLSRLLQLNLSQNKFEGNIPAEFAQLNVIENLDLSGNFMNGTIPSMLGQLNRLETLNLSHNNLSGTIPSSFVDMLSLTTVDISYNQLEGPIPNITAFKKAPIEALTNNKGLCGNVSGLEPCSTSGGKFHNHKTNKILVLVLSLTLGPLLLALIVISYLLCRISSAKEYKPAQEFQIENLFEIWSFDGKMVYENIIEATEDFDDKHLLGVGGHGSVYKAELPTGQVVAVKKLHSLQNEEMPNLKAFTNEIHALTEIRHRNIVKLYGFCSHRLHSFLVYEFLEKGSMDIILKDNEQAPEFDWNRRVDVIKDIANALCYMHHDCSPSIVHRDISSKNVILDLEYVAHVSDFGTSKFLNPNSSNMTSFAGTFGYTAPELAYTMEVNEKCDVFSFGILTLEILFGKHPGDIVTYLWQQPSQSVMDMRPDTMQLIDKLDQRVPHPTNTIVQEVASMIRIAVACLTESPRSRPTMEQVCRQFVMS >RHN71332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58314796:58322967:1 gene:gene20001 transcript:rna20001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Iron hydrogenase MSEKFSPALRIGDLNDFIAPSQACIVSLKGFNKTDKKKPDKVEVSIADRQVKSEPVKISLKDCLACSGCVTSAETVMLEKQGLDEFLSNINKGKAVIVSVSPQSRTSIATHFGISPVQAFKKLTRFFKSLGVRAIFDTSCSRDLTLVESCVEFITRYRQNQLVDDERSKSSLPMIASACPGWICYAEKQLGSFVLPYISSVKSPQQTIGTIIKRYVCQDMELRPEEVYHVTVMPCYDKKLEASRDDFVFQLDPHAEGHEGEVNMISEVDSVLTTGEILELVQSKEVDFKSLEEAPLDKLLTNVNEEGDLYGVRGSSGGYAETIFRYAAKTLFGRHIDGPLDFRNIRNSDFQEVTLEVEGETVLKFALCYGFRNLQNTVRKLKTGKYDYHFLEIMACPSGCLNGGGQIKPVSGQSAKELSHLLESVYMENVLPAEPFDNPIIKGLYDNWLEQPGSEKARRFMHTQYHAVKKSITSQLHNW >RHN62142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41102087:41105672:1 gene:gene24682 transcript:rna24682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sm-like protein Lsm8 MATGGPGLESLVDQQISVITNDGRNIVGVLKGFDQATNIILDESHERVFSTREGVQQLVLGLYIIRGDNISVVGELDEELDSSLDLSQLRAHPLKPVIH >RHN70348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50801371:50802891:1 gene:gene18918 transcript:rna18918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MSWWWSGAIGAAKKKFDEDEAPPTFQSVGLIIGVTGIVGNSLAEILPLADTPGGRWKVYGVARRPRPSWNADHPIEYIQCDITDPNETQTKLSVLTDVTHIFYVSWSNRPSEAENCEVNSAMLRNVLTAVIPNAPNLRHVSLQTGGKHYLGPFDLIGKINSHEPPFTEDLPRLDAPNFYYTQEDILFEETQKKEGLSWSVHRPQVIFGFSPYSLMNLVGTLCVYAAICKHEGVPLKFPGTKGAWESYSVASDADLIAEQHIWAAVDPYAKNEAFNCSNGDVFRWKQLWKVLAEQFGIEEYGFDEEGPRLKLSELMKDKGPVWDEIVKENQLEATKIDEVGEWWFVDLMFGGEGAVDSMNKAKEHGFVGFRNTKNSLISWIDKTRAYKIVP >RHN51878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27480762:27481506:1 gene:gene36434 transcript:rna36434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MLSKPIHLSETAVSGITCVGVKSADLHLQFATEKKTMVSTNEKVRNYIPDDISLSILSKLPLKSLKRFECVRKSWSLLIDNSHFMNMFRNNFLSNLRCCSYYDGSSILLQKDKINFKDDFYSLSGETFENKVKLDFSNPYANQFNFQIFGVGSVNGILCLHEYDAFGEMILLNLATQAFKVLPPSLVEPVELSIPDDARDFWKVMDTLKRLYI >RHN81483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46301760:46305864:-1 gene:gene5532 transcript:rna5532 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAPARSPFSAAVRACAIASAAWSLLRCLSTSRDITTCLAISGLSTFTSSLSNVDVS >RHN71857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3105208:3110392:1 gene:gene7497 transcript:rna7497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MEERPETELISIPATPRVSTPEILTPSGQRSPRQGSKEAKSSNAWTPTSFISPRFLSPIGTPMKRVLINMKGYLEDVGHLTKLNPQDAWLPITESRNGNAHYSTFHNLNAGVGFQALVLPVAFAYLGWSWGIISLTVAYCWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILVGGETMKLFFQIVCGPTCTSNPLTTVEWYLVFTSLSIVLSQLPNLNSIAGLSLIGAVTAITYSTMVWVLSVSQQRPPSISYEPLSLAKPSSSLFLALNALGIVAFSFRGHNLVLEIQATMPSTFKHPARVPMWKGAKVAYFFIAMCLFPMAIGGFWAYGNQMPNGGILTALYAFHSHDISRGILALAFLLVVFSCLSSFQIYSMPAFDSFEAGYTSRTNRPCSIWVRSGFRVFFGFVSFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKQPTKYSFSWYFNWILGWLGVAFSLAFSIGGIWSMVNDGLKLKFFKPN >RHN60286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24671004:24683230:1 gene:gene22571 transcript:rna22571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MVFNHLSTRNAKSQVHEQLYQCSALLLHSFFTPSFFFNFFKARRNLENFPSNCSKLKMFDQRKQFKTKLCALYQNGRCNRQNCSFAHGNTELRRFSSNYNGKRDYLGNNDLRDKLDRRHLSPPRYSPAREARGRQTIREYSPLRSPEKRSDRRHRRKQDISGQSDISGSLKVSERINDPVKEEKMISSGSRNTLEDQLKKVHSDIKTLENRKSQLSVCLDESVQELDSLNSRIQELEAQLNKEDGEYKRITSKIRKFVRMHNQKLELQDELKRSQVRLQRFGDQLFSDISRIGADEEDLSVDIVSNGENTGLPPITKHNLEQNGGSPCRKRLHAERDSVEELQQGHSVEIARSGKRTRLSLYAQSIDKSCEEAPDNGIEVSRHQDLEGKHKKGIWNSSNNIHSERPKESRIEVPSTSMAAHVDEEVDIEHDNGTDTNETARTENDNGMALQVKGISLMLTPDLIPRNNYSQYEGKNENVDVDGLDERAAEAHVDTV >RHN66240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9972930:9974084:-1 gene:gene14184 transcript:rna14184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAALKMKADWKELPRELLHLISQRIDTEIDLIRFRSVCSHWRSSSIQNHHLDILPFEVPILKFPLDIEFYNNNNEGIPISFCHLSKSSFFLIKPTQEQERQTRINLRPWLIKTTQTSNGVPKLSQFELLSFDFPFDVLDFNKLSVFHLGCQFLVEEDSKPSSSDFVLPEAVVVLTCNGKKPLALTKKKYSPPFMLLLRCSDEKLFLFLEDFSDYVDYICVFKQRIYAAVDGTGKTITIGPEENQNVELVAEPLVDHGNIRFLVESEGDLLLVDISDHDLTVDVFRLDEKERKWVKIKNLGDRVLFIGLEYSFSASASDLCVSEGNCIIFIDDVFFYDHTDTDTEHIMTIFFMGQDGQLWPLSDYPEYYNLFWPPPEWIVKSHSH >RHN52881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39881779:39897264:-1 gene:gene37613 transcript:rna37613 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFGFPMKSKPINLATSPEFFFYTNAPTGQRKAFMDAWSKVRRKSVKHLGVRSGVAHEAYTQWVIDRAEEIGMPYPAMRYVSSSTPSMPLPLLPATQDMYQEHLAMESREKQVWKARG >RHN49474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55015773:55018224:1 gene:gene44311 transcript:rna44311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-40S ribosomal protein S27a MSMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >RHN51929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28594355:28595338:-1 gene:gene36502 transcript:rna36502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MSKNESIDALPLDSNSTIEPIIINHDGSVTRLMKIPNIEPTQDPNNIVLTKDVPLNPIINTWIRLFLPRIALDNPKKLPLIFYYHGGGFIYFSASSTDNHNFCFKLAEKIGVVVASINYRLAPESRLPAAYEDAIEALHWLRTTNEKWVHECCDMSNCYLMGSSAGGNIAYQAGLRCAATVDEFDFDELKIKGLILHQPFFGGSQRTNSELRLENDRGLPLKANDLMWEFALPEGVGRDHKFCNPTVMDEGDDECFNEIKRLRWKILLTGCYGDPLIDRQAEFVKMLRSKGVDVVEYFGEGFHGMELLEPSKDGPLFEQIGYFINQC >RHN47146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37486019:37496688:1 gene:gene41706 transcript:rna41706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MGSLKRKSPPGEEEPSPSHQAQQPLHDCVHDVSYPHGYVHPPPSSSSSSTKEPAKTFPFTLDPFQSQSITCLENSESVMVSAHTSAGKTVVALYAIAMSLRNKQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIDPNASCLVMTTEIWRSMQYKGSEVTREVAWIIFDEVHYMRDRERGVVWEESIVMSPKNARFVFLSATVPNAKEFADWVAKVHQQPCHIVYTDYRPTPLQHYIFPSGSEGLYLVVDEKGKFREDSFQKALNALIPAADGDRKKENAKWQKGLVLGKAAEESDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNGDIEKDNIEKIFWCAMDMLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDKFRWITSGEYIQMSGRAGRRGIDDRGVCILMVDEKMEPSTAKNMVKGAADSLNSAFHLSYNMILNQMRCEDGDPENLLRNSFFQFQADRAIPDLEKQIKTLEEERESIVIDEEDSLKDYYNLLEQHRSLNKEVHDIVISPRHCLPYLQPGRLVSLQCTSSEEDLVPIFIEDQLTWGLIINFERIKGVSEDDANIKPEDASYKVDILTRCVVTKDKLGKKSVEIVPLKERGEPIVVSIPISQVNAISSLRLYIPKDLLPLEARENTLKKVMETLSRFSEKGLPLLDPEEDMKIQSNSYKKASRRIEALERLFERHEIAKSPLIKQKLKVFQRKQELTAKIKSIKKTLRSSTTLAFKDELKARKRVLRRLGYATSDNVVDLKGKVACEISSADELTLTELMFNGVFKDIKVEEMISLLSCFVWREKINDAAKPREELDLLYAQLQDTARRVAQLQLECKVQIDVETFVKSFRPDIMEVVYAWAKGSKFYEIMEITQVFEGSLIRSIRRLEEVLQQLIEAAKSIGEIELEAKFEEAVSKIKRDIVFAASLYL >RHN38511.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:308132:308910:1 gene:gene50622 transcript:rna50622 gene_biotype:protein_coding transcript_biotype:protein_coding MENSHSSKSLRFPCKTFSLVLLLLYFLLFGSCSAIRTGTTLKLDERRRFLQGKHGQQGFPYKSLVFNFFPKGSVPPSGPSKRHNKIVDSTPQN >RHN66478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12845675:12847525:-1 gene:gene14475 transcript:rna14475 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSYKILRRSIHSFLQNYQYFTITISILAFPFSASILLSQALVPFSSSLFPQIYNHLKTLFDAAGFPSSSQLFTVLNLKVSQTITSSIFTLPFTLTFFLIAKAFIIQALNKNKENFQPSSYNYKPILHTYIYNTLFILSANATTFCFLFLAFSFTEGLGNSSSSFTVFLSAAAAVLFSVILANALVICNMALTLSGVEGHGGYMTILKACVVLRGRTSMALFLALPVNVGLAAIEALFQFRVVRGYYIDEKSWFFIALEGIFIAYLYSIFIIIDTIVSYMFYKSCKVRGSLIDKEDKHFLRIELIEEDNYYGYLGIKNFQELP >RHN73297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15190883:15195759:-1 gene:gene9096 transcript:rna9096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoate deiminase 1 MISTFIFFHSFLFLYILSTPSSCSSFLSGIETGDLEKRGDLFPQILRDEAVARLVELGKVSDANDYLERTFLSPATTRAINLIRKWMEDAGLRTWVDQMGNVHGRVEGANANAEALLVGSHMDTVVDAGKFDGALGIVSAISALKVMHVNGKLQNLTRPVEVIAFCDEEGVRFQTTFLGSGALAGILPATTLEIPDKRNVTVKDVLKENSIEAAEEMFLQLKYDPKSVWGYVELHIEQGPVLEQVGFPLGVVKGIAGQTRLKVTVRGSQGHAGTVPMSMRQDPMVAAAEQIVLMESLCKHPEEYLSYDGRCSGSSIKSLSSSLVCTVGEISTWPSASNVIPGQVTYTVDIRAIDDLGREAVIYDLSNRIYQICDKRSVSCVIEHKHDAGAVICDPELSSQLKSAAYSALKRMEGDIQDEVPTLMSGAGHDAMAMSHLTKVGMLFVRCRGGISHSPQEDVLDDDVWAAGLATLSFLENL >RHN54998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14292779:14293129:-1 gene:gene30114 transcript:rna30114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MQMTDIRDKIHKRQFLSPTIIAKLPSLTTKNMNLLKQFFRISDNGATEKRMKETLENCERAPARGEIIKCVRSMDEMEIFASSMLGPKVVLRKTLNVKGSGKNVMVGRVSRIQGGM >RHN48520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48159280:48161668:-1 gene:gene43244 transcript:rna43244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monodehydroascorbate reductase (NADH) MEEGNTKKGLEGTGLVLSINRHSNLKSASSDDNFTQIITNIKSSKTPAVINYGASWCGVCSQILPAFCRLSNKFPKLSFIYADIDECPETTQQIRYTPTFQFFRNGEKVDEMYGTGEERLHDRLWLHS >RHN71230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57452606:57457044:-1 gene:gene19885 transcript:rna19885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MSCYSCFVSRKKDVSRIEVDNSSRSAHTLGNGGTNATEEKGKSVRTGKSSTAAASFGFRELATATRGFKEANLIGEGGFGKVFKGRLSTGELVAVKQLSHDGRQGFQEFVTEVLMLSLLHHSNLVKLIGYCTDGDQRLLVYEYMPMGSLEDHLFDLPQDKEPLSWSSRMKIAVGAARGLEYLHCKADPPVIYRDLKSANILLDSDFSPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVLLELITGRRAIDASKKPGEQNLVSWSRPYFSDRRKFVHMADPLLQGHFPVRCLHQAIAITAMCLQEQPKFRPLIGDIVVALEYLASQSQNIPEVHRHGVRSPPQQPPSKMDRN >RHN47596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40869795:40872945:1 gene:gene42215 transcript:rna42215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MATNENLPPNVIRQLAKELKNLDETPPEGIKVVVNDDDFSTIFADIEGPAATPYESGVFRMKLKLSHDFPHSPPKGYFLTKIFHPNIANSGEICVNALKKDWNPSLGLRHILIVVRCLLIEPFPESALNELAGKLLLENYEEYARHARLLTGIHAKPKPKFKSGAITESTTALNVDQTNTSVLNSDIKTMPSGAALPPLSLPLTTGARGTGQDQAVVGVLTESSANVSSAAAVVSAAHAPQKRDIGTAKAQADKKKLDARKKSLKRL >RHN54674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11220132:11220880:-1 gene:gene29748 transcript:rna29748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MQMAQVSSHLSVEMETLNQVLSLIEAFKAFDGDNDGSINEAELGGIMGSLGYKASEQEVRAMMQQGDKNKDGLLCISEFLEMNTKGLETGNLANVLSAAFEALDEDGNEILTGEELHEAMGNFGLALSLEKCENVVASLDMDGDGAVSLDDFRLIVESLI >RHN56263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29344969:29345883:-1 gene:gene31635 transcript:rna31635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasaponin III rhamnosyltransferase MTFPLSVAYNRTEAVAFSKYVHQNNGSEVSGIERFVKVIDAAKSIICCSCYEIEGEYLNLYKKLVGKPVIPIGLLPVEMPQRGLLDGLGSVTGSGTGLRTAIFQWLDRQTTKSVVFVGFGSECKLSKEQVFEIAYGLEDSKLSFLWGLRKPNWAYNDEDFLPIGFSERSCDRGLVCMGWIPKQEILAHSSIGGSLFHSGLGSTIEALQFGNKLVVLPFNVDQPLNARLLVDKGLAIEVKRNEDGTFTRYEIAKSLRQAMVLEEGKELRIKTREDAGIVGNLKLHQDHYIAAFVQFLKDGIRKAI >RHN67048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23239376:23240608:-1 gene:gene15180 transcript:rna15180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MFNKTKAKKVGQRPWMMHFMETESKVEHEAFLVYWLSRFVFPSDSYDTISKAVFPIAIRLAHGNKIALAPAVLASIYRDLTLLKNTINENATTTIKSFRETIWAPFQLVQIWALERFQALKPRPYGKGYHGLPKVARWGGIEMMKTKILKKVMDCAGFGDGFLWQPYENSPCIEVYNEKDMWRCGNPCLDKELESFFRCLRVCELVGMGCKEKYFPHRVAMQFGMDQDIPGEVFLCKKDPWMIYDEPIPIDIDLLIQLCSRQPNVTSRYYDWWQQLKSSEEGDNNRIKVEKSDGLTTPGFTSKFEKRQKEAADEEDHKLVYELSSSDDEVVGNSSPEFGDFTSLDVLDEDEIKSLFCDRNGEKEESVGPLILDIAFDVENRIQKLEREVAKLNQARFGSKVEIVGAKAKP >RHN67713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29611982:29612352:1 gene:gene15932 transcript:rna15932 gene_biotype:protein_coding transcript_biotype:protein_coding MTPADSPQQLDVDEVGFSEDKNYALNGKIMFLVLVVLFSLFMVLIFMIPYLKKRARLSHESETSYGDSMAESNRIAYTLKRKSPI >RHN45286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14371519:14372232:-1 gene:gene39531 transcript:rna39531 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNLKQKLAKSIELADEVNNTPDVPIPFKQYCGELKSKAKKLSDLLRLAAPTSSELYMQRPMWLILEQTEHILNETLSLILKCRPNNIKKRIFTIISIDAFRKTSSQLENSINDVSWLLHISRSDENHDSENLILPSIAFNEPILASIWELIASLCTGSQEDRSDAAACLVSLAHQSDRYSKMIIQEGGVGPLLKLTREGNAEGQKNAARAIGLLKVINSIVILITSASMLCEHGS >RHN51475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18433572:18435803:1 gene:gene35914 transcript:rna35914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEEEEVLIYNLPQDTLHKIFSTLPFRQIIFCRSLSKFFNHLLTTPSFLNLISSTPPPLNLLTIHHKKSTTLRFFDLDLNDWIHFPLNFLPFSSLLPVASSDGLIYLWAEGINNSPISQTLTTTSLVSCNPLTRQFRIHPPCPPGFVLVDSVNRIMILTEFAIYYFSGDSNDSTHGWQKLSSNLPSKPRSPILIEDSAYVLCNVGSRQRSEWKLFSCCVTSAISHVTWSRLTRPECGVVFDILKRPQIVRGVGNKILIIGSLKSTFKLNPPCSTILILRLDLGTMEWEEVGRMPIEMFSYFQDAGEFKVFGGGDRVFFPAKRIGKLALWDRSDSKGDEWRWIDNIPGKGDGLYRGFVFEGRFNALP >RHN44963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10315056:10315694:-1 gene:gene39152 transcript:rna39152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MCNKGKEKVNEESVANLKTGENLNNENKRKAIVLGESHIETQNSKTPKKDVAEEESTDSSKEISPFLLFGFIVDLRKGIQKAYSCKFCSRKFTSPHALGGHQNSHKLERSVKEKIQAFNKAWINYSNDNQGISLNNIPPFHMGCGYQYHGYNNMIQHAGPSNFYAENLYGALQHISEIDEVNEGDAGSDEFDQEDKETQEEDASKIDLTLKL >RHN72007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4344685:4346274:1 gene:gene7674 transcript:rna7674 gene_biotype:protein_coding transcript_biotype:protein_coding MISKGSCKKASHRLIKDKAKNHVDDLHVMFLDLQFARKESRSVDVALLEEQVHQMLRHEWKAELNEPSPASSSADLIVDL >RHN48963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51412844:51415131:1 gene:gene43739 transcript:rna43739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA5-type MARSFANAKVLSAVVLEGFSNTLTRRGYAAATESATRVVGGVVSVGNKMGPTKSGEDGSSTYKVSWVPDPVTGYYKPENIKDTDAADLRAKLLRKKFNN >RHN43982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:500842:501497:1 gene:gene38026 transcript:rna38026 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIRYMMNDDKRFKKIVVTTMSLSLVLIMIGAMNMSSYFGKFMAEHDTAVMLILIAVVFLFQIALGYGRTLHRVPNPNPNNNTVIIIYTLLLSSVISSIEASLVSRNAAIITFIFCHITFSIFVLLHEQEIPHVIRGLACGLTTASWASFLYFTFLYSLASLISYMDGTPLPRNMRWWL >RHN49024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51857102:51858411:-1 gene:gene43806 transcript:rna43806 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEHKTKNLFHLFASSITPREIFYFFTHTLLSLFLPLSFLLLAKLLEIQYYLQRINFWHQKYYYSSPQNFSYILKLALHINPFILYFLVFIISISSLIHALTNKIINLSNNSLTSSCSSNIVRPRLYIAWILLCVFQVCVGLGIEGSILVGLYDSESSSFGVERSFLSRVVFLLGLHETTRVWCRMVVKPVVDDTVFGGVIRKERWVEKLGVDMCLGILWWWKLRDDVENLVVMSEAKKDQLMDVGINDFVGWCLYYLTVTIGMVKVVKGLMWILAMICPCRREMGVSMVEHSENDDKV >RHN79215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26080270:26089521:1 gene:gene2963 transcript:rna2963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclase-associated protein CAP/septum formation inhibitor MinC MTEPIEPSTSHAPTMIHPRREPFEHGLIPIPKLIFSDPTQSLLTLKQTLLASSSNNLISSAIISDSLQISTEHAQLLLDTLSSVVHHENDVVFDGAECDVYDLILLLYIQSYKKLLPRSNKDPASVADVWPSTSAFDGYFSALSPLQLMRSNSRRFMPSQADEEAHQLSYLQKHLANILSLLAEPGEEGEGEESKVLTMDRFEHLGFLLQFGDKAEGIRLSQSSPFFANSDPEMPAVPVPVSQVHDWLMQNIASALEHISERTSAKENGPASAADQDVAMTDACSVSVKLSSSTRDSCSIEGITKSSYVKHASDIKGSSVKVLNCQESTIYILAPLRYAIVYGCSDATIVLGAVGKTVRVEHCERVHVIVASKRICIANCRECVFFLGVNQQPLMVGDNHKLQVAPYNTCYPQLEEHINEVGILPTVNRWDEALALSMLDPHDSLSHPAGVSDVQAESATRLDPDQFTNFVIPNWLAGASTGSTKDNPFALPDAYAASQHKNQNNLEEVRKLIHEASLEESRKRELSSVLHVYFKDWLYASGNIRQLYCLQAD >RHN62406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43188245:43189811:-1 gene:gene24979 transcript:rna24979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSCDFSSDGKIIASGGIGASDENGAKPFICYVESRASVTALESDLDIILEVRFQPKSTRFATTSRDGTVKLWDAKKPERALFNYVVHNGKVRSLDFHPTEEIICSSDSNVIKVWDLKQHATIKELQAGGSLVRFQPGSGRHLAVANQNVITIHDLKDPNVRINLQGHTKDIYSMCWDVTGKMIASVTEDDVRVWSVFMVKQCMYKYPSNGNRFQSVIFHPRYPGVLVIGGFQCLEWLIIENGQRFNKGSASDISITGLAASTAQSEFHIASASTDSMVKLWK >RHN57406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38791846:38795040:-1 gene:gene32946 transcript:rna32946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MGSFFIPLPYFTFHFFLLLLLLTHFTSYTFSLCNKHDNSALLQFKNSFSVNTSSQPNPYFGCSSFSFKTESWENSTDCCEWDGVTCDTMSDHVIGLDLSCNNLKGELHPNSTIFQLKHLQQLNLAFNNFSESSMPIGVGDLVKLTHLNLSYCYLSGNIPSTISHLSKLVSLDLSSYWSEEVGLKLKSFIWKKLIHNATNLRELHLNSVDMSSITESSLSMLKNFSSSLVSLLLRNTGLQGNLSSDILSLPNLQRLDLSFNDNLSGQLPKSNLSTPLRYLNLRLSAFSGEIPYSIGQLKYLTRLDFSWCHLDGMVPLSLWNLTQLTYLSLSYNKLDGEISPLLSNLKHLIHYDLTDNNFSGSIPIVYGNLIKLEYLALSFNNLTGQVPSSLFHLPHLSILGLSYNKLVGPIPIEITKRSKLSYVGLRDNMLNGTIPHWCYSLPSLLELYLSNNNLTGFIGEFSTYSLQYLFLSNNSLHGHFPNSIFQLQNLSYLTLSSTNLSGVVDFHQFSKLNKLEYLHLSHNSFLSINFDSSADSILPNLVDLVLSYSNINSFPKFQTRNLQRLDLSNNNIHGKIPKWFHKKLLNSWNEISYIDLSFNKLQGDLPIPPSGIQYFSLSNNNFTGNISSTFCNASSLYTLNLAHNNFQGDLPIPPDGIKNYLLSNNNFTGDISSTFCNASYLNVLNLAHNNLTGMIPQCLGTLTSLNVLDMQMNNLYGNIPRTFSKENAFQTIKLNGNQLEGPLPQSLSHCSFLEVLDLGDNNIEDTFPNWLETLQELQVLSLRSNNLHGAITCSSTKHSFPKLRIFDVSINNFSGPLPTSCIKNFQGMMNVNDSQIGLQYKGVGYYYNDSVVVTVKGFFIELTRILTAFTTIDLSNNMFEGEIPQVIGELNSLKGLNLSNNGITGSIPQSLSHLRNLEWLDLSCNQLKGEIPVALTNLNFLSVLNLSQNHLEGIIPKGQQFNTFGNDSFEGNTMLCGFQLSKSCKNEEDLPPHSTSEDEEESGFGWKAVAIGYGCGAISGFLLGYNVFFFTGKPQWLVRIVENMFNIRLKRTNNRYCANRRRMN >RHN76422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50119003:50122770:1 gene:gene12745 transcript:rna12745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zf-FLZ domain-containing protein MADSSSNLSLPPDTVSARQIRSSLFHTSGSRVGAGVKNLPDSESAWSPTSPLDYRLFSNLSNVFSAKSSRPSFQTENKKPLDGSKVGLGIITSLVNETKPNNEILGKFPRKNIIFGSQVKNHILQFSKNNHESLAPFLKTNSLPKNYVISLPSETKSPTLPSKTKSPKSEVESFDDDVNRESKGLRSSVVSSPDSSRPSSLINSNQSSNLGTNDLFVDVTSTPLSLLPVTNTSSQVDDSLKIISSSLPVSIDFSNGYVGSLSAKEIELSEDYTCIISHGPNPKRTHIFGDCILECHNNDFTEFSKKEESAPHRFDSVMSFCYTCDKKFDEEGEDVHAYSDEKAFCSFKCRSEEILAEEEMEKTCTNTAKSSPNSSYHDDIFLMGLPVSK >RHN79033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21407558:21409127:-1 gene:gene2719 transcript:rna2719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase (ATP-hydrolyzing) MRYFFIKADEQEIVATLGDYKYLSSLPLESFTRESLVKLEAELGEKKKELKTLKDTSPDSMWLNDLMLFEKEFDKLQKIQTEEERNRSIMLKRKNDFAIDAKKLSQPRKNNQKEADDDIPSLACHVELSGEKTRDSDNAVVDADDLVQEKASPAKKGPTKAATTSKRKNVQPAESAGRKKVRKTRESPFNEVVRNLEYMSDSDPDGDNDSDDEHLSGFMH >RHN66184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9537222:9537919:-1 gene:gene14117 transcript:rna14117 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHNICKVRGSNTGHRKKKKDKLPTIITVPQNNIMHRNKSKLLVYFHEIHKKMSGIIIFLVLEDGEH >RHN40583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17848426:17849513:1 gene:gene46747 transcript:rna46747 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCLLRQNALEKPIVDEDIEVKRMKRLEQLKARRAYHDISEDGSGWVPLSNNDTSPPRKQRVQNDTPSPEPEVNPSTSNRTGADLSPSCQQLKRYDTSSPERDSQHSGGGNSDLSPPRKHRDQSVTAVACEPRSSRSKFEDSDMSPPRRKHVSNSSPDISPPRRRSHQTSGSNGRKKYETSDLEDLSPPRRGRHDSPSQDTLHGQVSSDLSPPRRRQHSVARSSLSDVSHRSVKAVSHQSLDSDLSPPRKNPKELSIPASINERKIGLISGKDMREEIDRKRKDDLLRMEY >RHN41853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33605714:33610320:1 gene:gene48216 transcript:rna48216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-VIIa-2 family MGLCFSFLRPSSAPPQSSSFNRPLSSGSTSTVGFSATTSSAGRSQFSEVASGSIDDTTDGSLPFPSPNGQILERPNLKVFSFIELKAATKSFKSDTLLGEGGFGKVYKGWLDEKTLSPTKAGSGMVVAIKKLNSESTQGFQEWQSEVNFLGRLSHPNLVKLLGYCWDDDELLLVYEFMPKGSLENHLFRRNPNIEPLSWNTRIKIAIGAARGLAFLHDSADQVIYRDFKASNILLDGSYIAKISDFGLAKLGPSGGQSHVTTRVMGTYGYAAPEYIATGHLYVKSDVYGFGVVLLEILTAMRALDTKRPTGQQNLVEWVKPFLSNKKKLKGIMDGRIEGQYSPKAAVQAAALSLKCLENDPKQRPSMKEVLESLEVIEAIQVKTKRSNNNSHQPPVLQAARHQRVVKV >RHN51301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15980433:15980770:1 gene:gene35717 transcript:rna35717 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIRELLDLPMACFCARKPHVVESTMSATSSQKIGSNFHSLHRYEPVIMSVTVYMKALFANPFILMMTNKIEGVRNGIHGFESLQMISVDLPL >RHN47321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38851550:38852790:-1 gene:gene41905 transcript:rna41905 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTCFEIVFLNSGTIPSGSPTFHSLLKVLGFLASGFVQLEEFYFQDYILFEIWHLI >RHN41625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31611289:31611966:1 gene:gene47967 transcript:rna47967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MLLLDVFENRTHVQVLSVADNVWKTIPDFPAVPLPNIYTGQGGSDGVYLNGRLNWLAIQDRPVSVDVDVWEDIEAKEFVIVSLDVETESYTQLMSPCAFDEMSSIKPPSLCILKDSLCFSHDYRRTEFIIWQMETFGVEEPWTQLLKISYQTLRTRFHDFADLKNCQLLPLHLSDHNDTLILANNQEQRAILYNLRDNTAKRTRIIDPIQWFSAKVFVESLVSII >RHN76491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50751316:50751672:-1 gene:gene12830 transcript:rna12830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MIVFKITLDTFNLSYNTTSKQSKFPEITAHFRGANVKLDSKGAFLTIYEGIECFAFFFPGGPIFGSLAQRNLLVGYDLEKSIVSFKPIILPNLLGRLIIKFYILFHISLRTNFASIDP >RHN39715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9863292:9863915:-1 gene:gene45768 transcript:rna45768 gene_biotype:protein_coding transcript_biotype:protein_coding MEIENDALLDQGDDLSTALYRIQTLGNGIWYLLPAMNIQDLDYWFHYHSKQALLSVEQLPPNLWGFVQYLVISQGHVNFGGRFGCECRLETRSGETINITSFVGAEFGLFSLHVDATSIEMVSDHLLIWYDPHLCRKIIETVKETKVVNDVNRTSYNPKLTFRFFIDETIYDEVAIKECGVRWIYQEEIVAPTKNLNNPSLELHHQA >RHN64182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57115214:57115666:-1 gene:gene26969 transcript:rna26969 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLFIYKVIVGNDSISFQAKKDTISEKQTKHLELRHLTSDIGIWLFLLTSQHCSPITLQVIPKQFSKTSFNELKKRKTHQKKTNHTMIQIYLLHVVNELYQRTNHSKEPKFDSWVEQFLVIPTSQPNSRVPENRRVNTKKEITNRKNKC >RHN77481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7152700:7152876:-1 gene:gene944 transcript:rna944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock factor binding 1 MDGHGSEDPKQSVMTMFVQNLQQMQTRFQTMSNSILSKIDEMGSHINELKQSINELKR >RHN54357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8965699:8967591:1 gene:gene29376 transcript:rna29376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MKFLFQNLLFQSPLVNLFSLTTIFISLHCGNAVNLPNNETIPALIVFGDSIVDSGNNNYIGTYVKCNFLPYGRDFGSGNQPTGRFSNGLVPSDIIASKFGVKKLLPPYLDPNLQLEDLLTGVSFASGGAGYDPLTSQLALVLSLSDQLNMFKEYKNKIKEAVGEMRMEMIISKSVYIICIGADDIANTYSQTPFRKPQYDIPAYTNLLISYALDFIQELYGLGARRIGVIGMPYIGCVPSQRTIGGGMYRHCSGLENEAAIVFNSKLVSQMDAFENKFPEAKLVYLDIYNPFMHMIQNPDKYGFEVVDEGCCGTGEMEAGILCNSYSLNLCSNPSSYIFWDSYHPTQEAYNLLCSMVLDDKIKDFF >RHN77831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9710356:9722076:-1 gene:gene1324 transcript:rna1324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydrofolate synthase MLLHFKAFLTSSFSHRRISRQMCSVRTLCSLREDSEMKDLVDYIDSLKNYEKSGVPTGAGTDSNDGFDLGRMRRLLDRFGNPHSKFKAVHIAGTKGKGSTAAFISNILRTEGYSVGCYTSPHIQTIRERILLGRSGDPVSAKLLNNLFHKIKQDLDQAIKEENGCISHFEVFTAMAFILFADEKVDIAVIEAGLGGARDATNIISSSGLAAAVITTVGEEHLAALGGSLETIAMAKAGIIKQGCPLVLGGPFLPHIEHIIREKAVSMDSPVVSASESGNNLAVKSFSILDGKPCQICDIEIQIVKDLKLSCKLHDLKLQMPGAHQLQNAATATCVALCLRNLGWRISDESIRCGLERTYLLGRSQLLKSEEAKALGLSGATILLDGAHTKESAKALMNTIRMAFPKAQLAFVVAMASDKDHAGFAREILSGAYVKTVILTEAAVAGAVTRTTPASLLRDSWIKASEVLGIDICHDGMTEYRELFKEQPVSSESNLTDGKTILATESSLKDCLRIANEILNRRRDEKGVIVITGSLHIVSSVLASLGD >RHN64288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57916102:57919549:1 gene:gene27091 transcript:rna27091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MCHCYYYCGSVAAVYYIVMILFIPLYTQICCSDNDILLRRYSVLILDEAHERSLNTDILIGMLSRVIRTRQKIYDEQQKMVLSGESISLDKMVFPLKLVLMSATLRVQDFTSGRLFHSPPPVIEVPTRKFPVTVYFAKKTEITDYVGAAYKKILAIHKKLPSGGILVFVTGQREVEDLCRKLRKASKEFIMKKVKGSVENDSNVVNETSSVEGININEINEAFEMPGSSSMQQTDRFSGYDEDDNNFDENESDSYDSETESELEFNDDDKNNHEGSKNNNNIVDVLGNEGSLASLKAAFENLSGQATLSSSNVNTEDSLDQSKVGREKIARENHDSSPGALFVLPLYAMLPAAAQLRVFDGVKEGERLVVVATNVAETSLTIPGIKYVVDTGREKVKNYDSSNGMETYEVKWISKASAAQRAGRAGRTAAGHCYRLYSSAAFSNEFPEFSPAEVEKVPVHGVVLLLKSMQIKKVANFPFPTSLKAASLLEAENCLRALEALDSKDELTLLGKAMALYPLSPRHSRMILTVIKNTRYKHIRNSSLLLAYAVAAAAALSLPNPFVMQYEGNDSNKDSETSEKSRMGDNENNIDKTEKTKRKKLKQTSKVAREKFRIVSSDALAIAYALQCFEHSQNSVQFCEDNALHFKTMDEMSKLRQQLLRLVFFQNDKGGLEQEYSWTHVTLEDVEHVWRVSSAHYPLPLVEERLICRAICAGWADRVAKRIPISKAVDGETISRAGRYQSCMVDESIFIHRWSSVSTVHPEFLVYNELLETKRPNKEGETSAKRAYMHGVTNVDPTWLVENAKSSCIFSPPLTDPRPFYDAQADQVKCWVIPTFGRFCWELPKHSIPISNVEHRVQVFAYALLEGQVCTCLKSVRKYMSAPPETILRREALGQKRVGNLISKLNSRLIDSSAMLRIVWKQNPRELFSEILDWFQQGFRKHFEELWLQMLGEVLQETQEQPLHKSLKRKSKVKSKLRR >RHN82738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56024184:56025882:1 gene:gene6922 transcript:rna6922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone--flavonone isomerase MAASITAITVENLEYPAVVTSPVTGKSYFLGGAGERGLTIEGNFIKFTAIGVYLEDIAVASLAAKWKGKTSQELLDTLDFYRDIISGPFEKLIRGSKIRELSGPEYSRKVMENCVAHLKSVGTYGDAEAEAMQKFAEAFKPINFPPGASVFYRQSPDGILGLSFSPDTSIPEKEAALIENKAVSSAVLETMIGEHAVSPDLKRCLAARLPALLNEGAFKIGN >RHN61362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35173828:35178498:-1 gene:gene23822 transcript:rna23822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SH3 domain, AH domain-containing protein MDAIRKQASKLREQVARQQQAVLKQFGGGGYGGSDNMVTDERELHLHQKLEKLYISTRAGKHYQRDVVRGVEGYIVTGSKQVEIGTKLSEDSRKYGAENTCTSGGTLCRAALSYSRARAQMEKERGNLLKALGTQVAEPLRAMVVGAPLEDARHLAQRYDRMRQDAEAQAIEVSKRQAKVRELPGNSEIAMKLEAAEAKLQDLKSNMNILGREAAAALAAVEAQQQRLTLQRLIAMVEAERSYHQVVLQILDQLEGEMISERQRIEAPPTPSMDNSMPPPPPYEEVNGVYASQTTHNGSTDSMGYFLGEVLFPYSAVSEVELNLSVGDYIVIRKVTNNGWAEGECKGRAGWFPFSYIERRERVLASKVAEVF >RHN72568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8716075:8718646:-1 gene:gene8294 transcript:rna8294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling &Metalloenzymes JmjC family MYILIPKFRPIFISLCKPLQVTIDTRRFFEGYKEGRRYINFWPEMLKLKYWPPYDEFENVLPRHCDEFIRCLPFQEYCDPRSGILNLAAKLPPNVIKLDLGPKCYIAYGTKDDLGRGDSVTKLHCDMADAVNILTHITEVKLTDEQIYAIKKIKEHIRHFQKEYCNSFSKPVIDNGLHKHTDNVANFNVRFPFGAPIDEVNNKRRKIEKLVDSMGQRPASGTSSIKEDSLEAVIRVQRSAFNQADDTRQTRMPADAMVDTREIKEMEGPSWKVRKEEGLVQDNGGPFKIKGKLFLNEVPPITEDTLETTGALWDIFRREDTAKLEAYLRKYSKEFRHTCCSPVKEVVHPIHDQCFYLTFEHKKKLDEEFGVVPWTFEQKLGEALFIPAGCPHQVRNLKSCTKVAVDFVSPENVDICMLLTGVSSPS >RHN67393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26781570:26787265:-1 gene:gene15579 transcript:rna15579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MSSAFSSISSSDVVPLKKYDVFLSFRGEDTRRNFTSHLYDALSRKKVETFIDNNKLQKGDEISPALIKAIEKSHASIVIFSENYASSKWCLNELKKILECKKYKEHIVIPVFYKIDPSHVRNQTGSYEQAFAKHKRDLKSNNDKLQEWKAALTEAASLGGWDFQNFETESRFIKDIVEDVLQKLNLKYPYEIKAGLVGIEKNYDQIESKLKIGSHEVRVLGIWGMGGIGKTTLARALYAKMYSQFEGCCLLNVMDESNKYGLNVVPNKLLSSLLEEENIHPDASYIEAPFSERRIGRKKVLIVLDGVETLEQIDDLIPKIDGLGPGSRVIITTRDKHIFSQVSKCEIYELKELNKHDSFQLFSLTAFKEKQPKIGYEDLSESVIAYCKGNPLALKVLGANLSSRGQEAWENELKKLQKIPNRKIHNVLKLSYDELDRCQKAIFLDIACLLSGQGKDFVRDLLEASDFFAISGIDVLLDKALIQLDSILHVKREVCTIEMHDLLQEMGREIVNQESEDPGKRSRLWKAEEISDVLKYNKGTEAVEGITFDSTDVGDLYLKSNSFRRMKNLRYLKIYNISRGNTCNVYFPDGLEWISDKLRYLRWEGYCLESLPSTFCAEMLIELHLSHSKLKKLWDGVQNLVNLNILWLESSKNLIEIPDLSKATNLHRVYLFQCESLGQLHPSIFSLPDLRYLDLRGCKKIESLKTNIHSKSLRELLLDGCYSLTEFSVTSDEMTELTLGGTAIRELSSSIWRNRKLTSLGLSKCNKLNIVGNKLSDDHGLGSVTELDLSGCTEMNALSLWSILDGIQSLKGLTLQECCNLECLPENIRNHSMLKWLDLDGCRKLVSLTELPPSLITLSGINCTYLDTDSTQRSFLENMVQIYSKDPFKDVNNLFLPGAQVPCKFDFQTMKASITIPPIPKYNLSGFIFCTILSEGFLFQSHPLHCIILEHGKEVDRCIMYFDLYIVRLISDHVLLGWYCYKREKFGSNDCKLSFQFIHNVELGWSTEGIKGCGILPVYNLEHKSDLAGREIGKLKFSNQYSDRSDWSNNESEDGQENYNDELQPSAIGGEVISSNIENEDDQKHPCCSIGLFLRHLLRGSKE >RHN70896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54838292:54841914:1 gene:gene19528 transcript:rna19528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GPI biosynthesis protein Pig-F MDRRKSSPEEISSSEAFTVNLLCGFGLALSFWISNTVYSVNLVTDPSLTLFLISIIELPIVILLYSRYRHNPQQSSYLRAVARGILGLPAGALLNSLGAIALGAPVTFQFLPKTVYWSLMMSLFTTVPASCVLGSSWADWKRIFAQTKPNGSIEYLICLHAHGAVIGGWFGAWPMPLDWERPWQEWPISVSYGTLAGYLVALVASLGFVLAHRRRLLHVKNE >RHN78779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18273375:18282121:1 gene:gene2428 transcript:rna2428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAEDMEIDHIIDVPDTPERSNAGNNDRKYVGNPEKRGRAFHVPNEISKCNKYVIISPDKPSPSQNASIFRRAQTEKVSGGLGTSRSSKAEMTEKGKTVSSRIPSKSSHHGHISVFDLTGENGQFQQPKPAFSHRGSRDNTNEDKKELKASIGNTSLPLITNSSNTSRNAVTGKCKLDNTTLPGSNTFMDRGKSVSLSNDSQSQPKAEKQVSLSPRLSTAPRGRGNRRLVRNGCISPQNIATRAKQSAEQSIFQTNNVEQICAGHSVSRNTMSPISVDDIVSEERPGGRLKGKGVLIHPSSNGTIQTDSSPVVNLEEASGSSNIPRNGYENWERQGGWRTTHNDQHLYDANGHHSRRSYVERLTHRQNMNRLDRSDTGSSQNGKDVPASLIIPQVGQSTGPSTTADSATKRPRKRESSSRNPNVASHNSKTVFVNSSGESSSSSRSCGMDPELAALLSIPSFKNELNEGLDDNDNNISVARAMQLEADERLARELQEQLYNDDYFEGSWVDEHLARVPQDAGGLLHTSTDNHQIPHHTRIPGENRQPRSRPNQNPSNRRRTVPQIPLSNRTTLSRMTTRSSRPTISSRGRGRGRGRARLPRFPLEMDLDMRLDILEALENAVGDFNDLGMPDDIRNAHRDFNEDDYEMLLALDDHNHQHTGASTNLINRLPQSTVQTDNYTEDCAVCLETPVKGDTIRHLPCLHKFHKDCIDPWLGRKSSCPVCKSSIT >RHN66392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11747221:11748075:1 gene:gene14362 transcript:rna14362 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRPVQPSMTSFWSLGKPSNGKEESEPQLLSKLNSKSDV >RHN58229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:103420:107532:-1 gene:gene20116 transcript:rna20116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MMMISKNIYGSSVIVIISVVVVVVLLLVLLKKKRINKFLFFSASSSSSSTTTTSSFVSDSTNLNSSRTSKIVTNEDLKFLMMIFDGNLNENAKWEDVIDKRNDHLCYNAKSCKPKNGPLRYLSVTVFNNISAEMLRNFYMDNDYRKQWDKTVVEHNQLQVDKSDGSEVGRTVKKFPLLKPREYVLTWKLWEGRDKTFYCYIKECEHTLAPRQNKYVRVEFFRSGWRIRQVPGRNACEITMFHQEDAGLNVEMAKLAFSKGIWSYVCKMDNALRRYSAASGHLSSSVTSSVNLMQKVPACLESSTSYASSSHPTIIHDQTTHESQVRVISRRPSRKFLANSVLLVGGAICLSRGHSSLGAKVAMAYIFSKLRKTNSNQTKQS >RHN52802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39023882:39027003:1 gene:gene37515 transcript:rna37515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L34Ae MPCSKEEALIRLFYNSSSSFKLLFLFLFSSSTLLLKILNFISSYSLFQSDQQYEYVSSEEEEEEEEIQESYCYEDSIEKDHLVADIIYGGEALVFLHSNNESQRNDSFSYEEEEEEEEEEEKEEEFITPQDSFIEEFSSEENFSTENLYVHHKSPIVSDFETETNETEIQTEEEDADSVPDSVPIENRTTSPITLNLYKRDDLVESDKNYDEKYIDIGVIKNEKVQEEKTTRDESVFVIGPTQLERNKKLIIDEKDDEEIYEDSTTIGSTSKDSSDWRSSIICRDSGTDDSSSRRSCPKWESYAVFQKYDEEMSFLERISAQKLHETESLRSIKVAPRSISGRIVYKLSSMNKKPEDISHNPYCELEGAYVAQICLTWEALNWNYKNFQTKRASNVDVGCPATIAQQFQQFQVLLQRYVENEPYEFGRRPEIYARMRHMAPKLLLVPEYRESDDDQKENIGFNTKISSASFLVIMEDGIRTFMNFLKADKEKPCQILASYFRRNQRGLVDPTLIRLLKKVNQKASS >RHN66422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12205690:12206163:1 gene:gene14404 transcript:rna14404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps7 MSRRGTAEKKTAKSDPIYRNRLVNMLVNRIMKHGKKSLAYQIIYRAMKRIQQKTKTNPLYVLRQAIRGVTPDIAVKTKTRRVSGSNKKVPVEIGSTQGKALAIRWLLGASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKQETHRMAEANRAFAHFR >RHN61608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36794813:36812569:-1 gene:gene24090 transcript:rna24090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase STE-STE-Pl family MSRQSTGSAFTKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDDNPPIPDSLSPDITDFLHQCFKKDARQRPDAKTLLSHPWIQNCRRALQSSLRHSGTLRNIEEGDSTNGKASDGDHKHAGENSSVEKEGTAAAESSRCQDGSASDSNFPNERTEKVNDVPSDEVPTLAIHEKSFQQIDSSKPSSDGEMGSTEPTGNHEISNTKGLHEVVMNGEGGSPQSRGMTNKVGGKSFAFGPRGHDKGPAKAMKMLHPAEGNELSKFSDPPGDAYLDDLFPSDKQHGEVVGEASTSTSTSHMAKGNASMIDGGEKDLAKELRATIARKQWEKESEIGQANNGGNLLHRVMIGVLKDDVIDIDGLVFDEKLPGENLFPLQAVEFSKLVGSLKPEESEDVIVSACQKLIGIFQQRSEQKIVFVTQHGLLPLTDLLEVPKTRVICSVLQLINQIIRDNTDFQENACLVGLIPAVMSFAVPDRPREIRMEAAYFLQQLCQSSSLTLQMFIACRGIPVLVGFLETDYAKYREMVHLAIDGMWQVFKLQQSTPRNDFCRIAAKNGILLRLINTLHSLNESTRLASMSVGGGFLVDGSTQRPRSGILDPTHPFFGQNEALLSSADQHDLTKLRHGVLDHHLEPSHSSSSIPRRSDSNYQMDVDRPQSSNAAAAAEAVPLEKSLNLASRESSAGTLKERENMDRRNSDPSRADVELRQQRLSISANRTSTDRSSKLTETSSNGLSATGATQQEQVRPLLSLLEKEPRSGRFSGQLEYVRQFSALERHESVLPLLHASENKTNGELDFLMAEFADVSQRGRENGNLDSSARVSQRVAPKKLGTFGSSEGAASTSGIVSQTASGVLSGSGVLNARPCSATSSGLLSHMVSSLNAEVAKEYLEKVADLLLEFAQADTTVKSYMCSQTLLSRLFQMFNRVEPPILLKILRCINHLSTDPNCLENLQRAEAIKYLIPNLELKEGSLVSEIHHEVLNALFNLCKINKRRQEQAAENGIIPHLMQFITSNSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDEFWSVTALDSIAVCLAHDNDNRKVEQALLKKDAVQKLVMFFQSCPEPHFVHILEPFLKIITKSARINTTLAVNGLTPLLVARLDHQDAIARLNLLRLIKAVYEHHPQPKKLIVENDLPEKLQNLIGERRDGQVLVKQMATSLLKALHINTVL >RHN57416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38909136:38912318:-1 gene:gene32959 transcript:rna32959 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MGSFFIPLPYFTFHFFSLLLLTHFTSHTFSLCNKHDNSALLQFKNSFSVNTSSQPNPYFGCSSFSFKTESWQNSTDCCEWDGVTCDTMSDHVIGLDLSCNNLKGELHPNSTIFQLKHLQQLNLAFNHFSWSSMPIGVGDLVKLTHLNLSNCYLNGNIPSTISHLSKLVSLDLSSFGDVELKLNPLTWKKLIHNATNLRELYLDNVNMSSIRESSLSMLKNLSSSLVSLSLRDTVLQGNISSDILSLPNLQRLDLSFNQNLSGQLPKSNWSTPLRYLVLSSSAFSGEIPYSIGQLKSLTQLVLSHCNFDGMVPLSLWNLTQLTHLDLSLNKLNGEISPLLSNLKHLIHCYLAYNNFSGSIPNVYGNLIKLKYLALSSNNLTGQVPSSLFHLPHLSHLYLADNKLVGPIPIEITKRSKLSYVFLDDNMLNGTIPQWCYSLPSLLELGLSDNHLTGFIGEFSTYSLQSLDLSNNNLQGHFPNSIFQLQNLTYLYLSSTNLSGVVDFHQFSKLNKLWYLVLSHNTFLSINIDSSIDSIIPNLFSLDLSSANINSFPKFQARNLQTLDLSNNNIHGKIPKWFHTKLLNSWKDIRYIDLSFNMLQGDLPIPPSGIQYFSLSNNNFTGNISSTFRNASSLYTLNLAHNNFQGDLPIPPSGIQYFSLSNNNFTGYISSTFCNASSLYVLDLAHNNLKGMIPQCLGTFPNLYVLDMQMNNLYGSIPRTFTKGNAFETIKLNGNQLEGSLPQSLANCSYLEVLDLGDNNVEDTFPDWLETLPELQVISLRSNNLHGAITCSSTKHTFPKLRIFDVSNNNFSGPLPASCIKNFQGMMKVNDKKIDLQYMRNGYYNDSVVVTVKGFFIELTRILTAFTTIDLSNNMFEGEIPQVIGELNSLKGLNLSNNGITSSIPQSLSHLRNLEWLDLSCNQLKGEIPVALTNLNFLSVLNLSQNHLEGIIPKGQQFNTFGNDSFEGNTMLCGFPLSKSCKNEEDLPPHSTSEDEEESGFGWKAVAIGYACGAIFGLLFGYNVFFFTGKPEWLVRHVEHMFDIRLKRTNNRAIANRRRMN >RHN78079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11734274:11737602:1 gene:gene1594 transcript:rna1594 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin A2 MIIIKSRNSKRKLQHEPSPLHVISKKLRSKIPRRKRRQISPVLLVSPRFKASRENRGFSVGSVDSSSGSDFAGGEVSCDSSRISAVKGRTNSRSEISSGVECVRRFEKRNENEVEVSETSCVDSSSGVRRNLILKFENGKENDEVSEVCTKSELTFAENSKSSNGNSNLNLNLNISSEITRNDVVSVNRASESEFSQISRNRNADENCVIAQSIMKNYSDNSGYDSDLACSEKLQFSYYDDDESEEYCSSQGTTFSDLHSDIFSEGSDYSPSQFIDSGSEFSQGSVGETPSHTYSLLLRYRDEFAKLGSPVKATSIVVEDFSLHNNFSRFEDIDDEESYQMLRKRERRQAFMWNYGERYFSATDFAEVFQQRSRMVHWIVEHSYRKQLRPETMFLAINLLDRFLSKGYFKAERNLQIVGIACLTLATRIEENQQYNSVYSRCEVVAMEWMVQEVLEFECFHPTIYNFLCFYLKAANADAVVEKRVTCLALLALSGPDQLCYWPSTIAAAIVILASLELNQKASHKVIGVITVAIQN >RHN47039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36669896:36676307:-1 gene:gene41589 transcript:rna41589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MPHRNNATPPSLPLIVTLNCIEDCSLELDSLSGVAAVEHIPLSRLSDGKIESAAAVLLHSLAYLPRAAQRRLRSYHLILCLGSADRAVDSSLAADLGLRLVHVDTSRAEEIADTVMALFLGLLRRTHLLSRHALSASGWLGSVQPLCRGMRRCRGLVLGIVGRSASARALATRSLAFKMSVLYFDVHSGQAKMKFPPAARKMDTLNDLLAASDLISLHCALTNETMQIISAECLQHVKPGAFLVNTGSSQLLDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVKEMPNVLILPRSADYSEEVWMEIREKAISILQTFFIDGIIPKNALSDAEEESEVDDENEQSDQQYKENALQIIVREQLDDGYSNPESSQKKVGEVKESSSQHQVSSLTLSTSTRSEGRRSRSSKKAKKRHIRQKSQQKSDQKEGTSQRDDTAMSGTDQALSSSSEDSRSRKTPVESLQEPIATQALKSSTRLSGKCTELLKDGCIIALHATDRSALYVSRQRVKGGGWILDSMPDVSKRDPAAQFLIIFRNKDTIGLRSLAAGGKLLQINRRMEFVFASHSFDVWENWTLEGSIDDEWRLVNCRNPSAVLDHIYIEILAASDEDGITRWLENF >RHN51616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21086172:21086433:-1 gene:gene36092 transcript:rna36092 gene_biotype:protein_coding transcript_biotype:protein_coding MLENFLRNHPPTFKGRYDPDGAQTCLKEIERVFRVMQCTEGQKVRFGTHMLADEADDWWVSLLPTLEQDGVVVT >RHN59299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9210687:9211951:-1 gene:gene21304 transcript:rna21304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MAPKLILASLVIFLSLLFKSTLAKHNSQTINYIESSCNGTLYPDLCIRCLNKFSKSTINGPQHLAHVALFASLSRALQTRGYLLNAAKELKAIDHNNKRMYLTVQDCVNQMNDSVDQLSQAIKELKRLNKFNTIINDKVLWHISNVETWVSTALTDASSCVQSFPGHRMSKRVATIKVKAKNVAEVTSNALALFQSYATRYRQEAARTSKKP >RHN63485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51685773:51690617:1 gene:gene26187 transcript:rna26187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MPKNPWTLFFLSIFLLLPYHFFLSIAVNTQGEALLSWKITLNGSLEILSNWDPIEDTPCSWFGVSCNMKNEVVQLDLRYVDLLGKLPTNFTSLVSLTSLILTGTNLTGSIPKEIGNLVELSYLDLSDNALSGEIPIELCYLPKLEELHLNSNELVGSIPIAIGNLTKLTKLTLYDNQLSGKIPNTIRNMKNLQVIRAGGNKNLEGPIPQEIGHCSNLIMLGLAETSISGFIPPTIGLLKKLETLTIYSSHLSGQIPPEIGDCTNLQNIYLYENSLTGSIPTKLGNLKNLKNLLLWQNNLVGTIPSEIGNCYQLSVIDASMNSITGSIPKTFGNLTLLQELQLSVNQISGEIPAELGNCQQLTHVEIDNNLITGTIPSELGNLGNLTLLFLWHNKLQGNIPSTLSNCQNLEAIDLSQNLLTGPIPKGIFQLQNLNKLLLLSNNLSGKIPSQIGNCSSLIRFRANNNNITGFIPSQIGNLKNLNFLDLGSNRIEGIIPEKISGCRNLTFLDLHSNYIAGALPDSLSELVSLQFLDFSDNMIEGALNPSLGSLAALTKLILRQNRISGKIPMKLGSCEKLQLLDLSSNQLSGEIPSTIGDIPALEIALNLSTNQLSGKIPHEFSSLTKLGVLDLSHNILTGNLDYLAGLENLVVLNISFNKFSGHVPNTPFFEKLPLNVLSGNPSLCFSGNNCTGQGGGKSGRRAREARVVMIVLLCVACVLLMAALYVVLAAKRRSDQENDVERKDSDGEMVPPWEVTLYQKLDLSISDVAKCISAGNIVGHGRSGVVYKVTMPTGLTIAVKKFRSSEKFSASSFSSEIATLARIRHRNIVRLLGWGANRRTKLLFYDYLPNGNLDAMLHEGCTGLAVEWETRLKIAIGVAEGLAYLHHDCVPSILHRDVKAQNILLDDRYEACLADFGFARFVEEQPHASFSVNPQFAGSYGYIAPEYACMLKITEKSDVYSFGVVLLEIITGKRPVDPSFPDGIHVIQWVREHLKSKKDPIEVLDSKLQGHPDTQIQEMLQALGISLLCTSNRADDRPTMKDVAALLREIRHDPTTSGAEPYKPKRSEASSYSSSSVTPAQLLLLQSNSHSSSIAYSSSSTAAVYHSPRNQS >RHN56542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31757730:31765984:-1 gene:gene31958 transcript:rna31958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADPFLGVVFENLISLLQNEFSTISGIKSKAENLSTTLVDIKAVLEDAEKRQVKDNFIKVWLQDLKDAVYVLDDILDECSIKSSRLRKFTSLKFRHKIGNRLKEITGRLDRIAERKNKFSLHTGVTLRESPDQAAEGRQTSSTPLETKVLGRDDDKEKIVQFLLTLAKDSDFISVYPVVGLGGIGKTTLVQLIYNDVRVSRNFDKKIWVCVSETFSVKRILCSIIESITREKCADFDLDVMERKVQGLLQGKIYLLILDDVWNQNEQLEFGLTQDRWDHLKSVLSCGSKGSSILVSTRDEDVATIMGTWETHRLSGLSDSDCWLLFKQHAFRRYKEHTKFVEIGKEIVKKCNGLPLAAKALGGLMSSRNEEKEWLDIKDSELWALPQENSILPALRLSYFYLTPTLKQCFSFCAIFPKDREILKEELIRLWMANEFISSMGNLDVEDVGKMVWKELYQKSFFQDSKMDEYFGDISFKMHDLVHDLAQSVTGKECMYLENANMTNLTKNTHHISFNSENLLSFDEGAFKKVESLRTLFDLENYIPKKHDHFPLNSSLRVLSTSSLQGPVWSLIHLRYLELCSLDIKKLPNSIYNLQKLEILKIKYCRELSCLPKRLVCLQNLRHIVIEGCGSLFRMFPNIGKLTCLRTLSVYIVSLEKGNSLTELHDLNLGGKLSIKGLNNVGSLSEAEAANLKGKKDLHELCLSWISQQESIIRSEQLLEELQPHSNLKCLDINCYDGLSLPSWIIILSNLISLKLGDCNKIVRLPLFGKLPSLKKLRVYGMNNLKYLDDDESEDGMEVRAFPSLEVLELHGLPNIEGLLKVERGEMFPCLSSLDIWKCPKLGLPCLPSLKDLGVDGRNNELLRSISTFRGLTQLTLNSGEGITSLPEEMFKNLTSLQSLFVTFLPQLESLPEQNWEGLQSLRALLIWGCRGLRCLPEGIRHLTSLELLSIIDCPTLKERCKEGTGEDWDKIAHIPRIELIDV >RHN70256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50040047:50041154:1 gene:gene18817 transcript:rna18817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MHKHMARILIQGVIFLVIASMVAGSRSKARTKRSILVGDSEGWRAGTNYTQWAIKNSPFQINDTLVFKYPPTGNSTVVPSVYLLPNMWSYTTCEFRGAKLLGSADQGGGEGIKIELNQLKPYYFASDEGNAYDCIAGLTKFIAVPSTRSF >RHN82369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53246220:53249265:-1 gene:gene6532 transcript:rna6532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MFPFSFHFLFFLLFCNTLSPLANSLNPEGYVLLTLKQSLKDPQGSMNNWNSSDQNPCSWNGITCKDKTVVSISIPKRKLNGSLPSSLGSLSQLRHVNFRNNELFGPLPHDLFQAQGLQSLVLYGNSFSGSVPNEIHNLRYLQTLDLSQNFFNGSFPASIVQCKRLKTLVISRNNFTASLPDGFGTGLSSLEKLDLSFNQFNGSIPTDFGNLSSLQGTVDLSHNHFSGLIPVSLGNLPEKVYIDLTYNNLNGPIPQNGALMNRGPTAFIGNPGLCGPPLKNPCGSDVPATSSPSSNPNLPVNYPPNDAGFGSEKSKGLSKGAVVGIVVGDLIGICLLGLLFSFFYSRVCGFTQDQDENDVTKRRKRRKECFCFRKDESEALSDNVEQYDLVPLDSQVAFDLDELLKASAFVLGKSGIGIMYKVVLEEGLALAVRRLGEGGSQRFKEFQTEVEAIGKLRHPNIATLRAYYWSVDEKLLIYDYIPNGSLASAIHGKAGLVTFTPLTWSDRLKIMKGTAKGLVYLHEFSPKKYVHGDLKPSNILLGHDMTPYISDFGLGRLANIAGGSPTLQSNRVAAEKLHERQKSLSNEVATNIIGSGYQAPEALKVVKPSQKWDVYSYGVILLEMMTGRLPIVQVGNSEMDLVQWIQFCIEEKKPLSDVLDPYLAEDADKEEEMIGVLKIAMACVNSSTEKRPTMRHVLDALDRLSVSSD >RHN78567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16333031:16339881:-1 gene:gene2185 transcript:rna2185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-NDR family MENTRRWFSKLRFKRKEATSTSNAVNVIDEKLPSSETKQKVEAAKHYVESYYKNQKQSQQERKERRNMLEKKLADAEASEEEQNNLLKHLEKTETEIMRRQRLKMGSDDFEPLTMIGKGAFGEVRICREKATGQVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDDEYLYLIMEYLPGGDMMTLLMRKDILTEDVARFYIGESVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLQEKDLSVGVNRSGVLQSDGRPLPPKRSQQQQLEHWQKNRSRMLAYSTVGTPDYIAPEVLLKRGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMATCRKIVNWKTYLKFPEESKLSPEAKDLICSLLCNVEHRLGTKGADEIKAHPWFKGVEWDKLYQMKAAFIPEVNDELDTQNFEKFDEVDMQTEPSSQAGPWRKMLPSKDINFVGYTYKNYEVVDASVIPGFAELKKKSKPKRPSIKSLFEDESAKASSHHQPVRGSFLSLLPPQMETPEKNESQ >RHN41888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33895150:33898274:1 gene:gene48252 transcript:rna48252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endochitinase MSHTKPTPLSKMANTNLSCLILCLLPLFLVAKAEQCGSQANGALCPNGLCCSKFGFCGNTDQYCGDGCQSQCKSSPTPNPPTPSTGGGGDVGSIIPSSLFDQMLKYRNDQRCAGHGFYTYDGFIAAARSFNGFGTTGDDATRKKELAAFLAQTSHETTGGWPSAPDGPYAWGYCFVTEKDAQGDFCSPGDWPCAPGKRYYGRGPIQLTHNYNYGQAGKAINEDLINNPDLVSTNPTVSFKTAIWFWMTPQANKPSSHDVITGRWTPSAADSSAGRVPGYGVITNIINGGLECGHGQDPKVEDRVGFYRRYCQILGVNPGNNLDCNNQRPFA >RHN77955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10830664:10831503:1 gene:gene1466 transcript:rna1466 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHHRFCLNSCLVIFVVALLVVSRVECIKVRDFKSLFENQLPKGPVPPSAPSQCHNKLSPFKDNKVSFPDDYYIACP >RHN80467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38333454:38334044:1 gene:gene4398 transcript:rna4398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MMLWPFKVIAGVNDKPMISLKYKGQEKHLCAEEISSMILSKMRDTAEEYLESPIKNAVITVPAYFNDSQRKATVDAGTIAGLNVLQIINEPTAAAIAYGLDKRADFVEEGNIFVFDLGGGTFDVSLLTIKDNVFEVKATSGNTHLGREDFDNRMANHFAKEFKRKHNKDISGNPRALRRLRTACENAKRTLFCRYC >RHN70654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53012432:53015914:1 gene:gene19263 transcript:rna19263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MEIYVDDEAKLTLHGLVQHYIKLKEEEKNRKLNDLLDALDFNQVVIFVKSVSRAAELDRLLIECNFPSICIHSGMSQEERLKRYRGFKEGHSRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSCSSDVDVLNNVQSRFEIDIKQLPEQIDTSTYMPS >RHN72831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11092328:11093971:1 gene:gene8592 transcript:rna8592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxy-lyase MNPLDTTEFKKQGHMIIDFLADYYENISNYPVLSQVEPNYLKKLLPSFAPSNPEPIETILEDYQKHIIPGITHWQSPNYFAYFPSSGSTAGFLGEMLSTGLNVVGFNWISSPAATELENVVIDWLGEILNLPKSFLFKSGGGGVLLGTTCEGILGTLVVARDKILSKIGSENVGKLVVYGSDQTHCAVQKAAHIIGINPKNFRAIKTSKLNSFTLLPESLLSTIENDLKNGLVPCYLCVTVGTTSTTAIDPVGKLCNVAKDYGIWVHVDAAYAGSACICPEFRYLIDGVEDADSFSLNAHKWFLTNLDCCCLWLKDPNSLIKCLSTTNSEYLENSASDSKQVVDYKDWQVTLSRRFRALKVWFVLRSYGVDNLRNFLRSHVEMAKTFEGFVKMDKRFEIVVPRQLALVCFRILPNGKKIANGKVTNGDVKLISSEDVIVANGKVTNGEAKLISSEGVIVANGKIQNGGVELISSEDVLANEINRKLLDSINGSGDVYMTHAMVEGVFVIRCAIGATLTEEMHVIKAWKVVQEHADVILKDKNGSIFV >RHN79979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34031648:34036253:-1 gene:gene3843 transcript:rna3843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MSTVSKDENLTLSSEDSSSPDESELELGLGLSLSHPAKSHNYHHHGSYARIFTAKDFSSSSPSSAGTKRPADSLDATNRPSQVVGWPPLRSYRVNSFNANAKSTEAFNSVAEKSKNNNIVVRKSTDNGNDDNHINIKEKRNLRKSPFVKVNMDGIPIGRKVDLSAHSSYETLAQTLEDMFDESTTSVTSKGSNGEDHNMTTRGERHSILLDGSSKFVLTYEDKEGDWMLVGDVPWGMFLSSVRRLRIMRTSEANGLAPRLEEKNSRQKSKPI >RHN58300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:586371:587193:-1 gene:gene20199 transcript:rna20199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated domain, type 1 MAHAIASSQDMAVFVENSFHWVTIHELDNFHQPALIVAFNLAQEIFNEVPLPEILASTSQDFGTNLSLLGQSLCMLLRYQNMNNKTTKVDVWVMKEYGFRDSWCVLFTLEEVFFSRPFTPWKPLGYSGDRSKVLLEVDCEEPWGNGDIKIECKKLFWYDLKSKRATCVPGIPNINKVMIYVGSLLPPSLPIDDNYNYMKENQPRLKCESKMQVKLNRPSCPEIDIEKKLRKNKTGCLSCLW >RHN45689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24488457:24498583:-1 gene:gene40072 transcript:rna40072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate oxidase MPSLSCWFFTTLLCFFFFLDTAFSGDPFVYFDWSVSYTTASPLGVKQQVIGINKQFPGPILNVTTNWNVVVNVKNDLDEPLLLHWNGVQHRKDTWQDGVSGTNCPIPAGWNWTYEFQVKDQIGSFFYFPSLNFQRASGGYGGIIINNRAVIPVPFGLPDGDVTIFLSDWYTKSHKDLRKDVENGVDLGIPDGVLINGFGPYRYDDTLVPNGISYQIINVEPGKTYRIRVHNVGISTSLNFRIQNHNLLLVETEGSYTVQQNYTNMDIHVGQSYSFLVTMDQNASTDYYIVASPRFVNSSWTRATGVAILHYANSQGPASGPLPSLSDQDNPSFSINQARSIRWNVSAGAARPNPQGSFKYGDITVTDVYVIVNRPPELINGKWRTTLNGISYLPPSTPLTLAQHYKILGVYKLDFPNRWMNRPSKVDVSLINGTYRGFMEIIFQNNDTTVQSYHLDGYAFFVVGMDFGAWTENSRSTYNKWDGVARCTTQVFPGAWTAILVSLDNAGIWNLRAENLNSWYLGQESYLQVVNTENDSNENSLPDNAIYCGLLSSLQRDQSHKYQFSVGSPRSSNSRMILFMLLFLALIESLYGMCSVKH >RHN52746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38359711:38362783:1 gene:gene37449 transcript:rna37449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MDKHIPMLLNITYISFFFLLVIITTFFPHQSHSQPQQPQPDYNYRSCRDIKNFYNCGRITNISYPFWGQNRLSPCGAGKPFYLNCKENNITTILLSSQNLTVLDINIETHTMKLKRTDLDQNLCSPQYNYTYLFPPPFQHLPSVYNITIYYNCTPSPITNEKSLCKSPNHAFFSVGNEDRLLEESRNCMSHIHVPVGADFPGVKDYVDYFDHDFLENGLDKGFELNYTMKEECSRCLGSEGGDCKWESNNDIEKHVKSSCYYDDCLDGSVDSSTQHCSNHRSKQMSWQGKMKIILGVGSAAMATLLMGIIIYCFRGKPSMLQVKFPSKTNNDINIEAFLKDHGALLQKRYKFSEIKKMTNSFKIKLGQGGFGVVYKGKLLNGCHVAVKILNASKGNGEEFINEVASITRTSHVNVVTLLGFCFEGRKKALVYEFMSNGSLDKYIYNKGPETIVSLSWDDMHQIAKGIARGLEYLHRGCATRILHFDIKPHNILLDENFCPKISDFGLAKLCLKKDSIVSMSDQRGTMGYVAPEVWNRHFGGVSHKSDVYSYGMMLLEMVGGRKNINADASHTSEIYFPHWVYNRLELGSDLRPDGVTDTEENEIAKRMTIVGLWCIQTFPNGRPTMSKVVEMLEVNMNSLEIPPKPLLSSPTRSLSESCTS >RHN67362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26449057:26450001:-1 gene:gene15543 transcript:rna15543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKKTATAKRQQPTTKLIGTLRSLLRSLFNSSDPSRLPDLPLELVAEILCRLPVKLLLQLRCLSKSFNNLISDPNFAKKHLRLSTTSRNLILTYFDDTIDRKPTLVFYRLHSIFHRSRSIFNSVTVKPTQLHYLFDPLYSIIVGSCNGILCLDKNDFEMVEQSDVILWNPSVGKFKILPSFKIQGECGLVKYGFGYDHVKDVYKIVAVFSYYCCNEVFKTQGMVHTLGTNSWRTIQWELPLPCSCESLIYVSGALNWLCKDNRNHSVVFFDLVIESYRRLLLPNYGGEYLDKVNLGVSRGCLCTLHVVPVFLVFG >RHN55651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20626323:20630634:-1 gene:gene30867 transcript:rna30867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Protein-PII] uridylyltransferase MAFISEVNMSYSQSHYMDDEYEKLFRRMNPPRVVIDNGASQNATVIRVDSANKQGILLEVVQILTDLNLIITKAYISSDGGWFMDVFNVTDQDGNKVTDEVILDYIRKSLGPESCFATTMRSVGVKQTPDHTAIELMGSDRPGLLSEVSAVLTNLKCNIVNAEVWTHNMRAAAVMHVTDEETGSAITDSQRLSLIKELLCNVLGGGNRKRGAKTVVTDDSTHTDRRLHQMMFDDRDYERVDDDDFDEKQRPNVDVVNWSDKDYSVVTIECRDRPKLVFDTVCTLTDMQYVVFHANIDAEGPQAYQEYYIKHIDGSPVKSDAERQRVIHCLEAAIERRVSEGLKLELCTTDRVGLLSNVTRIFRENSLTVTRAEVTTKGGKAVNTFYVRGASGCIVDSKTIESIRQTIGNTILKVKGSPESLPQDSPTRSSIFSGLFKSRSFVNFGLVKSYS >RHN63802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54111470:54116398:1 gene:gene26554 transcript:rna26554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YB5 MDPMDIVGKSKEDASLPKATMTKIIKEMLPQDVRVARDTQDLLIECCVEFINLISSESNEVCSREDKRTIAPEHVLKALQVLGFGEYIEEVYAAYEQHKLETMQDTLKAGKWSNGAEMTEEQALAEQQRMFAEARARMNGGAIAPKQPDGDQNKIS >RHN45292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14611787:14612965:-1 gene:gene39543 transcript:rna39543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MADDRTDMASSLPLTAATKRQQLNTSTETLSSSLLPTLPFDVISEILCRLPVKLLLQLSCLCKSWKSLISDPKFTKKHLRMSTTLHHIMVTSTDDSHELVLFGSPISSVLSISRVTQTQLSYPSSLTFEYGERSDVCSCDGILCINVCFHPSAILWNPSIRKFKVLPPLEKIQCKRVPFSIYSFGYDHFIDNYKIIVVSSCINKSEVCILTLGTDYWRRIKDFPYDGPLHESGIFVSGTVNWLAIDNSSSNSSLRAIVSLDLENESYKKLPHPDLENELWTLGVLTDCLCIFTSSDIFFDIWVMKEYGNKESWTKLYNVPYMEDRGLSSYTKVLYVSEDDKMLMEFYELGSSKLKLVVYDSKNGTLKIPVIQNINRRMDPKVYIESLISPCS >RHN68136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33154164:33155447:1 gene:gene16438 transcript:rna16438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MPELRHLELWGNKLTNDGLIAILDGCPYLESLDVRMCYNLVIHGNLAKRCFENTRIKYFR >RHN81582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47268605:47273047:-1 gene:gene5651 transcript:rna5651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CCA tRNA nucleotidyltransferase MISGNQPVKAMTYICGLTLFQTKEVYKISKERIGKEVDLMITGNQPVKAMTYICELTLFQTVFTLPEHELVISDECERLCISYLDNASKLIHLLGESTFTVEQRRLALYAALFLPLRNNTYKKKAKKIPVVNRIILESLKFKAKDAETVLDLHRLSYQFLSLIPYLASGEDMQASNLDWMGDLIDVPVSSRARVITGFLLIELRDFWRVVLLISILLHPIDTENEHSQLEKRRYLFNAVENSIMKLGLEKVWDVKPLINGKDVMKVLQLKGGPLVKEWLDKTMAWQLANPSGTSKKCIEWLEEANSKRVKLE >RHN45649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24115528:24119717:1 gene:gene40030 transcript:rna40030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-3-O-(3-hydroxymyristoyl)glucosamine N-acyltransferase MTMAVVTRRKLVSFVRSTSTTPFLNHTQYSNFLYILSSSHPHFSTTSSSSCSEDKFKKWKNGGGIFHESACIDSTAFLDVGAIVHSESVVGSNVRIASGTVVGPSVSIAHSTIIGFNVSLSNCSIGDSCVIHNGVCIGQDGFGFYVDGDGHMIKKPQKLNVIIGNGVEIGANTCIDRGSWRDTFIGDNSKIDNLVQIGHNVVIGKNCMLCGQVGIAGSATIGDYVTMGGRVAIRDHVSITSKVRLAALSCVTKDITEPGDYGGFPAVSIHKWRRQVASSFAVRGV >RHN60889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31395652:31396797:1 gene:gene23282 transcript:rna23282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MARFETFFTTMPLKSIMLMALILFLTLTSTTSTRILSEQETPEEPIVDSAESPVSSTILPPLAAPTTATTTSTDIPDQHHTLSFFMHDILGGSNPTARAVTGVVTNPALNAQVAFAKPNGANLPLNNGVPQNNNNNGILNNNNLPFLTGLSGNTGNVFNNNNNGNNNFPVTNMNQIPQGTTVQELMFGTMTVFDDELTEGEELGSGLVGKAQGFYIASSTEGTSQVMAFTAKFEENGYEDSLSFFGVHRTTQVSQSQLAIIGGTGKYVNANGFAIIKTFPVNGQQHNTDGLETLLHLTAYLSY >RHN73713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19240133:19248326:-1 gene:gene9566 transcript:rna9566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H oxidase (H(2)O(2)-forming) MRTLSFERCTTSTNEQDLPENFETSSPVTGKHAYGAMLPVFLNDLRSNHHKELVEITLELENDAVLLRNIASASSAPNASPSSSHAGDEDTLAGVGFGVPRSLSITSRIRKKFPWLRSMSIRTSTSSSESVIAPVDDPMMARNARRMRAELERTRSSAQRGLKGLRFISKSGEASEELWRKVEERFGFLAKDGLLDREEFGECIGMEDSKEFAVGIFDALARRKERKSVSKITKEELHHFWLQISNQNFDARLQIFFDMADSNEDGRITREEVQELIMLSASANNLSKLKEQAEGYAALIMEELDPENLGYIELWQLEMLLLEKDRYMTYSRQHSSASVNWSQNMTNLRPKSKIHKIRKTLQCLALEYWRRGWILLLWLVTIACLFTWKFYQYKNRTSFQVMSYCLPVAKGAAETLKFNMALILLPVCRNTLTWLRSTKVRKFVPFDDNINFHKMIAFSIVVGILVHAGNHISCDFPLLVNSSPEKFSLIASDFNNKKPTYKSLFISIEGVTGITMLTLMVISFTLATSQFRRNAVNLPSPFNRLTGFNAFWYSHHLLGIVYILLFIHGSFLNLTHKWYQKTTWMYISVPLLLYIAERTLRTRRSHHYAVKVLKVSVLPGNVFSLLMSKPNGFKYKSGQYIFLQCPKISPFEWHPFSITSAPGDDYLSVHIRTVGDWTQELKLRFTEDDELPPVNTSATFGELIKLDQLGPPKLLVDGPYGAPAQDYQNFDVLLLIGLGIGATPFISILKDLLSDTRPIDEQMDSNTETTKSDESFNSFTSSNVTPGRNKRSQRITNAYFYWVTREPGSFEWFKGVMDEVAEMDHKGLIELHNYLTSVYEEGDARSTLITMIQALNHAKHGVDILSGTRVRTHFARPNWREVFTKLASKHPNSTVGVFYCGMPVLAKELKKLSLELSHKTTTRFDFHKEYF >RHN52641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37320251:37327952:1 gene:gene37335 transcript:rna37335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-CTR1-DRK-2 family MKNILKKFHSMSKKSENEQRIASSEGNKSNHGSSSMRLLSSSWLHSVVNRKNLSSTSLNQGKVERMEVESSDIVRNVGLDVVSDSDRHDTGCCAIGSRDHEVEEEYQLKLALELSAKEDPEAVQIEAVKQISLGSCDPDNTPAEIVAYRYWNYNALGYDDKILDGFYDLYGILTESTTARIPSLVDLQGTPISSNVKWEAVLVNRAADSNLLKLEQKALELTGKSRRDLEVVVYADLVHKLALFVADYMGGPVRNPESMSKAWRSLSYSLKTTLGSMVLPLGSLTIGLARHRALLFKVLADHLSIPSRLVKGKQYTGSDDVAINFVKINDGREYIVDLMADPGTLIPSDATGSHIEYDESFFMASPSSRDLDSSRVASSSSGVGSSSEETSDFVMSDRGNRSKHHHAMKIIKQEASGRPNHRYVHARSSSWSEGNGSSVLTKMKAKNVSQFMIDTAMENPHLAQKLHDVLLESGVVPPPNLFSDIYHEELVFSTEEKDEHKQGSKHQETEFDDNLGPARFKPIEGLGTKFPLNKREGVEKFIPTQVKYGENVPVAAAAAAAAAVVASSMVVAVARSSIDSNIQLSVSAAATATAAAVVATTAAVSKYEHSSRSDGDTESSGTNLDGERRSGSSAVSNGSAKSDCSSLDDVAEYEILWEEITLGERIGLGSYGEVFHGEWRGTEVAVKRFLNQDISGEALEEFISEVRIMKRLRHPNVVLFMGAVIRPPNLSIVTEYLPRGSLYRLIHRPNNQLDERKRLRMALDTARGMNYLHNCSPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTFLSSRSTAGTAEWMAPEMLRNEPSNEKCDVYSFGIILWELSTLQQPWRGMNSMQVVGAVGFQHRRLDIPENIDPSIADIVRKCWQTDSKLRPTFAEILAALKPLQKPIVGSQVPRPTTSGRHGKAQPPAAER >RHN74555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34713856:34714518:1 gene:gene10643 transcript:rna10643 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMHVRDVIQYVEETSSISVETLHVQSVQVEDTLAIAEIHNGDQQSNSFSDMRITGPWCDAVTDMDYGNDHTLDNFEQKLGTNNHVVVADSNLSNFSANVIHDMQVLGLVPYVAQQTMDFLSDSWTNIGQKEEIADLTRNTGQPFQLVLSRKKKSKKQQSDASKCFKVGASSHSSRYLFSRSLILFFGFIFFFFFHVSAFNFLEGFGLSPLTLCFSFY >RHN52830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39278276:39278446:-1 gene:gene37548 transcript:rna37548 gene_biotype:protein_coding transcript_biotype:protein_coding MGITSVKLVVAQLLHCFNWELPNCMFYNELDMLEKFELTIPRSQPLLALPTYRLAV >RHN60636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29020727:29022098:-1 gene:gene22982 transcript:rna22982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEEFVMEEKMVGFSKKDATYIAKGKRTKRLRFLSPCGVVAMASSCSSDESNIDLEDEDEDDEEEDLAKCLILLAQGGNHREDGGVVDENKRVKGSHGNKKIGETSTKLGLYIYECKTCNRTFPSFQALGGHRASHKKPKIMAEEKKPPSPPSQQPRPQSSSHDSQSDNLVANSDEYEEAEKPRGPMVSFQMGNHGLKAAINGNKAKIHECSICGSEFTSGQALGGHMRRHRVSVANAAAVAAPDERVRPRNILQLDLNLPAPEEDIRESKFQFPATQKSMVMAAAPALVGCHY >RHN44133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1930249:1931796:1 gene:gene38201 transcript:rna38201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MYRVRRGMDKGEWIPALLREKLEQNWEDSKWKDKAAVNKRNRRSSNGPLHTCGSIPTIEHSKRLKTDSNMTPSCWEVYLKTHKMKGDPSKWVSSKSQMVADEYERRIFERNSQQTEGDDVSNDHQSDNFIFLDVVGGVDKKGRIYGLGTEAGKYKPSSSRSSDGISPSEYEHMRTAISKMSAENMELKERLKTNEELIRASQEESHLAREQAQQSQEDSRLLREQFQKLMESFTQGHSHLPPYQPHRSS >RHN81057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42960168:42962609:-1 gene:gene5051 transcript:rna5051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component EXOC6/Sec15 MIVIVIVISDFRDIYPFIHVRMDVKTKRRNEVENGDEGEDLVLATLIANGDDLSPLVRHAFETGRPEGLLRQLNFVVKKKEAEIEDMCKTHYEEFILAVDELRGVLVDAEELKSELQSDNFKLQQVGTTLLVKLEELLESYSVKKNVTEAIKNSMNCIQVLELCVKCNNHISEGQFYPALKTVDLLEKSYMQNIPARALKLVVEKRVPSIKMHIEKKVCGQVNEWMVLIRSSCKKIGQTAIGRTASVRQRDEEILERQRKVEDLNISGADDRVYNLDVEEADDDSAMQFDLTPLYRACHIHSCMGILDQFHEYYYKNRLLQLNSDLEISSSQPFVESYQTLLAQIAGYFIVEDKVLRTAGGLLVEDQVETMWETALSKMTSMLDMQFSHMKSATHLLLIKDYVTLVGSTLRKYGYDISPLLDVLDSCRDKYHLLLLADCRQHIIDLIQNDSYEQMVIKKDVDYENHVLSFNLQTTDIMPAFPYIMPFSSMVPDACRIVRSFIKGSVDYLTHGVRTSFFDIVRKYLDKFLIEVLNETLLDAINGGNISVSQAMQIAANISVLERACDFFLRHAAQLCGSGVSVRSIDKPQASLTAKVLLKTSTDAAYVTLMSLISTQIDEYMKPLTEVNFWTSEEARPEEKDYMNNMVVYLDSILSTAQQVLPLEAMYKVGTGALEHVSNIIMTAFLSDNVKRFNANAVMYINNDLTLLDEYAEQKFYSSGLGEIYKEGSFRSCLIEAKQLLNLLSSSQPENFMNPVIREKNYYALDYKKVATICDKFKDAADGIFAGLSNKNTKQSAKKKSMDMLKKRLKDFN >RHN53781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4114087:4114900:-1 gene:gene28727 transcript:rna28727 gene_biotype:protein_coding transcript_biotype:protein_coding MYESSTFDTCSLVSSEEVSEGNKCVDERLDFEVLNKDEGRSENVQPKVCVQHNSTFDKNMEGDGSAGMKKILTIDNILNPEKILQPCMQDKALRHTHDSTKYKKIVNCNHGSPVCNEEARLTSLDTDTDTSARRPTKLVQ >RHN49952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2597173:2605899:-1 gene:gene34190 transcript:rna34190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MGGGDQKNVSINVKKKKNGSFRSIFMHADVLDCFFMAFGLIGAIGDGLMTPLVLFITSRIMNSIGTISGSSSTNFVHNINENALVLLYLACASFAACFLEGYCWTRTGERQAARMRARYLKAVLRQEVAYFDLHVTSTSEVITSVSNDSLVIQDVLSEKVPNFLMNASMFIGSYIVAFALLWRLAIVGFPFVVLLVIPGFMYGRTLMGLARKMREEYNQAGTIAEQAISSIRTVYSFAGESKTIAAFSNALEGSVKLGLKQGLAKGLAIGSNGVVFAIWSFMSFYGSRMVMYHGAKGGTVFAVGASLALGGLALGAGLSNVKYFSEASVAGERIMEMIKRVPKIDSENIEGEILEKVLGEVEFNHVEFVYPSRPESVVLNDFCLKVPSGKTVALVGGSGSGKSTVVSLLQRFYDPIGGEILLDGVAIHKLQLKWLRSQMGLVSQEPALFATSIMENILFGREDATYEEIVDAAKASNAHNFISMLPQGYDTQVGERGVQMSGGQKQRIAIARAIIKMPKILLLDEATSALDSESERVVQEALDKAAVGRTTIIIAHRLSTIQNADIIAVVQNGKIMETGSHESLMQNENSLYTSLVRLQQTRNDQTDDTPSIMNRGHMQNTSSRRLVSRSSSSFNSMTHGGDDILNYNNVVEDIVNNVVVVDDRNNHNSINNTKKEKVKVPSFRRLLAMNVPEWKQACLGCINAVLFGAIQPVYSFALGSVVSVYFLEDHDEIKKQIRIYVFCFLGLAVISLVVNVLQHYSFAYMGEYLTKRVRERMFSKILTFEVGWFDEDRNSTGSVCSRLAKDANVVRSLVGDRLALVVQTISAVVIAFTMGLIIAWRLAIVMIAVQPVIICCFYTRRVLLKNMSSKAIKAQDECSKIAAEAVSNLRTINAFSSQDRILKMLEKAQQGPSHESIRQSWFAGIGLACSQSLNFCTWALDFWYGGKLVSQGYISAKALFETFMILVSTGRVIADAGSMTNDLAKGSDAVGSVFAVLDRYTKIEPDDLESYQAEKLIGKIELRDVYFSYPARPNVMIFQGFSIKIDAGKSTALVGESGSGKSTIIGLIERFYDPLKGIVTIDGRDIKTYNLRSLRKHIALVSQEPTLFSGTIRENIAYGAYDDTVDESEIIEASKASNAHDFISSLKDGYDTLCGDRGVQLSGGQKQRIAIARAILKNPEVLLLDEATSALDSQSEKLVQDALERVMVGRTSVVVAHRLSTIQNCDLIAVLDKGSVVEKGTHSSLLSKGPSGAYYSLVSLQRRPTNITIDSSHEIN >RHN74425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33127075:33127983:1 gene:gene10495 transcript:rna10495 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFGVSSIPFEVAEENILIPWAFGSISVLTFIDLKAETQPFSDLVIFCGNIPIRNYQHVSLPVQHQLILLFM >RHN46213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29700039:29701687:-1 gene:gene40664 transcript:rna40664 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSFLSRLFSCFIAAIMRFMTVLQLYPKEQVVSLKLLVNTDTNKVLFAEADKDFVDILCSFLTLPLGTIARLLQKESSIGPVTIGCLNSLYRSVEKMGLLTNYNSSEDYCRTLRINFDDSQPTKYFICSKYEELDYQCTYLSIGSKNNYCFYGHPLSHSVSLSSSLKDFCLGFVKFNKSFVISDNLTVLPHSMDHMIFDLSNNFGIKSTSSIKEMTVNVTKGKVLHMLIL >RHN76676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:320203:326133:-1 gene:gene39 transcript:rna39 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tyrosine--tRNA ligase MAGDAKPLQSLDSNAETTNPLLSVEERFEIVGSIGEECIQDDELFNLLANKKDIVCYDGFEPSGRMHIAQGILKAINVNKLTSAGCRVKIWVADWFAKLNNKMGGDLNKIKTVGQYFIEIWKATGMDFEGGKVEFLWSSKEINGGADKYWPCVLDIAQNNSLKRIVRCSQIMGRSEQEDLTASQIFYPCMQCADIFFLQADICQLGMDQRKVNVLAREYCDVIKRRNKPIILSHHMLPGLQQGQEKMSKSNPLSSIYMEDEEAEVNLKIEKAYCPPKIVQANPCLEYIRYLIFPWFKEFTMECGADNGGNKTFKSFEKLVVDYESGEVNPEDLKPALSKSLNKILESIREHFRKDKNAKELLKEVKAYRVTREPISIGEAADAEALETLSMDESSNSNATSQQSLQRFETVKSVGEECTQEDELLNILANKPEPVCYDGFELSGRMDIAQGIMKTIHANKLVSAGCRVKIVIADWFAKLNTPSVS >RHN77967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10911892:10912098:-1 gene:gene1479 transcript:rna1479 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAQVTAFQFEICVKNSKSCVLQKSIVNSFPQIRSISIRDKLLFGLKSLKPLRAGGKGMSENSDEVG >RHN44985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10469520:10470123:-1 gene:gene39175 transcript:rna39175 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNINSQHSSDRRNDKAIINSHTQVEVPEFEPGHGIRPNNFGILSVELGLLDHFMILNS >RHN40247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14568180:14568971:1 gene:gene46372 transcript:rna46372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MDGSISQGYLSVETLTLDSTTGYSVSFPKTMIGCGYRNTGTFHGPSSGIVGLGSGPMSLPSQLGTSIGGKFSYCLGPWLPNSTSKLNFGDAAIVYGDGAMTTPIVKKDAQSGYYLTLEAFSVGNKLIEFGGPTYGGNEGNILIDSGTTFTFLPYDVYYRFESAVAEYINLEHVEDPNGTFKLCYNVAYHGFEAPLITAHFKGADIKLYYISTFIKVSDGIACLAFIPSQTAIFGNVAQQNLLVGYNLVQNTVTFKPVDCTKPY >RHN74147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29217782:29218534:1 gene:gene10147 transcript:rna10147 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTKLLNLFCDLSSLVYDHRTGVCDHCTGKVIHNYFIYFSSLLLGLISSTNLESRLFVSACVLI >RHN47448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39846947:39852360:-1 gene:gene42052 transcript:rna42052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HNH nuclease MAQFTAQGRLKLLFNGETVSSLMVQTHKRRTSYKGSSSFASRFHYASSSYLRSKVKCCNAEARPSEGVHDEYAYVFEYDEDDDDDDVFEGDGLSGFRGLVLDISYRPVNVVCWKRAICLEFMEKADVLEYYDKTVNSPSGSFYIPAVLRVRHLLQVIKRRRVVKNSLSRKNILFRDNYTCQYCSSHENLTIDHVVPAALGGEWTWENLVTACSKCNSKKGRKSLEEAKMKLMKVPKKPKDYDILAIPLTAAALRVLTARKGTPDEWRQYLSSS >RHN56714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33085081:33088136:1 gene:gene32152 transcript:rna32152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M41, ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MVAALEGGNFKESTAIWHTISFIAAAFLLICCVVAHIVYKGIRKGPSVETNTKLSDVKGVDMPKAELEEIVDYLKDPEAIVGVGAQRVRDLFAAAKKRAHCIIFIDEIDAIGGKPNPNYQMYSKLTLYQMLVELDGLEQNEGIIVIGATNSHESIDEALLRHGRFDRRVDVPIPDRKGWLEIFEYHMSKVLTKDNVDLMTIARFTPGFSGADLANFYNIAALRATKYGAKAVSTHDLEFAREKNEGNSVVTSEELRKKIAYNESGHALVTIYTDGAYPVREATIDPHGLSLGRVSHLPPDNDRTSPSRKQTLAKLDFYMGVRVAEELIFRQSGVTAGASSDLLKATTLARKMVTKYGMSAEVGPVNLEKGRSMSSETRLLIEKEGKNLLEIERAYNNAKTILTTHQKELHVFAKALLKHQTLTGLRLEIYL >RHN41066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26579912:26580661:1 gene:gene47342 transcript:rna47342 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVLIIQSSKEEQPPLRTWQPESTRVSECLKSHLTYIHIESHSSISAMQTKYIEFNNVDRV >RHN45676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24392213:24393890:-1 gene:gene40059 transcript:rna40059 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSYPSPFALTFLTYLLKLSDKSQKQYKANTSFEQTQTQTQKQIHLKTKPSPTSPSNYFFLTLQNFQLHTPSPPLHQSMDESEQSPKNYYPNQRNDITRISSMSSSSTTSVHVTALDGLVNVNSLFTIAVFVGLSLTTPGQRSLENRSSCDAGIDVAKKLLVFEVVSFSFFLSSSLVAQGLKLALNLLNSKDVDEAFRAHINLKVLRWGMLGSALGSVMGCLFLMLSMVNVIEIRLGMLSCGSKSAAHAVAVMAVLVTSALVVYISTAIYAFTH >RHN44054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1324398:1325163:1 gene:gene38104 transcript:rna38104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MSLILFPSFLLLLLFLPSLTFSLSPPPRRHHCHPDDEKVLLKIKDHFHNTSLFSTWTPKTDCCKWRIVLCKKIPKTTIYRVNFLEIDGADDLVGTIPPLIADLPYLETLIFRNLPNLTGPIPQAIAKLPHLNFVLLNWNSLTGPIPDYFSKLTNLATLGLNNNHLTGRVPAYLGRLPKLVGLDVSHNQLCGPIPKDGGKLQGFDPSWFENNKCLCGAPLAPCKT >RHN82184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51793094:51793553:1 gene:gene6323 transcript:rna6323 gene_biotype:protein_coding transcript_biotype:protein_coding MFDELLKFFRAFYEDYEDDEGYQLMVMVMMMLLLLLLMIVDVFEFFWKNNEDDDGVHECDIEDDDKSKEEDEEKEN >RHN78112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12010473:12014155:1 gene:gene1633 transcript:rna1633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MEKIQMMLFLFCFFIFVMPCYSVSPRNFPIIPFDEGYTPLFGDHNLIIHSDSKAVHLLLDESSGSGFASHDLYLHGYFSASIKLPSDYTAGVVVAFYLSNGDMYEKNHDEIDFEFLGNIRGKDWRIQTNVYGNGSTNIGREERYGLWFDPSEEFHHYSILWTDSKIIFYVDDVPIREVKRTESMRGDFPSKAMTLYSTIWDASTWATNGGKYKVNYKYAPYIAEFSDFVLHGCAVDPIEHVTKCDSAQSSETVPSDVTQLERIKMENFRLKHMTYSYCYDKTRYKVPLPECVIDSRQAERLRKFDPVTFGNGRHRRGKRHHQNKVTSF >RHN43773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48391696:48393004:-1 gene:gene50395 transcript:rna50395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative B-cell receptor-associated protein 29/31 MALEWVVLGYAAAAEAIMVLLLTIPGLDALRKGLVAVTKNLLKPFLSVVPFCLFLLMDIYWKYETRPSCEGDSCTPSEHLRHQKSIMKSQRNALLIAAALLFYWLLYSVTNLVVRIDLLNQRLERLKRTE >RHN54602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10722900:10725519:-1 gene:gene29668 transcript:rna29668 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRPMKYLMHHIHMELPDGSVLAGQHALRHMVPCNFCLLLVFKDVPVEKATKLEENQGGNMPIHKELCTHYYLFRNLRWWQA >RHN48896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50978206:50981350:1 gene:gene43662 transcript:rna43662 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKKQSEGITLLSMYNDNNDDDMEDAEEEEDVNRGKEQVDVAEQTAEEDLAPNRLFSPVQEQQRVELKISKSATLPIFSV >RHN48672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49400627:49400922:-1 gene:gene43414 transcript:rna43414 gene_biotype:protein_coding transcript_biotype:protein_coding MHNNSVDEVVTGLKKISKVPRKKIEIYRGYATRDMVSQCRVTRKLDIFSFSIKLLKIVNGRPNAQCNLHEGQ >RHN39305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5738120:5738341:1 gene:gene45315 transcript:rna45315 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLLLLKIKIWVLIGLRLRLRLNLGIGIRGWDHRPCSSGRRVMFHCSILTPSLQFDPSFKCSPRSLNNRDS >RHN63453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51406831:51414459:1 gene:gene26152 transcript:rna26152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MVSVKLFFILLEVFIVGKFEKAENIVKTMRNAGYEPDNTTYNQVVFGLCKMKRVEEACKVLEEMERSGCIHDNKIWSILIQGHCFYLPFNKPIVQYVSKFGTVEDAEKFLKAWRKGSPRSHSAYFHVLESFIGELSEVKDLLCKFPSQTRKRKKVSEFFCFSGDSDAPNKTLRSTLNPSKNNVCVAHRNNKSLQAFTMNRVKAITTSLRFLKSSKSLSTPNQVTHFSSFTFSIPLLNHSHDLFRFHNNHQKHCFSSKPNMILERVYTNDWSEELEKELEACRESLTHETVVYVLNRLDKNPIKVFTFFNWVTSNKKMKEFWIVLKAMMDKGVMKELRDSPLKAYKFFHWVGKQSGYQQNTVTYNAVARVLPRMESIEEFWSIIEEMKSVGHELDLNTYIKISRKLQKNKMMEDAVKLYEHMMDSSYKPSVLDCIMLLKSISASDKPNLDLVFRVAKKFESSGYTLSKAVYDGIHRSLTSAGKFDEAEKIVETMKNDGYEPDSITYSQLIFGLCKTGRFEEALKAFDDMKANNIRVNIKSTNIHVFTNKPALVFSL >RHN56257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29330412:29331730:-1 gene:gene31629 transcript:rna31629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MMGNCSRELNLFGKDVPLCADVEISFEVNELEKAQALDPSWKMDPQHLCNENDAKVKGVGLGPFGLLVLASKGMQEYTRIFFKVFRANKKHVVLMCSDQCRSSLNHKNDLTTYGAFVDVDPIHEELSIRSLIDHSVVESFGAKGKTCITARVYPTLAINDKAHLHAFNNGTVDVKIKKLSAWSMNKANINEIH >RHN49183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53121273:53123466:1 gene:gene43988 transcript:rna43988 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKKCRELVGKNTSSKSGKFTFLNCFDMGSGTLACGVKESVKLYANNIRSSHVEKARDNAILSALVDAASQGMPPTDSEKYAQKEGAKAMKLATHKANRVIGPIISFGWDFFEAVYHGGTFTEGFLRGTGTFFGTYSGGFMGEQILGRFGGYLVGSQLGSWVGGRIGLMLYDVVNGVHYIICCSLFNYTFERD >RHN61088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32874707:32875267:1 gene:gene23511 transcript:rna23511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MKSSASSSLLLIFCLCFIFYAPVPALCGMYETLCNEAKEDANSCLNLLKHYSKITSAANYLDLSRSILQVALDKSTQAEHYINGKVMKEHPSGAAKQCGTECYGILTSMSLQSALDELVKDPHNLSYDLRTAGSGPPKCEDALEAANITNPAIHSMNIEMSLFCKIGFLATNHLVVEKNHRKLLAN >RHN69904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47237911:47243459:1 gene:gene18437 transcript:rna18437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MMVPQPPLVAAEKINICLTCGSKGDSKRLVYCIQCKSCAQHSYCLEKIHRDDNRTVIWKCEECAPSNPKRKTEPLRKSERISYATDAKYKRMIMKKKSGVVGKQPLVKSNEGESVGCLAKKNTEMILPILENENVFCKQPESPKDPSNMSSDMQAMECEIYTESEVIMPPLLQYPEFDKNSRAQPLSDPVWTGQFRMHNATHFNLTAYISSKAYPKVNSAVTVLPELLDVEMLSKRIIWPKRFAACPPNSDCIGLYFFPQYERDEMIFDRVLDNVIEKDNALKAVINNNLELLIFSSHLLPPDERRICTKYYLWGIFKSKPRK >RHN38700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1374524:1375021:-1 gene:gene44661 transcript:rna44661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MWLRNPFEKLSNDETEDFQISTDEYFGDPWSEKNRVNTGFFFVRSNNKTISLFETWYGMKDNFTEKKEQDVLEDLIKGGIFRDLGIKARFLDTLYFSGFCQDSKDFRAVTTVHANCCRSITAKVADLKKTLRDWKRFRRLGVNSTVNVYWNTHEWCLESWDNDLI >RHN80758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40680840:40683458:1 gene:gene4723 transcript:rna4723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MENESSAPNSHDSICPICLGPFIQPSYLDHCLHQFCFNCILRWIKVVSGKHYHTPPPSSSVKCPLCKTDNFSIIHGVDGTCFQRHYINTNLQDDCFVLSRAHRYRLQCYYTQQGCVEDIFNVLQYWKSRKYNQQNNWLQTWLRREIQALTQEEDVDIIVHHIFGVVKTSWTGEQKSHIKEPEKKQEEFMMSVSEAARPFLGARTDRFVYEIQLFLASGLNIEAYDAVYIQRLGWSSPGENTEVSQNELVDRTTVIPYLYIFDDDSDGTE >RHN42582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39500562:39501455:1 gene:gene49038 transcript:rna49038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MTTSCFQYATMDPYFNLYDIFQKVSTRIQDTVLYRIRDCAKEMVALNVEGRDILEMKVSLHVNSNILEDYPFEKSAHNYNDQQSVDLPYQLEDDDLLEKLEEDDLLQESEEDRLEQYLRNYNDQQSMDLPDQLEDDDLLEELEEDDLLQESEEDRFERYLRNYHDQQNVDFLDQMEDDDFLQELEEYHFGLQNMDLATELEDDDLLEELEEDHFEQYPCNDNDQQNDDLLEELEDDSISSDSIDQCSICLNKFCSGLEKELFHTKCSHVFHEECISKWIDRCIDRSSSYSCPLCRRR >RHN48693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49533399:49535583:-1 gene:gene43436 transcript:rna43436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative replication factor A protein MDPLSPAVIVNAELLPFYVGRRVRTVMQVVRSDVLAVIGKSPDEKQLIVKGSPPAPLTSFVEVIGIVNSDKSIKAELWTNFGDEIDMLSYHKLCQLANGEFKHLFL >RHN70851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54475968:54484197:-1 gene:gene19479 transcript:rna19479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MAMAIRVFLSFLLFLSLTNLASSLYEDQVGLMDWHQQYIGKVKHAVFHTQKTGRKRVLVSTEENVVASLDLRHGEIFWRHVLGTNDVVDGIDIALGKYVITLSSDGSILRAWNLPDGQMVWESSLQGSKESKSILNIPKNLKADKDDLILVFGKGCLHAISGIDGEVLWRKDFASESIEVSHIIQSPEVIYVAGFVGSSKFYVYEVNAKSGELLKNNHVALPFATSGESLSVSGDKFVVLDDVRSKIVTIDINNGNINYNQKQVSDLIKDSSGQAVILPSKLPGLFALKINSQVLLIKVTNEGELVALDQIDNTAAFSNALSISEDQHVFAFVQYEDNKIQLSVKDVNDWNGALLKENLVIDHQRGNIEKIFINNYVRTDRSHGFRALMVMEDHSLLLVQQGEIVWSREDGLASVVDVTTSELPVEKEGVSVAKVEQNLFEWLKGHVLKLKGTLMIASAEEKIAIQKLRLRSSEKSKMTRDHNGFRKLLIVLTRAGKVFALHTGDGRIVWSTTLHALRKSEDCEHPVGLNIYQWQVPHHHALDENPSLLVIGRCGPSVTAPTVISFLDAYTGKELNSLSLAHTVARVIPLPYTDSTEQRLHLIIDVNKHAYLYPRTPEAIEILKREFSNIYWYSVETDNGVIRGHALKSNCIHEIVDEYCFVFRDLWSIVFPSESEKIIATVTRKSNEVVHTQAKVMTDHDVMYKYISKNILFVANAAPKASGEIGTATPEEATLVIYIIDTVTGRILHRMTHHGCQGPVHAVFSENWVVYHYFNLRAHRHEMSVIEVYDQSRADNKDIWKFVLGKHNLTSPISSYYRPEISAKSQSYFFTHSVKAIEVTSTAKGITSKQLLIGTIGDQVLALDKRFLDPRRTLNPSQAEKEEGIIPLTDSLPIISQSYITHSLKVEGLRGIVTVPAKLESTSLVFAYGVDLFFTQIAPSRTYDSLTEDFSYALLLLTIVALVAALFVTYVLSERKDLEEKWR >RHN76330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49396517:49400723:-1 gene:gene12632 transcript:rna12632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MTQNLHRRRSFQLQNNNISLVIPIRSNNTSFRQHQFFCFKGFRKKDSERWEFANEEFIKDQKHLLKNIHRRKPIHSHSHPPGSAVDPERAAFEKEIEKLSQEKNYLESSVLNYKHHQSTAKFQLDNFQQLLDGMEIRQTRVLNYFEKALQNPTFVDRLKRKIESMDAAACNKKRRLPHVDHVQPVAAGSLIDNHSNFSLGFENVFHQNFLNKLRLELSPSVSDMNLVSGSTHVSTENEESLQKNLSEGELTEMQTRTDVAFAPETLELADPGASFAFNMDSCLSRRATTTKSPNLQSLEPSSKEGDSYISRQLNLTLASCTLEFNRNSYSARSPQIDCQKIGNMAESRVNASGKESEIGVYSKRNLANKVLNLASPQEVSGSIQVKPAAPKRVNDLFWEQFLTE >RHN81104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43358627:43362306:1 gene:gene5101 transcript:rna5101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(+) ADP-ribosyltransferase MQGDPLYAYYKCLRCELVPVESGTEEFSMIESYMMNTHAKLHSDYTVEIVQIFRTSKEGEAERFRKFSNTKNRMLLWHGSRLTNWTGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCHPTPTAADGVLLLCEVALGEMAELLTGDHDADRLPEGKLSTKGVGATAPDFSKAQELEDGLIVPLGKPKTNSRIKLQGNLIAQ >RHN59533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11741127:11745328:1 gene:gene21575 transcript:rna21575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L18 MITSCVGWASSPSASASASLCCDNKLRQLKLQPSSSPSSLSFSSSFPSLPIISISTTTLSPSNSNNPKGTFIRAAWTRRSRGEAEKKPSRKSWKRRTDMYMRPFLLDIFFSKKFVHAKVTHRGTSKVICVATTNAKDLRNSLPSLIDPEACRVIGRLIAERSKEADVYAMAYEPRKKERIEGRLGIIIDTVKENGILFV >RHN62422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43327958:43334731:-1 gene:gene24998 transcript:rna24998 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSQPGMEETILVGDDLMMGPPSPIVPPEIASHVLQGVDLCDGILRNLFLCLQINDIEPFCQDEIALYKQCAERRDKEIRKRLQDSEFKLGSSMPLDAAKERSAQLEAEVTSLERRLILASGVQGIEGFRTRWSLHGRLTDSKKRLESLKKGMDGRKR >RHN63952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55303349:55314602:-1 gene:gene26717 transcript:rna26717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MKKVRRKIGKYEVGRTIGEGTFAKVKYAKHSETGESVAIKVMAKTTILKHRMVEQIKREISIMKIVRHPNIVRLHEVLASQTKIYIILEFVMGGELYDKIVQQVKLSENESRRYFQQLIDAVAHCHKKGVYHRDLKPENLLLDAYGNLKVSDFGLSALTKQGDELLHTTCGTPNYVAPEVLSNQGYDGAAADVWSCGIILYVLMAGYLPFEEADLPTLFRRISAGEFVCPVWFSAGAKTFIHKILDPDPKTRVKIVEIRKDPWFRKNYSPIKLREDEQVNLDDIKAVFDDIEDQYVSERSEIAEGGPLIMNAFEMITLSQGLNLSPLFDRHQDYVKRQTRFVSRKPATVIISSIEAVAESMSLKVHSRNYKMRIERVSSNKVGQFAVVLEVFEVAPSLFMVDVRKAAGDTLEYHKFYKNLCSKLESIIWRPAETSPDSGLLRQMTF >RHN70619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52781864:52782768:1 gene:gene19223 transcript:rna19223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MASNKFSALILVLSLLAYSTFTEANCPPSPKPTPSPTPVPPSPKGQCPKDTLKLGVCADLLGLVNVVIGNPPSGSKCCALIKGLADLEAALCLCTALKANVLGINLNVPITLSLLLSACQKTVPPGFQCP >RHN43040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42769880:42771077:1 gene:gene49560 transcript:rna49560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MESSSTFSSLRFSMLFAIFFTVMLSGDKVTATEGFEPSIPPLPPHDLQEHSFFSHTTLLPPILSHLGFHELATAAPSLSDAATTASSAWTGPSTIFAPSDASLRTCFSCSVPNLLREHIVPGLFTIEYLRTLPFGTKIETLSPGRCITVTSDSIHSNITTGGAPKVFIGGVEIAQPDLFNNGMVVVHGLQGFVSTLSPFSCDVERMTSLSFPFHPDHRSSAHVHTHGVTVLPAIMRLMLRDAMLRLRNNGFSILSLAMKVKYAELITLNNMTIFAVDDLSIFSGSQSYISNVRFHIIPNYYLSIADLEKLPVGTALPTLERGQPLLITTSGGGVTSAPMRINYVRVKVADVIRNVKIVVHSEVKERRKEQVLEPEHQLY >RHN77142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4399397:4402757:1 gene:gene567 transcript:rna567 gene_biotype:protein_coding transcript_biotype:protein_coding MATISIRMSPKLFSPSTKTLFPIHTNKSITITNNSNRTKLSLLYLSSRNGTECPVPLEQQPINEYQSLSTSFPFSWAAGDVVEYGSRLFVVGFSFALLVGLPVAWFGTVGAQYEPAKRIVCAASSGVLAVTFAVVRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTAEVLARDRLLGSFSVKPVLSRLKITLVGLAACLVTCALIFINIDESYLTSRETGVRAIPGAYNDESARSFEPDAFCGEPDLQE >RHN81006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42553431:42559489:1 gene:gene4995 transcript:rna4995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-fatty-acid--CoA ligase MKSFSVKVEEGKEGKNGMLSVGPVYRNLLSQNEFPPMDPDFTSAWDIFSTSVKKHPQNRMLGWRKIVDGKIGPYVWKTYKEAYDEVLQIASALKACGAKSGSKIGIYGSNCPQWIIAMEACSAQNFICVPLYDTLGSGAINFIIDHAEIDYVFVQDKKVKELLNADCKSSKRLKAIVGFTSLTEEEKDEATNAGIKPYTWNQFVQKGKENPSSINPPLAHDICTIMYTSGTSGDPKGVVLTHENVLALVRGMDLFMEQFEDKVMTVDDVYLSFLPLAHILDRCIEEYFFRNGASVGYYHGDLNALLEDLSELKPTLFAGVPRVFEKVYEGIKKAVAQLNPVRRTVFGMLYNYKLGWMNKGYKQREASRLADLLAFRKVKARLGGRVRLIISGGAALSSEIEEFLRVTTCAFVCQGYGLTETCGPTTLTFPDEMCMLGNVGVVTVFNELRLEEVPDMGYNPLGTPPCGEICVRGKSVFTGYHKNPELTKEAIIDGWFHTGDIGEMLPNGIIRIIDRKKNLVKLSQGEYIALEYLENVYTITPIVEDIWVYGNSFKSMLVAVVVPNEDVTNKWAYANGHISSFSKLCSLDQLKKYVLSELKSTAVRNKLKGFEHIKGVILDPLPFDMERDLVTATLKKKRNNMLKYYKVDIDELYKSLTGDKPKF >RHN69521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44116337:44121774:1 gene:gene18000 transcript:rna18000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-methyl-2-oxobutanoate dehydrogenase (2-methylpropanoyl-transferring) MASLLLRRSKTLLVPNHQHLQSKLASLLLGSGSTFINRHSSSQPFVASSSRYESTKAQAQLDLQHVIHDDHHDQFIDFPGGKVGFTSEMRFISDASHKRVPCYRVLDEDGEPMKHTNHVQVSKEMAVRMYSEMVTLQTMDSIFYEVQRQGRISFYLTSMGEEAVNIASAAALSSDDIILPQYREPGVLLWRGFTLQQFAHQLFGNTNDFGKGRQMPIHYGSNNHNYFTVSSPIATQLPQAVGAAYSLKMDGKSACAVTFCGDGGTSEGDFHAGMNFAAVMEAPVIFICRNNGWAISTPVEEQFRSDGIVVKGQAYGIWSIRVDGNDALAVYSAVHTAREIAIKEQRPVLIEALSYRVGHHSTSDDSTKYRPIDEIEYWKMERNPVNRFKKWVERNGWWSDKDELELRSSVRKQLMQAIQVAEKAQKPPLEDMFTDVYDKLSSNLEEQERELRKVIEKHPKDYPSDVPL >RHN61711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37708114:37713707:1 gene:gene24200 transcript:rna24200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Root UVB sensitive family MAPLNPLNVKKQTPNISSPSSQDILIRETLRISAQLASSTPPLLDTTTPSTLRFICCEEIDGRRWNYVAETNASGQFKNNSFRSLSLQTPKPPLDEMMSFITSYVVPEGFPHTVTPSYVPYMTWRALKHFFGGAMGVFTTQTLLSSVGVSRNRATPAAAAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFTGDLLMELGAGVELATAAMPHLFLPLACAANVLKNVGAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNIADLLGTGFSIMIAKSNPSLITTFSLLSCGYILSSYKEVKSVVLHTLNSARFSVAVDSFLKTGQVPTLREGNLNEDIFSFPWKDRPVVLGSRIKDAFQSPSAYVAIEPLFDKERYIVTYNPSKSKVHAVLKDQAKSDDILKAAFHAHVLSNFIKSLNESKGSSWKQGDDLNSSVMLTAADLEACIADSCKVVTNAYWLFKNKAHEQGWTMSESLLNPGRARLCQS >RHN62593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44562520:44565964:-1 gene:gene25186 transcript:rna25186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MRRAYDSSKKIRIQEKMKKIRQCETENEENEDKLSDLPECVILHILSFLDSKHAVQTCVLSTRWKHLWARIPTLILHSSNFSTVKKFAIFVSNILTLRNSSTSLQALNLDRRGDIEPQLLKKILNYICSHNTHLHELGISLRGGSGFILNCVSSCHALTSLKLSLPPRGGHYYFTSEETLFPKSLNLPLLTNLDLANLVFCGGESGCADPFLAFPKLNSLGIRCCKIKDAQILNISSETLVNLAIHYPLEKMKLSYHDTSSKFAKIELSTPSLCTFTFIGSLIQKICGSGLSSVKQVNIDDSHQLYASAGNGLILLSWLLDFANVESFRVTSTTLQILSIVPDLSKVKLHSLCNLKSLVIEMIPFHDGSSSRSIVDALLKKDAAMSSKEVAKLRKTFKARLEPPAIPNGIVDFLRQNSPSAEVNIFTDYSDCFNLKQVVESIKGAKIISYRSKLATSAPSSAPPASAAEYAPPTAPASASMPASAAVPTSAAPPKLHFYCAEKDDKSSAEDKKEKHQSNTDSPLLDNGQ >RHN81403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45592249:45592552:1 gene:gene5437 transcript:rna5437 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFISVFPIFKSHTIHKLVHELQDSLSVLVWPMSFNLCHVLDRIQSLYISMYFIFEQIYPCITIISCIFSS >RHN54904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13363988:13366347:-1 gene:gene30002 transcript:rna30002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamyl-alcohol dehydrogenase MARQPEFEHSKKAFGWAARDSSGVLSPFNFSRREICEKDVALRVLYCGICHSDLHKAKNEWGTTNYPLVPGHEIVGIVTEVGSKVKKFKVGDRVGVGCLVDSCHSCQNCVDNLENYCPQLTLTDGSKYSDGTSTHGGFSDSMVSDEHFVFRIPDQLPLDAAAPLLCAGITVYSPLRHFGLDKPDMNIGVVGLGGLGHMAVKFAKAFGANVTVISTSPKKEKEALEHLRADSFLISRDPKQMKAATATLDGIIDTVSADHPLLPLIGLLKSHGKLVMVGAPEKPLELPVFPLLAGRKIVAGSLIGGIKETQEMIDFAAEHNVKPDIEIIPIDYVNTAMERLLKADVKYRFVIDIGNTLKSSS >RHN45620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23806869:23812914:-1 gene:gene39998 transcript:rna39998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Znf-LSD family MYSNILVNCSNCHTPLQLPPGAASIRCALCQAITLVADPRALPPQPASSPHAPPPPSPYNHAPPGPLPNVHGRKKAVIVGISYKFSRHELKGCINDAKCMRYLLINKYNFPDSSIIMLTEEEDPHGPKFPNKHNIRMAMFWLAQGCQPGDSLVFHYSGHGSQQRNYNGEEADGFDETICPLDFETQGMIVDDEINATLVRPLPHGVRLHALIDACHSGTVMDLPFLCRMTSRGQYVWEDHRPRSGVWKGSNGGEVICFSGCDDHQTSADTSALSKITSTGAMTFCFIQAVERSGPGATYGTILTSMRSAIKNAGSGGSGGGDVVTSLVSMLLTGGSLTTGGLRQEPQLTACEAFDVYRKPFSL >RHN50915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11780792:11782804:1 gene:gene35268 transcript:rna35268 gene_biotype:protein_coding transcript_biotype:protein_coding MHILMMLLENYMVLSTRVEYAVWVWGFVQLLLLESVGISQILFKLVALMKRMLKTYKSK >RHN42307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37296920:37299569:1 gene:gene48734 transcript:rna48734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger, CPA1 family MIYFSLVLTTWYLELDKKPLLLCLSFSITLFSNIKDFDPYLFFSREMIAYIANTIIFILSGVVIAEGILSDEQVFSHGKSWVYLLLLYAYVQVSRCIVVGALFPFLNYFGYGLNWKEAIILVWSGLRGAVALSLSLSVKASRSSGRSIELTPETGTMFVFFTGGIVFLTLIVNGSTTQFILHFLGMNKLSSAKVRVLLIFHFKNIFM >RHN49131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52656344:52658248:1 gene:gene43926 transcript:rna43926 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSDDEEISNRNGYERGGSDGDDEQDEEDATGKKKKHRTKFDFGKRLVLNQFSKAKNQIRRVRSKKHLLSSSSSSTTITTASFYRNVNVSSRGKVVIIQDGICLYCWVFVLSCLILKFSGIMSEKRTLAVNLWDVQ >RHN68133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33139068:33140733:-1 gene:gene16435 transcript:rna16435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative High mobility group protein HMGA MATGVVINKPLSIPSYREMILKAIEGLNEENGSNKSSISKYIESTYGGLPQGHKVLLNLHLARMRDSGELVFWKNNYTKRDPNAPPRRGRGRPPKAKESFSSGAMYSQPKPRGRPPKDPNGPPKSPQMKAPVGTGRPRGRPRKMSRPTGAFDGPLPLALGTGGSGRPRGRPPKMKSTTLAEINA >RHN56405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30613553:30613990:1 gene:gene31801 transcript:rna31801 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVAFMTEIFIEYTAVLTRATERFLSRRGMSLDGLRNRNLRFGSSSSSSDSTSFLVYF >RHN60377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26003890:26005320:1 gene:gene22683 transcript:rna22683 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSKKHDERLGVNEIRKNKRKIYFQETIDVANNSTTSQSNFYEVHKDEFKSLIKNITGNESNASRQPVPVQFSPPVPRHHVHPISGPLLVNNSQSNLSGFPVSTSMRNFQDSTPLNIGNSRGNQFQPYPPQSQALNNTNVQNHPIFQSQKHYYPINHSSQLVNGIHSSQTNYSNQLVKGFPSTQTNVSNLSTSFHATNPTFNVNTNNQLVNGFPSSPKYQENVTRLQKIRPPPLSTVRIPISVKVPAPVPPSQAPYNALLGHHVQPITRPSLVYNSQNNLVESPVSSFMRNFQDPTLNLGNSRGNQFQLWPPQPKVFNNINVQHQPIIQSQEHLYPMNGSNQVVNGFHSSQTNGSNQLVNGFPSTQTNVSNPSVFLNATSSTLPMNISNQLVNGYSSSQTEPLSQTSEFMLTSPKSNMNHISPQSPHRPLLSPSLFSSPSSPEYPFYSHLIPDPPSPISSNLFPYTTSPKRPDYQ >RHN40859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23319844:23320914:-1 gene:gene47096 transcript:rna47096 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEAAPAYDIKGRTMSLEEWDLKIQTENPVDFTSLAFHGCDISRYYEAQGLVGYFNLLNGPTYQTLVRPFWLRESIYDREAAKLEETEKVLLHSELEGKSREEMGLEPFESIEIRSSIMGIHVHISEEIISFVLRRTAEGTYKAGIKNVKTNPWNEIIHQTIFNSKEKGVYADLSMEKKMMLKIQNENLLPKGGGSDQPSLEHKIFLHLFIKGEKANVPRYIFRHMIHQLRESQLKNRCWIPYGRLISEILHQGGILNALSNMNFFTYEQLGTKTGKIINGETLKNMRLISKESYKKLDTNMKESDAVSAIMKDFPPICKQDPLEVQMNFIKDHFATIGTKINLEDVPETMYEGA >RHN53225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:220117:234936:-1 gene:gene28113 transcript:rna28113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MSLNSCKRASSSSDANRRKKKQQKTTTTTNQKTLGVAWGKNSSNSTKSPFSDVASYMTEKNRKLHNQFNTEEASTSCGNPIFAGVSIFVDGFTVPSSQELRGYMLKYGGRFENYFSRHRVTHIICTNLPHTKLKNLRAFSAGLPIVKPTWILDSVASNRLLTWAPYQLHQLSNNQQPKLSKFFTFTTTKNSEDSLCQVESDIEDSFISAGKSEGRHSSEVGDVVESSRQIITEADDNVSENTDAIMMEELLASAGIKCDEEDPVGGSNDAAKDEENIQGELESSCQEPSASVSSLRSVNEIPTSASTKPSKQCHSTLSDPNFVENYFKNSRLHFIGTWRNRYRKRFPTFSTGFDNEISNINASSVYGNSVVIHVDMDCFFVSVVIRSHPELLDKPVAVCHSNNSKGTAEISSANYPARSYGIKAGMFVRDAKALCPHLVIFPYNFEAYEEVADQFYSTLHRHCNKVQAVSCDEAFLDVTHSKVEDPELLASSIRKEIYETTGCTASAGIAGNMLMSRIATRTAKPNGQYHITPERVEDHLSQLPINALPGVGHALQEKLKKQNVQTCGQLMMISKVSLQKDYGMKTGEMLWNYSRGIDTRLVGEFQECKSIGADVNWGVRFNDMKDCENFLTNLCKEVSLRLQGCGMQGRTFSLKIKKRRKDADEPAKFMGCGDCENLSHSVTIPVATDNLEVLHRIAKQLFGNFYIDVKEIRGIGLQVSRLESSKASKQGAEKYNLKSWVTSRSASMDKQKHPMGHDKQNMDGTSIHGCRDLRGSSVEKDNNIQDNQASADRISTPPPLCHLDVEVIRNLPPEVFSELNEIYGGKLVDYITKGKGISESSSSLQSSLLEKEATNKEKELLDVDPIPQKKPLSEIEVMQHEAEGGEVVPDLGSGPSCNVNPKSSFEKDDLLPSSLSQVDGSVLRELPEDLKAVIVLQLPAHRRQDISSNVTLVPPSENHQVSLGVKNSENLGSNVLNECLWAGNPPKWVEEFKMSSCLILNKLAEVYYKSGLTSTLSSVLHQIISEFHLLNLVHQISDDSVNIMCELLKQYIKMKIGKDIEEIYICFRLLKRFAAKSQLFLQVYNGVFPYLQEAVDDNYGGSLFITP >RHN81220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44165677:44168686:-1 gene:gene5232 transcript:rna5232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MFHCFIKRLNLGWREYIENMHRLIKMAEIKLHGSWYSPFTLRVVWTLNLKGIPYDSIEEDHFNRTPQLLQYNPIHKKTPVLVHDGKPICESMIIVEYIDEIWPQNSLLPADPYARAQARFWVKYIDDMFSAIRAFHRSIIKGEEREKSRENLSERLKVVEDQCLDDHNKFYGGETINIVDIALGSFVKFIEVQEDMFEVKILKSERFPRLHLWFNNFKDVPIIKENTPEQEKFVAYVRPLIEKIMASS >RHN69385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43022017:43023720:1 gene:gene17845 transcript:rna17845 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSYMSSYVNFSCELRLIQARNIEFIKSTKNLFARLYLPTGNNKRIQLNG >RHN73405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16052236:16055433:1 gene:gene9211 transcript:rna9211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MGSLPNLEAPPPSSSRISTVVPATPRCEEKGEHNLNYMDLLMKLHYVRSIYFFDSEAVQGLTISDLKTPMFPLLDLYSHVAGRVRIAKSGRPFIKCNDAGVRIAESQCDKTLREWLDENEYSVDELVHDHVLGPDLAFSPLVFVKFTSFKCGGLSVGLSWAHILGDAFSAFNFITKWSHILAGQSQPKSLHIPNLVKPQFLSNFVFDNPISIKNENVVGEYWLAANDCYVPSHTFHITSSQLHHLVKTTKSITNTDTKYFEIISATIWKCIAQIRGDIGPKVVTICSKNKNTSKCAENEFPTNDILLSKIETNLRPEEYNILDLMNLIGEKKINENYALEKLVEKGDGKDDFFVYGAKLTFVDLEEADLYGVKLNGKKPIRANCDLRGVGDQGVVLVFPGPEDDDGNNGRMIKVSLPGKELDQLKYKLEREWGIQHYASLTF >RHN80171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35833218:35833861:-1 gene:gene4061 transcript:rna4061 gene_biotype:protein_coding transcript_biotype:protein_coding MMQHALNRGLSDHCPILLSMDEEIWGPLPRRMLKCWGDLLGYKQFVRENLQSYHIEGWGRDRN >RHN80817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41029136:41033768:-1 gene:gene4784 transcript:rna4784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Glycine-rich domain-containing protein MSENSEISPSMSSTSLGDIPEISAIHLGIDLVSAAKRNITFLKSVADSQWLHHTNITVEAIRRYRDLWMPLISDLTLSNSSLPMILPPFDVEWIWFCHCLNHTSYREYCETRFSKLVVGRAVINDEENREYALMRCREIWNSRYPFESFDNEASSDSDNVVAEGSFTLSLKDDDVFKEVEKQRLLCSKFMEPYRCEMLYLIAARQRYKAFLFMLQRLGSECSSRLVPTSDILLMWLTHQSYPTMYMEDLKVLALEGDMQKVATISEPVKEKEFEETKKLWDRAFNQPYEKAGGEVPLTLEGVISIKSPISWEESDIDVNTKYRSLLPRLLLEACVFVRLKPRIKASQKDTNRDFLRLRMIRCHSELKLDEAISSFPFDTWKKAWHLYCEFGTKGVMLEYRRHGGRNCLKRSSRQDTVSFRWNDLLRADSITLEKEVSQQVNVVASITPPVQAPYLLKCVPDRVTDDSGAMISDVFLKMNSYRPQEGRWLSRTVLDHAGRVCFVIRIRVGGGFWRRGGETPSAVKWEDRIIEIREGSWSYVAGSIGRAPEKVVGTATPKEPAEQCKAAWCFSTGDELIIQWDSSLSVSSLTFNLTNPTSPESLVKLLKGRQMQYQVKKTMLNNKNEEMKRELEEDEKGFLTLVRFTEDNPDGKATALLNWRLLVVEVLPEEDTVLMLLLCISILKSVSEMKKHDVGGLSVRRRLKQANFGTKDWGSVILHPSSFGDSPYVQPWYWNAELVMTFDEVDQLKRQPVLSHSAVEGSDKLYKHGIIS >RHN53376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1277068:1282954:1 gene:gene28277 transcript:rna28277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ditrans,polycis-polyprenyl diphosphate synthase ((2E,6E)-farnesyl diphosphate specific) MDFRDVAHNLYHSILAIRNLGLQLLWYFLQIIVSAWYYISVVANLFESYFISYGVFKKYKSLPIAKLRYLAIVIESEDAHQTSKVVQLLQWLDSLGIKNVCLYDMNGVLKKSKEAIFHTMKNAKSIEEVNKAVTDHVPDHMTLEFVSYVDGKEAVTKAANLIFVESSKRHNLDGELDYQLEPRLNQALQIVGCIGPEPDLLLVYGPVRCHLGFPAWRIRYTEIVHMGSLNFMRYGSLIKAIYNFTKVHQNYGT >RHN79727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31630256:31631514:1 gene:gene3559 transcript:rna3559 gene_biotype:protein_coding transcript_biotype:protein_coding MVYMNRYNVLGLSITIGDSFFKNLDVHFVAVALMENIQSMEFSHLRELVHCILIPLVKNGPVDMREIWLEKLLHPLFDHVQQALSCSWSSLLQDGRAKVPDTHGILSGSDLKVQVMEETLLRNLTRQICSLLSVIASPPLNTGIPSLEQSGHIIPFDMSSVKSLDAVASCSLVGFLLKHEGLALPTLRMCLEVFKWTDGEAVTKISSFCSAMVVLSTVTNHTKLVEYVSTDLFTSVIQGLELELNAIISADLVEICREIFVLQSLPFITSRDLHAFEESLSKTSSPKEQRQHMKNLLLMATGNKLKALAEQKRRLKLTGARDPDNCC >RHN73262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14894433:14896133:1 gene:gene9059 transcript:rna9059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fasciclin-like arabinogalactan protein MTLFPQITLTFMLYFLILSPTPSFSFNLTSLLSTFPELSTFTSLLSSTPPLTADLSHRTSLSLLAVPNTYLTTDPHLHNLSPSALADILRYHILLQFLSWSDLQHLPPSGKLVTTLFQTTGRATNNFGSVNITHSPNTNTVTIHSPAPYSSSNATVLSQLKMLPYNLTIFTVDSLLIPYGFDLMASETRPSILLNITKTLIDAHNFNVAASMLSASGVVNEFEAGEGGSGITLFIPVDDAFADLPPSVSLQSLPADQKAVVLKAHVLRAYYPLGSLQSTANPLQPTLATEAMGAGSFTLNISTFNGSVAINTGIVQAIITQTVFDQNPIAIFGVSKVLLPREIFGKNPIVSAKSPPESSAPPPYEDASSPTGFDVQPSHLSSPPGFGEDVSSDVASVYGSELFVFLLCCINLYLIL >RHN72289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6497950:6503742:1 gene:gene7983 transcript:rna7983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Galactose-binding domain-containing protein MAWSSTRVKWVFKFMPLILLHLVLTTTAEDGLVANGDFEVSPSNGFPNEAIIEGPSEVPNWKSNGTVELVESGQKQGGMILIVPQGRHAIRLGNDAEISQEIPVEKGSIYSVTFCAARTCAQLEQLNVSVASASQTIDLQTLYNVQGWNPYAVSFNADEDMFRLVFKNPGMEDDPTCGPIIDNIAIKKLFTPDKPKDNAVINGDFEEGPWMFRNTSMGVLLPTNLDGETSSLPGWIVESNRAVRFIDSDHYAVPGGRRAIELLSGKEGIISQMVETKADKPYTLTFSLGHADDKCKEPLAVMAFAGDQTQNIHYTPNSNSTFQISNLNFTAKAEMTRIAFYSVYYNTRSDDMSSLCGPVVDDVRVWFAGSNRLHGLGFVRLGLGILGLVLFLV >RHN54471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9855462:9859226:-1 gene:gene29521 transcript:rna29521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MKHFSFFLPIFWYLYLHLLLLVLPLNLTWFCPNRTVAIAEALALGNQTDHLALLQFKESISSDPNGVLDSWNSSIHFCNWHGITCNPMHQRVTKLNLQGYKLHGSMSPYIGNLSRIRNINLKNNTFFGKIPQELGRLLHLHQLLLDNNLFSGEIPINLTSCSNLKVLHLFGNNLTGKIPAEIGSLQKLIIVNIGKNNLTGGISPFIGNLSSLISFGVVYNNLEGDIPREICRLKNLIIITVTDNKLSGTFPPCLYNMSSLTLISTADNHFSGSLPSNMFQTLPNLRSFEIGGNKILGSIPTSIVNASTLTSFDISGNHFVGQVPSLGKLQDLNLLNLEMNILGDNSTKDLGFLKTMTNCSNLQVLSLAANNFGGCLPNSVGNLSFQLSELYLGGNEISGKIPEELGNLVNLTLLSMGHNHFEGIIPANFGKFQSMQRLDLRQNKLSGDIPYFIGNLSQLFDLHMEENMLEGNIPLSIGECQMLQYLNLSQNNLQGAIPLEIFSIFSLTTGLDLSQNSLSGSLPDEVGLLKNIHKLDVSENHLSGDIPITIGECISLEYLHLQGNSLHGTIPSTLASLKVLQYLDMSRNQLSGSIPEGLQNIVFLEYFNASFNMLEGEVPINGVFKNASGLSVTGNNKLCGGILELHLSPCPVNFIKPTQHHNFRLIAVLISVISFLLILMFILIMYCVRKRNRKSSSDTGTTDHLTKVSYQELHHGTDEFSDRNLIGSGSFGTVYKGNIVSQDKVVAIKVLNLKKKGAHKSFIAECNALKNIRHRNLVKVITCCSSIDYKGGEFKALVFDYMKNGSLEQWLYPWTVDSEYPRTLNLVQRLNISIDIASALHYLHCECEQVVIHCDIKPSNILLDDNMVAHVSDFGIARLISAIDGTSHKETSTTTISGTIGYAPPEYGMGSEASTYGDMYSFGMLVLEMITGRRPTDERFEDGQNLRTFAESSLAGNLSQILDQHFVPRDEEAAIEDGNSENLIPAVKNCLVSVLRIGLACSRESPKERMNIVDVTRELNLIRTIFLEGVHASRVIKPEINFRHHLE >RHN49611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55914332:55915685:1 gene:gene44460 transcript:rna44460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MEENQSSQSQPPNQQHHLPPDKLQMNKPAGPPPSSSYGTSSSGRAFATFLTIFLLLIGVTLLVLWLVYRPHKPHFTVVGAAIYGFNTTSPPLLSATLQFNILIKNPNKRVSAYYDRFSAFVSYRNQAITPQVMLPPLFLEKHSQVSLSPVIGGTAVPVSVEVSNGLMMDEAYGVVGLKLVFLGRLRWKAGTIRSAHYGFYGKCDVLIGLKKGVVGQVPLLGTPPCNIDI >RHN57640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40691687:40691879:1 gene:gene33218 transcript:rna33218 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 3 MFLLYEYDIFWTFLIISIFIPILAFLISGILAPIRKGPEKLSSYESGIEHFSKTLFQFLKT >RHN46431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31664947:31665372:-1 gene:gene40915 transcript:rna40915 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLSCFNLAWKSLCGVLFRLGNILVNGKHLNLNHIPEEVEVKGLDFYFKFQNGELEIEQLHITKTKKDKWCNIIAWEHHKMFRDFSRFTPSYDVVDESTSTTVSGGTFTWAALIFNGLICCADDEQPRGDGVFPHNSIWG >RHN49264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53660987:53664129:1 gene:gene44078 transcript:rna44078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Homeodomain-LIKE family MDHVSCEGLESSPRIGPEYQAEIPSVIKKSEKLPLQMNPADSKDFHDKSRSYAICLPMSETWSDADTKCFLLSLFIFGKNFTPIKRFIENKGMGEILSFYYGKFYKTDGYCRWSECMKLKGRKCMIGKKLFTGPRQDELLSRLIPHVSEESQDSLLQISKSFMEGRISLEKYISSLKSTVGINVLVEAVGIGNKKGDLTRLGVEPGKNSRTFSAPTCKSLSSLGPSDILQSLTGGFRLSKTKNNELFWEAVWPRLLARGWHSEQTKYRGCVTSDDYLVFLIPGVQKFSRRKLVKGNHYFDSVSDVLKKVVAEPNILVLKEEEAAKVGSCNEEELEKRSNGHDLSDDHRQCYLKPRSSSYSKDHIKFMVTDASLVHDGKPSDLRELKYVPINSLSKVDVDAAGKKYKGHKYMRKVNHSKDLSKNIKQNSTKLTVKPGSSRSSNFRDADQNFCGSVSHQQNGSSTASSANRNVEENNEKNILNDSYRCMSVSCVKIEKCESFSINIPQVPSNSENRKMMAMVEEAKQGLKAKDPRLASVTQETVEEPHRTPCDVGSLEQQPDINPRRQSNRKRPLTVRALEYIANEFLHVPKRQKRKDIQTQQDHLNPCCKDCKRGKTMLPRHCSDHGTAVLA >RHN60778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30304819:30310037:1 gene:gene23144 transcript:rna23144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MSQVVMFVYTLIIFLFPSHVITNKIAIYCVSDDDCLKTFTPLDLKCVDNVCEFNLRCKGKCGERDEKFVFLKALKKMDQKLVLEEQGNAREVKIPKKLLFDRIQVPTPATKDQVEEDDYDDDDEEEEEEEDDVDMWFHLPDVVCH >RHN76685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:426468:430009:1 gene:gene49 transcript:rna49 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L28 MAFRGKEMMKKVLKKVGDKNLTPRAKESIEKWLPKNKVVMGRAKRGLFAGKHIQFGNSVSEDGGNRTRRTWKPNVQDKRLFSYILDRHIRIKVTTHALRCIDKAGGIDEYLLKTPYQKMDTEIGVLWKSKIEKLYEELGKKEVVFFSPEDEAKFEQGFKDLRLSEREARKEVRKIMHASMNKHKLIEVESKDGDEGAAKIEGEISLDSSKRLVPVSYVLAPDRLKVGDYISN >RHN64971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63370629:63377823:-1 gene:gene27855 transcript:rna27855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MEFEKRKSATLASLNSTESDKSPKGSLDTPIIPLINTLNQNPNYFTTSSCSGRISILSQPLSPIPSPQTKKKAKGGTWLFVSHHTANPDSIISLLFPSDSTHSTQSPISELVFRFEPLIIAIECKELSSAQSLVALAISSGFRESGITNANKRVIIAIRCSIRMEVPLGDTQKIMVTPEYVKYLVQVANEKMEANWNRTDRFLRLLQNNGSMVNDNSNRLSQRTGVELVCDNLQFDHESQITNGNAPKNESGFVGSPGFSLPIAHIEVVGEPVEKLFLWGHSACALDNADHKKVIVFGGFGGLGRHARRNDLLLLDPYSFNLETIDTSGCACPSPRLGHTASLVGDLMFVIGGRTGPDKILNDVWSFDTTKNCWKLLQCGGSVFPPRHRHAAAVMGSNIYVFGGLDNDIIFSSFFILDTVNLHWKEIPVSGDWPYARHSHAMVASDSRIFMFGGYDGGKALGDMYSFDVQMSQWKKEITAGRNPHPRFSHSIFVYKNYLGVLGGCPVTQHCQELALLDLKLHIWKHVTLNSVGKDLFVRSTANVVGDDLVIVGGGASCYAFGTKFSEPAKVSLLHSMHSHDDFMPVKNQKQHIIDQNGGNKVENSQGPQLEHPPNISENESLYFNENVLHINGQSQTIPLHCVLQLEKKYAKQGKDILKKFGWLDLGRKVYSEEGGVHICFPVHQELFAVFHERSQHSGDPIDRENKIPLSKPLTQAGYLLNKLSCSEALTLLHEYGAVLLEDKVVETKKAAMSPLKVMSEGVTSLIEEKGLPTGLLEELPTRWDRIGDIVILPATSFKNSLWDSIAEELWLIVAKSLKAHRLARQGPVAATGTRDSTLEILVGDDGWVNHRENGIHYSFNATKCMFSWGNLSEKLRMAQMDCKDEVIVDLFAGIGYFVLPFLVRAHAKLVYACEWNPHAIEALRHNLQSNSVAERCIVIEGDNRNTAPKGVADRVCLGLLPSSECSWVTAVRALRKEGGILHVHGNAKDSEEYQWTDHVSKSIYEIARSEGYCWEVTIEHVERVKWYAPHIRHVVADVRCKQIQR >RHN49393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54495249:54499144:1 gene:gene44217 transcript:rna44217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative quinate O-hydroxycinnamoyltransferase MSETECKVTLDSKLTVVSSRPVSSGKSHKLTGVDHGMSYHTLHLIYYYKNEEKWFGSFELDPLRESLSEVLSIYPTITGRLGREKEEDGGNWEVRCNDAGVRIIKANVDSTIDEWLSSSATGSDEAQLIAWDDMPHDPSTWSPFRIQINSFKEGGVAIGLSYSHMLSDLTFASSFFKSWTQTHRHSPISNSPFFTPINITTSSPLLNNNIPNSSLKPQTITSSSNLATATFKFSSSIIKHSLSIIQNKKCLNATPFDFLSALFWTRVTHLKPTKIHNQTHSLSICRDFRKLIKPSLPTGYFGNALHFSNLSLKMEEMENGELGDIASLIHTKLKGVTDKEIRSSIEWFESQKETEEGKFGTPKCMYGKELTFVCMEEEETESLLYESMFCDSEKPAHVSCRVGNVEGEGLIIVMPSSEGGFARTVIVMLKEEELDKLCNDQEILKLEPKIILAGCRINR >RHN61760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38100949:38105370:-1 gene:gene24253 transcript:rna24253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannan endo-1,4-beta-mannosidase MMAGNGLFYPIFCFASVVLFIYMSFGVRMDYDEEIELSFVERKGSQFVLDGKAFYINGWNSYWFMVQSVDAYTRPRVGEMLKAGAKMGLTVCRTWAFNDGDYNALQTSPGVFDEQAFKALDYVIAEARRHRIRLLLSLVNNLQAYGGKDQYVQWAWQEGLGLSSSNDSFFYDPSIRSYFKNYVKTILTRKNTITGIEYRHDPIIFGWELINEPRCITDPSGDTLQDWIEEMSTFVKSIDKKHLVTIGLEGFYGPNDPKRLTVNPPEGWASRLGSDFIRNSQTSNIDFTSVHIYPDHWFHKQDFEEYMKFLSKWMLSHIEDGDNVLNKPVLFSEYGLSDSIENFSLSNREKMYKKILDISHKSAKKNQSGAGALVWQFLVSGMSEFIDDFGMVPWEKPSMYSLFIEHSCRLTKVNKGLTLHNPSFKHVC >RHN39445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7194250:7196469:-1 gene:gene45468 transcript:rna45468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator C3H-WRC/GRF family MMSASSRNRSLFTPNQWQELEQQALVFKYMVTGTPIPPDLIYSIKRSLDTSISSRIFPHPPIGWGCFEMGFGRKVDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVELVVSSSTTTPTNNTNTASSYSNRNISLNNNSSSINSPSSFPFSTSSMACHDQSQSFSQSYQNSSLNPYYYSQSITSTKPLDHSHFQTQDATTHHLFLDSTSYSQDDKDFRRQVQGIRDGTVDERTFFPEATGSSRSCYHDSYQQQLSMNPFKSYSSSQFQNINDDNSRQQQEQHCFVLGTDIKSTRTTNKDKESETTQKPLHHFFGEWTPKNTDSWLDLASNSRIPTDE >RHN58910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5876880:5877668:1 gene:gene20866 transcript:rna20866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MRITLVSLFSFLICYYSIYITFQITTIASAKCLEDQQSFLLQLKSSLMFKPEISNKLKLWNSSVDGCEWIGVACDSKGFVVGLDLSEESISGGFDNASSLFSLQHLQKLNLAANNFNSVIPSGFNKLVMLSYLNLSYANFVGQIPIEISQLTRLVTLDISSVNSYITGQGLKLEKPNLQKFVQNLTSLRKLYLDGVSIKAQGQEWRNALLPLPNLQVLSMSYCDLSGPLDSSLTRLKNLSVIILDGNNFSSQCHKHFPISKN >RHN52418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35122970:35127920:-1 gene:gene37094 transcript:rna37094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, leucine-rich repeat domain, L MERLQGWKVALSQAANFSGYHDSPPGYEYEFTGEIVKYISNKISRQPLHVANYPVGLQSQVQEVKSLLDERSDDGVHMVGLYGTGGLGKSTLAKAIYNFIADQFECSCFLENVRENSTSNKLKHLQEELLLKTLQLEIKFGGVSEGIPYIKERLHRKKVLLILDDVDNMKQLHALAGGPDWFGRGSKVIIATRDKHLLTCHGIKSMHKVEGLYGTEALELLRWMAFKSDNVPSGYEEILNRAVAYASGLPLVIEIVGSNLFGKNIEEWKYTLDGYDRIPNKEIQKILKVSYDSLEEEEQSVFLDIACCFKGYNWEDAKYTLHSHYGHSITHHLGVLAEKSLIDQYWEYRDYVMLHDLIEDMGKEVVRQESIKEPGERSRLCCQDDIVRVLRENTGTSKIEMIYMNLHSMESVIDKKGKAFKKMTKLKTLIIENGHFSGGLKYLPSSLRVLKWKGCLSKCLSSNILNKKFQNMKVLTLNYCEYLTHIPDVSGLSNLEKLSFTCCDNLITIHNSIGHLNKLEWLSAYGCRKLERFPPLGLASLKKLNLSGCESLDSFPELLCKMTKIDNILLISTSIRELPFSFQNLSELQELSVANGTLRFPKQNDKMYSIVFSNMTELTLMDCNLSDECLPILLKWFVNVTCLDLSYSNFKILPECLSECHHLVLITVRDCESLEEIRGIPPNLKWLSASECKSLSSSSKRKLMSQKLHEAGCTYFEFPNGTEQGIPDWFEHQSRGLTLSFWFRKEIPSITCIFILPEGFLDTNRVYVFVNGYIETEYCHNSLLTDHTTLFHTSKLNRFSKRQCEKELLKNEWIHVEFKLDDWDFKILSEEEKNKRLRSGQMGIHVWNEKSNTEEKNVVFTDPYIRKRKSDEHRNASLLQFHPPLKKQRLVKKQQHLALVSAMRNLVLNETKELEHHG >RHN54087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6829532:6831540:-1 gene:gene29070 transcript:rna29070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxolaurate decarboxylase MDTSHFVLVHGACHGAWCWYKIITLLKSAGHEVTSLDMAASGIHPKQVHELDSVTDYYEPLIEFLRSLPQDQRVILVGHSLGGMCISVAMELFPKKIAAAVFVTAFMPSPDLSFLTLLQEYQQRLDSSLDTKIMFDDSPNDKPNGSMLFGPQFLATKLYQLSPPEDLSLAMSLIRPVRSFADQELLGEKTSVTQNNYGTVAKVYIVCQQDKVLEHDFQLSMIERNPANDVKVIVDADHMAMFSKPKELFAYLQEIAGAYY >RHN62418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43295896:43300079:1 gene:gene24993 transcript:rna24993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-Pl-4 family MDETSNSWLRRTKFSHTVCHRLDYSRIGSFIIQQDAVPNSGLKSRTPSLVSSASVTAASAPASATATATAASAPPVVSKVSKVQKHPLTNKQRSLSPLPETSLSQTFKEAKHEQKRFSTPGPRRKEQDTRIMGKLLNKDSQVSKSKSPQKSPIRHFSSIRFSDKLKNRKDSGWTKYFDHGGGKVTAVETAEEWNVDLSKLFVGLRFAYGAHSRLYHGMYEEEPVAVKIIRVPDDDENGTMAATLEKQFITEVTLLSRLHHQNVLKFVAACRKPPVYCVITEYLLEGSLRAYLHKLEGKIISLQKLIAFSLDIARGMEYIHSQGVIHRDLKPENVLINGDSHLKIADFGIACEEAYCDLLADDPGTYRWMAPEMIRRKSYGRKVDVYSFGLILWEMLTGTIPYEDMTPIQAAFAVVNKNSRPIIPSNCPPAMRALIEQCWSLNPDKRPDFWQVVKVLEQFESSLARDGTLTLLQNPCGQDHKKGLLHWIQKLSPAHHSSGPVPKPKFT >RHN79955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33787796:33790271:-1 gene:gene3817 transcript:rna3817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-pyruvate monooxygenase MENLIRLVDNEEDAVSHRCIWVNGPIIVGAGPSGLATAACLKQQGVPFMVLERSNCIASLWQKRTYDRLKLHLPKQFCQLPNFPFPQEFPEYPTKKQFINYLESYAKHFEINPRFNECVQSAKYDETNGLWRVETVSNGNEVEYICRSLVVATGENAECVVPYIEGLGGEFKGEIIHACDYKSGESFRGKKVLVVGCGNSGMELSLDLCNHNASPSIVLRSSVHVLPREVFGKSTFGLAVMMMKWLPVWIVDKILLILAWLVFGNIEKYGLKRPLEGPLELKNTKGKTPVLDIGTLEKIRSGHIKVVPGIKRFTNGYVELVNGEKNQVDAVVLATGYRSNVPSWLKEGEFFSKNGFPKSPFPNGWKGTVGLYAVGFTKRGLSGSSYDAKQIAQDIAQVWKQEIKQKKHCTNACNKRCISQF >RHN45408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19945645:19950661:-1 gene:gene39731 transcript:rna39731 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKTHNYVVIVEVVMCTVQKEKSFTFFLLSSSLCSLLWMDCVQHIKVIIRSNR >RHN39057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3830144:3830700:-1 gene:gene45049 transcript:rna45049 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTITGSLVLVLLVTAILNGHIEAAGRGAEPPKDGSVYEPEGYGGFPLPPPPTFITCLFWYKLCLFWPLFCPDYQKMCLYYKATEEEAGPNAQTVAKSPNVP >RHN53113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42065733:42067754:1 gene:gene37878 transcript:rna37878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MFHAQAENMAKVSNFVCIMILFLALFFITMNDAARFECREDSHCVTRIKCVLPRKPECRNYACGCYDSNKYR >RHN75001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38633959:38639062:-1 gene:gene11147 transcript:rna11147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sodium/calcium exchanger membrane region, EF-hand domain pair MSLSFSYRPRSRSRRNHTPPFFFLFLGVVAILCSHTHARFSTRDLISDGSNVLRLPTEADSTCEQTYGFLPCTTTVLGNLFLILVYGFLMYTAATFLSNGSELLLEILGPGIVGGLFLPILGALPDAMLILVSGLSGSTEVAQSQVSVGMGLLAGSTVLLLTIIWGTCVIVGKCDIEDSIALDSTDTRGFHLTGSGVSTDIWTSYAARIMIISVIPFLIVQLPQILHSNSGRHLAVLIGFVVSVCLLISYCLYQIFQPWIQRRKLEYIKHKHVIVGLLRHLKMRSLGRLLKDDGQPDKEVIKKLFTTIDENKDGHLTHGEMRALVVGIQFEEIDLDHDDAVTKIMADFDTSRNQLIDEGEFVNGVCRWLLATQRSRVASGDAGPHTVKFLSDFHTETKREHDLLDVGGQSDEGAEGVENARWISIKAGLFLLLGSLIAAAFADPLVDVVDNFSDATSIPAFFISFIFLPLATNSSEAVSAIIFASRDKRQTASLTFSEIYGAVTMNNVLCLSVFLALVYVRGLTWDFSSEVLVILIVCIVMGAFASFRTVFPLWTSITAILLYPFSLALVYVLDYQFGWS >RHN81949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50061787:50064542:1 gene:gene6053 transcript:rna6053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein DMC1 MLASLKSEESSGQMQLVEREDMEDEEDLFEAIDKLISQGINAGDVKKLQDAGIFTCNGLMMHTKKNLTGIKGLSEAKVDKICEAAEKLVNSGYITGSDALLKRKSVVRITTGSQALDELLGGGIETLSITEAFGEFRSGKTQLAHTLCVSTQLPTSMHGGNGKVAYIDTEGTFRPDRIVAIAERFGMDPGAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFTGRGELAERQQKLAQMLSRLIKIAEEFNVAVYMTNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMFRKGKGEQRVCKVFDAPNLPEAEAISFIRLFPLKIIYSLNYK >RHN52214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32752863:32756413:-1 gene:gene36861 transcript:rna36861 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGPKKRRAARNKKEKENININLSSTNNPIQGNDDLKSKNEKGSDGGEYNSHTSAARPIASEVMSAKESCLESGNPGVISNGESLAEKNSKDDEFVKSDDSSPSNMAAVKETGSKSAAEDSTNSVKTAASVSEVEKSNTRSVFLENSVAPLQEVIDLAGRMNEDSVYPSTNENAKTSSFEEPNPKESDIKVLTPSSASPLNKFTNGAVHTKNSETPKSSKNQPSVSLTPNLVKKTSWLSCCGMFEILSGSNR >RHN52390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34735822:34738201:1 gene:gene37060 transcript:rna37060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylecgonone reductase METKSIPEVVLNSGHKMPMLGFGTGTVPLPPHHELIPAFINAIKVGYRHFDTAAYYGSEESLGQAIAQALEQGLIKNRSDIFVTTKLWCTESHPGLVFPALKNSLKLGLEYVDLYLIHFPVRLRQGVKAINYTNEDILPFDMKGTWKDMEQCANLGLAKSIGLSNFGVKKISEILEYATIPPALVQVEMNAAWHQEYLRKFCKEKGIHVSAWSPLGANGAPWGSLAVMDNPIIKDIAISSGKAIAQVALRWLIEQGATPIVKSFNKERMKKNIELFDWELSEVDLEKIKQVSQCRGFKGERFITENGPYKTTEDLFD >RHN66050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7991070:8002039:-1 gene:gene13961 transcript:rna13961 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEAVDRVHNFFGQENLSQGQYHSQAVDGNWPGISNNLWVGSQGSTGVPFISNLKNFNQQQSDSEQGHISSPHLRHSLNLSQSNLRPESGRNQMPNQQAAVSGYMQGQQVFQTRHNGANIMGMDTESHVNFDFFGGQQQVSGHQNGMLQPLPRQHSGINEMNLLQQQAILNQMQEQQRHQQFHKLEAKQQNSMAPDPSISKQTVKSHSASPINGIPVNEASNFMWQPDVMPTNANWLHRGASPVMHGSSNGLMLSPEQGQALRMMGLVHNQGDQSLYGVPIPGSGGAPNLYFHTQADKPAMPQVSFPQQYSHVHGNKPALPHIAAGSNSFPVHQYGAFSDQINTNDGTLVSRHDNQGKSMFGPTAHAINSRVNVENLQQGSSEQRIVPMQDFHGRQELAGSSEMSSQDKMLVQVPPSQNVATLDPTEEKILFGSDDSLWDGFGMNTGDFNMLDGTDSSSGFPSLQSGSWSALMQSAVAETSSSDMGIQEEWSGLGFQNMGQTSGKEQPSTTDVSKRQPLWADNNLQSPSNINSRPFVRPDDVSRPTTTENHCSVSGFHQSGLDTSDQQHDRSQTDSQRPIPQNLERGRWLDCSPQQKQISEGGHIYGNATNSSVIEKNEKVISDYWTHQPNISSCSGSGGPFSKSNGWDITKSAPFDSSSTFKTHENDKSLQHHHEKAMHEEMSQVPATWEPDSDTNLSVGSEHVKSTGNMQICREDSGVNGIAASPNSGPAWLSRQSSEKLPNVDVWRDAESAGSYKRNEVPGKYKHHMENPLILESSKNGKFESDADKADNSNKKEKSADGLGSNPSHSRDGCTRENANFDGNDLHSPKSSGQVHQRSSITRKVQYHPMGDLGVDVEHYGNNQVINSQPMNHQHLGGLADQGHSYNSLGQSKYGHCDRNDSETEKGDSESLDNNVSKSVLPTQIPKAMTSMDRSVGNYALQKTALPRVPEIESSDGFAVHPQWNRSYSSQGFSLQLAPPTQGPAMVFSRGSLDSGLTTPHMSETGDRGHTKLATNQTFPSQESSPGENRNNVSSTTGQVFDMASHYNVVGNIPQAFTSGFPFSKNHTQNQIMAHLGGQVANNQSASLNQIDEYGERAQASRPEMVSTQDMSMLSGTDQIRLRDRAIQILAAESGSQPSGTYGASLHGTPSKVIHNLWTSVSSRQHPNTLKVPSQPKQNDCEMKADSKNLGDQGQENDGNEFPAIGGSSAYSNSSVQNVLKEIPEQRTLSENAVGDEEVVVPSHLKEHVVKCVSDASQPSLAATSINNEALGRSLRPNNVLNHNFSLLGQVQSMRNMEIDPSNREAKRLKVSDNMDKQQVDSNYGQQLSYVVKDASGNNSSIPSNISHLSAKPHDGHDTNATSQEVIGDDQENYLNVSDSNKAISTRSGHSLINPQMAPSWFEQYGTFKNGATLPIYEAQKITATKMDQPFIIPNQSDSLHFQNSTERVNSLGDAQLGSTRHCPMLASVGSENVCSQLSIPMGEPDLHNLRPKKRKSATSELLSWHKELTQGSERLRDLSEAELLWAQTANRLIEKVEGGAGVVENLSATVKSKRRLVLTTQLMQQLLNPPPAAVLVEDAKVHHESVVYSVSRSTLGEAFSSISWSGCDTLPPGSKNLLPKKRTSSDNVDHCIFKVMDFAERTRKLEDDILRLDGRASILDLRVECQDLERFSVINRFAKFHARGQHDGAETSSSSDASANFQRLFPLKIVNAVPLPRNLPDRVQCLSL >RHN49307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53957710:53966836:-1 gene:gene44122 transcript:rna44122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysine methyltransferase, S-adenosyl-L-methionine-dependent methyltransferase MATDPSLTCCRYLLSAILAMEPSYCLISHAKACGEGSITEQVQQFILDHCLSTPSDFYAPYLKNFLKKLITQVESDHGSVLDKFYQLYAQYITSFKDDSLDKRDSRICKRISFLFPDGCSELQTCSHSSVLNFPLQCSINMLEGDTGCSIWPSSLFLSELILSHPELFSNKVCFEIGSGVGLVGLCLAHVKASKVILSDGDLSTLANMKFNLELNNLNVETGTAQRNEDTSAVKCMYLPWESASESQLQDIIPDVILGADVIYDPVCLPHLVRVITILLNQRNMDSSSKGLSPKCELENGEHYYKGAIDGSEGRYKSVNNDGCNGQPKEAPVAYIACVIRNIETFNYFLTLGDQANLHIEDLTELLKPMVLLPYMQSYNQADIKLLCITCSRKIVLQ >RHN42568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39401914:39405796:-1 gene:gene49021 transcript:rna49021 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTLRLYLTCIRNTLDAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSLRISLKVKQADELENILTKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEEMQKQKLIDFIVQFMEDIEKEISELKMSVNTRGRLVATEFLKQFI >RHN42206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36369810:36371501:-1 gene:gene48620 transcript:rna48620 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFLRLHRLPKSSGKLERLMQLEMSSSLRFKSLPIESGNSTKSVHEIRLKSSKFINFPISWGSEESLWQLVILSFFNEAMSHTPLGNATISLE >RHN74191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30040807:30043043:1 gene:gene10206 transcript:rna10206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MSSYTNGNGKVNNGDSSDTLSAMVLGSVMVLPAVLNAAIELKLFDIIATSNDGFMSVFEIASNLPNQHSDLPNRLDRVLCVLASYSLLSVSNRTNDDGTTLRVYGVTSSGKYFIDDENDGGYLGSFTPFTCHKALFGMWSNFKEVIVNEEIDLFKKVNGISMYEYFGKDSQINKIFNKSMTDTCNVEIRKIVEEYKGLEGVSTMVDVGGGSGQSLKTIIAKYPSIKGINFDLPQVIENAPPTPGIQHIGGSMFESVPQGDAILLKSVCHNWSDEQCIQILSNCHKALPPNGKVIIIELAKPDVPEPTDASRFIYGMDNIMFLTVGGKERTLKEYESLGKRSGFVKFQAACRVFSILEVMELYK >RHN47688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41588831:41592609:-1 gene:gene42314 transcript:rna42314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MADSILTFQPPPEQPPEQPPSSDLDFNDYGGEFNNTALPSFDSFFNDSDPDLDFLGDFEITFDDLDNLPIPSETDDYLFHDACNADGVPISHVIDNSPESGASVVSGDQSPGVSRFLNLDSVADDDEKENSADVKVLSFSLPETENENTENSYREREESSNGPASSQGSGNGGSGVYEAMNSPERDVSSFHENENVKEDVKLEGSVVKGCDLKRKKENSHESAENRTSKCSRRSLSMERTEQQQFQQQAQSGFDGIEDEDEKRKARLMRNRESAQLSRQRKKHYVEELEEKVRSMHSTITDLSSKITYVMAENATLRQQLSGGVMCPPPPPGAAMYPPHPGMAPMPYAWMPCAPYVVKPQGSQVPLVPIPRLKPQPTAAASKSKKSESKKSEVKTKKVASISLLGLFFCIMLFGGLVPLVDFKFGGLVDNVSGRSSYVSDRWFYGHGGGKIWPVNGHMNESGRNGEAGFPNGRFGISDRNNYERGRKLGEEMNDRKDSSCFGHRDNASEPLLASLYVPRNDKLVKIDGNLIIHSIMASEKAMASQDAQGKKEKSETGLAIRDSALAIPEVGRNRGQHPNVYRVSAEQRRAIGSGSTKTLKDHMKSSATDGKMQQWFREGIAGPMLSSGMCTEVFQFDVSPAPGAIVPAAAVANISSENRQNSTNVNKSRNRRILHTLPDPLPGTRLNITEERARNLPKDHLPGNKSSMVVSVLVDPKEVGDGDVDGMMAPKSLSRIFVVVLIDSVKYVTYSCGLPRVSPLVTAYV >RHN79250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26642173:26643642:-1 gene:gene3010 transcript:rna3010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-25/LEA-D113 MQAARKAVETIKETAANIGASAKSGLEKTKATVQEKNERMSASDPMQKEMATDKKEERIHQAEMEKQEARDHNAKMSASAVGHVTEGGHPHTHTTTGPTTETATGYSTIGDYGSGQSLATDHSSTTPGLGYGLGLGHGLGNEEVVMGSHPIETNTGIGGTTKAHSVRVHGTAPGSGPTTNN >RHN81722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48461520:48463859:1 gene:gene5805 transcript:rna5805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MAASFKKVSVILFPLFFISLFKSSRAAGNGVYWGQNGNEGSLADACNTNNYKFVNIAFLSTFGNGQNPTLNLAGHCDPASNGCTNFSKDIQTCQSKGIKVLLSIGGGTGSYSLYSSYDASQLANYLWNNFLGGTSSSRPLGDAVLDGIDFDIEAGDGQYWDELAKALDGFSSQKKVYLSAAPQCPYPDAHLDSAIKTGLFDYVWVQFYNNPQCQYSSGNTNNLVDAWNQWTSSQAKQVFLGVPANDAAAPSGGFIPSDVLISQVLPAIKGSAKYGGVMIWDRFNDGQSGYSNTIKGINHGQSGSCKVNGLVINIYFEGRLQHSISPV >RHN60283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24636424:24640440:1 gene:gene22568 transcript:rna22568 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTILILIKIIVLLQVQLVSWLVSNPDSLITRLLKAKYLLEFVIMEFLYATIPPTFSALSGV >RHN54653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11072557:11075533:-1 gene:gene29724 transcript:rna29724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FERM/acyl-CoA-binding protein, 3-helical bundle MGLQEDFEQHAAKVKTLTESPSNEDLLILYGLYKQATVGNVDTARPGMFNMRDRAKWDAWKAVEGKSKEEAMSDYITKVKQLLEAAGVAV >RHN64166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57062660:57064535:-1 gene:gene26953 transcript:rna26953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MEEKTKMDMEEGEEKALTIYFIPYLAPGHMIPLCDIATLFALRRQKVTIITTPSNAQILGKSIPLNQHLRIHTVPFPSHEVGLPDGVESLSAATDLENLRKIFQATTLLQPPIQHFVEQHPPDCIVADFLFPWVDELANKLQIPRLSFNGFSLFAICAIESVKAHSLYESASFVIPGLPHSIAMNAAPPKQMSDLLEALLETVFKSNGIIVNNFSELDGEEYIEHYEKTTGHKAWHLGPASLIRRTVQEKAQRGQQSVVSVHECLSWLDSKPDNSVLYICFGSLCLFPDKQLYEIACGIEASGHKFIWVVPEKKGKEDESEEEKGKWLPKGFEERNIGKKKGLIIRGWAPQVMILSHKALGAFMTHCGWNSTVEAVSAGVPMITWPVHGEQFYNEKLITQVRRIGVVVGAAEWSSTGIGEREKVVGRDSIEKAVRRLMDGGDEAEKIKKYAREFGDKAKHAAQEGGSSHRNLTAVIDDLKILRDRKLRV >RHN55500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19074816:19077473:-1 gene:gene30690 transcript:rna30690 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLPSFIQISTFCEKLSTPQKKVQLGITKNDTKFSCSLSSHHSYNILQKSVPLAASIAILLWSTPAHAGFMSGISGLESVPGPELPQIDFLKRFNEENQKKYAENDARFKETPLVKKLLEQSKLNKEKNSKEIENKYCLRGAEWGVGDCSAEGMTPEARDKFIAMLKEKVGEK >RHN56529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31665384:31669833:1 gene:gene31942 transcript:rna31942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADALLGVVFENLTALHQNEFSTISGIKSKAQKLSDNLVHIKAVLEDAEKKQFKELSIKLWLQDLKDAVYVLDDILDEYSIESCRLRGFTSFKPKNIKFRHEIGNRLKEITRRLDNIAERKNKFSLQMGGTLREIPDQVAEGRQTGSIIAEPKVFGREVDKEKIVEFLLTQAKDSDFLSVYPIVGLGGVGKTTLVQLVYNDVRVSGNFEKKIWVCVSETFSVKRILCSIIESITLEKCPDFDYAVMEGKVQGLLQGKIYLLILDDVWNQNEQLESGLTQDRWNRLKSVLSCGSKGSSILVSTRDEDVASIMGTWESHRLSGLSDSDCWLLFKQHAFKRNKEEDTKLVEIGKEIVKKCNGLPLAAKALGGLMVSMNEEKEWLDIKDSELWDLPHEKSILPALSLSYFYLTPTLKQCFSFCAIFPKDREILKEELIQLWMANGFIAKRNLEVEDVGNMVWKELYKKSFFQDSKMDEYSGDISFKMHDLVHDLAQSVMGQECMCLENKNTTNLSKSTHHIGFDSNNFLSFDENAFKKVESLRTLFDMKKYYFLRKKDDHFPLSSSLRVLSTSSLQIPIWSLIHLRYLELTYLDIEKLPNSIYNLQKLEILKIKRCDKLSCLPKRLACLQNLRHIVIEECRSLSLMFPNIGKLSCLRTLSVYIVSLEKGNSLTELRDLNLGGKLHIQGLNNVGRLSEAEAANLMGKKDLHQLCLSWISQQESIISAEQVLEELQPHSNLNSLTVNFYEGLSLPSWISLLSNLISLNLWNCNKIVLLQLLGKLPSLKNLRVYRMNNLKYLDDDESEDGMEVRVFPSLEVLYLQRLPNIEGLLKVERGEMFPCLSNLTISYCPKIGLPCLPSLKDLYVEGCNNELLRSISTFRGLTQLILYEGEGITSFPEGMFKNLTSLQSLSIISCNELESLPEQNWEGLQSLRTLQIYSCEGLRCLPEGIRHLTSLELLTIINCPTLEERCKEGTGEDWDKIAHIPNIQFK >RHN43702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47838780:47843846:-1 gene:gene50318 transcript:rna50318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MQRLADNVIAVTKESVKTFTYESLNNIVRFINGVSALLLALLPGKANILEGIQGWELRPTFRGPRFPRWMENGVSSFNQFIHELAVDSDVSSVEYSSGEEYDEDDDDDGYDYPETPSSAGSRASSRASFTNYSRNHVDWIQYILLWILVSVKFLLGIPFRLFQLVYSGLSKPRSISENQHAAAQSHLHAKVQSLKDQIIHRTTDRRRGIIEDLHLAMEIFIEAVFDFVHKAVHLLLSPSEAFGKLSRLFSSQERGVEDDDNVVENATVYTATLGENVPTPTERNTDFRQSFSTDARTCQDVITELGYPYEAIHVITADGYVLLLERIPRRDARKAVYLQHGVFDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNFRGLVSREHINKNISSREYWRYSINEHGTEDIPAMIEKIHQVKTAELKLSNPNIEKDNNDDQLYKLCAISHSLGGASMLMYVITRRIEEKPHRLSRLILLSPAGFHHDSNMVFSVVERVIFFLAPVLSRIFPAFYIPTRFFRMLVNKLARDLQNLPAVGGLVQTLVGYVLGGDSSNWVGVLGTPHYNMNDMPAVSFYVGLHLAQIKRTRRFRMFDYGSPSANREVYGSPEPLDLGEHYWLIDIPVDLVAGQKDKVVRPSMVKRHYKLMKEVGVDVSFNEFEYAHLDFTFSHHEELLSYVMSRLFLVETNSKHEVNRRSLRLRKKAQVSATG >RHN75685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44286006:44287540:-1 gene:gene11922 transcript:rna11922 gene_biotype:protein_coding transcript_biotype:protein_coding MYYFVTLNKTRDVSFILFLSINKITKCYLLYDNFSLIIECLSFELCNNLQACIHVVPHTNLFYLVGISIWFLSIIYLISGVPGAYVMWYRPLYRATRTDSALKFGWFFLCYSASFIFIFHRIAPPIIFKGKSLAGILPAIEIMTYNPVVGILYFVGFAFFAIETLLSIWVIQQVYMYFRGSGKAAQMKREAARGAMMTAL >RHN60300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24913765:24914409:1 gene:gene22592 transcript:rna22592 gene_biotype:protein_coding transcript_biotype:protein_coding MISKCFKIFLLVSIISLIIVSIVIILVFTTFEAKNPVVHLYPVDLDKVEFFTPGVTIVNLTMIISVMNPNYGIYKTENTTGFVSYQKTVVAMVPVDAQTLPGHATTNVSVTAGLMSHKLMTNENFVADVGDGSFNMTTRTTFHGKVHLLKIIKIKALVNMYCDILFKMDPMDAVSSCVTHMRVL >RHN58439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1752622:1753527:1 gene:gene20354 transcript:rna20354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MAAKFYLPEDCWEHVFSLLGDKTDEDDPYKYVSLVSKQFLSITNRLRCYLSVENHHTPPLLRRLFHRFTITDLDLSSYNGNLNVLLRKISHFPLKITSLDLSDQPMIPTKGLQCFSQKITTLTSLTCFSIHAIHYTALILIVDCFPNLEHLALNDCDDISEEGIDHVLRRCCKMTHLDLFGCSNLKMMILFEVPQLKVLNLSMTRVNDDALFVISKNCRGILKLLLENCHDVTEKGVRHVVENCAQLQKINLRNCSIIVNSDVLVSLILSRPSLKNVTTPDHYRFSDKEMELLLRQGCNVY >RHN48711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49628509:49631551:-1 gene:gene43454 transcript:rna43454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L40e MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKTICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >RHN62513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43997043:43997777:-1 gene:gene25100 transcript:rna25100 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDLDQQPPTDYMNVDCMQSKSLKLRLLNKEQRQISPNKMTS >RHN40416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16071248:16071379:1 gene:gene46564 transcript:rna46564 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVPRLVLYHELVLTTKECMIPRTYIEDSSSKKIPRGCGLA >RHN42229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36539818:36541128:-1 gene:gene48645 transcript:rna48645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MFQKNISSFIALLLLHIIMAREIIRPCAACKHLRQECDSSCDLAPYFPPDNPERFIKVHSVFGKNNVSNMLKKVDASHHEQAIESFVYEAEARLRDPVYGCAGPAKDLQRRLNEVQMELKSVKNELAKYLNPQIVEFVLGFPDCFGFKPNASAPTMTIGAGQLAFNQLPAAELTTQQIHNLEVLKQNLLSGPDGDDGLAGFHMDVNVPVENGGINTSSHPVGGGSVNGSGGSTRFQMGADILVRDSGLAGSHMLPDVPPADAPSALPSSRADTIDNGGTSNQ >RHN54715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11537135:11537404:1 gene:gene29793 transcript:rna29793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S13 MNMRAGKLSAAELDNIMTVVANPRQFKVPYWFLNRKKDYKDGKFSQVVSNQLDMKLRDDLERLKKIRNHRGLRHYWGLCVRGQHTTTTG >RHN41294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28939240:28939599:1 gene:gene47601 transcript:rna47601 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLNFHKISLRPPWSIFIISQTPKIIFKSNQCHWKANTIIYNFHVYTKSQFKTETCEKDARTRNRGCCQSAAREASKFTRCGELRRTNLREQTRFHSKSPNFINPNLIGNSTYVYSTA >RHN75271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40818555:40818826:-1 gene:gene11447 transcript:rna11447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-alpha MVDTKVHINIVFIGHVNSGKSTTAGHLIYKLGGIEKSAIEALGKEAAEMKKRSFKYAWVLDKLNAERERGITIDISMQV >RHN63928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55095704:55097721:-1 gene:gene26684 transcript:rna26684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MAAESGYRDIIICNSGIKFDYETNLHPTTLFASIGQAGFGFAVSPNPPTLVTTRDSATKRPILNSSMKYALIPEPTFQTPSFQGLLNGAALKVVEESLGMMKGRNLKGFSMKFKIGNPSLRRLISGAIAGAVSRTVVAPLETIRTHLMVGSCGHNTTHEVFQSIMEVDGWKGLFRGNLVNIIRVAPSKAIELFAYDTVKKQLSPKPGEKPTIPIPASSIAGAVAGVSSTLCTYPLELLKTRLTVQRGVYKNFVDAFLRIVREEGPAELYRGLTPSLIGVIPYAATNYLAYDTLRKAYKKAFNKEEVGNVMTLLMGSAAGAFSCSTTFPLEVARKHMQAGALNGRQYSNMLQALMSILEKEGLAGLYRGLGPSCLKLVPAAGISFMCYEACKRLLVENE >RHN81986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50357698:50358545:-1 gene:gene6098 transcript:rna6098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Lin-54 family protein MQDDALFDYLNSLSPLKSKKYDGVGATTTLNSLGMDYSLLNSPDPIYLKDYTRNNLLDKSMHKVSSGNVNKIYSHEEALKNSSHASRHSSERHHPLGLLGKKDSVEGGTVIREVGQKNTNKSRNNLANVALMDINPIQRKGDDEVSYRRCTSNINSCSERSCQGYESETTNLQRNNSVYTSNINSCSAKSNERNASNEKTLLPNENTSDSKIRVLQGNGIYLNALPALKHGKEEESVDFCRANPKRKKEM >RHN39313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5870044:5870597:-1 gene:gene45323 transcript:rna45323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MAHHSCCSKEKVNRGLWSPEEDEKLIKYITTFGQDCCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSYEEVAIIIELHSILGNRYIHYNCSSSFPPMLFSCQSLPFIILKVQKDLSFSNKCLSYSIRTLNLFFSNF >RHN48426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47397309:47399653:1 gene:gene43140 transcript:rna43140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MLMKYETRLLNYPFWENEVLGILVWYQAYIRDTPLSSLTKNFQNALTISEAFPVILSGPHGAEVTSSFATVPNNCHISYPCLNTQFIKNEREACLKDFPAKYLTVDPFSSLHAIEGYLWPKVRMENPKQDNTKQEQLLNVAGQQLEKKHKKLVFYLDGQELVHELSLYQTLLCQMQKQDKTFSVAKLWNQVHALCFRRAVESNITILPEYVSNIETAATLFLLKKVHAYSVGEVKIPSNLKSPLPSFEQDEFLNKKLIEKLEQQMGDPLALCIGAMPFWCYQLMISYPFLFSYVTRCKFFKLATYGQPQNQAQGSNSNPRTMRDRRLSLSGLPRKKCLVFRDRILESAAQMMNQNASRKVVLEVEYDGEVGSGFGPTLEFYTLVCKEFQNPGLGLWREDTQYGLFPRPWLKMQDESDGLKISEVQKKFVLLGQVVAKAIQDGRHLDLHISKAFHKLICGKSFDPELGSTLLEFHALINRKKQLESDCKENSMLESDLTFRNSKIEDLCLDFSLPGYDQTFLLPFSIY >RHN46133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29045464:29048087:-1 gene:gene40576 transcript:rna40576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MIDSSMSKTMMEKIMSSNWSENDELLRELLVDESPLLMLPHERVSEVANLSHDSSKDQAFNRFISNIYSGPTISDIENALLVTNHSNQFQQISSTRVSILERSLSKIENKYTLKIKCFGNGLGDDGYRWRKYGQKSIKNSPNPRSYYRCTNPRCGAKKQVERSNEDPDTLIITYEGLHLHFAYPYFIVGQSHQSQSHPPMKKSKATSSQGPTQEHKASEVHEGQNIQEVHETQSRTSLGLISSVLLDRTQDTVQENFGSEGLLEDMVPFMVRNPTNNVDGDDYSKFSFSSHLSPPASWTSGFSTSTSCYAVGLNPSV >RHN59928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14871677:14872737:1 gene:gene22098 transcript:rna22098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MLSRVTNQPLKIFSLNCRFMERKQNSSFNLKKWLEATKRHCIEEFHLNLHFHHTLKPVVFISQTFVVLKLQRLDIEKDTSCVDLPSLKTLNLEYVSFENWNDYINFLYGCPILEDLQVEDISIRTLTKHYENNVPDVGFKSLTLAKLVRASIDSKDAHFNGIDNVEFLRIIKGYGSKEECFEFIPVFSNLVHIELVFWYHSIHSWDGVVELLRHSPRLQILFIKKWRKTRSSKEWKCPISNLECVSSHLRSCTILNFDNSANDLRFAKYILQNARILQDMKIGFVIKSLNEILLEKGQIKEELSSFSRISRGCKLSFEFKFIY >RHN58227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:79559:89628:1 gene:gene20114 transcript:rna20114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative YTH domain-containing protein MAATQPPPQPPLDRPTTGDISTQPDNMKDQIVGTTTIGHSRDITSQSGSFGSGADQPLYPPNVYAPQAQAFYYGGFDNGNGEWDEYPSYVNNGGIEIGSPGVYNENQSLVFHSGYGFNPQMPYGPYSPVTSPLPSVGGDAQLYSPQQFPYTPPYYNQLVPPPNLPYLNSPTPVSQPELTNLLGIDQQVESNFFGPRASYPSVGSFARGSFPVAPGSFSFHESQQGFDGSRSGGLWSDSSKPSERQRSFMPLSPSVPQQPIGSVRSFGPSAGMASHQQRSLYGFGSSSNPYGRGYLSNPGSSFGGSAISGLSANDRSFLSLENSRRHGRETASFCRCNGTLDILSEQNRGPRASKLKNHISSENNAIDGSKNNASTAKFQDESLNRPDFATDFKDAKFFVIKSYSEDNVHKSIKYGVWASTPNGNRKLDAAYCQAKEKQDACRIFLFFSVNASAQFCGVAEMVGPVNFDKSVDFWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLQQGIEMLTIFKNYETDTSILDDFDFYEDRQKAMQERKARQQSSIMPTGLVGGSEHRSSSDSTGDFIKQVSKNFSLVVRLDDNNNEVIAANRDKLASDVPTGNVFKPGEGILVTASSMQTS >RHN72842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11196237:11201592:1 gene:gene8603 transcript:rna8603 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRSVRRTGQRSNNNLNGYLKLLCSINFFRLQHYTYTTVYALKS >RHN69805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46392365:46394138:-1 gene:gene18321 transcript:rna18321 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLGIGNNRLTAGVGIQKRCCDVNRVVTCSVAIGDWTRSTVNKGRISAVATATTTTVTANKMIVERNGKNRGGTTNEAIQAQERLDRWMRESVVEIVKNLKEAPLLVQIYSKKKDETTKLATEKVEDWEAVKERWETGETPMPEGVIFVEELGEDEAEDGGERGLKERTTKMWGVVVQGKAGLGLDRVQEWVFSRPIFVW >RHN81342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45038532:45038816:-1 gene:gene5364 transcript:rna5364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MINPSIEYFNLSSLVTLYLSGNNFTSNLPNGFFNLTKDITSLDLAQNNIYGEIPSSLLNLQNLRHLDLSEKQLQGSVSHGIGQLANTLIFPSTC >RHN80704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40239909:40245698:-1 gene:gene4661 transcript:rna4661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Seed maturation protein MSQEQPRREEEGIKYGDVFNVEGDIGSRTVAPVDAAMMQKAETQMHGKTQKGGAAASMQSAAMKNERDGIVGHNDMSENAADGGVVEQLSQNAPMNTMTPASLVKDMSAGADGGVTIGEALEATALTAGQKPVEWSDAAAIQAAEVRATGRTNIVPGGVAAAAQSAATLNARTTKEEDKTKLGDILADATTKLPADRAATRRDAEGVTGAEMRNDPYLTTHPGGVSASMAAAARLNQKNNN >RHN54450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9694458:9694670:-1 gene:gene29494 transcript:rna29494 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELFHSVVMESKVGEFCFLAVMLDMNYTLFIETLRECFS >RHN42525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39023080:39026092:-1 gene:gene48973 transcript:rna48973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MFVKLKSLVLLHKPYAMLSLKLLRSLPLNSNSLHSFLCSFSSLSTPINQSSNEETTFLFNLLNSNSKLHKSESIYVSKLVLGITSPEKPLSVINFFKQIGFSQTQIHSIIHQRTQVLFSKVDKTLKPKVELFQQLGFQGSQLGHFLSKNPNILIASLNKTLVPSVEVIKKFVRNEKDLNRVLYKCGWILPQYRLFVANIAFLESCGIVGNQVLIILKCYSRILIEPQSRIRNYISQAEDLGFPQNSRMLVHALHTLYCLSHKTFEKKLDFIQHFGFSKDQSLRMFKHVPALLRSSEKKLKVGIEFFLHTVMLPKSTLVSQPAILMYSMEDRVFPRFRVYQLLKSQNLCQKLPSFVTVLSFSEDMFLDRFISRCKEKAEALVIAYKGHCLEICAIERKEGY >RHN65665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4217790:4218938:-1 gene:gene13533 transcript:rna13533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAYSGSDRNDKKLHLVCYSLHELLPFPTLPSDVIPEIICRLPVKFILRFRCVCKSWNSLISDPKFVKKQLCVSTTRNLHFRNYAYDSRKYILTSYPLDSDFTDITSNFTQSDWPYAKFYRFIGSCNGIVCLADNEYTSLVICWNPSTRKFKELPLFEKPTTGLNVMTFGFGYDSSKDNYKVVVVLDYQFLDEDYSFVNKTQVMVHTLGTNIWRTIQEYPFGGLPVPVKKGEFVSGTINWLFSEESLECPCFIVSFDLAKESYQKISPPNLGGVDVGDLSVLGVLRDCLCVTTSGYDVWLMKEYGNKESWTKLFTIPYMRDPSKPKVDARAIYVFEDDQVLLKYDFDLNLILYNPRRGTFKATNFKRIPEVCVESLISPSSLC >RHN54466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9834275:9835415:1 gene:gene29516 transcript:rna29516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MMNEQPLMEVREDFMISPTGHSEATLRMAHFLKPISNSIHEPSPFGFNPSSLSYVFDPNEWPLKFHFNGWRYPQEKWIYWVDQLKPKYESLWKKVGIFDAIMSTKCRIMKDQNLLYGVVEKWCCKTNTFVFPFGEATISLEDVMVLGGYPVIGDPIFIDVKGREMREVEEKLILARQKLGESNRCHATASKWMDFFIDKGSEIEHEAFIATWLSIFVLTHKSLVKSSLFSIAIHLARGNSIALAPAVLASIYNDLSLFKKTIVNLSKLSVGGDRYPLEVTLQSPFYLVQIWVWERFQNLQPEPMLINHGDPLLFR >RHN40431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16239610:16241251:1 gene:gene46580 transcript:rna46580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-PERK-2 family MTNAVFRSKSSSNLTKIVCHQLHCQIIQTPEDKDLDMARGYCLLPTPDSQKTSVLEMRHKDTTFEHIRNHKTYQIVENFKNSVCSVCDNRRPKFELMKEFTYGELHEATHGFSPKNYLSEGGFGSVYWGKMQGLKIAVKKHKFASLQGEKEFKSEVNALNKAIHENVVMLLGSCSEGKNRFLVYEFVCNGSLDQHLSQHSRKPLNWAARIKVAIGAAKGLLYLHENNIIHRDMRPSNILVTHDFEAMIGDFGLARTEQMDSVYSTDVVGTLGYMAPEYAESGKVSTKSDVYSFGVVLLQLITGMRTTDKRIGHKSLVGWVTIFIKLALLMNEYSIYFCSITLLNQNTMSWFFTDTYIQCDVAIIIFSIDILMENTL >RHN39648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9065393:9070388:-1 gene:gene45690 transcript:rna45690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MMSNKVPPRPRTRVGKYEMGKTIGEGSFAKVKLAKNVENGDYVAIKILDRNHVLKHNMMDQLKREISAMKIINHPNVIKIFEVMASKTKIYIVLELVNGGELFDKIATHGRLKEDEARSYFQQLINAVDYCHSRGVYHRDLKPENLLLDTNGVLKVSDFGLSTYSQQEDELLRTACGTPNYVAPEVLNDRGYVGSSSDIWSCGVILFVLMAGYLPFDEPNLIALYRKIGRADFKCPSWFSPEAKKLLTSILNPNPLTRIKIPEILEDEWFRKGYKPACFTEEEDVNVDDVAAAFNDSRENLVTETKEKPVSMNAFELISRSESFNLDNLFEREKGVVKRETHFTSQRPANEIMSKIEEAAKPLGFNVHKRNYKMKLQGDKSGRKGHLSVATEVFEVAPSLHMVELRKTRGDTLEFHKFYKTFSSGLQDIVWNTDKK >RHN40012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12270496:12273416:-1 gene:gene46101 transcript:rna46101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAMASSSSSPPLKKHDVFISFRGEDTRTNFTSFLHAALCKNHIETYIDYRIEKGEEVWEELERAIKASALFLVVFSENYASSTWCLNELVEIMKCKKNDEDNVVVIPVFYRIEPSHVRKQTGSYHTALAKQKKQGKDKIQRWKNALFEVANLSGFDSSTYRTESDLIGDIIKAVLQKLNQKYTNELRCLFIPDEDYSSIESFLKDDSREVRTIGIWGMGGIGKTTLAAAIFQKVSSRYEGSCFLENVTEESKRHGLSYTYNRLLSKLLGEDLHIETPKVISSMVMKRLKRMKAFIVLDDVRILELLNNLIGAGHDCLGAGSRVIVTTRDKYVLTGGGIDEIHEVEKMNSQNSIRLFSLNAFNKILPNEGYEEISNNVVSYTEGNPLALKVLGSFLRTKSKKEWNSALNKLKKIPNAEIQKILE >RHN54180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7605505:7608899:1 gene:gene29177 transcript:rna29177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4a-hydroxytetrahydrobiopterin dehydratase transcription coactivator Tc-PD family MRSFKAMNRILLNLRHPLLPLSTTLPSPSLFSQFSPKRFMDSNTHHNQIPVSSLTTFSTPNKDSNDLSTKTCVPCNAKDLQPMTQDAANALIAQVSDWNLVNESGTLKLSRSWKVKSFNKGLEFFRIIADLAEAEGHHPDLHLVGWNNVTVEIWTHSVGGLTENDFILAAKINELNVHGLLRRKASD >RHN71417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58848891:58855312:-1 gene:gene20098 transcript:rna20098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative organic solute transporter subunit alpha/Transmembrane protein MTELVYSPPVWATVIGAAFLLTTVTLSLYLLLEHLSSYKNPEEQKFLIGVILMVPCYSIESFVSLVNPSISVDCAILRDCYESFAMYCFGRYLVACLGGEDRTLDFMEKEGRATFKTPLLRHYHSSHSPGIVKHPFPIKYFLKPWILGPRFYQIVKFGIVQYMIIKSFTAILAVILEAFGVYCEGEFKLGCGYPYVAVVLNFSQSWALYCLVQFYTVTKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLYTFGLFKSPIAQGLQFKSSVQDFIICIEMGIASIVHLYVFPAKPYELMGDRLPGSVSVLGDYSADCPLDPDEIRDSERPTKLRLPAPDVDAKSGMTIRESVRDVVIGGGGYIVKDVKFTVHQAVEPVEKGITRFNEKLYRISQNIKKHDKDKRRIKDDSCIVSSSPARRVIRGIDDPLLNGSVSDSGMSRGKKHRRKSGYTSGESGGESSSDQTYGGYQVRGHRWVTKE >RHN66972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22294849:22296080:-1 gene:gene15099 transcript:rna15099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MGGTGKTTLAIKVGIELKESGQFTYAIVTTVSSTPDIKKIQDDIAGPLGLELKDINESDRPGKLWDRLRNGEKILLILDDVWDQNPLLTFDAIGIPKRDNRKGCRVLVTTRSKIMNFDKSIELELLSEDEAWNMFQWYADISKSSPKYVIDKGCKIAKECKQLPIAIVGIASSLKGQQNRVDEWDVTLKSLKKPVSMQDVDPDKVGIFKCLKVSYDNLKNEKAKKLFLLCSVFQEDEEVSIEDLTTISIGAGLFGEDYDTYDDARKDVTVAKNKLLDSCLLSKVRENFVKMHDLIRDVAQWIARKEIRGVNLSNKNQKSLIEKETNIKCLLFKGSYKDLYFCKFDASKLETLIVIENSEENYYMMEIPNSFFENIVKLRVLYLSSYEYSIYDGS >RHN40902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24102883:24103911:1 gene:gene47147 transcript:rna47147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MRAKIHVYGQLQRSIYLYMENTGGVRKGAWTYKEDELLKACINTYGEGKWNLVPQRSGLNRCRKSCRLRWLNYLSPNINRGRFSEDEEDLILRLHKLLGNRWSLIAGRLPGRTANDVKNYWHTNLAKKVVSEKEEEKENDKPKETMKAHEVIKPRPITLSSHSNWLKGKNSIPRDLDYSENMASNQIGRECASTSKPDLGNAPIPCEMWCDSLWNLGEHVDSEKIGSCSSLQEENLMEFPNVDDDSFWDFNLCDLNSLWDLP >RHN54660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11101247:11106417:-1 gene:gene29732 transcript:rna29732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MESSGEHERFSVREVHANGSRMAASEVEAKLDEGNIQEAESALREGLSLNFEEARALLGKLEYQRGNVEGALRVFDGIDLHAAIQRLQPSFSEKSPVKKGRTRTESPSSVSQHAATLVLEAIYLKAKSQQKLGKFAEAANDCKRILDAVEKIFYQGIPDFQVDGKLQEIVSHAVELLPELWKQGGCYDEAISAYRRALLSQWNLDNDCCSRIQKSFVVFLLYSGVEASPPSLAVQVDGSYVPKNNLEEAILLLMILIRKFGHGKIKWDPSIMEHLTFALSVCSQTSVLAMQFEDLMPGVYHRIDRWNSLALCHCAAGQNVSALNLLRKSLHKHERPDDLTSLLLAAKICSENTCLAGEGVGHAQRAIKNAHGPNEHLKGVALRMLGLCLGKQAKVASSDFERSRFQSKALESLEEGTRLEKNNSDLIFELAVQYAEHRNLTSALRSARHFFNETGGSVVKAWILLALILSAQQRFPEAEVVTDAALDQTVRWEQGPLLKLKAKLKISQSKPMDAIETYRYLLALVQAQRKSFGSFQISSKVEDDKVNEFDIWHGLANLYASLSRWKDAEICLQKARELKEYSVEILRTEGKTKSFVLFKFFRSSMLDL >RHN79687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31244848:31245140:1 gene:gene3511 transcript:rna3511 gene_biotype:protein_coding transcript_biotype:protein_coding MIRICSDIDPDLCCWVRTCIDLRCLCCYCVFLEMIEVHVFLILFI >RHN80953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42164755:42174073:-1 gene:gene4939 transcript:rna4939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MGYLIAIAIVTFTIMMMSKIWRVCVILFWRPYAMTRHFRKQGVIGPPYSLVSGSLHDIKTMMKDARNMVMDKHSNDITQRVLPHYQIWSSLYGERFLYWYGTEPRICISDVELAKEILSNKFGFYAKPKTRPSIVTMIGEGLAIVNGVEWVRRRRILNPAFSMDKLKVMISRMAACTISMLEEWKKQAIETKEKSKKIEMTEEFRELTANIIAHTAFGTSFVHGREAFDAQTQLHKHCVASNSDVFIPGTQYFPTKSNIEIWKLDRKMKKSLQCIIESRLQNSQSDCSYGDDLLGVMMDTEKTNDHGSKKLKMNEIMDECKTFFFAGHETTSNLLNWTVFLLSLHKDWQDKLRQEVQQICGMEIPDADMLSKLKMVNMVLLEALRLYCPAIQLERVASQDMKLGNLIIPQGTCLTIPITMIHTSKKYWGEDANEFNPMRFINGISKASNHPNALLAFSVGPRNCIGQNFAMLEAKTVMTLILQRFSWSLSSDYEHAPVNNLTLQPQHGLPIIIKPLQL >RHN72963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12170344:12172777:1 gene:gene8732 transcript:rna8732 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEWPSRKKSKVAIKRVCHQAPKQDDISMAVAPVTPSDDEIVDVQTLQVSRDMLQIPGPKRSIVSSAL >RHN63213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49423918:49429075:1 gene:gene25889 transcript:rna25889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminocyclopropanecarboxylate oxidase MAGTATVNLPIINLSSPDRLSSANSIRQACVEYGFFYLVNHGVDKDFMKQAFEQSAKFFSLPIEDKIKLNRKEYRGYTPLYAEKLDPSLSKGDPKESYYIGSLEDTTSVRLNQWPSDKLLPNWKPTMESLFWKTLSAGKELLSLIALSLNLDEDYFQKISASNKPDAFLRLLRYPGELGCCGASAHSDYGMITLLITNGVPGLQICKEKLKQPQVWEDVSHVEGAIIVNIGDIMERWTNCVYQSTLHRVMPTGKERYSVAFYMDPPSDCIVKCFESCCSESSPPRFPPIRSADYFNERFKLIYGSEKDLKWSL >RHN45499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21552801:21553029:-1 gene:gene39849 transcript:rna39849 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTTSLFFPNPFTSQTNKKRLTAKKNLLTLISDQDRGLKTQKIPPS >RHN58538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2629469:2630284:-1 gene:gene20460 transcript:rna20460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MQAGEASSKMAKAASTRSCLPEKLWEHILKFLNDEDNNIFNSFHRNGTLRVTHHHRSFRSLSLVSKQFLSITNRLRFSVTISDATIPFLNRLFERFPNITSINITLSSRYRNLEVDLSELLGQISTFPLDLKSLALYEPIRVPANELRALSGTMKNLTSLTCYRMRFINKNDLFFIADCFPLLDELILTDNGNPQNYVLDNDDQLLVLPKLRKIALSLNFIGNHSVKDLCKNCDLLQEAKVIRGTAARYATASSLMGPQYQLASRCRSRAP >RHN76598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51557949:51560290:1 gene:gene12948 transcript:rna12948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MDFSSSWINTSLDLNINPRTRVHQQAAPVSFKKYEVENNFFSLGMMSISSAKEESSVALVEELKRVSAENKKLTGMLTEMCENYNTLRNNLMEYMRKNPDHKEKRKSDEISSSNNLMGGGVTGNNSESSSSDEDQSCKKPRQIVETCIKAKVSRVYFKTESSDSSLIVKDGYQWRKYGQKVTRDNPSPRAYFKCSFAPSCTVKKKVQRSVDDPSVVVATYEGEHNHPHPSQTEPTNNRCRNQISPVAPSSSAPSTVTLDWTKKAPSSAPSKNMMINNPKMEVPQILVEQMATSLTKDLNFRAALAAAISGKMLHQN >RHN71243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57556042:57559972:-1 gene:gene19899 transcript:rna19899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller MFSERSGAEESRCQEDFHGFSVSKRLVRSVSQKLRKKNNNRSLGEEDDDVNGVNFKCLSLYGRGGGCKVGADTSEELGDSSTRRRSSSSEEGKGYRPVCGPQDTAVVDCFSYGVRDRFWRRHHRKNSELDELLTTNNKMHVFLPDDILEMCLVRLPLTSLMNARLVCKKWRSLTTTPRFLQLRREGLYQNPWLFLFGAVKDGFCSGEIHALDVSQNQWHRIEAGFLRGRFLFSVASVQDDIFIVGGCSSLTNFGKVDRSSFKTHRGVLSFSPLTKSWRKISAMKYARSIPILGVFEVSLDFPSSQSHQSRQDRRFPRSKIGGVSDVYEDPHKLSMRRHCRSTFNETEASSLSSRKAHKFMRQISDLSSSKSSRRFLLIAVGGLGSWDEPLDSGEIYDSISNKWTEIPRLPFDFGIACSGVVCGKMFYVYSETDKLAAYDIERGFWIAIQATPIPPRVHEYYPKLVSSKGRLFMLSVSWCEGDGQIGRRNKAVRKLWELDLMYLTWTEVSVHPDAPMDWNAVFVADKNLIFGVEMFKIFGQVLDFFTVCDVTDMSNWNHISRNHVTHELDGSSCVTKSVAVMHL >RHN40914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24261580:24265303:-1 gene:gene47159 transcript:rna47159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MAVVRASLFKIVSHRNLNRNPFFPCLSLSPLPPPPPPPMPRSFTISASLSTSRSKPMCLYHTQGKCTMMDDLVHLDKFNHDCSKELQVNIAGLSKVHSQNHDFFLVLDLEGKVEILEFPVLKISAKTLKVEDIFHRFVRPSNMSEQRINEYIEGKYGKIGVDRVWHDTAIPFKEVIEEFEAWLVQQKLWTGGNLDRAAFVTCGNWDLKTKVPQQCEVSRIKVPPYFMEWINLKDIYLNFYNRRATGMVTMMKELQMPLVGSHHLGIDDTKNITRVLQHMLVDGALIQITARRNPKSLREVSFLFKNRI >RHN62226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41860627:41861925:-1 gene:gene24780 transcript:rna24780 gene_biotype:protein_coding transcript_biotype:protein_coding MIHYHTSTLISSSTFDEGNNLVKQNRSIVTGWFEHMKHMILILCFNHFNNTTPTTFLKQFLIIPTPILQNILPSNTNKQSLTTQLPQIRIISRVNQRVIRSIRSWTCKTP >RHN62896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46601934:46606164:-1 gene:gene25529 transcript:rna25529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MGTQSLLGGTRTVVAIRDCCESINTIIIIRKKSTTVSGFSLSSSTTRRSSSLYCRRWSPLTTILSSSSLMSSYEKELAAAKKAATLAARLCQKVQKALLQSDVHSKSDKTPVTVADYGSQILVSLMLQRELPSEPFSLVAEEDSGDLRKESGQDTLKRITDLVNDTLVNEGSHNISALTTDDVLNAIDNGKSEGGSIGRHWVLDPIDGTKGFVRGDQYAIALALLDEGKVVLGVLACPNLPLGTIGPNQPHSSSNEVGCLFFAKVGNGTYMQALDGTTQTKVNVSTVDNPEEASFFESYEAAHSSHDLSSTIAVKLGVKAPPVRIDSQAKYGALSRGDGAIYLRFPNKGYREKIWDHAAGCIVVSEAGGIVSDAAGNPLDFSKGKFLDVDTGIIVTNQNLMPSLLRAVKESLNEKASSL >RHN59156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8013285:8014172:-1 gene:gene21148 transcript:rna21148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain-containing protein MQAYCVVAVESVGRQIPIAFLERIKDDFNKKYGGGRATTATAKSLNKEFGPKLKEQIQYCVEHPEEVRKLAKVKAQVSEVKGVMMENIDKVIDRGEKIEVLVDKPENLRS >RHN72281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6424969:6425523:1 gene:gene7975 transcript:rna7975 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIGNCQSSHYESEDEKVQGYDSTASTPKVLRKVVHKVAKTETKASKTKFTADLGTNSAPPHVKTKSEGKRKMANLELNRVRKNLFCKPEEEDDNDFFLNYHPGNPVGYEGDDVAFEIPTWMPITFRPPPAMNLNDICAYIVAYVFMPAEDLNG >RHN74505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34065326:34068874:1 gene:gene10590 transcript:rna10590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative development/cell death domain-containing protein MCAKGLVSCGVNMNDEQEVLVSRGWVQVRYWWWLFCLSVKDDGGGDCEGLRRRVVDYGVNENVEGELLPSRGRVLVSFWLWFGFSMKGDGGGLRTKMDAGDLCKNKESGIFPEHGAIFMSNRSTLKECFERSLFGLPGSFSDFVKNVKAGMILFLFEFEERKLHGVFEAITDGGMNISPHAYVSSGQQFPAQVKFTRILRCDPLFENEFCDAIRDNYFTKYKFNFGLSEDQVQSLMWLFNSRKREVPRSLHQKKRKTRKWDFQIIEDVLKKGRVTNPLKRKLNVNHGTPVTAEQEVEKLFLSPESFGKGESMHLDVDAYDPENPGFNQSVTSGANSAASYESHELPTLLKKKENLHIFEDDNEDFIPLCSTDHSDLEDGELGNSSEGSDEEQIELDMLIGIDDSSIPVPRFLLSDKESDKLGDSSSAAVSDFQSKDESDNLNSLPSKGMYCDEPKEKTSVFSRLNFSSKGVASKNQNDANGKDLVNNMSRENKQYQYESIKDVRQQSKNGAMYKRASVFLRLAGASDAVSPQVPSRTGLYERTGGWKKVW >RHN38505.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:159654:162989:-1 gene:gene50615 transcript:rna50615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor STY-LRP1 family MYGSTYIFVIENYCFLLCPSHRGYRIIPPYDQKETHKRMIFSLKLKRWKKMYSTQLGGEEVLKSLKCQDCGNQAKKDCAYSRCRSCCKNKDFNCHTHIRTSEALGFLLIEN >RHN58423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1604925:1605634:-1 gene:gene20333 transcript:rna20333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MPISPDENNQGFIGTPEKFSVPQRLASLIRFHEKRKERNFDKKIRYTVRKEVVALRMQRNKGQFTS >RHN59247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8764809:8765753:1 gene:gene21250 transcript:rna21250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller MFTQVSVWAYVCLMSNSYKEENQLNFEADHLMDLPSNNHQLDVEEKQCMSKKLEKQVVDPKEEEKSKNEEEDMQNDVIFPVHSIDNGNGKRYDIMVSDSNLLSEHLGRDISIHCLLRLSRSDYGSIAAINKSFRSLIRSGELYKLRRKAGIVEHWAYFSSEALKWEAFDPNRNRWIHLPKMTCDACFSLADRESLAVGTELLVFGKELMDPIIHKYSLLTNMWSVGNMMNTPRCLFGSASLGEIAILAGGCDPCGNILSSAELYNADTGNWKTLPNMNKARKMCSSVFMDGKFYVLGGIAAEKKTQLTCGRSLI >RHN67746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29931565:29937068:1 gene:gene15969 transcript:rna15969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RanBP2-type, nucleotide-binding alpha-beta plait domain-containing protein MSGGYGHDAGGGSAPPSYGASGGYGGGGGGGYAGGYAGNESGGGYAAKGSGNGGGRGYGGNDGGGYGGRSGYGGNDGGGYGGRGGGGRGGGGGGGGYGGRGGGGGFQGGDRGGRGGGGGRGGSGRDGDWRCPNESCGNNNFARRSECNKCGTPCPTSGNDRGGGGGGGGYNRGGSGGGYDSNRGGRSENYGGRTSDYNGGRGNNNDGRSGGSNRGGSYGGNQGREDGGYGQAPPVAAAQSYGGAGGNYPPTYGGNANYGTDAVPPPTSYTGGPNSYPPSYGGNTGGYGGGDARSGGRAVPQAGYDSGNRGGFGGAPAEPAPAAPVKQCDENCGDTCDNSRIYISNLPPDVTVDELQQLFGGIGQVGRIKQKRGYKDQWPYNIKIYTDENGKNKGDACLAYEDPSAAHSAGGFYNDYDLRGYKIGVAMAEKSAPRAPPANNHGGNRGGYGGDRRRDNSGPDRRDHYGGNRSRPY >RHN63742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53664352:53664642:1 gene:gene26484 transcript:rna26484 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLIVKLAPYITTTITESVDAALRPPEKSPTTFSCLSPSDRVSLTFSE >RHN43401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45479232:45481729:-1 gene:gene49969 transcript:rna49969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGQHSCCNQQKVKRGLWSPEEDEKLITYITTHGYGCWSEVPDKAGLQRCGKSCRLRWINYLRPDIRRGRFTPDEEKLIISLHSVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKHSSSSNSTTNNVTQNVVHHSHLNYNYSNIKLDQFANQENITTAKPPETTLFSPTCPIFMFEPSDSLHGTTTESSCNLQTDQYFQDSINGLSSETWNQVQSSLPPPISTTFSMDTMNYLPPLIENVENMDEEGDHRGVLECLQGHWVEETHQQCPNNFLFWDNIVQGHGLGGEEQLPPNSSNMGTNSTTLSPFPSSSFRDNNY >RHN71814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2774046:2774402:1 gene:gene7451 transcript:rna7451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MASSSRKNGGSVVFEDYFPSMMEKLGSEGFMKELANGFNVLMDREKKVITFESLKKNSALLGLEGMNDDEITCMLREGDIDGDGALNEMEFCTLMFRLSPALMSDSKQLLEEAIFTGH >RHN68578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36713006:36713881:-1 gene:gene16947 transcript:rna16947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative The fantastic four family protein MDTLNEYLSSKAEKIATSLYNYTLRARRSCNNNGYYCYNPYHGLKTIVHSEEEENLHKRVLHCYKVCKTETAPSQDSETCSLLWQRLSKSLWSSSPGSTAIPSTVMGDLIGTESGDYMSCDLIDELLGLGQSQSQRREFKVKKKREKKVFPAAITLLRETGGGGGMSSCFKKEYGEDGRLILKVGKVKRYYEHMEANRENGRLIVKLIHHDDDDGWPMDDDEEEEDEEEEETGFDFEFENEREGLENESGPCWADLRQCASYGSGGLVRDFEPFYLGRYGSAPLLPITSVM >RHN67292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25650734:25654748:-1 gene:gene15471 transcript:rna15471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MCDCNAALACARDHLLPLLKVAFNMIRGVPKEIADLKDELESMEDFISNEDRFADEEEDKKRSDAIKARMKKLIEASFDIEDVIDDYIFHEEQQAPDPGCAAGATNCVKTMAHRLQIAYTIQNIKSRMSEIKDTSEKDQAFRLQSSSDKASSSSAPNINNSLFQNLRQAPFHMNEADVVGFEEPKRILFNWLVRGRVERAVVSIVGMGGQGKTTLAKKVFENIKVLKQFDCHVWITVSQSYSKEKLLRDILLEIYKQQGKDPPQSIYEMNGEPLIDEVIKQLQQKRYFVVFDDVWNLNIWNDIEFAMIDNLNGSKVLITTRKMNVANSFKRSSFVEVHELQGLTEEKSLELFNKKAFHNLSGCCPQNLIDISSKIVKKCKGLPLAIVVTGGLLSCKDRNPTEWYKFSENINADQSNEYSIIRKILGFSYHDLPYYLKSCFLYFGLYPEDYIVRSKTLTRQWIAEGFVKEERGRTLEDIAKGYLIELVNRSLVHVVSISIDGRVKSCRVHDLVHAMILEKYEDLSFCKNITEDNQFSLTRVTRRLSMATSSYNLMEGIESSHVRSLLVLEPNTLPKSFVRAIPAKYRRLKVLALSSKQLEIPHDLGSLNHLKFFGFRVIGEKYSELPKSIGMLVNLETLDLRSTEFENRNMPKEVCKLRKLRHFLGDSLSLIHLKDGIGGMTSLQTLSKVKLDDGEDENDNRVVELIIELGKLTQLRELGLVVVSGKYMSAISSSINKMHELERLHIFGIKLDIFIDLDLNSPPPRLERVKLFGYSNKFPEWISKLQNLVKLDLPRLKEVNDAMKLLQSMPNLLSLHISGVPDYEDKLERLHFEDGWFMNLKELYLRDFCSLSNILIDEGALGSLKKLTLWYIPLLMTLPTGIQHLKLDVLSLVDMKRKLVRSIDPDEGEKHLIFKQVPSIEIFFTTIDRARGVY >RHN61416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35452441:35452719:-1 gene:gene23881 transcript:rna23881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLNSILRGSVTARQTTSKSVEVKKGYVAVYVGEKLARFVVPVSYLNQPSFQDLLSQAEEEFGYDHPMGGLTIPCSEDVFQHITSCLNGL >RHN75836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45452438:45455487:1 gene:gene12090 transcript:rna12090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketol-acid reductoisomerase MVSAPPATKLPASLDFDTSLFKKEKVNLAGHEEFIVRGGRDLFHLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEANSDIVVKVGLRKGSSSFAEARDAGFSEENGTLGDIWETISGSDLALLLISDSAQADNYEKILSHMKPNSILGLSHGFLLGHLQFLGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFAVHRDVDGRATDVALGWSVALGSPFTFATTLEQEYK >RHN44606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6755901:6756272:-1 gene:gene38745 transcript:rna38745 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSKGKSQADINSIMMALVFMVSLYYLALVLVTMLHLHIKSFLPSKMIILLLGSVVSILALMVISPTIAWIYLGFWIFIFALMCYKSKNELYQWIPERIKKVFEGKTESGISELPVVRSLPI >RHN59690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12652642:12654069:-1 gene:gene21777 transcript:rna21777 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rbcL MSPQTETKATVGFKAGVKDYRLTYYTPDYETKDTDILAAFRVSPQPGVPAEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVAGEESQFIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPVAYVKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIYKAQAETGEIKGHYLNATAGTCEDMMKRAVFARELGVPIVMHDYLTGGFTANTTLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRMSGGDHIHAGTVVGKLEGERDITLGFVDLLRDDFVEKDRSRGIFFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAREGNEIIREATKWSPELAAACEVWKEIKFEFPAMDTI >RHN55539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19333442:19336120:1 gene:gene30739 transcript:rna30739 gene_biotype:protein_coding transcript_biotype:protein_coding MDSERIAEEGDEECELERVEDFVEFVEDSLDEGIVEDEDYVLETVERNFGAEAEKVRRNELQPGFDEDEEVRENELEPNFEGVVEEEDEVNVEAAAKEVGVNEIQSDFVEVAEEEVGENELEPNVNAIVEEEDDDLEVKEEKKTSEGNVKNLSSIFFRVNYTLLPSKMLELHSPPLLC >RHN62362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42942368:42944175:1 gene:gene24929 transcript:rna24929 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISKFLSFLFLTALSFSLQIHARDSQFFSKVTHVNNNNNVREVPNNETPLNKPENQPVFVPETENSYGLYGHDTGLHPPTTTNTNNVDPNTYQSYEKTSSHDEINNNKVNNYFNYQQDSDNKYPNEVSDTKLTPTSYSSSSNNNYDNNKYSSSSDDFSNKKFPEEGYNSNENQNNNNNDNKYFYNNNNKDSSSNTKFTEEGYNSMENRNNNNNKYFYNNNNKDSSSNTKFPEEGYNSMQNQNNNNYEKYNYNNKVAVNDKYSFKSNNNYNNGERQGMSDTRVMEGGKYFYDVNSEEKYNPTFNGDSSKGVVNSENWYNKKGYFGNNNVNNYENNKNSMNGYQNQEQFEDDQEEFEP >RHN64102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56585987:56591101:1 gene:gene26883 transcript:rna26883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative defective-in-cullin neddylation protein MPPRSKRKPVPPTTDVDSSIRAEPKTKRTTTKQFERIDNLFEAYTNKSLDMIDPDGIEALCKDVNVDHTDVRMLILAWKMNAEKQGYFTKDEWRRGLKCLGADTLPKLKKAMNGLKKEVMVPESFEDFYSYAFKYCLTEDKQRSIDIETICELCTIVLGSEFPSQVNLLTEYLKIQNEYRALTMDHWRNFYRFFKEVSFTDLQSYDSSQAWPVIVDNFVDWLKEKEKTI >RHN66601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14832972:14834018:-1 gene:gene14628 transcript:rna14628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MILMHWCFLFSQSMTFDFVTNGTIHHLRSFNSKGAHFKLFACENMIFTKIRISAPGDSPNTDGIKIGKSNGITIKSASIGTGDDCIAMVSGTRNVWISDVFCGPGHGVSIGSLGKNDGEEDVDNINVKNCTFSDTTNGLRIKTWASPLTKILKASHIVYEDIVMNNVGNPILIDQEYCPNRGCSNKVPSNVQINTVSYKNIRGSVNSEHAMNLKCSEKEPCQNIIVENIDLWPNDGKRKLNNWCSHAYVSSFGKQNPPSCL >RHN68818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38847345:38848004:1 gene:gene17227 transcript:rna17227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MTIKAHPLFSMVLESTLPWIASPLLLLMLFAGTLVIVTCFRLIQKFQHQSFLTSISLNNVESQSTMVEVPHVHAYGLEKSVINTIPSFIYNTTKPIQDESNHECTICLVEFENNDYIRTLPLCSHTFHLACIDAWLHKQPNCPLCRSCLRCDHFDKSPFKHIMADRIHPSFHDHMPFQISHSHSVIQSVVEITLCSNNDDDNHGVGGGAPVFGATMQVP >RHN50778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10451771:10460380:1 gene:gene35106 transcript:rna35106 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MNFQFFVLVMFVVVASPYQCVRLKHIRPSFNVLDYGAVGNGKNDETRAFVDAWKDTCIAMHESSTLLIPKGKTFMLQPVWFQGPCNSSNIYVKLEGTIIAPKNIEAWKWPNDNYKGSWIRFIEISGLIVYGGGIIDGKGAQWWDCHSNIKCEKENPTALHFHACENLILRELTHINSPRNHISLNACHGSHISKLHIIAPNESPNTDGIDIAESSNIIIENSKIETGNSHKESLTNSLSFRFDLETTIPIHRTVQHFAWESRESDDCIAINHGSNSIYINGIFCGPGHGISVGSLGRNGVHESVENIYVRNCTFNRTTNGARIKTWIGGNGYARKVTFEDIILIEADNPVIIDQEYNPYDSAYAVKVSDVTFRNIRGTSIAKHAIQLHCDEDITCTNIILEGINITSSIGEEVHASCKNVNGVCTSCIPYVPCLSLE >RHN38454.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000012.1:135058:141928:1 gene:gene50720 transcript:rna50720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MSSFFLLVFLIIQTWPMKLEAQKNIFILAGQSNMAGRGGVVNDTTTGVTTWDGVVPLQCQPNPSIMKLNANLKWVEAHEPLHEDIDTLKTNGVGPGMAFAKHVLEKNSGLGLVGLVPCAIGGTNISEWERGKVLYNHMMKRVKASLRDDGNIRALLWFQGETDTVILSSWWWLNVVSRALIRGMGTIIYFIVVFLPCIKFWNYDVEPFIVS >RHN41612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31489219:31489932:1 gene:gene47954 transcript:rna47954 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAVAPTQQQTSPRVSNEIPFHPVLELVDDVHNDVLSDDVEHVNSEPREELEIPRIQNAHETNPSSDAHVYEHSLTVDLEASASAREMSPTQLDIDDAHDDVQSSPHDGPFVVRSIPDITATFLEMERIAQNANYTVEHTGTDENRDQILTVFEPHNNPNVQHDFDLWMRVCEYDKANADLPFTPVLCKKQKQQVRKQLQNQVTNELSLNVRGFGTSDTKINFWRSQWYHLHMFHL >RHN45553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22877151:22877753:1 gene:gene39919 transcript:rna39919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative viral movement protein MTEVSYFEGTSSYYADDIIDNKIEETDLSLTAEENFKRKFNKFKEFFSRKNILKFEIMIGEEAISIENTQGNIVISTIHKTQIEKRIETFSEKEKSKIGYIHISTIQILIKSTFMKGIDSPLEIALEDSRILDKRQATIAQVKCNLKYGKIKFDINLQMGLSLKDKDLDRSTVSHYKLENSNFMKKDNHPFTICYKLIML >RHN76530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51002267:51002483:1 gene:gene12872 transcript:rna12872 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGVKIAFSSEVAGTWILILCWRLIYLPTLTLFDLNGCSLFIFFVTWFRARTQVR >RHN39090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4064522:4065777:-1 gene:gene45084 transcript:rna45084 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWFSNHVLICVKKKSCANYVISDKQIYVSLLAFQPFTCYLISNAICIRVVAFCDSSVIFL >RHN46336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30871174:30876220:-1 gene:gene40817 transcript:rna40817 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLLSEQRSDLVGNQSGKWSSYEHVGRTGSAYPGTCVSVDEIRSAAAVPSSAGYYPPVHGALVGSSEPDPAVQAVAYQGGYGGDYGGTRPEFRRETLDEVEIRELLIDHVGHRCCWGSRPARTWKIQAVEDCNVYVGTLDTFIEEREIIKETEPYPGGSIDGKDKGPELGIWELDLRSQFPFLFVPFREVREKIPHSEVIEKCSGCAGRGGIVCATCNADEEPGHYKENQVTQCPSCYGRGLIAHKDGSDTLCVKCSGKGKLPCATCGSRGLLNCETCNGSGSLLARNVAIIKWKTLSTRKVSATKGAASVPDEVFHRSKGVQLCNTQAHQCTPAFFADSFFLNMFSSEVIADRAQVPATARVICERHTISVVPVTRVTMSHRRQSFSFYIVGNGREVYLKDYYPSRFCWGLCPCLEWLKL >RHN75641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44002495:44002902:1 gene:gene11875 transcript:rna11875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanin 5-O-glucoside 6'''-O-malonyltransferase MELLKPGNSFVVVAGSPKLDVCETDFGWGKPKKSDAVHIDSFRSISLSDCRDGGRLVWLLRGLK >RHN75091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39288020:39288628:1 gene:gene11246 transcript:rna11246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stigma-specific protein Stig1 MAKTLFKVTTILFSFITLTLHYQIAFSTSTFELDSPFPHYKSRSRFLATTIKKGSKCNPIGKNICNGILANKGTELLQCCKKKCVDVIGDMNHCGQCGKKCKQGERCCGGVCTNILYNVNNCGKCNKKCKRGIPCRIGFCGYA >RHN68407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35224880:35231691:1 gene:gene16754 transcript:rna16754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase, RBP11-like dimerization domain-containing protein MEHGSYSDLSKSTFSLVDEDHTFANSVRFTLNQDPRVTFCGYSIPHPSENRVNVRVQTTGDPASEVLKDGCQDLMLMCQHVRSTFGKAVNDFKTSKSVRSTSEKSVSESDDDMDT >RHN38470.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000011.1:74507:79819:-1 gene:gene50705 transcript:rna50705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-II family MENITLFSLVLFFFMWTSVTGLLSSKGVNYEVQALIGIKNSLVDPHSALNNWDAESVDPCNWAMITCSSDRFVVALGIPSQNISGTLSSSIGSLPNLQTVLLQDNNITGPIPSEIGKLQKLQTLDLSDNFFTGQLPDTLSHMRGLHYLRLNNNSLSGPIPSSVANMSQLAFLDLSFNNLSGPVPRLNAKTFNIVGNPQICATGGIEQNCFRTTLIPSAMNNNSQDLQSSNRPKSHKAALAFASSLSCICLLILGFGFLLWWRQRYNKQIFFDTNEQYREEICLGNLKKFHFRELQVSTNNFSSKNLVGKGGFGNVYKGCLRDGTVIAVKRLKDGNAVGGEIQFQTELEMISLAVHRNLLRLYGFCMTATERLLVYPYMSNGSVASRLKGKPALDWATRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELISGQRALEFGKAANQKGAMLDWVKKIHQEKKIDVLVDKDLKNKYDRIELDEIVQVALLCTQYLPSHRPKMSEVVRMLEGDGLAEKWEASQRAESTRSRGNELSSSERYSDLTDDSSLLAQAMELSGPR >RHN51329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16291120:16292823:-1 gene:gene35753 transcript:rna35753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase chromatin remodeling SET family MNFQDPNPSISRTIVPISNELQNYDSNTLAGKASKSKQKLRGQKKELVHTEMDADHSPYREMVRRTRILFDSIRVSGLLEEENNRKNKKNLKASSLINQTEEVNNSRVRNDLIASTLMNDHGMYLFPDMKIIGTVPGVSIGDIFLYRSEMCVIGLHGQPQVGIDYLHASMSSNGQPIATSVVVSDGYNDDDQGDSIIYSGHGDMKQDQKLERGNLAMVRSMQYEIDVRVIRGFRYEGGTSTTSSKVFVYDGLYKIIEYWFEKGISGFGVYKFMLSRVQGQPKMGSMILKEASMLMRGHLCDNHMYVLSDDISNGKENIGVRLYNDIDSDLYPMQFEYLPKAAFPMFLLPHSMTTRKKMRVIECSECVDGCVSSIMNGNTTPYSKSGILLKGRSLIYECGPFCSCPSHCRNRVTQKGIKYRLEVFRSNETSWGVRSLDPILAGTFIYEFTGLVLTREQAEILTMDGEHNSFIIYPNRFLNRSTQEWGDLSMIDANHVHPAYPTLDFALDVSMMRNVASYISHSPTPNVFVQLVLFDHGNWMFPHLMVFAMENIPPMRELSLDHGVEAG >RHN61543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36274444:36274794:1 gene:gene24016 transcript:rna24016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MDVATTISGGKMEYAKEILSPFSQTQNPKLNFDRWLLDCMALALKSRMDNIENPPPIMELFSKEHVESTHLLLDHSLCFKLSFMAANIAILEVAFEDTTKRVKNVCVVDFDIGNGK >RHN55687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21115682:21116046:1 gene:gene30911 transcript:rna30911 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLCISTGKKKVKFTKLSKSKIRAGSFQQGWFSTDSLIFNPIQILPEKEKSNVLTH >RHN50866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11280675:11284456:-1 gene:gene35208 transcript:rna35208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding protein MGKKGSWFSAVKKVFSHDSRKDKKSKKSKKKSTEKDLEVETEEVQVAVPPIEDVKLTEAENEQNKQAVSLAYATAAAAGAAVAAAQAAFEVVRLTSMPHYPTKTKEELAAIKIQTAFRGYLARRALRALRGLVRLKTMVQGQSVKRQADSTLRCMQTLARLQSQVRQRRIRMSEDNQAVQRQLQQKHEKELVKLQAAVGEDWDASLQSKEQIQAKLLQRQEAAFKRERALAYSFSHQQTWKNSSKSINQTFMDPNNPHWGWSWLERWMATRPWEAENIIMNHNDHPSIKSSSKPNYILPYDNKPSPVSQKSRRQTIHNSPSTSSKARPSNSKGESSIDDSRSMFSAQSERYRRYSSIAGSSVRDDASVESSPVFPSYMALTSSAKAKSRVMQKTSPSPSSISARKRLSFPASPVGSRRHSGPPKVEI >RHN73000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12488837:12489861:-1 gene:gene8769 transcript:rna8769 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNMTDCNFYVLDATGDTTGLSFRVAYIGAKQYRSGNNKDLCDCVGSLLCSVVPGFRNSVEAALNGIGARPRFVSLPSQQAHQKDIVMSDVLELDWSSILVVFGYFILLLFQMDSELFGLRTFVTESPHSKRIEELLTKVGCPRSYMSGIPFKQKSENVIRTMLGTHALRTSVINFLMNNFNHPDPQICIKTKSPVLSDSRVLAEVENLEELIKAILSHTYPQYFNHLCLTSELFYLDVLRFPNLFSVAQVLDDVYNSQVISGASYKTVEELVKLHRTAMIENRVTTVRRMPTWFLKRIRMAGLAMSCLFFRSG >RHN53519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2224181:2234549:1 gene:gene28434 transcript:rna28434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CDP-diacylglycerol--inositol 3-phosphatidyltransferase, Long-chain-fatty-acid--CoA ligase MAQKRFIIEVEKAKEATEDKPSRGPAYRSIFAKDGFPPPILGLDSCWDVFRLSVEKYPNNPMLGSREIVDGKHGKYKWQTYKEVYDMVIKVGNSIRSCGYGEGVKCGIYGANSAEWIMSMEACNAHGLHCVPLYDTLGSGAIEFIICHAEVSIAFAEEKKIPELLKTFPNATKYLKTIVSFGKVTPEQKQEVEKFGRAIYSWTEFLQLGESQSFDLPVKKRSDICTIMYTSGTTGDPKGVLISNRSIITLLAGVKRLLENVNDKLTEKDVYLSYLPLAHTFDRVIEETFIWHGGSIGFWCGDVKLLIEDLGELKPTFFCAVPRVLDRVYSGLTQKIISGGFLKKALFNFAYSYKLNNMKKGQNHAVASPLLDKIVFDKVKQGLGGSVRLILSGAAPLSLHVESYLRVVSCAHVLQGYGLTETCAGTFVSLPNELDMLGTVGPPVPNVDACLESVPEMGYDALASTPRGEICVKGDTLFSGYYKREDLTKEVLVEGWFHTGDIGEWQPNGSMKIIDRKKNIFKLSQGEYVAVENLENIYSQVPSVESIWVYGNSFEAFLVTVVTPSKPALEHWAEENGISMDFNSLCGDSRAKSYILEELSKIGKEKKLKGFEFIKAVHLDPVPFDMERDLITPTYKKKRPQLLKYYQNVIDDMYKSGNKPLA >RHN81308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44731941:44736273:-1 gene:gene5326 transcript:rna5326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase response regulator and transcription factor RR-A-type family MALQPLSIVILLAYGALITLISLTPCWYVMVTHIEKQANLSSENIVTQLQSEIKYSAELLHPMKSSSTNLARLLSSRLDSTNISFSDIETKVAPLLFEAFETIPHLTQISYIGMEGLFFSYCSDHDQALAIYSNSSSNKILYYVHPVNNETGKVFGEAIISNSSISTSWIKKAANISNEFASLGTRWTNGHDLLFLNSARVNKIGVISLGFSAKAITDSVTRVNRLGTGTYLATKDGQILVEGIQHIRLVISNDSVSFQSVNANGDVIKNYEGTVTCKDEANASSLNIQNTQYLIRCSPIDILGIESVNVLAVPRNGFDVSYKKMGLALLNVMLVMILVAVLGFLFIDGRAIRREMHLCASLIKQKEATHQAERKNMNKSLAVASASHDVRTSLAGITALIKISSKLVPPGSELASNLIQMEDCTQDLLGLLNSILDTSKIEVGKMELVEEEFDLSHFLEEVVDIYHLVAMEKGVDLVLDPCNGSVIKYSRVKGDRGRLKQVLCNLLNNAVKFTNEGHITVRVWARKQTLSSSIIKTNQNSFMKQLSWLFSKKKNEADEDIEKTMNSIQQDPPRSMDFTFEVDDTGKGIPKENYKSVFENYVQVKETNPVQEGTGLGLGIVQSLVRLMHGDIEIVDKNIGEKGTCFRFNVLLGLCEGETVTDFSTKEGLEYGTSNGTQARGRTTHATSSSSNICSMSPMYHMCNSSPRLEPSRVVLFIGNVERRRTCKRFMKSLGIKVKVVKCQKDLFDTLEEIKQKGRRSNGPSSPESSSNLSSSHNSFSRAKGVPLSFMDGTEYMSPVFKKTNFGTVACFVLIVIDANAGSSFSELFGIVSKFKKGLSNSCNVVWLDNPHMHRIDSRIIDQNDIVISKPFHGSRLFQVIMLLPEYDNGSVWQSIKKPHTRNSPVHQGEIQECEDSSCYKPLHCKKFLVVEDTKILRVIATNILGSLGATVEQCENGKEAVMLVVEGLKRDDPNPPYDYILMDCQMPVMDGFEATRRIREMEKPFGVRIPIIALSADIDRSTTVTGMDFYIEKPLRKEHLLEAIRILNS >RHN40646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18783954:18784655:1 gene:gene46829 transcript:rna46829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNENSNSIKSCKVISKLFLPQHLMFHILTFAPSNCLINSARYVCKPWATDIGSSHFAEAWERRAGFKPGLYVENRTSNDTSYFLELEDDVNGQFERTDLGTPPKMGNIISTCDGILLLSTKNGHMFVANPTINFWLRIPPLPVSRQHRFFSWQCTIARVPRFGKFKLFFVHHLKVSDISCYVFYVLRIGIDNSWKEIARKNCSFKLDFLLKILFSGGNDIYMIAKKKSDCSGC >RHN63860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54555145:54565570:1 gene:gene26615 transcript:rna26615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative iron-chelate-transporting ATPase MWNSAENAFARSESFRESGEDEEALRWAALERLPTYKRARRGIFQNLVGDKKEIDVTQLQSQEQKLILERLVDVVDNDPERFFHRMRSRFDAVHLAFPKIEVRFQNLTIETFVHVGSRALPTIPNFICNMAEALLRQLWLYRRKRSKLTILADINGIIRPSRLTLLLGPPSSGKTTLLLALAGRLGPGLQMSGDITYNGHGLNEFVPQRTSAYVSQQDWHVAEMTVRETLQFAGCCQGAGFKFDMLMELARREKNAGIKPDEDLDLFMKSLALGGQETNLVVEYIMKILGLDMCGDTLVGDEMLKGISGGQKKRLTTGELLIGPARVLFMDEISTGLDSSTTYQIIRYLKHSTHALDATTIISLLQPAPETYELFDDVILLSEGQIVYQGPREAAIEFFKLMGFSCPERKNVADFLQEVTSKKDQEQYWSVLDRPYRYIPVGKFAQAFSLYREGKLLSEELNVPFNRRNNHPAALATCSYGAKRGELLKINYQWQKLLIKRNAFIYIFKFVQLILVALITMTVFFRTTMHHDTIDDGGLYLGALYFSMITILFNGFTEVSMLVAKLPVLYKHRDFHFYPSWAYTLPSWFLSIPTSLMEAGCWVLVSYYASGYDPAFTRFLRQFLLFFFLHQMSIGLFRLIGSLGRNMIVANTFGSFAMLVVMALGGYIISKDRIPSWWIWGFWVSPLMYAQNSASVNEFLGHSWDKNVGNQTIYPLGKAVLKAKSLYSESYWYWIGLGALVGYTVLFNILFTIFLAYLNPLGKQQPVVSKGELQEREKRRNGENVVIELREYLQHSASSGKHFKQKGMVLPFQPLSMAFSNINYYVEVPLELKQQGISEDKLQLLVNVTGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGFIEGSIYISGYPKKQDSFARVSGYCEQSDVHSPGLTVWESLLFSAWLRLSSDVDLDTQKAFVEEIMELVELTPLSGALVGLPGVDGLSTEQRKRLTIAVELVANPSMVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGELIYAGPLGPKSSELISYFEAIEGVPKIKSGYNPATWMLEVTSSVEENRLGVDFAEIYRKSSLYQYNQELVERLSIPSGNSKDLHFPTKYCRSPFEQFLTCLWKQNLSYWRNPQYTAVRFFYTFFISMMLGTICWRFGATRDTQQDLFNAMGSMYSAILFIGITNGTAVQPVVSVERFVSYRERAAGMYSALCFAFAQVVIEFPYVFAQAIIYSSIFYSMGSFVWTVDRFIWYLFFMYLTMLYFTFYGMMTTAVTPNHHVAAIIGAPCYMLWNLFSGFMIPHKRIPIWWRWYYWANPVAWTLYGLLTSQYGDDDKLVKLTNGKSVPIRLVLKEVFGYRHDFLCVAATMVAGFCILFAFVFAYAIKSFNFQRR >RHN54288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8344129:8350181:1 gene:gene29299 transcript:rna29299 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRPRRRCEGTAMGAIVLDLRPGLGIGPFSLGMPICEAFAQIEQQPNLYDVVHVKYFDEEPLKLDIVISFPDHGFHLRFDPWSQRLRLIEIFDVKRLQMRYSTSLIGGPTTLATFVAVYALFGPTYPGIFDKDRGIYTLFYPGLSFAFPIPGQFTDCCHDGGVELPLEFPDGTTPVTCRVSIYDSSSGKKVGVGSLMDKASAPPLPTGSIYMEEVHVKLGEELYFTVGSQHIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDLRPRTTICGDYFYNYFTRGLDILFDGQTHKIKKFVLHTNYPGHADFNSYMKCNFVIYCSDAVGGSFQDVNNSKQRVITPSTKWEQVKDILGDCGRAAIQTQGSASNPFGSTLVYGYQNIAFEVMKNGYIATITLFQS >RHN51685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22685497:22687098:1 gene:gene36179 transcript:rna36179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MINGLCLEGLFDEAMTLLEKMEDNGCTPDVVTYETIIYALFKNDENDKAEKLLREMITRGLL >RHN76501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50804562:50807018:-1 gene:gene12840 transcript:rna12840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glyoxalase/Bleomycin resistance protein/Dihydroxybiphenyl dioxygenase MDAIVGNPLRLKSVNHISLICRSVDVTVAFYENVLGFVSIVRPGSFNFEGAWLFGHGIGIHLLKAEDPEKIPRKKEINTKDNHISFQCDGSIDAVEKYLNDKKIVCKRALVEENGIQVDQLFFHDPDGFMIEICNCDSLPVIPLAGEIVNSCSRINLETMPQKIHQPVEKI >RHN72705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9978520:9978935:-1 gene:gene8454 transcript:rna8454 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMLVVVGVGFCVLCGGGAAGFCGGACGDCWWWLCFLWIFFYEFVVNGVFKYLGGDFTCAELRFNFAQLQKRNKVRLHEFLVGLIVIFDGFCNGLSQDLFLLLLRRLDFGFWR >RHN80619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39477623:39479221:1 gene:gene4564 transcript:rna4564 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDLPYDTQIVNNSVPSNKNLRRKYKMLKLTQPQLKIKEFQLDKTSTYSCHVKGRESRECFRKNIIIDFIPKITAEEPIII >RHN60730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29981788:29986224:-1 gene:gene23086 transcript:rna23086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative double-stranded RNA-binding domain-containing protein MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNGEIFESPQYCTTLRQAEHSAAEVALSSLSHRGPSHSLAAKILDETGVYKNLLQEIAQRVGAPLPQYTTFRSGLGHLPVFTGTVELAGITFTGEHAKNKKQAEKNAAMAAWSSLKQLAKETASSSTEPENNDELEQITIARALLNYRLKEKMAMSNPNAMISFPMKFQFQNPRPTSPQPRPATSKILPLICQKTAPRSRHPATASASSDHSSIMSPFSSTPESRWTRRPKFPAAGAAPYVPIRHMRSSCQGVAPPVTVRNAIPVFSPPPAAVSPQVMRPLPVRVAPPINIRQVVPVYASAPPVRKDEPIPISKNDLAQVLNAATPLRVDEPVPISKVDPAPVVYAAPPVIIDEPVTVSKVDPSPAENAALPIPVDEPVPISKDDPAASAVKIDETVLVSKDDPAPTSDSDKTDTKTENIPAGSETVQRLEQLKI >RHN45798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25735633:25736094:1 gene:gene40202 transcript:rna40202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MAYQNPITLKFSILIIVILAFEAREITSYSRVTVTIINAVAKDPYPTNITLHCKSKDDDLGFHTLKLEESYMFSFKPRFPFGTLFFCSFTWKESPNRHYIDIYDFKRDRCKNCRWRMNKDGGCRDHDGTGSFDVCIPWKSVELMDVNNTTSEM >RHN79458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29115908:29116303:1 gene:gene3251 transcript:rna3251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MSLLTQKLLFLCVLALLLMHNVIGFGTHHVNLINNLDNNLDLTVHCKSADDDLGVHLLHHGDTYGFTFRDKIIGNTQFYCSFQWTGEFHYFDVYIASEDSKRCDTCNWSITNPGPCRNTSQEGNVCFHWNK >RHN71054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56118965:56121446:1 gene:gene19697 transcript:rna19697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-transporting ATPase MKQEEMDLNSLVSNDRVDRHGRIADKRTTGGWKAAPYIILNEVVERFAFLGLTVNLTNYLISQMNETIPDAATHVTDWTGAAYVLTIFGAFIADAYLGRFRTIIVFSTIYAVGMIMLTISASFSTLHPPKCAVKVTPCKEASQGQNLFLYSALGLIALGTGGIKPCVSSFGADQFDEGDEKEVQMKFSFFNWFYFAINMGALLGITLLVYLQNQVGWSWGFALPTITTIISIVILAVGIPYYRFQKPMGSPFTRFLQVIVASIKKHRGGVSVENETPLYEVETTYSDIIGARKLPHTLQYRFFDKAAVITEKDTVSNRWSVCTVTQVEEFKSFIKILPVWASTIAISISLAQMSTWFLSQAKIMNKKLGKFEIPNGSVTVFAASSSLILIPLYERFIIPILRKFTGHSRGITSLQRMGVGLFVSIIAMASAALVEKTRREHYPGENSMSVFWLLPQFFLMGSAEVFTYVGQLEFFYDEATDGTKSISSAVFLSEVGIGSWLSSALVKTVTATTGGQDKGWLRRKDLNESRLDWFFWILTVLNAVNFLVYLVVAICYKGKESIVRDKNMVELSNVQCT >RHN56996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35577671:35578009:-1 gene:gene32475 transcript:rna32475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartic peptidase A1 family, xylanase inhibitor MVLDTGSELSWLHCKKLPNLNFIFNPLVSSSYTPTPCTSPICTTQTRDLINPVSCDANKLCHIIVSYADSSSMGATLPPRLSSLEAPLNVEWYSDVWILVLALVMKTPRQPG >RHN70313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50530670:50543147:1 gene:gene18881 transcript:rna18881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MEGGENCCVKVAVHVRPLIADEKLQGCKDCVTVVAGKPQVQIGARSFTFDHVYGSTGSPSNDMFEECVSPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKEGFQIGIIPQVMNVLFNKIGTLKDQSEFQLHVSFIEILKEEVRDLLDPSSLSKTETANGHAGKTTSPGKPPIQIRETSNGVITLAGSTEVGVTTLKEMAACLEQGSSSRATGSTNMNNQSSRSHAIFTITLEQMRKPKNPNDSCLNDTMNDEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSSEMLKMRQQLECLQAELCARAGGSSEEVQGLKERIVLLEAANEDLCRELHEHRSRCSVAEQNEKDAYDGGTCIVKTDELKKTLPTTVADCPMHETAGDSREIEEVAKEWEHKLLQNSMDRELHELNKRLEQKEVSEMKLFGVSDAEVLKQHFGRKITELEDEKRTVQQERDCLLAEVENLAANSDGQTQKLEDTHSQKLKALEAQILDLKKKQESQVQLMKQKQKSDEATKRLQDEIQSIKAQKVQLQQKIKQEAEQFRQWKASREKELLQLRKEGRKSEYEKHKLQALNQRQRMVLQRKTEEAAMATKRLKELLEARKTSSRDTSVATNGNGTNGQSNEKSLQRWLDHELEVMVKEHEVRFEYEKQSEVRAALAEELAMLKHVNEFAAKGLSPPRGKNGFARASSMSPSARMARIASLESMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQSMFNSVGDARCQLWEKDMEIREMKDQIKELVGLLRQSEMKRKETEKELKVREQVGATTLATPASGNSPNSLRQYTEDMKGPMSVPVPRQLKYTPGVANGLTRESTAFVDQGRRMKPIGQLSMKKLAIVGQASGKLWRWKRSHHQWLLQFKWKWQKPWKLSELIRHSDETIMRARPRSQALPHIM >RHN50649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8833221:8838240:-1 gene:gene34959 transcript:rna34959 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant specific mitochondrial import receptor subunit TOM20 MDFQQNEFDRILFFEHARKTAEAEYAKNPLDADNLTRWGGALLELSQFQPLPESKKMTLDAISKLEEALSVNPNKHDALWCLGNALTSQAFLNPDVDEAKVYFDKAAVYFQQAIDEDPSNELYRKSLEVAAKAPELHVEIHKHGLGQQAVEAAGPSSSAGTKTQKKKKNSDMKYDILGWVILAVGIVTWVGFAKANLPPPPPPPPR >RHN40003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12208013:12210322:-1 gene:gene46092 transcript:rna46092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MPRGTLEVILISAKGLDDNDFLSSIDPYVILSYSGQEHKSTVQEGAGSNPQWNETFLFTVSDNASELNLKIMEKDNYNNDDNIGEAIIPLEAVFEEGSLPENAYNLVKDEEYCGEIKVALTFTPERNDDQSYNEEEETGGWKESASDF >RHN52190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32522973:32523888:-1 gene:gene36832 transcript:rna36832 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKLNFSTFHHFLILPSSLPFLSSNKAISSTKINILSSILPYFSLFLNINLIFNALHFSLNKIPPPRVILTPSKIFHHHLKTSVLNPIIIESKRF >RHN76086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47560628:47567682:1 gene:gene12362 transcript:rna12362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-VIIa-2 family MGVCLSAQVKTELPCNTGLNSNNKVSAVSVPPTAQSEGEILPSSTLKSYTYAELSTATGNFFPDNVLGEGSFGAVFKGWIDENSFSAAKPGIGIVIAIKSLNQESFRSHREWLAEVNYHGRFSHPHLVRLIGYCLENEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWSLRLKVALDAAKGLAFLHSAENKVIYRDFKTSNVLLDSDYNAKLSDFGLARDGPTGDKSHIFTNTLVGNNGYAAPEYVDSGLLTTKSDVYSFGVVLLEMVCGRRVIDNNKPYGEHNLVQWAKPYLTDKRKVLRVLDSRLEGQCSSVEAYKVTSLSLKCLSEKSSRPNMDEVVSTLEQLVHKLQTRQN >RHN81895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49619780:49622390:-1 gene:gene5992 transcript:rna5992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase MDPSKFRFDIEAYKRKSEIEERYILNRFRERRKQIEEGYTPRREEESVGRKRKMGERKETTTDPKWPSIKPKNNLHITRLRDFDLFTVQNFFSPYESKAFIETAEGIGFTHQGSLGPAKGEAYRDNDRISVNDPVLADSIWDSGLNKLFSDIRIRGKAAVGLNPNIRLYRYKVGQRFGRHIDESNDLGDGKRTRYTLLVYLSGGPGEVKSKAKNDSSKPTDSSVDRLVGGETVFYGSRNSIVAEVAPIEGMALLHIHGDKCLLHEARNVTKGVKYVFRSDVVFA >RHN44192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2333536:2334657:-1 gene:gene38282 transcript:rna38282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, V0 complex, c/d subunit MVSTLCSIGTELTRDDRRKLYSNFGLFYSYGHEELAVCEDIDQVRAVMEKYPHQSIFAKLSYGESQMLDKAFYEEEVKRHCLAFEQQFHYAVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >RHN39177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4686280:4687997:-1 gene:gene45178 transcript:rna45178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MTQDSGVSCQSSLVTIIIFHFLLEHCSFIVYLYICTWCGFSGLDYLHNGCKPPIMHRDLKPSNILLDENLHAKIADFGLSRAFGNDDASHISTRPAGTFGYADPEFQRSGNTNKKNDIFSFGIILFELITGKKALERSYEENIHILQWVVPIIKAGNIQNIMDSRLQGEFSINSAWKVVEIAMSCVSQNAVERPDINQILVELNECLSLEMVQRNNGRERAIVEITSVNLGSQTAPLAR >RHN66133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8908994:8909404:-1 gene:gene14053 transcript:rna14053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MILLPHKPKRNRRRTNPKMSSENNEDRLSNLPDSIIHHILSFLKTKEVFQTCVLSTRWIHLTKHLPFLKLCSESFTSSDSFTKSVSQILSSRDDSTPLPTLHFHDNHGFVESKVFQSIVEFAVSHRVQLLGITITC >RHN82214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52009795:52013260:-1 gene:gene6359 transcript:rna6359 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFACNSPSTNHSPDSDSPPLPRSPTSSVRSKIGKNKLAKELGQSTSSGLKKISSQIRKPPRRKTSPINWFPRKKVDSFLERKIKMLQEVDGVNLTLDQTLGNSNPHYSRVLREKMAAREAAHKAMEARRAALVEASWCRILRAARIPSDEAEAQLLKAEKSATEAFEAAEAMGVIMFDLPDCPKKHCQIETPSINGEGSSTHTFTASFETAFDVDKEVAAAVKTAFTKLATRPSFSKDEFKELLKKISEHPDTDENHQDLTELSSENESESELDPVSQTSELKSEDLASKISFPGIIERKSRKRQSLENRIKLVDMMIERLKCLQEDELSSLATIVATYGLNAALAEVQNTKQLNPAINFPSRRMSSLGLRKSALDGTSRKEGEFELPSLDKFLVKHMTRLEREVCEAKKNHTNETKLGKGSSCKSVDGTPSECIPDLGSILVKKNYSKLEKEINEAKIKSAKEMLGASSGMPRGQKDHTEVPGLDKVLVKHVSRLEKEVNEARKRTANENKSLKSTFSSGEALDTKENINLNMIEENKDGLEKILVKPVHRLEREKLQVLSQGSRVENYRQRKSHGATNVADCESLDKVLVKRVSRLEKEKINIGDEWGEVKKSFRNDRLQTNEEGGGLDQVLVKHKPRLEREKMAAAAQQQDNPISFSVARRKARERELEEAWGGLSLGNSMKPSVSKLEQDKAAWIKAEAEERMQATEAI >RHN53608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2827214:2828533:-1 gene:gene28533 transcript:rna28533 gene_biotype:protein_coding transcript_biotype:protein_coding MKSADQLMKDETQYLCEAMGHGNDSLEDFVEAHTTCINDLMYFDTRNTYIYQVLLETRRS >RHN54937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13719771:13723109:-1 gene:gene30039 transcript:rna30039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MAREKIQIKKIENSTARQVTFSKRRRGLIKKAEELSVLCDADVALIIFSSTGKLFEYSNLSMREILERHHLHSKNLAKLEEPSLELQLVENSNCSRLSMEVSKKSHQLRQMRGEDLEGLNVEELQQLERSLEIGLGRVIENKGEKIMMEINDLQRKGRQLMEENDRLKHHVAGIINDRMVGGDESENENVVNEGQSSESVTNVYNSIGPPQDYESSDTSLKLGLPYAG >RHN50202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5091729:5095338:1 gene:gene34465 transcript:rna34465 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLHFFSFFLSLQISDFSSLFLSSSRPLFLSSPNPPKIINSS >RHN45296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14775617:14778647:1 gene:gene39547 transcript:rna39547 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHDEAEVDNTNNSQLTSLKPKLVETSLPESGNKVDVTQLEKEQQTLVGNSMQNQLGDKDLNVKKGSTDPIVSNIATPMNNKASLRKKRSKSKSLHMSVKFAVIREINRLTSSVMRKFETTRVGSGSSTASKDRWTPITTPTKTSKNELQKHPSFSPLTEQKRKNMESPIISSLLSLRIEDRDACRKKAKLYSLLFLSDSINHLPIDLHQFRNFGKVLTLFCSTDTSD >RHN69624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45020476:45020841:1 gene:gene18116 transcript:rna18116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-heme dioxygenase domain, isopenicillin N synthase MASAPFISSSEPTKVDASNISSIKAFPIPSSYHSLAEPDDIVDVTEELAASIPVIDFSLLTSDDLKIHTKAVHELAKACSEWGFFMVTFSFLSLFLVVIQMINFHALRAHVTEIMIEILCE >RHN66081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8408339:8411461:-1 gene:gene13994 transcript:rna13994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MANQHRLGNWSTEDNNPVHDARSLRYMLGINVAGNRASSVSSSPPRRLNGLTLNMIPTSENGGWTHLSSVRERDNNPFSSSYPTIGGQNGVGPVSPVAGNNISDVMSMLPFGGGVNTTITSTNVAATTGRIWWNQRGGGVNTTTTDGAVVATTGRRWWNQRILNEVVDVGGGGVNTTTTTVAAPIGRRWLSQPILNDVIDVGGGGVNTTTTIAAATTGRRWWNQAILNEVEDGGPTSFLASTNVPGRSGSFVPPPPPSSPLLSDYLINPHPPQSEQYMQTDSTLASSENPARAGGNNDIFISASEIRTETDRLMDEIESTLQHLRNGGSIRSEDMMILDFLVTINPLIDSDDWLNEIMTALEGHIGRVERGLTEEEILRSIHEETYQFNPDETSTQNQTCTICQEDFVDGESIGRLNCIHVYHLHCIKQWLEMRNACPICKKRGLEINEDEDGN >RHN39895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11510927:11513576:-1 gene:gene45978 transcript:rna45978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein KTI12/L-seryl-tRNA(Sec) kinase, P-loop containing nucleoside triphosphate hydrolase MALVVICGQPCSGKSKAALALVEALKEPSELKYQVRIIDEACFHLDRNQSYANMPSEKNLRGVLRSEVDRSLSKDTVIIVDSLNNIKGYRYELWCLARAAGIRYCVVYCDVEDNDCRKWNQERREKGGDNYDDAIFEDLVRRFEKPERRNRWDSPLFELKSSSSSSLSDSASVVDDAVSYITKKVDSKTRDVKILQPTIATQTSRFSDANSLYELDKATQEVTNAIAEAQSRDLGMLPANGISIGKDLPPINLSRSVGLPELRRLRRTFMKLTGQTSLSGRPPPSNSDSAKRMFIDYLNRELGTS >RHN52240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32945392:32946900:1 gene:gene36889 transcript:rna36889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MKRSEADHSIFYCHSSPNKCVYLVVYVDDKVIIGNDQERISQLKEHLCSHFQTKDLGKLKYFLGIEIAQSNNGIIISQRKYALDILKETCMLDCRLVDSPMDPNLKLLPSQGEPYSDPERYRRLVGKLIYLTRTRQGISFAVGVVSQFMKSPHNDHWDAVTRILRYIKRAPGQGLLYEDKGNTQLVGFCDADWAGSPIDRRSTSGYCVSIGGNLFSWKSKKQNVVARSSAEAEYRAMATVTCELIWIKQLLQELKFGDA >RHN54439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9598092:9601487:-1 gene:gene29480 transcript:rna29480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-VIIa-2 family MIVIFVFVYCPFDERDSNTAITHIYTSNNLRLLHLLSTLFLFLIFSFVSFVYNLNMGLCFTSLSHQSPPSSLNIHNNSGLGSNNDDSTKEESSSSSSCNKSKVWEIGNSESDSKGYESIEISGKFLEMPNLKVFSYGDLKAATKSFKSDALLGEGGFGKVYKGWLNAVTLAPAKAGSGMIVAIKKLKRDSVQGLQEWQSEINFLGRISHPNLVKLLGYCRDNDEFLLVYEFMPRGSLENHLFRRNTNIEPLSWNTRLKIATDAARGLAFLHSSDKQVIYRDFKASNILLDGNYNAKISDFGLAKFGPSGGDSHVTTRIMGTYGYAAPEYMATGHLYVKSDVYGFGVVLLEMLTGLQAFDSNRPEGQQNLIEWIKPSLSDKRKLKSNNIVDYRLEGQYTSKAAFETAHLILKCLQPDPKKRPSMKDVLGILEAIKAIKVKRKISKNRCTKSATMDSL >RHN53702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3458411:3467023:-1 gene:gene28637 transcript:rna28637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sec1-like protein MSMSDSDSSSSYGGADYQNFKQITRDRLLHEMLRSTKTGDSKSTWKVLIMDKLTIKIMSNSCKMADITDEGVSLVEDIYKRRQPLPTMDAIYFIQPTKENIIMFLSDMAGRAPLYRKAFVFLSSPISRELVLDIKKDPRVLSRLGALREMNLEYFAIDCQGFITNNERALEDLFGNEENNRKGVACLNAMATRIATVFASLREFPSIRFRAAKSLDATTMTTFRDLVPTKLAAGVWDCLTKYKKTIPNFPQTETCELLIIDRSIDQIAPVIHEWTYDAMCHDLLNMEGNKYVHEVPAKAGGLPERKEVLLEDHDPVWLELRHAHIADASERLHAKMTSFISKNKAAQLGSRSSSEMSTRDLQKMVQALPQYSEQIDKLSLHVELAGKINSIIRESGLRELGQVEQDLVFGDATMKDVIKFLTMKEDTTRENKLRLLMILAAVYPEKFDGEKGLNLMKVARLTDEDMTIVNNLRMLGGQPDTKKRLTGAFGLKFDIQKVKVISSKKKRAARIERPGEEEKWQLSRFYPIIEELIEKLTRNQLSKEDYPCLNDPSATFHSSPFAGTLHQNPHSMRSRRTPSWAKPRGSDDGYSSDSVLRHASSDFKKMGQRLFVFIVGGATRSELRACHKLTRKLNREIILGSSSLDDPAQFITKLKMLTTHELTLDDIQI >RHN48952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51334273:51335581:-1 gene:gene43727 transcript:rna43727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MLSELTDDIILKILWKVEEDPRDWARLSCVSTKLNSLIHDFCWKNKSSIIIPSELLLSSSQDLSLHKLPFCCPGPLHAGILFNTADFPDEQHHQQPITSSSSSTTPSSSLPPQTNECSSYWSLYDDLYHDTLYADSEAHHHLQQGQEQTNEEEIRTGVVDVPPEAKKRKVNGSLSSHLATGKWTLSREQGSKLLARQFRDDCLYVCDWPGCVHLEEKRKYRLFRGIFMNFKKTRVWKTVNDISNRKKIDLACAFCSCNHTWDLHSAFCLRRGFGYHDDGEPVVRAYVCDNGHVSGAWTDMPINISYESSIYWHLYFVSQLLSHFIIIFKFV >RHN67582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28387860:28396744:1 gene:gene15782 transcript:rna15782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, peptidase, FtsH MLPLEYHFHYRSPLTHQNSHNQTCQFSRVNSRVCPNPRRFIPSSCRFQSLPNNSRRFGLWVNERSTGWVCRSTSETDSEEKPVVDDTGSGSNGSDRRRESQSKDGKWRWRWPEFRWQPLVQAQEIGVLILQLGLMVFVMRLIRPGVSLPGADPKVLTTFVSVPYSEFLSRVNGNEVRKVEVDGVNVIFNLKGGVGNVGDGEVYESESLVKGVVPSKRIVYTTTRPSDIRTPYEKMLENQVEFGSPDKRSSGFLNSALIALFYAAALAGLLQRFPVNFSQLTAGQIRNRKSGTSSTKSSERGETVTFADIAGVDEAKEELEEIVEFLRNPDRYTRLGARPPRGVLLVGLPGTGKTLLAKAVAGEADVPFISCSASEFVELFVGMGASRVRDLFARAKREAPSIIFIDEIDAVAKSRDGKFRNVGNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPAIRRPGRFDRVVMVEAPDRIGREAILKVHVSKKELPLAKDVCLGDIASMTTGFTGADLANLVNEAALLAGRQSKSVVEKIDFIHAVERSIAGIEKKTAKLQGSEKAVVARHEAGHAVVGTAVAKLLPGQPRVEKLSILPRSGGVLGFTYTPPTNEDRYLLFIDELHGRLVTLLGGRAAEEVVYSGRVSTGAFDDIQRATDMAYKAIAEYGLNQAIGPMSISTLSSGGIDESGGAARWGKDQGDLVGLVQKEVQTLLQSALAVALSIIRANPTVLEGLGAYLEEKEKVEGEELQKWLKLVVAPTELALFVKSTPAPLLPLQESLLPLQTGL >RHN62570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44412600:44413112:1 gene:gene25162 transcript:rna25162 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTPRSHVPMFLLILVFILSLTWTTEESSLEKILHNHGLPAGLFPQSVKSFKLDQMGHLEVHFDRPCLAQYETTMFFDTVVKANLSYGQLKILEGMFSEELFLWLPVKDIMVIDPTSGLIVIDIGFAFKFLSLSRFDEPPICRSYLGTLSLYLSLSHTTSQSWVLNVIK >RHN66540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13572996:13573739:-1 gene:gene14545 transcript:rna14545 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRTENSNTNQKQEQPSSSSNSSVPPLSNVASITQQQHGHGFEYNNIIVISDVTDDDDQRKEMIENQNPLLGYIVSNESGTESDDDDDDDDRNKQFYDGVAKRYASFIPIQQIDDDYDSSEDDGVLREIDDGSPTPFSETIKAIQERIAKTKKMGFVDESLIWVPKRNGEDLVNRRFCVPSLEELSFKALANHVDGLVSLDGIPDEFKQRLCALLCDSGKMNARFLEVLLSGSPTHVWLKDCSWLT >RHN39425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7015487:7018322:-1 gene:gene45446 transcript:rna45446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, leucine-rich repeat domain, L MKVLVVLDDVSDQEQLDILIGTLDWFGKGSRIIITTVDKQVLGKGVFANDIYEVRPLNFDDSLRLFNLNAFEQNQTYQIEYYELSKRMVKYAKGIPLILEILGRKLRGKDKKEWEDQLERVKKVPIKKFHEIIRLSYNDLNRHEKRMFLDIACFIDGLHLNVDDIKLLAKDLGYPVGVELESLKNKALINISPDNVVSMHTIIQETAWEFVREESIDDPENQSRLVDYDTYQVLKHNRGSEAIRSIATDFSIIKDLQLNSKVFAKMNKLQYLDIYTKGYYVFFQIPRSLNLPQGLKSLPDELRYLRWAYYPLESLPSKFNGEKLVVLNLQNSQVKKLWHEDKDVVNLKFLILSLSSQLMELPNLSKAKNLAIVDLRMCGRLTSIHPSVFSLNKLEKLDLGGCFSLTSLKSNIHLSSLRYLSLAGCIKLKEFSVTSKEMVLLNLEHTGIKQLSSSIGLQTKLEKLLLSHSFIENLPKSIRRLSSLRHLELRHCRKLQRLPKLPSSLITLDATGCVSLENVTFPSRALQVLKENKTKVSFWNCVKLVEHSLKAIELNAQINMMKFAHKQISTSSDHDYDAQGTYVYPGSSVPKWLVYRTTRNYMFIDLSFVNHSSDQLAFIFCFIVPQVESEGFILRFNISVGGEAENIQVYLNKPSQEIKSDHVYLMCDQGLSRYLNSRVKNQPKFKIKVTAESGTPTLGYMPVMLLRGLGVSPINISQYLNFIQQMEIVAEGPCISFSLMGNEIYILTVFIGLVIKNVFCAANEFLL >RHN52898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40088907:40091167:-1 gene:gene37631 transcript:rna37631 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEDVGRTRRGKEALHASVPKEQVPNAPPRRRGRGRGRGRGRREELGGSSSQSQIDIEMPSSQSASGSQPPSVGVVQEGYDGGPSNMSLLPSFGQHIAAKIWNGGVLTIVDG >RHN76732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:815035:817168:1 gene:gene102 transcript:rna102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MAIISDYQDETQTPSSSSQPKPSKTIPFSSTFDPSKPTAFLEKVFDFIAKESTDFFDKDSAEKMVLSAVRAAKVKKAKAVAAEKAKIASQEKAKAAAGIKVNDEKSGVGTEKKDGESGLAAPNQGNGMDLEKYSWTQTLQELNVNVPVPNGTKSGFVICEIKKNHLKVGLKGQPPIIDGELYKFIKADECYWSIEDQSTVSILLTKHDQMDWWKCLVKGDPLINTQKVEPESSKLGELDSETRMTVEKMMFDQRQKSMGLPTSEELEKQEMMKKFMSQHPNMDFSGAKLS >RHN73056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13080376:13086636:-1 gene:gene8833 transcript:rna8833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP citrate synthase MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQEEIAIPVHATIEAASAAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDTKELIAYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNELYNSIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMIVVLGELGGRDEYSLVEALKQGKVTKPVVAWVSGTCATLFKSEVQFGHAGAKSGGDMESAQGKNQALREAGAVVPTSYESFETSIKETFDKLIEGGKITPVKEFTPPQIPEDLNTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIIEKGFGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAHDRGLTPYEFVEGMKKKGIRVAGIGHRIKNRDNKDKRVELLQKFARTHFPSVKYMEYAVQVETYTLTKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >RHN52078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31327434:31328778:-1 gene:gene36696 transcript:rna36696 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSREPIPESSKGWKSPPLGVLKDARCFEDGHTCWGLIVRNHEGAVITAKRKIENVSMTPILAEVVGL >RHN52013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30312840:30313694:1 gene:gene36623 transcript:rna36623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVRFLMFVYSLIIFFSLFFGEAAIERTEPMLTTYLILCVSEADCPKVVKPNYTMCAGGICWQSVQGSNQGP >RHN38858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2479737:2483835:1 gene:gene44828 transcript:rna44828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-Xa family MVFVPSSRILSSYVFVSFLLLISFGITYGTETDIFCLKSIKNSIQDPNNYLTSSWNFNNKTEGFICRFNGVECWHPDENKVLNLKLSNMGLKGQFPRGIVNCSSMTGLDLSVNDLSGTIPGDISTLLKFVTSLDLSSNEFSGEIPVSLANCTYLNVLKLSQNQLTGQIPLLLGTLDRIKTFDVSNNLLTGQVPNFTAGGKVDVNYANNQGLCGQPSLGVCKATASSKSNTAVIAGAAVGAVTLAALGLGVFMFFFVRRSAYRKKEEDPEGNKWARSLKGTKGIKVSLFEKSISKMKLSDLMKATNNFSNINIIGTGRTGTVYKATLEDGTAFMVKRLQESQHSEKEFMSEMATLGTVKHRNLVPLLGFCVAKKERLLVFKNMPNGMLHDQLHPAAGECTLDWPSRLKIAIGAAKGFAWLHHSCNPRIIHRNISSKCILLDADFEPKISDFGLARLMNPLDTHLSTFVNGEFGDFGYVAPEYTKTLVATPKGDVFSFGTVLLELVTGERPANVAKAPETFKGNLVEWITELSSNSKLHDAIDESLLNKGDDNELFQFLKVACNCVTEVPKERPTMFEVYQFLRAIGGKYNFQTEDEILVPEDIIGDNNMVELIVAREGK >RHN39329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6103121:6111870:-1 gene:gene45339 transcript:rna45339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MSYSSKKYDVFVSFRGEDTRYGITNLIYDALIHKSIKTFIDYELNRGEDVWPKLSKAIEESHISVVVFSENFATSKWCLEELVKVLECRKDHGQVVIPVFYKTNPSHIRNQTHSYEKAFAKHERDLGTKSNASNKLIVLKWRSALTEAATISGWDTHTHKDESNLIHKIVNDVLQKLQLRYPNELEGVVRDEKNCECVESLLKSVQILGIWGMGGMGKTTIAKVLFAKHFAQYDQVCFANAKEYSVSKLFSELLKEEFSPSDVVISTFHMRRLRSTKVLIVLDNVDSLDQFEYLCRDYGKLHKDSRLIITTRDRQLLRKRVHRIYEVKQWEDPKSLELFCLEAFVPSHPREKYEHLLQRAVTYAGGVPLALKVFALLLRSREIEFWESAFKKLGKHSNATVHEVLKLSYDDLDALQKKIFLDIAFFFIGEKKDCVARILDACDFEASSEIVVLKDMALITISNDHTIQMHDLLQKMGSDICNDRGTDPATHTRLSGREALDVIEENKGSSFIEGIMLDLSQNNDLSLSADTFSKMKGLRILKFYAPSNQSCTTTYLDLPEFLEPFSNKLRYFEWNGYPFESLPKPFKAKFLVEIRMRYSIVKELWQGIQEFDKLEGIDMSECKHFVQLPDLSKASRLKWINLSGCESLVDLHPSVLCANTLVTLILDRCTKVRSVRGEKHLSFLEEISVDGCTSLEEFAVSSDLIENLDLSSTGIQTLDLSIGCLPKIKRLNLESLRLSHLPKELPSVISLRELKISGSRLIVEKQQLHELFDGLRSLRILHMKDFVFVNQFDLPNNIDVVSKLMELNLDGSNMKRLPESIKKLEELEILSLVNCRELECIPELPPLITVLNAVNCTSLISVSSLKNLATKMMGKTKHISFSNSLNLDGHSLTLIMKSLNLTMMSAVFQNVSVRRLRVAVHSYNYTSVDTCEPGTCIPSLLQCQIATDSSITFNLLPDHSNLLGFIYSVVLSPAGGDGTKKGEARIKCQCNLGEQGIKVSLLNTDCTELNSDHVYVWYDPFHCDSILKFDKPEICFEFCVTNDMGEVDGSIGIKECGVRLVGVEDLESVLLELELDSPRKEELKKAVELESGHSIIFKPLEDLSTNITQVPSHSELSYTCGHEESACGEESSSGQGTQVQNQELALNKKCDFSCDFLIRPLKYLWRLICS >RHN73927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21705031:21706964:-1 gene:gene9827 transcript:rna9827 gene_biotype:protein_coding transcript_biotype:protein_coding MANEQMKPIATLLLALNFCMYVIVISIGGWAMNRAIDHGFEIGPGFDLPAHFSPIYFPMGNAATGFFVTFALIAGVVGIGSLISGLNHIRSWTSESLPSAASVAAIAWALTVLAMGFGCKEIQLNIRNSRLKTMEAFLIILTATQLFYIAAIHGAAAIRR >RHN42234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36567010:36567486:1 gene:gene48650 transcript:rna48650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MVISFSKIVFSISMLLTIFVALQFQDGESNPFRKKRVFVYITSNLTDTELGLHCKDKDTDFGYHTLKFGETYSFSFRPRIFLEAELYFCGFHWMKEIQYFDIYVEVRDDKTCKGDCHWTINKSAPCNVRDGDTECFNWNPKNATEGMQFGEDNGTLKV >RHN66266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10186745:10187905:1 gene:gene14215 transcript:rna14215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MHDNVLITWNPFIFLGLFCYLTSAAASTSPMADADWSDLPKELLNMISERIDIEIDMIRFRSVCSNWRRSSSVPNHHLNLTINFPLHKSLSNSTITSFCCLSKRSIFLIKPQPPQKQQQTLIRPWLVRITQNSSGKKKRFDPFLSHHSSSTSFYLPRAIDFHKLSVLHLGADFITNELAFHMLLPQKVISIGKHSLFLGILKNCTPQLVLFTCGNQPWKWIPEISTTSGDICVFKGRFYAADKFCRTVMIGPDSTVQMVAEHVVHGGDRKLLVESDGELLLVDIYESLDFGINVFRFHEKEKKWVKLMNLGDRVLFLGEGCSFSASASDLCVSKGNCIVFIDDSVSAYWMQGGNGVYHLDQGRVSPVSRYHEYFNLFSPPDWILES >RHN72699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9904275:9906354:-1 gene:gene8448 transcript:rna8448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MGDIRQVMQGLKPALLMLMVQISYASLNVFYKLATNNGMSVKVLTAYRLIFATATTIPIAIIFERKNRPKLTWRVVFMSFFCGLFGATLFQNLFFESLALISATFVSAVFNLTPAVTFILAVCFGFERLNLETAAGKTKVLGTIICIGGAMILTFLKGVEINIWTFHINLLHEGKSGTMHVHSGSKILGIFLGLASSFSFALWLIIQAKMSKEYQGHYSSTSLMSLMGAIQSTGFALCAEKDWSQWRLGWNIRLLTALYSGIVASGIMVIAIAWCVERRGPLYCSVFNPLMLVLVAIAGSMMLNEKLYLGSVIGAVLVIVGLYLVLWGKSKEMKKGTHPEIKEIEVVVTSTTLDHDNITTTTKTHQ >RHN55054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14735598:14741104:1 gene:gene30180 transcript:rna30180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbonic anhydrase MAGETFEDSIATLTRLLKEKAELGDIAAVKIKELTAELEANGSKPFNPDERIRSGFVSFKTEKFLKNPELYGELAKGQSPKFMVFACSDSRVCPSHILDFQPGEAFVVRNIANMVPPFDKTKYSGAGAAIEYAVLHLKVENIVVIGHSCCGGIKGLMSIPDDGTTASDFIEQWVQICNPARSKVKLETSSLSFAEQCTNCEKEAVNVSLGNLLTYPFVRDGVVKKSLALKGAHYNFVNGTFELWDLNFNLLPSVSI >RHN79649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30887598:30894412:1 gene:gene3467 transcript:rna3467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CMGC-SRPK family MGGGHDDTTTESSDFTSEDEGTEDYRRGGYHAVRIGDTFSSGRYVVQSKLGWGHFSTVWLAWDSHHSRYVALKVQKSAQHYTEAALDEITILQQIAEGDTDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGMPINMVKEICFHILVGLDYLHKQLSIIHTDLKPENILLLSTIDPSKDPRKSGAPLILPNSKDKTMLESTAARDTKTSNGDFIKNHKKNIKRKAKQAAHGCAEKEASEGVDGNHETSGAVESSPNASSAREQASSSAGTSRLSDADGMKLKEQGNRRGSRTVRQKLLASADVKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLNKVLMEKYDFSEKDASDMSDFLVSILDFVPEKRPTAGQCLLHPWMNAGPRLLEPSVPSSNHNPGAETANSDQKNKDKDEREAMEAGIGNIAINSDSKPLMHSPSKKVFQGSRK >RHN45333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17006609:17011690:-1 gene:gene39612 transcript:rna39612 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGFERFATFNEELFKEITQLLTLEILGKMNSCQSMVILSLCGQSRWLSLKSTLKPIIIS >RHN50349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6399138:6399758:-1 gene:gene34624 transcript:rna34624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLRKSTLFSLLSNCPSLSEIKMEHTSIKKKSVENSDSLTDIGVYPQLKSLYFGHNSIYFGHNSWLSDESIISFASIFPSLQHLELRWCDRISEGICQVLRRCCNIRHLNLTGCSRVKLLGINFAVPQLEVLNLSDTKVDDETLYVISKNCSGLLKLLLRDCYNVTEKGAKHVIENCTQLREIYLRVCFHLTDKTRELFTRRGCLVR >RHN75821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45360664:45365117:1 gene:gene12075 transcript:rna12075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MDSGTVVEDKVVREIQRYSEGKVYTRKNFKVSEDKVNSLEVVPHTHSGATTATVTVDGTKDNGNNVSVQLLDIVLVPEDGNSAPPVVNSGLDVVSEDSSSLCRRQDEHFSLDVVQLEDGNDLLEPALRRCDDKKRLENGVKNGLASRSKQEMRGIKRKLEGYLETVRSLVIRIEEKQRMAGRYGGGLNVSVDRYRVDNGSGAKRAHSEVASAGVPREANRFTRQLSVMVLENGHGISESVEKEKRTPKANQFYSNSEFLLAKDKFPPAESNKKSKSHGKKHGVGEMGHGFGIVSKYLKNCSSLLEKLMKHKHGWVFNTPVDVERLGLHDYFIIISQPMDLGTVKSRLSKNWYKSPKEFAEDVRLTFHNAMTYNPKGQDVHVMAEELSALFEERWAIIESNYNHEMRYGLDYGPAISAPSPLSRKTPALRPPPLDMRNLDRSESITNTRNPLSLTRSVRTPAPKKPKANDPDKRDMTYEEKQKLSIHLQNLPPEKLDAIVQIIKKRNSALSQHDDEIEVDIDSVDAETLWELDRYVTNYKKSLSKYKRKAELAIQARAEAERIAQQKSQEPPVIVDSPRERQAEMLPRPWLCKGKFESIIMGIRQVAQAAQAVIPALQQVILIVIAPHRLGLIQGHSEPKYLLRCQIFRLDSVAMLVKLILLKLPDYGSEFGIWFAEKASEGDYDLLMCRESFSLIS >RHN52544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36503129:36503608:-1 gene:gene37233 transcript:rna37233 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCRLLWTVLMCEKHEDNPIGPCLVSFYLSNEVSLTTPILPDVDDCFDVKAKWINLGVLNGSIALISYNEETTTFRVSILGQLGFRESWIKLFMVGPLPYVERPIGVGKNGEIFFIRKDKEVAWFDLSTQMIEELGYTTENLHCRIINYKENILPFEE >RHN64080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56417358:56422759:-1 gene:gene26860 transcript:rna26860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MLPHWATPMQACYNPEFTPNPYYNHPPAAGSYLNHQSEADSYLNHQYAAGSYMDHQYMWQNQENNTLLQCNALHDPAKLSNKSILAEEADDIRKDRKRQSNRESAKRTRLRKKHEREDRTKIETLNEEIAVLTKQLKSYFEACLELAEENDSIEEELIKEYGPELIADLLFMKPTA >RHN64663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60837845:60840915:-1 gene:gene27502 transcript:rna27502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MVVDPVVIPKLRMDEQRAIQEAASAGLKSMEQLIRVLSSQTSSSSSSSNQLNQLDLVNKLDCTEITDFTVSKFKTVINLLNRTGHARFRRAPSSPPCSSYQFQSQSQPEKFKTQPQSTTLDFAKPIQLVKSNPNPNLKPKTNQSTDLSVSQYSKSKEAYSISTTTSSFMSTITGDGSVSDGKIGPIISSGKPPLASSHRKRCHEATISGKVSSSGHCHCSKRRKSRVKRTIRVPAISSKVADIPSDEFSWRKYGQKPIKGSPYPRGYYKCSSFKGCPARKHVERAQDDPNMLVVTYEGEHRHAQTVVTGAGFISQPL >RHN45032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10981573:10983039:-1 gene:gene39227 transcript:rna39227 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVAAPPSSHGISSMVKRKTPSELRGEQLKRESVEDLTDDDDDEVSPSAGSAKDTQLIGEVFSAKKSMFRIVSKEQTSSNLNNESNEKFLSVADLSSSAVVGRSSGAAATSGIDMGQALKGLASLVNSAVPTIARENSFSGCHINIPGKNAPLDLTLKTSMQIVFSSASANWNENIRGFKDLHSWMYPQSFIPSSIISVLNSSTAEEELEFLRKRQVGWEESFRDLFYMLRNNVCGLFYVCTSRFVVMFTGGDGSGKSKSKKCSCNAYISRSTRGLRSLLREHDICFSMPLCSSKVDQEVTISEDLVGLKTRRQRSYDVDNSPESLLVFSGNNNVHGLYDLLLNYKTLLASLSGVEDVPVLCSPVPFQNSAMTSPDIKCMEMTRAEDIAQGSSNDLFYSIEVKDAIIPPWIICNICALMMGSQGRRNFEASFVTEPLSIGLNVALKSICDNSFGIPKAAVMSSLCSCTLKSVNYCDGSYLASLSPVV >RHN51501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19049344:19052631:1 gene:gene35947 transcript:rna35947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKIINFVYNMIIFFSLFLVATNAGGCNPCLVTCPDDLLNRCPPGMEPICEYGVIKCYPIGKETNRVLT >RHN46761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34666631:34669571:1 gene:gene41285 transcript:rna41285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vestitone reductase MEKGKGRVCVTGGTGFIGSWIIKRLLEDGYTVNTTVRSNPGQKKDVSFLTDLPNASQKLQIFNADLSNPESFNAAIEGCIGVFHTATPVDFELKEPEEIVIKRTIDGALGILKACKNSKTVKRVVYTSSASAVCMQNKEVEVMDESYWSDVNNLRTLKPFAWSYAVSKTLAEKAVLEFGEQHGLDIVTLLPTFVVGPFICPKLPSSVHSSLAFLFGGINKNPLMLVSRTGMVHVDDVARAHIFLLEHPNPKGRYNCSPFIANIEEIVDLVSSKYPELQMPTSKELMGVKGPKFPHLTSKKLMDDGFKFKYSLEEMFEDAIECCKEKGYL >RHN67680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29241239:29248211:1 gene:gene15891 transcript:rna15891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MEGFETSSNSQSKLKINERVEVKSFEEGFLGSWHPGTVIDSEKLKRHVQYENILNDNGLGNFVEIVSVSSVLDGDIGSLSKRGRIRPVPPLVEFEKCDLKYGLCVDVNYQEAWWEGVVNDKCDGMEERTVFFPDLGDEMKVGIQEMRITQDWDEVTEKWEPRGKWLLFELIEESYLPVSVRQIWYDVRQKKEFSAIGEWTLIEKKDLWRHLIMEVVGDYLAVTVKEVFSALKLPEMESGELLSEKEKFEQKEPVVPPAGKDLPKFQNEVSCNGAGEAVAINFEKNEHRLSTDLKSNNQWESVIFSETEYCPDAVKQYVLASDDRATMTSLKEKVWKHLAYIGWEIDISLRNKHYRYTPPNGIHCNKKVYYSLTLLCKAESMMNSSPSENGLGISHPAGDCHVPNVPLSPSEKIQNQVSPLVLGLPSVAVADEQAYCPQAIVEYHKHALKKTCNPADKRKLISKVRNHLKAEGWILIDPPPNNRRRGVLYISPQKQRFFSLNSVCSFLLKESIQKSTISCMQPLNISTIHEENVDQVLSDDLSSRDSVAANKSSANRKRKRLRNSDGSLPKRRSNESTLRVLRSNERVPNASSSCLSHHKPLNVLSWLIDSNTLLPRSKVFCRAKRGHRTVLSGRISHEGIKCNCCSRIYSLVSFEFHATGSNTIRPSASIFLDDGRSLLSCQIQIMQDHKSKEAMIKPHSHLCQGENDYICSVCHFGGELLLCDHCPSSYHKTCLGLEDLPDGEWFCPSCRCGICIQSKFNGGGEDEHFLTCIQCEHKYHVACLKSRDTSKSGSYLESRFCGKDCEKLYAALQSMLGQPVSVGADNLTWTLVKCADSESCELDNSKSGLLAESYSKLNVALSLMHECFEPLKESSSCRDLMENVLFSRWSELNRMNFRGFYSVLLERNEDLVSVATIRVLGDKVAEVPLVGTRFQYRRHGMCRILMDELEKTLTQLGVERLILPAVPTVVDTWTGAFGFSKMPNFERSQFLNYTFLDFPGTIMCQKFLANIPSPNSVLPTEFQRKQDAISGSSSASNKSPVSEVYQAEEIDKRESLDQQMMDTSEGDNDNLGSAVIDCVTMVEQPIPEDQQHCQNGTTQECSFVRWRGLVYSRRRKAKGENGA >RHN53491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2032390:2033729:1 gene:gene28401 transcript:rna28401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MESLPDDVLCHILSFLPTRDAVATSLLSKRWKPLWLSFRSFDLDDNYFSDFHRFSNFVTSSPQSIQSLRLTCGSHFTFEFEDVEEDAFDLFLYRLSFKGIQELDLCLVTLIELPFGFYTCNNLVTLKLDNVTFKDGSSYINFPLLKSLNLNDVVFGNRANMFDFFCGCPNVEDVEVTSLSIVNSRIPQPPEEGVEALPKLVRAKISELHSMLPLLCNAQFLYAGVSYWCCNPTFHNLIHMDITLELISCDVMWNWFAQVLQNCPNLQNLTVQKVCLICFWSSCILNIISHILFLTKIKLCVYCTQKYACVKKHGNDVHWKDPQIIPQCLSSRLKTFKFKSFNDFDCEVQFAKYIMQNSKVLQNMTIHTTLDIDLKHPMLETLSLCPMGSATCNLHFDLESAQHLRRPTAL >RHN43302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44792666:44796646:-1 gene:gene49851 transcript:rna49851 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 4-epimerase MVSSSQKILVTGGSGFIGTHTVLQLLQGGFAVSIIDNFDNSVIAAVDRVRELVGPQLSQNLDFTLGDLRIKDDLEKLFSKTKFDAVIHFAGLKAVGESVANPRRYFDNNLVGTINLYEVMAKYNCKKMVFSSSATVYGQPDTIPCVEDFKLQAMNPYGRTKLFLEEIARDIQIAEPEWKIILLRYFNPVGAHESGKLGEDPRGIPNNLMPYIHQVAVGRLPALNVYGHDYPTRDGSAVRDYIHVMDLADGHIAAVRKLFATENIGCTAYNLGTGRGTSVLEMVSAFEKASGKKIPLKLCPRRPGDATEVYASTDKAQKELGWKAKYGVEEMCRDQWNWAKNNPWGYSGKP >RHN55747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22486187:22486804:1 gene:gene30987 transcript:rna30987 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFPPICKQDPLDVQMNWIKDHFASTGTKINLEDVHETMYGGALPVAKSRKTKRKSINKDDYLEDASKKSSKKAKKEKSSSGVPTIQEEAKELNVDAILKKKTRSDKASISSQTVSDQPSIPKKKRKQAIMKLKMAADVAEEEEIEVATNLVTREVRQKKAEDATTLQKALELAKKIEITASNIVREDAGADAQEVIKAAGEVQ >RHN64085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56455054:56458665:1 gene:gene26865 transcript:rna26865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MEDGVAKVRADQYNGRVTVHVILACIVAATGGSLFGYDVGISGGVTSMDDFLLKFFPSVYKQKMHAHENNYCKYNNQVLAAFTSVLYISGLVASLVASTITRKYGRKISIIVGGISFLIGSILNAAAANLGMLIIGRILLGVGIGFGDQAIPLYLSEMAPTHLRGGLNMMFQVATTLGIFAANMINFGTRNIKPWGWRLSLGLAAIPAVLMTVGGILIPETPNSLIERGSKEKGRKVLEKLRGTKDVDAEFQDMVEASELANSIKHPFRNILEKRYRPELVMAICMPAFQILTGINSILFYAPVLFQSMGFGKDASLYSSALTGGVLLLSTFISIAIVDRLGRRPLLISGGIQMIICQVIVAIILGIKFGDNQELSKGYSLSVVVAICLFVLAFGWSWGPLGWTVPSEIFPLEIRSAGQSITVAVNLLFTFIIAQTFLSLLCSFKFGIFLFFAGWITIMTIFVVLFLPETKGIPIEEMAIMWKKHWFWKRILP >RHN75124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39559487:39560006:1 gene:gene11283 transcript:rna11283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MEKKTLAGLCFLFLVLFVAQEIVVTEAKTCENLADKYRGPCFSGCDTHCTTKENAVSGRCRDDFRCWCTKNC >RHN62749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45621609:45625292:1 gene:gene25354 transcript:rna25354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MSDEDEYRCFVGGLAWSTSDRKLRDTFEKFGKLTEAKVVVDKFSGRSRGFGFVTYDEKKAMEDAIDAMNGIDLDGRTITVDRAQPQQGSARDDGDRYRERGRDRDRDYRGGRGSNDGGCFNCGKPGHFARECPSGEGGRGGGGRYGGRESRYGGSGGGGGGHYGPDRNGDRSSGGRSRDGGSRGDSGSDRYYRDRDRAGPYERRGSGGGYR >RHN72812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10910053:10919973:-1 gene:gene8571 transcript:rna8571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation protein, beta-barrel MGRFSRSSFLSSSSFQQLRRQFSSESESVKRVRNIWISGLNDVPSKGSSIEDRLQDDLSREEEIYYAKFYGFIKHTICYIQGRRPAVNSFVWKNYQNYLINIIHSPDFTLEEVQTALPAFDCGAILALSSVAGVQTQSITFDKLMLRYQLPRLVFINSLHHKGANPWQVIDQARSKLQHHSAAIQVPIGLEDDFKGLVDLVQLKAYYFHVEKVVVEQVPEEVPEDMEDFVSEKRRELIQTVSEVDDKLAEAFRCDKPISAPDLEEAVRRATIAHKFIPVFMGDAFKYNKGLQLLLDGVTNYLPCPIEASAYALERSKNGEKRTLVASAFTLKENWKHGQITYLRIYEGVIRKGDFITNVNTGKTFEVPRLFRKRNDCLDVIQEAHAGEIVAVFNVNYVPGDTFTDGLVRYTRSTSVDASKYLNGFQGKDSTTSRDGLEMGEESPVSRGYANDRLIMINLINSEIMC >RHN56626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32344821:32346891:-1 gene:gene32058 transcript:rna32058 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP83G1 MNKNMSPLILLPFALLLFFLFKKHKTSKKSTTLPPGPKGLPFIGNLHQLDSSVLGLNFYELSKKYGPIISLKLGSKQTVVVSSAKMAKEVMKTHDIEFCNRPALISHMKISYNGLDQIFAPYREYWRHTKKLSFIHFLSVKRVSMFYSVRKDEVTRMIKKISENASSNKVMNMQDLLTCLTSTLVCKTAFGRRYEGEGIERSMFQGLHKEVQDLLISFFYADYLPFVGGIVDKLTGKTSRLEKTFKVSDELYQSIVDEHLDPERKKLPPHEDDVIDALIELKNDPYCSMDLTAEHIKPLIMNMSFAVTETIAAAVVWAMTALMKNPRAMQKVQEEIRKVCAGKGFIEEEDVEKLPYFKAVIKESMRLYPILPILLPRETMTNCNIAGYDIPDKTLVYVNALAIHRDPEVWKDPEEFYPERFIGSDIDLKGQDFELIPFGSGRRICPGLNMAIATIDLVLSNLLYSFDWEMPEGAKREDIDTHGQAGLIQHKKNPLCLVAKKRIECV >RHN54054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6571888:6576344:1 gene:gene29033 transcript:rna29033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ternary complex factor MIP1, leucine-zipper MSSREHTTLQDKMEKGGSRKMAAHNTLKKGRRERKMALIQDVDKLKRKLRHEENVHRVLERALTRPLGALPRLPPYLPSHTLELVAEVAVLEEEVVRLEEKVVNFRQGLYQEAVYISSKRNAENSIDSIEQNSIKGSKHQRSKSLSQSELNSTTTRPQISLAISASSRKLVNGKQLHSKQDSLSSIPEEKSIEKKMAKVITPVKKSPTKQESADKCVDHVKLQLEKRLVDQERAQNSSGDKVLEVDSTPNRVSEDIVKCLCSIFMRISIFKDNLGELKTPLHDPYGICSISKTRDIGAYNSFCEIEASAVDFSRMKNSVFLINRLKFLFGKLASVNLKGLTHQEKLAFWINTYNSCMMNAYLEHGIPESPEMVVALMQKATIVVGGHLLKAITIEHFILRLPYHLNYTCLKSAKNDEMKARGIFGLEWSEPLVTFALSCGSWSSPVVRVYTASQVDNELEAAKRDYLQAAVGITKTNKLIIPKILDWFLLDFAKDLESLLDWVCLQLPVELRKEAVECLERRGRQPLSHMVHMTAYDFSFRLLVHQ >RHN74767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36545589:36549345:1 gene:gene10880 transcript:rna10880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chalcone isomerase MFTTVAASTLPCFSSFTRFYIDKRISKPLNYVHSFSLFTPPSLHFASKRHPHFFPQAASSSSSSSSAAANAEYLEEPATNVKFQTSLSFPGCSDSLTLFGTGYREKVFAIIGVKVYASGLYLNQSIVNELNAWKGQSKDVIQGKSSLFKTIFQSPLEKLLQIVLVRDVDGKTFWDALSDAISPRIAKPTTADETALTTFRSVFQDRPLKKGTFIFLTWLNPTKLLVSVSSEGIPSTADATIESANVTYALFDVFLGDSPVSPSLKASVAQCLSKVLE >RHN56001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26589342:26590190:1 gene:gene31311 transcript:rna31311 gene_biotype:protein_coding transcript_biotype:protein_coding MIFMHPDSGDCSTRTEAVPKNISYNTTKNPLKKKKNTTKKTLTSKGTTITTTITP >RHN52995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40938302:40938920:-1 gene:gene37738 transcript:rna37738 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSIKAMDSKENSKVHQEQSTSSSFSCSSLSKQCHMFSTTECNELNNAKAEAESESSVSFTQSKDSYIESGNNALDEEEEDDEVSLNELLLDGKTKKKIELLAAMVGVDTTEPAIVLTEVVRILRVLKSISDY >RHN66722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17619866:17621928:1 gene:gene14783 transcript:rna14783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I MAVTELPTSTTTTNKIKKISILPLIFIIFYEVSGGPFGSEDAVKAAGPLLTLLGFIVFPFIWSIPEALITAEMGTMFPENSGYVVWVSSALGPYWGFQQGWMKWISGVIDNALYPVLFLDYLKSTIPAFESGWPRVLATLGLTIFLTILNYRGLTIVGFVAVCLGIFSLLPFVVMGFISIPKLEPSRWVEVDLKDIDWSLYLNTLFWNLNYWDSISTLAGEVENPRKTLPKGLFYALILVVSGYLFPLFVGTGAVPVDRAAWSDGYFSDIAMIIGGAWLRWWLNAAAAVSNMGLFVAEMSADSFQLLGMAERGMLPEFFTKRSRYGTPIIGILFSASGVILLSWLSFDEIVAAENFLYCFGMILEFIAFILLKIKKPNASRPYKVPGGKVGAIFLCIPPTILIGFVLAFSSLKVFVISVIAMVIGLVMQPCLKFVERKKWIKFSVSPDLPDLDNGESTQTLVQ >RHN50001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3076478:3085563:1 gene:gene34247 transcript:rna34247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-exporting ATPase MADKEEAMHAVLTEAVDLENVPIEEVFQTLRCDSNGLTTKAAEERLAIFGHNKLEEKQESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGNKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWVEEDASILVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDSVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQQVLTSIGNFCICSIAIGMIVEIIVMYPIQHRKYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEIFTKGVDADTVVLMAARASRVENQDAIDAAIVGMLGDPKEARAGIQEVHFLPFNPTDKRTALTYIDSEGKMHRVSKGAPEQILNLAHNKSEIERRVHAVIDKFAERGLRSLAVAYQEVPDGKKESQGRPWQFTGLMPLFDPPRHDSAETISRALNLGVNVKMITGDQLSIAKETGRRLGMGTNMYPSSALLGQNKDEGIGLLPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWQFDFPPFMVLIIAVLNDGTIMTISKDRVKPSPYPDSWKLAEIFTTGIILGGYLAMMTVIFFWAAYKTDFFPSTFGVSSLQKKDRDDIRKLASAIYLQVSTISQALIFVTRSRSWSFVERPGLLLVAAFVIAQLIATLIAVYANWSFAAIEGIGWGWAGIVWLYNLIFYIPLDFIKFIIRYILSGRAWDLVFEQRIAFTRKKDFGKEERELKWAHAQRTLHGLHPPETKMFSERTNYTELNQMAEEAKRRAEIARIRELHTLKGHVESVVRLKGLDIDTIQQAYTV >RHN64983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63451226:63454779:1 gene:gene27871 transcript:rna27871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MANFNNLILLFSILSFSFLSISIPGESSHFQIKLENEEQERGPFIGVNIGTDVENMASTSDIVSFLKLQKITHVRIYDANPDILKSLSGTKIRVIISVPNNQLLAIGSSNTTAASWIQRNVVAYYPQTLITGISVGDEVLTTVPSSAPLLLPAMESLYNALVSSNLHQQIKVSTPHAASVILDPFPPSQAFFNQTLVSVLLPILQFLSKTESPLMMNLYPYYVFMQNKGVVPLDNALFRPVTPSKEMVDPNTLLHYTNVLDAMIDSAYFSMKNLNVTDVVVLVTETGWPSKGDSKEPYATKDNADTYNSNLIKHVFDHSGTPLNPETTSSVFIYELFNEDLRSPPVSEANWGLFYGNMSAVYLLHVSGIGTFLANDTTNQTYCIAMDGFDSKTLQAALDWACGPGRANCSEIQPGESCYKPNNVKNHASYAFDSYYQKEGKAPGSCDFKGVAMITTTDPSHGSCEFPGSKNVSNKTKEVVNSTHTSSAGEKLRFRSIKINTVNNILRILLTTCIPALLLVLS >RHN42094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35350584:35352448:1 gene:gene48489 transcript:rna48489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MATSWTARQNKLFEQALALYDRETPERWHNVAKVVGKSVEDVKSHYEILKEDVQRIEHGHIPFPRYKTNTNS >RHN66111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8592351:8593822:-1 gene:gene14027 transcript:rna14027 gene_biotype:protein_coding transcript_biotype:protein_coding MASINLSTIIFSFMFLALLSTNSNTRVAGARNLLESNIPQFPKLDFPPLPKPSLPELPKPDFPNVPELPKPDFPKINVPELPKSDLPNVPEMPKLEIPKVPELPKPELPKFNVPELPKPELPKIPESPKLEQPKVPELPKPEIPKVPEMPKHELPKFNVPELPKPELPKIPESPKLEQPKVPELTKPEIPKVPEMPKPELPKFNVPEFPKPELPKAPELPKSEVPKVPELQKHELPKAPELHEPRLSKVFELPKPELSKIPELPKPQLPKTHELTKPEFPKVPELPKPELPRIPEMPKSNFPKVPELPKLEQPKVPELTKPEIPKVPELPKLELPKVPEFPKPELPKILETPKVVPTTTP >RHN58902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5831426:5832346:1 gene:gene20858 transcript:rna20858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylethanolamine-binding protein MASKEFRLVSPAIDKEGGVKLPRYYTHEGVGSKWNISPPLEWLNVPPKTKSLALLVQDVDTVDPTGRTVPITHWVVVNIPATLTKLPEGLSGKEDEMGDEYNGIEEGVNDWKVNLWRGPKTPNYGDRFEFKLYALDDHMHFDNQVTKEKLLDAITGHILGEAVMIATF >RHN70108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48829867:48831451:-1 gene:gene18657 transcript:rna18657 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLWTLITQLHSLAGPVVTLLYPLYASVVAIESPSKLDDEQWLAYWIIYSFLTLGEMLMQPALEWIPIWYDVKLLVAAWLVLPQFMGAAYLYERFVRDHIRKYVTEKEHQRVHHHPPENNQQQKQNKKSPTGAKTKKKFVDFIIPKKGDQEAY >RHN52334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34048402:34048890:-1 gene:gene36997 transcript:rna36997 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTCCLYQRCGFLRKYRLPRSHPSSNRGSNTSVGSLGKLGGLFTLRLEQSHKQERHHTLTQNLKAVGLWVLLLITCSTFTILSDVGHITHTCKPTVIWCGRRFNCYCYEGEPYRAANVDVLIEEGHVRNTLDTLQVIRSVKSIAMDTLENGTSIKDLRDCL >RHN68627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37245777:37248063:-1 gene:gene17000 transcript:rna17000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant organelle RNA recognition domain-containing protein MAFSSFFISKTFKNHNHYFTAKAKFSTSFLVTKTPKKLKKKHKPKSSPRTNPIQTEPNRVTAFEQIVKRETLMRFVTRSKNYLSNQPEHVLQLDDAGKLHRELGFPRGRKIAKSIQQHPPLFLTYRHTDGKMWFGFTDLMEELLAEEKSIMESMELDRVEKVRKLLMMSRFHRISLAKIHHVRNLFGIPDDFRDRVEKYPNYFRIVDDKNKDDGKKVRVLELVNWDPLLAVSAIEKEFMVNEDDAKRKFTFPVKHGKDLELGIEDERKMNLLNALPLVSPYSDGAKFDVWTLEAEKYRVGLIHEFLSLTLEKRASIHHLVEFKEEFSLTKHTYHMLVKQPRAFYLAGTEMNWVVFLKEGYDRNGVLIHKDPQVVFNEKVYKYAQIKENEEMELGYDVGLEKQDN >RHN58171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44305565:44306999:-1 gene:gene33787 transcript:rna33787 gene_biotype:protein_coding transcript_biotype:protein_coding MGCESKACNQMFSYDFCIFKSFCSICSSSWMALFALLLLFSSYLLVGYGFLSLLYTSMVIVFSTFLYIIIVSKQRKVNVDKLVEEKFLNSDDEKSNEENEAQEEVNIDGSESESQEDHLSTSEGSEVDHSHDYSDGSISDEESLIEIALPSGNYVNMQKDESDYYSLQQKKKELFGDALFSQQSLMELLSELNEVNEEENFIEIDLSMGSIKYSRFEIEA >RHN68487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35915904:35917124:1 gene:gene16849 transcript:rna16849 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGKRNPLSDLTNNSSTHFSSSSSTVQPNNNTSILRKTRLKLNPLLDVVVKPLSLPSTKNPKKELALRTPLTVRCKKKQRGVPNEQVEFQDFIEKQKAYYKEIDEFELEEEEVESVDE >RHN61232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34026701:34030365:-1 gene:gene23673 transcript:rna23673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endothelin-converting enzyme 1 MDETHPTTTATVEPSSASAYLNPSYWDERFSKEEKYEWFKEYSHFRHIIQPHLTPNSSVLELGCGNSQMCDGLYNDGTTNITCIDLSHVAVHNMQNRLLSQGFKDIKVMQADMLELPFDDECFDLVIEKGTMDVLFVDSGDPWNPKPETMSKVMSTLKGVHRVLKPDGIFISITFGQPHFRRPIFNAPDFSWSVEWTTFGETFHYFVYVLKKGQRSSHEDIPPVKRIEVPHFNLFHEELESEDFAFRINVDELNS >RHN55040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14646156:14651692:1 gene:gene30165 transcript:rna30165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MSGFSLRGFTYMLLIAFLIWSSNFECCIARRGKHLRQSRTFSSSLFKKKGKSYNGNSHNKNHHSGGSNSKPKSPPHKSTPPLPKSPPSHKSVPSSPPISKPKYSPSIPPPKANNGVHSTFFNVLDYGAKGDGNTDDTKAFQATWAATCKVEASTMVIPANYIFYVGPISFSGPYCKANIVFQLDGTIIAPTNPNAWSGVTLQWLEFTKLEGITIQGNGVIDGRGSVWWQDFPYDNPIDDEEKLIVPLNQTQKPPMPVQNEMGRKMPSNKPTALRFYGSYGPTVTGITIQNSPQCHLKFDNCNGVLVHDVSISSPGDSPNTDGIHLQNSKDVLIHSSKLACGDDCISIQTGCSNVYVHNVNCGPGHGISIGSLGKDNTRACVSNITVRDVNIHDSMNGVRIKTWQGGSGSVQGVLFSNIQVSEVQLPIVIDQFYCDKRVCTNHTSAVSLAGINYERIKGTYTVKPVHFACSDSLPCVDVSLTTVELKPIQEKYHLYDPFCWQTFGELRTPTVPPIGCLQIGKPSNNRIQTDHDIC >RHN52914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40305932:40307025:-1 gene:gene37651 transcript:rna37651 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMFTGSGMNSLATNHLYLYCRMLNVTDVNIPSRYKALSFIFVRGIPLHPRMVDFLHYCTSLFAHMHNCIFLVVEIFISCSCVKIYGIYTSVGRSFGFAPLCFLFEHVFVACISSPNDMLLYDAFFMEVLTSGARLWSRWLQSYVLLEFPSIMTLGR >RHN41635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31659478:31663649:1 gene:gene47977 transcript:rna47977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSVMQRYGKCKSVVKRSKKYLDEALYLKLFKDGGSELNVRQQLNQFIKSGKRVYKWEVGDTLKKLRQRKLYQPALKLSETMAKRNMIKTVSDQAIHIDLVAKARGIVAAEKYFVDLPESKKNHLCYGALLNCYCKELMTDKAEGVVEKMKDLDFPLSSLSYNSLMTLYTKVGQPEKIPSIIQEMKSSNIMPDSYTYNVWMRALAAVNDISGVERVIDEMKRDGRVTGDWTTYSNLASIYVDANLFEKAEGALKELEKRNGYKDLSAYQFLITLYGKIGKVYEVYRVWRSLRLAFPKTANISYLNMIQVLVNLKDLPGAEKCFREWESSCTTYDIRVANVLIGTYAKLDMLEKAEELKERARRRGAKPNAKTWEIFLDHHLRNADFKLAVDCLSEAISIGRGNGEKWVPSSETIGIMMKHYEQEKDVDGAEEFIEILKKSMESVGEEVFESLIRTYAAAGRTSAAMKRRLKMENVNVNEDAQKLLEAISEE >RHN42106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35435791:35437667:1 gene:gene48504 transcript:rna48504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVELVKFVYVMITLLSIVVVAKNSQGNKENICFKDADCPQDICSYPFKPKCNIYGYCSC >RHN74951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38095126:38096005:1 gene:gene11090 transcript:rna11090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bulb-type lectin domain-containing protein MSYFYINSFKINLKEYGGNYRMINIFNAPFQVGFYNTTPNAFTLALRMGLQRSEQLFWWVWEANRGSPVDENATFSLEVDENLVLANADGRIIWQTNTSNKVLLPLGKFVWQSFDHPTDTLLVDQYLKANGPSKLVSRLSEKENVDGPYSLVFDPKGLTLYYKSKNSPRPIPYWNSSNWYSFEYEKLSLENVTLISNPFEIGMEIFFVNVAFLGMKVFSEPVNNSTLTYPRLGIDGNIKIHTYFFNLVIFLLVVLDCNIVGLSLFSTWRRTCNICTIN >RHN42160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35962108:35969380:-1 gene:gene48565 transcript:rna48565 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFSFHAVNAFHGCASFSWPHIPPTLDVMAWLKLCGLIGLLIVQGTVMASAISLVEEMLFRSWNHNFRAGIFFCAEEMQLYIWQWELQNTILGY >RHN68166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33323767:33324000:1 gene:gene16479 transcript:rna16479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKRKKVKVECMTKPNWIELPIDLTKNILRRLDTVEIVTSARNVCPLWWNVCKDPLMWSTIKMTGDFSNPLRLDKMCT >RHN47959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43834222:43836327:-1 gene:gene42618 transcript:rna42618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor/ chromatin remodeling BED-type(Zn) family MANEEVQSPNDVSPTQQTQTALDETNVESQEPEAVREPSVSPNKRGLKSVYWRHYKRQKFDGKFKAICKYCDKKLGGETTNGTSHLKDHLSICAARNKRSPMQALLKVSEVPGKGKESFVAGTYTFNQDISRTVASESAFSTSGRDVTPQRSRLKEDTLEALMCSQNWFRTEMQGYSKIYASFECVDEDMDDDEEMSQT >RHN43623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47301608:47304602:1 gene:gene50230 transcript:rna50230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S4/S9 MRKLKHHEQRLLRKVNFLEWKREGGHRENMVMQRYHVTGRDDYKKYSSICRMVQKLVNTVKQMDPKDPFRVDMTDKLLEKLYNMGVISTRQSITLCERLTVSAFCRRRLSTVLVKLKFAEHLKEAVTYIEQGHVRVGPNTITDPAYLVTRNMEDLITWVDSSKIKRKVLQYNDKLDDYDLMN >RHN53876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4901917:4902708:-1 gene:gene28836 transcript:rna28836 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMRRILFVMKRIRLVIKILKLLHQNLRVLPLRKIASFSVLSVIQFLLLFHSGMLRFSQRLMDSPSRSAKFLAFSLIHVVALIATLCWMLRRKTRIHIILIRCFTPPLNLKKFNNRKSEFNVFEVETKNSKSLVTDFILLGSGPVNGVSFFFFGKQEQVLMTVNNKLQYFQLHDEYIVDFDPGGNYCGSFPSFLSSSFSISFFMYTSFVIDRLWWIPWDRGKKQFSDGSVHALVIHFLVIPFQFKIFFLPPFHIQSQSRKCG >RHN55307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17075716:17076294:1 gene:gene30472 transcript:rna30472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSLFDLTLSLSIFPIYPLVYKYHSKFILLPKHKKEYSQESCNHIGYFSTSNLTITMIMIMKYLTIVYTHLKWVLDILTYYPFYKLHDSNFPIFGEMYDICNYEHNHGSNEDVECAVCLCKIEEGDEISVLRCDHMYHKYCLDKWIGFKNHTCPLCRESLRPERAITELGAEILSFNFCVIRNDRDRDDFWLR >RHN55573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19600371:19601427:-1 gene:gene30774 transcript:rna30774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MERRILRSTKRRRIYEVKNDEKAENGEIDDMLSNFPDGILLHILSFLDAKYAVQTCVLSTRWRNLWKLIPTLILHSSKFSTLKQFSKFVPKILTLRDTSISLHALDLDRHGHIEPRILKKILDYVCSHNTHLQKLEISLRGDHRLIMRTVSSCHALTSLKLSVWNRGGSCDNSGTRFPKSLSLPSLTNLNLKEFIFSCGENNCAEPFFGFTKLNSLVIDCCSIWDAKTLKISSETLVNLTMHKNIFYIDKIELSTSSLCTFTYTDCFIPRICGTGLASVKQFYVVVTMGQYLEKPGMVLRSWLLDLANVTSLTISSTTLQNLIDFRK >RHN69647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45229137:45233563:1 gene:gene18142 transcript:rna18142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABI family protein MGKVTTSSTPPFSQEASNFDEVYMQQSLLFDDSLKDLKNLRTQLYSAAEYFELSYTNDDQKQIVVETLKDYAIKALVNTVDHLGSVTYKVNDLLDEKVVEVSGAELRVSCIEQRMRSYQGFADHGGRSQQSLVISTPKYHKRYILPVGETMVGANLTKSKYVGCSLDDEEEWPHFRNAVRATIRETPTSTTTSKGRSPSPSVQPQRPGPFSFTSTMPKKDLDKRSVSPYRFPLLRTGSRSSRPTTPNLSRSTTPKPSRPITPNPSNTKQRYPSEPRKSASMRLSAERDNGKDVEQFPSKSKRLLKALLSRRKSKKDDTLYTYLDEY >RHN76363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49691701:49696187:1 gene:gene12675 transcript:rna12675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease P MLRGTVSMAKRTPLFSILTHRPFLSSFSLKHTFNRATLPFTTTTINNTLSQTPTSQNNTESTTHPIKLSTKARRKAAQESPVGILQKKLNNCSKTSDVLQALNLYDEARKAHVPLNLDHYNKLLYLCTVQNGDGSFFHLGLQRGFEIFEQMLRDNVEPNEATFTNAARLAAAKEDPEMAFELLKQMKRVGIAPKLRSYGPALYGFCARGDAMKAYEVDDDMIESGVMAEEDELCALLEVSVEVKNEDKVYEILHRLRAVVRQVSESTLKVIEDWFESEYAMKIGKREWDDEEIREGFVRGGGGWHGQGWLGSGEWKVVKTNVDEDGMCLSCSEKLVSIDIDPKETENFAASLSKLAHEKQPKANFNHFQKWLEKNGPFDAVVDGANVGLANIAEFSFKQLDYVVRQLRQLSPSKRLPLIILHVNRVTGGPAQNPNNKRLIENWKKNGVLYATPHGSNDDWYWLYAAVSCKCLLLTNDEMRDHLFQLLGSSFFPRWKEKHQVRVSVSTRGASLVLPPRYSLVIQESANGSWHVPTVVSDEPDIPRKWLCVTRSRKKLIT >RHN63814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54199211:54204304:-1 gene:gene26567 transcript:rna26567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphopantothenate--cysteine ligase MDTENRTETLEAQVKAFFDSAPPLHNSHEITQKLNQFIQRNSSSSENGEARRIVCVTSGGTTAPLEQRCVRYVDNFSSGHRGATSTEYFLKAGYAVIFLYRRGSFQPFCRSLPEDPLLECFEPTNDLNIQVRKDYSKAVKSAIVDHHIAVAGGHLLKLPFSTIFEYLQMLQIIGTSTRCIGPRAMFYLAAAVSDYYVPWKDMVEHKIQSGSHLLDVKLVQVPKMLSVLRKDWAPLAFCVSFKLETDSSILLNKANAALERYKMHAVVANELSTRKEQVLVTTGVEKITVRRDNSESANDVEKPLIKLLSERHATYIEDSSR >RHN50288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5822215:5823089:-1 gene:gene34560 transcript:rna34560 gene_biotype:protein_coding transcript_biotype:protein_coding MAILHLPIFLLQQLSSSSQHNSSSFHQTQSPNFYLIIFFPLSHLLFQENTTQLTPHNKNKNNNNPHQHNSLTFPLKSRNTNPAIQMLISSQFTATTTTHSNNTQQQQISLKKFAAAAVKQLSNKIRVWVGSPANNHCRHRLQQHQHNKNRENNGFYVDDLGKT >RHN65897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6306456:6307361:-1 gene:gene13790 transcript:rna13790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MCCCFFRFYIKKLMALTTSTLAEKKKVLFILGATGTGKTKLSINLGTQFPSEIINSDKIQVYKGLDIVTNKVQESERCSIPHHLLGIIDDPEYDFTVDEFCKHVLEALALIIANGRLPIIVGGSNSYLKKLVEDPSIAFHSTYDCSFVWLDVSLPILFPYLDKRVDEMVGAGMVDEIREFFVPGADNTKGIRRAIGVPELDSYFEMEMKKGVDDAEKEKILKEAIRKTKQNTFILAENQHLKIQNMANKLGSMINKINSTKVFEAILRGEDYQHLYQEIVIKPSMKIVKRFLEETSPRFKN >RHN67409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26957992:26962425:-1 gene:gene15598 transcript:rna15598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MSVAASPAPSLGDHLCENGFGCIEILPSTNDAHGGVIVDLKDPMDPQVFASLLKSSLLQWKKQGKDGVWIKLPIELVNLVEVAVKEGFCYHHAEPSYIMLVYWIPKTGCTIPPNASHRVSIGAIVLNNKKELLVVQEKRGRFHGIGVWKLPTGVVDAGEEIFEAAIREVKEETGIDTEFVEVLGFSQAHNSFFGKSDLTFLCMLRPLSFDIKRQELEIEAAQWMPFEEYAVQPFNQKHEPFKCIIELCIAKMERTYTGFCPRPISSFFSKDLNYVYLNSKDLHKSS >RHN48840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50619101:50619400:1 gene:gene43599 transcript:rna43599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L35A MLWLNTYCFMLFFFRSKVNQYPNTSLVQIEGVNTKEEVAWYAGKKMTYIYKAKVKKNGTHYRCIWGKVTRPHGNSGIVRAKFKSNLPPKSMVIILKWFF >RHN55185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15857948:15858530:1 gene:gene30325 transcript:rna30325 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPKTVLQNIEASEISESRYASAMTGPISVLQIRKLIGHFPCDVVIFSTSKCTCYLYRELLFMSIFEV >RHN45833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26118968:26124219:1 gene:gene40254 transcript:rna40254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S1, PA clan MGGSSITDSWCFCKGVSKTERMKGAIFSGKNQAMATITTNTNVGNGVSGTGFLIHRNLLLTTHANLPSAVAAGSSEIRLHNGVAATLVPQRFFITSSVLDLTIVGLDDADGESNTQGQNLHHLKTCCKTNLDLGSVVYLLGYTEKQELTVGEGKVVIATDNLIKLSTDGVIWSPGSAGFDVYGNLAFMICDPMKLSTSPNTPSTSSSSTSSWKKDLPMQFGMPIPVICDWLNQHWEGNLDDLNKPKLPLMRLMSTGPRSEHSCASFTLRQVFKSTDADNEGTSSSSNNNVVKTRSHGQSSNAVANTAEDESLITNPNAAHMQGIPTPEIYESPRVTSVPLRTKENTQIQLLDINFPPRITKPAVFSQPSKEPHPKSAEPLHESQPKGEQNQDRMPAKAPIAEVSSTGSVNGALSEVQSSSSPVEASQMHDGYSSEGETMYSAETAESRNYTSPRESKFQQVGRSQSCVSHNRWGAAKRSQVARGMLVENQRSFMNVKKMYSQGATSQRSNEYFSPTVSSIMKRNGSEQPTRSRQTAFHSPSPRWNF >RHN66102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8554891:8555988:1 gene:gene14017 transcript:rna14017 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIDGKRRKYQIIQLLNESGFGGMVRICKWTKIHKFFVEWVVRHFEKENMWIRLSKTDVLPLKEEDVHRVYHLPMAGEQINIKLCSEAAIKRLRVELGLDGDYSPFVKATELEIRLKIMEKPKAWVKGAICLIIHNILCPTNNSLVSLHYAQVLEEASSYNWCSHVLQYMKDGLQNPEVANPLADFHFLMINYMEKMGKRSPFLTGKYKQPSLRDWDVKSANQNLQKVHELMGLDKGLTVGVKRLQTTDDGLLVMCFDADTCPLSKVREN >RHN49429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54745668:54751013:-1 gene:gene44258 transcript:rna44258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronosyltransferase MKPNSTSSSYRWLLCLLISLLSIQFQTCLGSNDEEAYVTLLYGDEFLLGVRVLGKSIRDTRSNKDMVVLVSDGVSNFAKNLLRADGWIVEQITLLANPNRVRPKRFWGVYTKLKIFNMTNYKKVVYLDADTIVVKNIDDLFKCRKFCANLKHSERLNSGVMVVEPSETLFNDMVNKIKTTKSYTGGDQGFLNSYYSDFPNARVFDPNLSPEELKSRPVPEMERLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTSWLLKPVDVWQDVREQLGESLPGTRGGQNPNDYFLVKFLFFLPFCALLFFCYNSLMKNREYFGSFCRGSPCDQVRHLYYKIRSSGPLPYTTISTSGINSIHQLSNGSQCKVPTYLGGVSVCFCLTAAVVSLGLALLIVPRQVMPWTGLLLMYEWTFTMFIVLFGGYLHLVYRWGKHVASRAASSSLPESLDYDSGKRHQRQISSCDAATWYYGVGMALLAIGAPSLPCLFGITALFLRLGLMVFGGIILASFMTYASEHLAIRSFLKGLDEWDTAPNRSLCFVC >RHN69088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40944491:40945310:1 gene:gene17517 transcript:rna17517 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGSDTCLFGVIRPAPDSCDERGKRQLKYVWESKRVKDLEISDCFVHDDDIKPLIFKCDRFEYRNKAQIQKDKEIKVAMAEYKQLRRGLSNFDAIAPPRIAGLVGCIVPIPINDDLRLQLTPLWEAALGAKFVLADIVKTTWRPGGMYYITFQAKEQDPPNRRPVTTFQAQFWKRRPEPEVISRAIKT >RHN39489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7489492:7492112:-1 gene:gene45516 transcript:rna45516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative G-protein gamma MDGEYNSSTVSLTSKPLMCPKSPLPGFVDFHGKRKQMVKIQVLEKEIGLLQEELKSLEGLHPASRCCIELDAFVGSISDPFTPTYESSTFRLLIYVTSLNK >RHN81184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43896928:43897212:1 gene:gene5190 transcript:rna5190 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVFINGQNSCHGQWHLRDMVIGWRVFEELKKGGCVWQRKKSLRGNPLSTRAWRRPHAEQLICLLLAGFKRISYRYNSICPYAVSLLPIISD >RHN81937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49939581:49939910:1 gene:gene6037 transcript:rna6037 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSYRTKLTQLLERIVSLSRPLDGIIVLNVDDSVCCSVSTWGFVNLIYDHRGDFLNDYYNSNGDLSIIHAEILFLFCGLQLCCDIGYKKVAYFFRRNSCVLILLRAI >RHN40653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18837671:18838563:1 gene:gene46837 transcript:rna46837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative abieta-7,13-dien-18-ol hydroxylase MDILYTLLTFIAFFFLAIFLAICFIMMTIFKGKSIGDPKYAPVKGTVFNQLFYFKKLHDYHAQLAKTHPTMRLLAPNQSELYRIDVRNIEHILKTNFDKYSKGKYNQDIITDLFGEGIFAVDGDKWKQQRKIASYEFSTRVLRDFSCSVFRKNASKLVRVISEFSHEGLVFDMQDLQMRCALDSIFKVGFGTELNCLEGSSKGN >RHN49999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3036250:3042626:1 gene:gene34245 transcript:rna34245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein CHP00275, flavoprotein HI0933 MSMAAVVCRTPQNTVTNCFSFSLGFSSRVLFNPFVFTQWKRTFTTHVLCHAKKEEKLVVVGGGAAGVYGAIHAKTIAPHLNVVIIEKGKPLSKVKISGGGRCNVTNGHCADNLILAENYPRGHKELRGSFFNVHGPVDTMSWFTSHGVELKIEDDGRAFPVSNSSSSVIDCLMTEVQRRGVSMQTKKNVTAVSVLSCGKFLLEIKQLPAGSSEQVEVDYLLIATGSSRQGYEIASQLGHSIVEPMPSLFTFKIEDLRLRELSGVSFPKVKVRLKLDSLQKNIPQLTQVGPLLITHWGLSGPAILRLSAWGARYLFSSGYKGRLIVDFIPDVHIESLKTIISRHKLQFAKQKVVNSCPLEFGITKRFWSYLLERQGLSGDILWASISNNALISIGSLLKDCTFEIKGKGPFKDEFVTAGGVPLSEVSLNTMKSKICSNLFFAGEILNVDGVTGGFNFQNAWSGGFIAGTTIGGLALGSCV >RHN43115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43340638:43341408:-1 gene:gene49641 transcript:rna49641 gene_biotype:protein_coding transcript_biotype:protein_coding MFFEASFTTTLFVASGPYLDDTYANATWLLRHFNPFGSVRFGLGSGLGVFPWFE >RHN41473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30305330:30307420:1 gene:gene47802 transcript:rna47802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MHLKNCVNSTFPSCLFFTICKFKLILIVCLIVIVQYALDFVYLSIIILFSSWAEVACWMHTGERQVAKMRMAYLRSMLNQDISLFDTEGSTGEVISSITSDIIVVQEALSEKVGNFMHFISRFIAGFIIGFLRVWQISLVTLAIVPLIAIAGGLYAYVTFGLIAKVRKSYLRAGEIAQEVIGNVRTVQAFGGEQRAVISYKVALRNTYKNGRKAGLAKGLGLGSMHCVLFLSWALLVWFTSIIVHKNIANGGKAFATMLNVVISGLSLGQAAPDVSAFIRAKTAAYPIFEMIERDIVSKNSSKNDRKLKKIDGHIQFTNVCFSYPSRPDVVIFNNFCLEIPSGKVVAIVGGSGSGKSTIISLIERFYEPISGQILLDRNDIKELDLKWLRHQIGLVNQEPALFATSIRENILYGKNDATPEELNRALELSDALSFINNLPDGLDTQVIMHQ >RHN60063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17929257:17935191:1 gene:gene22262 transcript:rna22262 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSGRGNPGFDFASDDILCSYEDFSNRDSNSNNHNDPSNSTKDFHKSRVARTSVFPAPAYNPPEDSLSQDVIATVEKSMKKYADNLMRFLEGISSRLSQLELYCYNLDKSIGEIRSDLNRDHGDQDSKLKSLEKHVQEVHRSVQILRDKQELAETQKELAKLQLAQKESSSSSHSQSNEDKSSPSTTDPKKADNASDTNNQQLALALPHQIAPQPQPAGPPPAQAPPPNVNQPTQQPSYYMPPAPAASQLPQNQYMPSDQQYRTPQLQDMSRVAQQPTPSQINPPTPVQQFSQYQQQPPPQQQQWSQQVQQSSMQPQMRPPSSTVYPPYQPSQASNPSPTETLPNSMPMQMPYSGVPQPGSSRADSMQYGYGGTGRTVPQQPPPQQIKGSFPSQPGDVYGASGTHPALPPPPGNAYMMYDGGEGGRAHHPPPQPSHFAQSGYPQTSASLTNPNLLVRNPSQSQFVRNHPYNELIEKLVNMGFRGDHVASVIQRMEESGQTIDFNSVLDRLNVHSSVGPQRGWSG >RHN49114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52536367:52547272:-1 gene:gene43908 transcript:rna43908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-tyrosine phosphatase MARVVKEPEEVMRMRGGSVLGKKTILKSDHFPGCQNKRLSPQIEGAPNYRRADSLHVHGVAIPTVDGIRNVLNHIRNRQNKQQVLWISLREEPLVYINGRPFVLRDVERPFSNLEYTGINRERVEQMEARLKEDILSEAERYGYKILVTDELPDGQMVDQWEPVSCDSVKTPLEVYEELQVEGYLVDYERVPITDEKSPKELDFDILVHKISQADVNTEIIFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRSNSMGRIFQSMTNAADHLPNSEEAIRRGEYAVIRSLVRVLEGGVDGKRQVDKVIDKCASMQNLREAIGTYRNSILRQPDEMKKEASLSFFVEYLERYYFLICFAVYINSERDILLSSTAGQSSFSNWMRARPELYSIIRRLLRRDPMGALGYSSLKPSLTKIAESTDGRPSEMGNVAALRKGEVLGSQTVLKSDHCPGCQNPSLPERVDGAPNFRKVPGFPVFGVANPTIDGIRSVIHRICSTNGGCPILWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDCERVEKMEARLKEDILREAKQYSSAIMVIHETDDGHIFDAWEHVTSDLIQTPLEVFKSLEAEGFPIKYARVPITDGKAPERSDFDILANNIASAAKDTAFVFNCQMGRGRTTTGTVIACLVKLRVDFGRPIKILSDDITQEESNGGSSSGDEALGRVTALTSNISQIRIDEKQNRVFGINDILLLWKITTLFDNGAECREALDAVIDRCSALQNIRQAVLQYRKVFNQQHVEPRVRRVALDRGAEYLERYFRLIAFAAYLGSEAFDGFCGQGNSRMTFKVWLHQRPEVQAMKWSIRSRPGRFFTVPELRESQESQHGDAVMESTVNARNGSVLGKGSILKMYFFPGQRTSNNIQIHGAPHVYKVDGYPVCCMATPTISGAKEMLNYLDAKSKPGFTARKVILTDVREEAVVYINCVPFVHRELNKPVDTLKHVGITGPVVEHMEARLKEDTLAEIRQSGGRMLLHREEYDPSTNQSTVVGYWENILADDVKTPAEVYSLLKDDGYDIVYRRIPLTRERDALASDVDAIQYCQDDSAGSYLFVSHTGFGGVAYAMAIICIRLGAEANFASKILQPSFGPDTYAVTEDNSLSRASNETALRMGDYRDILNLTRVLVHGPQSKADVDIVIERCAGAGHIRDDILYYIREFEKFTDDDDEERAYLFDMGIKALRRYFFLITFRSYLYCNSPDDTEFAGWMDARPELSHLCNNLRIDK >RHN82056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50947096:50951246:1 gene:gene6173 transcript:rna6173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MAAKETNSKMNIAIIHPDLGIGGAERLVVDAAVELASRGHKVHIFTAHHDKNRCFEETIAGIFPVTVYGSFLPRHIFYRLHALCAYLRCLFVAFCVLFLWPSFDVILADQVSVVIPILKLKRSTKVVFYCHFPDLLLAQHSTFLRRIYRKPIDYLEEITTGMADSILVNSNFTASTFANTFKHLDAKGIRPAVLYPAVNVDQFNEPTSTKPNFLSINRFERKKNIQLAISAFAMLYSPNRVLKHQAITNASLTVAGGFDKRLKENVEYLEELKDLAEKEGVSDKIKFVTSCSTDERNALLSECLCVLYTPENEHFGIVPLEAMAAYKVVIACNSGGPVESIKNGVTGFLCSPTPQEFSSAMANLINDPQEAEKMGNEARRHVVESFSTKTFGTHLNRYLIDIYRGKED >RHN77425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6786020:6790788:1 gene:gene877 transcript:rna877 gene_biotype:protein_coding transcript_biotype:protein_coding MLQALHKHLARGVRERMEDSQKLSLFHKSGVYNFKDSNTVFIDPVRVLNRFYNRFTVSPSTYYPRFFKSLTPKTTVPSSPTKRKRKKRKRCSEESRPLNDREQIALQRHQELRPLLMKAHECLLKSNGLLGALKDLRSESDSCCLKEECECGEQCFVELGQQAPDLVVTLNLCIRDIDKDMEDSPNVQYCEQRSILPVFNNFVVNDTEDDAMAEILNNHYIMPRESCFYMSDLGQIRNLIPAHTDCGFNLIMVDPPWENASAHQKSRYSTLPNRYFLSLPIKQLTHTEGALVALWVTNREKLRSFVEKELFATWGVSYAASFHWLKVKANGSLIGDLDLFHHRPYESLILGYSPGKVKNSDNQSKFKPLKDDHVIMSIPGDYSRKPPIADLLQEYIPGVKPPRCVELFAREIMAGCVAWGNEPLHFQDSRYFVKKMAT >RHN56513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31528869:31529655:-1 gene:gene31921 transcript:rna31921 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADICEKVLNPNYEFRRHLIDLVICPLLFLKVLMIADLSYELIELLQKILLHNSAFSLKLQSAKLADSYCIEGRSFKGNGLHK >RHN63955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55331665:55336205:-1 gene:gene26720 transcript:rna26720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative equilibrative nucleoside transporter MEAVKLASSDPSETRDAYKVAYIIHFLLGAGNLLPWNAFITAVDYFAYLYPTNHIEKVFAVAYMVSSVLVLLVMMSWGGWSKTTLRLRMNLGFSMFVMSLMVAPVIDWASSRDEMKERPSGAYGMTVAAVVICGLADGLVGGSLIGSAGRLPKQYMQAVFAGTASSGIIISILRIITKASLPQTPKGLKISAHLYFMVAIVFLLCCIVFSNLQHKLPVMQQYHQSLLQESPLCSGTKFCAVAGKIKGPAFGIFIIYIVTLSIFPGFIAEDLESKALKDWYPIILITVYNLADLMGKSLTAFYVPQCIKRAIGAATARLLFYPLFIVCLHGPNWLKTEVPMMVLTFLLGFTNGYLTSVLMILTPKSVHFSESELSAIVMTAFLGFGLVGGSVLGWFWIL >RHN74686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35852232:35856135:-1 gene:gene10793 transcript:rna10793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSEGEQKKVKVAEEEEVDLISNLPDVLLGSIIYLLPYMEVVRTSVLSKRWETLWKNAHDLSFDQRQMLKSLIEDYIQNSPLVDRLTMAMERKVAPINEEYFDVIAEAALMITSNIDNHIGPLKSCTIRHLPESCASADVVGWLRKLLEKGVIKVSIERESCDYHNGGISDMDVKLAASTIDLPFEVFSNFKVLELKNYYFNTTPSPDSQQTLKTLTLNKVRIISNTFYDILAYCSSLENLTVENCDFLRDELKIVSPSLKYIKICKMNVLRILISAFNVEVIEIDSIICSHQDLVFEAPKLQVLRAYNEFQMLGEIVFIDGRKLLTTRDIIEICSGILGHRGSGMGNIFKHLVTLCLDFELNSSRNTRALSFALKSYPQLMNLQINNQVKFDYYDQNDNDSLPYPVSLFWLKRDPCRCVSRNLKSVCIKGYKGGEFEFEFVKYLVLNGGVMDNITIWFLDECSWDEVVATACLRSYPKLSPKLSIDLKPGTEYIQKYGGSFDEWVRTLN >RHN49883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1948594:1953305:1 gene:gene34109 transcript:rna34109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyltransferase MAPNTVTNATDKVNDFTLLHVHDDGLDSPMFQEKQKKSTSTKQFTWVILLKLHKLLTCLTWLTNGLKSTFSLVKKRVSLSDIGDENPKNTTRLYRFIKVFLVLSIVALVVEIIAHFNQWNLHVIQPWEVKNLLQWFYMVWISFREDYVAPLVLFVSKFCIVLFLIQSLDRLVLCLGCFWIKVKKLKPMIDDDAYDVEDPLSFPMVLVQIPMCNEREVYSQSIGAACQLDWPKDRILVQVLDDSDDPNLQMLIKDEVSSWKEKGVNIVYRHRLIRTGYKAGNLKSAMSCDYVKNYEFVAIFDADFQPNPDYLMQTIPHFKGKPDLGLVQARWSYVNKDENLLTRLQNINLCFHFEVEQQVNGYFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIYLNDVKVLCELPESYEAYKKQQHRWHSGPMQLFRLCLPAVLTAKISIWKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFIPESELPLWVICYVPIVMSFLNILPSPKSVPFLVPYLLFENTMSVTKFNAMVSGLFQLGSAYEWVVTKKTGRSSESDLLALAERESKSSNEDKPLHRRHSESGLELLGKIQKTEVVSKKKKNKLYRKELALAFLLLTACARSLLAAHGVHFYFLLFQGLAFLVMGLDLIGEQVS >RHN53833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4591645:4594150:1 gene:gene28785 transcript:rna28785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar-terminal-phosphatase MATSENAVESKSSLTKLAPIEAVLFDIDGTLCDSDPIHYYAFREMLQEIGFNGGNPITEEFFIATVAGKHNDDIALDLFPGDRERGLKFVEDKEAMFRRLAAEQLKPLNGLDKVRKWIEDRGLKRAAVTNAPRPNAELILSKLGLSDFFHAVIIGDECEHAKPHPEPYLKGLEALKASKDHTFIFEDSVSGIKAGVAAGMPVIGISTRNPEDLLMGAKPAFLIKDYDDPKLWAALEELDKSGSH >RHN53704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3478936:3480250:-1 gene:gene28639 transcript:rna28639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MKDMKLVWSPERATNSYIDTVQAVTTNHLVSESGVAEFVSAMAAGWNAQLIVETWSCGGVIPTSVGLSIASGHNGGRHVCIVPDELSRSEYAKNMLEAGMSPEVLVGEPEEVMDGLIGIDFLVVDSRRKDFTRVLRLAKLSGKGSVLICKNANFISKMDSGYMWRSVVARGSRRLVKSVFLPVGKGIHMAHLSAAGGGEYSVAAVKHKARVIHNRWIKHVDQRSGDVHFIRK >RHN58077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43561609:43566239:1 gene:gene33684 transcript:rna33684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MMGLDSSDNINTPLLLTQSQSHPIKRTGTLWTAVAHIVTGVIGSGVLSLPWSIAQLGWIVGPFSILLIASSTLYSAFLLCNTYRSPNPEYGPHRSASYLDVVNFNLGTGNGRLCGFLVNICIYGFGIAFVITTAISLRAIQISISQHNKENETPSEFADAYYMLIFGIVQIALSQIPNLHDIHWLSVVAAITSFGYCFIGMGLSIMQIIENGYAKGSIEGISTSSGTEKLWLVSQALGDVSFSYPFSTIMMEIQDTLKTPPPENQTMKKASTISVAITTFFYLVCGWAGYAAFGDNTPGNLLTGFGSSKFYWLVGFAHACIVVHLVGSYQVYCQPLFANAENWFRLNFPDSEFVNHTYTLKLPLLPAFKLNFLSLSFRTAYVASTVVIAMIFPYFNQILGVLGSISYWPLTIYFPVTVYLSRSDTDAWTAKWVMLQAFNVFGFVFGLFTLIGCIRGIVTEKLG >RHN65611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3777631:3777945:1 gene:gene13469 transcript:rna13469 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFRVIPCAWWKWLRRSNGMYRGYARTRVLLGFKVHELRAPWFKVHELHLCGDPSVLHIVRKTYQGTKDELCEQQYERFKQLVVEAKTLLGKACQDLGDELCE >RHN62537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44203214:44210194:-1 gene:gene25127 transcript:rna25127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MARKSSSANEELLPDELRCGRTDGKQWRCKRRVMDNLKLCEIHYLQGKHRQYREKVPESLKLQRKRKNKEEEQEQETVVGVDNVEETVRVEKEFKMELRKTKKKKVKLAESSESLTDSPSGSVPARKKTLKQCDTQLDLIRMVLEREVEKRKRNNTNKKKNKKKKMKKKVIKEEVELHDFKEGELRRELPNGVMEISPASTPGDYKNVGTHCDVKVGDHKIVAVTPHYFRSKNIDRVALGKLQVVPYGPSLKKGNTKRKCHSCQRSDSLNLVQCSSCNKEFFCFDCIRDRYLDTRKEVKKACPVCQRTCTCKVCLAGQNNDSESKDSESKANLSSKSRVDRILHFHYLICMLLPVLKRISENRETELETEAKIKGKSISDIQIKQVEFGYSENIYCNHCKTPVLDLHRTCDSCSYSLCLRCCEKLCQRTSGEINSSFLKLRDQMKVCVDSEHRILDQKDICCGNLTATSTLPQRTNCNDIEKASCHPTEVGGCGTGLFDLTASTLPERTNCNDIEKASCRPTEVGGCGTGLFDLTATSTLPERTNCNDSEKVSCPPTELGGRDTGLLDLTTCSTLPDMTNCNDSKKVSCPPTELGGRDTGLLDLTTTSMLPEMTNCNDSKKVSCPPTELDGRDTGLLDLTATSTLPEMTNCNDIEKVSCPPTELGGRDTDLLDLTATSTLPEMTNCNDIKKVSCPPLELGGRDTDMLDLTATSTSPERTNCNDIEKVSCPPTELGGCGTGLLDLLCIFPSTLLRKMEVKAEEIVCSYDFPETSDKSSSCSLCFDTDLNTDRYNQLQKAAERGDSSDNCLFCPTVLDISGDNFEHFQKHWGKGQPIVVQDVLQSTSNLSWNPLFMFCTYLEQSITKYENNKELLESCLDWCEVEINIRQYFTGSLKCRPQRNTWHEMLKLNGWLSSQVFKEQFPAHFSEVIDALPVQEYMNPVSGLLNLAANLPDRSPKHDIGPYVYISYGCADTEADSVTKLCCDSYDVVNIMTHSADVPLSTEQLTKIRKLLKKHKALCQVESVELLPEREVKGMALSHAEETVQKGLPSMGKEGIEFFRRVDRTSCISSTGAKIASTQSIYNNISQDGEHNIVSDSEPSLHGTVPTTNLSPRSPAESSSCYKKKFTEHSGAQWDVFRRQDVPKLVEYIKRHCDELTNTHDSHKKMVHPILDQSIFLDHIHKMRLKEEFKIEPWTFQQHVGEAVIIPAGCPYQIRNSKCCVHAVLEFVSPENVAECIQLIDEVRRLPEDHKAKVDKLEVKKMALHSMSAAIDEIRQLTCKT >RHN80795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40908019:40912016:-1 gene:gene4761 transcript:rna4761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative formin, FH2 domain-containing protein MSCGSELLTMIFIIIITLLVPLSSSQRTHILSVGALINAAGSFHVHTLQDNYYMKEQENEKKQVQKISGLDENEEKQGFIVEKFRSLLGLKSFHKRVPSKSNGDSDSDSDQFLTPSPSPSQNIEAEVEAPAPAPTPSQVMHFHPHSYHQKHHFHWNQPPKKLHHDDRGRTKRILVAVFVSVGVAAFVISLGLILFCRKKFTNHKKKKPKRTMPLCSSNTKGKTKGKVSLNPGLDLFYLDALGEDVEQHACTLTKTSDNNVSSSFTKEIVSVHEEELVIKNEHECVDKIVHEDCDSSEDESFHSFVDSQSNTRLSNASAGSLSDTQSLLLSPQNSFSLLPNQLPSSPQNTNDSHQPPYSPKQKDQDIENETFVQCPQTSNSSPPPPPPPPPTPPLKMPLFTLHSLTTSSRVSSHSPLSLTSHTLSSPVNSETSSRSNLSPEKDSFSPSSSNPTKSPPPPPCPPPFPRGNSNKNAKTPPPPPYQFPQSPLGKDGTPLAKLKPLHWDKVRAAPNRTMVWDKLRSSSFELDEEMIESLFGYNLQSSINNDESKSKTPSPSKHVLDPKRLQNITILSKALNVTAEQVCDALMQGKGLSLQQLEALVKMVPTKEEEGKLFNYKGNINELGSAEKFVRAVLCVPFAFQRVETMLYKETFDDEVVHLRNSFSMLEEACKELRSSRLFLKLLEAVLKTGNRMNVGTIRGGARAFKLDALLKLADVKGTDGKTTLLHFVVQEIVRSEGIRVSDSIMGKISQKSNKNRTEEEKEEDYRKMGLELVSGLSTELYNVKKTATIDLDVLASSVSNLYDGMVRLKQLVENELHEDEMCHNFVMSMKLFLQYVDGNLKELRGDEDRVIARVKEITEYFHGDVSKEDNPLRIFVIVRDFMGMLDNVCKELRRSKTPRTPNPLAPFR >RHN41692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32132288:32140556:1 gene:gene48042 transcript:rna48042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease III post-transcriptional gene silencing PAZ-Argonaute family protein MEEALMDIDDCYQEQIKPDTLPFARSYQLEALDKAIRENTIVYLETGCGKTLIAIMLLRSYAYHLRKPSPYIAVFLVPKVVLVSQQAQALRNHTDLKVGMYWGDMGVDFWDGAIWKEQMEKHEVLVMTPAILLSCLRHSFIKLKMIKVLIMDECHHADGRHPYACIMTEFYHHQLRSGITELPRIFGMTASPIKSKAANSKSTLSKNIRELMTLMNSKVYTCVSDAVISKFIPTSTPKFQFYNENVISYALFAELANKLSSLKQQHELYVTSSNFTKAAVDSAQKKIAKIYTHSVFCLDELGVWLALKAAESLSSIEIETWTLQISLGNSGDQIVKDFCSSAILTLQSYIPSDPQWTIGDNMNSDMERGLLTSKVCCLMDCLLEYRSFPDMRCIVFVERVITAIVLEVLLNTLLPKYNSWTAKFIAGNGSKLQNQSRKNQNQIVEDFRMGLVNVIVATSILEEGLDVQSCNLVIRFDPSPTVCSFVQSRGRARMQNSDYILMVKSGDSVTRSRLEKYLDGVEMMRKESLRHSSLPCESLESDEFNEHAYRVASTEAVVNLSSSITLIYLYCSRLPADGYFKPTPRWDKQTGTLYLPKSCPLQAINVHGDSKFLKNIACLEACKKLHMIGALTDNLVPSIVAEEAEVEDFGNEPYDEEQPSYVPLELVNRMSNNSCTIYYCYLIELKQKFSYDLTVQDIFLATRVKLDLESECMEFDMCFDRGSLSVNLRYKGSINLSPDQVLLCKRFQVNVLGILMNHKTDKETDSGKFCLEDDLEIDYLLLPSIAIEETLSVDWITINSIHPSIVKCLHHEANIWTEKGLVCPCILQNALISTPHNGRTYITTGIMELDGNSPLEVGDGEVTTYQKYFEQKHGIRLRFEHQSLLKARHVFPVKNYCHGHRKAKDRDVSKTFVELPPELCSIIMSPISVKTLYTFSFIPSIMHRLESLLVALNFKKMHLNHCPQNRIQTFKVLEAMTTKSCKETFHYESLETLGDSFLKYAVSQQLFNMYENHHEGLLSVKREKIICNAALCKLGCGSMLPGFIRNEPFDPKTWIIPGVKSRCFKLEETVFKGTKIYTRGNRKLKRKVVADVVEALIGAFLSAGGEMAALLFMDWVGIKVNLNITPYERQINSCPDNLLNVSYLESLLKYSFRDRSLLVEAMTHGSYMLPDVPRCYQRLEYLGDSVLDYLITTHLYNEYPGMTPGQLTDMRSASVNNDCYAMSAIKVQLHKHVLHASQELHKHIAATLDKFDEQSASQTFGWESEASFPKVLGDIIESLAGAILVDSGYNKEVVWQSIRPLLEPLVTPDTLTIHPIRELTELCQKMDYTMEKDLSRNDGFISCRIEVNADGVIHQYEYKGSTDKKTATRLACKGVLNSLQLKETLDQ >RHN39457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7261826:7263766:-1 gene:gene45481 transcript:rna45481 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDTSTKDNYETYCNGGKFPPPSLPTSRGMLPLCRCLPMKPMILYIATTLENQGRRFWRVAIGRKNTKPTMSGFGMMNLVQLPDQWLSAIQLFQTQRKQTLHHDKC >RHN43214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44085951:44089262:-1 gene:gene49754 transcript:rna49754 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEKPPVHGSTTGDCKPLTTFVHTNTGAFREVVQRLTGPSEGNATKEEQTAKVAPITKRTPPKLHERRKCMKPKLEIVKPNLQYHKQPGASPSSKSRNSSFPSSPVSGSGSSSLLPSPTTPSTLFSRLTIMEDEKKQGSVINEINIEEEEKAIKERRFYLHPSPRSKASGFNEPELLNLFPLASPKACEKV >RHN55860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24807103:24808340:-1 gene:gene31137 transcript:rna31137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MAVFIDNPSFPDGLNVIAIDHDTTFLNTIEEMCNRCHYQVTKCNTASEALNLLERKDCFDVMLIDAHMPNMDAYDFVQHATGQLNIPVIKAKPKVILKRIDIHGLSAGHVASHLQKYRNYLKRSIDEKKCGKKKSKPSDDFEAQRIDRNQHDDVQDEWIDQDESYPRVQDDAQQIRQYSQLFF >RHN74786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36683139:36683901:1 gene:gene10902 transcript:rna10902 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKLPLSLSPIRFSPFGLVAFSVPFLSFSGSVSSLESGPAQVFFFVVIV >RHN43708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47864818:47873618:1 gene:gene50325 transcript:rna50325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L17 MTKFRKLNRETSHRISMLRTMVSQLVRHERIETTISKAKEVRRVADNMVQLGKEGSVCSARRAASFVRGDDVLHKLFTELAYRYKDRTGGYTRVLRTRIRVGDAAPMAYIELIDRDNELRQSKPPTPQPPQRAPLDPWTRSHLTRQFAPPKGEKSDSDSDLI >RHN72587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8912249:8914393:-1 gene:gene8314 transcript:rna8314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plastid lipid-associated protein/fibrillin MASLSNLNQLLHINTLPVTSLNSTPSITPSTITFGLQSKNARKSILVADGLRRVVYVRATANDDDDDEWGSEPSADVAVAEVIVPESETEKLKKDLVGSFYGTARGLKAASETRAEIFELISQLEAKNPTPASTDALSLLDGKWILAYTSYAGLFPLLSSGLLPLLEVEELSQTIDSESLSVQNSVLLAGPLTTTSISTNAKFEVRSPNRLQIKFEEGVIGTPQLTDSLEIPETVEFLGQEIDLSSFKDIFTSIQNTASSVVQTISDQPPLKIPISNSYAQSWLLTTYLDEELRVARGDGGSVFVLIKEGSSLLTN >RHN51777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24784413:24784850:-1 gene:gene36295 transcript:rna36295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MKFREIDTQEEFEEILHKIKQEPFDCSKKDNCRCDDPADIEYDSTRTWVKYKPNIPKTPKGFKRISVLRDDYSKLDSYYITPTGKQLRSRNEIAAYLKDHPQPNGVSALDFDFSSPKVMQDTIPDIIVKQKDSANKKVKIAKDEV >RHN57981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42915980:42916760:1 gene:gene33578 transcript:rna33578 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSLFFIWNIYLSLSLLYIYCNMYFISETIFEHLFTDNFCDNFFSHTYNIFYFISLLFWFPCKYLFFLCKL >RHN81316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44814702:44815340:1 gene:gene5334 transcript:rna5334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEEDNKKPKTMACSESDEEQDRLSNLSDELIIHILSFLTTKESYRTSVLSTRWESICTKIPNLDFELPKTTNPMSSKAIQSVYAALLRRTENLRKLRLYSDNGCTPYDMHLWVSKALDLKVEELELDCRSVEKPTLLPLRLSISKSLVVLKLRGRTRPRLNFSSDVCFPSLKILKLQFIVFNSIADDHIVSMILLIFYLIVHILRNLFYMTA >RHN61060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32608352:32609993:-1 gene:gene23472 transcript:rna23472 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSFGLRHLPQLIYISPSHGQQAPYYSKSPPYYYKFPPPPSLSPPPPYVCKYPPYYYIYPPPRSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSLSPPPPHVYKSPPPPSPFPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPLPSPSPPPPYVYKSPPPPSPPTPPPSPPTPPPSPSVYKSPPPPSPSPPSPYVYKSPPPPSHSPPPPYVYKSLPPPSPSPPPPYVYEPPPPPPPSPTPPPYVYKAPPPPSPSPPPPYVYKSPPPPSPPPYLYKSPPPPSPSPPPPYVYKSPPPPSTSPPSPSPPPPYVYMSPPPHSPSSPPPYVYNSPPPSPPPPYVYESPPPPSPSPPPSYVYKSHPPPSPPPPDYYKSPPPPSPSPPPPYC >RHN67202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24884026:24885223:-1 gene:gene15360 transcript:rna15360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytohormone-binding protein MIKEFNTQTTLNVGLEALWAAQSKDITLVVPKVLPNIVKDVQVIEGDGGVGTKLIFNFLPGIAPVNYQREVITEYDELSHTIGLQVVEGGYLNQGLSYYKTTFQFSAISENKTLVNVKISYDHESELIEEKVKPTKTSESTLFYLGQLEKFLLNGA >RHN80947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42115027:42116783:1 gene:gene4932 transcript:rna4932 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGYEIRVTTIFWTTMTFWIKAQPFIANKMGCPFLCILPTTIVINVKLLFCFLFGPAMIITTIT >RHN65699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4517834:4521967:1 gene:gene13572 transcript:rna13572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MSMAELVGGAFLSSFFQVALEKLSSNDFIDYFRGSKLDDKLLEKLLITLNSINRVLEEAEMKQYQSMSVKKWLDDLKHNAYEVDQLLDEIATDAPLKKQKFEPSTSKVFNFFSSFINPFESRIKELLEKLEFLAKQKDMLGLKQDTCASSEGGLSWKPLIRFPTTSLVDGSSIYGRNGDKEELVNFLLSDIDSGNQVPIISIVGLGGMGKTTLAQLVYNDRRMKEHFELKAWVYVSETFDVVGLTKAILRSFHSSTHAEEFNLLQHQLQHKLTGKKYLLVLDDVWNGNEEGWERLLLPLCHGSTGSGSKIIVTTRDKEVASIMKSTKELNLEKLNESECWRMFVRHAFHGRNASEYPNLVSIGKKIVDKCVGFPLAVKTLGNLLRRKFSQREWVRILETDMWHLSEGDNNINSVLRLSYHHLPSILKRCFSYCSIFPKGHIFDKRELIKLWIADGLLKCCGSDKSEEELGNELFVDLESISFFQKSIHDDKRFVMHNLINDLAKSMVGEFCLQIEDDKERHVTERTRHIWCSLQLKDGDKMTQHIYKIKGLRSLMAQGGFGGRHQEICNTIQQDLFSKLKCLRMLSLKRCNLQKLDDKISNLKLMRYLDLSLTKIKRLPDSICNLYNLQTLLLAYCPLTELPSDFYKLTNLRHLDLEGTLIKKMPKEIGRLNHLQTLTKFVVVKDHGSDIKELTELNQLQGKLCISGLENVIIPADALEAKLKDKKHLEELHIIYSAYTTREINNEMSVLEALQPNSNLNNLTIEHYRGTSFPNWIRDFHLSSLVSLNLKGCQLCSQLPPFEKFPYLNNLCISSCPGIEIINSIDVPFRFLEILRFEDMSNWKEWLCVEGFPLLKELSIRNCPKLTKFLPQHLPSLQGLVIIDCQELEVSIPKASNIGELQLVRCENILVNDLPSKLTSAVLYGNQVIASYLEQILFNNAFLKRLNVGAIDSANLEWSSLDLPCYKSLGFLSIRNWHSSFPFSLHLFTNLKSLYLYDCPQLESFPRKATLPA >RHN68676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37730840:37736581:-1 gene:gene17058 transcript:rna17058 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MAEKLGSEERSSGIGMKRKQFAVLADLNIDPPEADDDEDDNNHSSSLLPPPLPPHTEITRLINDENSQDKSLLSKDTNSNEGEGKLLNKLGKCRSKPSKTDSSIDCGADADGDQHVQGAPSAREEKVSSMKTGLVHVARKMPKNAHAHFILGLMYQRLNQPQKAILAYEKAEEILLRPEVEIDRAEFLALVQIHHAQCLIIESSSENSSDQELEPHELEEIISKLKESTQSDIRQAAVWNTLGFILLKTGRVQSAISVLSSLLAISPENYDCLGNLGIAYLQIGDLELSAKCFQELILKDQNHPAALVNYAALLLCKNASVVAGAGANAAEGASAEQSMAANVAKECLLAAIKADGKSAHIWANLAYAFSITGNHRISSKCLEKAAKLEPNCMSTRYAVASHRIKEAERSQDPSELLSSAGNEMASIIRDGDSSLVELPIAWAGLAMVHKAQHEISAAYESEQDGLKEIEECAVSSLKQAIAEDPDDAVQWHQLGLHSLCAREFKTSQKYLKAAVACDKGCSYAWSNLGVSLQLSEEQSQAEEVYKWALSLATKQEAHAILSNMGILYRQQKKYELAKAMFTKSLELQPGYAPAFNNLGLVFIAEGLLEEAKHCFEKALQSDSMLDAAKSNLIKVATMSKICKDLSSCTLKE >RHN53256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:451028:452804:1 gene:gene28147 transcript:rna28147 gene_biotype:protein_coding transcript_biotype:protein_coding MILSLSLSKTGCHLSFHTESPNIQKPHTPFLHFVKHIKITTSTLSLPPTHLIHFQFSLTRSSSMDPCSFLRILIGNLAIKSPSSSKPSFSGKVHPSSSTCYCEIKLKGVDSPPPFHVATVPLISESETHPHSLAATFDFPKSQISNFKNPLIKISVFKTNTNPSCVFNTAKLVGQISIPLDLTLVESRPCSFHNGWTALTLNNTGKSSVQGQLLHLTVRAEPDPRFIFRFDGEPECSPQVFQVKGEVKQPVFTCKFSFRDKNPSNPVQFPSMDRKGWSITIHDLSGSPVACASMVTPFVPSPGSQRVSKSNPGAWLIIKPDGDGTWKPWGRLEAWREPGYSNSVGYRFDVLPATADPVTLAGCSISSQNGGKFTIDVTSGVTPVNTPNGSWDLGSGSGSRPGSGSGSDFSLENQFLYSGFVMSARFDGEKKCSKPEVEVGVKHVTCTEDAAAFVALAAAMDLSMDACKLFSQKLRKELRQ >RHN41139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27368548:27369340:-1 gene:gene47429 transcript:rna47429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFYLDHNDISGNMPTVWNQCKELERLSLAFNSFNKGPMPGGIRSMTKLQRLFLMGNNLEGKIFSLTTLSVSYTCFSIVYAYKLDKRLNSLMMKVFRFCKICISEN >RHN67296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25696362:25699333:-1 gene:gene15476 transcript:rna15476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MCDFLGAAKMIRGVPKEISDMKEELESIENFINNADRIADAEDDNASEGIKARIKQLIEASFGIQDVIDEYMICQEQPSGFANFVKTIILRRQIAYKIQKIKSQISEMNDTSGKEHSLHIQSSLEQGSSSTATNFNMENLRKAQFCIDEDDVVGFEVPRDILIDWLIEEREVHTIVTIVGKGGQGKTTLAKKVFDDNKIVKHFDCHVWIRVSQSYNIEGLLRDMLHKFYEQQGANLPQSIHQMNRESLVDEVRNYLQEKRYVIVFDDVWSLHFWDDIKFAMIDNKKGCKILITTRNMDVANACKKSSFVEVYEMKGLAEQQSLELFNKKTFHDLNGRCPENLIDISFKIVEKCNGLPLAIVLIGGILSCKDRNTSEWYKFSENLNIELKEDLKIKKIVGLGYHDLSYNLKSCLLYFGLYPEGCIVPTNILIRQWMAEGFVKDDMVKTLEDVADGYLTDLISRGLVQVVSISIDGRAKSCCVHDLVHALILEKCEELSFCKNISEDDQSSLSGMVRRLSIAIRFDNLMENIENSQVRSLLVKTLNESLARRIPTKYRRLNVLDLEHVGLLDVPKDFGSLTHLKYFRFRENFRGDRCVLPKAIGMLKNLETLDLTRTSFQAMPKEICKLRKLRHFLGYNMSLIQLKDGIGGMTSLQTLRDVYLDGGENEVVKLIQELGKLKHLRELVLIGVRSGYMSAISSSINEMQKVEKLQIRANGYDTVIDMHLNSPPPMLRHLTLDGKLEMLPLWIPKLQNLVKLKLKYSQLTDDKMKLLKSMPNLLTLSLSNNAYEAERLHFQDGWFENLKQLYLEDLENLNYIIIDEGALRSLKKLSLTFLRHLKTLPTGIQHLKKLEVLSIKQMSHLFSQAFFFDEGKVHWSFKHVPVVEIIHHPIN >RHN72905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11740801:11743160:1 gene:gene8671 transcript:rna8671 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIMVQVLVSILLVLVAVEAATPPGIAKDHEYGHASCKIKKYKHCYNLVHVCPKFCPNDCTVECASCKPICVGGTNNPSHDYPTPSTPTPSHDYPTPSTPHNPPSSSSSSSSSSSSSSSSSPNSSSPPHNQHNSSSTPSSSSSSSSSSSSSSSSSSSSSPKSPSTPKNPPPSSSTPSSSSNSSSSSSSSSSSSSSSSSSSSSSSSSSSSPQSPSTPHSSPPSSSTPSSSSSNSSSSSSSSASSSSSSSATSSSSSSTNSPSTSHNPPPSSSSTPSSSSSSSSSSSSSSSSSSSSHKTARCGNKNYPKCYNMEHACPNACRGGCEVDCNTCKPICKCDKPGAVCQDPRFIGGDGITFYFHGKKDNNFCLVSDKNLHINAHFIGRRNENMKRDFTWVQSIVILFDNHQLFLGAQKTATWDDSVDRLAISFDGEPITLHESEGAKWESSGVSFVRETSTNNIIVEVEGNFRITAKVVPITEEDSRVHNYGITKDDCFAHLDLGFKFLSLSNEVSGVLGQTYKPNYVSRVNIGAKMPIMGGGKEYETTSLFSPDCSVARFVGNDGFNNDIAMVENLSLPSMSCTSGIDGQGVVCRR >RHN81894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49617299:49619873:1 gene:gene5991 transcript:rna5991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MAPLPAQNTFPILFLLFTIFALSKAELHAHYYDQTCPQLDKIISETVLTASIHDPKVPARILRMFFHDCFIRGCDASVLLDSTATNQAEKDGPPNISVRSFYVIDEAKAKLELACPGVVSCADILALLARDVVAMSGGPYWKVLKGRKDGRVSKASDTANLPAPTLNVGQLIQSFAKRGLGVKDMVTLSGGHTLGFSHCSSFEARLHNFSSVHDTDPRLNTEFALDLKNKCPKPNNNQNAGQFLDSTASVFDNDYYKQLLAGKGVFSSDQSLVGDYRTRWIVEAFARDQSLFFKEFAASMLKLGNLRGSDNGEVRLNCRVVN >RHN80214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36163212:36165488:-1 gene:gene4113 transcript:rna4113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-S seed storage protein, plant MRKFSLSLLSLSLLLFTCLATRSEFDRFNQCQLNNINALEPDHRVEHEAGLTETWNPNHPELQCAGVSLIRRTIDPNGLHLPSYSPSPQLIFIIQGKGVLGLSVPGCPETFEQPQSSRSRQGSRHQEQQQQQPDSHQKIRRFYRGDVIAIPAGTPYWTYNHGQEPIVAISLLDTSNFVNQLDSTPRVFYLGGNPEVEFPETQERQQGRHQQRPSFPGRRGGRHQQEEGSEEQNEGSSVLSGFSSEFLAQALNTDQDTAKRLQSPRDQRSQIVRVEGGLSIISPEWQQEDEEYERSPEEEEDEGRSRHLLRREHSQEERERDPRHPGHSQEEREWDPRHPGHSQEERERDPRHPRHSQEEREREDDPYGRGRPWWEKESREKQRTRGQNGLEETICSARLVENIARPAHADLYNPRAGRISDVNSLTLPILRNLRLSAEYVLLYRNGIYAPHWNINANSLLYVIRGQGRVRIVNCQGNAVFDDNVRRGQLLVVPQNFVVAQQAGNEEAFEYVVFKTNDLAAVSHVKQVFRATPAEVLSNVFGLRPRDVTQIKFSGNRGPLVHPQSQPQSQ >RHN77711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8883031:8884125:1 gene:gene1194 transcript:rna1194 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEADWSELPQELLNLISQQFDNEIDLIRFRSICTNWHSSSIPNHHRNILTIKFPLYIDSITNKTPFSDLSKHGFFIIKSPPQSLIHPWLTKITQNSTGKIKHYLPFRRHSSPSPSPVFDFNNFSLIHLVTNFIYSRHNTEYALYGCVKPAKVVAATSYGNKPIIVASFNCKGEEQLVVFKCGDENWKFIPEMSVYFGDIRAFKGQCYVVDKTGQTVTVGPDDSTVQLVAESLLAGGDIKFLVESKGDLLLADVYNRDIDDYDYDRARIGRIDMFKLNEKEKKWVKLANLGDRVLFLGSLCSFSASALDLCVPKGNCVIIMDDIFTCDPSMGYFLDLDDGRPLPLFDYPEYSKLFWPPPKWMV >RHN72762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10494132:10507784:1 gene:gene8516 transcript:rna8516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vps53-like protein MDKSSALEYINQMFPNEASLSGVEPLMQKIQNEIRTVDAGILSAVRQQSNSGTKAKEDLAAATRAVEELMYKIREIKTKAVQSETMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKIIELREKFKNIKQILKSHVFSDFSSLGTGKETEETNLLQQLSDACLVVDALEPSVKEELVNNFCNRELTSYEQIFEGAELAKLDKTERRYAWIKRRMRSNEEIWKIFPSSWHVSYRLCILFCKKTRKQLEDILSNLKEKPDVGTLLLALQRTLEFEDELAEKFGGGTQNREIGNEIEEIGRSPNSSSNASDIRKKYEKKLAAHQGSESEERDGSKDLAVPGAGFNFRGIVSSCFEPHLTVYVELEEKTLMDSLEKLVQEETWDIEEGGQSSVLSSSMQLFLIIKRSLKRCSALTKSQTLFNLFKVFQKILKAYATKLFARLPKGGTGIVAAATGMDGQIKTSDRDERVICYIVNSAEYCHKTAGELAESVSKIIDHQFADGVDMSEVQDEFSAVITRSLVTLVHGLETKFDIEMAAMTRVPWGTLESVGDQSEYVNAINLILTTSIPTLGSLLSPVYFQFFLDKLASSLGPRFYSNIFKCKQISETGAQQMLLDTQAVKSMLLEIPSLGRQTSSATSYSKFVSREMSKAEALLKVILSPVDSVADTYRALLPEGTPMEFQRILELKGLKKADQQSILDDFNKHGPGIKQTQITPAIAPAPPVAPVVPSPTAVGLVASREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNP >RHN81735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48568266:48573349:1 gene:gene5820 transcript:rna5820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosinetriphosphatase MEEKQVLLSSFAIWAGLNLGVGIYAGVKHYNQSNQLHEDQIVKGLMKLVTNGKDYDVTFDKFPYYLREKVKILLTSAGYVHLTQHRLSKHTKNLSPVSRAILLSGPAGILLCTSFENLAKALAHYFESKLLILDIYNFTWKCASASGLFGSRSILSSKGIIRAPMTCTSRVCFDEKRLLDSLYKVLLSILETDSVILYIKNVENDFRQYPRMYNLFHELLNKLSGSVLILGSRIYDSEDKCVEVDEKLTMLFPCNIEIKPPQDESRLKIWKVQLEEAMTKTQLKHISQVLAENNIGCDDLNTIGHSDTMLLSNHIKEIAASAVFYQLMDNKNPEYRNGKLVISAESLCHVLSVFQKGESSDNDNKKTTKESKKEVPPDNAFEKNIRRELISANEIGVTFSDIGALDDVKESLQEAVMLPLRRPDIFKGDGVLKPCKGVLLFGPPGTGKTMLAKAIANEAGASFINVSASTISSCWFGNGEKNVRALFSLAAKVSPTIIFIDEVDSLLGKRSDNDDKTMRRIKNEFMSHWDGLLSKPVEKVTVLAATNMPFGLDEAIIRRFQRRIMVGLPSAEKRETILKTLLAKEKHEDIDFKELSTMTEGYSGSDLKNLCTTAAYRPIKELMQQEKEKEMKKKKKEAEVEKSEDVSNTGDEEKSDQVIALRPLNMEDMRQAKEKVAASYATEGSNMKMLEQWNNLYGEGGSRKKEEQLSYFI >RHN79057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22011071:22012052:-1 gene:gene2753 transcript:rna2753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MIYFQCSVFSVLKFLMIINTSHGSWVNSLALSTDYVLRTGAFDHTRKQFSSPEEIKKVALESYNTMRGGAPERLVSGSDDCTMYLWEPFSNNKSYKARMTGHQEVQIFLLFLCKTLKYVHEINQKDIFLQIATSYNLY >RHN47614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41000642:41003643:1 gene:gene42234 transcript:rna42234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-reducing end alpha-L-arabinofuranosidase MAADLKPKTFRFPGGCYVEGDYLRNAFRWKDTVGPWEERPGHFNDIWNYWTDDGFGYFEGLQLSEDLGAFPVWVFNSGISHHDEVNTSDISPFVQEALDGIEFARGSSTSQWGSLRASMGHPEPFDLRFVAIGNEDCHKYNYLGNYLKFYEAIKHDSPDIQIISNCDGSIHQLDHPADLYDFHIYTDSKDIFSDHTKFDNAPRSGPKAFVSEYAVWKEDAGAGSLYAAVAEAAFLIGLEKNSDIVSKVAYAPLFLNTNDRKWIPDTIVFNSYQNYGTPSYWLQQLFIESSGATLLNSTLQNSSSSIVASAIEYKNSQDGKNYLRVKVMVVNFGNATENFMILINGLNSTVQSSNSSMVVLTSSNRMDENSFSELNKIVPQRTALGNASNDMDVRLPPYSVTSFDLSI >RHN69525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44132004:44135487:1 gene:gene18004 transcript:rna18004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, EAG/ELK/ERG MNRELQQFAVSSSSHFYSRFPKSFSLRKKVPWWYQILHPRSPFVAKWNVTFLYACLFALFLDPLYFYIPITGDKACMETDLVLGLLVTFSRTVADLFFLFHIILKFRTAFNSPTSFVYGRKELITDPGQIARRYIKHDFIIDLLATLPLPQIVIWVVIPATKNSNAAHVNHTLSLIVLIQFIPRCFQIFPLQRRILKTSGLIAKTALAGALYNLGFYMLASHVLGATWYVSSIQRQYECWRIMCKKEMNRTHSPSCDPSFLDCSTLVNNERQVWFRRTRVLTACDAFQDKNHFQFGMFADAFTDHVSSSRFFQKYFYCLWWGLKNLSSYGQNLKTSTYSGETLFSSFICIAGLILFAHLIGNMQNYLQSTTARLEEWRLKQKDTEEWMNHRQLPPELQERVRRFVQYKWLATRGVDEEAILKSLPVDLRRQIQRHLCLDIVRRVPFFGQMDDQLLDAICERLVSSLNTKGSYIVREGDPVKEMLFIIRGSIDSSTTDGGRSGFFNSITLKPGDFCGEELLTWALMPASSLNLPSSTRTVKTLSEVEAFALRAEDLKFVSSQFKRLHSKKLQHAFRYYSHQWRAWGASFIQAAWRRHRKRQLAMELLEKENLYYENVMELEDYEESGGGESSMGLEEDNASHGFGATFLASKFAANTKKGAVKKVTMIPDSSSLKMPKMFKPTMPDFSTFHED >RHN82706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55808876:55810381:-1 gene:gene6887 transcript:rna6887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MAIYRIAIGSPREASNPAAIRAAFAEFFSMLIFVFAGQGSGMAYNKLTNNGAATPEGLIVASLSHAFGLFVAVSVGANISGGHVNPAVTFGAFIGGNITFLRSILYWIAQLLGAVVACILLNSCTAGMETSGFALSSGVSVWNALVFEIVMTFGLVYTVYATAIDPKRGNVGVVAPLAIGCIVGANILVGGVFDGASMNPAVSFGPAVVSGTWTHHWVYWVGPFIGSATAAILYNNIFIADHVHEPLSNSDF >RHN73226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14538137:14543112:1 gene:gene9020 transcript:rna9020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MKSDLCLDTFTIKVICIVWVFRVKIVFGCVNDQNRFLICLIFYCVLRTLRIRTLMSHLILTTFRTMLKKWFLSNNAVLCFITTKSTTPKFNNPLLHQFPISPRRFCTITATSESNTHPFSVSYLINNFGFSHESALKAFNNKQVRFNTPDNADSVITFFQNHGFPNSNIRIIIQKAPWLLSSQPHKRLIRSRPFLICSKDLINSLEEIKGLGFDPSTTTFGYALLANNCTSKKLWDEKVDVLKKWGWSDEDVIRAFRCHPDMMLTSIEKINLVMSFWVNQLGWDSLALTKRPHILTHSLEKWIVPRGLVVQFLLMKGLRKKNASLVTPFRYSEKLFLEKFVFSFKEESDYLLKIYEEKIKLAYTMENNGMPFPN >RHN43848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48940712:48946962:1 gene:gene50478 transcript:rna50478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 26S proteasome regulatory complex, non-ATPase subcomplex, Rpn2/Psmd1 subunit MATTLVSSAGGMLAMLNESHISLKIHALSNLNNLVDSFWPEISTSVPLIESLYEDEEFDQHQRQLAALLVSKVFYYLGELNDSLSYALGAGPLFDVSQDSDYVHTLLAKAIDEYASFKSKAADESSKVDPRLEAIVERLLDKCIVDGKYQQAMGTAIECRRLDKLEEAITRSDNVQGTLSYCIHVSHSFVNLREYRQEVLRLLVKVFQKLPSPDYLSICQCLMFLDEPEGVASILEKLLRSENKDDALLALQIAFDLVENEHQAFLLNVRDRLSLPKSQPLESVEPKPSDADSTQNAGVSGPDDVPMTDGEPASAVNVPEDPSEKMYAERLNKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGTGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRSTTVEVIQHGACLGLGLASLGTADEDIYEEIKNVLYTDSAVAGEAAGISMGLLMVGTGSDKANEMLTYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYSGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSDPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQISEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKVTAVVGLAVFSQFWYWYPLIYFISLAFSPTALIGLNYDLKSPKFEFLSLAKPSLFEYPKPTTVPTTTSTVKLPTAVLSTSAKAKARASKKAEEQKANAEIASSPDSTSAPSAGKGKSSSEKDGEAMQVDSPTEKKSEPEPTFEILTNPARVVPAQEKFIKFLQDSRYVPVKLAPSGFVLLKDLRPTEPEVLAITDTPASTTSTAGGSGPGLQSSSSAMAVDEEPQPPQPFEYTS >RHN68725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38042609:38046671:-1 gene:gene17111 transcript:rna17111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase TKL-Pl-4 family MESGGRFYSVDEFNLDPKWLVDPKHLYVGPRIGEGAHAKVYEGKYKNQIVAIKIVHKGETTEEIAKREDRFAREVAMLSRVQHKNLVKFIGACKEPVMVIVTELLSGGTLRKYLLNMRPKCLDTHVAIGFALDISRAMECLHSHGIIHRDLKPDNLLLTEDHGTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNHKVDAYSFAIVFWELLHNKVPFEGMSNLQAAYAAAFKNVRPNADHLPEELAVILTSCWQEDANARPNFTQIIQMLLNYLYTVSPPTPAIPSRIFTSENTLLPPESPGTSSLMAKRDDTGDTPRVKDEIKPNGFLCCFSQCY >RHN82175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51703462:51704379:1 gene:gene6302 transcript:rna6302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I reaction centre subunit N MSSIGQTMLMALTVTVNKYASSNVQAVHNRKQANPTLRSTNLEFGRRGLVLSTVIAATATQDPESRTLLLQKYLKKTEENKEKNDKERVDSYYKRNYKDYFEFVEGGLQGKEEGKLSEAEKGILDWLKANK >RHN49255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53580308:53584493:-1 gene:gene44067 transcript:rna44067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exodeoxyribonuclease III MEFTLSDNSLKTFARCITCLARIGNELSIQASSSQLLFHTINSSRSAYQSINFKPSFFDVFTVSSNPVQCSVLLKAVCAVLRTPIANIDHLTVKLPDPDAPKVQWILDCYSGMRKTYWITCNVEPDITHLSLDRQKFPSNFVVRPRDLNRLLANFQSSLQEITIIATEPASVPPDSENEIGGKAVELRSYIDPTKDNDSLLHTQLWIDPKEEFLQYVHNGDPVDVTFSVKELKAFLSFCEGCEIDIHLHFEKTGEPILMTPKFGLEDGSHSNFDATLVLATMLTSQLHEGAASEPPVVATRTHAQTEERNESPLQQENCRTNASELPSDHTRIWSDLSATAAKNVSGMEERQAQEGTTLNDNEQRDIQRISTVKITRGKLFAGNNPIDSNFCPPSENDHVQEPQDMLPNNGQPFSQHHPSNWVDAEEDDEDDADEEEPYIQATPPYYDEQ >RHN77515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7348390:7350936:-1 gene:gene979 transcript:rna979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S3 MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQAVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKDYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPKTPLPDIVTIHTPKEEEEYIRPAAVVANDIEVPVPIPVV >RHN58023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43163686:43165358:-1 gene:gene33628 transcript:rna33628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAGGYIAHGSEKEYPGKLTFRVFIACMIAAFGGLIFGYDLGISDTTLFTSSLYLAALVDSLGASTVTRIFGRRLTMLSGGVLFLAGAAMNGFAEKVWMLYVGRMLLGFGIGCANQSVPIYLSEVAPYKYRGALNMMFQLSITIGIFWPIAFKFGVDGNPGELPKWYALLVVIGICVYVMGFTWSWGPLGWLVPSETFPLEVRSAAQSVNVSVNMIFTFAIARVFTTMSCHMKFGLFIFFAFFVVVMSLFIYKFLPETKGVPIEEMFMVWQNHSYWRKFVKPAEEHGGGQA >RHN71173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56933831:56935528:-1 gene:gene19823 transcript:rna19823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative procollagen-proline dioxygenase MARSLVVDGVTGEVKESSSRTSSGMFLDRGKDKIVQNIERRIADITSVPIENGEGLHVIHYGVGQKCEPHYDYTSDGVVTKNGGPRVATVLMYLSDVEEGGETVFPDAQPNFTSVSKCSGDGLSVKPKMGDALLFWSMKPDGTLDTSSLHGGSPVIRGNKWASTKWLHLRECKLSGTTHAGTSRAEHILVFIVILDFSF >RHN53800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4235065:4238422:1 gene:gene28748 transcript:rna28748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MGKQGPCHHCGVTSTPLWRNGPPEKPILCNACGSRWRTKGTLANYTPLHARAETDDFDDQKASRMKSISLNKNKEVNLLKRKQNHYNVVSGGLASDYNQGFHKAFDEDMSNRSSSGSALSNSESCAQFGGTDASDLTGPSQSVVWDTTPTVPSKKRTCVGRAKQPSSVEKLTKDLCTILHEQQSCFSESSDGDLLYESEAPMVSVEIGHGSVLIRHPSYVARDEESEASSLSFDNGLYPMSDAYSYSGAVLKRQAFFH >RHN82083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51148851:51149348:1 gene:gene6202 transcript:rna6202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKSLVISQNASSSTSPPLLCVASSSEVVDVAAVVKTEQAKVFTQETDEPSSITVCEICAETKTTDEMFRNLRCYHSFCSECVVKQVATKIQDNITNVSCPGLNCKGVLELESCRSLLPKELIDRWNDALCEAFFLAVPKFYCPFKDCSAMMLDENEDGQDIRESE >RHN76451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50362320:50366187:1 gene:gene12778 transcript:rna12778 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQFGFTSEEIVVNDNLGYPKAYAKLCRDRGFTPYSHGPPFTFLPYALPEDEVERVSFLDEMFPIIDPKAKPTTKAKIFASILWKQLSHLGNAGFDPAVIRVDGYGNVLYYHADSASPLAWDIDHWFPCSRGGLTVLSNLRILQRQVCKRKKHKLEFLVPWWDFQLGISVNQFLSIFASSNSDFRHRGFSFLFSEGESHELHDTQIVDSHSFPQHFIGLKEEVGLAPAAIVQSRRDPYDALALRQLDHSKKTRPMSPAIVAARKTKGNVLKENADPNFVKNPYQAIVMARDSQKQREETLKMQAEMQKLDNEVNEMKLKNEEEKIVIQDLEMTLIKRKRKAEKCRRLAEAQSSYRTMLEKMIRDTMHQSVIYKEQVRLNQAASNALMARLEAQRAICDAAEKELHKKYKQKDDIEKQIRPEFEQRKRFRIDDSTFEEERNSKSVIYLPGNRPRTPFHKELRVLLDEEQKASEAGLSANEEELKIEEEEPTKSVVVLDEEKSIEQRLQKLEISEGKRTAGISFRGFHEKNVEEDEEMRNQRGKGNVEKWLQLLLENGQGEGTDSQEETNGNASGRTEDIIQQLNQKFPQKELLKVSKVSDSDINNIEKELQVLQDKKCWTEKEDDKIENVDDATGYKNYSAEAYVGETNGSFEKTKIGKNWKEEQHKLEKRLVRSESARVLRRIPSSPSLFQGMKSSFKTIKKAVKL >RHN67277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25438046:25443575:-1 gene:gene15454 transcript:rna15454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, of Roc (COR) MMSSNQNIKDIQWAQQAINMMENQNLHSISFYLSQPTSTCYQETNNSININISKQNQQHFTNLLATLASCHHTNSTLKNLEFHRVEWESQQVKNLGTLLRNYHTIKQVVFRRNRFNGKSMLDLSDILKENKMVKEIMFSESCIGSVGACLLASSLMVNHSLEELQIWEDSIGSRGAEEISKMIEVNPSLKLLTIFDSNYITATPLISAVLARNRTMEVHVWSGDQNGERSSKVVEFVPGNNTLRIYKLNFSGTCRVACSLGMNFTVKSLDMTGVKIKSKCAKEFRWVLEQNQTLKEVNFSRTCLKDKGIVYIAAGLFKNHSLQKLHVAGNWFRGIGVEHLLCPLSRFSSLQMQANTSLKCVTLGGGRTRIGRDGLVAITQFLVTNETVTRFGIHDDESLKPDDFVKIFKSLEKNASLKCLSLQGCKGVQGEMLLQTIMETLQINPWIEEIDLTRTPLHNSGESIGIYQRLGQNENPEPEMDLIKDMPLTEPKSCRVFFCGQEYAGKATLCHSISQNFSASAALPYLDQVRTIVNPVEQAVKTVGMKIKTFKDEDTKISIWNLAGQHEFFSLHDLMFPGSGSASIFIIISSLFRKPSNREPKSTAEIEEDLQYWLRFIVSNSKRAGQQCMLPSVAIVLTHFDKINQSSQNLQQTVDSIQRLRDKFQGYVDFYQTVFTVDARSSASVGKLTHHIRKTCKTVLQRVPRVYQLCNDLIQILSEWRSENYNKPAMKWKEFGELCQVKVPYLRIRSRHYNKEAVEMKRKAIATCLHHIGEVIYFDELEFLILDCEWFCGEVLGQLIKLNVRRQQSSENNGFISRKELEKILKGSLQSPIPGMGSKVFENLDASDLVRMMLKLELCYEQDPSDQNSLLLIPSILEEGRGRPQRWQISSPDCLYAGRHLECDDSSHTFLTPGFFPRLQVHLHNKIKALMNQHGATYSLEKYLISISINGIYIRVELGGQLGYYIDVLACSTKNLTETLRVIQQLIIPAIQSVCHGITLTENVIRPECVRSLTPPRYRKTQFASLQQLKQALLSLPADSMYDYQHTWSPVLDSGRPILQEGFDFARDLLSDDDFREVLHRRYHDLHNLAQELQIPPENNPEGRDQDITLSNEAEKVEPSFGGIAKGVEEVLQRLKIIEQEIRDLKQEIQGLRYYEHRLLLELHRKVNYIATFNAQVEERKVPNMFYFVKAENYSRRLITTMVSGMTALRLHMLCEFRGQMHVVEDQMGCEMMQVDNMAVRSLAPYMKKFMVMVTFALKIGAHLAAGMGQMIPDLSKEVAHLGGSSLLFGAAGATAAGVVGAAAIGHRNRSAEGSRGIQQDIKAAQQWMVDFLRERRCSTGKDIAEKFGLWRVRYRDNGQIAWICRQHMYSRSAEIIEVPI >RHN38776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1905854:1906996:1 gene:gene44742 transcript:rna44742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-heme dioxygenase domain, isopenicillin N synthase MGTIDATMPNLDSVMNERKAFDETKSGVKGLVDGGLKRIPEIFHCQPDKYQKANNTSHVIPVIDLVDIDNKDPSIYQGIVGKIKEACETLGFFQVVNHGIPLSVLEELKDGVKRFYEQDTEVKKDFYTRDMNRSFIYNSNYDIYSPPALNWRDTFACYLAPPDTLKPEEIPVVCRDIILEYGKHMMNLGTLLFELLSEDLGLHPNHLKDMDCTQGLFALCHYYPPCPEPELTVGTTKYSDSASTRPYRWSPSSL >RHN46545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32626521:32626877:1 gene:gene41042 transcript:rna41042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MSCGLISVCVVLLGDMEQERYFFSTKEAKYSNGNRSKRVTNSGYWKATSLDKQIMNLKTREVVGMKKTLVFYRGKPPHGSRTDWIMQEYRLNSSHSNPLVSLSFFLSFYEFVIKSLVL >RHN43552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46802545:46811216:1 gene:gene50153 transcript:rna50153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galacturonokinase MAGSCWPSDTELNEMREKVSQMAKVKKEDVRVVVSPYRICPLGAHIDHQGGTVLAMTINKGILLGFTPSGSDEFVIRSGQFQGEVKFRVDDIQQPVQTTKIKNDNMAENSSEPQEQCNWGRYARGAVYALQNRGHNISKGIIGYIRGSDGLDSSGLSSSAAVGVAYLLALEHANDLVISPTENIEYDRLIENEYLGLKNGIMDQSAILLSRHGCLMCMNCKTKEYKLIHRPTVQDYKKSEQPKAAKMLLALSGLKQALTTNPGYNRRVAECKEAAQILLEASGDHEAEHILSNVAPEVYEAHKCKLEPDLAKRAEHYFSENMRVMKGVEAWETGSLEDFGILIAASGRSSIQNYECGSEPLIQLYEILLRAPGVLGARFSGAGFRGCCIALVEEHLATEAASFVRREYLKAQPELASQISRDTAVLVCDSGDCARVI >RHN66555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13703063:13704433:-1 gene:gene14561 transcript:rna14561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ZF-HD family MKKRQVVAKTSSSITRNIRYGECQKNHAANIGGYAVDGCREFMASTGEGTSGALTCAACGCHRNFHRREVQTEVVCEYSPPNYSR >RHN45735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25126851:25130875:1 gene:gene40125 transcript:rna40125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Bile acid:sodium symporter/arsenical resistance protein Acr3 MASIPVFSPFTPMKQKHKPLSFQPLLRTRSSFSLQRVSKGCSISSFACSTSKLHGGRVGFNHREGKTSFLKFGVDESVVGGGGNGDVGERDWSQILSALLPFVVAVTAVAALSQPSTFTWVSKELYAPALGGIMLSIGIGLSMEDFALAFKRPLPLSIGFIAQYVLKPVLGVLIAKAFGLPRMFYAGFVLTACVSGAQLSSYASFISKGDVALCIVLTSYTTIASVIVTPLLTGLLIGSVVPVDAVAMSKSILQVVLAPVTLGLLLNTYAKPVVSILRPVMPFVAMICTSLCIGSPLAINRSQILSGEGLRLVAPVLIFHAAAFTLGYWFSNLPSLRQEEQVSRTISLCTGMQSSTLAGLLATQFLGSSQAVPPACSVVAMAIMGLCLASFWGKGFEIKNLLSSLPSLRTNSAFEA >RHN53946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5600202:5600978:1 gene:gene28921 transcript:rna28921 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVSFECLHSLLRSISSLILFLFSIFLRHIDKRYHLLHVKEFNRRLYPKKKINTRYFFLRKINTRYLS >RHN45344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17232248:17235354:1 gene:gene39624 transcript:rna39624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MECFLTELGKTLTEKVLNKTIEKSSNIFCFTCIVKEFNEEKEKLEAGRATLREQFQVATNKGKGIKADARFWEKHAGKHIQENTETKKSCFFGFCPDCIWRYKRGEELATKTKEIRKLMKEKFENVELDRHLPGVERYSSQDYISFESRKLKYKELLDALRDDNNYLSGLQGMGGTGKTTLAKEVGKQLKTLEQFDHVIDTTVSFTPDIKKIQDDIAGPLGLKWEDISESGRPKKLWSRLTNGEKILLILDDVWGNLNFDDIGIPKSDNHKGCKLLVTTRNLRVCNQMVCEKTIQLDLLNEEEACSMFKLHANLTDNSSQSILKKGRKIATECKRLPVAIATVASSLKGQKRHEEWDISLKTLQKSVSVGGVGDDLVDIYKCLKFSYDFLKDKKAEGLFLLCSTFPEDAEISTEVLTRLGIGVGLLGDDYGSYNDARIQAVASKNKLLDSCLLLETEEGDVKMHDLVREVAQLIAKNEIKIVNFSNKSQKSQVESDKKMKYLICEGNLKDLFASKFDGSELEILIGDMHMEDILHIPISFFENIPRLRVLNLSCHNSYDHPLSLPQSMKPLLNIRSLSFGKVDLGDISVFGSLQSLETLELNYCAINELPPEIEKLENFRLLELKNCEIRNNNPFEVIQRCQLLEELYILNSFIDSCKEITLPTLQRYHLSSYFCDYDYMMKDTISRCVSLKWDYFSEATLKYVMETTELLHLEGTDKGWRNLMPGIVPIDNGMNYLIKLVLKKLHQVQYLVDTKHNNSEVPSVFSKLVVLELKEMKNLEELCNGPIFFDSMNNLEELTILKCGILRSLFKCSINFCNLKNLENIITTECEEIVAADNDKNKSCNSMFPNLKFLCIEECDQLQFTLPFHSAGDFLLLESIKIQLCFELKYIFGQHQDVKLASLKELQLSCVPNFIDIFPESSHSMPSPIKGSSNSISKPQSELEQVKSNTFSWSQICCYGYKSRGSSSTKIPLVSEDHPKDCSITLVIYPLIFSFIVSVFS >RHN52854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39575659:39583255:-1 gene:gene37578 transcript:rna37578 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative immunoglobulin-like, oberon, PHD finger domain-containing protein MTEKKKDSKKVSGLGSQCSRKQLRKGENPIRFVPPADPPSDYGHSNSWICKNSACRAVLSKDDTFCRRCSCCICHLFDDNKDPSLWLVCSESSQGDSCGLSCHIECALHHEKVGVVDHGQLMQLDGGYCCASCGKVTGILGSWKKQLNIAKDARRVDVLCYRIYLSFRLLNGTLRFKELHDMVQEAKAKLEKEVGPVDGGSSKMVRGIVSRLPIASDVQKLCSLAIEKADEWLATDDNPESKEGSLPAACKFVFEEVTANSVKIILLEMPNVTSEDIKGFKLWYYKTRGESHTEEPVCVFPKDQRRILISNLQPCTEYTFRIVSYTDIGDHGHSEAKCFTKSVEILVKKPTSSIDMNAKDDTETGGGSEPGSKLEIDPSMTYPGFKVRDLGKILHLAWAQEQGCLEGFCRADTKNCCGQIETIKPSHPEERSVPLVSRDLDLNVVSVPDLNEELTPPFESSRDEDNGCTLQQAVEADDDAASHDLEKNLARPHGSGGSETWRTNEEVPAVDSRIVVGASRKRVASTNEEAHDCDSTLINGSPLHAPDGSFSLDENFEYCVKVIRWLECQGHMKKEFRLKLLTWFSLRATEQERRVVNTFIQTLIDDPSSLAGQLVDSFSDIISNKRARNGFNNKVVALN >RHN66140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8965546:8965776:1 gene:gene14062 transcript:rna14062 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPDTTSPSSRSRSFRAPSLDNGRILVDYNIQNESTLHLDLRLGGGAKKRKKKTFTKISHNRVNMNLGRVNFWN >RHN59158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8026125:8027557:1 gene:gene21150 transcript:rna21150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MYCVQSLFSVKIAIEDNSSFCALLTYIYPSQLRNLKGWCNCSKEEISRGCSHQSQSIEYLSIDDCYKLVSIPQHTYIKKVTLRKVTEKILKQVVNYSKVEYLQMFFMLNLKSLSGVFQHLSTLCELRIRYCEEFDPCNDEDGC >RHN58546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2706321:2709414:-1 gene:gene20468 transcript:rna20468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA/RNA-binding protein Alba MEAITEGVNNVNITDSIKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDIKDDSRGRPVQKAKIEILLGKTANFDELMAAAAAAAESGENGDIEEHTA >RHN48620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48875003:48876724:-1 gene:gene43359 transcript:rna43359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxiredoxin MATSLSFSRLLSSSTTTSLLSPKTKTLSSNFTLPLKFNRFNSKPLRFSSSPKISATISVGDKLPESTFSYLDPAGEVQTITVSDLTKGKKAVLFAVPGAFTPTCSQKHVPGFVEKSAELKAKGIDTIACISVNDAFVMKAWKEDLKVNDEVVLLSDGNGDFTKAIGVELDLSDKPVGLGVRSRRYALLAEDGVVKLFNLEEGGAFTFSGADDILKVL >RHN47746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42215326:42218308:-1 gene:gene42381 transcript:rna42381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain-containing protein MSSSEEFSVVVLASDLAVDARPFLLHEQQEEEENWHDCSQYLSPDEDFSDLEFLQFFTLQGTDKNGTRILRIIGKHYPATVVSAERLKRYVFHKLFSELPDGPFCIVYLHSTVTNEDNSPGMTILRWIYEDLPDEFKDRLQTLYFIHPGLRSRLVMATLGRFFLSGGLYWKIKYVSRLQYLWDDIKKGEIEIPEFVQKHDDILENRPLTDYGIEPDPFHLTGMPSVAYSFGKYEERWAGRDHISEYEYH >RHN42228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36530020:36530485:-1 gene:gene48644 transcript:rna48644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MDREIIRPCAACKHLHRKCHLTCVLAPYFPKDNPERFIKVHSVFGMRNVTNLLKKLDVSHHEQAVESLVYEADAWLRDPIHGCAGPANDLQGRLNEAQTELKSVKNELAKYLGPQLVEDNWVLISCQLLS >RHN74615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35205953:35207127:-1 gene:gene10712 transcript:rna10712 gene_biotype:protein_coding transcript_biotype:protein_coding MHVDILVTFQCQDDLKSLSIFLAIIATFLGDIFGSNIGNR >RHN53862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4790790:4794300:-1 gene:gene28822 transcript:rna28822 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRKVFGFLGFSKDDDNHDHDSNDNNNSDDRHAASGAGGQHRPTNFRVKETGQPRRGFSVKAQVVNDRPQQQQLGPVLAPSTSSDGGVQGLGWYAKRLRIDEDGDVANKFFVDVSSETPARFTLNNDTRPVKVKKQVLSSEGKVLQCVEHRGRLQVV >RHN51823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26008132:26009066:-1 gene:gene36360 transcript:rna36360 gene_biotype:protein_coding transcript_biotype:protein_coding MMMHVSAIQPKFIIRNDNQTQILRHKYNTMGNFDISTFKQRVIL >RHN41214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28255246:28262679:-1 gene:gene47512 transcript:rna47512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVDLSSHLFLLSLSLHCCFIACLAANTKNITTDQSALLAFKSLITSDPYDVLANNWSTSSSVCNWVGVTCDERHGRVHSLILRNMRLRGTVSPNLGNLSFLVILDLKNNSFGGQLPTELCRLRRLKILHISYNEFEGGIPAALGDLSQLEYLYLGVNNFSGFISQSIGNLHQLKELEIGQNKMSGPIPQTILNMSSLEHINLAVNYFSGEIPSLNNMTSLRVVDFAFNNLNGRLPNDFFNQLPQLRNFSLNNNQFEGSIPQSIGNCTSLIYLDLSSNFLIGMLCFLSGHIYLK >RHN54044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6469377:6476625:1 gene:gene29023 transcript:rna29023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionyl aminopeptidase MAMAASSSVSLLKSSFTGDRFLRCSRHQSLPQLFRYRQGMNHFSMQLPRSLSGLTNLLFNRRNIDDNTNRKRLRPGIVSPRRKVPKDITKPPYVKSMVPPGIASGPEVHDEKGIECMRASGKLAAQVLQYAGTLVKPGITTDEIDEAVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRSLEDGDIINIDVTVYLNGYHGDTSTTFFCGDVNDEVKKLVQVTKESLDKAISICAPGVEFKKIGRTIHDHADKYGYGVVQQFVGHGVGRVFHADPVILHFRNNEDGRMMLNQTFTIEPMLTMGSINPVMWKDNWTVVTEDGSLSAQFEHTILITPDGAEIMTQC >RHN39907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11606265:11608331:-1 gene:gene45991 transcript:rna45991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MTKVENKLISARNELYKTKTRAPRASLWMEFFMSKASKIEHEAFISAWLSIFVFPHKSLLIKSSLFPIAVHLARGKPIALAPSVLACLYKDLRLFKETIVGLTKTTTEGAKFPLKVEVNVQSPIYLVKVWLWERFKNLQLSREWIKMFQLVFTADPYFDAGVTTRYATWWKRSAGSSTCTAHAEIPLEFLHPKLVTFGKPCDYSSKAKGDDIVDGDVEDGLNSEKNSVDIVTGSDNSVEDGLNAEKNMAVDGPSPLLSVAEDGNHVQSKDGDESMEARLSNDDICQAETPTERYSFLSEESIAELEQRINQLEIVHTKLKTKRRRLLP >RHN50317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6108954:6113488:1 gene:gene34589 transcript:rna34589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADQLELISYSVFESVIYNLTMLASSDYGRDHGFSDKLNTLCDRIKILKENLVDADKMQELDRTKHIYIEGQIFVIDDFWDLIVTEILRLDRFKACNLFSCMDRFHTQSAIARNIYRLGNIIDKTGMDRILSFQPMEFDSARNILGNSFNESDIIGREDEKREIIRLLMLPADGKENISIIAIVGMGGIGKTTVAQMIYNDRQVKGFFDICIWVNVSYDSDIKNIADQILDSSSGSTNNDQDSLETWQNELRKKLNGKKYLLVMDDIWNESKEKWTELKTYLTSGAPGTKIVVTTRSEKVAEVMEVYTSVHLTSLSEEDSWCLLKKLVFRNDDDPRTHLLEPVGKKIGKKCRGVPLAIRSAARVLHSTDTESEWILASKFKIDINIMSSPETSYKDLSPPQLKQCLAYCCIYPMGCEIEKNELIQLWMAQDYLGYINSELEMEDVGNGFVNTLLRMSFIQDPKMDEYGNVVSFKMHEFKCNYDDFFDDGTVNRPTHMCLSLESHAFDLLRRRYPKRMRTFLLQRKSDRENVWMTRDHLSVVVRLKYLRALNLSHSSLRMFPDLIGQLVRLRYLDLSWCIKLARLPKSIGRLVNLQTLKLTGCETLEFSTEVVTKLINLRHLEIHRCKAFEEMMPTGLGKLSSLQSLSSFYVVNDRKKKSGKLNELQNLNSLRGNLEINRLDQVKDVMLETQHVNLKDKKLLESLDLNWENQDNKQNNFRLLENLCPHQNLKRLHVRWYPGYEFSSWLSSINHLSYISLFGFDNCKSLPPLEHLPCLKSLEISSMKVLEYIHLEEVFHTAATFFPSLERLKFSGCKNFTGWQRMKRQLCESMVTPLKETLDIASSSSSTPLSKLKSLKIEGKLPEISVLPSRWKQNLTSLEHLEIGDVDNLDIWFEDNFPSLQKVVVYGCDLQALPQKMCDLSSLQHVKMMGCHKLASLPKEMVNLNKLVTLEIWDCPLLVERCQSETGVDWPQVKHVQNIILKENLRQ >RHN42513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38960024:38964062:-1 gene:gene48961 transcript:rna48961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative asparaginyl-tRNA synthase (glutamine-hydrolyzing) MFALNFFRFLSKIFQPVTFPATQSTTMATEFSIEEATVQDLQLAFQTNQLTSRQLVEFYLNQINIQNPVLKGVLEVNPDALAEADKADQERREKAPGSLSRLHGIPMLVKDNIATKDKLNTTAGSFALLGSVVPRDAGVVTKLREAGAIILGKATLSEWSNYRSNGAPSGWSARGGQGKNPYTLDDPCGSSSGSAISVAANLVTVSLGTETDGSILCPSGLNSVVGIKPTVGITSRAGVIPISPRQDTVGPICRTVSDAAYVLETIAGIDTFDEATIEASKYIPEGGYAQFLKKDGLIGKRIGVVKRYYSFRNDTFPDKTFKLHLKTLRQRGAVLVDNLKIDNIDEIISDPSEEIAMNFEFKLSLNAYLKDLVASPVRSLADVIAFNKKNPKLEELDKYGQDIMLQAEKTNGIGSIQKEALLNMTRWSENGFEKLIKTNELDAVVTPFSSFSSILAIGGYPGIIVPAGYEKGKPFGICFGGLKGSEPKLIEIAYSFEQATLIRKPPPLRNSEI >RHN53040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41428443:41430559:1 gene:gene37787 transcript:rna37787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MSETKINTLNEPMISKDMENQRDIIVSETKSLLSLALPTALTALIFYARSMISMMFLGKLGDVELASGSLAIAFANITGYSVLSGLSLDDKITQMIYLRAQSVTYPVTLASLVGTFLHLPFNFLLFKKGISGIAIASTASNFSVLVVLVVYVWISGVHIATWNAPSRECFFGWGSLIKLAAPSCVSVCLEWWWYEIVIVLCGFLVDPTATVASMGILIQTTSLIYVFPSSMGLAVSARVGNALGANRPRNARVSAVIAVFFAAVMGFTAVIFAMMMRWQWGKMFTADEDILRLTAAALPILGLCELGNCPQTVGCGVVRGTARPKVAANVNLSAFYMVGMPVAVGLAFWFDFGFCGLWLGLLSAQVCCAALMLYIVGTTDWEKQARRAQLLTTFDEVNNGSEGQKEPFISGLESA >RHN47874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43211062:43212245:1 gene:gene42523 transcript:rna42523 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRQGSEMQPYVEAPPNAAEQNRYSHRSIETLIVVIAVITIVGVIAGMIARLCGGRHFGGNGENDIEGWVERKCRSCIDAGLPPPPPPAEPKPEEPKAEPKAEAAAGGGDGSK >RHN64200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57215795:57217243:1 gene:gene26987 transcript:rna26987 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNMDQKNGNETIASHSTFNTETTTATSTIKKSIGGIGSLIKLLPTGTVFLFQFLNPVVTNSGRCKTSNKYLSSILLVICGFNCFFSTFTDSYTGTDKKRHYGIVTTKGLWPSPPASTSIDLTKYRLKGSDFVHAALSLLIFALLGLLDTNTVHCFYPSFESTQKQLLQVLPPTIGVFVGWMFVMFPQHRHGIGYPVSTDDSHDASKISNESPQNPIHNV >RHN47817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42764214:42767690:1 gene:gene42463 transcript:rna42463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerol-3-phosphate dehydrogenase (NAD(+)) MVGNILGNGSSQNHNNGLEEKLDEFRRLISKTNGDPLRIVCIGAGAWGSVFAALLQDSYGQFRDKVQIRIWRRAGRAIDRSTAEHLFDVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPVCPLKVVTNLQEAVWDADIVVNGLPSTETREIFEEISKYWKERISVPVIISLSKGIEAALEPVPHIVTPTKMIHQATGVPMENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVASLTNESATSKSVYFAHCTSEMIFITHLLTEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSISGKGMIQGVSAVEAFFELLSQSSLNVLHPEEKKHVAPVELCPILKTLYKILISREQSTHAILKALRDENLNDPRERIAIAQSHAFYRPSLLGQQ >RHN40092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13155182:13156546:1 gene:gene46193 transcript:rna46193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTKTIGNIFHGLTVLLNPTSNQIQSSLFNLFLYQFMAAASATTDKKKVSTSSHIPDDLTFSILSKLPLKSLTRFTCAQKSWSLLFQNSIFMNMFRTNFLLSKHDEDDENTCVLLKQMEGRRPYHTSLYTLSGENLNANKTIVLWNPATEEFKVVPHSHQPYENIEFNPRPFAFGYDPVTNDYKVIRVANYHIYFEDNWIYLPRKESLLWRKDDPLWKQYMFYDSHFWEGHELQVYEPFLEIYSLRSNSWRKLDMDLSVFLHGRCMMNLNELCHWQVNDQMASFDFTNEIFFETTLPSFDLKDGMSTKKDLAVLHGSVAFIHNVVNTGYLHIWILGELAVNESWTKLFVLGPVPCIGRPIGVRIKSVMFYLKEDEELAWIDLSTQRIEEIGVKAESPAWLQIVTYKENLLSFVGMNN >RHN63173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49051265:49051735:1 gene:gene25846 transcript:rna25846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MVCAACKYQRRKCSPSCDLAPYFPADKPEIFYKAHRLFGVSNMVKMLKNIDDEHLKDEAMKSIIFESDMRARFPATGCLGVIHMYGGMLKKSVDELDHVRNLLAYCKQHNLSSAPSTSSHFSNTPIGANLNTSFMDSTKEAQLNGDNGGVDPQGKP >RHN45838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26180895:26182265:-1 gene:gene40259 transcript:rna40259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MQMDDRISMLSDDILSHILSFLPTEDAFTTTLLSKRWNQIWLLVPNLNINDQRFINRGKPYFRFRDMVYSLICAKIKHRQPIKKFCLKCHGNSTNEPLEDEVVEWLMAAENCGMEHLEFQGSDTLKFYNFIFGFSNLVVLKLKAIHVNYFLPVDFPSLKTLHLNDVFIYEHWFLRELVNSCPILEDFQAKNISIGYWSENYNGRFKRLTNLVRADISDLNSCDVPLEAFSNVQFLRVEEMFGHVPVFRNLNHVELVFQRNVDWCWVFGVLEKFPKLRILVREMPQLLTSVRSFISIPSVSPECLSSQFKECTITNYGGQKYELQFAQYIMLNSTNLQRMTIYSSSSMNHEEKLAMQMELLSFPRSSASCQIYFK >RHN58445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1783338:1784075:1 gene:gene20360 transcript:rna20360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MIGLKEFSQNITTLTSLTCSHISRPQSIDMLIIADCFPFLEELDLSYPSYCENSMFDRVKTLLSALSNLQKVNLTKHSYINNQFLFHLFNSCKCLEEAIIFRCPEVTNVGIASALGEALTLRSLSFTNYFEPQECSMLFDLVKNSPSLSEIRMEYNFENSFETSNSFMDLVVRPQLKSLCLTHNSRLRDETIKIFSSIFPNLQLLDLINCSGISDEGICEVLRCCKIRQLNLARSLKVKLLWTEL >RHN50220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5272040:5272908:1 gene:gene34487 transcript:rna34487 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSISKVHKTSFIILYFFSITFSFFLLTKIKKENENTHSNK >RHN61160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33551082:33554931:1 gene:gene23596 transcript:rna23596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructokinase MASNASLLPATGSGLIVSFGEMLIDFVPTASGVSLAEAPGFLKAPGGAPANVAIAVSRLGGKSAFVGKLGDDEFGHMLAGILKENNVRGDGINFDKGARTALAFVTLRADGEREFMFYRNPSADMLLTPEDLNLELIRSAKVFHYGSISLIVEPCRSAHLKAMEVAKDAGCLLSYDPNLRLPLWPSPEEARKQILSIWDKADLIKVSDVELEFLTGSDKIDDASALSLWHPNLKLLLVTLGENGSRYYTKNFKGSVDAFHVNTVDTTGAGDSFVGALLGKIVDDQSILEDEARLREVLKFANACGAITTTKKGAIPALPTEADVLSLINKA >RHN54877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13162803:13163765:-1 gene:gene29974 transcript:rna29974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative matrilin, coiled-coil trimerization domain, protein BRICK1 MARAGGITNAVNVGIAVQADWENREFISHISLNVRRLFDFLVQFEATTKSKLASLNEKLDVLERRLELLEVQVGNASANPSLFAT >RHN60802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30526717:30528810:-1 gene:gene23183 transcript:rna23183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKVYMFVYALIIFVSPFLLATFRTRLPCEKDDDCPEAFLPPVMKCVNRFCQYEILE >RHN38469.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000011.1:70295:70720:-1 gene:gene50704 transcript:rna50704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MRWSGVGIDEWWRNEQFWVIDGVLAHLFAVFQDQLKVVFRIDTNFTFTLKASDENGGSAELYLFKWTTLLNPPKTLLIINLVEVIACISYAINNGYQSLGLLFGKLFFVFWVIIRLYPFLKGPTEYHSSPKKNQSSYGKCP >RHN75376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41734642:41735325:-1 gene:gene11565 transcript:rna11565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAPKSAKKVVVRSTRKVVQESVQVSVVSSHKRSTRGNNKDVEIDKDAGNATQQEHVRIIPVQEVTSQTKEDTNTNTNTTTVTSEDTTNQENTPNDATMEPKTPLSNKEQEKKVRTKEGGNDGKGKRKKKRGRRMGEGYQRYVYRVLKQVHPQMGISSQAMTILNNLMNDMFEKLADEAAKLTAYTKHMTLTSREIQGAVKLVLPGELGKHAIAEGAKAVTNYVSYVA >RHN68141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33166878:33168469:-1 gene:gene16446 transcript:rna16446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MYLKSKDCEEPLIEEGPDPNSRWGLVFDGAVNAYGKGIGAVIVSPQGHHIPFTARILFECTNNMAEYEACIFEIEEAIDMRIKHLDIYGDSALVINQIKGEWETHHAKLIPYRDYARRLLTYFTKVELHHIPRDENQMADALATLSSMFRVNHWNDVPIIKVQRLERPSHVFAIGDVIDQAGENVVDYKPWYYDIKQFLLSREYPSGASKQDKKTLRRLASRFLLDGDILYKRNYDMVLLRCVDEHEAEQLMHDVHDGTFGTHATGHTMSRKLLRAGYYWMAMEHDCYQYARKCHKCQIYADKIHVPPHALNVMSSPWPFSMWGIDMIGRIEPKASNGHRFILVAIDYFTKWVEAASYTNVTKQVVAKFIKNNIICRYGVPSKIITDNGTNLNNNVVQALCEEFKIEHHNSSPYRPQMNGAVEAANKNIKRIVQKMVTTYKDWHEMLPYALHGYRTTFFLWKWRSRLSV >RHN72641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9316777:9318012:1 gene:gene8384 transcript:rna8384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MASDLPPDILAEIFSCLPVKSLLRFRSTSKSLRSLIDSHKFINLHLKSSLNFKLILRHKTNLYQLDFPNLTKSIIPLNHPFTTNIDPFTLNSIKALIGSCNGLLAIYNGPIAFTHPNDATEITIWNTNTRKHWIIPFLPLPIPNIVESENIERGGVCIHGFGFDPLTGDYKLLRISWLVSLQNSFYHSHARLFSSKTNSWKIIPIMPYTVYYAQAMGVFVENSIHWIMEKNLDGSDLCLIVAFNLTLEVFKEVPLPAVIRGEEVNNNQSFDLEVAVLGGCLSMIVNYQTTKIDVWVMKEYGSRDSWCKIFTLVKSRFTLRLKSLRPLGYSSDGSKVLLEIDCKKLVWYDLKSEQVIYVEGIPNLDEAMICVESLVPPSFPVDNSSKKENRLSKSKRRYFFIDYLSFLLCIS >RHN72531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8442350:8443624:-1 gene:gene8257 transcript:rna8257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative petal formation-expressed MALLQTSVPISCSLSSSSKKVINASIHLPKLTNISLSKIQTRKQVDDQELKVIKQYKNTPLLENNNITQILQDQQQKHSTISNATVQLYAVLEAVSDRIEMHHNIGEQRNNWNNLLLNSINMITLTATTMSGVAAVTNGEGAPLMAMKLSSALLFSAATGLLFIMNKIQPSQLTEEQRNATRLFKQLQSHIKTTIAIGNPTEEDVKDAMEKVLAIDKAYPLPLLGAMLEKYPSKFEPANWWPISKKVKTQSKKMGKMNNGWSEELEMEMQEVAEVIKRKDSEDYDRLGNIALKVNKSLAIAGPLLTGIAAIGSTFVGNSSLAAFVPLLTGSLASAVNTFEHGGQVGMVFEMYRASAGFFNLLETSIESTLGEKDLEKRENGELFELKMALRLGRSVTELRELASKSASCRMESIEIDEFASKLF >RHN68152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33207341:33207724:1 gene:gene16461 transcript:rna16461 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVLSVNCDRQTSTPASIDIPRKETEHHVQQMVIPIRKAPLFGPPLPKEGTSNVYSTKVLLNNIIKNLCQQTQVINDQNRRIREMEESRSREMRGRSPTSMTTIRSPTPHSNIRRMSPTLDRSISP >RHN42198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36306157:36310269:-1 gene:gene48611 transcript:rna48611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate oxidase MRSTCFLYLLLHVVVLALLSVSLVQAEDGYKYFTWTVTYGTLSPLGTPQQVILINGQFPGPQLDLVTNENVVLNLVNKLDQPFLLTWNGIKQRKNSWQDGVLGTNCPIPPNSNYTYKFQAKDQIGTYTYFPSTQLHKAAGGFGAINVYHRSVISVPYPYPDGDFTLLIGDWYKTNHKALRESLDSGKSLAFPDGLLINGQAHSTINGDQGKTYMFRISNVGMSTSINFRIQGHALKLVEVEGSHVIQNIYDSLDVHVGQSAAVLVTLNQSPKDYYIVASTRFSRRILTATAVLHYTNSHSPASGPLPSPPAYQYHWSLIQARSFRWNLTANAARPNPQGSYHYGKITPTKTIVLANSAPLINGKLRYAVNKVSYVNSETPLKLADYFNIPGIFSVDSIQSIPSNGGPAYVATSVLPTSLHDFVELVFQNDENTMQSWHLDGYDFWVVGYGFGQWTPARRRIYNLVDAPTRHTAQVYPNSWTTILVSLDNQGMWNLRSAIWERQYLGQQLYLRVWTDQRSLRNEYNIPTNVLLCGKASGHHL >RHN63934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55146930:55150785:-1 gene:gene26692 transcript:rna26692 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIAVISFLLFHHTKQGDRFCVSKQMATTFLSVHRFLPSQRRENIGYLSGFKYSLSGGVLFNPNFSGRQLTGSHGNRFVVNSLFGIGRKVKKSIVRETVIPEPDYRIPVVLLGIAGGLVYTDNLVPAVPVGLLGLLLLVQATRVRFVFDDESLEVKIGDQLDESGENAFVGGKNRWKYSTFVNWEFWWPNFPILVYFKETQTKPEGQIHFFPIIFNGKQLYDIMVERAGPSKTSAPKES >RHN48808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50420584:50429178:1 gene:gene43561 transcript:rna43561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MDKRKNIVQYRERLDNTLTSFDLTNHEKLKTLVKSQLHRSSQSEMELEGYEEKLEIKTAELSNFLDMMRSASADESGGFSTSRTDWKLKQDNEEFRVMYREGPEGTPYNTLLIEGYVDGPVDVSLCVSWESSLYKKWWPQFTVPTFKVTASDCLQKVQTGEQIALIRMKPPWPLSTREAIAHYYLFEYIQDDLIVVLLKTVTESEKINETIDGFNNDVIPEAKGVVRIDLLGGFVIQKVTSERSYFRAIGNLDIKLDFMPPSLINFISRQLIGSGFRLYQKAVASKMNGNKEFGKALTDLLYVRIREALYNTDESNAMDEDELKQVASIFPAEELSQSKQDWVKNMSREDRSNQNENNYNGEILDTGSKEIVQSEKDFKKMHDISTEEDDTSFVLHGNRNCEIVDADSEEIVEENIEEIVQIEKDVKKVHDIPFEESNTSFVLKGNSNSEIVDADREEIIEADIGEIVQIEKNVKKVNDISVEEVDTSIVLKGHKNCEIVDANSEETVEANIEDMVQIEKDANKEHDISIEYDNIRGVLKRKSNVYISSNVKQALETLERAISSVQKYGFRSRRFSFSFVNDEASCKEKGNKEYPYSAKLVQPSVENDVSAKGPSSNMLRESSDDLYEIQNFRHTGTDPNAKEVNYNKIVPAFSNQNHLRPIEASQTDSYSLKNETTLKQTISDNKQYTRPDMSSDVPKQLNKRKNYRYCCFMH >RHN81063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43018425:43022504:-1 gene:gene5058 transcript:rna5058 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDSNLGGSPSSSSPSSKRTRDPEEEVYVDNLRSHKRYLSEIMASSLNGLTVGDSLPDNLMESPARSESAFSLRDDMSLQYSPMSEDSDDSRFCETGLHSCSSHPDSRPGSPVSPCRYQRSQNTFSSAPSTSLNGSHGFSVSAVTCSQPRQRSSDSDGRFPSSPSDICHSADLRRAALLRSVQMRTHPPGSASLELPFGSGQEPPPNIDPEERPCSYLKSMVDERDYQIEECSPIGIPEPEFNHDNKPCRVLNMNPKEADSGG >RHN57299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37936500:37937039:-1 gene:gene32822 transcript:rna32822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MDRVKAELEKSAGKEIRYRGVRKRKHKYGAEIRNPWKKQCEWLGTYNTAEQAARAYDAAAISFRGVNAITNFPIPYNIASTAMSSTAEASRVVVGSSTIVSRVLAATPRPLVAAEGCHSKCDSSSVADDEDEDCVIISSSARKPLNIDLNFPPPPNFNDDEEIRATTLCLSLPAATPRQ >RHN42645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39947525:39951019:-1 gene:gene49110 transcript:rna49110 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEGKLNINAPLMSVRRSICTPTSSTKAKIKILDNSLPYNKSDMTQDQVTEPVFVSFNWEHIPGGPKFDNKFRSLSKSNSLSNIMNKVDHNKGQKDEKLQNLEEDDDDDNDDDAFSDALETLSSTESLCVSGLDNLDANKCRISSTDDKQAQEFMMNRFLPAAKAMTLQTHQHAPRKQSVLLQQPSTKLVSEEKNSFVNNRNITDIVPYTDQYREEEEEESDHDETDYYANISAKGCGLFPTSCIKNSLCLLNPMPETKMENQIPVWSSNDVEKPNKSSYFSTNRPGPTIKKAWDAIHKSKSSSGASSPDIYEARKKWTSESKRYNAYSGELNQISRISSFRRSGAATAGVSSFRSRPQSQAENNQIRKLKFQSQGHESFQEAQSQGSKRSSNSRNLSMEIGQESMSLQLVQSSFDKGTKFNKQIVVVGDGLGKSDPKSVMHLLSPPLPKSPSESWLCRALPLVSLKSLSVYSSRGTQSQAVKRIGYSRASSYSKWETIVKTSNLHHDHEFFSKELTLYKPQHSKS >RHN46558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32760461:32761393:1 gene:gene41056 transcript:rna41056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MFIQFSILFLLFTTPFTSLIKAKQDNTNFVRSLDLKELNLENKQEKLSHLKFYWHDIVSGNNPSSIPIVPPPLKNSTTAFGLVNMIENPLTLGPQLSSKLVGKAQGFYASTSQSEVDLIMAMNFAIIEGKYNGSTITILGRNPVSDKVREMPIIGGSGLFRFARGYAQLRTHWFSSKTNDAIVEYNIYVLHY >RHN50254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5526583:5530687:-1 gene:gene34523 transcript:rna34523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MAFFMAIVGVFLVLCFCSALLRWNEVRYRKKGLPPGTMGWPVFGETTEFLKQGPNFMKNQRLRFGSFFKSHILGCPTIVSMDPELNRYILMNESKGLVPGYPQSMLDILGKCNIAAVHGSTHKYMRGALLSIISPTMIRDQILPKVDEFMSAQLSNWDDKIINIQEKTKEMVFLSSLKQIASIKSTSTIADSFKTEFFKLVLGTISLPINLPGTNYHRGFQARKNIVSILSQLIKERRASNEIHKDMLGCWMEREENKYKLSDEEIIDLVITLMYSGYETVSTTSMMAVKYLHDHPKALEELRKEHLAIRERKKPNEPIDSNDLKSMRFTRAVIFETSRLATIVNGVLRKTTQDMELNGYLIPKGWRIYVYTREINYDPFLYPDPLTFNPWRWMDKSLESHNYFLIFGGGTRLCPGKELGITEISTFLHHLVTRYRWEEVGGDKLMKFPRVQAPNGLHMRFSSC >RHN41131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27297413:27301828:1 gene:gene47421 transcript:rna47421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, peptidase, FtsH MACWRRFIMHLRYSTHQSPWQIERRQSEFGKVKDLLTTNYSSRNKFEGYSILGKLLLALDIACCTYFQIYAGNNRLFFSQYRYKGNLAIPQCDSSEAAEEASYDPQAVIRAFESQPSLHTDSFAFFEYVKALVNVDRLDESEFLKTFLRGISNSAREEDSLGTESAPISMATEIFGRNFIDRMWDTILFAGALIAKFNSIFNLNLMDTFSVLFFTLFSPILGHGMSKKIQPTVETNVKFSDVKGVDEAKAELEEIVHFLKDPEYFSRLGGKLPKGVLLSGPPGTSKTMLARAIAGEADVPFFQISGSEFEEMLMGVGARRVRDLFAAAKKKSPCIIFIDEIDSIGGKRGNDENKKNMRQTLNQMLFELDGFKQNDGIIVIGATNHPESLDNALVRPGRFDRHVVVPNPDVEGRRQILESHMSKVLKANDVDVEIIARRTPGFSGAELANLVNTAVLRATMDGAKAVSMHDFDSARDKIIMGSERRSTVISEESRKNTAFHEGGHALVAIHTDGALPVYKATIVPRGNALGMVSQLPDKDMTSYSRKQMLANLDVCMGGLVAEELVFGENELTSGSSSDLSKATNVARQMVTEFGMSNEVGRVTHNYYDDGRSMSSETRLLIEKEVKKLLDRAYKNAKTIITTHEKELHALANALMEHETLTGSQIKELLAKVKSPQQQPQSCVVEAQGNSQSNPVVVAVSAAATAASVAAVKAQGVSQVGS >RHN70356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50840026:50847367:-1 gene:gene18926 transcript:rna18926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription initiation factor TFIID component TAF4, histone-fold, RST domain of plant MDPSIVKLLEDDEDETMHSGADVEAFQAALNRDIGGDASNSHLSDSDAGSNNSFSQSLPTWPTSSHDNQTDSQNQEPKIEQQQEQPSSETEQGPIVEPIQNVASQDASNLNLSHSQSQDESLQRQTLPVSHQQSQTNEVIKSEKEPVFNNEAIKTNNPNEQSQYAKLQQMSNQQASVNEQPISQVNRSKQVPFGLLLPILIPQLPKDRAMQLQTLFNKLKRDEIPKEHFVRLMKGIVGDQMLRIALTKVQQQTKTNTGSSGQQPPVRMPTVTSSGTKFNDPHALAQLHQRSMNAAADHSHNASSAIQVKSEPTYSTMDISAKKPQEHDVRVVQSNQLPTSSSIAVSQETERSAVHMQGLNKQQQQHIHFPSTYGGSGGNYSHFSGTTTSSSSSFRPQPHPHDSHIRQIPHPSIGLNHLGAERQSSFNDPKRMPGGSVSTVVNNTSSHQTSNSWQTSAEQNSGLFSSTSYVKKEPNDLSIEQQHRHHLSKLHGLPSVNSGQNEQGSGINQGTVKDEFSRGSLASTSMAHTTSASLLAPNTASHFASQPDPTVSVSSQIPASTSGVMSKTPLKKPPLGQKKPLEALGSSPPPPSKKQKVSSLEPSIDQLNDVTAVSGVDLREEEEQLFSGPKDDSRVSEASRRVVQEEEESLILLKAPLQRKLIEIMTECGLKGMGNDVERCLSLCVEERMRGVISNIIRMSKQRVDIEKTRHRTVVTSDVRQQIMTMNRKAREEWEKKQAETEKLRKLNDVEGSSGVDGDKEKDEGRNKATKVNREVDDKMRTNAANVAARAAVGGDDMLSKWQLMAEQARQKREGGTDTASGSQQTKDISRKSSPSSGRSTKDNQERERKGPTSLGNSAARKFGKNHSHGSQTRIARSISVKDVIAVLEREPQMSKSSLLYRMHERIHSDTSTE >RHN49154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52844927:52848258:1 gene:gene43952 transcript:rna43952 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSVAARRAGMISSPFHSHVLLRRASLTESDAFAFLRADNEDCITYSGFCEALRQLNLIGHSHGLNEEEKGVVGPSRHIWKWCS >RHN58101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43756893:43759023:-1 gene:gene33710 transcript:rna33710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEKSMAVKVSDNIHDDIAFAILSKLPLKSLRRFECLRKSWSLLFDNPHFITVYRKNFLSKCPSYDDEASFLIYIHGGKRLYSLFGERFENMVRLDRPNIVSRQYTNLTFLDFSSVNGVLCFTEGTGNKVVLWNPTTKEFNAIPPSPFESFSPPAELNFKAAIEFRANPILHGFGYDCVRDDYKLIRHTCIDYCFPDSSDLLIGMPSDRDLSLLQDKSLNPFWEIYSLRSNSWNKLDADMPRCRQYCNGFVTNGVNTDGVCHWLHLDSENNYVGACLISFNLRNMVFTNTLIPSFIGANWAKLMVLNGSIALITYHEKITTFNISVLGELGVKESWIKLFIVGPLPCVHIPIGVGKKGEIFLRKKDDELAWIDLNTNTIEELGFKGNRYNWRGQIVTYTKSLLPIERISY >RHN54077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6754604:6757758:-1 gene:gene29058 transcript:rna29058 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIVLLEIERGVREVLTHVKENHVNQIRGLCGAPEEPVCMGMDEPLNKLKIELMKDGVSVLFLTGLGGSGKNHSCQEALSTNPLIKVTACKGLPLALEVISGTLRNQPFETWQNMKERLTCQSILESNRKDLLCLQQSFDISEDIDKECFMDMGLFPEDQRIPVTVLIDMWAVLHDFNEDSTNYKGNGHCP >RHN48393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47191994:47192473:1 gene:gene43105 transcript:rna43105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lamin-B receptor of TUDOR domain-containing protein MESDKGNKQESAAFATGIYEIPGETAVVINGAPVVPDGSGAVPSRDVSSVVETHGNLDLGDWFVGRDVQKLFRERYYSGKVINYDKESGLYKVQYEDGDLEDLDWPELKEVLRPFDVTISLKTLAQRVIWKNKKSLSKSEKKSVGSQNSKIKTKKTNEN >RHN51822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26005912:26006755:-1 gene:gene36359 transcript:rna36359 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEILEYFQFLREFFANLFIVCWHHLFTYLCSLMKICFKILSVIFVSLVINIAAAGVATTAAVNKQYEHGSQRDGDTDMSSYETKYADRENNTLGSNSEGERKFDRSENNDSTKSGSALDAVEYDNLWEKITMDKLDLYELYISIRIVYIISGVLLRPYVSCCFNRVLVSYTLGSIGGGIRISVGISQIWVFNRAHRR >RHN48072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44741017:44741658:-1 gene:gene42744 transcript:rna42744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MSSSRGGNSNSRGSHSFSISRNGGSSRNVARAAAARNPEVMESMLPACGCYLPMKMYISTTYENQGRRFWKCRNWNSRSAHTCELFIWDDDIIPGVTPMIHVEPVMDVSVEHERPGRSGMEAVNSQQACSKCSNIEELMKTFESIEVDKWKTKYRAERNKVMWMALALMINWFFFCVYGKN >RHN51521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19299924:19302178:-1 gene:gene35974 transcript:rna35974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MMKLVLKVDIHDDRTKQKAMKTVSGLSGVESVSVDMKDKKLTLTGDTDPVHVLSKLRKWCHAEIVSVGPAKDEKKKEEPKPDVKKDQIQLLEAYPHYYYMQQPQYIQYSSVSRVEQDPIGCVIC >RHN67550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28185552:28186283:1 gene:gene15748 transcript:rna15748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MKTKSLSKLIKQAITQTNKQIQIKPEKDKSKTKTAIEQGQSSKICCGICFDSVTNSNMFSTGLCNHPFCTKCISKYVKIEIKEKVVKIKCPDPECSVELKPEHLQCILPKKIIVDWESANCEASIALKEKFYCPYKNCSLLLVNDEAGRAITSCECPYCHRLFCAQCKVPWHGNMNCQEFEKSEIGQGLKQSDRKFLELAKREKWKRCPKCSMHVQRTTGCEHMRCRLYFIIISYLLLLILNH >RHN65585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3437729:3439238:1 gene:gene13439 transcript:rna13439 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRGKMAKNESESSQHYRWREDEPKNTRKITKKQGLPELRRSSAGDRRSSKHTGESLESSRRTIDDENHLRSTRSKTKQNYPEVRSTTRIRVKARRRCSKYFETLENRRANGWTSINRDTSELQLELVIM >RHN53403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1491218:1492981:1 gene:gene28306 transcript:rna28306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative naringenin-chalcone synthase MFSYLPSSNVHYFPSYKTISPQIYYFHHNKHSSLQPFPFIQQSTVNHIKMVSVSEIRKAQRAEGPATIMAIGTANPANCVEQSTYPDFYFKITNSEHKVELKEKFQRMCDKSMIKRRYMYLTEEILKENPSVCEYMAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPIPEIEKPIFEMVWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPGIVSKNIDKALVEAFQPLNISDYNSIFWIAHPGGPAILDQVEEKLGLKPEKMKATREVLSEYGNMSSACVLFILDEMRKKSAQEGLKTTGEGLDWGVLFGFGPGLTIETVVLHSVAI >RHN80923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41934513:41939628:1 gene:gene4907 transcript:rna4907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase transcription factor interactor and regulator CCHC(Zn) family MFKRTSFASLESVRPYPSFSSHSKPKMASSIGTSSINKTQLLNTPTSFSLYNTTSRLNTLLRLRPNHKTPTVSFVISAIATPNAPILTPQESFKGFAFDDANDVPVAVSKNELDISKLGFPSQLVDSLQRRGITSLFPIQRAVLLPALEGRDIIARAKTGTGKTLAFGIPIIKGLTENEQSNMMRRSGRLPKVLVLAPTRELAKQVEKEIKESAPYLNTVCVYGGVSYDIQRNALSRGVDVVVGTPGRLIDLINGGTLKLAEIQYLVLDEADQMLAVGFEESVEEILESIPSQRQIMLFSATMPGWVKKLSRKHLNNPLTIDLVGDREEKLAEGIKLYAVSATSTSKRRILYGLISAYANGGKTIVFTRTKRDADEISLSLTNSIASKPLHGDISQYQREKTLNGFRQGRFTVLVATDVASRGLDIPNVDLIIHYELPDGPETFVHRSGRTGRAGKEGTAVVMYTTSQKRTVISFEREVGCTFEFISPPPMQDLPESSTRQVVPTFDRDRSNYRGSRDQSGFRTGGDDWRTGGGRSSGYSSSNRSSSSGSRDQRGFKTGGDDWLTGGGRSSGYSSSNRSSSSSGSRERGFKTGDDDWLIGGRRSSGYSSSNRSSSPNRRGSYGGACFSCGQPGHRASDCPN >RHN59228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8591250:8597764:1 gene:gene21228 transcript:rna21228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MHRSGATMAWNVFKFCTALRGLGSIMILLVLGVVGVTYYAVVITNYVPSLYNGGFDSLIAVLVLILFHALLVMLLWSYFSVVFIDPGSVPPNWRPTIDEERGEEDPLVGSEFSNVQCDPSNQRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETSLVTASLLPHFIAFFSDGEIPGTPSSLATTFLAFVLNLAFALSVMGFLIMHISLVAANTTTIEAYEKKTTPKWRYDLGRRKNFEQVFGMDKKYWFIPAYSEEDVRRMPALQGFCDRANMVIEFNVNACEKI >RHN62416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43277147:43278760:-1 gene:gene24991 transcript:rna24991 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNCNDYGDQLLYKSSLTLGHSHNIIPSSLISSLSRLFSLTKKMAKTLAMLLLLLQLTSFIAFAEELESLHTPTQLHPPAKSPHHYHHNPSPTPSRSHVHAPLHPPHHTKPPTHRHHQHPPAHAPVQPPTHHHHSPAHAPVHKHVPVHPPHHAKPPTHHRHQHTPAHSPIQPPTHRHHSPAHAPIHKHVPVHPPHHAKPPTHHRHQHPPTHSPVQPPTHHHQPPAHAPVHKHVPVHPPRHAKPPTHHNHQRPLTHAPIQPPTHHHPPAHAPAHKHIPIHPPFHAHVPTKSPTPNPHPRHHHTPALAPAHTPLRSIFHPRSLIAVQGVVYVKSCKHAGGDTLLGATSIIGLSLNFHDCFVLSYHFFYKLVFFIDLLLYTEHFLRNFLSILVFFIKFLIKLHDYREWTYFVGSFFIDMTMLCAVVKLRCNNTKQYVVLKGKTDKNGYFYIKGSNNISSYAARKCNVVLVSAPNGLKPSNLHGGITGAVLKAKKSFVSEGHPFILYNVAPLAFEPKCSH >RHN57829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42015206:42015832:-1 gene:gene33418 transcript:rna33418 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSLYNGCHSPYNSQTNYIFSSLSHSLFNLSYFLLPLCSTMSSGDSTMSSEPPSFNYLLWSMTAFLVVVTLIGFLIFLKMGTSMCKSCRPKTPAPPATAAVTPLKDVVVSAPAKP >RHN62488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43811706:43816630:1 gene:gene25069 transcript:rna25069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Tapt1 family protein MLYMFSVKKSPLTYFLEKVSNGNSLWNTTTLGNEKGRERVYDTIFRVPWRCELLIDVGCFVCFNSFLSLLTIVPARVVMTFWKLLRTRKFKRLSTIELSDFGCFIIVACGITVLQQIDISLIYHIIRGQATIKLYVIYNVLEIFDKLCQSFNGDVLQMLFHSAEGLARCPPETQSMRFWIWRFISDQVLAAITLSACIVAHYNALPALLVSNNFSEIKSYVFKGFKKDNVHSMMYFDSIERFHISTFILFVLAQNILEAEGPWFQGFLINALSVYLCEVAIDIIKHSFIAKFNDITPTAYSEFLEALCKQTLHMQSEDAKKNLKFVPLAPACVIIRVMAPVYAANLPYNPLPWKLFWIMLFSAITYILLTSLKILIGLVLNKHATWYVNRCERRKHHLHAD >RHN65355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1405539:1408243:1 gene:gene13183 transcript:rna13183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAVGLAITRPSEQKNNGRITLYVVLSCMMAAMGGVIFGYDIGITGGVTSMEPFLNKFFHNIYLKMKSDDKVSNYCMFDSQLLTSFTSSLYVAGFVTSFFASYVTRVFGRKPSIVAGGAAFLAGTALGGAAFNVYMLIVGRLLLGVGVGFANQAVPLYLSEMALPRFRGAINNGFQLSIGIGALSANLINYGTEKIEGGWGWRVSLAMAAVPASFLTLGALFLPETPNSLIQTTQDHQKAKRILQRIRGIEDVEAELDDLTKASSTSKTSSQQPFKIIMKRRYRPQLVMAIAIPFFQQVTGINVIAFYAPLLFRTIGLGESASLLSSVMTGIVGTGSTFISMFIVDKLGRRTLFIVGGIQMFVSQCIVGGIMAVHLKDHGGLSKGYAYMVLIMICIYVAGFGWSWGPLGWLVPSEIFPLEIRSAGQSITVAVSFLFTFIVAQTFLSMLCHFKSGIFFFFGGWVVVMTVFVYCFLPETKNVPLEQMEKVWQEHWFWKKIVGKISDDRGKGEV >RHN61937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39481979:39487020:-1 gene:gene24451 transcript:rna24451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MACTNKSIIQSSNMVSDQSRKSSYDVFISFRGADTRFNFTDHLFSALQIRGIVAFRDDTKLKKGESIAPELLRAIEASRTFIVVFSNNYASSTWCLRELQYILHCVQLSGKRVLPVFYDVDPSEVRKQSGSYKKAFAQHEERFKQDTEVLQGWRTALTQVANLSGWDIRDKPQSAEIKKIVEEIVNILNCKFSSLPNDLVGTHSLIERLEKLLLLDVVDDVRIVGISGMGGVGKTTLARILYRRISSRFDACCFIDDLSKICKHAGPVAAQKQILSQTLGEEHLQICNLSDGANLIQNRLGHLRAFIILDNVDQGEQLEKLALNRKLLGVGSRIIIISRDTHILNRYGVDVVFKVPLLNQTNSLQLFCQQAFKRDNILSNYDELVYEILNYANGLPLAIKALGSFLFGRDIYEWRSALTRLRDNPNKDIFDVLRLSFDGLENMEKEIFLDIACFFNGRKEALVKNVLNCCGFHADIGLRVLIDKSLISISEKSKIEMHGLLEELGKKIVQENSSKDSRKWTRLWLHEYFNNVMSENKEKNVEAIVLRRGRQRETKIVIAEALSKMSHLRMLILDGMDFSGSLDCISNELRYVEWREYPFMYLPSSFQPYQLVELILEDSSIKQLWEGTKYLPNLRTLELRNSKSLIKVPDFGEIPNLERLNLKGCVKLEQIDPSISVLRKLVYLNLEDCKNLVTIPNDLFGLTSLEYLNLSGCYKAFNTSLHLKNYIDSSESASHSQSKFSIFDWITLPLQSMFPKENLDMGLAIPSCLLPSLPSLSCLRKLDISYCSLSQIPDAIGCLLWLERLNLGGNNFVTLPSFRELSKLAYLNLENCMQLKYFPELPSASSIEHEHSHMFSDTSYWRRAGLCIFNCPELGEMEKCSDLAFSWMIQFLQANQLESSSVFFREINIVIPGTEMPRWFNNQNMESSISIDISPIMHHDSDVIAFACCVVFSAAPYPSTNMKTNYRKPVIHLCFSSGDLEVFLGIPAHTNLNMLKSNHIWLAYFTRESFIDLMSDIDSTLGDIRMEVLIVDGEGLDVEVKNCGYRWVYKHDLQHLNFTMMHCKSSLAQNCDILGIEDEAQPEVKPRCYSMNHFVL >RHN80122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35297147:35301615:-1 gene:gene4009 transcript:rna4009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MANHPSDTPADDFLEQILGLPNFTSADGTDASPMMLQLNSGDAASHLGAGGGFHAPVYHLGLSLDQGKGGGFLKPDEASGSGKRFREDLVDTRPKNTFHGQPMPTTVPAAPQPPAMRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPSVNKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDIPLSSVEEEGSDSGRNQPAWEKWSNDGTEKQVAKLMEENVGAAMQFLQSKALCIMPISLASAIYQSQPSDNSSIVKPETIPPP >RHN55001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14296785:14297012:-1 gene:gene30117 transcript:rna30117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MLYFCHYIPMVRVYNVEILTLQRIKINQAVDVCHIDTSSWSRSHPAFLELGSAPGEIEVCHWIFQNDISWTADAN >RHN59231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8636762:8638382:1 gene:gene21233 transcript:rna21233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIKQFTFRYMQRSRNMTTIFKFAYIMIICVFLLNIAAQEIENGIHPCKKNEDCNHMCVMPGLPWCHENNLCFCYENAYGNTR >RHN73370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15727371:15732712:-1 gene:gene9172 transcript:rna9172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MIEMALEDSKSSPQPLHRVASAQFRRPLIHLVSTKEHKKRYINQCVPLHKAALKGDWKEGKKIIEQDQTLLISAITNGWATALHIAAGANHVHFVEELVKLMDRDDLGLQDYPGNTALCFAAAVGNVQIAEIMVKKNEVLPSIRGGLGVTPLYLAALQANSEMASYLFPKCKEILEENDWSIVFLTCINSGLYDLAIQMLTEKDTLAFARGDENMTGLHLLARKHSVCGCQSLGHRKNLLHLCMRDSPMLKLIRRIWEKFLTLDDEEMMEVMREPSQVTIIAAEVGNFEFLSVIMSTYPNLLWELSNKGQSIIHIAVFNRHASIFNLIHEIRSLKDCINGFLDDGENNLLHSAAMLAPPDRLNIVSGAALQMMLELSWFEEVKKNMQPHMIEMKNSEGMTPRELFTKEHAELLKKGESWMKQTANSCMVVSTLIATGVFSAAFSLPGGNNDNKESPNYLDKPAFLVFALSDSMALISSSTSILIFLSILISRYAEQDFLKSLPLKLISGLVALFVSIISMMIAFSSAFYITYYHGLKWVPNFISVLAFLPIPLFICLQFSLWSDIVYSAYICSSLFRPSKRMIR >RHN77418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6722096:6723786:1 gene:gene868 transcript:rna868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ELO family protein MIFQLKETLKYWLIDHPSIVWFRWSPTQSWGGTWPFLVTAIAAYITAALTLHIILKIFKRSQAVRLGPIPAIHYLMMSLISITIFSGMLFSAAAELHDTRWLLRRTKTTPFEWFLCFPLGMRPSGRVFFWLFNNSILLISSFLWLEFSQSFQVLGILLSTLVYCLVYGYRFWIEIGLPSKNFYFTVNCQMILLGCNLVCHFGVLWLHLWRGGCNGIGAWVFNSVLNAAILFLFLKSYVNVHCQKKNNSTYNSTCDQSFKLQ >RHN63794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54053234:54054019:1 gene:gene26541 transcript:rna26541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MENKDTLKFPHQTHKNNNISLIPHEIDKPYENKERNTIKKIPKTSNKGHQKKYLGVRQRPSGRWIAEIKDSSQKLRLWLGTFDRAEDAALSYDSAARLLRGRNAKTNFPNTHEQDFSILGKNPRAFQLLKHAMMKNHAVSSSSSVYSTVMMPWKNDQLDAIVEETIVCSIPDDEGSTAAGCSGISFGNSKVYSSVVVAPSFSAS >RHN49793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1178566:1179158:-1 gene:gene34010 transcript:rna34010 gene_biotype:protein_coding transcript_biotype:protein_coding MIINVAKFDNILTFVRSMKSFTLNFLESIKYLEDRMELKGNLESIRIEMQTMEDKMIWLESFMRIYLEEVQKNFQNVAPDEKQKEKAELMEKESQEPLT >RHN38493.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:57528:58871:-1 gene:gene50598 transcript:rna50598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MSGGEESTTSLKYTSVKHDYDTAERKSDSGKAPKFNGDPEEFSWWKTNMYSYIMGLDEELWDILEDGVDDLDLDEEGAAIDRKIHTPAQKKTYKKHHKIRGIIVASIPCTEYMKMSDKSTAKAMFASLCANYEGSKKVREAKALMLIHQYELFKMKDDESIEEMYSRFQTLVSGLQILKKSYVTSDHVSKILRSLPSRWRPKVTAIEEAKDLNTLSVEDLVSSLKVHEMSLNEHESSKKSKSIALPSKGKSSKSSKAYKASESEEESPNGDSDEDHSVKMAMLSNKLEYLARKQKKFLSKRGGYKNSKKEDQKGCFNCKKPGHFIADCPDLQKEKSKSRPKKSSFSSSKFRKQIKKSLMATWEDLDSESGSDKEEADDDAKAAMGLVATVSSEAVSEAESDSEDENELYSKIPRQELVDSLKELLSLFKYKTNELSDLKEKYVDLMK >RHN39113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4192130:4192976:-1 gene:gene45109 transcript:rna45109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MDKKKARINIVVIGHANSGKSTTTAHLLYKLGGIEKDVIERLEKVDVEVNMPSFKYAWVLDKLKADHERGATIDISLSKFETNKYNCIVIDAPGHRECIKNMTTGASLANCDVLVIDSTTTGGFEAGMIGQTFEHALLAFNLGANQIICCCNKMDATTPKYSMDRYVKISEQVLTYLIKVGYKPYEIPFIPISSFDGDNLIDRSTNFDWYKGPTLLEAIDIMKGPARPSNFPLRLSIQDF >RHN77319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5987409:5987596:1 gene:gene763 transcript:rna763 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSDALCLQTHFKLQMQISSDASRFLFLLIPLTLQDLFC >RHN55234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16315265:16315970:-1 gene:gene30379 transcript:rna30379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MVRERKVGVQDWRQTTSILPQPLVYGREKEKDKIVDFLVGDAYELEDLSVYPIVGLGGLGKTTLAQLVFNNERVVNHFELRIWVIVSEDFSLKRMAKAIITSISGEAYGGEDLDLELLQKRLQVLLRRKRYLLVLDDLWNQKQEYWLRLKFLLACGGKGTSILVTTRLLNVAKIMGTVPPHELSRLSDKDCWELFRQRAFGPNEAEDEKLVVIGKEILKKCV >RHN46534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32567358:32570292:-1 gene:gene41029 transcript:rna41029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin-1 MALSCSKVSSFNGFSLSPIFGNGVKKGNLSCFCDVSVGKKWGGGKVTVCAATVPLTGVIFEPFEEVKKDYLAVPIVPQVSLARQNFADECESVINEQINVEYNVSYVYHSMFAYFDRDNVALKGFAKFFKESSEEEREHAEKLMKYQNVRGGRVVLHPIKNVPSEFEHVEKGDALHAMELALSLEKLTNEKLLNVHSVADRNNDPQMTSFIEHEFLGEQVESIKKISEYVSQLRRVGKGHGVWHFDQRLLHEEHAV >RHN79440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28899746:28903780:1 gene:gene3230 transcript:rna3230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxiredoxin MACSATTTSASSLFSSLNPKSSLFSPKLPSSSTLSIPNSLPKPFSLPSLSFTRPSLHHSSRRSSFLVKASSELPLVGNAAPDFEAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRHAEFEAINTEILGVSVDSVFSHLAWVQTDRKSGGLGDLNYPLVSDVTKSISKSYGVLIPDQGIALRGLFIIDKEGIIQHSTINNLGIGRSVDETKRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKLSKDYFAAV >RHN51116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14126863:14129588:-1 gene:gene35495 transcript:rna35495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MENKSKKHAPHILVFPYPLQGHINPMLQFSKRLSQKGVKITLVNTISIWNKINNNIDLNSIETESISDGYDNGGMSSAENMESYKDTFWKVGPKSLSQLLHKLQSSNNPVDCVVYDAFLNWTFDVSKSFEIPVAVFLTQACSVNTINFHAFMKWIELPISKSEIVLPGLPTLQDADLPSFLYQYGTYPGYFDILTNQFSKIDQVDWVLVNTFYELEPEVVDWMAKKWRLKTIGPCIPSMFLDKRLEDDNDYGISTFGPNSEACIKWLDNKPKDSVVYVSFGSLAGLSEDQTKEMAYGLRDCGMYFLWVVRDSEKSKVPKEMLESSIEKGLIVNWCSQLQVLTHEAVGCFVTHCGWNSTLEALSVGVLVIAMPLWTDQITNAKFIVDVWKIGVKAHADEKGVVRRETIMDCLKEIMEIEKGDAMKKNAMKWKNLARISVGEDGSSHKNIVEFVNELTLC >RHN73409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16086253:16086981:1 gene:gene9215 transcript:rna9215 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFLGAVAVMLKKTLMLSLLLPYVHFFYLNLNCRELTEG >RHN69953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47531669:47534778:-1 gene:gene18486 transcript:rna18486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MAKHGLVRKVVGDLSFLDSSPFAKLLDTCVKSKSVFEARLVHARIIKTQFSSEIFIQNRLVDVYGKCGFLEDARKVFDHMQQRNTFSWNAVLGALTKFGALDEALNLFKCMPERDQCSWNAMVSGFAQRDRFEEALRFVVDMHSEDFVLNEYSFGSALSACAGLMDLSIGVQIHGLIAKSRYSLDVYMGSALVDMYSKCRVVASAQRAFDDMDVRNIVSWNSLITCYEQNGPAGKALEVFVRMMNCGIEPDEITLASVASACASLSAIREGLQIHARVMKHDKYRNDLVLGNALVDMYAKCRRVNEARLVFDRMPLRDVVSETSMVSGYAKASSVKAARLMFSNMMERNVVSWNALIAGYTQNGENEEAVRLFLLLKRESIWPTHYTFGNLLNACANLADLKLGRQAHTHILKHGFWFKSGEDSDIFVGNSLIDMYMKCGLVEDGRLVFERMLERDNVSWNAMIVGYAQNGYGTEALEIFREMLVSGERPDHVTMIGVLSACSHAGLVEEGRCYFQSMTIEHGLVPVKDHYTCMVDLLGRAGCLDEANNLIQTMPMEPDAVVWGSLLAACKVHGNITLGKYVAERLLEIDPLNSGPYVLLSNMYAELGRWKDVVRVRKQMRQMGVIKQPGCSWISIQSHLHVFMVKDKRHPHKKDIYLILKILTEQMKRVGYVPEADDDEPYEEESDSELILHSEMETAVDAAVG >RHN73404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16037472:16041139:1 gene:gene9209 transcript:rna9209 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIEYSINPLAYSKDCNNFTVVGVDAWEHYQNKGLKVSNKHCSIGVNNLQNPMDRILDRNNMESIRKTMQIQEDIFKNQVKELHRVYNVQKMLMDEHKNEGNQNKFWTPMNGINQPYFVQQQKPTQISFSHVQILKEELYIKERSGSCSGEIIKKRQKDFDLEKPATSDCDEDMEVDLTLCIGSNETKNKKKKSYMLPNGMKTKELNSYLSFQSDRIGDYSDPTTPMSSSSVTFDQDKKGPHWLSQGLKLK >RHN72723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10191400:10191794:-1 gene:gene8473 transcript:rna8473 gene_biotype:protein_coding transcript_biotype:protein_coding MEENPKSLSVKLRQLWLYLMELQKKSWLPSLTIGTFFLFSSSFMDFLLSFPSLLSSSTLP >RHN57204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37288893:37289270:-1 gene:gene32713 transcript:rna32713 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRRKEVRCALKGKLFSLERTCFKKRKVVLISAVPKRIARPEEEERETSSEQTNYKKSADTFIDHHEAKKILANRRRMKNTQIEREAARLKLEQIKNTACFSENMDSMHDIHKLMGVSFICCY >RHN52501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36170073:36173459:1 gene:gene37188 transcript:rna37188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MERLHQWKLALTQAANLSGYHSSHGYEYKFIGDIVKYISNKISRQPLHVANYPVGLQSRVQHVKSLLDEGSDDGVHMVGLYGTGGLGKSTLGKAIYNFISDQFECSCFLENVRENSASNKLKHLQEELLLKTLQQKTKLGSVSEGIPYIKERLHTKKTLLILDDVDDMKQLHALAGGPDWFGRGSRVIITTRDKHLLRSHGIKSTHEVKGLYGTEALELLRWMAFKNNKVPSSYEDVLNRAVSYASGLPLVLEIVGSNLFGKTIEEWKGTLDGYEKIPNKKIHEILKVSYDALEEEQQSVFLDIACCFKGCGWKEFEDILRAHYGHCIKHHLGVLAEKSLVKISSTSYSGSINHVTLHDFIEDMGKEVVRQESPKEPGERSRLWCQDDIVNVLKENTGTRKIEMIYMNFPSEEFVIDKKGKAFKKMTRLKTLIIENVHFSKGLKYLPSSLRVLKLRGCLSESLLSCSLSKKFQNMKILTLDRCEYLTHIPDVSGLQNLEKFSFEYCENLITIHNSIGHLNKLERLSANGCSKLERFPPLGLASLNELNISYCESLKSFPKLLCKMTNMKTIWLQKTSIRELPSSFQNLNELFQLTLWECGMLRFPKQNDQMYSIVFSKVTNLVLNNCKLSDECLPIFLKWCVNVKLLDLSRNNFKLIPECLSECHLLNNLILDNCKSLEEIRGIAPNLERLSAMGCKSLSSSSRRMLLSQKLNEAGCIISFPNFSDGIPDWFEHQSRGDTISFWFRKKIPSNISVILFPGCMSTPQLCLFVNGYKCFPCNYLLWGFVLFEILESEHSFLFNLKLEEEITHLCRLSEMDEAILRNEWIHVELNFVKNVWNKSIHVTEKLSSAQIGIHVRMEKSNAEENVIFTDPHRGCRNTSLSQFEPPLKKQRLVEVVVSETEIWQQQYLALVSGMQNLVLTETKEEHHC >RHN60041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17503285:17503971:1 gene:gene22237 transcript:rna22237 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTFPLRYMFELLPFSLSLLCFYLQSQTCREIVPFFTLKLVLLSK >RHN70731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53556122:53560955:1 gene:gene19343 transcript:rna19343 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIEEEEAVGSDVQDSPSHFEILKLRQRWELASVLHFLDVFSPLLGNDLKVTAEEIEIGLVKPNAFLTNLHIQLLKGIPPVGKALHDSDKWVTALSKKLTTWWPWVAEGKNPLVPSKGEEISKYKELDPLDRLLLLKALCEVRADQHDVVSYINDALKEGTQISSFRKEAFGIDGTRTSYWYDANTKAQSHRLYRETITSVSTPNRKGKGCLSLPNFQWETLATNLDEFSEVAEKLSSSKSRVEIYMGDRLQSDAIPVLEKLQKKKERAMKQKERQDKLLKDFQNSFSSGNTRSCRTRRPINYSFEAYDRTIKEAIQLTNKRKKSPAADQDRNRGSEDDFDIDVSADSDDSKRDTQPISESDDAGYEIENHSSSEENDEHVGQADNSEEHSSHAVSYPKGVRSSKRIAGVPGHTVPESMGLTAKQRVRQRPTRNTAIESTVVPDSEDESDEGKTDLS >RHN62727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45471971:45473992:-1 gene:gene25329 transcript:rna25329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hyccin MEFHHHHRNNNNNNSSSPQCSSNSNSSSSSVTTTTATNNNPNRITNTNDPMHSWWESVSKARSRIHSLSSILPTHTSTLSPLADSERPALSLLSSPTAYSALSSSLSGSHSDPLCHWLYDTFLSSDPHLRLVVLSFIPLLSGLYLSRLHSSDPPSLAGFEAVLLALYASETKSRAGKPLLVTIPDLSIPSIYHSPLRKSSSQNPNPSVGVISPPLEPHLAVKSTKRASIVGVALHSYFSQISHMPSWSKLEFSRFAAGWAGQDCPCRREFDQIENLNNTLALSNGNGNGYSNGDGESEVEIEEVAENMRNLEIHHDPECEESPKGIAKGDRIPLPWEILQPTLRILGHCLMGPLISQEVKDAASFAVRCLYARASHDLVPQAILATRSLIQLDNRTRDAITSASAVASNVNTPTKAKKPEILLVSK >RHN53086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41935842:41937891:1 gene:gene37839 transcript:rna37839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQKEKNMAKVTNLFYITILFLSLFFIAMNDAARYECREDSHCVTKVKCGLPRTPKCRNYICFCHNPNKYI >RHN56379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30450779:30454184:-1 gene:gene31769 transcript:rna31769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L6 MKTILSSETMDIPDGVSIKIHAKLIEVEGPRGKLVRDFKHLNLDFQLITDEEGKKKLKVEAWFGSRKTSAAIRTALSHVDNLITGVTKGYRYKMRFVYAHFPINASITNTNSAIEIRNFLGEKKVRKVEMLNGVTILRSEKVKDELILDGNDIELVSRSCALINQKCHVKKKDIRKFLDGIYVSERGTIAEE >RHN45872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26409212:26409724:1 gene:gene40294 transcript:rna40294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSRSNPKEDRISALPNPIIWHILSFLPTKTAAITSILSKRWNPLWLSVLILHFEDETFQNMESFSHFMSSVFLLRDITLPLRSFHLKCSKASGIQPQDINRFVHAYEQWRSHVHSRVCTCYPSICTIPTYYFVIFTYEPPENICLHQIAPTIPSCLHCYYCCPNLFAWVD >RHN41010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25754236:25756052:-1 gene:gene47279 transcript:rna47279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MSTAPQTHLLAYPFPSSGHVIPLIDLTKNLISRNIHITILLTPSTQHLVPTNYSPLLQTLILPASQFPNPNQNRLVALVSFMRQHHYQIILNFAQTHPLPPSAIISDFFLGWTHLLARDLHVPRLVFSPSGAFAFSVSFTLWRDLPQNDNLNNPNSVVSFADLPNSPVYPWWQISELFRNRKSQTDWENIKNDFLFNHEAWGVVFNSFIDLEPAYLNHMKKELGHERVWAVGPVLPLESGLTEPEERGGASTVSCHELTAWLDRLENGSVVYVCFGSRTVLTTAEMEVLTCALELSGVHFVLSVRAHVAEDCVKIPSGFIERVRGSGKGFVIEGWAPQLVILSHRAVGSFLTHCGWNSVLEGLVCGVVMLTWPMGADQYTNAKLLVDELGVAVRVGEGDMKVPEIAEFAEILKGSLGRTKERVRVEELRDAALGAIKENGSSQKELDGLVKELNELRND >RHN65110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64266571:64268937:1 gene:gene28010 transcript:rna28010 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YB3 METGGGFHGYRKLPTNTNSSAVAGTLKLSSVSEMNTRQQVGEQNNNGTEQDNECIVREQDRFMPIANVIRIMRKILPPHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSKLGFDDYIEPLTMYLHRYRELEGDRTSMRVEPLGKRGMEYGNLGGFVPQFHIGHPNGGYYGNAAPTYMMRDGNNNNNNNNNAPNAANAAGGSSHSQALANAEANGHHHHHQYK >RHN82149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51542942:51548368:-1 gene:gene6275 transcript:rna6275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase with an alcohol group as acceptor MRLNGEISSEEEEEVMEKSEVSTTPFLGQKIVVGYALTAKKKKSFLQPNFISLARSKGIYFVNIDLNKPLSEQGPFDIVLHKLLGEEWREIIEDFRQKHPDVFVLDPPDAIQHLHNRQSMLQDVVDLNLSECNGKVGIPRQLVITKDKDPSTIPYEVTKAGLKLPLVAKPLVVDGSAKSHELFLAYDEFSLSELEPPLVLQEFVNHGGLLFKIYIVGEYIKVVRRFSLPNISKRGLSKVAGVYRFPRVSCAAASADDADLDPSIAEHPPRPLLERLAKELRHRLGLRLFNVDMIREYGTKDVFYVIDINYFPGYGKMPDYEHVFTDFLLNLKQNKCKKKLAA >RHN57893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42450170:42451336:1 gene:gene33484 transcript:rna33484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transaminase MDEWGVDVNGYLKCYNLGTYWPYTPSIPLLYGLRAALDLIFEEGFENVILRHKRLTKATRLAVEAWGLKNCCQQEEEWYSASVTAIIVPPNIDNGEIVSRAWKRYNLSLGGGLNKVAGKVFRIGHVGNLNELQLLGCLAGVEMILKEVGYLLKLGSGVAAASAYLLNNVPLIPSRI >RHN49430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54754264:54756425:-1 gene:gene44259 transcript:rna44259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative profilin MDWGFVHKTWDKWASTNIGPRLPLKAALLVNFDPTAPSRLLSTLAEQEGIKVNIIELRHFVDFIRNNQLQTESFYIGSHQYLVTTIHENWFSARCINTSKPAGEGAIVMQTAAYILVALYEGSIGPASCAMAAADQLTAQLGRKNL >RHN74241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30989466:30991758:-1 gene:gene10273 transcript:rna10273 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTTVLRFSKQPLTSPRQQVINEDVVHDDIDEEFQEEDVDDEFLEDEFLEDNLSDEFQEDDIDDEFQEDDLDDEIQEDEIDDEFEVDDLADELQDKLE >RHN46296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30442922:30443486:1 gene:gene40772 transcript:rna40772 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSGSAALSEVCDLFDETCCVIARNCAAMPCCVRVCVRACTCVYVCTCVLCACAVLRCRVWVFVRCRVAIPFPLLFLVFCFVSIYRVRLRLEFVTDEQKLCLDWDPGETEQNAQCSRIGKTNACHAVLDFWIRTAFGLIT >RHN41307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29020279:29020710:1 gene:gene47615 transcript:rna47615 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSWKNHHSLQHHHQIFFVPPLILDSLNGDGDFLVFVRGFSSSRRRGSARWLSKTTAAYPVCPSATPCVGGYIWFWARKGWYSCCVIARAIGS >RHN70170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49326732:49327868:-1 gene:gene18725 transcript:rna18725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase WNK-NRBP family MKSVKPNPFDKDSEPFVETDPTGRYGRYNELLGSGSCKKVYKAFDQEEGIEVAWNQVKLRNFSNNDPAMIHRLYSEVRLLRSMRNENIIALYYVWRDEDHNILNFITEVCTSGNLRDYRKKHKHVSIKALKKWSKQILEGLNYLHVHDPCIIHRDLNCSNVFINGNTGQVKIGDLGLAAIVGKNHTAHSVLGTPEFMAPELYEENYTELVDIYSFGMLVLEMVTREIPYSECDNVAKIYKKVSSGVRPQSLNKIKDAEVKAFIEKCLAKPRDRPSAEELLKDPFFDVLDYEDVDD >RHN64077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56399232:56401454:1 gene:gene26857 transcript:rna26857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pigment precursor permease, P-loop containing nucleoside triphosphate hydrolase MASCLKPQSSNEDDSVILFSTSNSSISPSSSSFHHSPPPTSYKLSINNLTYTLHPYKTIPFSFKSLTQKPHPVNILKSVSFVARTSEIVAVVGPSGTGKSTLLRIIAGRIKDKDFNPKTISINDHPMSTPSQLRKICGFVSQEDNLLPLLTVKETLLFGAKFRLKEMTPKERELRVENLMQELGLFHVAHSFVGDDENRGVSGGERKRVSIGVDMIHNPPILVLDEPTSGLDSTSALHVIELLSSMVKSKQRIVILSIHQPSYRILQYISKFLILSHGSVVHNGSLESLEERISNLGFQIPLQLNALEFSMEIIQSLEDNSSSFIVHENETSFPSSMWAPEEENIGSDVFQSQYEKENFGHLCYVNMMEILFLCSRFWKIIYRTKQLFLARTMQALVGGFGLASVYIKVRKDEDGIAERLGLFAFSLSFLLSSTVEALPIYLQERTVLMKEASRGAYRISSYLIANTFVFLPFLFVVSLLFAVPVYWIVGLNPSLTAFTFFTFVVWLIVLMASSLVLFLSSVSPDFISGNSLICTVLGAFFLFSGYFIPKESIPKYWLFMYYVSLYRYPLDALLTNEYWNVGNECFSQGSSSMCLVTGFDVLKSRGIEKDNRWMNVGIMFGFFVFYRLLCWVILARKASKTTI >RHN69881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47082985:47086453:1 gene:gene18410 transcript:rna18410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MKSQVKHISPLKLKAKDNRRKNLIMKGMKPYVVVFVIQTIYAIMFLLSKAAFDHGINNFIFVFYRQAVATIFLIPFVFIFEWKLAPPLSLRTFCKIFLLSFFGITMAMDLNGVGLIYTSPTLAAASTNCLPVITFFLALLLRIESLKIKTVAGIAKLVGIVACLGGAATLAFYKGPHLQLLSHHHHLLGYHKQHDQSHSPSGTWIKGCFFLLLSNTFWGLWLVLQAFVLKDYPSKLSFTTLQCFLSSIQSLAIALAIERDIEQWKLGWNVSLLAIAYCGIIVTGVGYYLMTWVIEKKGPVFLAMSTPLALIITILSSIFLFGDIISLGSILGGFMLVVGLYSVLWGKSREQTQKASQDLEQASS >RHN54894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13302132:13304061:1 gene:gene29992 transcript:rna29992 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSKNVTGYVKSMYNVTKYVNRRTTLTRHNTFMVTINTPYSSHMFVISTITYTFITTQRDTIHSLT >RHN76696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:489285:491744:1 gene:gene61 transcript:rna61 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MIQCKRISPLPFSSTALSTIFRTLYQSAAPSTPPQSSIPDNNTTTTNKNKCNISHYIIDDTNLLRPSLNPNSTTGLHVLDLINNGSLEPDRTIYNKLLKRCTMLGKLKQGKLVHTHLMNSKFRNDLVIKNSILFMYAKCGSLEIARQVFDEMCVKDVVTWTSMITGYSQDGYASSATTALVLFLEMVRDGLRPNEFALSSLVKCCGFLGSCVDGKQIHGCCWKYGFQENVFVGSSLVDMYARCGELRESRLVFDELESKNEVSWNALISGFARKGEGEEALGLFVKMQREGFGATEFTYSALLCSSSTTGSLEQGKWLHAHMMKSGKKLVGYVGNTLLHMYAKSGNICDAKKVFDRLVKVDVVSCNSMLIGYAQHGLGKEAVELFEEMMLWVEIEPNDITFLSVLTACSHAGLLDEGLYYFELMKKYGLEPKLSHYTTVVDLFGRAGLLDQAKSFIEEMPIEPNATIWGALLGASKMHKNTEMGAYAAQKVLELDPFYPGAHTLLSNIYASAGQWKDVAKVRKEMKDSGLKKEPACSWVEIENSVHIFSANDISHPQKNKVYEMWENLNQKIKEIGYVPDTSHVHVFVDQQEKELNLQYHSEKLALAFALLNTKPGSVIRIMKNIRVCGDCHSAIKYVSLVVKREIIVRDTNRFHHFRDGSCSCRDYW >RHN50675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9304193:9306828:-1 gene:gene34993 transcript:rna34993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neoxanthin synthase MTTNLKEQKYSFPIRINGAKLELCNKLIVKSRIKLIRDWSFIGGSRIVVKQKVMRLVASPKKASPVNASLLSGSQLASSAFTIGTAAVLPFYTLMVLAPNSELTKKSMQSNVPYVILGILYAYLLYLSWTPETVELLFASKYLLPELTSIGKMFSSEMTLASAWIHLLVIDLFAARQVFQDGQENQIETRHSVSLCLFFCPIGILSHVVTKAMTKTTKENKHGL >RHN73101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13468549:13470264:1 gene:gene8881 transcript:rna8881 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTHADLEPKSKTDLSSKTVVFLVITIILGLFTFILCLKAEVTRSQVTWIMNKDGKDKGDKYECAYNGNGKVPLLCSACAFVGLAIALVMEHIYMLIAVSKSPPSLLDWDNDSSSVKSLISLAGFFYITTWICFAVAEILLLAGLSVESGHLKNWTKPRTNCYAIREGLFSAAGVFALTTVFIAAGLYLTILRAQRMSEVVATVGREVLEASNFFAFPPRSPQRHITTVARENPKTIESINL >RHN61856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38911200:38914500:-1 gene:gene24360 transcript:rna24360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Trihelix family MDIIAGAPSPIHSVPPRLPLSSPYSGREDCWTEDATSTLIDAWGERYLDLNRGNLRQKTWQEVADAVNDFHAAGNRKARRTDVQCKNRIDTLKKKYKIEKARVSESDGGYQSPWPFFTRLDVLIGDTFPVKKLSSPVNVRSTPTVVKRPPPQSPPSPPSPPAWIISHPVGPRSGTQKRPAMVKRDEVSFRRNFSAFAAAAAAAAEAESVESEEWRSSTGKKGRENDGTHKEFGFRELAQAIERFSEVYERVEASKQRQMVELEKQRMQFVKDLEYQRMQLYMETQVQLQKIKRTKRASGSADSFS >RHN54061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6608418:6610280:-1 gene:gene29040 transcript:rna29040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MECVSNKHVFIFMFCLVFLTPLVCSQLYYNFYNRTCPNLNKIVKDNILSAIANDSRIAASLLRLHFHDCFVNGCDGSVLLDDTDTLKGEKNALPNKNSIRGFDVIDKIKSDLENACPSTVSCADILTLAARDAVYQSKGPFWAVPLGRRDGTTASESDANNLPSPFEPLENITAKFISKGLEKKDVAVLSGAHTFGFAQCFTFKPRLFDFGGSGKSDPSLDSSLLQNLQKVCPNQADSDSNLAPLDPVTTNTFDNTYYKNVLSNSGLLQSDQALLGDNTTSALVTNYSKWPILFFRDFAVSVEKMGRIGILAGQQGQIRKNCRAVN >RHN70474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51662899:51664137:1 gene:gene19054 transcript:rna19054 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKRTSRGKQKLDMKKHVAEAKHENLKTLASFGYWSIKEDAESYQYDQVEKVDTALVNLTNKIISHILVNGGEINHQAISSIDELNGVKTNPPCVFGAIFPPTEALGSIQYDPKGKGKVVTASPGLAANQTQGLLNLAGASESGSSEF >RHN78745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18005440:18007937:-1 gene:gene2393 transcript:rna2393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxyethylthiazole kinase MEEKKKEELEWRRKTWKLVSKVRLESPLIQCITNFVSMDLMANTLLSAGASPAMVHSLEEIHEFTPRVSALCLNVGTLSSSSLPAMIAAAKLCSQLDIPWVLDPVAVSASSFRFDACVQLVQFKPTVIRGNASEIISLSSCSLQSSAASMGVDSTHGSMDAVEAAKLLAQTSGAIVAVSGATDIVTDGNQVVGAHNGVPLMQKITATGCAVTALIAAFVAVDKSHALDAAVSALAVFGVAGELGMKMAKGPASLRMHLIDSLYGLDEATLQSHVNITSLC >RHN42192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36264472:36264726:1 gene:gene48603 transcript:rna48603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MREEYNKAGTIAEQAISSIRTVYSFAGESKTIAAFSNALEGSVKLGLKQGLAKGLSIGSNGLLFAVWSLMAYYGSRMEILIEIS >RHN82682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55650626:55651659:-1 gene:gene6861 transcript:rna6861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MHSGGSIIACTSAAAAAGRHDKKRHKSSEGQDMISDLPDFVIGRILSFLPTKYAVRTGALSQRWIYKWMFLTDLSFDYNHPSSSYLCEKRKTRFINFVYRVLLNLNTATIQTFSLDILHNFDPYHINQWISVVSNKRVKIIRVKLWKKCNLYTYPLFKCQSLEELKLQMLGRCILKFPNLICLSFIPRRLALAWNHIYLLYYAADCTWTGVKSVTLEAPLLEVVSLAYPFYSPPGHYESHAEIKLCASHLRKFSYRGNISSDTFVLDAADIGSANILMFNFEDRNVQETWIFICKLLTVNAKCLNLCLHADWQVCFLTSPFVLSKC >RHN49534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55454161:55464085:-1 gene:gene44376 transcript:rna44376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative THO complex, subunitTHOC2 protein MELRGLFQYLVNQLKRGHGIELVLLQELIQQMANVQYTENLTEEQLEAMAGSETLKCHATSFGMTRNNKALIKSTSRLRDALLPKDEPKLATPLLLLLAQHRSLVLVNADAPYIKMVSEQFDRCHGTLLQYVDFLGSAVTPGSNYAILIPSLGDLVHLYHLDPEVAFLIYRPVMRLFKSKRTPNVCWPLDDKNAASHSSANIESDPADYSGSMVLDIGSNKNPIRWSYLLDTVKTMLPSEAWNSLSPDLYATFWGLTLYDLYVPKNRYESEIAKLHANLKSLEELSDNSSSAITKRKKEKERIQESLDRLISELHKHEKDVASVNRRLSHEKDKWLSSCPDDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPSFNTVNHIDVLICKTLQPMICCCTEYEVGRLGRFLYETLKIAYHWKSDESIYERECGNMPGFAVYSRERNSKRVTYGQFIKELWKWSQRITQLLIQCLESSEYMDIRNALIMLTKISSVFPVTLKSGTSLEKRASYPYIKLAKIKSDEREDLKVLATGVAAALAARKPYWVTAEEFGMGYIVFKSAPSMTKSAAGNSAAVQSGIGLHVSQTESASGKHLDSGNTVKDQTVRTKTADDESERTESSTATKSDSGHVKLKGSSMVNELDAQSSLPSPAGQSGALKSVENPKQVQESISRAPDEHVTRIVESRTSVKRKMATVSLLKPSKQDPLKEDARSGKSVARASGSSSNDKDLQTHASDGRHTRTNVSSSVNANGYSVPGSAKGLAPSAKIAFDGSGNESKAEVGAAKSSMVKDDGNDIADFTRGSSSRLVHSPRHENTATTKSSDKIQKRTGSVDELDRPSKRWKGDVDPRDLEGEVRFSERGKLLDPRLADDKAAPDELGLYRAGNKSLERPKEKGNERYEREYRERLDRLDKSHGDDFIIEKPRDRSIERYGRERSIERMQERGSERSFNRLSDKAKDDRSKLQYNDVSIEKSHTEGRFHGQNLHRASPLPPNMVPQSVGAGRRDEDADRRYGATRHSQRLSPRHEEKELRRSEETVILQDDPKRRKEDFQDQKREEMKEEEREREKSNILKEEGFNAASKRRKLTREHLPTMEPGEYLPVNPLPGIGMSQAYDGRDRRGRMIQHASYIDEPSLRIHGKELSSKLNRRESDPVYDREWDDENRQRADQKRRHRK >RHN79845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32773965:32777941:-1 gene:gene3692 transcript:rna3692 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TOP6A MADNKKRRRTEPTDEILPFKNKLKPDSIILEILKNLKASTASSSGTKTLTLDELSLPFTCREVADLSLSSVQSNIESLVLKIAHSILSGNGFAFDVPSRSAANQLYVPELDRIVLKDKSSIRPFANIGTVRKTAITARIIQLIHQLCLKGIHVTKRDLFYTDVKLFQDQMQSDTVLDDVSCMLGCTRSSLNVVAAEKGVVVGRLIFSDNGDMIDCTKMGMGGKAIPPNIDRVGDMQSDALFILLVEKDAAYMRLAEDRFYNRFPCIIVTAKGQPDVSTRLFLRKMKMELKLPVLALVDSDPYGLKILSVYGCGSKNMSYDSSHLTTPDIKWLGVRPSDLDKYKIPEQCRLPMTEQDIKTGKDMLEEDFVKKNPGWVEELTLMVKTKQKAEIQALSTFGFQYLSEVYLPLKLQQQDWL >RHN46482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32130618:32135169:-1 gene:gene40973 transcript:rna40973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MALILKNFSLTELLTAAAVLVVILFSSAYSLNKDEFPSDFVFGVSTSAYQFEGAANEDGRKPSIWDTFAHGGNANMYEGDGDIACDGYHKYKEDVELMANMGLDAYRFSISWSRLIPDGKGPINPKGLQYYNNLINELINHGIEAHVTLHHWDLPQSLEDEYEGWVSKKIVKDFTSYVDVCFREFGDRVKYWTTVNEVNVYAMYGYDIGMAPPQRCSPSFAANCSRGNSSAEPYLVAHHMLLAHASAARLYRKKYKGMQHGFIGFNLLTFGFVPLTNTSEDIVATQRAQDFMMGWVLNPLTFGDYPDIMKKNAGSRLPSFTIKESNLIKNSIDFLGINFYNLIYVKNSPASLNMEDRDYTQDMAVEITVLIENDTSIDEFPITTKNFQEVLYYLKNDYGNFPIYIHENGHRTFRNSSLNDWSRVKYLHKYIETIANEIRSGSNIKGYFVWSFMDVLEILSGYEESFGLYYIDMKDPNLKRQPKLSAKWYSDFLKRKPMDSKIIMEIENDAPLPSEISLL >RHN71232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57484262:57488904:-1 gene:gene19888 transcript:rna19888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MGEVSETTMTTTTTTKRKKKGRPSLLDLQKRSLKKQQKQNPNLINDPYSNNNDDEDERKQKKQKLLIGLNSHLQNPTTLFSNSQTPQFHPNHPGSDQNDGKVPKATDSKHGSQVMSGPTTPLPDKKLLLFILDRLQKKDTHEVFSEPVDPEELPDYHDIVKNPMDYGTIRKKLDGGLYISLEQFENDVFLVCSNAMLYNSPDTIYHRQARAMQEIARKDFENLRQDSDDDDDEDDDNDSEPPQPKIVQRGRPPGKRSKKSLGMSPIEFAAPESLSDATLASGGDTASGSNGYNLRKAVSKFQPTDSSARGLQYNSGGYTSWTSEWENEFPASVLKAVLRYGKKQYTVDETRRDTYRNPVAVGNEPPVLTAFEDNSKQLLAVGLHVKHSYARSLAHFAADLGPVVWKVAARKISSALPPGHEFGPGWVSDDDVSQRQHFAVRDERNSDTPVPEDYRSRFPSPSRTFSHANTSGLQSGDVLINREPSYQNEMNPGSSVSGGNESMIHGRIQQEPMAHSDDFGSNRRLGSNFSPQMTMVSLADLTGSSNAGNVPQMFGMDTTNSLSGHIAPTNINPTALKAQFFNKSSQSDSSNLLALESGFDPQRFSQGLTGKSSWQGLEVPTKQNSVSLATDLNGIIGATSSRSSNVETGPQLQPNLALQL >RHN45372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:18193413:18195644:1 gene:gene39663 transcript:rna39663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative periplasmic binding protein-like I MLCEKAKQIGLMEKGSAWIIPNEVAGLLDSVNSSVIFNMQGVVGFKTRFMEMNETFRKLKLKFQRKFALEYPEEDNINPSIFALQAYDAAKAIVEAANKSSQGKLRLEEISETILSPTFNIINVIGKSYREMAFWSQTLGFSKNFISHQVMETRKTNNDSNGVFRTVYWPGDQMQLVPKGWTLSNNERSLKIGVPSNGAFNQFVNVTHDKLMNETFITGFSIDVFKAVVGLLPYDLQYKFVPFNGSYDEMVDQVYNKTLDGAVGDTDIMAYRYHLVDFSQPYVESGLHMVVTEQPEKSKQTWMFLDAFTKEMWLMITSMHIFVGVVIWLIEREANPYLRGFRSMLWFLVTVLFYAHREPIRRPLAQVVLTPWLFAIFIVTNSFTASLTSITISQVKSSVLDIQTLKERNLPVGCNGNSFIVKYLSEVLKFKPENIRKFNSINDYPAAFENKKIEAAFFVAPHAKVFLAKYSCKGLIKAGNTFKLGGFGFVFPKGSSLATDISEALLNMIESGKTEKLEKDMLNGIGRGGKTNCSSLERNKGKNNSSIGLPPFIGLFSICSTFSILALSYHIICLLVKNIETLASHIIVILTQLWRIWRWITNYFSWCCSRIMRRVSNCIEIRNAEENVTNSQQNLVVVDLVDSVLATHSS >RHN79125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23552893:23556235:-1 gene:gene2842 transcript:rna2842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6'-deoxychalcone synthase MSASKIPQVVLKSSSNQSNMPVIAFGTAAVTNNDGEITKVAVIEAIKSGYRHFDTASIYGSEEALGEAIEEALQLGLIGSRDELFITSKLWVTDNFPHLVLPALQKSLQTLKLEYLDLYLIHWPISVKPGNWELPYAEELITTFDLKGVWTSMEECQKLGLTKYIGVSNFTRKKLEDLLSFAIIPPSVNQVEMNPVWHQKKLKEYCEAKGIIITAFSPLGAKGASWGSNEVMDSEILKQIAEEHGKNIAQVCLRWLYEQGVTMAVKSYNKERMKQNMEIFDWSLAKDDHEKIDQIKQIRVNNGPVVFIPNLWDGET >RHN64405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58795928:58796257:1 gene:gene27221 transcript:rna27221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEKKSMTVPYMPLELIIEILLRLSVKCLACFKCVSKSWLSLISDHNFAKLHFQRNIAIRRILLTIEAPLIFHSLDFESSISYHSATSISLPPPTDVKIKGSCRGFSIFF >RHN71821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2818304:2821516:1 gene:gene7458 transcript:rna7458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MENTTMLAPSNVSDVSLICYTPTMTTTNGVWAGENPLNYSIPLFILQITLVVFTTRFFVFILKPFHQPRVIAEIMGGLFLGPSVLGRHENFANIVFPLKSAMVLETMANIGLIYFLFLIGLEMDISIVKRTGAKAVSIAVAGMILPFIVGLGVSFAFTGRDESVNDFSFVLYLGIVLSVTSFPVLARMLAELKLINTELGKLSLSTSLINDVCAWLLLALAIALSENNSTTWASVYVVISNLFFVGFCFLVVRPAITWLIKKTPEGKPFSDFQICAVLVGVMISALITDVIGTHSIFGAFVYGLVIPNGPLGAAIIEKLEDFVSGLLLPLFYAISGLKTNINLFNKGGSWAFVLTIVPLTCLGKIIGTVIVSILFDLPARDGIVLGLLMNTKGLIEMIVLNIGREQKVLGDEIFSIMVIVTLIMTAIISPIVALIYRPRKRLIPYRKRTVQSSRVDAELRVLVCIHAPRNVPTIVNLLEATHPNKRSPICAYVLHLVELTGRASAMLVVHASGQSGGPALNKTQAQTEHIITAFQNLEDHVGYVSTQPLTAVSPYSTMHEDICNLAEEKRVSTIIIPFHKQQTVDGEMQETNPALRMVNHNLLQSSPCSVGILVDRGLNGSSRLTASQASHQVAVLFFGGPDDREALSYGWRMSRHPRVHLTVMHFISGKDVTESLIDDELLDNQRSTISNKIDEECINEIKMLATCDVSIKYIEKIVSNGEQTVSAIRETNNANDLFIVGRGQGDSSPLTEGLTDWSECPELGAIGDLLASSDFETSASVLVMHQYVGQGPEGEDLFVCERPWQSSDNFNSLRQKHRGRYAAMPMETDTYSSEFL >RHN76408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50014703:50015755:1 gene:gene12729 transcript:rna12729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MIRNKIVVLYCFSLVLNLVLITFLLSNAAESSENIAIYWGQNNNEGTLTETCAKGNYNNYSYVIIAFLNKFGNGTTPEINLADHCDPSSNDCTMLSTHIKNCQMKRIKVLLSIGGADGEYGLGSTDDAKNVSDYLWNKFLGGNSSSRPFGDAILDGIDFDIEKNSKGKQNQQHWEELARFLKSRNTSTQNVYLSAAPQCPYPDGELGVALETGVFDYVWIQFYNNPECDYSESEVNRLLDSWKRWTKSLTSGKVFLGLPASPAAADNGYVPADLLCEIVVPVLRISRNYGGVMLWTTHYDKQSGYSNYIKSSLCTQQKSPECGGNYYCSHTGSFYRLNLVVVLWKHFLLL >RHN62735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45529385:45535418:1 gene:gene25338 transcript:rna25338 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNKKAVLFPHDAELRIGNTALSLNGIGFGETNNTDYGCRERNLGMEFSNASDDGCRLVLGLGPTPKAYGDDYNDMMFNKKKKSASLFSQSMPSECESILQLGLSGAANETSSVMEYSGSTETDVNLSCFSSQTSGEYNYAMIPVVDEGSTSAKKSGGYMPSLLLAPRMDNAEISVQTQELILGTKSQMCPEPSSATNQSLGTTSGPQETAISSQNRTSNPKRCRFFGCSKGARGASGLCIGHGGGQRCQKPGCNKGAESRTAYCKAHGGGKRCQHLGCTKSAEGKTDYCIAHGGGRRCGYPGGCAKAARGKSGLCIRHGGGKRCTIEGCTRSAEGQAGLCISHGGGRRCQYRECSKGAQGSTMFCKAHGGGKRCSFAGCTKGAEGSTPLCKAHGGGKRCLFNGGGICPKSVHGGTNFCVAHGGGKRCAVSGCTKSARGRTDCCVRHGGGKRCKFESCGKSAQGSTDFCKAHGGGKRCNWGDGKCEKFARGKSGLCAAHCSLLQESEMSKGSLIAPGLFRGLVPSASTACSSYENNTSSGVSVVSDSYDSTETPTRRHHHLIPKEVLVPLSMKSPSYSNFLAANKPTQDRNLQNIAAGCSGTKKASDFNLPEGRVHGGDLMLFFGGNLKNALDGI >RHN68675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37727019:37730335:-1 gene:gene17057 transcript:rna17057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MAMASANSIISISSASLLNRTHQPKTTTLISLLKHNKKEHEQHSTSPSSFTVKALKISTSTTRRRRLSCSASASASSTLPSALLFDCDGVLVDTEKDGHRISFNDTFQEKELGVTWDVELYGELLKIGGGKERMTAYFNKTGWPANAPTGEQERKDFIASLHKRKTELFMELVEKKLLPLRPGVAKLVDQALTQGVNVAVCSTSNEKAVSAIVSCLLGPERAAKIQIFAGDVVPRKKPDPAIYILAASTLGVEPSRCVVVEDSAIGLAAAKAAGMKCIVTKSGYTADEDFLNADAVFDFIGDPPEERFDLAFCGSLLEKQYVS >RHN53065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41663533:41668254:1 gene:gene37815 transcript:rna37815 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCPYVRLTVESLALNLPSPTKPSPLSRIHPSTTTIPCFCTIHIPNFPSQTTLLPLSSSSSSSPETTSSADGFHLDSNALQRLTGKPIPLRLSVYAGSMGRTCGIANSKLLGRVVVNIDLKNSLSRSVMFHSGWLALGKKKGFEPGKKDSARVHFVVRTEPDPRFLFQFGGEPECSPVVFQIQENIRQPVFSCKFSADRNSRSRSNATDFANTPSRWKRALKSVQERHGRERKGWMITVHDLSGSPVAAASMVTPFVPSPGSDRVSRSNPGAWLILRPNGASVSSWKPWGRLEAWRERGHVDGLGYKFELVTENNGIPIAESTMNVKKGGQFCIDYNVMKEYYGLCSRLPPGKGFVMSSSVEGEGKISKPFVQVGAQHVTCMADAALFVALSAAIDLSMDACQLFSHKLRKELCHEEQVSFP >RHN52505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36196277:36205000:1 gene:gene37192 transcript:rna37192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MKNHYAAAGAVDNVAGEGNKAWNSIGTGGSHVFVRRVMQMIMFLVAFAVLWMFLYNNSASPFGLPATISHYFNGISTQEYYDPKLKSVLKQASMKDKTVIITTLNDAWAEPGSIFDQFLESFQIGGNQTQKLLDHLVVITWDQKAYSRCIALHKYCYQLQTKGDNFTNEAFFMTPTYLHMMWRRIEFLGAVLHLGYSFVFTDTDIMWLRDPFKQFYKDADFQIACDYFNGNSYDMHNYPNGGFTYVKSNRRTIWFYKFWFNSRETYPTMHDQDVLNKIKMHPLITNKKLKIRFLSTSYFGGFCQSSKDFGKALTMHANCCVGLENKVNDLKILLEDWKKYMALPEDKRKTSHPSWSVPKTCRGSFERNKERLNKNKGGS >RHN45541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22675300:22676094:-1 gene:gene39905 transcript:rna39905 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVDLFYFLLFFHLMLILSAVYGSGYTYNCPHSFSCGARGVFRYPFTKAEQLDCGSILIHGCDDSYYSPKMIQLEKNAKKIELTSIIDQNTITVSDQEFYKRLQDNLCDTLKQNYTLPPASPFVSFYINNNVTLFLCNRSHNINPPAQYFKHNCSSFSYDIYYNRKPYLNVTNEKADSFFSSCSVLQFPSKDLTDTRHILSFVSAQMVIKIVLSDDCDECSNHRGGQCMLDAKRMFFYCQNGKVQINLSHSCIPFDCFLIFNT >RHN60186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22764400:22764634:-1 gene:gene22437 transcript:rna22437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative equilibrative nucleoside transporter MSETPPRLEGKYAAIVICWFLGIGGLFAWNSMLTIVDYYIYLFPVLYLFSHAFFS >RHN63712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53434717:53438220:-1 gene:gene26448 transcript:rna26448 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKYIQNLEKELLNCSQEIDYLQDQLSTKNTEVNYLEERVRVLELKFKETEDLRKQVFSLREELKISNSEQFTLIQELETKEVELEQSGLSIERLDESFSSMALESQFEVESMKLEMMALEQNLFEAKKIQDETLEENSRMSRLIDELQDALQDAHKTIISLNEENKIIKEKLDTANMSSILFSQKVEEWLENKDRPQIKDQFPVSKERSKAYDTRIYGEILGPLLGILAMKLDPASELKGKIEMSCQIQEYEFLVEKLKEELRVEKLRAKEEAEDLVQEMAELRYQLTGLLEEERKRRACIEHVSLQRIAELEARLQREHKNP >RHN57540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39931981:39932445:1 gene:gene33099 transcript:rna33099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLIRFSRGCQVIMDSNQFEGSIPPFFRSATLLRLSNNKFSETHLFLCANTVVDRLLILDLSKNQLSRKLPDYWNHLKALEFLDLSDNNLSGEVPFSMGSLLKIKVLILRNNSLTGKLPFSLKNCTELTMLDLGDNRFSGPIPYWLGQQLQMLSL >RHN72147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5365738:5367216:-1 gene:gene7827 transcript:rna7827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MENKNFDSKNQIQPVEDLSPRVSSFSLGDHHHISNSYSPLDDRVDGGNMRNHHVTKENNDSLSSVSTEENSMNLSRLYPELPEESFERNEGDMESLADIQNQRKYFCYDAPLNEDTGVWIPVSVPPMLEDDHKEWEKGFHSNGGFFPDDDMGWNQYVGGDKELTMWEVLVEILLAARGKVSSLASGDIHTYSFSWLTSHVLEQAWREMAQTLTEASFGNVKELIEAEPPKWLADSAAAACMLCGVRFHPIMCSRHHCRFCGGIFCGECSKGRSLMPSKFRVSDPKESAMYAVFDLSLYSLI >RHN47462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39945655:39950126:1 gene:gene42070 transcript:rna42070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MTMQAHLLVGPISITTHSTVADSSYLSASPRRRRHLLISAASSSSASAINGGGDHYTVLGIARSADAVEIKRAYRLLARKYHPDVSKDLNAAESFKSIRHAYEVLSNETTRVQYDRELQFSHKPYQEKWSYNTEFEDEVRRYRWAYMRRKMRSERYWEHYNANKDYYNSETYEKEDEGNLVEKMGSFVEVLRSTFLSLLLFQTLGSRLSLTFSGLTALFDRKLDAGYKAGYVIAWIMGGRAGIMLALILSFASWVCGKTSSSVVALYMVAMWVGSSLSSYAPIPQGALLTLIYMSIKLQSDQI >RHN41787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32965679:32978000:1 gene:gene48147 transcript:rna48147 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKTIGRELAMGSQGAFGQSKEFLDLIKSIGESRSKAEEDRIVIREIETLKRRISEPDIPKRKMKEYIIRLLYVEMLGHDASFGYIHAVKMTHDDNLPSKRTGYLAVTLFLNDDHDLIILIVNTIQKDLKSDNYLVVCAALNAVCRLINEETIPAVLPLVVELLSHGKEAVRKKAVMALHSFHRKSSSSVSHLVANFRKRLCDNDPGVMGATLCPLFDLITDDPNPYKDLVVSFVSILKQVAEHRLPKSYDYHQMPAPFVQIKLLKILALLGSGDKSSSEHMYTVIGDIIRKGDSSSNIGNAILYESIRCVSSIYPNPKLLEAAADVIAKFLKSDSHNLKYMGIDALGRLIKLSPLIAEQHQLAVIDCLEDPDDTLKRKTFELLYKMTKSSNVEVIVDRMIEYMISISDDHYKTYIASRCVELAEQFAPSNHWFIQTMNKVFEHAGDLVNIKVAHNLMRLIAEGFGEDDDAAYSQLRSSAVESYLRIIGEPKLPSVFLQVICWVLGEYGTADGKHSASYITGKLCDMAEAYSNDEIVKAYAITALTKIYAFEIAAGRKVDMLSECQSLVEELLASHSTDLQQRAYELQAVIGLDARAVEAILPHDASCEDIEVDKNLSFLNDYIQQAIESGAMPYISENERSGAVSVSNFRSQDQQEPGQHGLRFEAYEVPKAPVPSKVTPVSLSSTTDLVPVSDSLYARETHQITSVGLSSDTGSSGLKLRLDGVQKKWGKPAYSSPASSSSNSTAQNPVNGMTKVDVAASVNSKVRDSYDSRKQQNEIDPEKQKLAASLFGGSTKPERRTSTSSKVPKANAGAADRSQDSKAAIVPNKASGDNSNQQPPPQDLLDLGEPNVTVAPPTVDPFMQLEGLLDPSISSTVSHSDSAVTNAPDIMGLYSGATSGEQSGGGYIPAGGDLLSGLSNAAAVRGTTGETIPSPVSQSVKGANAKDSLEKDAKVRQMGVTPTGQNPNLFRDLLG >RHN71298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58040108:58042083:1 gene:gene19961 transcript:rna19961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eugenol synthase MAGGSNMMSKILLIGGTGYIGKFIVEASAKAGHPTFLLIRESTLSNPTKSSIINKFKDLSVNFVLGDLYDHQSLVKAIKQVDVVISTVARSHLSDQDKIISAIKEAGNVKRFFPSEFGNDVDRSHAVEPAKSAYAVKARIRRSIESEGIPYTYVSSNYFAGYFLPSLSQHGASAPPRDKVVILGDGNPKAVFNKEEDIATYTIKSVDDPRTLNKILYIRPQGNALSFNDLVSLWEKKIGKTLERIYVPKEQLLKQIQESSPPLNMMLSIAHCVYIKGDHTNFEIDPTFGVEATTLYPDVKYTTVDEFLNQFV >RHN56731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33281524:33284225:-1 gene:gene32171 transcript:rna32171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MIDTQGDIENQVTKVNKYAFACAIVASMVSIVSGYDTGVMSGAMIFIQEDLGISDTQQEVLAGILNLCALVGSLTAGRCSDYIGRRYTIFLASVLFIIGAILMGYGPNYTILMIGRCICGIGVGFALMVAPVYSAEISSAHSRGLLASLPELCIGIGIFLGYLSNYFLGKYLSLKLGWRLMLAIAAIPSFALAYGILTMPESPRWLVMQGQLGKAKKVLFQVSNTTQEAELRFNDIKLAAGLDENCNDEIVKLPQKSHQGEGVWKELILRPTPAVRWMLIASVGIHFFEHATGIEAVMLYSHKIFKKAGIKSKENLLLATIGVGLTKIIFLVLALFLIDKVGRRRLLQVSTAGMIIGLTALGFSLTMVEHANEEVLWALTLSIIATYFYVAFFNIGLAPVTWLYGSEIFPLKLRAQGVSIGVAVNRSMNAAVSMSFISIYKALTIGGAFFMFAAISVIAWVFFYFLVPETKGKTLEEMETLFTKKNKSGKSVAMETYPTQ >RHN57116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36619756:36622572:1 gene:gene32616 transcript:rna32616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MRTHSQLLLYFMLSTTVALALSLSSVTDKHALLSLKEKLTNGIPDALPSWNESLHFCEWEGVTCGRRHMRVSVLHLENQNWGGTLGPSLGNLTFLRKLKLSNIDLHGEIPKEVGLLKRLQVLDLSKNKFHGKIPFELTNCTNLQEIILLYNQLTGNVPSWFGSMTQLNKLLLGANNLVGQIPPSLGNISSLQNITLARNQLEGNIPYTLGKLSNLRDLNLGSNNFSGEIPHSLYNLSKIYVFILGQNQLFGTLPSNMHLVFPNLRSFLVGGNHISGTFPCSISNLTELRWFDISWNGFNGQIPLTLGSLNKLKRIRVDNNNFGSGGSHDLNFLSSLTNCTKLEQLILDGNGFGGVLPYYVGNLSTYLSVLSMAKNQIYGVIPESLGQLINLTEFDMMRNFLEGKIPNSIGKLKNLGRLVLQQNSLSGNITTIGNLTTLFELYLHTNNFEGSIPITLRHCTQLQTFGISTNNLSGDIPDHLFGYLENLINLDLSNNSLTGPLPLGFGNLKHLSLLYLYENKLSGEIPSDLGTCLSLTELILERNFFHGSIPWFLGSLRSLEVLDISNNSFSSTIPLELENLVYLNTLDLSFNNLYGEVPTRGVFSNVSAINSLTGNKNLCGGIPQLKLPPCLKVPAKKHKRTPKEKLILISVIGGVVISVIAFTIVHFLTRKPKRLSSSPSLINGSLRVTYGELHEATNGFSSSNLVGTGSFGSVYKGSLLYFEKPIAVKVLNLETRGAAKSFMVECNALGKMKHRNLVKILTCCSSVDYNGEDFKAIVFEFMPSGNLENLLHGNEDHESRNLNLNFTQRLDIALDVAHALDYLHNDTEQVVVHCDVKPSNVLLDDDGVTHLGDFGVARFLHGATEYSSKNQVISSTIKGTIGYIPPGKVLSMILFCCYTSFKMSFMCLRPVALQEPWSKVFELLNFTFFFLREILNFT >RHN53648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3076486:3091179:1 gene:gene28577 transcript:rna28577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MDDEDLATVHKVPSALIKAIKFEVLTGEDIEKISALEINAPGQVTCSDLGLPNSSYGCTTCGSKDRKSCEGHFGAIKFPFTILHPYFMAEIAEILQKICPACKSIRQEIRVKRAKSLLGYNNQPKGCKYCCGNGMGRYPAMKFRVSSNDFFRRTAIIVEVNDISLNKKRNLGRGLPADYWDFILGDVQQEENHVNRKVLSPVQVENLLSGVDPNFIEKFIPSMDLVGLSCFLVAPNCHRVTEVPHRLSGGYPLSFDNRTRACKKLVDFRGTANELSSRVLDCLRFSKINPDRTPVNIFTELQQRKVGENACNSSGLRWMKDVVLGKRNDCTFRTVVVGDPDLELSEIGIPCQIAEALQVSEYVNRQNRQNLLYCCELRLLEKGQINVRRNGNPVVLYKKEDLQIGDIFYRPLVDGDKVLINRPPSIHQHSMIALTVRVLPISSVVSINPICCSPLRGDFDGDCLHGYIPQSVGARVELNELVALDRQLINGQSGGNLLSLSQDSLTAAHLLLEDGVGFNIYQVQQLEMLCNKELTSPAIFKAPSSNTPFWSGKQLFSMLLPSKFDYAFPSNDVFVKDGELISCSESSGWLRDSENNVFQSLVEHFQGKILDVLHGAQKALCEWLSMTGFSVSLSDLYLSSDSYARKNMMEEISYGLRVAEQACDFNQLLVDHYSDFLSASPEENEKFVTVDVDRLNHERQISAAQSQASVDAFRHVFRNIQSLADKHACKDNSFLAMFKAGSKGNLLKLVQHSMCLGLQHSLVRLSYRIPRELSCAGWNSQKGLHSMEMSSDTLEPKQSYIAHAVVESSFLTGLNPLECFVHSVTNRDSSFSDNADLPGTLTRRLMFFMRDMYDAYDGTVRNLYGNQLIQFSYDAEEDSSCDSYFREDIIGGEPVGALSACAVSEAAYSALSQPISLLEASPLLNLKNVLECGSRKKGGDQTVSLFLSEKIGKQRNGFEYAALEVKNYLERYMFSNIVSTVMIIFTPQHCSQVKYSPWVCHFHLDKENVTRRKLEVHSIIDSLYQQYDSFRRESKVILPSLKISSKKWCVDYKANEGGETSVGNEKDGEDCITVAVVEDSKNSVQLDSVRKSLIPFLLQTAIKGFLEIKKVDILWKDLPKVTKSYNGSAGELYLRVTMSSGNDNGTFWGRLINHCHRIMPLIDWTRSHPDNIHHFCSAFGIDAGWQHYFHNLSSATSDTGKSILPKHLRLVANSLSASGEFVGLNAKGMARQRKHASVSSPFVQACFSNPGSSFLKAAKSGIVDNLQGNLDALAWGNCLPMGTGGQFDIIYSEKVEEINKSVDVYGLLETSFNQMDQEINIPQSRKYSSGKCSSDFRSKNGGYTPKEPKQWKSVLRNFMTANDIQRLTFDARVILNKYQIDETLSETDKLTIMKVLHFHPRRDEKFGSGPQNIKVGWHPEFTDSRCFFIIRKDGSVEDFSYRKCILGALDIIDPKKSKIQRNRWSGNGDMEAKKWSGNGDMEAKKWSGSYDKEAKKWSQSYDKEAKKWSGNDDVEVTSI >RHN59700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12668750:12670954:1 gene:gene21792 transcript:rna21792 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaB MALRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFEAWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSVISLLAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWAGHLVHVAIPGSRGEYVRWNNFLSVLPHPQGLGPLFTGQWNLYAQNPDSSSHLFSTSQGAGTAILTLLGGFHPQTQSLWLTDMAHHHLAIAILFLIAGHMYRTNFGIGHSIKDILEAHIPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLEHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDVLLSSTNSPALSAGRSIWLPGWLNAINENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLVHFSVGYIFTYAAFLIASTSGKFG >RHN39069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3908083:3914165:1 gene:gene45061 transcript:rna45061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterile alpha motif/pointed domain-containing protein MYADRVESGARRSVKERLDGSISVSTRNQRQVTGKRPRQDDKWEHDLYNDDVEQEQPRTTNRKVTGQDLRVKLQKKGLQPATRSGKSSTPSTRDLRERLSGTMNTQPKNSDPPKSKVIAKPSSKSVGAEAPAVKRPANPAPKKARKAGTTVDEFLLTLGLEKYLITFQAEEVDMTALKHMTDEDLKVMGIPMGPRKKILLALESKV >RHN74439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33330179:33337400:-1 gene:gene10512 transcript:rna10512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glyceraldehyde-3-phosphate dehydrogenase (phosphorylating) MAASSLLRSAIVSSPSSDRFQVLGNGSSISSKSTRLQNNIFGTSIPCDSLVLQNCNARSMQPIRATATEIPLPVQNSSSTGKTRVGINGFGRIGRLVLRVATFRDDIDVVAINDPFIDAKYMAYMFKYDSTHGPFKGTIKVLDESTLEINGKQVKVVSKRDPVEIPWGDFGADYVIESSGIFTTLEKASSHLKAGAKKIIISAPSADAPMFVVGVNEKTYNSNMDIVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAAQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTANVSVVDLTCRLQKNASYEDVKAAIKLASEGQLKGILGYTDEDVVSNDFIGDSRSSIFDAKAGIGLSKSFVKLVSWYDNEWGYSNRVLDLVGHMALVGAQN >RHN71381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58613949:58614608:1 gene:gene20059 transcript:rna20059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-Xb-1 family MAEMETLEKIKHKNLVPLLGYCKVGEERLLVYEYMKYESLEEMLHRRIKTCKRRILTWEERKKIARGFAKGLCFLHHNCIPHIIHKDMKSSNVLLDNEMESRVLDFRMARLISALDTHLSVSTLVGTPGYVPPEYYQSFRCTAKGDVYSFGVVMLELLNGKRPGDKEDFGDTNLVGWAKIKVREGKQMEVINADLLLEIQGGTNEAELKEVIGTYRQLI >RHN52826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39236849:39236974:1 gene:gene37543 transcript:rna37543 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFWSCGGSDGGCEGGCDGGSDEGSDICVSMGCSEFSSVL >RHN43223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44144364:44149488:1 gene:gene49763 transcript:rna49763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative asparaginyl-tRNA synthase (glutamine-hydrolyzing) MLKNNKCHLNTVSEPTRGSGGGTYKFHFSLICSYLLFFVVPLLACPSSSHSIMGSMKQNQFSDKECSVPCLRCSLGLLDANFFKDDQIDEIAKGVNELNVPIIKANRDLVASKNGGLQYPSPLVFSADWDYKPVHCATKRFIYPSISEIRRPESEEDIAFLTVLELGELIKTKQITSQELTQLFLRRLKKYNPILEAVVTYTEELASKQAKEADELLSQGVYLGPLHGIPYGLKDIISVPEYKTTWGSKSFKNQVIDMEAWIYKRLKSAGAVLVAKLVSGSLAYDDVWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAIGSETAGSITYPAARCGVTALRPTFGTVGRTGIMSISESLDKLGPFCRSATDCAVVLDIVRGRDPEDPSSKDIPIDDPFLVDITKLTVGYLDDAEMEVVDVLASMGVKMVPFKLNYTVDSVQGILNFTMDVDMLAHFDQWQRSGEDNVYEAQDQWPTELRRARLVPAVDYIQAQRARGRLIKEIRESFTVDAFIGNATDWEKVCIGNLVGLPVIVVPTGFTNISDPPSGGSRRRTTITTGIYAPPNRDHIALALAMAYQAVTNHHIQRPPINDLGPNDKIRDDASIVAYPPRVFGP >RHN62712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45334482:45339925:-1 gene:gene25313 transcript:rna25313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MDSLCCFSSSQGRSSCNSGKGKSSQSPVKYGFSLVKGKANHPMEDYHVAKIVHFKGQELGLFAIYDGHLGDSVPSYLQKHLFSNILKEDDFWNDPFMSISKAYESTDQAILTHSPDLGRGGSTAVTAILINNQKLWVANVGDSRAVLSRGGVAVQMSTDHEPNTERGSIENRGGFVSNMPGDVARVNGQLAVSRAFGDKNLKTHLRSDPDIQYADVNQDTEFLILASDGLWKVMANQEAVDIAKKIKDPQKAAKQLATEALNRDSKDDISCVVVRFKG >RHN65690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4410724:4421066:-1 gene:gene13562 transcript:rna13562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MSSTEVAKSDLHRNVADYQPDIWGDYFLQYASEYMELDQNIGSKIETLKNDVRNMLVSNTEKTLAKIHLIDSIYRLGVSYHFEQEIEEVLHGIHKNYVVNGEITLEDHNLSSLAVLFRLLRQQGLHVLPNVFNKFKDELGNFSEKLIKNVEGMLSLYEATHIMVHGEDILEEALAFTTTQLESISKQSSHSHALQAKHCLRQTLHKNIPRLEARSYISRYEEDPSHNENLLILAKLDFNMLQSLHQKEFGNFSKWWKELDVRSKLPYARDRIAESCFWALGVYYEPQYSTARKVMTKLFVIITVIDDTYDAFGRIDELELFTKALERWDISCLDNLPDYMKFLYVIILDLYKEIEQEMKKEGREYALNYYVKEFIKYVQAYMTEARWLNDKYQPTLEEYIRISTESCGYALVTTTCYIFMGDTATEDIFKWVSNGPKMINAAIILCRLMDDIASNEFEQKRDHVSSFLECYMKQHNISREGAIQEGRKRIVDAWKDMNKECLMPTEIPIPFLTCILNLSRFMDVVYKDKDNFTHPEGEMKTFIKSLLVDPVPI >RHN40686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19486538:19487037:-1 gene:gene46875 transcript:rna46875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stigma-specific protein Stig1 MSTQATYFVTFITLLFVFVIKIDGDQSISPTPNYEVSENYTNSGLNKVGEDQEYPGCTNRPWICSNGEYPPRYVCCRNRCVDISKDVNNCRICGRRCPTIGNWRCCNGFCANINFDPLNCGGCGRICPIMVCLMGECRYTKSSSPTPFLP >RHN56008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26708943:26710208:-1 gene:gene31320 transcript:rna31320 gene_biotype:protein_coding transcript_biotype:protein_coding MGRENLDYFHNQNLHINQRNTFLPMLCSRSIKDVCLPQCKDNLRSFSNDPLSPRIGCMGQVKKNNKISGFPSSHRISFITKSNTFSISSPIGKYSKLKKLFSTKNLSTTATVTATAAAPSTCGSRPRVSVNSGEVSRKYCNQKGHRNENIVPISIENMDPPLPVIKRVMKLEDENQVDSLWKRRSGEVRLKSLQLQQIHQTRHQFQLTSD >RHN68550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36447768:36448792:-1 gene:gene16918 transcript:rna16918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S13 MVQTLSMPVAPSLSIISKGHAHFSNRVSFPVLNTPKSKVQRLNIVCVRVGGVEIPNNKRIEFSLQYIHGVGRNRARQILTDISMENKVTKELSEEELISLRDEVNKYMIEGDLRRFNALNIKRLKEIQCYRGIRHIQGLPCRGQRTKNNCRTLKGKKVTVAGKKKK >RHN47389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39380109:39380398:-1 gene:gene41982 transcript:rna41982 gene_biotype:protein_coding transcript_biotype:protein_coding MILIFGMLMLISLATAEEVGLKANLVQCLGKCGDDVLSCVTGCYSWKVGNFLGCAISCEGADVLCMTSCASTIMPPSARPVPLT >RHN81802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48979143:48980936:1 gene:gene5892 transcript:rna5892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L36e MAPKQPSTGLFVGLNKGHIVTKKELAPRPSARKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRAGGGAGDKKK >RHN42650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39985769:39989088:1 gene:gene49115 transcript:rna49115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase MARCH MDQGTSKGSGEMLDHVDKGVDKNLINLVEGVATDAGAGHSLGVRVSVCGEDRNSEETCNDLVLNEELEEKALEVRSQNIGGDPEKIDNELHSVDQGTSYNSLNRETLETCVVIDSSAQVERVTVNGDNRKLEAKTNESGLRKLSIKAPKGVSETDKNSCVIDMNCGTCEGFGENLDDEMICRICHLASGQPLEATAVGTPNIADKSTGLIMLGCACKDELGIAHSHCAEAWFKIKGNRLCEICGETAKNVSDVTANAFMEEWCESGFINNDSTSPRRLVGCWRGQPFCNFLMVCLVIAFVLPWFFRVKMF >RHN65302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1046835:1048052:1 gene:gene13127 transcript:rna13127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVLSSEGGDNERNNFASFPSLTKEIIYTKKKRVTKTLTSPSLHALPLPLPFLPFELIEEILSRLPVKLLLQLRCACKSWSSLISNPKFAKKHLSMSTRHVLHCISSSGGDILKSYPLDSIYTNATTTAIPQLEYSVHRCSNYFIGSCNGILCLAAEGYHTNLVTFRLWNPFIRKFKELPPLGDQQTSAYIIKMYGFGYDPVSDNYKVVTVLRVFDYSSHILVKSDEVKVYTLGINSWKSISVFPYSVFPVQQLSGKCVSGTINWLASKDSKQSKYFILSLDLMNESYQEVSLPNYGKVDACNFHLSVLRDCLIMFSGDVVWVMKEYGNKESWTKLFTISYNRDPHTIPYSCMKAIYVFEDGQVLLNIGGCRGKYIFYNCRNNTSKYAEFEPNPEVCVESLISLFS >RHN53372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1257056:1260885:1 gene:gene28273 transcript:rna28273 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFAPLSISSVGGSHVKSPELFSTKRNSALFGPKLAAVQRKSNLVTRRNLISPVRAEHRNNRGGDLVAGFLLGGAIFGTLAYVFAPQIRKSLLNEDEYGFRRARRPPYYYDEGLERTRETLNDKIRQLNSAIDNISSRLRGNKKVPAAAPPLESDPEFEGV >RHN52729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38183961:38184083:1 gene:gene37430 transcript:rna37430 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSFCSSFSCSSSLANLQRIESLPVQTLVVMYRLCNRDF >RHN69613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44936783:44945032:1 gene:gene18104 transcript:rna18104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSSTLLEATRAAHEEVERLERVIVKDLQNEPTSNKDRLYQSHRVRNMIVTITSTTERLIDIYDDKDNARKDEIAALGGQTATGINLFSAFYDRLKEIREYHRKHPAARVVDANDDFEDLLKEEPKIEFSGEEAFGRYLDINELYQQYVNSKFGEPIEYSAYLDIFSETDKIPRKMKTTRQYREYLKNLLQYLTSFFHRTEPLQDLDRIFSKVTTEFNENWATGRVLGWENVNQENGHVPAQHTAIDLDYYSTVEELMEVGPERLKEALAALGLKTGGTIQQRAERLFLTKHTPLEMLDKKHFAKGARGSEKNGVAAAPQDGNLKEIALMEAKMNKLCDLLDETITRTKDNIVKKQALTYEEIEAEREEEETQEDSESEDDEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEAKELWKKIQQRQGVNKWRPDLEEEYEDKEGNIYNKKTYTDLQRQGLI >RHN45434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20694401:20694655:-1 gene:gene39773 transcript:rna39773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SPX domain-containing protein MKFAKIYIDDIKEKQPGWRDKFLSYKELKRLIRLIHDDGSEEAEFICLLNNEIDKFNDFFIEKEEEFIIRYKVKYNHFPKYMTG >RHN53059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41611992:41613653:-1 gene:gene37809 transcript:rna37809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MRLISKSFISSLSILVMLSSATYAQPQSPKVIQSMTYLSKKFEVGPGEVANKQMFNIEFPKGHVGITSFDVDLVNEHGNFVPLHETYIHHWFILKYIIKKNMSVSQDPNDHTKPSGDLIYKRNDGTCNNGILPHQWSSGSETRGTSTKLPYPYAVEIGNHADITEGWEEQWLLGVLVIDTRGAENKKICVQCRCDQFNLPENFYDVTIGFHGKFTPEYKAGVLCCQDKFQCKMRKGFQAPRRNLAIRYNITWVNWDQYQIPVRFYVPDVTDPVRTNGSETIHDCQLKTSDPQRFLYLLHAEFTISKKNSTNLFHVQKASIPMKKGGYLIYASGHAHRGVINAILYGQDGRNLCTSTPTYGTGKESGNEKGYLVGMSVCYPKPGSIKIDDGETVTVESIYKNEFLPAVMGDMHFYLADELSHET >RHN69688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45546308:45547950:-1 gene:gene18193 transcript:rna18193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MMEEEENIHEGFKGKNVESMSCLETPQNSKKKNKKIENKRRFSDEQIRSLECIFESESKLEPRKKMQLARDLGLQPRQVAIWFQNRRARWKSKRIEQEYRKLKDEYDNLASKFQCLKEEKESLQSEVQKLSYMVETSHDGGREAKENSTEDGGSRNGYNNSRFEETKQRFSNEGLEDKVVIYSDDQNERSTTWTEKVEDRGNQLLRNDDHAEIPLTTTLEKWYNVDPCGMLDQSCNSSQWLDFWT >RHN62501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43895366:43896283:-1 gene:gene25085 transcript:rna25085 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSNSFKKYTDLKEQAYLDDVEAGNRETVNLDKFFEDVENIKEDMKTIEQLYRKLKEANEESKTVHNAKTMKELRARMDKDVEQVLKRVKIIKGKLEALDRSNAANRNIPGCGPGSSADRTRTSVVSGLGKKLKDMMDDFQGLRARMQLEYKETVERRYFTITGEKADEETIENLISSGESENFMQRAIQEQGRGQIMDTISEIQERHDAVKEIEKNLIELHQVFLDMATLVESQGQQLNNIESHVAHASSFVRRGTEQLQEARVQQKNSRKWTCYAIILGIVLVVVLLFPLLTSLLPHLLK >RHN62169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41362346:41366361:-1 gene:gene24715 transcript:rna24715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CBS domain-containing protein MSSIHLINTLPLSTPHRIILPHCHSLLPSSVLPKRHRFAQSARFSVVSSQTVNSVPRANGTYTVSDFMTKKHNLHVVKTTTSVDEALEALVKNRISGLPVIDEEWNLVGVVSDYDLLAIDTISGSSDASLFPDVDSTWKTFNEIQKLLSKTNGKVVGDLMTPSPLVVHESTSLEDAARLLLETKYRRLPVVDKDGKLVGLITRGNIVKAALLSKRSGERLT >RHN78029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11389123:11392201:1 gene:gene1543 transcript:rna1543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MSSSPIATPSPPPTTVSSPPYDRIKAVKEFDETKSGVKGLIDSGIKTIPSFFIHPPEILSDLTPRSDFPQPEIPTIDLSAVHHSRAAVVEQLRSAASTVGFFQVINHGVAPELMRSVIGAMKKFHEQPADERKKVYCREMGTGVSYISNVDLFASKAASWRDTLQIKMGPVPAEEKEIPEVCRKEVMEWDKEVVRVGDILLGLLSEGLGLGEERLTELGLSQGRVMVGHYYPFCPQPNLTVGLNSHADPGALTVLLQDHIGGLQVRTQHGWINVKPLDGALVINIGDLLQIISNEEYKSADHRVLANPSNEPRVSIAVFLNPGNREKLFGPLPELTSADKPSLYRDFTLNEFMTRFFKKELDGKSLTNFFRK >RHN42708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40356427:40367488:1 gene:gene49191 transcript:rna49191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MHILSIILPILSAHIQNDEVFLKTKQPNIGFCVLVLSLRMLSKIFFAFSIIAFICFCSLDYAESKLPQQEIDALEEITRTMGSNYWKFDGDSCEIKMLGLTQEPPEGSQSSIGCDCSSENDTFCHVVRISFKEYNLPGTLPPQLVKLPYLKEVDFALNYLNGTIPKEWASTELTSISLFVNRLSGEIPKELGNITTLRYLNLEANQFSGLVPSELGVLFNLQTLILSSNQLSGNLPGTFAQLQSLIDFRISDNSFNGKIPSFIQNWKQLQRLEMLGSGLEGPIPSNISLLSNVSQLKISDINGPSQNFPILSNMTGMIRLILRNCNITGEVPSYFWTMKQLGMLDLSFNNLFGEIPAIVHVGHLRFLFLTGNKLSGNVADSILMSGSNVDLSYNNFTYQGPGKSACGDYLNLNLNLFRSSLGTNALQGMLPCSNNFKCPRYSSCLHVNCGGKDIQVKENGENILYIGDGDVVGGAAKYYDDSENHWGFSSTGDFMDDGDYQNTRYSRSLSSSNMPELYTTARASPISLTYFHYCLENGKYTVRLHFAEIQFTNDRTYKSLGKRLFDIYIQGRLVQKDYNIENESHLAQKPRILSVYNVTVTDGILEIRLYWAGKGTTRIPVSGVYGPLISAFSIVSDSKHCSDQKNGRHKIVVGVGFGVTALCLVLIVVGILWRKGYTKGIIRRQKVIKGQDFQMRTFTLKQIRAATDGFSPANKVGEGGFGSVYKGQLYDGTWVAVKQLSSKSRQGNREFLNEIGMISCLQHPNLVKLHGCCIEGDQLILVYEYMENNSLARALFQNQLKLDWSSRLRICIGIAKGLSFLHEESRLKIVHRDIKANNVLLDGNLNPKISDFGLARLDEEEKTHITTRVAGTIGYMAPEYALWGYLSYKVDVYSFGVVVLETVSGKSNNNYMPSDNCVCLLDKALYLDRTENFMQLVDERLGSEVNPTETKNVVRVALLCTNPSPSLRPTMSEVVNMLEGRMSIPDVIPEGNTFCEDLRFKSMRDIHQNKEGHSVSTSQTDGSTGVRTYSTPSTFGNDIHEISSEP >RHN50424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7023476:7027136:-1 gene:gene34706 transcript:rna34706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rhomboid protease MAAFSVCYRTPYKNTCIVFGKDSIYKTATKLRVQCSSESTSTESEKKLRSLDSYFGKLQHKTKLHVSDASSEVMKVHQENGQSESNHELESLDEYLGKLNNGINQESLVASYVENSKDESLAPKQILSQDTQRTNFRKPNTYVNIRRIQGVSGSISAIDSHDQLNDTSSLYLIGILASVNIGVFLFEIASPIRNNNLELFSLPMLYGAKINHLIMVGEWWRLITPMFLHAGIFHMALSCWALVSFGPQVCKGYGSFTFFLIYILGGVSGNLASFLHTPDPTVGGTGPAFAIIGAWLMYQIQNRDVIENDDSDNLFKKAIIITALGFILCNLGPIDEWSHSGAAFTGMAYGFLTSPALQLDETSPGTDGEGLKLVTKYGASCKSLVIFAIFVVVLSSLLLFMDPPLNALASVVALEYMSIFG >RHN40852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23117430:23121247:1 gene:gene47087 transcript:rna47087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKRRIPFLLQQPSPSTTTYLSSINLLLKPPNHYNATPPPPSHRTFKSHSLPPPELIEPFSDLSDVVVSSKQPSPWFTQILNLLDNSPTMESNLDSFCHQFLITLSPSFVAHTLRSLNNHHTALRFFSWASHQRNYSHSLDCYVSIINLLLISPTTTTTAAALNIFSEFQRNRLPLTPPAANSLIKSFGNAGLVNELLFVWRGMNEQNIQPSLFTYNSLLNGLVGSCFIESAERVFDAMKEGRTKPDVVTYNTMIKGYCKAGKTRKAIEMVREMEVINLEPDVVSYMTVMQACYAEGDVDFCLSLYHEMEDKGFEVPSHGYSLVICGLCKMGKVLEGYALFENMIRNGCKGNKAVYTALIDCYGKSGNSDGALRLFERMKMDGIEPDEVTYSAIVNGLCKSGRVEEALSYFQFCNENGVVVNAVFYSSLIDGLGKAGRVDEAEKVFDEMAEKGCPPDSYCYNALIDGLCKCGRIDDALALVKRMERDGCEQTVYTFTILISELFRVHRNEEAVKMWDLMIDKGITPNVACFRALSIGLCLSGKVARACKVLDELAPMGVVLETAYEDMIGALCKAGRVKEACKLADGIVDRGREIPGKIRTVMIHSLRKAGNADLAIKLMHSKIGIGYERYRSVKMRVKFQTLVDS >RHN71761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2483192:2483689:1 gene:gene7395 transcript:rna7395 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSLIFCMLGLILIVHVSHVAHAQDSPADYVNAHNQARSAVDTNIKIPNIIWDNKVAAYAQNYANQRKDCQLVHSGGDRYGENIAESSGDMSGIEAVKLFVDEKPNYDYSSNSCVGGECLHYTQVVWRNTKRIGCAKVKCDNGGTFITCNYDPPGNYIGERPY >RHN52340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34116855:34122476:1 gene:gene37004 transcript:rna37004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase, Acid phosphatase MASFSAKSMTMFFLFTIIFGFGFLHASAQLQRFTHTPKTDGSLNFLVIGDWGRRGKYNQSEVAFQMGVVGEKLDIDFVVSTGDNFYDDGLTGEHDPNFEESFSKIYAAKSLQKQWYSVLGNHDYRGDVEAQLSPFLRQIDSRWLCLRSFIVDSELVEIFFVDTTPFVEEYFTVPEHHYDWNGVNPPQTYIANLLKDVEMALRESTAKWKIVVGHHAIRSAGHHGDTKELVNLLLPILQANHVDFYMNGHDHCLEHISDLSSPIQFLVSGAGSKAWRGDVRETTGNGVNFFYDGQGFMSVQLTRTDANIVFYDVSGQVLHKTTSSKQLHSSI >RHN45984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27536680:27539442:1 gene:gene40416 transcript:rna40416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MNNMDFSFTLFLLVLAFSFKSSSSFLSSLRKGSSISVENPQDQIISQNGMFSAGFTSIGENSYSFAIWFTEPTSLDLNKTIIWMANRDQPVNGKRTKLSLLNTGNIVLLDVSLNNVWSSNTASLKPLELHLKNDGNLVLRELQGINILWQSFDSPTDTLLPGQPLTRYTKLVSSISESNHSSGFYMFFFDDENILGLHYDGRDVSSSYWPSPWLLSWDVGRSNFNSSRNAVLDSFGSFHSSDNFTFSTSDYGTVLQRMMKLDSDGVVRVYSRTNVSQNWYVSWQAFTGTCLVHGICGANSTCSYSPKIGRKCSCIPGYRMNNPNDWSYGCEPMFDFTCNKSESTFLEIKNVEFYGYDFHYIEICNYSACLDLCIQDCNCKAFQHSYWEKKGLYRCFTKTQLQNGRFYPSFKGSTYLRLPKGSTFSKRESSDPSDDICSEKLQRVYVKESENHFVKFFLWFATAIGALETVFIFSVWCSLFRSRQKTYADQHGYHLAELGFRKYSYLELKKATKGFSQEIGRGGGGVVYKGILSDGRHAAIKRLYNAQQGEGEFLAEVGIIGRLNHMNLIEMWGYCAEGKYRLLVYEYMENGSLAENLSANKLDWSKRYKIALSIGRVLAYLHEECLEWILHCDIKPQNILLDSNFEPKLADFGLSKLQNRNNLNNSSVSMIRGTRGYMAPEWIFNLPITSKVDVYSYGIVVLEMITGKSPTTGFKIVNGEEESDGRLVTWVREKRGGNISWLEEIVDSQIGLNYDKSKMEIMAKVALDCVVDDRDSRPTMSRVVEMLQYHGSDI >RHN63081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48233675:48233887:-1 gene:gene25738 transcript:rna25738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan-specific endo-beta-1,4-glucanase MTIFYPFKNNGIFFMLLLWIVVSSVWGRPATFNQDFHVTWSEPHIKQIDQGRTIQLTLDQGSCKQHIYMS >RHN64084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56449032:56451669:1 gene:gene26864 transcript:rna26864 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nonaspanin (TM9SF) MESLHKFKFCVMAICLVFQSGYGFYLPGSYPHNYAIGDELSVKVNSITSIDTEMPFSYYSLPFCKPQGGVKDSAENLGELLMGDRIENSPYRFKMFTNESEVFLCQVDKLSDDQFKILKKRIDEMYQVNLILDNLPAIRFTKKDEYFLRWTGYPVGIKIQDVYYLFNHLRFNVLVHKYEETNVARVMGTGDAAEMIPPVKEGSEKPGYMVVGFEVIPCSIMHNANSVKNLKMYEKYPSPIKCDPSTVAMPIKEGQPLAFTYEVTFEESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLASYEELDKEAQAQMNEELSGWKLVVGDVFRAPSNPSLLCIMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYMILGIAAGYVSVRLWRTLACGDQKGWVSVAWKAACFFPGIAFFILTILNFLLWGSQSTGAIPFSLFVILLLLWFCISVPLTLVGGYFGAKAPHLEYPVRTNQIPREIPQQRYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVLILLVVVCAEVSLVLTYMHLCVEDWRWWWKSFFASGSVALYIFLYSINYLVFDLKNLSGPVSATLYLGYSLFMVLAIMLVTGTVGFLSSFWFVYYLFSSVKLD >RHN56100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27713768:27714124:-1 gene:gene31437 transcript:rna31437 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSVDWRLYIQRLVFFVYVVVSFPPSIAICFHSKVSYMKSFISAISSSLSVVRPPPESPVRDIFKLRNLLKGAGIIVSQQQLKDVPHPPDLVFLQPPPKTIIEQQVKPLLTKGLFNV >RHN60034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17313649:17321436:-1 gene:gene22229 transcript:rna22229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nitric-oxide synthase (NADPH) MALKTLSTFLTPLSLPNPKFPQIHSKPCLILCEFSRPSKSRLPEGTGAAAPSPGEKFLERQQSFEPTKLIPKQNNSKKKEKPLKASISVASCYGCGAPLQTSDNDAPGFVHSETYELKKKHHQLKTVLCGRCQLLSHGEMITAVGGHGGYSGGKQFITAEDLRQKLSHLRDAKALIVKLVDVVDFNGSFLSRVRDLAGANPIIMVVTKVDLLPRDTDFNCVGDWVVEAITRKKLNVLSVHLTSSKSLVGITGVISEIQKEKKGRDVYILGSANVGKSAFINALLKTMSYNDPVAAAAQRYKPVQSAVPGTTLGPIQINAFFGGGKLYDTPGVHLHHRQTAVVPSEDLSSLAPKSRLRGLSFPSSQVLSDNTNKGASTVNGLNGFSIFWGGLVRIDVLKALPETCLTFYGPKRMPIHMVPTEKADEFYQKELGVLLTPPSGREKAEHWRGLDSERKLQIKFEDAERPACDIAISGLGWLSVEPVGRSHRFSQQNAIDTTGELLLAVHVPKPVEIFTRPPLPVGKAGAEWYEYAELTDKEQEMRPKWYF >RHN77946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10738210:10743244:1 gene:gene1456 transcript:rna1456 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTFNLPITPSFSTPSFNNRKPTVSCSFSSQSLKCKRDYTSVMIVPTGTGAAIGGFAGDALPVARALSSVVDCLITHPNVLNAAMLYWPMPNALYVEGYALDRFAEGSWALQPVHQNRVGIVLDAGIEEELRIRQLQVADAARASLGLPVVEYTVTDTPLEVEKWIDPKTGKSTGRIKHPDSLLRAVQTLVNRSKVNAVAVVGRFPDDDTDDVDEYRQGLGVDLLAGVEAVISHLVVKEFQIPCAHAPAMAPIPLSLSLSPKSAAEEIGYTFLPCVLAGLSKAPQYLVMHSESMEKGCILASEVDSIVLPKDACGGEGTLAFARNAKNKPLIITVEENETVLDDTADKLGLEVLHVSNYWEAIGVIAAHKAGIDPFSLRRNKIHNIGSTSFMPVNGHSNTRERAVY >RHN41130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27289029:27290904:-1 gene:gene47420 transcript:rna47420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MLAASCGNFKEQLWQTIRTLAVGFILISGVGALIEDKGISKGLGMNEEVRPVLETNTKLSDVKGVDEAKADLEEIVHYLRDPKMFVGVGARRVRDLFAAAKKRSPCIIFIDEITQMLIGLVV >RHN46890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35590362:35591969:1 gene:gene41425 transcript:rna41425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MKFVFRYAVVTGANKGIGYGICKKLASSGVVVVLTARNEERGLEAVERLKNEFDFSDFVVFHQLDVDDPASVASLASFIKTMFGKLDILVNNAGVPGGKLIDGDALLRKRNGAEIDTKEIGYETYELAEKCLKTNFYGVERVTEALVPLLQLSTSPTIVNVSSRAGLLKNISNEWARIVFNDIENLTKEKIDEVLKEFEKDYKEGSLEIKDWPTFASAYTMSKAALNAYTRIMAKKYPHFHINSVCPGFVKTDMNNNIGNLSIDEGVETPLMLALWSNNGPSGCFFNKGEVISF >RHN41196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28076386:28076951:1 gene:gene47489 transcript:rna47489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP deaminase MNIEEEEVCKMIQECLDLGKKYVYKENVLPWKAEPVETNSDPFHFEPVEATAHHFKMEDGVVRVFASKTDTEELFPVASATSFFTDMDYILKRLFQGFIN >RHN67585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28414106:28417097:1 gene:gene15786 transcript:rna15786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphomethylpyrimidine synthase MASVHANVTSVVCKNGNHDSQLKFPNSVFLPRFDVVGRASNAWRKELAPSSIALVPRATLAFDPPTTNADKTKPKKYTVNPASPDFLPLPSFEECFPKSTKESREVVHEESGHVLKVPFRRVHLSGDESHFDSYDTSGPQNISPRIGLPKLRKEWIDRREKIGGPRFTQMYYAKQGIITEEMLYCATREKLDPEFVRSEVARGRAIIPSNKKHLELEPTIVGRNFLVKVNANIGNSAVVSSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAEDLTWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHVPMHKIPENMKKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKDGVIAYKIAAHAADLAKQHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDVRKYAEKHGYGDVEEALKQGMNAMSAEFLAAKKTISGEQHGEAGGEIYLPESYLSSKEG >RHN50295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5899274:5904037:-1 gene:gene34567 transcript:rna34567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MATNTRETTHQKKKHFSTLFPLLSLLTFTSIFFLLSQIRNPSSSSSSSSSIKAFSSIHNFQINNNNRHDSCDYSNGKWIYDESRVSRYDETCKEIFKGWNCIKGNKLNGREVVKWRWKGKGCDGVEQFDVVRFLTSHAHSSIGFVGDSLNRNMFVSLFCTLRSSISDGQVKKWRPAGADRGFTFLTYNLTIAYHRTNLLARYGRWSASENGGALESLGFKEGYRVDVDVLDSTWAQAASFHDILIFNTGHWWWAPSKFDPVKSPMLFFKKGQPVIPPLRPDQGLDMVLEHMIPYVEERARPGAIKFFRTQSPRHFEGGDWDQGGSCQRDRPLSAQQVEKLFSVKNNGTNVEVRLVNEHLDKALKGSGFVVLNITYLSEFRADAHPASAGGKKHDDCMHWCLPGITDTWNDLFVEHLNSIKHRS >RHN40869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23523384:23526965:1 gene:gene47109 transcript:rna47109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NTF2-like domain-containing protein MASQVIPGKVEGDKYRSFLDDEAHTTQWRHGGPPIYDVVNKLFEQGRTKVWPEGSLEETVQNAIKSWEMELSHKTRVQDFKTINPEKFKLFVNGTEGLTAEETLRLGSYNALMKNSLPEEYKYYKAEEETFESSHEAFRSAFPRGFAWEVIKVYGGPPEIAYKFRHWGFFEGPYKGHAPTGNMVEFYGFGTLKVDNLMKAEEVEIYYDPAELMGDLLAGKQTAEDNTKTSQGCPFQK >RHN76167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48189211:48189889:1 gene:gene12452 transcript:rna12452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative crocetin glucosyltransferase MTKTNTTNNGRKAIYNPILIHGTRSHDIIPFLALALNLEQKSKNYSITTIINTPHNIQKLQPSLPPNSYINFLTIPFISSDHNLPLNTENIETVPCNLVIKRIQTSLSLKPSFKNIIQNIITKQTQNQNHKLCIIGPVNLSDSWNIRYNMTVYAFCVSSMVIIDFFDRNNLRNWKSRSTKVVQDATTIRVVVAPTTKQAIHMCGNW >RHN55210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16139445:16140137:-1 gene:gene30355 transcript:rna30355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEMEKSVAAKIKKVRNDIPDDLVFFILSKLYVKSLNRFGCVHKSWSSLFKNPYFMSMFRKYLLCQNHSYYKDTSLLQLETVTIDYELKFVLYSLFGERCQNKTKLDWPNPFEEADPEFDMVGSGSINGILCLVSKSQPNNRVVLWNPTTDEFKVVLISLRESVRYVDVEITRHGFGYVSIGDEYKVIRQLMYNPKSNIDNDIDDLSLEDVSYELLWEIYSLRSNSWRKLL >RHN39571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8333903:8335733:1 gene:gene45608 transcript:rna45608 gene_biotype:protein_coding transcript_biotype:protein_coding MESYVAKMEGESHGAVGVLGGVAAVSVVAAAVVLTYKSYGIGQKVEGADAQKVEYGKDPFEDSLDNETKIFRRYMQNCYEENCRRHGPEKVFNKDNKKDKKNCVLGGGVAAVLAVAAIVVVLTCKS >RHN70504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51856861:51857373:-1 gene:gene19088 transcript:rna19088 gene_biotype:protein_coding transcript_biotype:protein_coding MCMQLFLDIQEYARNLSALGVEAVNIASFSSLWQSVAPADKQNTIKL >RHN45793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25640819:25641109:-1 gene:gene40197 transcript:rna40197 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVSVKFKDKNTFRRRDRVMIKSHELFYPPTLMHKAWYVPFEINRICKNNRVEIKDHTRWMTKVPIEEVMHVRDYITCLSSWREKPSAPGSEFNT >RHN64571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60115546:60116553:1 gene:gene27399 transcript:rna27399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MVFQSAYYVDEAIAAFFEIKGKSLKELSLCNLKVGQQTTLSFANKARNLHTLDLSWRQNLTDNEFGLIVDSCLSLRFLKLLGCLQVTNVFLKGHSNSELQIIR >RHN64791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61851377:61853533:-1 gene:gene27648 transcript:rna27648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific protein-tyrosine kinase RLK-Pelle-RLCK-XV family MGSSLSCCGSEKVDEVPTSYGVANNSWRIFTYKELHTATNGFSDDYKLGEGGFGSVYWGRTSDGLQIAVKKLKAMNSKAEMEFAVEVEVLGRVRHKNLLGLRGYCVGDDQRLIVYDYMPNLSLLSHLHGQYAGEVQLNWQKRMSIAIGSAEGILYLHHEVTPHIIHRDIKASNVLLDSDFVPLVADFGFAKLIPEGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLELVTGRKPIEKLPGGLKRTITEWAEPLITKGRFRDMVDPKLRGNFDENQVKQTVNVAALCVQSEPEKRPNMKQVVSLLKGQEPDQGKVTKMRIDSVKYNDELLALDQPSDDDYDGNSSYGVFSAIDVQKMKDPYKKIG >RHN72245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6074274:6080044:1 gene:gene7937 transcript:rna7937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MGDLEKMGRELKCPICLGLLHSAVSLTCNHLFCNSCIFKSMKSASSCPVCKIPFTRREVRPAPHIDNLVTIYKNMEAASGINLFGTQNPTATKSPDDEMQGGGDAESGEKGIGGTHENHAEEEKTPTRKRSRKKLKTSTISSEKLKTSRKSPASNRAKPSFPAKKRVQVPQDILSETPLRNLKTGGSITQVNKEGTQEASIKRNVSAIGSEKRDQVKEPFFWLREEQDGERLSAQTDEDQIIEGPTPIPPSFSDLKDADDESPLKQAPSDEVQNKPSVDLFDSEFFEWTQKPCSPELFSSPIKTQVVEDTDEIDENIEEWVAALQEKQTSVDACNTNFENPNGNQLAEALLPGLSSEIRSSDDLNGKKNSTKRSRKAREKSRQDHIRELNCLSDEINMDADITSKVIEEQSLDYKHKASNLKKSNKRGKKVSFVTSTESTPPIACTVSNILGVQNIGDRKTAKNSYTSESKQDNEMQFPEKIAGKRQVRRSGKQRLDVVDDPPEDLTSVQNQCKELAGSASSSLRLQMDSNRNTVNTRKRKSSLSRKSTPSVTERKSTKKSKLSPEFISRTKNGEEIKPTGSTNPGTDVRPLNDSSKEKHCSLTDQPVLRKCVSHAKEYQCAFCLSSEESEASGPMVHYFDEKPVTADFEGGPKVIHCHRNCTEWAPNVYFEDDNAINLEAEISRSRRIKCGFCGLKGAALGCFEKSCRRSFHVPCAKWTPECRWDMENFVMLCPLHAPSLLPCESSGSQHNSKKHTAKKVKICSRKHDTTSQSQIAHGSHKKIVLCCSALSVQEKEVVSEFERVSKVTVLKTWDSGVTHVIASTDENGACRRTLKVLLGILEGKWILSIEWIKACMKETRPVDEERYEVNVDIHGIKDGPRLGRLRVVNKQPKLFDGYKFYFMGDFIPSYKGYLQDLVIAAGGIVLHRKPVSADQNEILPTMNQHRTLIIYSLELPAKSNPLEKDAIFSQRRCDAEALARPTCSKVASNTWILNSIAGCKLQSLAQ >RHN64041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56124617:56126448:-1 gene:gene26818 transcript:rna26818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acting on peptide bonds (peptidase) MKNPNGTKPKVPAHSLSRFLYRSSSTVFTAATMEVSSLAFRNNNTISVSSFAEALILQSLIATAKSLAYLLIATGSLLTDVNHLISPMDGRFPLDQLSKGNHTCEENKDGSETEDDDDEDDDDDVNDEDDDNDEDFSGDEDDEDADPEDDPVPNGAGGSDDDDEDDDDDDDDNDDGEDEDEDEEEDDDEDQPPSKKKK >RHN80274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36732983:36733573:-1 gene:gene4177 transcript:rna4177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MYVLISSRHDHIFMIFFIESCVNNHKNHDEEEEEDNEKRDEPREDATEIGNRRPRGRPPGSKNIAQFARRRQSNVTLRQPSALGAVVALHRIEILSLTRKFLLGHASIGLIVYLDGGQGHVVKGFVVGTLVVVGLFMLIAATFTNATYQRLRLDNNDNDNNEKPSSVALCIVEERLVDRLRR >RHN71733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2308682:2310176:1 gene:gene7365 transcript:rna7365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MCVRVAHIWLIREKKVPTSIIFMNMLVVDEKGGRIHATSRKDLVAKFRSMVQEGGTYQLENAMVGFNESPYKVTSHKHKLSMMHNSNFTKVHSPAIPMNVFEFKPFNEILSSTVEEVSTDKTSILNVCYVIGHVIERGDIRETEKDGRKNRVIDLTLEDLENNRLHCSLWGEHADKIVTFYGNHDNDTPTILILQFCKTRMYLGAMGVANAFNGTKLILNGDFPDVALYMAISRVTSRGGLKILINDDDGDDTDVASVVYRKVFRNV >RHN75355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41528611:41529361:1 gene:gene11540 transcript:rna11540 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGLINIWIFLITSKFEDIPPLLLANLEPYQKFCHEKLLFFLYEMNYI >RHN54083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6803391:6820393:1 gene:gene29066 transcript:rna29066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate oxidase MGSRSTSVLSLLCLVLALVSVSLVQAEDDYKFYTWTVTYGILSPLGTPQQVILINGQFPGPRLDLITNNNVILNLVNKLDEPFLLTWNGIKQRKNSWQDGVLGTNCPIPPNSNYTYKFQTKDQIGTYSYFPSTKMHKAAGGFGGLNVYRRSVIPIPYPNPDGDFTLLIGDWYKASHKVLRQSLDLGKSPGFPDGLLINGQVHSTFTGNQGKTYMFRISNVGLSTSINFRIQGHTLKLVEVEGSHTLQNVYDSLDVHVGQSVSVLVTLNQPPKDYYIVASTRFTQTVLSTTSVLHYSNSRSSASGPLPAPPADNYDWSMKQARTYRWNLTANAARPNPQGSFHYGKIIPTKRIYLANSAPLINGKLRYAVNSVSYINPDTPLKLADYFNIPGILGDIQTTPSNGPAHIATSVLRTSLHDFIEVVFQNNENTMQSWHLDGYDFWVVGYGSGQWTDASRSTYNLVDALTRHTAQVYPNSWTAILVSLDNQGMWNLRSAIWERQYLGQQLYLRVWNAQRTAANEYDIPNNALLCGKALGHHP >RHN58201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44516884:44520058:-1 gene:gene33819 transcript:rna33819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MNMKKPIIVFVPYPAQGHVSPMQNLASVFVSQGFEAVIVLPQHVHKKINNNDDDDDDRIIKWVALADGMEEDSTTPDFFAIESSMESIMPNHFEEFLQNQNQNLDDVCLVVVDLLASWAIQVASKFGIPTAGFWPAMLASYLLIASIPQMLRTGLISDTGLPQHEGKITFVPALPVVSTEDLPWLIGTIGARKARFKFWMRTLERSRNLKWILVNSFPNETKVSISNSHSQSVLPIGPICSRPNDFTKTLSFWEQDLSCLKWLSNQKTNSVVYVSFGSWVNPIGESNLKNLALALEATMRPFIWVLRSSWRQGLPIGFLERIFKQGKGLVVSWAPQKEILEHNSVGCFITHCGWNSTLEALQFQKKLLCYPVAGDQFVNCAYIVEVWRVGLRLNGFGERDVEEGLAKVIEDKEIGRRLMTLYERIMGIQGDNKSGPFLLKSFVEQLAQENIYHSSKF >RHN76597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51526988:51528930:1 gene:gene12947 transcript:rna12947 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIYSTDQLLKILSGNIFFHFTFGDFGEKFTSFDVFNNHEDFSLVSHYFFKLNNMWMTNETHHGNFTFDLFHQPLFLELFFFDNFDSHVFVCGYVSSMIHFCEISLP >RHN65896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6296707:6297465:-1 gene:gene13789 transcript:rna13789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MCCCFFRFYIKKLMALTTSTLAEKKKVLFILGATGTGKTKLSINLGTQFPSEIINSDKIQVYKGLDIVTNKVQESERCSIPHHLLRIIDDPEYDFTMDEFCKHVLEALALIIENGRLPIIVGGSNSYLKKLVEDPSIAFHSTYDCSFVWLDVSLPILFPYLDKRVDEMVGAGMVDEIREFFVPGADNTKGIRRAIGVPELDSYFEMEMKKGVDDAEKEKILKEAIRRPNKTPSYWLKTNTRRSKIWLISLDR >RHN50327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6213443:6219183:-1 gene:gene34600 transcript:rna34600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-II family MKMFRGEVFLCFVTLFMFWSCANALLSPKGINFEVQALVSIKESLMDPHGIFENWDGDAVDPCSWNMVTCSPENLVVSLGIPSQNLSGTLSSSIGNLTNLQTVVLQNNNITGPIPSELGKLSMLQTLDLSDNLFHGKIPPSLGHLRNLQYLRLNNNSFSGECPESLANMAQLAFLDLSFNNLTGNVPRILAKSFSIVGNPLVCATEKQTNCHGMKLMPMSMNLNNTNYALPSRRTKAHKMAIVFGLSLGCLCLLVLGFGFILWRRHKHNQQAFFDVKDRNHEEVYLGNLKRFPLRELQIATHNFSNKNILGKGGFGNVYKGILSDGTLVAVKRLKDGNAKGGEIQFQTEVEMISLAVHRNLLKLYGFCMTTSERLLVYPYMSNGSVASRLKAKPVLDWGTRKQIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLLDHKDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGLRALEFGKAANQKGVMLDWVKKIHQEKKLDLLVDKDLKNNYDKNELEEIVQVALLCTQYLPAHRPKMSEVVRMLEGDGLAEKWEASQRADNTTKGKPYELSSSDRFSDLTDDSSLLIQAMELSGPR >RHN81463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46131973:46132809:1 gene:gene5510 transcript:rna5510 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLHLTESLVILKIATLYDSKNALPVPQLHRFLCPRRYVFPDERKGTL >RHN41594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31378814:31379928:1 gene:gene47930 transcript:rna47930 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVSSISVQGMITASNNQVNCFQTITLTNNVDITFFTTKTKTYLDST >RHN71768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2512444:2516112:1 gene:gene7402 transcript:rna7402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein TauE MRTKSIIFLFFITFFAFNPSNAKQTKPFSTILNINHVLDKISQLKNVTQGFHESQLQISVPLVVAGILCFIASSISSAGGIGGGGIFIPILTIVAGLDLKVASSISAFMVTGGSIANVICYMFTTSTKFGGKSLIDYDIALSSEPCMLLGVSVGVICNLVFPEWLITLMFAVFLAWSTSKTCKSGVMFWNIESEEIRKNIGVQEIEKGLLENEITMHKDNDGSKTVEENLVLVPQENSSKLCIPWLKLGVLLLIWFSFFSIYLIRGNGYGQIIPMEPCGVGYWIISSVQVPLAVVFTAWMVLRKESIQDQTLIPQVQCQNRNCPSNKLVFPLMALLAGMLGGVFGIGGGMLISPLLLQVGIAPEVTAATCSFMVFFSSTMSSLQYLLLGMEHVETALILAIMCFVASLLGLLVVQKVIRKYGRPSIIVFSVSIVMSLSIVLMTSFGTLKVWEDYKSGKYMGFKLPC >RHN66861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20434021:20437251:-1 gene:gene14971 transcript:rna14971 gene_biotype:protein_coding transcript_biotype:protein_coding MPREDPSLIPDLENRLIHDEMNYNRGVLDEEHSCLMSTMTDEQQQVYDKIMSRVNANTPDLFIVTSLYFHMDNYMFLSQELHPEKD >RHN58732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4353473:4357030:1 gene:gene20672 transcript:rna20672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-transporting ATPase MFPESDDGNNIELGATLLTTTRGSVCNKKWLQWLYKKPTTSRANYEESTSPLSSVELRVTNDIAGIVKEKDLKSLLEFGGVGRVCDVLRGQIHHSSAEFWFLWNEQKITRNLGSSFFDFLWYTIKDNRCTVSLLLISALFSLAIGYMEEGLKYGWHDGVAIAFSVLLMLAFSSITSFWRHRKMMNKPTKRKGKEVKFNVKRGEVSQSVDLDLSASDIVVGDMMFLSPHDEVPADGLLVSHGILVLAKGIKKEKVDRDDNPFLIAGSEVIAGYGQMIVTSVRNESDFAEMNCSMSSHFEKRGLLEKLIEKPISYLDKASLFIFTLVAFVVFIHQICEKDGDGDGLPDMKVSVGLLMELLENILLRPRGRISILACVFTAAILFVQHGMPRMVTFSLHYHINDVVPDEEAVFNDLSACTTMGLVTVICVDVSGRLISKPMEVSEIWMGEGETEICEVEGSETVVLDKLKEGVVLSIISPELSLSPRSSALVSWAETKCEMDTNSFIERFDIFKHNKLNSDKGGSGVLVKEVLGTEQVLHLHWSGSASTILETCSRYYDGQGECHAMGNQKIKFVQKIIEMEGSGLKPIAFAYRKTYLQVLEQDDLTLLALIGFKEKSRESIKSALQGVQNTGIKIKLISEDDIDLVEEIAYELGIEVPVGGHLEGKEFKDLHEGARFDEVDKAIAMGSFCAEDKLCMVNYLQDKGDVVAFIDQRLITRHASEVLKVADVGIVSLNSLRKKMDKGSCGITMTCFSALEPIVKAGRRKYHNIQKFIQLQLTVSISGLLITLITTIFTGNSPLTAIQMIWINVLMCLLGGLMMVMELSREEELAKQPCDRNQPIITMKILKNIVYQVLYQAFLCMILQFGGHITHSEKQVRKTMIFNTFLFCQLFNLLNNVYLLKKQGLKMIVQNLIFSVALGSCVVMQVLVIQYAKGLADCVPLNTAGWTICVLVSALSWVFEWILKSLPVIMHTNYATSSEPAGTELLFAPIMLQHQNLRNLPV >RHN73802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19988342:19992807:-1 gene:gene9668 transcript:rna9668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Pyruvate dehydrogenase (acetyl-transferring)] kinase MAAKKAIETFSKSLIEEVHKWGCFKQTGVSLRYMMDFGSKPTSKNLLISAQFLHKELAIRIARRAVELERLPCDLSQKPAVLKVRDWYLDSFRDIRSFSEIKNMNDEKEFTEVIKAIKVRHNNVVPTMALGVQQLKKDVDPKIINQDFVEIHQFLDRFYMSRIGIRMLIGQHVELHSPNPPPHVVGYIHTRMSPVEVARNAVDDARSICSRQYGSAPDVHIYGDPDFTFPYVPAHLHLMVFELVKNSLRAVEERYMNSDKDPPPIRIIVADGLEDVTIKISDEGGGIPRSGLRKIFTYLYSTARNPLDEHADLGVGDNVTMAGYGYGLPISRLYARYFGGDLQIISMENYGTDAYLHLSRLGDSQEPLP >RHN60360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25805996:25806604:-1 gene:gene22665 transcript:rna22665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MLHFQVKCTENSACSGSPVNVVITDNCPECESAHFDLSGTAFGSLANPNQADNLRNAGKINIRYTRYLGFKPGPYISRVCMCFFFFFISISMTNTTLIIPPIISTCRVACSFGNSIVFTIDNGANPYCFATEIEYENGNGDLVQVELSQSNTWHPMFRSWGSRWAFNTGSPLQTPFSIKLVETLRFQMYTIVFCDILCFLLF >RHN63345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50537607:50540141:-1 gene:gene26032 transcript:rna26032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MGILFSISSQSSSSPNPSLGELPESCIAVIMEYMDPPQICKLASLNRAFHGASLADFVWESKLPSNYHVIIQKIFDGSSFPSHLGKRGIYSRLCSLNTFDEGTKKVWLDRSMGKVCLSVSAKGLSITGIDDRRYWNHVPTEESRFSSVAYLQQIWWFEVDGEVEFPFPAGTYSLFFRIHLGKPSKRFGRRVCNTEHVHGWDVKPVRFQLWTSDDQYDSSQCFLKGPGKWRYYHAGDFVVEDSNVSTKVKFSMTQIDCTHTKGGLCLDSVFVYPSEFRKVKEFLNYS >RHN67485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27635810:27638318:1 gene:gene15678 transcript:rna15678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MNGRNSHQVSDSASGTYDDSDIYDDDGRAKRTGNVKSAVAHIITAVIGSGVLSLAWSISQLGWIGGPLALFSCAIATYISSSLLADCYRHPDSVTGKRNHSFMDAVRVNLGNKRTYVAGFLQFLSLYVTSVAYVLTTATSMKAIMRSNCYHKEGHNAPCSYEANIYMVLFGVVQIVMSFIPDLHGMTWVSIIAALMSFTYSFIGLGLGIATVIKNGKVMGSLTGVPTASVADKIWSIFQAIGDISFSYPYSMLFLEIQDTLESPPAENQSMKKASLVSILITTFFYICCGGFGYASFGDATPGNLLTGFGFYEPYWLVDIANVCIMVHLVGGYQIYSQPIYSTADRWYTRKFPKSNFVNDFHIVKLPLLPAFEINLFRFCFRTAFVISTVGFAILFPYFNSVLGLLGAINFWPLAIYFPVEMYFVQNKIGAWTRRWIVLRIFSFACFLVTIVGFVGSFEGIVREKIREK >RHN51784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24811623:24812060:-1 gene:gene36302 transcript:rna36302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MKFREIDTQEEFEEILHKIKQEPFDCSKKDNCRCDDPADIEYDSTRTWVKYKPNIPKTPKGFKRISVLRDDYSKLDSYYITPTGKQLRSRNEIAAYLKDHPQPNGVSALDFDFSSPKVMQDTIPDIIVKQKDSANKKVKIAKDEV >RHN66568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14115149:14116052:1 gene:gene14581 transcript:rna14581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol synthase MVEPIIPTIDLFPFLKENKDGNKKKAMETITKACSEYGFFQIVNHGVSLDLMKQAMELSKTFFNYSDEEKNKSSPSSNAPLPAGYSRQPSHSPDKNEYLLVFPPRSNFNVYPQNPPKLRRDLYNLLNMTGKNSKF >RHN82762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56181940:56184092:1 gene:gene6947 transcript:rna6947 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQQQNLPKVLQQKGSEADDDKPFSIRRYVLASRHKSIFHSWPFPEKYLQICLNHGLKDILPPFESLKGCSKLLQHSRNDHDTKNLAEFCKTKSVQQHVIKQNQHNIKNECDLLSHGGEGSYKLVTKESSSKHVSNCSDYVKLSGSADHMFNLPSSSIHGRKRLPSLVSSKATKDKCRKRKGRCKKRSMVDILAVARHCTLEEICRINKFCYTTETVTEAYQHQDISKSGARGEDLVRKGHEAAITDIKGKGRLLVKFKLNTCNVNRNIN >RHN78164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12406495:12407985:-1 gene:gene1689 transcript:rna1689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MRCKSKGKKGEVALKLDISKAFDSVSWSYLQVILEKLGFCSQWVTWMMMCITSVEYHVIFNGDRIGPITPGRGLRQGCPLSPYLYILCAEGLSATIKNHELRGKLHGTRICRSAPPISHLLFADDSFLFCQATTSETQALKEILLHYEHASGQAINYNKSAIAFSTNTQHDLISTITNILGVYGSIGSGKYLGLPSMVGRNKKAIFSYLKDRIWKKCQSWNARSLSRAGKEILIKSVAQAIPSYCMGAFLIPGSLCEELERMMNSFYWGSKKNGRRGINWMRWEKLTLHKSLGGLGFRNLEAFNLSMLGKQSWKLMTDSNSLLTRVLKAKYFPRRDFLEAPLGHNPSYTWRSLWSTQSLLTLGYRWKIGNGSKINVWSMPWIRNLPSHKPSTPPPPNLEELTVNQLMNLDLLSWNYNLVHSIFDPQVAAAVTSIPLYTRPMEDTRLWKVSTDGKYTVKSAYRICADLLHSSTHAPSNPP >RHN58021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43158520:43159183:1 gene:gene33626 transcript:rna33626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative organ specific protein MKSIQALFLVFSLLLVANLSYARKDLGDYWKNKMNEQPMPEAIKNLIQVPKALDEGKEDHSFTTDFDVNPNIILYHTHVHQDEKPFQHAARKMEPLLPKRG >RHN40986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25404743:25405706:-1 gene:gene47249 transcript:rna47249 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQNTPQNTSKHNIETIQQQADQASGNGSLSSQAQQPGKSHACSCRAQGSSPTPTKLMEYPRPAKS >RHN56480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31157908:31162530:-1 gene:gene31888 transcript:rna31888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSHFGRQGPPDIADTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPRDRRTGESRGFAFVRYKYADEASKAVDRLDGRMVDGREITVQFAKYGPNAERIHKGRIIETSPRSKSSRSRSPSRRRHHDDYRDKGYRRRSRSRSYDRYERDRYRGGRDRDYRRRSRSRSASPDYKRRGRGRYDDERRSRSRSRSVDSRSPARRSPSPKRSPSPKKSISPKKSPSPSPRRESPDTRSRDGRSPTSRSVSPRGRPEASQSPSPRNSDGDE >RHN40167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13817450:13819030:-1 gene:gene46281 transcript:rna46281 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MADVLLGTVIQNLGSFVQQELSTFLGVGELTQKLCGNLTAIRAVLKDAEEKQITSHAVKDWLQKLTDVAHILDDILDEYSIRSKAHGDDDKWITRFHPKKILARRGIGKRMKEVAKKIDVIAEDRIKFGLHVGVMEHLPEDMEWRQTTSIITKSKVYGRDKDKEQIVEYLLRDASNREDISVYSIVGLGGYGKTTLAQLVYNDENVSTHFDLKIWVCVSDDFSMKKILHSIIESETGRNPNLMSLESMQKKVQEVLQSKRYLLVLDDVWNEDHVKWEKFKFLLQCGITRKGSSILVTTRLEIVASIMGTHPAHHLVGLHDEDIWSLFKLHAIGPNGEERAELAAIGKEIVRKCVGSPLAAKVLGSLSRFKSEEHQWLSVKESKLWNLSEDNPIMSALRLSYFNLKLSLRPCFTFCAVFPKDFEMVKENLILLWMANGLVTSRGNLQRSMLVMRYGMSYAKDHFFKKLNQMW >RHN63461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51457039:51457674:-1 gene:gene26160 transcript:rna26160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain-containing protein MKFSQFISGVGMPVSFLYPYVIDKSAKQKYHMSLVISVQVKCGSNFKRFNFRVDENNQMDLSMVVLRAKIRSVFNFTADTNFSLKYVDEEDDTVYLVDDDDLHDVVRQKLEMLRIEVYGNGFLPLEFSLWKSKISKSVWEFILKEEVLAFGCAFAGGLCSALIAIMLTKPKVESILPTQPVEPVEPAQPIQPVESFIKPAVRTGLFRYGQK >RHN80191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35998738:36001765:1 gene:gene4089 transcript:rna4089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSLRSVGSYLATTARHLSTEPARRGVTAASSSSGGDTLGRRLLSLVYPKRSAVIAINKWKEEGHTLPRKYQLNRMIRELRKNKRYKHALEVCEWMKLQNDIKLVEGDYAVQLDLITKVRGLNSAEKFFEDLPDKMRGQPTCTALLHAYVQNNLTNKAEALMSKMSECGFLRSPVPYNRMMSLYISNGKLEKVPKLFEELKVNTSPDVVTFNLLLTACASENDVETAERVLLQLKKAKVDPDWVTYSTLTNLYIRNASVDDCLEKAASTLKEMEKRTSRETRVAYSSLLSLHANMGNVDEVNRIWGKMKACFCKMSDDEYVCMISSLVKLGDFAGVENLYKEWESVSGTNDVRVSNLLLTSYVDQGQMEMAEIFCNQLVEKGVCLSYSSWELLTRGYLKKKDVKKFLHYFGKAISSVKQWIPDPRLVQEAFTVIQEQAHIEGAEQLLVILRNAGHVNTNIYNLFLKTYAAAGKMPLVVAERMKKDNVQLDKETHRLLDLTSKMCVSDVSGILS >RHN45470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21241701:21242539:1 gene:gene39815 transcript:rna39815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin MVLHNFGDKLYSGLVATMTSHLQEIARSLEATQGSSFMEELNTKWNDYYKSLPFLSDILRYMERTYIPSTKKTPVYELGLNLWRENVIYSNQIRTRLSNTLLEFVFKERAGEDVNRELIRNVTKMLMDLGPSVYEQVFETPFLQVLAESYKAESQKYIKCFDCGDYLKKVERCLNEETDRVHYLDPKTEKKIINAIEKEMIENPMLRLINMENSGFVNMLCGTKYEDLERMYNLFRRVPNGSCSIFVEALG >RHN40525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17182248:17183553:-1 gene:gene46685 transcript:rna46685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MVLAGKLSTELGIKTPVDKFFKLFASELHEVQNISERVHGAKLHEGEDWHHNDSVKHWTYVIDGKVHTCHESIEVDEQNKKITFKLFGGDIDEHYKVFNLIIQVIDNGDGTGAAKWTVEYQKINEDIDPPNGWMDLLGKVTREVDAHLVKGTNIAL >RHN44818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8804214:8806050:1 gene:gene38983 transcript:rna38983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MLIIKGWLVMLLRIRLPLTQPTLYKTFIATDAKRLIGRKYSDSIIQNDIQLWPFKVLAGSDDKPEIIVKYKGEEKHLCAEEISSMVLKKMREIAENFLESPIENVVVTVPAYFNDSQRKATKDAGAIAGLNVMRIINEPTAAALAYGLQKRANCLEERNIFIFDLGGGTFDVSLLTIKNNVFVVKATAGDTHLGGEDLDNRIVKYFVDEFKRKHNKDISGNPKALRRLRTACERAKRTLSFDIEAAIDIDALYEGIDFNSSVTRAKFEQLNMDLFEKCMETVESCLTDAKMNKSSVDDVVLIGGSSRIPKVQELLQHFFNWKDLCVSINPDEAVAYGAAVKAALLCEGTKSSLDLVLQDVTPLSLGKSIRGDVMDIVIPRNTPIPVKKTKNYVTIEDNQSVISVRVYEGERLKANENNLLGLFDFAIPPAPRGHIPMKVCFSVDVDGILNVSAKEDTCGNKQDITIKNENGSLSTDEIERMIQEAENFKAEDMKFMKKVKAMNALDDYLYNMRKVMKDDSVTSMLNPIDKIKINSAMMKGKRLIDGNKHKETFVFVDFLMELESIFESVLNKIQIGCSDEESDSDS >RHN75153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39816027:39816275:1 gene:gene11315 transcript:rna11315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MESKIGVAVFSPRYCESYFCLHELALLTALKKKVIPIFCDVKPSQLRVVKNAKWSEEELRRFRWALDEAKNTVGLTFNSSKG >RHN52157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32103702:32107179:-1 gene:gene36787 transcript:rna36787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLFSFSIYYTIFIFFFVKLGLNTVTSYTCTSSFSENLITKLFSNSTLFWDTTMFHSSSSMLLRSVFRVSVPKKFHPFQFPENTHFYFIHSFSTSTTFHNNNDVVDAVSLFNCLLRQNPTPPDMEFGQILGSLVKSKHYHTVLSLFQKMEYRGIKPNFVNFNILINCFCQLGLIPFAFSVLAKILKMGYEPDTITLNTFIKGFCLKGQIHQALNFHDKLVALGFHLDQVSYGTLINGLCKVGETRAALELLRRVDGKLVQLDVVMYSTIIDSMCKDKNVNDAFDLYSEMVSRRISSNIVTYSALISGFCIVGKLKDAIGLFNKMTSENINPDVYTFNILVDAFCKEGRVKEAKNGLAMMMKQGIKPDIVTYNSLMDGYCLVNEVNMAKSILNTMSHRGVTATVRSYNIVINGFCKIKMVDQAMKLFKEMHHKQIFPNVITYNSLIDGLCKSGRISYALELIDLMHDRGQQPDIITYSSILDALCKNHLVDKAIALLIKLKDQGIRPNMYTYTILIDGLCKGGRLEDARNIFEDLLVKGYNLTVNTYTVMIQGFCSHGLFDEALSLLSKMKDNSCIPDAITYEIIICSLFDKDENDKAEKLLREMITRGLL >RHN38510.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:294687:294995:1 gene:gene50621 transcript:rna50621 gene_biotype:protein_coding transcript_biotype:protein_coding MITIRYAAEKIKAEKRKARHKQLSWFLPQIGSSPVPLALPRRFHYNHKDYKCSILSKYETSQKCSSTHARVFQCSSTKQESSNAQARRQESSYQTKNTMKYV >RHN74292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31568160:31569917:-1 gene:gene10330 transcript:rna10330 gene_biotype:protein_coding transcript_biotype:protein_coding MIVQSQISRQTPQNYRHPSYLPENPAVFSQLPTFNGHPPCPMNYPGRIKWNGSKPERARMDHNLSGPGCPFGNPNGSSGKVRRFNYPKRRFNGGGRPSAPFAPRNTTSFLIRAKKTGGIASLVSPCALTPAILTTPSLSPSTEVVVEMAKEKWGVDGYGTMKGLIRLRSGKENSGENNGGGVLEVEKRLNNDLSRFEMIYPSSGDEHSLENRVDEQDLQITHLEEQNLSFKERIFLMERELSDLRQRVVCLETEGNGAGDRESENFNGIDVCSEKSVGNGDH >RHN46994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36345139:36347147:1 gene:gene41539 transcript:rna41539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAKKYEGPAIGIDLGTTYSCVAVWQENINRAEIIHNDQGNGTTPSVVAFTDSLRLISNAAKNQAAANPTNTIFDAKRLIGRKYSDSIIQDDINLWPFKVVAGTDDNPMILVKYKGEEKRFVAEEISSMILSKMHDIAEKFLELPVKNAVITVPAYFNDSQRKATKDAGIIAGLNVMRIINEPTAAALAYGIQKGGNFVGKRNIFIFDLGGGTFDVSLLTLKDDKFEVKATAGDTHLGGEDFDNRMVNYFANELKRKNNLDISGNPKALRKLRTACERAKRTLSFDIETTIDVDAICQGIDFCSPVTRAKFEQINIDLFEKCMEIVGSCLRDANMVKSSVDDIVLIGGSSRIPKVHQLLQEFFKGKDLCMSINPDEAVAYGAAVQAALLIEGIENVPDFILQDITPLSLGISTKGDIMSVVIPRNTPIPAKMTEERCKTSVDNQFGVSINVYEGERIKASENNLLGLFSLLIPRAPRGLRIKVCFAIDADGILNVTAEEETTGNKKEITITNVNGRLSTEEIERMIQEAENFKVEDLKFQMRARAMNALDDYLYKMSKVMEDNNVSSMVTPADKTKINSAIMNGKSLIDNHGNQHKEACVFVDFLKELQGIFEAMLNKINKGSKIKLEQ >RHN56887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34478877:34483070:1 gene:gene32351 transcript:rna32351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator Znf-B family MQCMEYPYRAFFLPLAVLNKIQSFVFLMARLDEQVAQPEVHQPKWLQDFLGKKFFRACSAHSDRRNELNIYCINCKESACQYGLSSGFHHDHRILKIYKYMHRDVVCQTAMQTYINCSKIKQYKCNNRQVLHRLPRCGSTLDDTSSCSFGSRNSNGANSYQYCSIACKYKDMSRKSEDSIPTRESQGETSEPQKRKRKGTPHRAPFF >RHN70716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53410569:53414075:-1 gene:gene19328 transcript:rna19328 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGSRRDEGSLVISNTNVFAALDTLKKKKKSDKDKKNKGSSKSTKSESESQAFWAPAPLNATSWADVDDDDDDYYLTTAPPQFSDPQPSEDKPEHFEDTESEEDILDDGDEEEEEQDHEPEPEHAVKTEPEVKKHAEVPVMPKEAERQLSKKERKKKELEELEALLADFGVTQKESNDGQSQDESQGVPQDKKGVEGDVDGEKKEIAPESKNAKKKKKKDKASKEVKEANGHPNSSETNNGPDMATGTENSEEDLSVVDVKERLKKVASMKKKKSSKEMDAAARAAAQEAAARNARLAASKKKEKNHYNQQPVR >RHN46843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35260944:35265310:-1 gene:gene41377 transcript:rna41377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDTSNPTLDSTAGDTEPFLRRTLRRTIHHTPPLRTATHFFRRASSHRMMLREPSVRVRERAATEVENRQREWAYSKPVVALDIAWNLSFLVVSVVVLGLSSEEEPCVPLRVWILGYLLQGLVHSVCVVLEFRRRRRGSYLEDDDSVLENGLRWSFSSESDSDFASEHESEENSIIKHIESANTILSFIWWILGFYWVTVGGQSLTKDSPQLYWLCITFLAFDVVIVVICVAVACLIGIAVCCCLPCILAILYAVADQGATMEEIDQLPKYKFRMIKESKEEGDAQESSRGVMTECDNDTASEHVIALEDAECCICLSAYDDGAELRELPCNHHFHCTCIDKWLLICATCPLCKFDILSTDNPNQEV >RHN74630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35329955:35334462:1 gene:gene10733 transcript:rna10733 gene_biotype:protein_coding transcript_biotype:protein_coding MHMICAVQDCIAYDSSYEMKVQYDGMWDDESKIEDELHILTVLHLLLISCITFSN >RHN70874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54694669:54697442:1 gene:gene19505 transcript:rna19505 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSTWYQSMIGIHLEQFFPLHFLGKVCFSRAIFLFFLILIFPLFSLHFRHFPFSNSRENIDSSFVLRFSSLSSTFVLDFHSFLSVSINSILRFCFHRDLFSSSS >RHN72924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11892661:11894250:-1 gene:gene8690 transcript:rna8690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MAFTYTKLALLSIISFISIFPQTYTSHDIESSFLQCFSPGLKNSNSTTKVIITQNSSSYAPLLQSSIRNQRFLENSVPKPNLIVFPNDLFQIQTTIICSKKQGLEIRIRSGGHDYEGLSYVSNVPFLIIDLTNLRSITIDIKEENAWVQAGATLGELYYAIANKSNVHGFPAGSCPTVGVGGHFSGGGFGTLFRKYGLAADNVIDAQMVDVNGKILNRKLMGEDLFWAIRGGGGSSFGVITAWKVKLVQVPSIVTVFNIPKSLGENATTLFMKWQIIANKLPSELFLHSVIGLAANSSSDGGKTVLASFTGLYLGKSDNLLPSMQNNFEELGLQHDNCTEMSWIQSVLYFAGYSIHGSLEVLLQRNTTLSSFKAKSDYVTDPIPMSGLEGLWNMLLEENKPTLIMTPYGGRMGEISESETPFPHRNGIIYGIQYLINWDSNEETPKHMDWMRRLYAYMTPYVSKCPRVAYLNYRDLDIGVNSGNASYEEAKSWGMKYFKSNFERLTLVKEEVDPNNFFRHEQSIPPLSY >RHN39609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8650330:8655453:1 gene:gene45648 transcript:rna45648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flagellar calcium-binding protein calflagin MVVAAGSKFSLRRGNSGSCSSNNVVINEELSRINNKSACFSQDPSCVSFTTFNILAPIYKRIDPQNQGLRESDFRSRWLARNQRILDSLLSESSSIMCLQEFWVGNEELVQMFEERLGDAGYQLFKLARTNNRGDGLLTAIHKEYLSIVNYQELLFNDCGDRVAQLLHVRSVNPILQNQKDSVHQEFLIVNTHLLFPHDSSLCIVRLDQVYQILKYVEQYQKENRLKPMPIILCGDWNGSKRGHVYKFLRSQGFVSSYDIANQYTDSYADAHKWVSHRNHRGNICGVDFIWLCNPNQARKPLKTSWSEAVFSILKFQLRKASLSEDDAFTFLKGDNYADSSVTYFSFSEALRQVKLFGVPYGLRFQQLQDLWNQADVDGNGVIDFEEFKQKIWNSTCSEHVYENVNVYVKDSNTEQEQETIGFKVKNAMLFPREVEKGLWPEDYSLSDHARLTAVFSPAKMSCSSL >RHN49335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54088613:54090370:-1 gene:gene44153 transcript:rna44153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative von Willebrand factor, type A, copine, protein BONZAI MVAIDFTASNGDPQKSDSLHYIDESGRLNSYQKVIMEVGEVIQFYDSDKLFPAWGFGGNVHGGSVSHCFNLNGGAPGSSEVEGIEGIMDAYGSALRSVTLSGPTLFGPVINLAAQLAADSLSSYNSSKYYVLLIITDGIVTDLHESINAVVNASDLPLSILIVGVGLIPPAWRYWILIMDLA >RHN47778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42478341:42480321:-1 gene:gene42419 transcript:rna42419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MKFINPKNLSPKRLFRKKEKSSVSRSDPLSFGSSSSSDESLHKPITAGSQTPTSVLPEVSGEWSDITVDVQCELAQAFRLIDRDNDGVVSREELEAVLTRLGARPPTPEEIALMLSEVDSDGKGCISVETIMNRVGSGSSSGSDPNPEEELREAFEVFDTDRDGRISAEELLRVFRAIGDERCTLEECKRMIAGVDKNGDGFVCFQEFSLMMDLQR >RHN57386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38607125:38607940:-1 gene:gene32925 transcript:rna32925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MSIDFKRNSVFNGILCLESEFYGKRRVVLWNPTTNEFRLLPLPEKNPAWKLFADHYQIGYDHIKDDYKMIRCTRYSPKIVPIYHVPSEHLWEMYSLNSNSWKKIDADVPHSYCSIEHAYLNGVCHWLDKTETDVYLVSFDFCKESFITTPIPSYVYDSLDFHLVRRRLMVLNGSIAFMVHDTEASTFHISILGELGVKESWTKLFVVGPLPCLGHFVGAGKKGNILLRKKDSELAWFDLITGMIGVTTERFY >RHN49901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2142782:2145263:-1 gene:gene34129 transcript:rna34129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MEIHKNTNKKWGGSKKESKSLEPIATTTPKMKWKKMMIFFNTTKATQNQNPPQEFLCPISGSLMSDPVIVSSGHSFDRTSVQACKNLNYTPQLADGTTPNFTTLIPNLNLKSSILKWTQTQTKMQTNPNLTTTENLVLKLMSSKQHEQNQNNLVLKLMSSKQHQRNQNNLVSNKNDQEEETRPISMLTPRKTSYSSSEESIATVTSSSSTRTTPPQLQSFCYSSPSSSELEPSTTPEEEEIVTKLRNHELVIVEEGLISLRKITRTNLESRVQLCSNRVLCFLRSLILSKNEVVRVNALASLVNLSLEKVNKVKIVRSGIVPPLIEVLRFGSCESQEHASCAMFSLALDDDNKTAIGVLGALLPLLHALKSESEKTRHDSGLALCHLSLVRSNRAKMVKLGFVSVLLGMVKSGHMMDQVLLMLGNLGFGSDGRAAMLDAGVVECLVGLLCGNELESESTKESCVAVLHALSHGGLRFKAVAKEVGVVEMLQKMEKMKSEKAKEKVRRILEVMREKEVEEEEVDWEELLDSGFSGRTMNRLNSGLDDLSVKSDEL >RHN71693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1957976:1960090:-1 gene:gene7319 transcript:rna7319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MDGSNRGEAERWLYTANKLLSARDLHGARSFAIRAQESDPRFEATELLLAVIDTLLAGEARIKEQIDYYAILQILRYTQNIEYIADQYRRLAILLDPNRNPFAYAAHAFSLVHDAWSIFSNPHKKALYDEQLHFLTQPPPPPQPQPPPPQPQQQPQPIQFPLQQQQQPPPQHFQPPPSVQVNDNYNHHQQQRKNQNPRSTNESVGVFEEQNNGPSHGNADQSTGPRPRPESAGAKPSETDGQTFWTACPYCYGMFEYPKVYVDCTLRCQNCKRGFHAVVVRAPPEVTEEDGGEGEAGGYFCSFGHFPLGFSGNFKDINGSSSEWNPMSALFHCPMNGAKKNARKGPVSYYDHDTCAAFAELSDDTDDDDSSDGDWRGTKGSRMGRRRRRRRRSEAFGGGADVERRPIERPRRGVQQNGNAENDNGGGDDIVVDGEAVDATSAPAMVSNVEAGKKSVVGGSRRKGAGNLGKLDLNVEFSNEVEEASASGVRQGNVNGTGNAEDNIEGIGFFEGLDEFLNSLPILNVVADDKVKCH >RHN71344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58409848:58413042:1 gene:gene20017 transcript:rna20017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling SWIB-Plus-3 family MQAQVDFNDKNSWEYLFKDYYVDLKGKLSLTFDELAQAKNPWKGSGKLPSKEESPDELFDATNDRGSDSDSPYENVDLSRSKKRKPKKRAKSRSKEGKSYSASSTEESSEWASKELLEFVMHMRNGDKSMLPQFDVHALLLEYIKINKLRDPRRKSQIVCDARLQNLFGKPRVGHFEMLKLLESHFLLKEDSQAEDHQGSVVDTEVSHLEGDGDDDTYIKVGKDKKRKSRKKGDERGLQSNIDEYAAIDNHNINLIYLRRNLVEDLLEDTDQFHDSVVGSFVRIRISGSGQKQDLYRLVQVAGTCKTAEPYKVGKKMTDILLEILNLNKTEIVSMDIISNQEFTEDECKRLRQSIKCGLINRMTVGDIQDKALTLQAVRVKDWLETEIVRLSHLRDRASENGRRKEYPFFIRKS >RHN53124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42169234:42173978:-1 gene:gene37893 transcript:rna37893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative saposin B type domain-containing protein MKGVFWFLVVSVVIASWIPLSHCAKKPVGIARKEDVPYIKCQVCEILAKQLYQQVQSKKAEISPKKISEYQIIEIAENVCNLKKVEADWILRIDIVEKADRLELEEHDSEGQCNSECKTVERACQEVMGYSDTDVAEYLYSSKPDIDSLTNYLCKDLSKACNTKPPPVPKDRTPGEPFVAKSSKEAEMEKLLKSMEGMPGAPGMKMYSRDDLMKKNFGAENEDDDEDEDEEEDEADFPSKLGKILKSKENEKGDWKQKIRKGIVDTSTTLKKHATKVSNHIQRWWKGKKTTSSKKNSKSEL >RHN70490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51769236:51770808:-1 gene:gene19072 transcript:rna19072 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDQTLVCVKQVKQEVADEWDESMPLPGDILEGFSEHDVDDADKSFVSVKTNSEFSSQLGKINRRVESIWIQVRRGDGLLKLQTCIVQQKVSVLRRKYTIQATTDHRHIADLADLTLKQCIELQVMTRRVMHIQARRFHEDAIKYDWKMKVGSYLPHQSSSVVSSILFMPLVSENCINTTTARCMTWFSAAISSGVPLVFVNVQTELIPTTLVCLLFL >RHN43104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43230880:43237112:1 gene:gene49629 transcript:rna49629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine desulfurase, Selenocysteine lyase MLTTVEQTWLALKPIFSELCITPSRNKVNNVSTETSPPDFCNIHSDSFEDLVEIGISLPCNESVEEKLCWLRSQIIGNDVEFNSPFGTRKLVYSDHTASGRSLHFIENFITKHLLPFYGNTHTCESYVGNRTTKMVHEATKYIKKCLGGGEDDALMLCGSGATAAIKRLQEVMGIVVPSILRERMLRCLSEEERWVVFVGPYEHHSNILSWRQSLAEVVEIGLDDQGLLDMEALKLQLEAYKDTNRPLLGSFSACSNVTGIYSDTRAIARLIHQYKGFACFDFAASGPYVEIDMRSGKIDGYDAVFISPHKFLGGPDSPGILLMNKTLYMLRFSPPSTCGGGTVNYVNGFNEKDTLYLEDIEERENGGTPPIIQTVRAALAFWVKEYINYEEIDRREQLYINKALKKFIPNPNIEILGNISTKRQAILSFVIYSTINRSERKTKNKRGKIINGSFVATLLNDLFGIQSRGGCACAGPYGHDLLNINESQSLAVRSAIQEGYVGVKPGWTRVSFPYYMDEEEFEFILTSIEFVSIYGQRFLPLYNFNLKNGNWRIKKQKLETLMKENNCYFKETKEKTNNDFSKADGEYNVRIKSVGKLRRKSFVAAKCIASRLPKFPSQSIAYPDVDPNVLHFIV >RHN46444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31775411:31779053:-1 gene:gene40929 transcript:rna40929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGFILKRRKKEIEEKGTIEIMRKSFKDSLKALEADIQFANTLASDCPSDQDGAYLQMRLSYSPAAHFFLFLVQWTDCHLAGALGLLKIFIYKAYKDGKTTMSIHERKASLKEFYGVVFPSLLQLHRGITDVEDRKQKLLCATKYKPKELVDKGKSSEIDVEKEEEECDICMEITSIVVLPNCNHSMCIKCYRDWHARSESCPFCRDSLKRVNSGDLWMYMSSSEIDDLASINKENLKRLFMYIEKLPLVARPYIHMVSSQLVVAS >RHN59042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7019042:7019808:1 gene:gene21025 transcript:rna21025 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYETKAPVFGRCDTDDTGGFPKIVNNVIPSMVERSAPFSTTTLPPPVPPPLVPSEHQEQNPSQNPPPSSPFADVPNATELLMNIVNMIQQQPGEYSYNNFDRNRPCRFVSSPEVIKNKPQKGTDSRRHDNDEKGNGYHTHFSEYTPLNTPQERIFQDCASI >RHN81385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45403242:45409275:-1 gene:gene5414 transcript:rna5414 gene_biotype:protein_coding transcript_biotype:protein_coding MERPNHDYAAASAMAYAQQQRPAANMQQQQQFGFHPQHQQFPSAMHGPPFIPPGPGAAHPSMQQFPFHHAMQHQLHHQGPPPPHLLLQQQHQAPPAFPSHYPPHLAPSPFFDSAPPPVAPPSDPELQKRIDKLVEYAVKNGPEFEAMICEKQRDNPSYSFLFGGEGNAYYRFKLWLSSRPPSGPFNQPFPSSSVSMIHPSPNPMMSPSPSGPPMNPIGIGSSPSMLVPPPFQQFYDQQHHHQHHQSFGLHGRPEYDQSSKSFKGLSGPLPSDVAMELSNVLNNLNGTKESIKGAKLWFMQRAPFAPALAETLRDRVFAFDDVERQLHIIYLANDILFDSLNRRASTHDLDNEALAFKPVLGSMLARIYHNPQSNEEYRKRLQQMVEFWASKEVFDQETISSLKVEMFSGPQTNSFPATQKDLSSASADSGAGILQTPNHIVQQWQADRMSSGTIVLDQDRPDKLLGQSIAAQQFLTNSAPPSAFPGSMGIPSSVQPTNQPPSSGTGEQLPPYPLFPPGLIPGMVRKMQIGSGVPYSPMSPLDIPTMIPPSTVPPSEILQRVSKFFKEIGEVNPSEGPMNSESRDEDDEYDGEYERESQVRKGGACIPPPPHLQQIDPETGTYADGSVDRKPGSSGSGRLGLGATADPNEVSQYDDVYSSYRKQRSTNYHTSMSARATVK >RHN69164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41481162:41481650:-1 gene:gene17597 transcript:rna17597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGPGLITIISLAKGFQQTLGNYKYRVLLHNNHKSLKQSNLYTLILHLRNSSCSSKKIEMGFRLPAAIRRASFSSSQTSKALNVPKGYLAVYIGEQMKRFVIPTSYLNQASFQNLLSQAEEEFGYDHPINGRHHNSLHRRCFLAYYFSLQWAINLTLKETDID >RHN45370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:18129492:18130367:1 gene:gene39661 transcript:rna39661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MAREMTILPLMSLVLHAMTVLPLPPSFLLHVFFFSSQSYFLLFETLKHQIFFSIEPSLSFPHLRFTFSRSKMSTGNSSTGYTYGSLLYGEFETSRGMLPMCRCELPMVIYIANTRANQGRRFWKCTRPMVEVTSRMAVTEEKVKVEEEVESKEKKCNCVEAMEGIYDLKKDKWKKKMLAEKKKVAWLKWSLVASWVLFCVFYARK >RHN56108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27854704:27855039:1 gene:gene31447 transcript:rna31447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MLLIWLMLHTITLFNDLIKNASDVDLRQRYTICSENYDDVLFALTKDKDSVTAGNFNDMKFHMSGLGLIAEQCRSTAPGSFDLRKNYEYLEVVGITLEILADYLAGKYIVI >RHN69556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44366108:44367751:-1 gene:gene18038 transcript:rna18038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stearoyl-[acyl-carrier-protein] 9-desaturase MMMAMCTQLRPPHAGTLPQCHLNAPTQKVLTSEKTNSMSPEKKEIFKSLESWVSQSVLPLAKPVEECWQPCDFLPDSSLPSDEFIDQVKDLRNRTVELPDDYLVVLVGDMITEEALPTYQSWINQFDGICDETGASNSPWAIWTRSWTAEENRHGDLLKTYLYLSGRVDMHMIEKTIQYLIRAGMDIGTENNPYMGFVYASFQERATFLSDGSLGRLAKERGDPVLGRICGTIAADEKRHEIAYERIVEKLLEVDPTETMIAIAKMMNDNIKMPGHLMHDGRDPHLFSHFSAVAQRIGVYTVSDYIDSLEFLIGRWRLEKLEGLTSEGKRAQELVCSLSPKIRRLQERADERVRKMNPQFSWIFNKEIPK >RHN66429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12216069:12216500:1 gene:gene14415 transcript:rna14415 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L2 MPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGAKRWLGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPSTPWGYPALGRRSRKKNKYSDNLILRRRSK >RHN54519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10215012:10216552:-1 gene:gene29580 transcript:rna29580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MGALDFLSDYFTVTPKKKHKPMQTVEIKVKMDCDGCERRVRNSVTNMSGVKEVEVNREQSKVTVTGNVDRNKVLRKVQSTGKRAKFWPYVEANLVAYPYITQAYAKNAPSGYVKNTELAIPNPNGTDDKITSFFSDDNPNACSIM >RHN41126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27248216:27248521:1 gene:gene47416 transcript:rna47416 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVEVVVALAAVLVALIHFLQVLVWRPKSLRAKLHRQGIHGPSPHFYLGNIQEMKTLLHQQQQLSLKHKEEKEDICDTISHSWTSSLFPHIQKWRSQYG >RHN61576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36565027:36566220:-1 gene:gene24054 transcript:rna24054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MAYRMITSFVVTLVLLGTISCDAQLSSTFYDSTCPNALSTIRTSIRTAISKERRMAASLIRLHFHDCFVQGCDASILLDDSSTIESEKSARPNVNSVRGFEIIDKAKSEVEKVCPGVVSCADILAVAARDASFAVGGPSWTVKLGRRDSTTASKSLANTDLPLFTDDLTTLISHFSKKNLSPKEMVTLSGAHTIGQAQCFTFRGRIYNNASDIDAGFASTRQRGCPSSSTTSNDQKLAALDLVTPNSFDNNYFKNLIQKKGLLQSDQVLFSGGSTNSFVSEYSNNPTTFKSDFATAMIKMGDIEPLTGSAGVIRSICSAVN >RHN53840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4628788:4629797:1 gene:gene28793 transcript:rna28793 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSLFHLCLCFSFTKQGLLLLCFFICANHGVSIYLWCQPWCFFICVNDDDSDRDGSADVR >RHN65708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4646450:4653195:1 gene:gene13581 transcript:rna13581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEALAVTILEKLSSAAYKELGIIWNFKEDMERMKNTVSMIKAVLLDAESKANNHQVSNWLEKLKDVLYDADDLLDDFSIEALRRKVMAGNNRVRRTKAFFSKSNKIAHGLKLGRRMKAIQKRLDDIANNKHALQLNDRPMENPIVYREQRQTYSFVSTDEVIGRNEEKKCIKSYLLDDNATNNVSIVPIVGIGGLGKTALAQLVYNDNDVQKHFELKMWVYVSDEFDLKKISRDIIGDEKNSQMEQVQQQLRNKIEGKKFLLVLDDVWNEDHELWLKLKSMFMEGGKGSMIIVTTRSQTVAKITGTHPPLFLKGLDSQKFQELFSRVAFGELKEQNDLELLAIGMDIVKKCAGIPLAIRTIGSLLFSRNLGRSDWLYFKDAEFSKIDQHKDKIFAILKLSYDHLPSFLKKCFAYCSLFPKGFMFEKKTLIQLWVAEGFVQQSNDIRCVEDIGHEYFMSLLSMSFFQDVTIDDCDGISTCKMHDIMYDLAQLVTENEYVVVEGEELNIGNRTRYLSSRRGIQLSLTSSSSYKLRTFHVVGPQSNASNRLLQSDDFSFSGLKFLRVLTLCGLNIEEIPNSIEEMKHLRYIDLSRNNVLKNLPPTITSLLNLQTLKLSDCSKLEILPENLNRSLRHLELNGCESLTCMPRGLGQLTDLQTLTLFVLNSGSTSVNELGELNNLRGRLELKGLKFLRNNAAEIESAKVLVEKRHLQQLELRWNHVDEDPFEDDPFGVWYVKLSQLPYNNSVEDEIILQGLQPHHHSLRKLVIDGFCGKKLPDWICNLSSLLTLEFHNCSSLTSPPPEQMCNLVSLRTLRISNCPLLKLSNISGIRAIKIIRDGTRVRDTPMKFIPGSPSLLKYFS >RHN73734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19444974:19445846:1 gene:gene9590 transcript:rna9590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MVLDNIKKKRRRSSFHGRRPTLSIFQHPKYLCYHLPFICRRRKGLNRKGNNNTEKGECASSSKDELFFCEICTDMKTMKDVFCINGCSHSYCSDCVAMYIGSKLKDNIINIRCPFSGCSGLLESDYCQSILPAEVFDRWGNASCEVLIDDSEKFYCPFADCSALLINDETEAVMKAECPYCERMFCAQCKVPWHEGIECSEFETLNADEREKEDVMLVGLAKDKKWMRCPNCRIYVAKSHGCNSMKCRLPFLFNILLLCDFMNIVPKIIIIMIMFHSDDLYIFCSILTEV >RHN71892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3399579:3400255:-1 gene:gene7539 transcript:rna7539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MQRFYRKIVQPTCQVFINHRGIDTKKNVAGLLYKDLTNMGVRSFLDSMNMKPGDKLFHHIDKAIIGCKVGVTVFSPRYCDSYYCLHELALLMETKKRVVPIFFDVKPSQLMVKDDGTCSPKQLRRFSLALEEAKNTVGLTFDPVNGDWSELLKDATDAVIMNLVEIQNNNKW >RHN56993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35537349:35538219:1 gene:gene32469 transcript:rna32469 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSMESATPQPQPPLYFDEKWKLSKKEGSTRSKSSSSSFIKNTSTTQRKCAFARKCARLVKEQRARFYIMRRCVTMLICWRDYSDS >RHN61022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32361242:32362329:-1 gene:gene23432 transcript:rna23432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MESDQHDKGGDDQDAKQVNPRSYECNFCKRGFSNAQALGGHMNIHRKDKAKLKQQSSTNYQTQLPSNLEGSSSEDLNKLLPNLNTNIVSQQAPSRGDEILVTQVRQQLPLFAESPTRNETQINPQGQVQREIITTQEALLLSAQDSSSELDLELRLGPEPHDSSKPTGTRKFF >RHN78202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12757826:12763262:1 gene:gene1736 transcript:rna1736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MATSFSAASFFTISQEDQNQMNQQNSSTPSSSTTPTAPPQKKRRNQPGTPNPDAEVIALSPKSLMATNRFVCEVCQKGFQREQNLQLHRRGHNLPWKLKQKSNKEPKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYFRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTKEYRCDCGTLFSRRDSFITHRAFCDALAQESSRQPHPNLITNTSINNSQLFRNISNNNNNMSLALSQIPQQHISSIHGQNDNNQTSEILRFGNARTAQFNNILSPPPQQTLQTPPFNFITQQNQNYHHDQSQFQGLISLSELNNNNMFSENFNNEGSNDFFSENSIMFDHNNQTNPISPHMSATALLQKASQMGATSSTTTTNNTSSSILRSFGHVSSSAATKVNGAGDGLTRDFLGVGQIVMRNMNGGVGQRSQRNFNLESENNGNIGGGGNFE >RHN53121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42134132:42135933:-1 gene:gene37889 transcript:rna37889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A(2) MGIKYGKYCGVGYWGCPGEKPCDDIDACCMGHDECVDRFGMTHVKCHKRLKNCLIREQKANKVGFSKECPANVAVPTMIKGMDLAILLSELGGNMPDIEKFI >RHN39209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4989069:4990070:1 gene:gene45213 transcript:rna45213 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRECDESGREVFPSDPLDRQQLRLQCLNHATQKNGLIDWKVYDSTYFSHMTFSDISSESGCSDSDNSDCVLLYSTSGTSRGKEINEVSSSDMVREQGSQLACSEYDIENFSDDVQRYESTYSDQAKVNFLRSKLSILSMTREEDVALAPCPPGEKVCARRPKGVKEILHMYAAVLEEFGVRIPFTLFEMDVLRLLNVAPTQIHPNSWAFIRGFEILCDALDMVPTAGVFFHFYGTKGVDKGSWVPISAHPGKQLFPPFASNFKKDWKKSFLRVQAAKDSSVSVASVAGEVRFPLGWTANPLAVSGYHYQKMTPYEQGVVGFLDRMGRTDI >RHN41673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31999601:32000756:1 gene:gene48018 transcript:rna48018 gene_biotype:protein_coding transcript_biotype:protein_coding MCILNSDISQSSSNQKDENQAKVTGFVSNNRGFHKSNSDSETKQENQGAITHLSEQISSLHDRMGEFTNRIEELNSKLTIKKNCPIQQNMSVQAESYDGSAPTSYFITSLNNGSLNGLSSQLAKESHLSDEISGIARCQRHIMNQLDNLNNLFCRNLEEKPHQTRNNLKSVDSSSDSGGRHIMVAVAIGCGCLGIFLMKKGLLT >RHN38546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:83874:92230:-1 gene:gene44499 transcript:rna44499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GOLD domain-containing protein MAASTEGLVPITRAFLSSYYDKHPFTPPLSSDVSHLTSLLRTMATDLGIDDEALTFTQPPHKIDENMWKNREYIEETIFLLQQSNWPDPLKQQQSTPDNIQFSIILGKLKDKLHRTLTTLESFQIKNADHVFNTVMTYLPQDFRGTLLRQQRERSERNKQAEVDALVYSGASIRDRYALLWKQQMDRRRQLAQLGSATGVYKTLVKYLVGVPEVLLDFTRQINDDDGPMEEQRHRYGPPLYNLTSMILSVRFFLSLSWARYESKKLKGEQLAVLEQAVDVYTMEFERFITFLSGVFANSPFFIPADVAGAVEIRKNDDYKEISVPAGKTFEVILSVDSVNSYIAWDFSLVQGKINMDIGFSLEFASPTGEKILMLPYRRYESEQGNFCTLMAGSYKLIWDNTHSTFFRKVLRYKVDCIPPVAEPVPFD >RHN50194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5015049:5021145:1 gene:gene34457 transcript:rna34457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain, eukaryotic translation initiation factor 3 subunit M MTTVVPTSEEDAALSVVRFASELAWADAGPEVAEPQVTRLCLEAEEFIAMGKWLELASLMITSAELIFSKVSEKDVESIFTIVCNLVTKTENPDEVMEIVKVITAKLVQQPNEKPAVRLKILINLYNLLETPYCQFYVYMKALNLAVDGKVTEYIIPSFKKIDSFLKEWKIGTPEQRELFLTISNVLKENKSLSKDSFKFLTNYLATFSGEEAHVLSEAKEEAVRAIVDFVKAPDVFQCDLLDMPAVGQLENDAKYALLYQLLKIFLTQRLDAYLEYHTANSTLLNDYGLVHEECIAKMRLMSLVDLSADGSGQIPYELIRDTLQINDDEVELWVFKAITAKLIDCKMDQMNQVVLVSHHTDRVFGQHQWQTLRTKLVTWRGNISNVISTIQANKVSEDGSQAAQGLAVR >RHN76394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49921723:49923665:1 gene:gene12713 transcript:rna12713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I PsaH, reaction centre subunit VI, single alpha-helix MASLATSLACVQPAGLNVKGLAGSSITGTKLTFKPSRQIFKSNNLRSGSVVAKYGDKSVYFDLEDIGNTTGQWDLYGSDAPSPYNPLQSKFFETFAAPFTKRGLLLKFLILGGGSTLAYFSATASGDILPIKKGPQLPPKLGPRGKI >RHN39960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11907084:11907500:-1 gene:gene46044 transcript:rna46044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MASFNGFYDVVTIIIGFTLLFTTSLCSEISQPPSGGPSNDVASAPKPLSPYEKYLTNCASKLKPAECGKQIFSGVFVGNRIISYYCCHSLVNDVGKSCHYDLTRNALQWPAYAKNKTEILKRNDKVWNDCIAVRPILP >RHN63168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49016763:49017261:1 gene:gene25839 transcript:rna25839 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRYMTYQNRIRSHLFSCISMILLSSFLLLPLLSHSSILCATYDGE >RHN77096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4071719:4081473:-1 gene:gene516 transcript:rna516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKTLSPAFLPDELIFEVLSFLPVRSLVRFRCVSKSWKTLISDSTFVKLHLHNSRSQTRNSSLLKIITKNTICIPGYHVEHKEEFSIVRHPIHRVFQNHSFAVVYDSHSHLWNNPAITRNYSTIVDSCNGLLLLEVVSETVYGFEYWLCVWNICDPSRDFDFAFGCDNSTGAYKVVAFCKRETTSDVKVLNLGVDVWRNIESFPVVLDHEHVCLSGTINWLATPTIHTSDTVEHSVIVSLDLETEAYKQYTVPRGVDEVLPDSPTIGVLGGCLCFSYLHRETHFDIVIWQMKKFGVEDSWTQFLKVSYHDLLIDYGNFSDNDICYFQLVPLFLSEDGDSLILQSNLESQTILLYDRRHNRAKRTEIIASTTIADFRNSNYVYQDFAKDYVESLVPIF >RHN76409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50020207:50023180:1 gene:gene12731 transcript:rna12731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein Ist1 MFQGLLKPKSYTKCKNCLKLIKTRLETIRKKRNAVQKFLKKDLVDLLKNSLEYNAYGRAEGLLVEQNMSACYELIAKFAGCISSHVREFSKQDNCPDECKEAIPSLIYAAARFSDLPELRDLRTLFQQKFGDSLDPYTSKEFIERLRQTPPTKEMKIQLLHELAQEHSIEWDRKALEQKLYLPPPVLHKEKEKPKHGQQNDHGDLKFQKNINDNIKPKTDDFQWRVRRSNENETASTNGSSLDRKKSRSSSFENVFEEEETETKKPFSNKHVPPPYVKEVKVESNMKKTVEALPAEKPKPRSVRRRPLKPPPVENTVKDFSETGGALDEEMILDGLLMRYVNKQTPNEPGMGKEHVKDQNRRYEKSSSPVAKTWRRKSLPQRESSRMDTLQANDRATSLVPEMMRTTTTGHVHPSLPDYEDLSDRLASLRRT >RHN47571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40707081:40707646:-1 gene:gene42188 transcript:rna42188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSSLPEELWSRILEIGIEKSSLSYKDLCCISISCHLLHRLSSDDSLWNGLISSDFPSSSASSLASSSKSLYKLRLEREKERLSHMARITYHYISCHNQSFNDRLCRWSRRESITVHETEEVLSSVGGKRETTKIA >RHN44732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7853928:7863048:-1 gene:gene38890 transcript:rna38890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SLC26A/SulP transporter MRMEITPTTFASHSYSDLPSAASMPTRPIRVIPMQHPNLTSPSSSNSLPPNVAITQFASKLRGMTWLEWIEFLIPCYRWIRIYKWREYLQVDLMAGITVGVMLVPQSMSYAKLAGLKPIYGLYSGFVPIFVYAIFGSSRQLAVGPVALVSLLVSNVLGSVADTSSELYTELAILLALMVGILQCIMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQAKYFLGYDIDKSSKIIPLVKSIIAGADKFSWPPFVMGSVMLAILLVMKHLGKSRKYLRFLRAAGPLTAVVLGTFFVKLFHPPSISIVGEIPQGLPKFSVPRAFEYAESLIPTAFLITGVAILESVGIAKALAAKNGYELDSNQELVGLGVSNVLGSFFSAYPTTGSFSRSAVNHESGAKSGVSAIVSGIIITCALLFLTPLFENIPQSALAAIVISAVIGLVDYDEAIFLWRVDKKDFLLWILTSTTTLFLGIEIGVMVGVGASLAFVIHESANPHIAVLGRLPGTTVYRNVKQYPEAYTYNGIVIVRIDAPIYFANISYIKDRLREYEVVVDSSTRRGPEVERINFVILEMAPVTYIDSSAVQALKDLYQEYKLRDIQIAISNPNPDILLTLSKAGLVELIGKEWYFVRVHDAVQVCLQHVQSLKPGSERSHSSHSSSSEDKPSFFSQLFKQREESRTTTDLESGNGRPPLAPIRDSQSEPLLSKEQ >RHN79470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29272462:29272742:-1 gene:gene3264 transcript:rna3264 gene_biotype:protein_coding transcript_biotype:protein_coding MELSMNNDDGSGGAHSGGRKRVYCKECVIAEVTRIKANFHLCVRDEVIIGFKTSAGPIFRPVRNSGEDA >RHN45398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19587750:19592496:-1 gene:gene39715 transcript:rna39715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MDLNANIGLTFTPIEWGNEQKHILSAIPQGKSVFITGSAGTGKTLLLEEKNY >RHN41154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27636274:27637021:-1 gene:gene47444 transcript:rna47444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVNVLSRLLLFLISLHCFVACLATNTKNITTDQSALLAFKSLITSDPYDMLSNNWSTSSSVCTWVGVTCDERHNRVHSLILRNMSLRGTVSPNLGNLSFLVILNLSRNSFSGQFPKEIYRLHRLKVISITFNEFVGGIPEALGDLSQLQIVHLGANNFSGFLPQSIGNLRQLKSFDISRNMLFGPIPQTISNMSSLEYLGLHLNYFSGNASSDIMCHIFYISCIHTIYDFIISILDTRIKNTHNG >RHN50597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8406421:8411668:1 gene:gene34903 transcript:rna34903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VI-1 family MFQFGNSMRYFHFHLLFLSWFLLFISNTHELEQDQSQTLLQLRAYLEFPSSLQIMENYNNDLCYLPSSSNLSIKCEDNSITELKIFGEKFLKPSSFNGFAIPNQTLSMNFSIDSFFITLTKLKTLKVLSLVSLGIWGKLPNKIQSLTFLQVFDMSSNFLFGTIPPKISTMVKLQSLTLDENYFNTTMPNWFDSLFNLSILSLKKNHLKGSFPTSLCKIKTLKVISLSQNELSGGLPSLTTLNGLHVLDLRENRFEFELPVLPKFVVTVLLSNNSFSGEIPKKFGELNHLQHLDLSSNHLKGTPPSTLFSLSNLSYLNLANNVLSGEFSDKLHCGGKLGYVDISSNKLSGLLPSCLVNSSNGRVVRYGRNCLSVDSQNQQRGSYCKESGLGWMKLKEWKVVAIVAIIVGFLLAVLVFGVFLCKKCRLMKKTRKDVLPKIVQDKSKTGVSSEVLANARFISQAVKLGTQTTPTCRQFSIQDLKEVTKNFNLSTCIGDGSIGKLYKGKLENGTYVVIRSLVLSKKCSIQSLKAKLDYLSKLQHPNLVSLLGYCIDGGERDDSSIPKLHLMYEYVPNGDYHTHLSEYSAVKALKWPDRLAILIGVAKAVHFLHTGVIPGCFSNQLKTKSVLLDEHCIPKLSDYGISIIREDIEKFETKSEKKSKSCPKTKMDNDVYNFGFILLESLVGPITRDKGETFFINEKASFGSQDGRRKIVDPIVLTTCSQESLSIAISITTKCISQDSSSRPSFEDVLWNLQYAAQVQATSDADQKSD >RHN54991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14267335:14268189:1 gene:gene30107 transcript:rna30107 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFTQLKKFQLLMAMVVLMLLNEIVRMKDIQGMPDTAGGLSLRIFQFIFAADALSAMAFTSYFPNIKHLPSMSFGERE >RHN68958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39864322:39873570:-1 gene:gene17382 transcript:rna17382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MEDCSQNSKWKYHVFLSFRGEDTRLGFTDHLYAALVRKSIITFRDDEELARGEVISQKLLLAIEESLSAVLIISKNYANSAWCLDELVKILESKRLLGQQVFPVFYGVDPSDVRNQRGSFAEAFKKHEEKFSESKEKVQKWRDALREVANLSGWDSKDQHETKLIEEVIAQVWKRLELKFPSYNDGLVAIDVRLEELYSTLKLGLEDVHFIGIWGMGGIGKTTLTTALFKKIKSQFDVSCFIANVREVSGERNQYLQQLQNKILSHLNIKGMVIETLSQGKDSLRNLLSNKKVLLVLDDVSSKSQLENLAGSQEWFGRGSRIIVTTRDKHLLISHDVLFEMYESKILNKSESLHLFCEKAFKEDAPKEGFVELSESVVEYARGLPLALEVLGSFLCGRSLSDWEDALIKIKQVPHDDILNKLRISYDMLEDEHKTIFLDIACFFKGWYKHKVIQILESCGLHPTVGINVLIEKSLLTFDGRVIWLHDMLEEMAKTIVIQESPNDPGRRSRLWSLEDIDQVLKKNKGTEIVQGIVLKSSPSTLYEAHWDPEAFTKMGNLRLLIILCDLHLSLGLKCLSSSLKVLVWWGYPLNSLPVGIQLDELVHLQMINSKIKQLWNGNEYYGKLKVIDLSNSKDLRQTPNVSGIPNLEELYFNDCIKLVEVHQSIRQHKKLRILSLMGCVDLKIFPKKLEMFSLKMLFLSYCSNIKRLPDFGKNMTCITELNLLNCENLLSLPNSICNLKSLRILNISGCSKICNLPDGINQIMALEDIDLSRTAIRDLDPSLLQLGNLKRLSLRSCRDPATNSSWNFHLPFGKKFSFFPAQTTSLTLPPFLSGLSSLTELDLSDCNLTDSSIPHDIDCLSSLERLILSGNNFVCLPTHYISNLSKLRYLELEDCPQLQSLPMLQPQVRLYVTDSDAREAYALDPQKIWKLFESSDKKLLHSSLYRVPDFPYPMYFEMPSRFDNQNFFPLTSSYVSKLDAIASVKVDIPDDCLLSDWWGVAVFVALEAEGFVARHMRLSWNFDTLGPEDGPSLSLLTGSTAANDSYLFTLVVSGDFIYIQRHLSGDPKFMRKQFSKHRKPELSENSSLRFEVQVEGCKIRKCGWRMLRKEDYLEDLKMLNSSGLVVAHSDSGHSAGMNQSSEVESKVKETTALDVQNIERSNENFSLGKMLHNIRQGLGVSMLALISMMVGATIFHSPVNGLRFKKSAPTNETVTNKLFKSQWISSNDIVLKVNTPQLPDNPRNRKVSQRLSFPIYQPPRSVENLHIFISRDVDSF >RHN66848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20130082:20130983:-1 gene:gene14954 transcript:rna14954 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVINQASSLKSSKNIIHEKQQFNNLIKVLKPKVYITKSSCFKKLVQELTGNGNTNTLFPQTLEVPKVVESCNIIESETSSVENASFSPEATSYNSSNTSEFSSDALLNEEFNQVCNQLCLDESLFFQDSFLNEPLDDQLSAFQNLESLLFDVETNPPFYSFYEQIEMADVSIYDYELSGLL >RHN79216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26126167:26126622:1 gene:gene2964 transcript:rna2964 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKMMLKIQNENLLPKGGGTDQPSLEHKIFIHFFITGERANVLRYIFRHMIQQLRESQEKKRCWVPYRRLISEILHQGGILNALSNVNFFTDEQLGTETGKIINGETLKHMRLISKADYKKLDTDLKESFAVSNLMQDFPPICKQDPLDV >RHN75406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42061529:42063597:1 gene:gene11604 transcript:rna11604 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPKRNHQRRLSERSSSFHGNNVMTTTATIRRPKTVPDLLSYRNNVLPVTEGLPRQPPKLLLKVTVLGSLGPIQMLMKPESTVGDLVETAVRQYINEARRPILPSRFGSDFELHFSQFSLESLNREEKLVKLGSRNFFLCPKNTAHAGEGISGNRNDGSVTTTFPASSCANEVDKAREYVGCGGGGGGGFGWFKLMHFMQ >RHN38938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2917294:2919291:1 gene:gene44915 transcript:rna44915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MHSINLPSGFANENYYESETAGTDTSSAGIRLVFDRSGDLYVLRENNTKYNVSEEESKVSTTLYYLRATLNFDGVFAVYKHPKNSIDGEGWTTVWSKPDNICTYTCPKSYSLVDADDPLGTCEPNFMQGCAEDELSKNRNDLYEFETLIDVDWSMSDSVLQKPFTEDQCMKVCMEDCYCSVAIFRLGDSCWKKKLPLSNGKYDPTLNGAKAFFKQHCSPSTIRACNVHPRGTVHVHYSSERRRKKKTQFRSLQFESDQKVKIKKDE >RHN46083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28355222:28356937:-1 gene:gene40523 transcript:rna40523 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKGNEMKRLAYQRVTLMLNIQLSTPILLRKLKRLTLCHFELMGHKTKYLP >RHN44081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1491845:1494687:-1 gene:gene38139 transcript:rna38139 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFMETELCDAVVPRPQVNATFRLGTESYSVQATKGSSLSEQLVNMKEQSMAVLKDFITKHNIPQDVPDESLEASSEDDDDVAMKAQVKSKKTKLT >RHN62634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44850977:44853243:1 gene:gene25229 transcript:rna25229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MAPLYVSLHTQTLVLCYTIGIISTLQIIHIANSLSFEYPYFKNGDVNWEGDASPYKGAIQITSNTLDQNNNYSVGRVTSFKQMRLWDLNSGNLSDFTTKFSFVVYSNKRDYGDGMVFFLADPALPLLKNISEGGGLGLVDGNQVLKSTQHSFVAVEFDTFNNPWDPPGEGTHVGLNFNSMRSNITKPWLTNIQERRVYNCSIEYKSSTLNLSVSFTMYDDDDDKPFEEYISHKVDLRDVLPERVIVGFSAATGILYEVHTLRSWSFSSSLLSDETKNQVVAPIPSPIISEKENKMGLKVGLGIGTGLAVSLSGLVCTLLWKMSRGRKEEFGFDLNMDDEFQKGSGPKRFSYNELVSATNKFSESGKVGQGGFGGVYKGYLKDLNSYVAVKRISRESRQGILEYATEVKVISQLRHRNLVQLLGWCHRKNDFLLIYEFMSNGSLDSHLYSKKSLLTWTMRYNIALGLASALLYLQEEWEQCVLHRDIKSSNIMLDSCFNARLGDFGLARLVDHETGSQTTIIAGTRGYIAPEYFTSGKPTKESDIFSFGVVLLEIASGRKAIEREEKEGQISVVEWVWELYGLGKFLEAVDPKLCGAFDEQQLERLVIVGLWCVHPDYSFRPSIRQVIQVLKFESPSPILPEKMPVPTYLPPTIKALFSSVSSIYWTNS >RHN42393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38013880:38017825:-1 gene:gene48830 transcript:rna48830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate dehydrogenase (acetyl-transferring) MATLFQGLGAVTSNSFDSNKLLLSSRRSLKERKGSIFVVRSDAKVNKALKIGATRKGELLIPNAVATQESSSATSAASKPGHELLLFEALREGLEEEMERDPCVCVMGEDVGHYGGSYKVTRNLAEKFGDLRVLDTPIAENAFTGMGIGAAMTGLRPIIEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSDNPVILFEHVLLYNLKERIPDEEYVLSLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLTAAITENFHDYLDAPIICLSSQDVPTPYAGTLEEWTVVQPAQIVTAVEQLCQ >RHN52824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39222138:39232748:1 gene:gene37541 transcript:rna37541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase PP2A regulatory subunit A MAMVDQPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLATAEELGVFVPYVGGVEHASVLLPPLETLCTVEETCVRDKSVESLCRIGAQMREQDLVEHFIPLVKRLASGEWFTARVSSCGLFHIAYPSAPEALKTELRAIYGQLCQDDMPMVRRSAATNLGKFAATVEAAHLKSDIMSVFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPDSTKTELVPAYVRLLRDNEAEVRIAAAGKVTKFSRILSPELAIQHILPCVKELSTDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAATNIKRLAEEFGPEWAMQHIIPQVLDMVNDPHYLYRMTILHAISLLAPVLGSEITTTNLLPLVVNAAKDRVPNIKFNVAKVLQSLIPIVDESVVESTIKPCLVELSEDPDVDVRFFASQALQSSDQVKMSS >RHN47255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38320370:38321649:1 gene:gene41830 transcript:rna41830 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTDNCELFLWIRTGHLTGDRGYWTNMSCSYGFELVTHLGILESASCSYGFELVTRLGKRENWQVRVVLTDSN >RHN56920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34781761:34783431:1 gene:gene32388 transcript:rna32388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation HMG family MATQEVNKPLSLPPYPEMIMKALEALNEPNGSNKSSISNYIESIYGELPEGHSIVLANHLNQMKDNGDLVFAKNNYMKPDPNAPPKRGRGRPPKPKDPLAPPPAAVLSPPRPRGRPPKDPNAPPKTPKPASSGRGRGRPKKVQRTENVSNPSGGDGDGAGAGAEVDDDDAAPSVAAVAVPTTSGRGRGRPPKVKPQMTEVSVES >RHN51923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28468466:28469146:-1 gene:gene36495 transcript:rna36495 gene_biotype:protein_coding transcript_biotype:protein_coding MWFVESWAEAAFEGLDLVFLFRRAAYQQRFPAFAAAVVWFCYRVCFVRLPV >RHN76588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51479278:51484441:-1 gene:gene12938 transcript:rna12938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative golgi SNAP receptor complex, subunit 1 MEVQSWDSLRNQARKLEAQLDEQMVLYRKLVSTKASTKGEATESDLESWIERLLNQLKQVNSQMQAWVSSGGSEMVSHTLTRHQEIYQDLTQEFYRLRSNLRAKQEHASLLDDFKELDRTRLDLEEGGGSEQQNLLKERASISRSTGQMDTVISQAQATLGALVFQRSTFGGINSKLSNVSSRLPTVNTILSAIKRKKSMDTIILALVGSVCVFLIFIYWLTK >RHN45961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27264528:27267672:-1 gene:gene40391 transcript:rna40391 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TPS5 MSTVAYSDHDAKQRNLADYHPSVWGGFFLQYASETMELDQNLASQIDTLKDEVRNMLVSKTEMPLTKVKLIDSICRLGVGYHFEKEIDEVLQHIHKSYVENGEITLEDSLCSLAMLFRVFRQQGLHVSPNVFNKFKDKQGNFNENLSTDVEGMLSLYEASHMMVHEDDILEEALNFTSTHLESIASQSSPSLAAQIEYTLKQALHKNIPRLEARHYISIYEKDPTCDEVLLTFAKLDFNLLQSLHQKEFGNISKWWKELDFSTKLPYARDRIAECSFWVLTAFFEPQYSQARKMMIKVITLLSIIDDTYDAYGTIDELELFTKAVERWDISSLDELPDYMKPIYRSFLTIYEEIEKEMRKEGRIYTLDYYKIEFKKSVQAFMTEARWLNENHIPTTEEYMRISKKSGAYPLLILTSYIGMGDIATKEIFNWVSNEPRIVNAAATLCRLMDEIVSSEFEQKRGHVCSLLDCYMKQFDMSREAAIQECKNRMTIVWKDINEECLRPTEVPMPFMTRVLNLSRFMDVIYKNKDNYTDSDGLMKTCIKEVLVDPVPI >RHN48662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49311643:49315268:1 gene:gene43403 transcript:rna43403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MRFKGTHFPGLAFLILVCLGFDAVYCYNRPPPRKTIFVPHDHDDSSPQQVHISQVGQDKMRISWITESPTPATVHYGPSPSANALSATGITTSYHYALYESGEIHNVVIGPLRPNTVYYYRLGDSEKTYNFKTAPAHFPIMFGVVGDLGQTEWTVSTLKHLGDSNYDMLLLPGDLSYADFLQNLWDSFGRLVEPLASQRPWMVTTGNHDVEKIPVVHEEPFTAYNARWQMPFEESGSDSNLYYSFDVSGVHVIMLGSYTDFAPDSSQYKWLQGDLQKINRGKTPWVVVLVHAPWYNSNQAHQGEAESVDMKTAMEGLLYNALVDVVFTGHVHAYERFTRVYKDKGDNCGPVHITIGDGGNREGLATRYQDPKPEISIFREASFGHGVLEVVNASHALWSWHKNDNEEPVVSDSVWLTSLSSNPACKA >RHN71597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1256641:1257650:-1 gene:gene7213 transcript:rna7213 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAGNPRTDESDAPVPVPEPVTEEVKVEQQAKETKAEETVKASDDKSLGTLLSENKEKAEVKGEANEEAATVEVKAEAKGEEKAPEIKEEAKPEATEEKPKPEEEKPKAEAELKA >RHN64824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62243987:62247058:-1 gene:gene27688 transcript:rna27688 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSHGSFHPHLLENKLAAQEAEIERLISDNRRLANTHKALRDALVSAAQDVQKIKSHIRSTQTESDIQIRVLLDKIAKMEVDIRASDSVKKELQQAHIEAQSLAASRQELSGEIQLATQELKKVISDVKCLPDLHAELENLVQEHQRIRDTFEYEKSKNVELVDQLKAKEKKLIGMAREVEMLRAEISNAEKRINAPNLFGAVTPADGSGAFLDPYGRVHGQMAFGQVGESMVPVGDSNGAGVVNSNDGSGAGWAGQYDPSVAGR >RHN50344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6364423:6367283:1 gene:gene34619 transcript:rna34619 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRPLSMYRKSPSSLEIPPPDAPYSGYLVITDEEAEYEDTCCWRICRRKNVKKLPFPQDKLFSVFHPSENEQTTSIRVWFLPVPDHSLSSNRYYVIRAKGRHKGKVYKCSREGDIVSCCFNNLLNDKSPKPFNLKDLHQIFKIHTHQSGGFFAKSITPDGIPPKFLRKKGWKVRISGSYRSCKLNEALGVDFPLREKLPSFHFPISRKNSPPLVVGKWYIPFIFVKESARKVKQQMKKSMFYSMTLEQKWEEIYSCGRNEHENENQNEDDVVIVNVYVEREKVLICGTEATKNGTIDNNGFMLFRVYNPYNKRRVSVGLSSAIIENMRWVEEQGGWVYGNGRERVVTVKEEVTCQNEWNRFGCYVLVESFCLRTLDGKLVLRYDFRHTHKIKCKWE >RHN44879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9376688:9377056:1 gene:gene39046 transcript:rna39046 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTLGDINLQRNPTKKASSDHKEKSTTHPLLNFFDFRRKIC >RHN68456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35699406:35701038:-1 gene:gene16815 transcript:rna16815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyketide cyclase/dehydrase, START-like domain-containing protein MPSSFSLQLERFNRTTDATTYLAIANGANCPRQPQTPPPTAARRLVIPFPPSLSSSNGGTLVLDTLSLHHAHMVGPNQCCSVVTQTISAPISAVWPVVRRFENPQGYKNFVKSCQVITGENITVGAVREVRVVSGLPAESSTERLEILDDERHVISFSVVGGDHRLRNYRSVTTLHSVDGDRTLVIESYVVDVPQGNTKEETCFFVDTIVRCNLQSLGQIAENTIRNSESEQ >RHN43009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42530700:42532507:-1 gene:gene49527 transcript:rna49527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDFIGESCNSAYSDGDHEPPNKKLKRFNVWNYFVKIEADGKELCECKTCGKQFISGRTGISHLNQVQHITKCPLIMKSRIAKHFKLNKIDHRMVRDSVTQMTIKSYIPFQFAEWDEFRAFTKFASFNEARSLSRDNVVADVMKVYLLEKDKLKKQLAAVKGGVCLSFHCWTSSTSSHGYVTLTAHFMDDQWNLVVKVLSFCHFNPSHDSFELSRKVIDCLQEWGIERNVFSITMDNVSANDETSQNLKNQLCSLDSLLRTFITSATAPSLEKHFKCCARVLDLMVEREFKGSKWCIGQDKEQFEVCECVKL >RHN71791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2642707:2646086:1 gene:gene7426 transcript:rna7426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MAEEFHAGICGETWWNINNSTRSVFPLMMNSSSTCSVAANDVGNYSTWQNDFLGLKETIRTCSDIEVNNFDVSDCSLSFLDPQKPQIMGFDLLSPTSSNWNQSLFNDSQIQKEWSTKNLSSTSGSQVSRMDQEFSLEQQNMNSGLSCGYPIGSVNNSYNYPSSLIQSLFDPELQPEPQPQPQNSLFTNPSMSSSYSSSSNELSPTWTSLMKHSMPKQQLSGLLFSNNTPFWNASADALNDIRAGVFVSSQPQHQSPNFEDNKFNSPNTLLNKLKRDKSPETKNSSETAVKRPRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVNVLSTPYMKSGSPIQHQQDCDNVNESEGKKQDLRSQGLCLVPISSTFPMTNETPVDFWTPTFGGPLFGR >RHN78067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11615107:11617839:1 gene:gene1582 transcript:rna1582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MRVLAFLPFPLKINNNTSIVNHFTGMQHMINQWKPPPPPLNTSKTPSLTAEDSPPLPITNRRERSEMVEVGDPTVVARKVQKADREKIRRDRLNDHFHQLGTVIDPDRPRNDKATIITETIQVLKDITSEVDRLKTEHKSLSEESRELIQEKTELREEKASLKSDIENLNSQYQQRVGVMPPWTAIDHSVVMSSPYTYPVPMPMPIPAGPVSIHPPPMQPFPYFGNQNPGHIPSLSSMYIPFSAPAIPPMEMASAQYPSTSHVFSRKESRSKSLGHRRRPSVAERCSVSPDVATELELKMPGSSTQQDCTSRGKKEKHSVMSDRIIVDESASSRYSASQGLQDSSNSVSNTPKAG >RHN61699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37628698:37629915:1 gene:gene24188 transcript:rna24188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MTMILNMMRLTQSLFFTADWITNMEWIIEQLVYSLIMFLYHFLPTFCPRGYLLPSVFGFIVYLPVNLIHRQVLLPSDLLRVFVVIDVLLNLSYKWQMHSVLANAFSVGKYSGPVVTNANSVTTPVSVVQEGIPPQMFKAVVADGHSEFSSTRQQIFCLNRESYGGYADPVSGSKFGVEHRIVCSSGKVAYNRSYDYILSLNIPLHEAVMPDDEFALPRVRLELPCKFLSSCGSA >RHN64187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57149165:57150897:1 gene:gene26974 transcript:rna26974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosylmethionine decarboxylase MAVSGFEGFEKRLELHFFGDDPITFQLGLRKIDFESIQQVLEAVQCTVVSAVGNSYFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSILPLIHYANQTGLTLCSCRYTRGSFIFPNAQPFPYTSFNDEVTYLEDTIPSNLCHRKASIMPSKSSSHSWHVFTAHSSITHHNHSHTHSDIDIFTMEICMTELDPILARKFFRPPGDGKTGDSAGKEMTELTGINEINPNAFICDFAFDPCGYSMNGMDDDWYSTIHVTPEDGFSYASFECVGSVNDNIVHVLRKVVQIFRPGTMSISMTCSNYSNEMLKKMVNAVEPLGLKCRSRAVDRFPAADMVVFQTFTARRRNV >RHN72298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6580238:6581197:-1 gene:gene7996 transcript:rna7996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSTSRTSNTSLKGHDHHHNQIQINLSLLQRNTSVCGEKRGRKKQAEPGKFLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAQEAAFAYDRAALSIKGSNARTNFIYPSSSSDEDDTNFHNVVDPPFDVQRTQPITNQNTLSQLDSNSHLNNDNLLFSNDYNNSGYLESIVPVNCFKPISNTNNSNFDDHSSNASDSSEHQNVVDSICTTNFMHGQSFFDYTPFSQEAYNINTSNYSEGLWDCNYNELSAIFNQPPRSEIPSYGLMTTQDVSSTTYSSHSYSPFGDVDMGMGYSLF >RHN55281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16775003:16778934:1 gene:gene30433 transcript:rna30433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MTLSNKKANYGDHLDRISDLPSNVIDGILQHLNIRDLARTSILSRKWRDIWISFPWLEFDKDFFDLYDLKDFKDHSPEVCIIITEVLLLHNGPINKFTLFIPSGFNIPFGCIVKWILFLSRKGVKFIQLASNESVPYRVPSHFFSFQKLTHVRICKFKLLVPPNFCGFKSLVHFHFERMTFEFGALESLISGCPLLEELYIVNCSGIECIDLSAPTLKVFSIEHIQAIKSICLEKAKNLIDLTLVLNQDGVSSLNKNLPEIQRLTMGFVSKMLYADIIHPSQLIGLKYLKLDRVNLDEREELLYIVSVLKSASNLVELVIENYHEKGGYKTQAAGRVEELECSSCCLRQLLIVNIKVRSYFKHVMSLIRFILANSSSLKTLTLNVHRSHNKSDVPILFNIWMKRASHRAHIEFLHQRM >RHN76203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48498052:48500936:1 gene:gene12494 transcript:rna12494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MTYGALLPSLLAQNLVQTIPPPRIPDPLPRWYRPDLHCIYHQGAPGHDVERCFALKKEVQKLINSKELTFTDPDAVAQNNPLPTHGPAVNMIQEDQEEARILSVGDIKTPLVPIHVKMCKATLFNHNHEACDICSMDPRGCIQVQNDMQGLLNRRELVVTREPESKDVCVVTPVFRARRPLVINPNSTKPVGTPLVICVPRPTPTTAQKAVPYKYEGTILEPGSETTSPVAVDNIAENSRILRSGRMFPTVGPKSVSVPVDEPVKEQNAGKGKAGEQAKEFDFDDADEVLKLIKKSEYRVVDQLLQTPAKISIMALLSSSGAHRDALRKVLDQAFVDYDVTLGQFESIVGNVTVCNSLTFSDEDLPAEGNKHNQALFISVLCRTDSLSNVLIDTGSALNVMPKSTFDQLAYSEAPLRLSKVTVRAFDGTRRSVYGEVDLPISVGPHEFQVTFQVMEIQASFSCLLGRPWIHDAGAVTSTLHQKLKFVSRGKLITVSGESAFLISNLSAFSVIGGSGSDGPSFQGFSAEESVGKIETCMASLKDARRVIQEGKTEGWGQLVELPENKRKEGIGFLNSKPGMFDPTRGSFHSAGFIHDSPETNAILDDAPGGVTPVFVTPGGACCNWIAVDIPSVTPRSKLNISESVEHSDPMLSPNFEVPVYEAVAEEDEEIPNEIKWMLEQERKTIQPHQEEIDIINLGTEEDKKEIKIGASLDVSVKKRVIELIREYVDIFAWSYKDMPGLDPEVVEHRLPLKPECPPVKQKLRRSHPDMALKIKEEVRKQIDAGFLVTSEYPQWLANIVPVPKKDGKVRMCVDYRDLNKASPKDNFPLPHIDVLVDNTAKCKVFSFMDGFSGYNQIRMAPEDR >RHN55611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20055619:20059760:1 gene:gene30814 transcript:rna30814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MFSLFSMLNSFSSSSSSSSPSSFFSIIILLLFGFSFSSSNHEASTLFTWLHTSSSQPPSSFSNWNINDPNPCNWTSITCSSLSFVTEINIQSITLQLPIPSNLSSFPFLDKLVISDSNLTGTIPSDIGDCSSLTVIDLSFNNLVGSIPSSIGKLENLVNLSLNSNQLTGKIPFEISDCISLKNLHLFDNQLGGSIPNSLGKLSKLEVLRAGGNKDIVGKIPEEIGECSNLTVLGLADTRISGSLPVSFGKLKKLQTLSIYTTMLSGEIPKELGNCSELVDLFLYENSLSGSIPSEIGKLKKLEQLFLWQNGLVGAIPNEIGNCSSLRNIDLSLNSLSGTIPLSLGSLLELEEFMISDNNVSGSIPATLSNAENLQQLQVDTNQLSGLIPPEIGKLSNLLVFFAWQNQLEGSIPSSLGNCSKLQALDLSRNSLTGSIPSGLFQLQNLTKLLLISNDISGSIPSEIGSCKSLISFNLLSGTIPPQISSLNKLSILDLSHNQLEGDLQTLSDLDNLVSLNVSYNKFTGYLPDNKLFRQLTSKDLTGNQGLCTSGQDSCFVLDSSKTDMALNKNEIRKSRRIKLAVGLLIALTVVMLLMGITAVIKARRTIRDDDSELGDSWPWQFIPFQKLNFSVEQILRCLIDRNIIGKGCSGVVYRGEMDNGEVIAVKKLWPIATDEGEALKDYKSGVRDSFSAEVKALGSIRHKNIVRFLGCCWNKKTRLLIFDYMPNGSLSSVLHERTGSSLDWELRFRILLGSAEGLAYLHHDCVPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDDGDVGRSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVLLEVLTGKQPIDPTIPDGLHVVDWVRQKRGLEVLDPTLLSRPESEIEEMIQALGIALLCVNSSPDERPTMRDIAAMLKEIKNEREEYAKFDVLLKGSPANEAKVLATSSSASAMQSFNKSNNTSFSVSSLLHSSSSSKMSFK >RHN47588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40820989:40827145:-1 gene:gene42206 transcript:rna42206 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVIEIGIKIRKFVFISIRGVYRSACHHPFLLGFLGFLLFLYIYFPFLFSVLVSASPVLVCTALLLGTLLSFGQPNVPEFEKEERVTHGISSFQTGFSEGETIVSDRDESYFVRGYSEHRSDIEESGVEEASLVAVKDDRSEDDHGLLYDSALDDVNLQDIQHENEVKGDVERELHSFDLGKGKEVHDGNLTSEVVSSDDEAIEKQYVMVQKEDDDDIFEIENGKARGGGFDSFKEGDADCSPSSSWKRVDNNTDEDDSEDSGSDRAESSSPDASMADIMPMLDELHPLLDVDAPQPAHLSHDGSDAASEKSQKSDNDDDDDDNSVESDEDIENHGEAEEDGVDEEEEAMEGGQEDESKSAIKWTEDDQKNLMDLGTLELERNRRLESLIARRMARRLMTEKNLIDLDTADVPSNVAPIFVRRNPFDFPDESYAGMGLPPIPGSAPSILQPRRNPFDIPYDPNEEKPDLKRDSFQQEFTQFNQKDAFFRRHESFSMGPSVLGISKQERHDINWKPVFVSERMVSEGTSYFQRQSSEVSDSKLSEVSDSKLSSVPDTESASSGDQDERKLSERDLSPETELTSNLDGVSVEVGHGSGSSEENESVEMIQGEESNVYRDEDEIVLGGVEDPSEMEFYPATGELEIDEQFNDEVTDLTREPSVDVSSSRSSQSYRSEVIDDIPDEESEKTANLQHEDGHFPESRTSTQTSVEESIISTRTSVEESNFQHISGEVEETPLVPVYDCSPPSAEQLISFSSVSSDSATEFSETALHPVSVDTTADVADKEYEPKDRLEDNSSNHEKIQAASSELHVEVKNEMRSEKSEDIDDTAEELSAVMPSFVDQNGPTMTEFSVDSNFSLDIGSEKGVTDSGLFHEQDIDNHISADSEMLHQDNVESPDSNYQLASDKLHLLDNESVEDALPNAVSRLDNEDMSVSVQDEDEMPDSVASDSHHIPSNGSSVHAAGDSQFLPVETEHLEKNWSNEERIFPIEQDKVLLSSSREQGNTIIHQDLDKNMVSFTSDNQQEVDVKSPSDLENHLSRSDKLVDAQFSSDHHESHNPDNIMVEPSQDAGTSNDGVGELHEHDSVFETPIMISSVTSENSDTPEFRSPTGEVDLEVYKHHGEVANEDQNEAQQNLVPSAEGYMSPNNEENFNEFDYMKDIDEEFLSELDTVGDFRVGDAGVSHHTDYVHEETRDAQLSSLPEDVKIAEVEQDNDIPVLEVRSLEDIDIAFKQLQEGVDINDVILPSTIKDQLVSDESRDHVEVNSDLQVIEARSLEDIDVALEKISEDNQGELPEKRDAEDASVKLEANEDGSAKLNESFDVSTSAEETSRTPVDKLDNVSISGSSNKEKSHSRKSSSSSSSSSSSSDSD >RHN66423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12206451:12207302:1 gene:gene14405 transcript:rna14405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MKAFHLLVFDGSFIFPELILIFGLILLLMIDSTSDQKDLSWFYFISSTSLVMSITALLFRWREEPMISFSGNFQTNNFNEIFQFLILLSSTLCIPLSVEYIECTEMAITEFLLFILTATLGGMFLCSANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATMKYLLMGGASSSILVHGFSWLYGLSGGEIELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSLAPSHQWTPDVYEGVRFVREIPTSLSISEMFGFFKTLWTCRRDMLFPLGPRHNFTC >RHN68369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34899466:34903283:-1 gene:gene16711 transcript:rna16711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MAEEDRNVRLNGNRIIDVVLDCVIPYITDPKDRDAVSQVCRRWHELDSMTRKHVTIALCYTTTPWRLRRRFPHLESLKLKGKPRAAMFNLIPEDWGGHVTPWINEISQYFDCLKNLHFRRMIVQDSDLNILAESRGHVLQSLKLEKCSGFSTKGLGYIGRFCRSLRVLLLEESTIVENVENDEENENEWLHELAMNNTVLESLNFYLTDVEVKVQDLELLARNCPNLVSVKITDCEILDLRNFFRNATALEEFSGGTYNEEPERYTALMLPAKLCRLGLTYIGKNELPIAFPYAAGLKKLDLLYAMLDTEDHCMLIQKCPNLEVLETRNVIGDRGLVILGHCCKKLKRLRIERGDDDQGLEDEEGTVSHRGLIALSQGCTELEYLAVYVSDITNASLEHIGTHLKKLCDFRLVLLDHAERISDLPLDNGVRALLMGCDKLVRFALYLRRGGLTDVGLGYIGQHSQNVRWMLLGYVGETDTGLLEFSNGCPSLQKLEMRGCSFFSEHALAIAATRLTSLRYLWVQGYGASSSGSGLLAMARPFWNIELIPSRQVAVNNNVNPDEPQVVTHPAHILAYYSLAGLRSDFPNSVVPLNPVAYVDA >RHN77204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4984799:5000650:1 gene:gene630 transcript:rna630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WW domain, FF domain-containing protein MANNPQQPSSIQFRPVIHAQQGPTFVPMTSQQFGHAGHAVPSSNVGMPAIQGQQLQYSQQMQQLAPRQIQPGHPVSSSQGIPMPYIQTNRPLTSVPQHAQQAVPHINNHMPGLPVSGAPPQSLYTFTPSYGQQQDNANALPQYQHPPQMHAPPAGQPWLSSVPKSAAAVTSVQPSGVQSSGTASTDAATNTTSNNSASDWQEHTAGDGRRYYYNKSTRQSSWEKPLELMSPLESGEILTTALYGHCEGWGCTFSSQRADASTVWKEFTSSEGRKYYYNKVTQQSVWTIPEELKLAREQAHKTISQGMVSETSDTSNAAASSAATSTPPANAASSNTLTPNGLASSPSSVTPVVATDNQRPVSGLSVASVSHSVVTSSTTGVEPSTVVTVSTAPTAVAGSLGVVANSLDSKINSIVENQATHDSTSSVNGTPLQDMEEAKKGVPVVGQTNVTPSEEKTNDGETFVYANKLEAKNAFKALLESVNVHSDWTWEQAMREIINDKRYNALKTLGERKQAFNEYLGQRKKLEAEERRIKQKKAREEFTKMLEECKELTSSTRWSKAISMLENDERFNAVERVRDREDLFESYMVELERKEKENAAEEHRRNLAEYRKFLESCDFVKVNSHWRKIQDRLEDDDRYSLLEKIDRLLVFQDYIRDLEKEEEEQKRIQKERVRRGERKNRDAFRKLLEEHIADGVLTAKTQWRDYCLKVKELPQYQAVASNTSGSTPKDLFEDVFENLEKQYHEDKTLIKDILKSGKITVATTSVFEDFKSAVSEEATCKTISEINLKLLFEELLERAKEKEEKEAKKRQRLADDFTNLLYTLKDIITSSTWEECKALFEDTQEYISIGNESYSKEIFEEYITYLKEKAKEKERKREEEKAKKEKEREEKEKRKEKEKKEKEREREKEKSKERHKKDESDSDNQDMTDGHGYREEKKKEKDKERKHRRRHQSSMDDVDSEKDEKEESRKSRRHGSDRKKSRKHANSPESDNESRHKRHKRDHGDGSRRSGWNEELEDGELGDDAVAEV >RHN52955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40687061:40688251:-1 gene:gene37697 transcript:rna37697 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MYVPKSLADHFKRKSTVLVSAFLVKQLREETGAGMMDCKKARAETEGDLEKPQAYLIKKGLSSADKKSGRLAEEGRIGTYHSRFTHWCSN >RHN43262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44418432:44421019:1 gene:gene49807 transcript:rna49807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MALFDQSSRHSLVPVYLYSSNALSCPINHAGLPTSSHSSSLPPHDYTRKNVPMIPSPNEGIRMFSPSYYAACSAGGVFSCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGVRGFFKGWVPTLLGYSAQGACKFGFYEFFKKYYSDLAGPENAVKYKTFIYLAGSASAEVIADCALCPMEAVKVRVQTQPGFARGLSDGLPKFVKADGVSGLYKGLVPLWGRQIPYTMMKFASFETIVEMIYKHAIPTPKDQCSKNKQLGVSFAAGYVAGVLCAIVSHPADNLVSFLNNAKGATVGDAVKKIGVVGLFTRGLPLRIVMIGTLTGAQWGLYDSFKVFVGL >RHN55558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19503091:19503747:1 gene:gene30759 transcript:rna30759 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTQQEIGIKVYNATPPPQEAIGGVTHQPFDPPEPGKKRRAIMAKGVQKTLSKTSLLGNFLPTGTLITFEMVLPSIYRNGQCTHVHTIMIHFLLIMCALSCFFFHFTDSFHGADGNVYYGFATRNGLSVFKPGLTVLVPNDDKYKVGFQDFVHAVMSVMVFVAIAFSDYRVTNCLFPGHEKEMDQVMESFPLMVGIICSGLFLIFPTSRHGIGCMSS >RHN70976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55543287:55549075:-1 gene:gene19616 transcript:rna19616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lipoyl(octanoyl) transferase MILLGNCCFIFTQPRPRSLRHHSNSHSKSSSLIFNIHRRRTKTVQCLRSCELIDFHQQFIPYEVAWSLQKDIVKQKKSQIQNEGDCNDTLIVLQHPSVFTLGTASSNDNLNFDIQNPPFHIHRTERGGEVTYHGPGQLVMYPIINLRKHKMDLHWYMRKLEEVVIRVLSSTFSIQASRMEGLTGVWVGNEKVAAIGIRVAQWITYHGLALNVTTDLNPFKWIVPCGIRGRQVGSIKGLLREARSSCNDHGTADFHHLDDDSLIHIAHKSLIEEFSKVFQLELHHKTISLPMLCESKGKEVIIPKKQQTLNFL >RHN82632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55299587:55301919:1 gene:gene6807 transcript:rna6807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSSGGDGGGGKFFFCHVCSRRVTCTDDDSEPFCPICFQGFLEECEQPEPNPNPNVIFRFNHHQDHSSDSDSEFPFHPFALLPLLLNSASVSRTRPDSDSFDPFAFLQNHLNGLHADGANIQFEINNPSESEPGFRVPSNLGDYFLGAGFEQLIQQLAENDPNRYGTPPASKEAVKNLPTVTVDDALLSSELNQCAVCQDEFEKGMQVKQMPCKHVYHDDCLLPWLELHNSCPVCRHELPTDDADYENRGRDDNSGDGLRSVVGGNSTGGGGSGGGGGNRPVHRTFRISLRYPFGSGDSAQDSGERGFGNRQEDLD >RHN39369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6530260:6531216:-1 gene:gene45387 transcript:rna45387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MANNESDQDPQTRFYNPYKDLEVPIQNLYKLPTSPEYLFDEEAKRKRRSWGENLTFYTGCGYLGGSIAGAGVGLVEGIRSFESTDTAKLRVNRILNASGHSGRTWGNRVGIIGLLYAGIESGIEAVRDVDDVWNSVAAGLGTGAVYRAARGVRSAAVAGAVGGVLVGAAVAAKRVAKRYVPI >RHN80867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41420650:41421909:1 gene:gene4842 transcript:rna4842 gene_biotype:protein_coding transcript_biotype:protein_coding MAFITCHPFCAMMYSFGLSFMHALPKRERTKEIYFITQRDLLLFMNTLIIILGRWI >RHN81280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44532761:44534149:-1 gene:gene5296 transcript:rna5296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate oxidase MSKGQTYLLRISNVGTAWSFNFRIQNHKLLLVETEGSYVNQIDLDSLDVHVGQSYSVLVTANQNPADYYIVASPKMSLNNSLVGIAVLHYDNSTTQANGPLPIGPDPFDLEFSINQAKSIRWNLTAGAARPNPQGTFNVTNVTISQTFILEASTATIDQVSFHTVNNVSYSTPKTPLKLADHFSNGSGVYELDAYSKNTSNVKAVRDVFVASALHKEWAEIVVKNTLTNIDSWHLDGYSFFVVGYGEGEWKEESRSSYNLFDPVVRSTVQVFPGGWSAVYVYPDNPGMWNLRSQNLKSWYLGEELYVRVFDPNPNPAKEKPPPQNLLLCG >RHN81372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45312156:45317976:1 gene:gene5397 transcript:rna5397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative XS domain-containing protein MQFRQREEDHVSVSLSPKLRTQQRVDSGPDPFRRNRRDGLDPSPVKLTPLKVDGVRRVASGGGGSKRGGGDGFEGRDSDWHLNGRRSGRVQSRSPPVDQMRKRSLFNDGVGCRSTSPSTSGLRPRYGYSKTVDYGGVDDENLDMKRVYLDRDKDLIESRLGGGKSIVNQRFLRSENEVGSSYRSIPDIGGSVTSGYEEEDSGRLPPPSRSVPAGRFEHERLHHQEHLPVDKIPITESHGGGTGRFEHERLHHRENLHVDKIPITEFHGGGTGRFEHERLHHREHLPVDRKPVTESHGEGTGIFEHERLHHREHLPVDRKPITESHSGADKTIFYARDRDASYSTLSPSYAKDFKGTSHLRDYGNCSMETRRSDFLCSHGDVVCSLSSYDQPRSSGKLAEGAGFSGHGQRPPIDTSRGPEIGQRNIRCDHRCEFSPSRSEHADYFNYRSHSRAVQDEYIYQYDDIPRRVAPNGRLDYEQAVMEYDNRELSRHYISHPDLDRTGKSEDYYGNPRRGIMHEHNHPASQDPTYVDYHDMRRTSIASKQGDGYLRSGYNHTEIRKRMPNDYEVSYLDAPEAYHQISNYRTEYESRKDGAPGHQQERFQSSPLSKHDLETYRQTGRVQEMNQHVGIHNHSNRIVKRKYYANEEIDVRDLRKMKSSKWGAAEEYQDYYESEEWVDDEDMNMVYSYKNVGSNHKIYRQHKNKYNELENGEGFPSDKRISPQVSMGHVQRPSFRFQKYSNQNMRHHPKSSSSNWYKPQHFSRRNANQKQPKGWKKYQHGYNENKHTINDESYEDVASAAEPEPTEGSEEFLQMVHENFLTYSKNLNLNLPVQRRYQKQGKAGCLFCIVCGRSSSKEFMDTRSLVTHAFMSHKAGMRAKHLGLHKAICVMMGWDTDIPQDTVTWVPQVLPHAEALAQKEDLILWPPVVIIHNISMSDDNPQNWKVISMETIEAFIRGKGFVRGRIKLCLGKPADQSTFLVKFLGTFVGLGDAERIHKYLSDSNRGRADYERVKSGGVTSCNIEETDQGDKVESFLYGYVAIAEDLEKLDFNSKNWSTVKSRKDIDDLDKDPVKTDERR >RHN78954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20241207:20246698:-1 gene:gene2623 transcript:rna2623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-binding, CRM domain-containing protein MAWRIFTSPLLNKFQFLSSSTTFLLRHKTSLNYVICKVYAQEHHQFKLPSTSTRVGCLSFHSTPFLKCNHDKVVEQPQDSDGDVKVPARKKLKGKRAVVRWLKFFRFKKKKEFQRMTTEERILYKLLKARKKEERLREALKKIEPTESSETIHDPEILTPEEHFFFLKMGLKSKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVVVKTFSAEEVKEIATELARLTGGIVLGIHEEDTIIMYRGKNYSQPPTEIMSPRVTLPRKKMSLKIASTTQ >RHN42159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35957891:35961044:-1 gene:gene48564 transcript:rna48564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MAMLHNEEHICVVNREYDISKMDDELSDSSVVMKESDIENQRDSLSLQESAEMISYSSGTVSRPVDEMAIQIFAEAGTKDRQCRAYVKDKGRQCVRTAIGNNIYCCAHFSNKRERRLKVSTPICGGTTGSGNSCKYRSHPGFSFCKRHWHKAETGQTSNSNHRTLKRKAEENCGGSQNLICTDLVLAHPESALEINPVSVINDVDPFFAKNISGETLKLSGNDHNEDSCIDNENAVKEKLGSSRKVIVLCNDISFAAESTPVICVVDLQVLNYLCEQERYVYLPKPWEMFTYVTKPILDRLRSLDSEDLHLSCNCLSSTCCPETCDHVYLFDEEKDIFGKPMAGRFAYDVNGRIILEEGSLVFECNDKCGCNKTCPNRILQNGVRVKLEVFMTEKKGFGVRAGEAILRGTFVCEYIGEVLEQQEAHNRRGSKENCSYFLDIDARANHTSRLVEGHPRYVIDSTTYGNVSRFINNSCSPNLVDYKVLVEATDCKHAHIGLYASRDIALGEELTFNYDYEPVPGEGDCLCGSLKC >RHN62981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47252545:47255709:-1 gene:gene25624 transcript:rna25624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative retrieval of early ER protein Rer1 MNTEDTSPAAAITRWKFEASRRYQHILDKSTPHVSQRWLGCLVVALVYVLRVYIVQGFYVVSYGLGIYILNLLIGFLSPQVDPEILDADNGPSLPTSGSDEFRPFVRRLPEFKFWYSITKAFCIAFVMTFFSAFDVPVFWPILLFYWVVLFTLTMRRQIAHMIKYKYVPFSFGKQRYDRKRASAESTSLSDN >RHN50051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3573930:3575930:1 gene:gene34299 transcript:rna34299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ZF-HD family MRKRQVVVRRSEDPQRSVVKYGECQKNHAANVGGYAVDGCREFMPSTNGSLTCAACGCHRNFHKREVEVVSESSSPHSNGVFSV >RHN72927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11900078:11902011:-1 gene:gene8693 transcript:rna8693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MGFAYTKLALLSVIIFISIFPQTYISHDIESSFLQCFSSGLENSNSTTKVILTQNSSSYTPLLQSSIRNNRFLESSVPKPYLIVIPNDLFQIQKTIICSKKQGLEIRVRSGGHDYEGLSYVSNVPFLMIDLRNLRSITIDIKEENAWVQAGATLGELYYAIANKSNLHGFPAGSCPTVGVGGHFSGGGFGTIFRKYGLATDNIIDAQIIDVNGNILNREMMGEDLFWAIRGGGGSSFGVITAWKVKLVRVPLIVTIFDIPNRLDQNATTLFMKWQIIANKLPSELFLHSVLGIAANSSSDSGKTVTVSFTGLYLGKSDDLLPLMQNNFEELGLQRDNCTEMSWIQSVLYLTGHSINGSLEVLLQRNTTLTSFKAKSDYVTDPIPMSGLEGLWNLILEEDRPTLIMTPYGGRMSEISETETPFPHRNGIIYGIQYLVDWEKNEETPKHVDWIRRLYAYMTPYVSKGPRAAYLNYRDLDLGVNRENTSYEEAKSWGVKYFKSNFERLAQVKDEVDPTNFFRDEQSILPLSRETSLVDKDNA >RHN50913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11777665:11778245:-1 gene:gene35266 transcript:rna35266 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRALGLLASFKGTKAFLRGLKVLLEVLEVFQVLRNIMTVQE >RHN72195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5656213:5656929:-1 gene:gene7879 transcript:rna7879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MLGFAKFIPPYANISDSDDILGGVNYASGASGIRQETAKHMGDNVAFGLQISHHKIIVARIAIRLGGFRNAINYLNKCLYYVNIGSNDYINNYYLPQFYPTSRIYNTEQYAEVLIKQYSPYIKVYLFQLFIYVCVICIYTKYHPVREI >RHN67970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31877981:31879419:1 gene:gene16240 transcript:rna16240 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFIIISPKVLNTLLGSGSCWVLISFIGRHVLYMALAIVYEFEPQTLHILCIVRTN >RHN59458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10939552:10941480:-1 gene:gene21489 transcript:rna21489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVNLLSHLLLFLLPLHCFMACLASNSENITTDQSALLAFKSLITSDPYDMLTNNWSTSSSVCNWVGVVCDERHGRVYSLILQNMRLRGNISPNLGNLSFLVTLDLKNNSFGGQLPKELFRLRRLKFLHISYNEFEGGIPVVLGDLSQLQYLYLGVNNFSGIIPQSIGNLQRLKELDTSYNRLSGPIPQSISNMSSLELLNLYSNYFSGKIPSLNKMTSLRVVELANNNLNGRLPNDFFNQLPQLEDLTLTDNQFEGSIPRSIGNCTSLINLDLQSNFFTGMFYFLS >RHN55144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15507463:15507776:1 gene:gene30278 transcript:rna30278 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVSGDGNKSSREEDSSSDSVSTALVPVAPSHFTLKNIASQIDLLDILIVAGVGTVTLSKPGNCSTGFANPGYVT >RHN43631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47356179:47359408:1 gene:gene50240 transcript:rna50240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSLCRLLLRSLRRPTTVSPIFITTRSFAFSSAEEAAAERRRRKRRLRIEPPLNAIRPPPQHQPRDPNAPRLPDSTSSLVGPRLSLHNRVQSLIRADDLDAASAAARHSVFSSTRPTVFTCNAIIAAMYRAKRCSGRDKEAMDSYKSFMDREFRMTPATCNVLLEVLLKHDKKKEAWKLFDQMLDNHTPPNFQAVNSDTFNIMVNECFKHGKFDEALDTFRKVGTKINSKPFIMDVAGYRNIVSRFCEIGMMSEADTLFQESLSKHLSADVPTHTAMIDGYLKVGNIDDALRVFNKMVDRGLRVTATFGNRVFDELIKNGKVIECAQILSKMGGQDPKPDPTCYEVVIKGLCAEGLLDKSRELLDEVMRYGVGVTPALRESVTKVFKNAGKGEEIERLLDTNRIGYNSRPRPAYRPPPARYPSQLDRTHNPTYGHPQQRPPLPSAQMAGVHSPPSEFPSQMAAPQRYQTRSSQVAGTHNQSSGFQNSPPHFDTRIGGTHNPLSEFPSQTAAYQRYQTSPSQVAGTHNQPSGFQNSPPHFDTQIGGTHNLLSEFPSQTAAHQRYQTSPSQVAGTHNQPSGFLAQMAAQNSPPHFDTRMAGADNNHFSSGYPPQISGQQRPPLSPQMTGIHHQTPWGVSPPMNRSQMPTTGPSHLTGQPHHPQYQHTPRMQRASPQSTAKPYTPYGPSPQIDGYQPFGQQSGPQQTAEKPYQPSKLTTPMSGQHHPMSGPYPPMSEPSLPSHGSSGPGTSSQITGPYHPSSGTPPHFEESHQQQLEVPEQVAV >RHN64377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58603831:58605760:-1 gene:gene27191 transcript:rna27191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MGVTFFQLQHYFRRLNPLDRWFLFDSQAGVELVHTLMVCGEALQLNNLELAYMLVNRIVLSASLPTGAMSKVAKYFAEAFARRINRFQRRILHELLSASPYLKLAHLIADQAILKAF >RHN60565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28256431:28268840:1 gene:gene22891 transcript:rna22891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MAIVNEENVQQEFNPPKKKPPTEDEKRKKKIVAGSLMKALIRPGGGDSHPSDADQVIYHSTIRTLDGVVVESTRSDHGGKGTPIRHVLGKSKMLLGLLEGIPTMFKGEVAMFKMKPQLHYGEDDCPVSAPDGFPKDDELHFEIELIEFFKAKVITDDLEVVKKVVREGEGWESPREPYEVKAWISAKTVTGKLIMSHTEGEPYFFTFGKSEVPKGLEMGIGTMVREEKAVIYVTSQYLTESPLMPVIEDSEVQFEVELVHFVQVRDVLGDGRLIKRRIRDGKGDFPMDCPLHDSLLHVHYKGTVLNEENRVFYDTRVDNDGQPLDFCSGEGLVPEGFELCVRLMLPGEMALVTCPPDYAYDKFPRPSNVPEGAHIQWEIELLSFEMPKDWTGMDFKSIMNEAENIRNTGNRLFKEGKYELAKAKYEKVLREFNHVNPQDDEEGKIFSDTRNLLHLNVAACYLKLGECRKSIETCNKVLEANPAHVKGLYRRGMAYMGNGDFEEARADFKMMIKVDKSTESDATAALLKLKQKEQEVEKKARKQFKGLFDKKPGEIAEVKANDDENQVTSEIQKDGEVHEQDSSDGTNSEDSHEAAPDADQRGWFAHFWPNGSRIFSSLGLHRCTIL >RHN57157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36964617:36964955:1 gene:gene32661 transcript:rna32661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKKKDEKRRRRRAADRISSLPDDVLCHILSFVSTQEAVATSVLSKRWTHLWRSIDNIDFKYIEIDSIKSYSKFNNSINSVLISRDAIGGGSHSINRFSLDIEYRLCLIIQKS >RHN43928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49513678:49516826:1 gene:gene50566 transcript:rna50566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protection of telomeres protein MGRSKKKRNEYALHQLEDLHYCSEMKFNIIAIVIEAGLPKFTKGTDQCCNIRLIDETRYETSMSVNLFSDTAQSLPHVPPGDIILLRNVTSKRHDQEVNAVFYKDSSTFALYKGKDTALDDFDPYQLFSTNIFLTQLDKIRIVNLRRWLPNFQIPKEPFTFSMLREIKEGYLNLACKILHCSESTKDNWFLYVWDGTDTPPNVLYTMPEDEINSTRTLHPEPMPLPRDILRTFPTVGSILRITFEQPIEEDHLRVLNIDKWVKFVNIRLKVYAGLWYGIFTSQSKIRYTSNVDHQIIERQRLYDERISLKSGTIAIGSLPQSESLRITKVNHDHVPQCTLMDVLTHSEVTAKFTCVVRVVAAKPWQAEKLCSPTSQYMTRLTLEDPTARIHAFVIGEDGETLFDGYPGIANMKRKLDRLLGVTECGDGIVVKETPRNPPWVIVCIKSYYTSKTDVWGSRTFGIFDTKIVGEP >RHN60344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25619673:25623448:-1 gene:gene22647 transcript:rna22647 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAGFDSPSWRALGEICLCLLVKGNQEQTIHTIVTEFKKIHIRFITMKDREPMIG >RHN54029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6348017:6349614:-1 gene:gene29005 transcript:rna29005 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRMTGLNPAHIAGLRRLSARAASSSTSSSSSERNCLFSFSSVVDKVITQLHNSGVQVQPGLSDAEFARAEAEFSFVFPPDLRAILAAGIPVGPGFPDWRSTAARLRLRASLDLPIAAISFQIARNAFWSKSWGLRPSEPEKALKIARNALKKAPLLIPIFNHCYIPSNPSLAGNPIFYVDENRIFCCGFDLSDFFQRESLFRRSESDPKILMKQRSVSEKSAGSSTAFSRRSLDTGGRTPRWVEFWTEAATDRRRRNSLSSESTSPERFFDIPPRSVIPGWVDEYIDKIGSVLKAGGWSEPDITEMVQVSACGFFEGEMVMLDNQALLDALLLKADRFSDSLRKAGWSSEEVSEALGFDFRPEKERKPVKKLSPELVEKIEKLAQSVSHP >RHN54076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6751997:6753478:-1 gene:gene29057 transcript:rna29057 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFIAGMLVKQRQLKVSARILSISTDDKFSSDWCDMQADEAEVLVLNLRSDK >RHN47859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43078712:43081828:-1 gene:gene42506 transcript:rna42506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, leucine-rich repeat domain, L MKEMDESESIELFSWHAFKQVRPKEDFAGISSNVVEYSGQLPLALEVLGSYLFDRGIIEWKCVLDKLKRIPNDQVQKKLKMSYEGLNDDTEKEIFLDIACFFIGMDRNDVIHILNGSELFAEIGVSVLVERSLVTVDDRNRLGMHDLLRDMGREIIREKSPKELEERSRLWFNKDVADVLSEQTGTKAVEGLALKLPRTNGKRFSTKAFKKMKRLRLLQLAGVQLDGDFEYLSKNLRWLSWNGFPLTCIPSSFYQENLVSIELENSNVKHVWEEPQRLEKLKILNLSHSHYLTKTPDFSNMPNLEKLVLTDCPRLSEVSHSIGHLNKVLLINLEDCISLQSLPKGIYKLKLLKTLILSGCSKIDKLEEDMEQMESLTTLIANNTAITRVPFSVVRSKSIAYISLCGYEGFSHDVFPSIIWSWMSPTNVLPFRFQTSTIMSSLVPLDVSHSNSHALSSISTYLPSLRSLWVQCSSESQLSHDAEIILDALYATNYKELELAPHTSQVSRNSLKSFFIQMGMNCQLSNILKEKIVQNMTVNEFGGCLLPGDHYPDWLTFNCKGSSVIFDVPRVDGRNLKTIMCIVYSSTPENIASDGLKNVMVKNHTKATIQIYKREALVSFEDEEGQRLVSSIEPGDKMEVVVVFENNFIVKKTAIYLIYDEPISETMEQNHERTDKNVAVCCDGENECALVD >RHN67715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29618099:29620575:-1 gene:gene15934 transcript:rna15934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-linalool synthase MVSILIEVQIYKVVLDLQYILFQCSHHSALLHFQDVTYVKQALIFKQVYKKLVKIEYPMESFYLIDIIQRLNIEHYFVEEIKVALEKLYSILNTNPNDFMSIHELYEVALAFRLLRQGGHYVNADLFDSLKCNKRMFEEKHGEDVKGLVALYEASQLSIEGEDSLNDLGYVCRELLHGWLSRHQEHNQAIYVANTLQNPLHYGLSRFMDKSAFIHDSKDEKDLLCLEELAKINSSIVRFMNQNETTEVSKWWNELELAKEVKFSGYQPLKWYTWPMACFTDPNFSEQRIELTKPISLIYVIDDIFDVHGTLDQLTIFTDAINRWEITGTEQLPNFMKISLNALYEITSNFADMVYKKHGFNPIDTLKKSWIRLLNAFMEEAHWLNSGHLPRAEDYLNNGIVSTGVHVVLVHAFFLLDHVHGITKETIDILDEKFPNVIYSVAKILRLSDDLEGAKSGDQNGLDGSYLDCYMSEHQDISGEDVQRHVAHMISNEWKRLNQEILVANQFSSSFSNFCLNAARMVPLMYHYKSNPSLSNLQEHVKSLINASGGCN >RHN50733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9919795:9921240:-1 gene:gene35057 transcript:rna35057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MQFSHQQKRRRKTTTVVVADDFYLPDECWESIFKFLNDGANYRELKSLSLVSKLFLSITNRLVISLTVYNNGIGPFLGSILGRFTNLTSLKIKRYKRIDFNVLLGQISRFPLKLSSLNLSNHRTIPAGLRAFSQNITTLTSFTSSRMGSINSNDLLLIAECFPLLEELNLCYPRKFKDHSFLNRVETLSLTLFKLTKINLSGHHYINDTSLFNLFKNCKLLQEVIMFRCRSITKVGIVSALCERPTLRSLSFTNYFEIKNIAMLYELVGNYPLLSEIKMEYAPLSEISIENSNSLMNFAVRPQLKSLGLVRNWLRDKNIKRFASIFPNLQLLDLSGCCNISNEGILQVLRRCGNIRHLNLACTCVTLDGLNFEVPKLEVLNLSYTGVFDEILYEISKNCRGLLQLLLQCCHNVRDKGVMHVVGNCLQLKEINLRRCHRVHANIVDDMVFSRPSLSKIKTPPGFDLCSKNRELFLRNGCLVY >RHN40811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21512033:21513241:1 gene:gene47025 transcript:rna47025 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSVKIKILLSKSVSLKKSNTIRHGYGIYLPWMISLTVSYEHIYVLNPLEFHFFLNLICEPLCLYFLPLNDSKLLCHSHLLLPLLKIHVS >RHN56758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33472046:33474964:-1 gene:gene32200 transcript:rna32200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Root phototropism protein MENPNPSRLSLAMERTGQWIFSQEIPSDVIVTIGEATYSLHKFMLVAKSNYIRKLIMESNESELTRLDLSDIPGGQEAFEKAAKFCYGVNFEITVNNVASLHCAAMFLQMTDEYCDGNLAGRTEGFLSQVALTTLSGAAVVLKSCRQLIPVADELNIVKRCVDAVSSKVCNEANFPSRSPPNWWTEELAVLDVESFGKVITAMKQRGAKYLTLAGALIAYTERTLRELVRDQTGGGSGGGGKFRSSDSTDSDSETKSEQREILQSIVPLFPSEKAAFPINFLCCLLRCAIYLRASSACKRELEKRIAEILEHVTVNDLLVLSFTYDGERLLDLDSIRRIISAFVEKEKSTTVFNAGSTFGENCSAAMQRVAKTVDAYLAEIASYGELTISKFNGIAILIPKGARKLDDDLYRAVDIYLKVHTNLDELEKEKVCSVMDALKLSYEARVHASQNKRLPVQIVLHALYYDQLKIRSGATAERDAEDRNQLQTDVTLVRENEELRTELIRMKMYVSDLQKNGHGGTTSSSEVGKEVKKSTFFSSVSKKLGKLNPFKNGSKDTSHIEDVGVDLTKPRRRRFSIS >RHN45511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21840763:21841680:1 gene:gene39863 transcript:rna39863 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLRRPPLSDERLLPATTYFRRIYFRGTWEIFEFHFCLEGSSSLWCAAAGTVGAVVEATLCSAGFGGSIVIAVLVSATYLTPKYSFA >RHN51468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18184942:18185622:-1 gene:gene35905 transcript:rna35905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Hemopexin-like domain-containing protein MQNSVDAAFCSSKENECYVFVKNKYVVVNYAPGGKKNQIIKGPDNITDGFPMFVNTVFQWKIDSSFDTEDNLAYLFSGDQCVKIDYSPNSPENARLLEGPGPIIAMFPCLKGTIFENGIDAAARHNTDHCASLFKGDECCVINFRSNKLFLSTQKITIYFPLFLGTVFEGGIDAAFNFNPVNRDVYFFKGRYYAHINLGNGFPTRLFINGYIKLIRDEWPALRSIL >RHN63898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54899901:54902060:1 gene:gene26653 transcript:rna26653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MEDRKVHTIAAISVISLIIVIIICRVSLKLSRAFFLICGASIAVILAVFSCILIRQRYNKRRKVLESQLKSEGRELRIEYSFLRKVAGIPTKYRYKELEEATDNFQAIIGKGSSASVFKGILNDGTSVAVKRIHGEERGEREFRSEVSAIASVQHVNLVRLFGYCNSPTPPRYLVYEFIPNGSLDCWIFPVKETRTRRCGCLPWNLRYKVAIDVAKALSYLHHDCRSTVLHLDVKPENILLDENYKALVSDFGLSKLVGKDESQVLTTIRGTRGYLAPEWLLERGISEKTDIYSFGMVLLEIVGGRRNVSKVEDPRDNTKKKWQFFPKIVNEKLREGKLMEIVDQRVVDFGGVDENEVKRLVFIALWCIQEKPRLRPSMVEVVDMLEGRVRVEEPPGTRMILVDLLADDEDPTDLNNLARLLTPVSSNVECTSTYSLGSTIFSGR >RHN68788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38602288:38608391:-1 gene:gene17186 transcript:rna17186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyltransferase A, auxiliary subunit MPGIVTNGVHDDGEVNEMNGNRSPTKASLTPIKSPRSSMSPQRRQSVGPNFQDDGVVEPSIEQLYENVCDMQSSDQSPSRQSFGSDGDESRIDSELHHLVGGRMRELEIMEEEVEVEVGRRPGESSSGETSSGMGNLSDDKKMDMVAEIQSGSKSPVIEIQSGSKSPVVKTRSSSKSPVSSEKIVKPLNSRLGSDTSPRSKPKGKSPPAKAPLERKNDQPLRKQTRGVNGVKNSKNSPLGKSVSMSRVENSAESALDKPEQAPILLKQARDLMSTGDNPHKALELALQAMKLFEKFGNGKPSLELVMCLHVTAAIYCSLGRYSEAIPILERSIEIPDIGESQQHALAKFAGHMQLGDTYAMLGQLENSIMFYTAGFEVQRHILGETDPRVGETCRYLAEANVQALQFNEAEELCQMALDIHRENSSAPSIEEAADRRLMGLICETKGNHEAALEHLVLASMAMVANGQEAEVASVDCSIGDTYLSLSRYDEAVFAYEKALAVFKTSKGENHPAVGSVFVRLADLYNRTGKIRESKSYCDKALKIYENPMPGVTPEEIASGLTNLSSIYESMNEVDQALKLLQKALEIYNDAPGQQGTIAGIEAQVGVMYYMLGNYTESYNTLKKAIAKLRAIGEKKSSFFGIALNQLGLACVQRYALREAIELFEEAKSVLEHELGPYHPETLGVYSNLAGTYDAIGRLDDAILTLEHVVSMREEKLGTANPDVDDEKRRLSELLKEAGKVRSRKVRSLENLFDGDAHTLNNLVIKA >RHN50708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9667654:9668156:-1 gene:gene35030 transcript:rna35030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MSVMLPFWIAQTISLAPVKELQEETGAGMMDHKNALSESERDIIKAQKLLRKKGLASANKKASRATAEGRIGSYIHDSRISVLVEVKCEKDLFSQENVVTEDVPEEFVKKETEIEMQKEDFASKPEQSRSRIVEGWIRKRLKVSNRSLILF >RHN65768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5188791:5192978:-1 gene:gene13656 transcript:rna13656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MTSSNPFFDDIRSRPGVDPPQTEESTEIPELVNDPIQTVVKPNGTVSSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHDRCPTCRHELGNIRCLALEKVAASLELPCKYQGFGCIGIYPYYSKLKHESQCVFRPYNCPYAGSECAVVGDVQFLVDHLKDDHKVDMHSGCTFNHRYVKSNPQEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDDQAKNYTYSLEVGGNGRKMTWQGVPRSIRESHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >RHN43973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:418970:433844:-1 gene:gene38016 transcript:rna38016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MLETNQTPHNICVQSCEALLSHSQHIEQHIVRQRPEVIEKNRLRLKASIDCVRWLTFQACAFRGHNEDTTSNNRGNFIELLRFLGNANPKVDRVILQNAPSYARYTSSDVQKEILKILANMVRNSIRKEIGNAKFCILTDEARDESKKEQMAVILRFVDGDGLVQERFFDVVHVRDTSAMTLKNELVSVLSRFNLEVENILGQGYDGASNMRGEWNGLQALILRDCPYAYYVHCYAHRLQLALVAASREVVHIHEFFTQLTLVVNIVTASSKRHDQLQAAQEINITNMIANDELQTGKGANQVGTIRRAGALIFNPFVA >RHN62912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46728410:46730350:1 gene:gene25548 transcript:rna25548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAT dimerization domain, ribonuclease H-like domain, hAT-like transposase, RNase-H MCNHPDKRIKDMAVSMKIKYDKYWGDPNSLNMMLLIALVLDPRHKQKFIIWYADNHFGSDEAKCFKDKVYSSFNSVFNEYNGWIGESSANSQENRSQVSGQSDPYGFNPFYKSSGSTRSGSEVTSYLAADLEAEGELDVLMWWRENSNRYPVLARMAREILAIPVTTVASESAFSTGGRVLDPYRSSLSSTTVEALVCTQDWLKVKDQDIETSSVVTNDDLDTLHELEQDILSQLNFDGHSASLRLDDD >RHN57824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41957385:41960980:-1 gene:gene33413 transcript:rna33413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase MMLKIKRVPTVVSNYQKEEVGEAAPRTVGGCGRNCLKSCCIQDAKLPLYAFKKIDKVTEKDLAIHECQEELPMAFLDSLVLGEWEDRMQRGLFRYDVTACETKVIPGECGFIAQLNEGRHLKKRPTEFRVDKVLQPFDENKFNFTKVGQEEVLFQFEASEDGEVQFYPNAPIDVDNYPSFVAINVSPIEYGHVLLIPRIFECLPQRIDHESFLLALHMAAEAANPYFRLGYNSLGAFATINHLHFQAYYLAMPFPIEKAPTKKIATSNGGVKVSELLKYPVRGLVFEGGDTLEDLSKIVSDACISLQNNNIPYNVLISDCGTQVFLLPQCYAEKQALGEVDAELLDTQVNPAVWEISGHMVLKRKKDFDEASEANAWRLLAEVSLSEERFQEVNAIIFEAIALTEELDDNVQCLPKDDSVDSSTYPTVVAGSQECVVLQ >RHN46276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30337060:30339584:-1 gene:gene40747 transcript:rna40747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MSSNKEEVKLFGLVESPFVTRVEIALKLKGVEYKYEEEKWGNLSETLKKYNPVYKKVPVLVHNDKPISESLVILEYIDETWKQNPILPSDPYERALARFWTKFIDDKCLNVMRKVSFTLDEKERENAIEEMEVALQFLENELKDKFFGGDEIGIVDITAAFIALQEVMGLKLFTSEKFPKLFNWSQNFNNHPIVKEKLPPKETYLAFYKARLESLAASK >RHN70549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52232911:52241890:-1 gene:gene19139 transcript:rna19139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cadmium-transporting ATPase MRMNAFVALYRHAGTISIPAKLRHVSATEITLGKCMPLEYLRRLDSCPWLLHRYSYSTGFTNVHGETPSPDYARRRRESLENKFGLTLGTYSSKSFNAIYRFGPFLALYRAAVISFHVFRLTMWQLFVEDIQKRAVKFRETLIRLGPFYIKLGQALSTRPDILPTVYCQELAKLQDQIPPFSTDIAIRCIETQFGAPIHELFRDISSAPIAAASLGQVYKAHLHSGELVAVKVQRPGMSLSLTLDALLFHMIGGQFKRFAKARKDLLVAVNEMVRHMFDEIDYVLEGKNAERFASLYCSSSSGGNIKNNKTKSVKAPKIYWDYTCTTVLTMEWLDGIKLTDETGLSEASLNRRELIDQGLYCSLRQMLEDGYFHADPHPGNLVATNDGSLAYFDFGMMGDIPRHYRIGLIQIIVHFVNRDSLSLANDFLSLGFIPEGVDIHLVSNALQASFSDRSQRTSESQDFQGVMNQLYDIMYEFNFSLPPDYALVIRALGSLEGTAKVLDPDFKVIESAYPFVIGRLIADPSPDMRRILRELVICNNGSIRWNRLERLVAAISEQASELTEDPNPEKFSSPSVWKSFDMHAVVDSTEDLLLFILSVKGQRVRVFLLRDIVEAADVFLQDEVIDCALNGNRQDQRILRFEERTGKSFHYIREVVKLAPGEWTTMLLRMAVKPEVHIFTIDIISALALRSSHRLQVAAWLYLSILLHKLSD >RHN62943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46920378:46924368:1 gene:gene25583 transcript:rna25583 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNLTLFHLLSLERSICQKKVITLEGALLINSLRLLIMNSIFFDMDLTLEVDISMKSMQNKSITKSFFVSMFLLV >RHN74309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31749495:31750154:1 gene:gene10348 transcript:rna10348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysine--tRNA ligase MEKMHLIIVLDVLQLLCDGCSELNDHVVQQKQFDDQLKHLFDDKAMTLDETLSTSLENGMPPTGGCGLGIDYLTMLLTDSQNIKVQSLYLSLSACSGGVFSFKPLRLLCQPCSW >RHN72624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9231506:9231817:-1 gene:gene8367 transcript:rna8367 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKQKMAIQIQALSLIMLLMASPIMGWGCPRTNRRWCKDCIVNQMKKSCPTCYPVLHCMARCLWDGGFKTNCINKCNCYSCYPTLYDCKRCMTKCKCSCTN >RHN44779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8397613:8399093:1 gene:gene38940 transcript:rna38940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dodecenoyl-CoA isomerase MCSLEKKGNIFILTLTGNDEHRLNPTLLNSIKSALHRVRQQATTSSVLITTAHGKFFSNGYDIDWAQDNKSRIILIDDLLRSVVSELLSLPMPTIAAVTGHASAAGYILALSHDYVLMRSDRGFLYMSELDIDHVIPAWFIAIVDAKVGDAAARRKIVMQAAKVTAKEAVRLGIVDSAHDSVEETVKAAVELGGNLVKRGWDGHVYAENRKKFLGHVVKAVGDVSERNIESKL >RHN74420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33061066:33067353:1 gene:gene10482 transcript:rna10482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ent-kaurene synthase MSLSRFIIPLSCISTSDSSMITSTAKKNKNTATALCFEDTNERIKNMFNKVELSISSYDTAFVAMIPSSTSPHAPFFPQCLNWLLDNQLVDGSWGLPDHHPLLINDALLSTLACILALKQWGIGEDKMNKGLKFIESNLTSINDDKQHHPIGFDIIFPSLIEYAQNLGINLPIGAASLEAMIQKRDKELQRGFQSDSEGWKAYLAYVSEGMLNSLDLNTVLKYQRKNGSLFNSPATTAAAFQHLKNADCLSYLQSVLEKSGNAVPTVYPLDVYARLHMIDSLERLGINHHFKEEIQSVLDETYRYWLQRAEDIFLDPTTCAMAFRMLRLNGYDVSSDPFYEYSEDKFANSLKGYLKDVGAVLELYRASQVIIHPDESILVKQSSWTRNLLKQDSSPYQLYTDKIRIYVDNEVNDVLKFPHHANLERLLNRRSVEHYNADETRILKTSYRSCNLANQEILKLAVEDFNLCQSIQNKELKQLSRWIVESKLDKLDFARQKLAYCYFSSAATLYSPELSDARISWAKNGVLTTVVDDFFDVGSSEEEQVNLIQLMEKWDVDVNTVFCSEAVKIIFSAVRSTICEIGEKSVERQGRNVKDNVIKIWLDLMRSMFTEAEWSRTKTIPTIDDYMQNAYVSFALGPIVLPALYLVGPKLSDDASENQELDHLFKTMSTSGRLLNDIQGFKRESEEGKLNAVSLHMVHGNGVVTYEDTTDKLKGVIEDNRRELLRLVLQEKGSFVPRDCKDLFWKMMKVLNLFYMKDDGFTSNEMHSTVNAVLKDPIILDELLVDSKRHPPPKAVEV >RHN42541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39179271:39187325:1 gene:gene48992 transcript:rna48992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-fatty-acid--[acyl-carrier-protein] ligase MAIIPFPSNTFSYHFFTTTTITLPRTNYARPRCFRVFSQSNTETVQIIRKCSPFLESSMLVGNNNNNNNAAVDSSHEWKVVPDIWRSSAEKYGDKVALVDQYHHPPSTITYNQLEQAILDYAEGLRVIGVRPDEKLALFADNSCRWLVADQGMMASGAINVVRGSRSSVEELLQIYNHSESVALAVDGPEMFNRIAKPFYSKTGMRFIILLWGEKSDLNLIAEENKEVPIFSFMEVIDLGRESRMALSDSHEASQRYVYEAINSDDIATLIYTSGTTGNPKGVMLTHRNLLHQIKNLWDTVPAEVGDRFLSMLPPWHAYERACEYFIFTCGIEQVYTTVRNLKDDLGRYQPHYMISVPLVFETLYSGIQKQISTSPPVRKLVALTFIRVSLAYMEYKRIYEGKCLTRNVKQPSIVNSMLDCLWARIIATILFPIHLLAIKFVYSKIHSAIGLSKAGISGGGSLPLEVDKFFEAIGVKVQNGYGLTETSPVIAARRPRCNVIGSVGHPVQHTEFKVVDSETGEVLPPGSKGILKVRGPPVMNGYYKNPLATNQALDKDGWLNTGDLGWIAPHHSTGRSRNSSGVIVVDGRAKDTIVLSTGENVEPAELEEAAMRSSIIQQIVVIGQDKRRLGAIIVPNSEEVLKVARELSIIDSISSNVVSEEKVLNLIYKELKTWMSESPFQIGPILLVNEPFTIDNGLMTPTMKIRRDRVVAKYKEQIDDLYK >RHN79841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32726899:32735193:1 gene:gene3688 transcript:rna3688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGDKGGKSCPICTEEMDLTDQHLKPCKCGYEICVWCWHHIMEMAHKDETEGRCPACRSPYDKERIVAMAANCRRLVAEMNSKKKIPKLKPKSSDGRKHLTDVRVIQRNLVYIIGLPLHLADEDLLQRREYFGRYGKVLKVSISRTATGVIQHSANNICCVYITYSKESEAVRCIQSVHFFVLEGRSLRACFGTTKYCHAWLRNVPCSNRDCLYLHDHGAHEDSFTKDELVLAFARSRVLQIIGATNNLNRPSGSVLPPPPDDPKHVSSATKVVSKSSLDNQIKESCSSNGAANSTALPAANSWARCVSGSLPKDTSSSSLRAGNLVNRKIEASIDSQALPGVACTERSIRDTKKSGEVKDSSDVHSTNSVSVPSELNKHHIGGNSQTSSVGLGQEKAAPSVSTSFLEITGPHDLNTNKAVSNRDVPGSCSELSSVSINSHVEDSYFTRDSDRILFTPNSTDPSSGKHLHQDNEYCKDHSTTPAFWEDIIVDDMLNKDCDQQQHCKGSDNLASRHYSPHCPQNLSQSSHHLKQENQICNQNHLGKPSEYFTEPLGAGLEKTVKSEGTGSDVDNKVSSDVGENNIISNILSLELDAWEDSIVKLLDESDEPYTSFKAPASRKIQDNNQSRFSFARQNDSLNKTSDLQQSFGVAGHDPKGNYASGGCNGNKDMFAVKHPYTFPSSNYVLSDKFDGSLSLAPSKFSMAKAHASSPPGFAMSDRIPPGFSRGRVEQSCNSSVEHLQPQYAPPSVNISRIGNVEFKNPMVLDPSRGIVEERLNSTPFNLGQTLLPQFNRNEDDARLKLLIQQQSTASQNLRLSDHIGNRFSPQSDAYRTNSRFLDQFQSNTPFSEQLHSQQFSSNILGSNNQWGSWNDSNYFSGLSMSEVLNNEIGGFNNFMPSYENIKFKHLN >RHN61426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35478073:35478649:1 gene:gene23894 transcript:rna23894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPGILRRTSSSKGVEVPKGCLAVYVGEEMKRFVIPISYLNQPLFQDLLNQAEEQFEYDHPTGGLTIPCREDMFLDITSCLSRC >RHN50072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3849594:3852158:1 gene:gene34324 transcript:rna34324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRSPCCDKVGLKKGPWTPEEDQKLLAYIDEHGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLAKRTDNEIKNYWNTHLKKRLTKMGIDPVTHKPKNDALLSSSDNAHSKTASNLSHMAQWESARLEAEARLVRESKIRSHNSLLHHNHLKAWNINLESPTSTLSFNENVAPIMNSGEIGGENSNTINNGNEKNNNDDDNNVVSMIEFVGTNSSSIVKEEGGDDQDQDQWKGYESSLTFTSNLHHELTMSMDQSVDDVIAEEGFTNLLLKTNSEDLSLSESGGESNNGGDGGSGSGSEFYEDNNNYWNNILNLVNSSPSDSPMF >RHN49787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1131399:1131719:1 gene:gene33999 transcript:rna33999 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRIPNAHKKAKTPIRLKHCRIYIAFHEILIWWLPNFYMLLLVLYRCCWCRPHFLPLKKRFMCMWDNYINDRLLNQRPVLCHYDGAKPVSSMKTQKEGKMKVGKK >RHN58285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:535466:535693:1 gene:gene20182 transcript:rna20182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MDQFFDFYWRLGIDGLSIGPILLTGFITTLATLAAQPVTREYQLFYFLMLAMYSGQIGPFSSRDILLFSSCGNWN >RHN69285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42328411:42333677:-1 gene:gene17731 transcript:rna17731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB family MYLSSEKPRPIDFYKEEARDMMMEVVTNTDLQPPPPPPSQHHHHLQQQQPQMILTAESSGDDPEMEIKAPKKRAETWVQDETRSLIGLRREMDSLFNTSKSNKHLWEQISAKMREKGFDRSPTMCTDKWRNLLKEFKKAKHHDRGGGSGSGGKMSCYKEIDEILRERSKCGQYKNVVTPTSKVESSYMQFDKGIDDANISFGPVEASGRPTLNLERSLDHDGHPLAIAAADVAASGVPPWNWRETPGNGGESQSCCGRVISVKWGDYTRRIGIDGTPEAIKEAIRAAFRLRTKRAFWLEDEEQIIRSIDRDMPIGNYTLHLDEGMAIKVCLYDESDHIPVHTEEKIFYTEDDYRDFLARRAWTGLREFDGYRNIDNMDDLRPGAIYRGVS >RHN75206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40149452:40150199:1 gene:gene11377 transcript:rna11377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MDDSEPEFPIPTRKELEIIKNYFNVESEFIAATDTFTTPHDILFRNLAVSIIAKLNLFRCLSRSDDPDSFIPYLAMNYFDRFLSQHKLNLEDVEGRTETERVRLIAVSCLTISSKMRTNSFSVDRFLENLYVGVNLLRILSYG >RHN59574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12150860:12155466:1 gene:gene21622 transcript:rna21622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MAESNEVLLEAQLAVVDGVVDYRGQPAVRSKTGYWRSAWFIIGVEMAERASYYGIQGNLISYLTGPLKQSTAKAAENVNIWAGTASLLPLFGAFIADSFLGRYRTIMLASLIYILGLGLLTLSAMLPSLTSCSTQSQVILFFISLYLVAIGQGGHKPCVQAFGADQFDEKYPKEHKARSSFFNWWYFTMVAGAAATLSILTYIQDNYSWVLGFGIPCVIMIIALIVFLLGTMTYRFNIKDKDKSPFLRIGRVFVAAARNWQNSLSTTDIEEECDGLLRSRQSSKQFNFLNKALVTPKGSKECSISEVEEAKAVLRLIPIWATTLVYGIVFAQVFTFFTKQGSSMERTIFPGFDIPPASLQTINGVAIVVFSAIYDRIFVPLARAITGKTSGITMLQRIGTGIFLSIFTVVLAAFVENKRLKVAQEHGLVDDPNATVPMSIGWLIPQYVLFGVSEVFTMVGLQEFFYDQVPNELRSMGLALYLSIIGVGSFLSGFLISLIEHFTGKDGHESWFCDNINKAHLDYFYWLLAGLSVLGFTLFVYFAKSYIYNHKSVITQG >RHN42462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38549838:38555037:-1 gene:gene48906 transcript:rna48906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MMDVAAEVEENLFAVSDAKLHGQMCKALSVIYCKVLSIFPSLEAARPRSKTGIQALCSLHVALEKAKNVLHHCSVCSKLYLAVTGDSVLLKFEKAKCALEDSLRRVEDIVPQSIGCQVQEIVNEFADVEFALDPLEKQVGDDLIALLQQGKKFDDDGESYELESFHQAATRLGITSSRVALAERRALKKLIDRARTEEDKRKESIVAYLLHLMRKYSKLFRNEFSDDTDSQGSGPCSPTVQGSIEDGVPVGHNQTFERQLSKLSSFNFKPNNNMEPGQMALPPEELRCPISLQLMYDPVIIASGQTYEKVCIEKWFGDGHSNCPKTQQNLPHLYLTPNYCVKGLVASWCEQNGIPIPEGPPESLDLNYWRLVLSESESTNSRSVNSVSSCKLKGVKVVPLEERCISEEYGENGAESSSAQEEDTEQYLSFLKVLTEGNNWKRKYEVVEQLRLLLRDDEEARIYMGANGFVQALVQFLQSAVHEKNLIAQESGAMALFNLAVNNDRNKEIMLSAGVLSLLEEMSSNTSSYGCATALYLNLSCLEEAKPMIGMSQAVQFLTRLLQSDYDIQYKQDALHALYNLSTVPSNIPYLLSSGIVNGLQSLLVDQSDDCTWTEKCIAVLINLATSQVGREEMVSNPGLISALASILDTEELEVQEQAVSCLLILCNRSEQCSDMVLQEGVIPALVSISVNGTPRGREKAQKLLMLFRQQRRDESPVEVHQCPPETSDLSVPPAEMKPLCKSISRRKTGKPFSFFWRSKSYSVYQC >RHN73592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17940883:17941344:1 gene:gene9419 transcript:rna9419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSSKSQAMILLLILTIFSFTFTPSSSDSITIYWGQNTAEGTLKAACDTGLYEIVLLGFLDVFGEGRTPSLNFTGHCRGSSCITLESEINHCQNNVIEVYLSIGGPSGTYSLGSSDDAKNFADYLYTNFLTLPSQKKKKNQVGPLGDVTLNGIQ >RHN51370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16840379:16843986:-1 gene:gene35798 transcript:rna35798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative citrate transporter-like domain-containing protein MALAPIPKVVLGSIAFAIFWILAVFPAVPFLPIGRTAGSLLGAMLMVIFRVITPEEAYAAIDLPILGLLFGTMVVSVYLERADMFKYIGKLLSWKSKGAKDLLCRICLISALSSALFTNDTSCVVLTEFILKIAKQHNLPPHPFLLALASSANIGSSATPIGNPQNLVIAVESKISFGEFLFGIVPAMLIGVVVNALILIAMYWKLLSVHKDIEDPIAEVAAEEDVNSHQFSPATMSHYSSSLNSQELNGYIVESSSIQNSPQVHNLRNRLVSSDSEIDRFHVGSTIDSARNSTASKEGTNNDLASQESKTVVEVDRTIEAHVLISSEGKEYLSADWKRRLWKSCVYMITLGMLIAMLLGLNMSWTAISAALALVVLDFKDARPSLEKVSYSLLIFFCGMFITVDGFNKTGIPSALWELMEPYSRVDRSSGIAILALVILVLSNLASNVPTVLLLGARVAASAAAISQEDEKRAWLILAWVSTIAGNLSLLGSAANLIVCEQARRAPNLSYTLTFWSHLKFGLPSTIIVTAIGLTLIR >RHN66367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11517272:11518086:-1 gene:gene14334 transcript:rna14334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIKVVKFIYVMIIILSLFQLSINAREKVNCLDDADCLEVSCLNGSNAECVGNSCVCVFVFYRENFDEQFRR >RHN67883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31170253:31170522:-1 gene:gene16128 transcript:rna16128 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLRLSICVNQRVLRILIILIMSVNCGRLFTGSNKHPELGLPSLSIILSRRAFGLVNPTRLCLFIILLLQPSTSLYM >RHN61977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39703845:39705831:-1 gene:gene24495 transcript:rna24495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterol 14-alpha-demethylase transcription factor MYB-HB-like family MDQQNQSMRLVLSTDAKPRLKWTHELHQRFTDAINQLGGAEKATPKSLMRVMGIPGLTLYHLKSHLQKYRLGKSQLVETCSDNKQDYIEIQNSDGQCSREISVGNQNQTTESLKIAEALEVQMEVQKKLYEQIEVQKHLQFRIEAQGKYLQSVLMKAQEALAGYSSSSSTTGVEHAKAELSQLLSIINNACPSSPLSELTETRGFSLNFGERKQNRGTMCSLESSLTSSESSERKEEKQTINEAENTPNYNSISVELPLMAIESEGRTFRTNANDGGSGRKRSATIDLDGRCVDQPDGKICGKKPRKSEFSQMLDLNSKYERDIDSSSLEIDLNCSSSF >RHN72773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10605482:10605760:-1 gene:gene8527 transcript:rna8527 gene_biotype:protein_coding transcript_biotype:protein_coding MNCCNRRTSPIPVSNIRWCLPSTGSYKMNVDASGPSDDGKWGLVVVIRDDQGFVYVLANPTRLRCGRSDGNVEGSQICEGAIVLEHTSRIRL >RHN77235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5299891:5302732:1 gene:gene669 transcript:rna669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Porin domain-containing protein MSSKGPGLFSDIGKKSRDILTKDYNSDQKLTISSSTNSGLDLNSTLLKSRGLSSGDVAAQFKHNNKTLHFKVDTDSTVLTTFSATDFVPSAKVLASVRLPDYKSGKIEVQYLHDHAGFTAAVDLNRKPAIDFSATIGTPGIAFGAETSYSTLVGNFTKYNAGLCLKLPSSSASVLLADKGDSMKVSYLRDLEKLNGGAIVGEISRRFSTNENTLTVGCSYVVDPQTTVKAKLNNHGNLEAVLQHDLTHKSFLTISGAFETKALENSPKFGFSLLLKP >RHN72013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4366931:4367164:1 gene:gene7680 transcript:rna7680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucoside 2''-O-glucosyltransferase MLQCIHGLLGHLTTYLHISNKLAERGHMISFLMQRNTISKLEHFNLHPDLISFIPITIPHIDGLPLGSETTADLPFS >RHN72219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5872659:5879799:1 gene:gene7905 transcript:rna7905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MEQKPEVKDEENKPKAEEKVPFYKLFNFADKLDVILMIIGFICAVANGLSQPLMTLIFGKLINTFGSTDPSHIVKEVSKVALLFIYLGVGSGIASFLQVACWMVTGERQAARIRGLYLKTILKQDISYFDTEATSGEVIGRMSGDTILIQDAMGEKASFFLVGKFIQLISSFLGGFVIAFTKGWELTLVLLACIPCIVIVGGFMSMMMAKMSSRGQIAYSEAGVVVEQTVGAIRTVASFTGEEKATEKYNNKLRIAYKSTVQQGLASGTGMGLLLLIIFGTYALAMWYGSKLIIEKGYDGGSVFNIIIAINTGGMSLGQTTPCINAFATGQVAACKMFETIKRKPNIDAYDTSGVIMENIKGDIELKDVYFRYPARPDVQIFAGFSFYIPSGTTAALVGQSGSGKSTIISLLERFYDPEAGEVLIDGVNLKNFQVKWIREQIGLVGQEPVLFTASIKDNIAYGKEGATDEEIATAITLANAKKFIDKLPQGLDSMVGGHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALEKVMTQRTTVVVAHRLTTIRNADTIAVVHQGKIVEKGTHDELVKDPCGAYSQLISLQKGAKEAERSNSSEEDKSRNSFNLDTQRTSFARSISQGSSGSRHSLSLGLTLPYQISGHEYVEGTNGDDESSELDNVKRQKVSVKRLAKLNKPEVPVILLGSIAAAVHGVTLPIFGLLLSSCIKSFYKPAEQLRKDSEFWSLLFLGLGFVTLVALPVQNYLFGIAGGKLVERIRSLTFKKVVHQEISWFDHPSNSSGAVSARLATDASTVRTLVGDTLALIVQNIATVAAGLVIAFSANWILSFIILAVSPLMLIQGYIQTKFLKGFSADAKVMYEEASQVANDAVGSIRTVASFCAEQKVMDMYQKKCSAPEKQGVRLGLVSGIGFGFSFFALYCTNAFCFYIGSVLMQHGKATFGEVFKVFFCLTITAIGVSQTSALAPDTNKAKDSTASIFEILDSKPTIDSSSNEGATLETVKGDFELQKVSFRYPTRPNIQIFKDLCLSIPAGKTVALVGESGSGKSTVISLLERFYNPDSGHILLDGLNIKTFKLSWLRQQMGLVGQEPILFNESIRANIAYGKEGGATEDEIIAAANAANAHNFISSLPGGYNTSVGERGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALDRVSVNRTTVVVAHRLATIKGADIIAVVKNGVIAEKGRHDLLMKIDGGIYASLVALHISAS >RHN79929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33585259:33590718:1 gene:gene3787 transcript:rna3787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MGQGTPGGMNRQGHPGDRKQDGSDKKEKKFEPAAPPARVGRKQRKQKGPDAASRLPTVTPVSKCKLRLLKLERVKDYLLMEEEFVAYQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPAIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHPDFKKAKDKVMFKKKEGVPEGLYM >RHN64866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62565638:62569965:-1 gene:gene27734 transcript:rna27734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation initiation factor 3 MAGITSTTVVPVKLKASHFFSNKLSIPHSLNLQSSPSFTLPSHATVRYGVNLRPSAYGGGGNFRRAPPEKDADDGQALDLSTLSSNTVRLIDQSQNMVGVVSLDQAIRMAEDAELDLVIVSAEADPPVVRIMNYSKYRYELQKKKRDQQKKSAASRMDLKELKMGYNIDQHDYSVRLKAARKFLSDGDKVKVIVNLKGREKEFRNNAIELIRRFQNDVGKLATEEAKNFRDKNIFITLIPNKTEVQKPQETPTKAATDEVSVSVEA >RHN52557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36609752:36610476:1 gene:gene37248 transcript:rna37248 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHARIFLDVICNKFYDIDCPKHIICFILRDFLYHNYEKTMDNHFGIEGGWWRQKDI >RHN79454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29066437:29067405:-1 gene:gene3247 transcript:rna3247 gene_biotype:protein_coding transcript_biotype:protein_coding MDQENPNPPSEQPSKRSTRCCFCLYRVLWIILVTIIILVSLIILVIYIIITPRSFKFHVNQAKLTQFNFTNNNTTLNYNLVLNFTAQNPNKKLKIYYDVVEANAFYKGYNFSATDMNKPLRTLQETKSVDYRMSAVFIGQHVMMLDRDEVDEFQEDYKNGIFGIDIKIYFSIRFRLGNFIFGDTKVQAKCDLKVPLSSNNSGKMVDPFDPTKCVVDF >RHN75111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39455246:39457512:1 gene:gene11270 transcript:rna11270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MLLAMYASVYLTPGLATRIRNGTPGVTTMLQVLVSIQGLILNAKPYFNEPGYERASGSPDGEKRAIRYNEDTFILSLKTMMYMIRKPPKNFEDLVVGHFYSRAHNILGSCLAYMEGAQVGYLAKGRVRIVDESEGKCSDKFKAGLVGHVNNLVKEFEKIGVKDCEKFQKGSSYSLISTSPSLLSISSLSSISLPILSISLLIISLSLFMN >RHN57267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37712292:37713151:-1 gene:gene32789 transcript:rna32789 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFFTFDMRFEGEGYREEDPPTEEDHEELKKTRESIIKALHEEDIRSNESIPDSDDDEQDFQEIKDPRQDAAATAHAVNKVLEELIQSTASKKICDVHHLDRQILTKVLGNLKDSIAQETLNQLQLPASKKICYAHNDDEKSLKNLITQETSINLHNVSDREMLEVLI >RHN65308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1086917:1089002:-1 gene:gene13133 transcript:rna13133 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLQSYDYEDVHPKIEKKNTPESHLLIVQIPDGFARGDIGAKVEYDFGRVRVFGERSGGSNKMIRFNVKYQIPSHCDIGNIKGKIDGKIVTITMRNIPDRAVPQEEEEPTQENNNKAEEVNDQKDQQNTSDQDPKSNVESKEDAQKVTMPQKVQEENSQKDQVTKVDSKGETYHETSTSQEATQESTPQKSLAQKGQEEISQKSQVTKVESKENTHQETSTQSEGTHESNPQKGQDQGIQNKPTDTKDAKLQTEESVKDENKEKQMVVKEETKEHLKKAIESEKSHVVDDSSPTLERKTKDESKGSASVETFPPKKTYKEKGKEMINDKFGDHDEKKILESTRTRIKDMALSTTQAVTSYAKRFSEEDKQKLIYTGATILVVALGVYASYKYRSSRRA >RHN75311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41137934:41139597:1 gene:gene11492 transcript:rna11492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucoside 2''-O-glucosyltransferase MDAPPPLHIAMFPWFAMGHLTPYLHLSNKLALRGHKISFFIPKNTLNKLQYLNLYPNLITFFPITVPHVNGLPLDAETTSDVPFSLVPLIATAMDQTEKDIEILLKEIKPQIVFFDFQYWLPKLTKNLDIKSLQYIILTPVSTAYLGCLQKLSLGKDLTDVDIMKPPSGFPDSCIKFYKHELRFLASTRKIAFGSGVFLFDRLHIGTTSADAVGFKGCNEVDGPYADYIETVFGKPVLLSGPVLPEPTNTTLEEKWISWLKGFENGSVVFCAYGSEGPLEKNQFLELLLGLELTGFPFLAALKPPIGFESIEEALPEGFNERIKGKGIVYGSWIQQQLILEHPSVGCFITHCGAASITEGLVNTCQLVLLPRLGSDHIMNARVMSSKFKVGVEVEKGDEDGLFTKESVCKAAKIVMDDENEIGREVRANHAKMRNFLLSNNLESSCVDSFCQKLYHLF >RHN74385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32518082:32526796:-1 gene:gene10442 transcript:rna10442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSGMNKKKSPNLEQLRMELKTRRYRRHNPYTRPQTLNPPTKPFSSSSSFTSSTSLPRSFPNRKHHQPPPPPPKPPVARKQRCTNCRTCFSAPPGVVQLPCPICQMASSAVKIQCFHCKALVKGPSDLVQFPCPLCFVILDVGDADRKQEEEVNELVEEVEQEEGDGGVAGETFTDYRPSKLSIGPPHPDPIVETSSLSAVQPPEPTYDPMIKNDLERSKALSCLQIETLVYACQRHLQHIPSGARAGFFLGDGAGVGKGRTVAGIIWENWHHGRRKALWISVGSDLKFDARRDLDDMGASCIAVHALNKLPYVKLDSKSVGVKEGVIFSTYSSLIASSDRGRTRMQQLVQWCGPEFDGLIIFDECHKAKNLVPEKDKNPTKTGQAVLAIQAQLPEARIVYCSATGASEPRNMAYMVRLGLWGAGTCFPDFSDFLGALEKGGVGALELVAMDMKARGMYLCRTLSYKGAEFEIVDASLDDRMTHAYKKATEVWVDLHEELLSAIDLCAQVSTNNINTRKIWALYWASHQRFFRHLCMSAKVPTVVRLVKQALMEDKCVVIGLQSTGEARTEDAVTKYGSELDDFISGPRELLLKFVEDNFPLPKKPEILQADDGVKELQRKRHLASRDVSGKSRVRKVAKCQPPSDAESDEESKIVSGLESSDPDYEFPKYEIKEERENLLQCSLCGKYAHQSFVPPIGDFVLEKWACDLCKDKSDKYLLPGPNIIELHKSYDTAMQSRHKILERICALDLPNNPLDDIIDQLGGHDKVAEITGRKGMLVRAPSGTGVFYQTRNSKDVTADMVNMNEKKLFMEGTKLVAIISEAGSAGVSLQADRRVKNQKRRVHLTLELPWSADRAIQQFGRTHRSNQVSAPEYRLLFSNLGGERRFASAVAKRLESLGALTQGDRRAGPSLNAYNYDSEYGKRALELLYGGILGKDPLPVVPPGCLSDRPDTIEDFIMQAKAALVSVNIFKDDGLGGDMDCSMDDKYKRNIRRFLNRLLGIAPEIQNRLFELFVNILDLLVHKARIEGNFDTGIVDLKATVIELQGNPKTVYVDQMSGASADLFAFTLDRGVSWELANTMLNEKQKAGFCSDGDGFYKSNREWLGRHHFILAFESSAPSMCKIVRPTTGESTRDMNLAELTLKYSKVSSLKEAQIGWENEYEVSSKQCMHGPNCKKGKLCSVGSRLQQVNVLGGVIFPIWGNVLKAISKKSQQILKRLRVVRVETTSDNNRRIVGLFVPKEAVDTVLQGFFLSLSILTPFLKSTPAHVPALMGCFHRRNISHYNLYYVQIRIR >RHN59777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13012752:13020793:-1 gene:gene21925 transcript:rna21925 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLRLEGHTTVISCNSGHRSYFFLIISPPIKSMSAFLQMAAFGSLYVMKSKHMLHCSRTKPGHWFLYLLTELLFAANGYSTPKRIIMVQLISIRLA >RHN72037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4559508:4564533:1 gene:gene7705 transcript:rna7705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding protein MGSGFWFKVIVSLRKSKDRRSKKSKGTLSPEKQSALKLNNYTGKEFSGLANGIQNENLGSVETIAATRIQTAFRAYKARKALRRLKGFTKLKILTEGYSVQKQASTTITYLHSWSKIQGAIRARRVCMVTEDRIRRKKQESQLKLEEKLHDFEVEWSGGPETMEETLGRIHQRGEAAVKRERAMAYAFSHQWRANSSQSLGSYELGKASWGWSWKERWIAARPWESRVANISPKKVQNKQSYKVQKDKNTSTSKTPVSVTPPTSNAKGTPKVSTKARRLSYPTTEKEKTVVGGVK >RHN57051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36121281:36124852:-1 gene:gene32541 transcript:rna32541 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLCPNLYHEDGLETVLEVPIPEDIFTNKSGTTWKNMKQWMKPNNESRSSRFEDPNTNIQLLLGVVGAPLIPFPITNEYKPIITRNIKGHNIEASMAKYIVKQYVAAVGGESVLNNVESMYAMGEVRIGTSEFVAGEGGVNSKKVMKKVKKVDMKEELGGFVLWQKRPELWCLELVVSGYKISAGSDGKVAWRQTPWHHSHASRGPPRPLKRLLQGLDPKSTANLFNNSTCIGEKTVNNEECFILKLEAESTSLQTRSSSNIEIIGHTVLGYFSQRTGLLVQLEDTNLIKLKSSETEFIFWETNTESLIQDYRIVDGIQIAHCGKTWVTLSRFGEGPENHSRTSINEVWKIEEVDFNIKGLSLDCFLPPSDLKRDEEKGVVSVSNAKLPYKIQSASFKISVSKVAAIDVDDSCVSENIQDM >RHN65136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64427494:64433985:1 gene:gene28039 transcript:rna28039 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSMNLKSNFLLIIVTGESTKHKFHFLWQAYVLKSFTSLVLPGSSIYFNSIREVYLMRCDLIYLNIKKLKYNDIVIDRILMCLF >RHN73375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15796881:15798244:1 gene:gene9178 transcript:rna9178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSKIFREYIGVKPFSTNLRDFPVEIIKTNISEFHFILGFATEEYDAQNKGTGVFKETWNTRAFGPEAVRNLKGNNPNVKVVISIGGNDTVKTPFNPVEETIWITRAVSSLKVIIQKYKDQTGNIIDGIDINYLNVFHTTNDTGKLRFARCIGEVITQLKNDNYLRIKIVSIAPSETNEIHYRNLFWQNEANINWVNYQFYNQSKAVSTLDDFLKLYDQVSRNYKPSIVLPGVSTDKLHIEPVDKMPREHFIAGCRHLLQIASLPGVFLWNADDSTIPLPNENKPFVLEDILQSLLIG >RHN63341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50508741:50509836:-1 gene:gene26028 transcript:rna26028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MASSSSSSSKRHPTYHGIRSRGGKWVTEIREPRKTNRIWLGTFPTPEMAAAAYDVATLALKGGDAVLNFPNSASKYPVPASNSPDDIRSAATAAAELTAAEAVNNDAGLNGRPWYETEFLDEEAIFSMPRLMVEMAEGMLLSPPRMNPPPSEYLPEYYTSGESLWSYY >RHN47370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39208629:39211793:1 gene:gene41962 transcript:rna41962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative quinol--cytochrome-c reductase MLRVASKKLSSLSASPSWRSYHAASSFLSKNPIPPPSSTSDQRRSDPFSIHPEFFLPFRGFATESLIHTKENSIIPEIPATVSAVKNPSSKIVYDEYNHERFPPGDPSKRAFAYFVLTGGRFAYASLIRLLVLKFVLSMSASKDVLAMASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTDDDVKLANSVDVGSLRDPQQDSERVKNPEWLVVVGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLEENKLLIG >RHN45149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12336362:12337696:-1 gene:gene39366 transcript:rna39366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MEIKSIFLLLLFLPSLTFSLSPPPRPRLCHPDDEKVLLKIKDYFHNTSLFSTWIPHTDCCKWRIVSCKKIPKTTIHRVNFLEIDGADDLVGTIPPLIADLPYLETLIFRLLPNLTGPIPQAIARLPHLKFVLLNWNSLTGPIPDYFSKLPNLATLGLNNNHLTGPIPAYLGRLPKLQGLSLYDNHLTGPIPDSFGSFKAGSQVTLSNNMLSGPIPRSLGTVNFSIFEAAGNRLTGDASFLFGKDKTELAHLDLSRNKLSFDLGKVVMPVGQLDSNLLVLRLENNLIYGKLPAWLGQASLLYDFNVSNNQLCGPIPTVGGKLQGFDPSSFSHNKCLCGSPLPPCK >RHN50166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4625366:4625852:1 gene:gene34424 transcript:rna34424 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGSILLLLWSFFVLFGLTYESGYPDIRYCSVPMRNLTGTCLTGKIDCYAEAMNQYPSRVPARNCVCNTFAEKHACTCCLLCGSKADNIDDFLVNQDDDIDYIPPREC >RHN50431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7085438:7087037:-1 gene:gene34713 transcript:rna34713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MAQKQIWSGIPLFPVLVMFFISRLAETNRAPFDLPEAEAESVAGYNVEYARDAILNSPLLAEANVPGSRGLILTETRGGSLPT >RHN46376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31140431:31149052:-1 gene:gene40858 transcript:rna40858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MFSAGTFERDAVKVDLNSQEFRSLAVSSDGKHLAAGDCNGNLHIYNLQTSDYTCLQGAHDAEILTLSFTLSTQDIYGEIAKGSYFLASGGRDCMIHLYDAERNFDLIGSVGNHSAAVTSIKISSDSCKILSCSADSFLVVHDVVIADNGYKIFMQHRQRASQCGTVYDMAVDQTCETVVTVGQDKKIKAFDMETRRLIRSYNHDNSFGEPIKVIMDPSCTYVVCSFSNKSICIYDFMTGEMVAKATGHAEIVTGVIFLPDCKHLVSVDGDGCVFVWKLPTPLSSKILEKIMEKSNPLPSRIPDQPPACSHLSSCKKECQHCKINHEDVCSFRKEKQSRNGVLNSKSSHRETPSFKYSISRLPRWAQAKVTDYNNVCKNVSDTSLEAYSALSPEIQIPSDHASLSPETVSTQCSSRPRGTFNNTDLDNHWRSVYTVCMDALSSPEMQNILETRFRKTSSSLRQDRAMNSENQISFKHSSLNEEMGVVLDQRIGKKNDSFGYSEEVSDRKAEQSHLEESGSMSKTTLDDNLDSLRCEEESGIFKRHFGSLSNTHKMESRNSSVRRFSARYTVQWDYAGDYKKLFSSPVRNMPDSKRSKEEAATDIIPESRSSQFMEIEEVGNSCEQDPAKEGSTVDRLGLDRDQKEGGREGSELQETIAACKKAFGSLDAAAESAVQLFSKLESYGEEVSSDGVQFLKDAAELLPLIVEKVNTVARLVQCRKNNKSGSGLGVPEAS >RHN53347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1105515:1106200:-1 gene:gene28247 transcript:rna28247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zf-FLZ domain-containing protein MENGPKRRRFYKSQSTICLDDLSSDANAAHVNNDQRIIAKPTSNFVNTPLGQRLFSLGFPAFDAPTKRTSPTLPEGFLHACRWCRKKIDKDMYMYGDFSAFCSLKCRENQMIADNYIVEICSTSGSTAEETGGIKNK >RHN77102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4097039:4104818:1 gene:gene522 transcript:rna522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA(Thr) (cytosine(32)-N(3))-methyltransferase MLDLTAMASGESKLPLETQRLQIYSKPNSGVSPFWREKYEREAKKYWDVFYKHHKDKFFKDRHYLDKEWGDYFSGGGKKVILEVGCGAGNTIFPVIASYPDAFVYACDFSRRAIELVKMHEDFKESHVHAFVADLTADDLCKEIIPSSVDIVTMIFMLSAVSPEKMPIVLQNIKKVLKPNGYVLLRDYATGDLAQERLSGKDQKITDNFYVRGDGTRAYYFSNEFLTNLFKENGFDVHKLDVCCKEVENRSRELIMKRRWVQAVFCVSDGSNSSSKETEVNHLDSDNNIGTEIEKNNCGSITDTVIDMSEGVGADMFGVLPSDEYEIMEINLRGWNFKINLLSKEYQHTCKSTGLMLWESARLMASVLVENPNIVSGKRVLELGCGSGGICSMIASRHADRVVATDGDDFSLDLLAKNVASNIEQPLLTKLTTKKLEWGNKDHIESIKELSDRGFNVIIGTDVTYVAEAILPLFATAKELIAPSESNKDENVPVLILCHIFRRVDEPTLLSAAVQFGFRLVDKWPTGNSPETSRSVIDNWFMDNDLKDDLPNSALNILVFSME >RHN71923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3627079:3629458:-1 gene:gene7576 transcript:rna7576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MNWKLLRSIATNIGSRNRSYYTSKTKKPSLYSVISPLGNQTTSVAPQLDDWVYKGNKVSVGELQRIVRDLRKRSRFTQALQVSEWMNKIGVCIFSPVEHAVHLDLTGRVHGFYAAETYFNSLKDIERNEKTHGALLNCYVRQRQVDKSLSHLKKMKELGFALSSLTYNNIMCLYTNIGQHEKVSDVFNEMKENHVLPDNFSYRICIGSYGVRSDIDGMNAILKEMESQPHIVMDWNTYSVVANFYIKAELSSEAIDALRKCEKRLDDKDGEGYNHLISLYARLGKKNEVLRLWDMEKSACKRCLNRDFITMLESLVKLEEFDEADKILKEWEFSGNCYDYGVPNVVVVGYSEKDFPEKAEAILQDIWKKRKYTDTSSWILVADRYLLKGEMEKALECLKTAVCLYPENKKRKPNHRVISSIYSWIGDNASVEDAEALVRLLKKFQKNRHMYHSLLKAYVRADKEVDGVLDRMKEDNIDETGKTAEIVKMKKEGNL >RHN61373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35230783:35233132:-1 gene:gene23833 transcript:rna23833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, phosphoribosyltransferase MANQNHNQNQRKPKEDFDLKETTPNINAGRVISGDRLPITFDLVEQMKFLFARVVRAKDLPETGKSDTCNPFVEVKLGSFVGTTRVFEKISNPEWNQVFAFSKERIQEQVLEIVVKEKDPVADHPDVIGRVAFTISDIPMRVPPDSPLAPQWYKLEGQNMVKLDQGELMVSVWMGTQADESFPDAWHSDATTTSVENITYTRSKVYISPRLWYLRVNVIQAQDLLLKGNNEIFIQGVLGNLSLRSRPMKINPNPVWNEDLMFVAAEPFDESLLLSVEQGQGNSSKHENLGSCVIHLKDVERRIDATPTASVWYNLQKPKELEGKEEVKFSTRLHLRISLDGGYHVLDEATHYSSDLRPSSKYLNKPSIGVLELGILNAVGLSPMKKDRTDAYCVAKYGSKWVRTRTIVDSLSPRWNEQYTWEVYDPCTVITIVVFDNGHLHGGGKNNVGGKNGDGGVDKRIGKVRIRLSTLESDRIYTHSYPLINLHTQGAKKMGEIQLAVRFSCPSLLNVLQTYAQPLLPKMHYICPLSMFQIDSLRNQAAAITILRFRRAEPPLSKEVVEFMLDMRANVWSMRRGRAQFYRITSLLRGFVSIVKLIEEIHSWKNSVTTIATTEELEEEFDPFPTKFSGGNLQKRYDRLRGISGRVLVVMGDLATQGERVQSLISWRDPRAKALFLIFCLIAAILTYFIPFRYILFISVTYVLRPPRLRFDMPAFPQNFLRRMPAKSDGML >RHN51049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13393706:13395070:1 gene:gene35419 transcript:rna35419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MGGNKISGKIPAELGRLAGLILLTMECNCFEGIIPTNFGKFQKMQVLSLRENKLSGGIPPFIGNLSQLFDLELNHNMFQGSIPPSIGNCQNLQSLYLSHNKLRGTIPVEVLNIFSLSKILNLSHNSLSGSLPREVGMLKNIEALDVSENHLSGDIPREIGECTSLEYIHLQRNSFNGTIPSSLTFLKGLRYLDLSRNQLSGSIPDGMQNISVLEYLNVSFNMLVGEIPTNGVFGNATQIEVIGNKKLCGGISHLHLPPCPINGRKHAKQQKFRLIAGIVSVVSFILILSFIITIYMMRKRNQKRSFDSPTIDQLAKVSYQELHVGTHGFSDRNLIGSGSFGSVYRGNIVSEDNVVAVKVLNLQKKGAHKSFIVECNALKNIRHRNLVKVLTCCSSTNNKGQEFKALVFEYMKNGSLEQWLHPETLNANPPTTLNLRRRLNIIIDVASALHYLHR >RHN79284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27065640:27067894:1 gene:gene3054 transcript:rna3054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MELALSLGDTPKLPLSFLDKPNKDPLPSFFSTQQGKTSEEEKRGSSDPPIQLDLLPFTPVLRSPHPSSHLPIPWLTQPCGPVRELDVNRFPAATTEDVDDGTSLSSPSSSVSPFAMDFSMRNNNNAEYGGRNKRENEGEAERGSDDDENGSTRKKLRLSKDQSAFLEESFKEHTTLNPKQKLALAKQLNLSPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELQELRALKTTQPFYMQLPATTLTMCPSCERVATNPCNNQTNTNKSRFSNAQAQAQAHQISS >RHN56726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33230739:33231825:-1 gene:gene32166 transcript:rna32166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ovate protein family MKVKALSIFKSKFLKPCKKLIFFFKLKPKKLIFIRAFRKAKSPKPSSPSKPMSSLLSVFCSPKKSRDYNMLQSFKSPSNMQETPIFPSPLTPACVRKNQTEGSKSEASSEEVEDACRSFENYLAEMIVAEGKTKDLMDVEELLYCWKNLKCPVFVDLVSRFYGELCKDLFSPDNEEYDKLKE >RHN60957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31839961:31840881:1 gene:gene23362 transcript:rna23362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEGTSPSSTADDFYFSAIHDKEIFRISEETYAEELQIQEALLFSTLMSNTTIDVKNEIQVVDAKVDLKQKQKEAFVGESSSSSSQLKQSYCAICMEAKPVEEMFQNQKCSHSFCEDCLGRYLAAKIQESISMVKCPDPKCNDILEPHDCCSILPKDVFDRWENALCENMVLGSQKFYCPFNDCSAMLLNDEKGIVTASECPHCHRLFCAQCKVSWHIGVDCKEFLSLKDGERGREDLMAMELAKNKRWKRCPKCGFYVEKIVGLFAMLGDIGDRSRISLIPLGLCRGQGSNPGHPTSPHLIVSFSY >RHN56572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31968096:31974826:1 gene:gene31995 transcript:rna31995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADALLGVVFENLTSLLQNEFSTISGIKSKVQKLSNNLVHIKAVLEDAEKKQFKELSIKLWLQDLKDAVYVLDDILDEYSIKSGQLRGSSSLKPKNIMFRSEIGNRLKEITRRLDDIAESKNKFSLQMGGTLREIPDQVAEGRQTGSIIAESKVFGREVDQEKIVEFLLTHAKDSDFISVYPIFGLGGIGKTTLVQLIFNDVRVSGHFDKKVWVCVSETFSVKRILCSIFESITLEKCPDFEYAVMEGKVQGLLQGKRYLLVLDDVWNQNEQLESGLTQDRWNRLKSVLSCGSKGSSILVSTRDEDVASIMGTWESHRLSSLSDSDCWLLFKQHAFKRNKEEDTKLVEIGKEIVKKCNGLPLAAKALGGLMSSRNEEKEWLDIKDSELWALPQKNSILPNGFISSMGNLDVDDVGNTVWKELYQKSFFQDRKMDEYSGDISFKMHDLVHDLAQLVMGPECMYLEKKNMTSLSKSTHHIGFDLKDLLSFDKNAFKKVESLRTLFQLSYYSKKKHDFFPTYLSLRVLCTSFIRMPSLGSLIHLSLEKGNSLTELRDLNLSGKLSIKGLNNVASLSEAEAAKLMDKKDLHELCLSWGYKEESTVSAEQVLEVLKPHSNLKCLTINYYERLSLPSWIIILSNLISLELEECNKIVRLPLRGKLPSLKRLRLSRMNNLKYLDDDESEDGMKVRVFPSLEKLLLDSLPNIEGLLKVERGEMFPCLSRLDIWNCPKLLGLPCLPSLKELEIWGCNNELLRSISTFRGLTQLSLYNGFGITSFPEGMFKNLTSLQSLSVNGFPKLKELPNEPFNPALTHLCITYCNELESLPEQNWEGLQSLRTLKIRNCEGLRCLPEGIRHLTSLEYL >RHN59936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14982206:14985085:1 gene:gene22107 transcript:rna22107 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMAVTFICTLFMTLLFAASAFAKVHPRSPEVFFRNGNFEQQPNPGYIKQTRLMGKHALPNWETNGLVEYITGGPQPGGMFFPVSHGVHAVRLGNEASISQTIKVKPGTWYAIILGATRTCAQDEVLRISVPLQSGDVPLQTLYSLNGDVIAWGFKARSSFAKVTFHNPGMQEDPTCGPLLDAVAIREFYPPMPTRANLVRNPGFEEGPFPIFNSTNGVILPPKQQDLVSPLPGWIIESLKAIKFIDSNHFQVPFGKGAVELVAGRESAIAQILRTVTNKVYNLKFTVGDGRNGCHGSMMVEAFAARETLKVPFKSVGKGIFKTANFNFKAVSNRTRITFYSSFYHTKIDDYGSMCGPVLDQVIVSPVA >RHN76293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49177627:49181339:-1 gene:gene12592 transcript:rna12592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exoribonuclease II transcription factor C2H2 family MDNEEDPPEPPTKRHKCSACYKQYKKKEYLVEHMKTAYHSIHQPKCVVCEKHCKSFESLREHLTGPLAKGLCSAVFSQYGCQLCLTRFDSHASLSDHRETCRLAAPVPLGTNEFPCTNIHNNFLDSSDENDADCLPRAIAMDCEMVGGGSDGSLELCARVCLVDEDENLIFHTYVKPQIPVTNYRYDITGLTEEHLQDGMPLKEVREKILQILYNGESIGKVRLDGGKARLLVGHDLAHDLDCLGMSYPDHLMRDTAKYRPLMKTNLVCYSLKYLTRTYLGYDIQTGTHNPYEDCISVMRLYKRIRGQSHKEKGYRTLTPSDNILDMFDSWRSKELDNLTPDELYAISKSDYRCWCLDLKPRLAQA >RHN78449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15263981:15266474:-1 gene:gene2016 transcript:rna2016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzyl alcohol O-benzoyltransferase MAQSLVFKVKRCAPEFVRPSKPTPHEIKLLSDIDDQEGLRYQMPVIQFYNYVPVMAEKDPVDVIRKALAKTLVFYYPFAGRLREGPGRKLMVDCTGEGVLFIEADADVTIKDLGDTLHPPFRCLDELLYDVLGSSDVLNTPLLLIQVTRLKCGGFIFALRLNHTMSDAAGLVQFMNALGKISRGMNKPSISPVWHRELLNARDPPRVTCTHHEYEQVPDTKGTIISLDATILRSFFFGPTEVAAIRALLPPHQQEHSNFEILTAFLWRCRTIALQPDINGEVHIVNTHGKFINPPLPNGNYGNSFAFPAAVTTAVKSRPHFTVVRSYLVVDIKHAGFREVDFGWGKPCYGGPAKGGVVSSSYIPFKNAKGIEGLVIPVCLPTKAMERFIKELDGVLKNKINQPTMGGPKPRFIISPL >RHN38832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2332188:2338422:1 gene:gene44801 transcript:rna44801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate--tRNA ligase MMAALSNSSPWTKLATPSLPFPFLHQSYSSYTSRIFSFRRKFSVNALSQQQSLPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTRESEEAVLRDLTWLGLDWDEGPGVGGDYGPYRQSERNSMYKQYAEKLLQSGQVYRCFCSDEELEKMKKDAKLKKLPPVYTGKWANATDAEVEEELAKGTPYTFRFRVPKGSLKITDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALKFPMPHFAHVSLILAPDRSKLSKRHGATSVGQYREMGYLPEAMNNYLALLGWGDGTENEFFTLDKLVERFTIERVNKSGAVFDSTKLRWMNGQHLRARPSEEVTKLIGERWKTSGFLTVSEGPFVEELINLLKDGIDLITDADKVLSNLLSYPLHSTLQSEEAESAIQDNLPEFCASFLAAYDSGDLVGALEEGQAGWKNWVKGLGKSTKRKGKSLFMPLRLLLTGKLHGPDIGAAVVLLYQAGTTGVIAPEVSFVTIDERVKMLREINWETLSKDHVVKETASTV >RHN44850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9090257:9090761:1 gene:gene39016 transcript:rna39016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MSLLIGRKFSDPVVQRDILLWPFKVISGVNDKPMITVKYEGLEKQFCAEEISSMVLTKMREVAEAYLESPVKNAVVTVPAYFNDSQRTATIDAGTIAGLNVIRIINEPTAAAIAYGLDKRNDREGNRNIFVFDLLF >RHN49919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2286945:2289437:1 gene:gene34151 transcript:rna34151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MIIIVFICIIIVFMLQTQIFYPSPLGHRSFSLPNKMDLVLDFLSSVTSVALFSIFLFSFLFLYRSYKVSHSKEAPIVQGAWPILGHLPLLRSSQSPHRTLGALADKYGPLFTIKLGSKRALVVSNWEMAKECFTKIDLAISNRPKLEATQHLGYNGAMFALAPYGSCWRQVRKIATSEILSHRHVEQQQYFHTSEVQAWIKELFNVWFSKKNESSNYALVELKQWFTQLSFNIVLPVLVGKRYFGATNVANEEEAQRCIKALQELMRLLGVFTIGDAIPFLKWFDFGGHVKAMKATSKELDKILGELLEERRHKRSLSKKIDHDHQDFMDALLSLLDETPIEGCDSDTTIKATILTLIGGGIETTSVTLTWAICLLLRNPLILKKAKEELDAQVGKERCVRKSDIDKLVYLQAIVKETLRLYPPGPLSVPREFSENCNLGGYDVRNGTRLILNLWKIHTDPNVWSDPLVFKPERFLTTHKDIDFRGNHFELLPFGGGRRICPGISLGLQMLHLTLASFLHSFEILNPSSEPIDMNETFGLSNTKTTPLEILIKPRLSSNCYEIM >RHN49676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:230920:231414:-1 gene:gene33880 transcript:rna33880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MISTTKIVISFSMLLAILFALQVKDGESVDSFFDRPTVTVFIDNNISDFQLGVNCEDSHNKIGFRSLKFGETYSFMLKPNFAETTLYHCRFIWGTVSHHFDIYIQHRDRDDCKHECHWKINKSGPCKEKTDSEECFPWNPNLVKRIMLLMCNWINIFSIKIYVS >RHN48453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47589591:47591850:1 gene:gene43170 transcript:rna43170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNILGDPFSPSSSTGGFDKLQNTNPNPNRPQTNKKKRNLPGTPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSNKEPRKKVYICPENTCVHHDAARALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHTKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAVESARHSSPTTLNFQNEESNMMNTQTSLAHGLISSQGLQNIQQFSPHAGFHHEQRPNLSLWLNQENQQINHHSYSLDHVSSGFSDVIQMAQTNTPMSATALLQKAAQIGSTRSSTNPSIFSGSFGVMSSSSTQTTSLNKNHDEVNMVINQKMKQHENFDPSSSRSATMVGNSSGFRDFLGVSNHQFLPQELAKFASINSTMMSLNQFNAGCINEN >RHN74365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32227184:32233363:1 gene:gene10416 transcript:rna10416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MANSEWSQIFAITLATIFLCNNNFTFATDPFVQQEHDRIDRPLPGQNFNISFEHYSGYITVNEDAGRNLFYWFIQADHVDPTSKPLLLWLNGGPGCSSIAYGEAEEIGPFHINSDGKNLHFNPYYWNQVANFLYIESPVGVGFSYSKNSSDILNNGDKRTAEDNLIFLLKWFERFPQYKKTDFFISGESYAGHYIPQLSQVIVKYNSATKQDSINFKGFLVGNAVTDDFHDQLGIFEFLWTNGMISDQTFKLLNLLCDFQSFEHPSKSCERILEIADKEMGNIDPFSIFTPPCHENDNQPDRRKHSFGRLRGVYDPCTENHSNIYFNRPEVQRALHVNPDHKPDKWQTCSDVVGTNWKDSPRSVLNIYRELIPTGLRIWIFSGNTDAIIPVTSTRYSINALKLPTVSPWRAWYDDGEVGGWTQEYAGLTFVNVRGAGHEVPLHRPKLALTLIKAFLEGTSMPTLEPRTLTATI >RHN68102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32864247:32864975:-1 gene:gene16400 transcript:rna16400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MTRKKVKLAFIINDSARKATFKKRKKGLMKKVSELSTLCGIDACAIIYSPYDPQPEVWPSPLGVQRVLSKFRKMPELEQSKKMVNQESFLRQRIQKAKDQLTKQRKDNREKEMTQLMFQYLSADQVMHNISMVDLNDMAWLIDQYLKDINRRVEMLSRNGQGQGQTQTQTQMVASTMVANPVPKIEENGQGSHGQHVLDMNMDVMQKQHFFMNLMNNGGGDQAPPMGNVNPPNGFWPNPFFR >RHN64365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58518329:58524774:-1 gene:gene27177 transcript:rna27177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MNSIPSPPPSSSPSSTILTQSKNPNYLFKFNLKVPILPFHSSSSHLTAHAPCCTLRDSNSVLEKNAPSSEQSTRNYIPPKDSNFDGTLVVRRPEFSGENSGEEVKKEKEIVKEEAKASSIDVGLTTFAKKMPIFEPGRVESDSKDKLLTVNLDLALYKAKVLARNFRYEEAEEMLLKCLSYWPEDGRLYVALGKIMIKQSKTSQAREIYEKGCQATQGENAFIWQCWAVLEKKMGNVRRARELFDAATVADKKHVAAWHGWAVLELKQGNITKARNLLSKGLQYCGQNEYIYQTLALLEAKANRYQQARYLFNQATRCNPKSCASWLAWAQMEVEQENYRIARKLFENAVQASPKNRFAWHVWGIFEANLGKIDKGRKLLKIGHALNPRDAVLLQSLALIEYKHSSANRARVLFKRASELDPKHQPVWFAWGWMEWKEGNLNTARELYRKALSIDQNSESAARCLQAWGVLEQRVGNLLAARRLFRSSLNINSQSYVTWMTWASLEEDQGNPVRAEEIRNLYFQQRTEVVDDASWVMGFLDILDPAIDSLRRLLKMNPNSFNVPPDSMRNITGSKKNMVDYSSEDDDNDVDNDSEFDLDAFIMDRLSIDVSKLEVQLETPKFPSTNKILSPRRIWRPSSRTANQSVSSKYR >RHN55097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15157391:15157911:-1 gene:gene30225 transcript:rna30225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA N-acyltransferase MVCLLNFSLSKRRRKKKRVRGIGRHLLKASEELISRMSSSREVYLHCRMIDEAPLNMYTKADYKIVTTDSILALLMLQRRKHLMCKKLPLITMPSKTDVPSSDDYE >RHN50455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7261738:7262103:1 gene:gene34738 transcript:rna34738 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLNYVVMLVALTFAYGLCNADTIYIRLNNFISDEVVLSCTSEGGFVTHIAPNYFFVWRFPIGKTEHCRAEWKGLHASFIAYDPQYDGKGADLFWIAKKEGLFHSFDDRSYDKNVSWVKS >RHN72600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9058985:9059418:1 gene:gene8339 transcript:rna8339 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSIPNLGYHAVMSSLVRMNDMEGAKKTLRGMGFCKHMTEGGECPNSTTWELLSEGHISERRVYEVLSFLEKGFMTRDSKNWKAKPIKLAAFLKLCGRRRRHGERQGFNSAKFS >RHN48998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51658464:51659326:-1 gene:gene43777 transcript:rna43777 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLPFLLIIFMLVFSANTKATSLVAKDQVPCTMCAECENPCQPLPPPPPKVLECPPPPSPSPPPPTPPPSPPLPPAIVECPPPPPAPKSPCPNNCESPPMTPYPPQNSYFPPGTPFPYYVPPDYYNKNSGETLVPFQAIFFSNPNSHFVYSIIQCLINLLFLCLPYV >RHN62709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45314799:45317258:1 gene:gene25310 transcript:rna25310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Caspase-like domain-containing protein MLSGLTLQTMEVTSKYRRYKKGDLSPTNTTVHVCNACRNICSSHHQSGETKAPCRGCCCFLCNICNSALEHGKSRENCLVGSVKKIGSKLFKLDNSNKNGNFLGWSSSPSPSIAFPSSFPRRYNKRAVLCGVSYSTRKFRLKGTINDTNNMRELLIKNFKFPNQCIRVLTEQEQNVDLIPTKHNILESLRWLVKDCEAGDSLVFYFSGHGLQQPDFKEDEIDGFDETLCPVDFIKEGMISDNEINSTIVWPLKKGVTLHAIVDACHSGTILDLLHVYNYQSGIWEDNKPPSRDPIRKHTSGGLAICLSACEDNQMAADSAVFGGKGMNGVLTYLFTKTIREYPRGITYRGLLAKMHEEIKKINRNRSFSYRILHRKIIAQDPLLSSSERFDVSTTIFTL >RHN73057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13106284:13109258:-1 gene:gene8834 transcript:rna8834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative iron-sulfur binding protein LdpA MASLRFFCATNISVSTKHKAKVDKKCVVHQKVKNLINTLELPSISSSSSTPLESLQRGNWVKLICGASFEDVVDIRNLSLVYTLAGVDCIDCAADASVVSAVNEGIEAARDILCCLRRPWVMISVNDDKDLHFRKAEFDPEDCPSDCSRPCENVCPANAISFQEKSTSQISCNTEAPRVLKDGVITERCYGCGRCLPVCPYDKIREVTYVRDAVTTSDLIKRNDVDAIEIHTSARQSRLFEELWRALADSVENLKLVAVSLPNVGDSTISSMNKMYSIMKPNLRNFNLWQLDGRPMSGDIGRGATKESIAFAVQLAKAKDRPPGFLQLAGGTNAHTIEGMKKEGLFRTTSLKYLDHENSTVSTSNSSCALISGIAYGGYARKIVGRVLRSMQSQHGGAASIEDHPEHLLLALREALALVGPVKCL >RHN52907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40258690:40261722:-1 gene:gene37643 transcript:rna37643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MQENNSAMDELNKQQNYSYSYHPLPCSDSNVDQINNEHNISAEEDCHKNKVYHLNKYALAGAILASTNSILLGYDIGVMSGAVIYIRKDLKISSVQVEILVGCLNVCSLIGSLVSGKISDMIGRRYTIMIAALTFLIGALLMGLAPSFTFLMFGRVIAGIGVGFSLMISPVYVAELSPDLTRGFLTSLPEVFISFGILLGYVSNYALSSLPIGLNWRIMLGIAALPAILVALGVLAMPESPRWLVMKGKLEEAKQVLIRTSSNKGEAEFRLSEISQAATNSSTSSRHGQGVWKELLITPTRPVLRILIAAVGINFFMQASGNDAVIYYSPEVFREAGVKGEKQLFGVTIIMGIAKTCFVLFSALVLDRFGRRPMLLLGSSGMAVSLFGLGMGCTLLHNSDEKPMWAIALCVVAVCAAVSFFSIGLGPTTWVYSSEIFPMRLRAQGTSLAISVNRLISGVVSMSFLSISEEITFGGMFFVLAGVMVLATLFFYYFLPETKGKSLEEIEALFEEELS >RHN55137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15460639:15460950:1 gene:gene30271 transcript:rna30271 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCLCCSFVNDVKPKALEPFNDYQQVEIIKKGYGFRAKSVASDGIPPGLLRKKRWKLKASTPRNHHLSEALGSNDSLRLKLPNFNFPIFNDCSESGIVHLCL >RHN62730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45484116:45489734:-1 gene:gene25332 transcript:rna25332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MAAVGVEVKHAEGAPEEHCSAKPTKQGEGLRQYYTQHIHELQLLQRQKTHNLNRLEAQRNELNSRVRMLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKNIDITKITPSTRVALRNDSYVLHLVLPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRRDILKIHSRRMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >RHN43520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46472714:46477898:1 gene:gene50115 transcript:rna50115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha,alpha-trehalase MDDVKPSTPLVSFLEILQHTAFNTFGNSNFDPKTYVDLPLKFPLSVTDHAFHNLSKSSTGSVSVHDLNRFIETYFHAAGHDLVYSDPEDFVPEPDGFLPKVKNPEVRAWAIKVHSLWKNLSRKVSSEVKTHPNYHTLLPVPGSVVIPGSRFREVYYWDSYWVIRGLLVSKMYKTAKSIVTNLISLIEEYGFVLNGARAYYTNRSQPPLLSAMIYEIYARTGDIELVKRSLPALLKEHEFWNSDIHKVNISDAQGCTRTLNRYYARWNKPRPESSTMDKASASKFTTVSEKQHFYRELASAAESGWDFSTRWMRHPPNFTTLSTTSVIPVDLNAFLLGMELNIAFFANVTGDNRTAEHFLQISDVRKEAINSVFWNANMKQWLDSWLSNTTHEKVQVWDTLHQNQNVFASNFVPLWMKPFYSDALLVSNVLKSLKTSGLLRAAGVATSLSDSGQQWDFPNGWAPLQHMLVEGLIKSGLEEARSLAEEIAIRWITTNYIVYKKTGVMHEKFDVEHCGEFGGGGEYVPQTGFGWSNGVVLAFLEEFGWPEDRKIEC >RHN67765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30089014:30090153:1 gene:gene15992 transcript:rna15992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-acetate beta-glucosyltransferase MFYKKNGENFIDYIPSNSWIHLADIPLLDKNNQQILQWSLKSCQWILKAQYLLLPSIYELEPQVIDALKSKLTIPIYSIGPNIPYFNLGHKSHSLNGVAQSYIDWLNLQPDGSVLYISYGSYLSVSRTQMDEIAAALQDSGVRFLWVARDETHRLKHMCGKMGFVVTWCDQLRVLLHPSIGGYWTHCGWNSVIEGVFSGVPFLTFPLVLDQPLISKIIVEDWKIGWRVKKDDKFDTLVRREKIVVLIKKFMDLDFDLGRDLRKRAKELQLLCQLAMKKGGSSEINVKAFLKNIMESGLTHEASNGLHEVQVAIENFN >RHN59967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15409575:15415696:-1 gene:gene22141 transcript:rna22141 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYQDANYYRGSLCYRLILFVIWLPNFLDVTAQEVHADHKRTTSLGVLASEPTSGDTGLFEPIEISPAVIPKVPFPTESGPPMYPIPSFPSRYEPVLTGKCPVNFSLPEISEILDRTAFDCAVPLASLVGNVICCPQFSSLIHIVQGLFGRKSNNLVLPNTVADHCFSDVISILASRGANSSLSTLCSIKSSNFTGRSCPVKDHATFERTVNTSKLVEACRTVDPLKECCRPVCQPAIMDATLQISGRQMMINNDDHMAGEMNHTDYLNDCKGVVYSYLSKQLSIEAANKAFRILSACKVNKVCPLTFTEPSDVIAVCQNVAAPSPSCCSSLNTYIAGIQKQMLVTNKQAIICATQFGSMLRGGGVMTNVFELCDVDLKDFSIQAYRQDVGCLLRSLPGDVIFDNSTGVSFTCDLNDNIPAPWPSSSSFTSLSLCAPEMSLPALPISQSLKNIGCNSAGVGLLAIIFSFFISTVVLRF >RHN71629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1485250:1487902:-1 gene:gene7248 transcript:rna7248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller MGNIIGPSNAKARRSAPASEIFPGESCKRQRLLSTCYEDDSRLIPSLPDEISAQILARVPRIHYLNLKSVSRAWKAALASSELFCLRKELGTTEEWLYILTKVNDNKLLWYALDPISGKWQRLPPMPNVFVEDEAKKGLAAIPHRMWSMLGSSIKIADVIMKWFIRKDALDQMPFCGCSIGAVDGCIYALGGFSKASAMKSVWRYDPVTNSWTEGSPMSVGRAYSKTGVLNNKLYVVGGVTRGRGGLNPLQSAEVYDPHTGTWSQLPNMPFAKAQVLPTAFLADLLKPIATGMTSYRGRLFVPQSLYCWPFFVDVGGEVYDPDINSWLEMPGGMGDGWPARQAGTKLSVTVNNDLYALDPSSSLNYAKIKVYDEEGDTWKVVAGDVPIHDFADSESPYLLASLLGKLHVITKDANHNIAVLQANMQNELASSQSMLSSPDSERAESSAESETEIWKVFASRSGRSSELVSCQSLKV >RHN69230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41979904:41981303:1 gene:gene17672 transcript:rna17672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronoxylan 4-O-methyltransferase MKNRYYYPLQQRKLFIGLTTIGLIVAALFIATAITTFGTSSSLHCPISSSIRARSNEDNNPSPIQLRAILHYATSRVVPQQSVSEIKISFDVLKTYDRPCNFLVFGLGHDSLMWASFNPGGNTLFLEEDPKWVQTVLKDAPGLRAHTVRYRTQLREASKLISSYRKEPMCSPSKAFLRGNKACKLALENLPDEVYDTEWDLIMIDAPKGYFAEAPGRMAAVFSAAVMARNRKGSGVTHVFLHDVDRRVEKLYADEFLCKKNLVKGVGRLWHFQIAPFNGTDSPRFC >RHN43668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47616610:47618987:1 gene:gene50279 transcript:rna50279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAT dimerization domain, ribonuclease H-like domain-containing protein MQLEEENSEDFEMLRYWKLNAKKYLTLSIMARDILSIPITTVASNSSFSIGGSILTKYISSTFPEHVQMLICARSWMHGFSENSNDGA >RHN65562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3235922:3239375:1 gene:gene13410 transcript:rna13410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLRKLGIKSVRQEYGNALSAAIQEMKQLESLNIGAITKDEILDLDLASAPSRLRVLNLKCRLTKLPNWIPNLQYLVKLRLGLSNFKDDPLDSLNTLPNLLRLNLWDDAFSGERLHFKKGGFPKLKELDLTRLNRLSSISIDEEALVDLEHFRFRNNPQLKVLPHDLQNLKNLQFLGFAEMPVELVDSIDPEKGGTCRWIINHIPLVQIRQNVGSKFHDYMLHRIPTKIKV >RHN44858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9117539:9124111:-1 gene:gene39024 transcript:rna39024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl-phosphate beta-glucosyltransferase MEFLCLIVTFAVTLMLGFFLVIFVEAYRRRNNHQHIEVPAIFEDPNSLTQVPCPHVVDPASKYISLIIPAYNEEHRLPGALEETMIYLQHRASKDPSFSYEVVIVDDGSVDGTKRVAFEFVRKYTVDKVRVILLGRNHGKGEAIRKGMLHSRGELLLMLDADGATKITDLEKLENQIRAVAKKDGDSSGSDSSFRMSDTPVVVFGSRAHLEEKALATRKWYRNFLMKGFHLVVLLAAGPGIRDTQCGFKMFTRAAARKLFSNVRLKRWCFDVELVFLCKWFRIPISEISVIWSEIPGSKVNLLSIPNMVWELLLMSVGYRIGVWRISNST >RHN68276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34190643:34193890:-1 gene:gene16610 transcript:rna16610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MINDLFQFNQKSLIQIQPCEYSVKFLEREFHHSSQSHPTRGISHCNCAQRISGSQQQNKHVLRVRELTKTTMENQESSMRGSRWSLKGTTALVTGGTRGIGHAVVEELAEFGATVFTCSRNEEELNKCLNEWKEKGFSVYGSVCDASSPSQREELIRQVASAFNGKLNILVNNAGTNVRKPTIEYTAEDYSKVMTTNLDSAYHLCQLAYPLLKESGNGSIVFISSVASLISVGTGSIYAVSKAAINQLTKNLACEWAKDNIRSNCVAPWYTKTSLVEQFIANKEFVDEVLSRTPIKRIAETHEVSALVTFLCLPAASYITGQTVSVDGGFTVNGFSGLFK >RHN50942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12032897:12037279:-1 gene:gene35298 transcript:rna35298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endonuclease/exonuclease/phosphatase MEGYEFTWFKSLGTIRVVEEKMDRALATDKWFDFFPTGRLECPTTTASDHYPLLLETMPKVSSTNRNRQFRFENAWLIEPEFGPYVIQKWEGYGHTTIMHKLKNCIVDLLQWSKDNFQPIRKEIEKCRKKLERV >RHN57097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36448068:36448379:-1 gene:gene32595 transcript:rna32595 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRSGKTFGIKITCVIISITKVKSIKTKQAFTNVRNDNIYGPLCAPPVDPLETLVVMTMSFIGQKIDMEIHNINCQVPQQLDYIFELMVHNEVQQIKDLKAK >RHN56548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31813848:31818204:-1 gene:gene31964 transcript:rna31964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MACALLGVVFENLTSLLQNEFSTISGIKSKAQKLSDNLVHIKAVLEDAEKKQFKELSIKLWLQDLKDAVYVLDDILDEYSIESCRLRGFTSFKPKNIMFRHEIGNRLKEITRRLDDIAERKNKFSLQTGETLRVIPDQVAEGRQTSSTPLESKALGRDDDKEKIVEFLLTYAKDSNFISVYPIVGLGGIGKTTLVQLIYNDVRVSRNFDKKIWVCVSETFSVKRILCCIIESITLEKCHDFELDVLERKVQGLLQRKIYLLILDDVWNQNEQLESGLTQDRWNRLKSVLSCGSKGSSILVSTRDEDVATIMGTWESHRLSGLSDSDCWLLFKQHAFRRNKEEHTKLVEIGKEIVKKCNGLPLAAKALGGLMVSMNEEKEWLDIKDSELWDLPHEKSILPALRLSYFYLTPTLKQCFSFCAIFPKDREILKEELIQLWMANGFIAKRNLEVEDVGNMVWKELYRKSFFQDSKMDEYSGDISFKMHDLVHDLAQSVMGQECTCLENKNTTNLSKSTHHIGFNSKKFLSFDENAFKKVESLRTLFDLKKYYFITTKYDHFPLSSSLRVLRTFSLQIPIWSLIHLRYLELIYLDIEKLPNSIYNLQKLEILKIKDCRNLSCLPKRLACLQNLRHIVIEECRSLSQMFPNIGKLTCLRTLSVYIVSVEKGNSLTELRDLNLGGKLHIQGLNNVGRLSEAEAANLMGKKDLHELCLSWISQQESIISAEQVLEELQPHSNLKCLTINYNEGLSLPSWISLLSNLISLELRNCNKIVRLPLLGKLPSLKKLELSYMDNLKYLDDDESQDGVEVMVFRSLMDLHLRYLRNIEGLLKVERGEMFPCLSYLEISYCHKLGLPSLPSLEGLYVDGCNNELLRSISTFRGLTQLTLMEGEGITSFPEGMFKNLTCLQYLEVDWFPQLESLPEQNWEGLQSLRALHISSCRGLRCLPEGIRHLTSLRNLQIYSCKGLRCLPEGIRHLTSLEVLTIWECPTLEERCKEGTWEDWDKIAHIPKIQFTED >RHN42729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40509075:40512116:-1 gene:gene49212 transcript:rna49212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stachyose synthase MAPPNTTLDIVKTESLLDLSEGKFTVRGVPLFHDVPENVSFSSFSSICKPSESNAPPSLVDRVLSFSHKGGFFGFSNETPSDRFMNSLGSFNGRNFVSIFRFKTWWSTQWIGRSGSDLQMETQWILFEIPEIRSYAVIIPIIENGFRSALHPGSDDHFMICAESGSTKVKALSFNAIAYVHFSENPYDLMKEAYSALRVHLNTFRLLEEKTLPNLVDKFGWCTWDAFYLTVNPIGVFHGLDDFSKGGVEPRFVVIDDGWQSIILDGDDPNVDAKNLVLGGEQMTGRLHRLDEGDKFKKYESGLVLGPNSPPFNPKTIKELITKGIEHEHLERQREEAVLSKSSDLAEIESKIKQLVKEIDDLFGGEESSGAPKSELKDYGLKAFTRDLRTKFKGLDDVYVWHALCGAWGGVRPGATHLDAKIIPCKLSPGLDGTMHDLAVVNIVKGAIGLVHPDHVSDFYDSMHSFLAESGVTGVKVDVIHTLKYVCDEYGGRVNLAKAYYEGLTKSIAKNFNGSGIIASMQQCNDFFFLGTKQVSMGRVGDDFWFQDPNGDSMGVFWLQGVHMIHCSYNSLWMGQMIQPDWDMFQSDHICAKFHAGSRAICGGPVYLSDNVGSHDFDLIKKLVFPDGTIPKCIHFPLPTRDCLFKNPLFDQTSVLKIWNFNKYGGVIGAFNCQGAGWDPKEHKFRGFPECYKPITGTVHVTEVEWAQKK >RHN49830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1520016:1523907:-1 gene:gene34053 transcript:rna34053 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNLTSSSSSSSSSPYSQFFNLSSFSKLTSPSSSSLLFHSHHHVHHQTKQQTSKPTTNFTIKAYMENPNSFSNVVSKVIGALPVVGLLARIMSDEGGVGNDLIDFAEFRRRVGKNCTATDSTAFYQFQARRGRAGDQAGDPIFVLLCCWLAAIGAGLLKTEEILEGVGRLRISNDIEFEEQTFIAMMDEARERRARQKGAPPAVPMEVRVEKALDAIYVCCFGKDPIEAEDERLLSTILSSVFPSVQKQEIRRMVKEMAEKVEDGGMDYIPDAAKPLSKEAVEMQMKDLNFLKQNSNT >RHN73697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19014833:19016092:-1 gene:gene9545 transcript:rna9545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, galactose oxidase, beta-propeller MIFPPGKSPHSNSGVVSTSLLILLDELIAEILSRLPVKTLMQMKCVCKSLKTLISHPFFAKLHLHRSPRNTHVLLSPIWYDAAGIHIMVVPIPVSRWLESPFEYYEPYSYRLRYFDIPNDPCYILRNMDCNHIVGSCNGLICLGGGSRSWPKHWFRLWNPVTNTLSEKLGNLTNYFRLTFGYDIINDIYKVVAFSANTVKVFSLRDNVWRDIPSFPIVPFDIHRIHCRRLVDNGVYVSGSINWLAIRDKTEYEWNDITIKQFVIVSLDLATETYRQLLPPSGFVEVPPVEPSVSVLMDCLCFSHRFRETHFVIWKMMEFGVQESWTQFLKISFQNLHIDYGIGDSLAYYAQLFLLPLCVSESSNTLVMASNQRGLIHGYHRRHAILYNWRDNKVEQIATSKQISWFNTNDYVESLVSTS >RHN43011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42538756:42542134:-1 gene:gene49530 transcript:rna49530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor/ chromatin remodeling BED-type(Zn) family MYCIGENCSVRNEVQILQTAYSDYGDSEPPKKRLKRFNVWNYFVKVHKDGKEMCECMTCGRVFTCDGRSGNSHLNLHIPVCPLVMKSRIAEHFKLKKIDHRMVRESITQMTIKNHLPFRSVEWGEFREFTKFVSFNEAQFLSRYGVANDVMKVYLLEKEKLKKQLAAIEGGVCLSFRCWTSSASSCGYITLTAHFMDDRWNLTVKLLNFCHFDPSHDNFELSRKVIGCLQEWGIERNIFSITMDNASANDDNLQNVKNQLCSLDNLLRTFITSTTAPSLEKHFKCCAHILDLMVQESLKVVSDLLDKIRKSLKYVSVSNSRLKQFCQCVEEVGGGDGNDGLHLDVSGKWISTYTMLKNAIEYRSAFEHMCLKDTSYSHCPSSEEWERGEKICEFLKIFYGLTTMISQSTYPTSETHLRKIGGIEFSLKKMMLSEDDEIRDMASKMSNEFDKYLSEYSMILAFGCVLNPYLKFEYLEYLYEKLGDAETVKDKVNNVRKAFHTLFNEYANKCASNSTCFSLMDSRSSTWGRKMESIDIKFQAYLEKKRQKKYAGGVTQLDLYLKETDVYYKNPLQYWKYIGRKYDILARMARDVLSVPLITVASESAFSPGSCILNKYRSCMVSENLQALVCTHNWLSGFSPIDRFGDGIEETRKIGINLSKQVSKCHCVHENKTEDS >RHN79293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27219269:27226818:1 gene:gene3063 transcript:rna3063 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPQFSNGFWSKNRDDVVGYNQLHKFWSELSPQARQELLRIDKQMLFEQARKNMYCSRCNGLLLEGFLQIVMYGKSLQPEGAGVQFPCGRPGGFKNQNNGGSSTSNGVRNEIQDPSVHPWGGLTTTREGSLTLMDCYLYSKSLKGLQIVFDGARARERERELLYPDACGGGGRGWISQGIVSYGRGHGTRETCALHTARLSCDTLVDFWSALGEETRQSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFQYEVSDDSIQADWRQTFADTVGLYHHFEWAVGTNEGKSDILEFENVGTNGCVQVSGLDLGGLSACFITLRAWKLDGRCTEFCVKAHALKGQECVHCRLIVGDGYVTITKGESIKRFFEHAEEAEEEEDDDLMDKDGNELDGECTRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEERVHVACKEIITLEKQTKLLEEEEKEKREEEERKERKRAKEREKKLRRKERLKGKDKNKEGKFSESNDVPSSPEAVKEELSAPADTEQNNALSCKNSVVATDEPNLSNGDYHDIQDEEFSSECSTLRAQDCAYDDYDGDIAYEHDRNGTNKVEQPKFYRQRLRYRKEFQVDMSSKWSDRYHNSAVSENGGGMVGRSEPRHYGDNFGTSSRGISGLNRQSKVNVPKINGRNVGHNCNEKFYSSNYRMSEKYDFHSCSCSPNSRVTRGSREIKAVSKSETAVDTSRQFYRGSKYNQVDMHESSGRPKSRVFSGNYPSRDMLQSKKVWEPTESLNKYARSNSDSDVTLRSTGQVSQFDPVRSPVDEVDDSGEIDNDSDLKRHGLTEGCQNDLDAEAEGSCSSTEIGSEEPEISVTRLSAMNNSSDHSQGSISSSDNCSSCLSEGDNNTTSSNRENTEFSNSDSEDASQKYEVTDSSTCVDNGVSDCCEPGIDKTHIANGEGLSSRSLSVPSLDVVESEVFVNPVLETAQNFENSFSSPNVCSQPESILPPMPNRNIQFPVFQTPSAMGYYHQNQVSWPTAPANGLMPFVHPNNYLYAGPLGYNLNEDPRFCLQYGALQQPTPQFNPAAIPVYHPVARGKGLNGEELSQISIPASMQDHFNESVAERVVPAAANSRKAGLNGEDRLSNSAKSQESNGGFSLFHFGGPVAFSNERKTATASSDNVGDFNSKSLHDQVEKDHGCNKKETAFIEEYNLFAASNTLRFTIF >RHN51847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26677426:26680972:1 gene:gene36392 transcript:rna36392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MKVIPIVNSYNIIINGLCKIKIVDEALNLFTEMHCKPNTVTYNSLIDLLCKSDRVSDAWKLLDQMHDRGQRPNVITYTSLLHALCKNHHVDKAIGQQFKSPRHSTRYTDIQYTY >RHN77649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8423717:8425442:1 gene:gene1123 transcript:rna1123 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSGWLCYLRRSGRSRKQCHKDDSLCRKSNVNEEYFEAFRTKSYIEICNKAKVQFEKTISTKRLSTSCSTSLPPICINLTEYLLEPRQEIITNMTQNFKVHHLLVDYFEASLEACRCCDTILEGIHATRLSYTRTKRFVKLSKRVINEPTKKDIYRELALFPSKLQNNPLSVISTMQFHDIRNRYMELLQKLTSKRRKIQRRLTLISVCKKVGGIALVTSHAAILIALLVVSFHSVVGLVAAPSIVGGLVGLFIKRIKNRTNCERLCEQLDAAAKGVFILINDLDTMSRMVKRLNDEVEHRKMVAQVCVKNVGSKCEILKRFMSEFSDQESRFLDELEELEEHVYLCFLTVNKSRRLVLQQITERSQH >RHN69362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42903964:42909596:-1 gene:gene17817 transcript:rna17817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperone DnaJ MAVTPFGSTSATQWGIRPQIVARSTTIAKFASSRHNATSRISFMAAPSSTFFARDSLHFNIGSHQTLHRRRGSRLIVRADADYYTVLGVSKNSTKSEIKTAYRKLARNYHPDVNKDPGAEEKFKEISNAYEVLSDDEKRSIYDKYGEAGLKGSQGFGGGMGDFSNPFDLFESLFEGMDGRSRQSWDGAMNGEDEYYSLVLNFKEAVFGVEKEIEIRRLEKCGTCDGSGAKPGTKSSRCNTCGGQGRVVTQTRTPLGIFQQSMTCSSCNGTGETRTPCSTCSGDGRVRKTKRISLKVPAGVDSGSRLRVRNEGNSGKRGGSPGDLFVVLEVIPDPVLKREDTNILYSCKVSYIDAILGTTIKVPTVDGMVDLKIPSGTQPGSTLVMAKKGVPLLNKKNMRGDQFVRVQVEIPKKLSSDERKLIEELSELSKGKTAAGMR >RHN56537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31742788:31745145:1 gene:gene31953 transcript:rna31953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MNHQWIYDVFINFRGDDSRNSLVSHLYAALSNARINTFLDDEKLHKGSELQPQLLRAIQGSQICLVVFSENYSRSSWCLLELEKIMENRGTHGQIVIPIFYHIDPAIVRRQLGNFGKALEITAKKMQSKREKQKLLLQTWKSALSQATNLSGWDVTSSRNESELVQKIVEEVLAKLDNTFMPLPEHTVGLESRVEKMVPWIENNSTKVCMIGIWGMGGLGKTTAAKAIYNQIHRKFVYRSFIENIRETCERDSKGGWHICLQQQLLSDLLKTKEKIHNIASGTIAIKKMLSAKKVLIVLDDVTKVEQVKALYESRKWFGAGSVLIVTSRDAHILKSLQVDHVYPVNEMDQKESLELFSWHAFRQASPRADFSELSSSVIKYCGGLPLAAEVIGSYLYGRTREEWTSVLSKLEIIPDHHVQEKLRISYDGLSDVLEYLSS >RHN70195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49547552:49554911:1 gene:gene18751 transcript:rna18751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mechanosensitive ion channel MscS, LSM domain-containing protein MNLCFKSSISTMAYPGSMQFSRDVRLKTSNNGPRSFQHHNPARVGRLHLVTTNLLPRTLKQDSLSHHLLRIRHAPSRCSVFVCRSAILPGGGGSGTALIKSASVLLTRSYDSLLGSPILLRLIPALGIIAFAVYGIEPLLRLSRILFFQRTDSSWKKSSSRYVMTSYFQPLLLWTGAMLICRALDPIILPSQTSQVVKQRLLNFVRSLSTVISFAYCLSSLIQQAQKFFLDANESSDARNMGLDFAGKAIYTAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSIMIHTTRPFVLNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNLTQKSHWRIKSYISISHLDVNKINNIVADMRKVLAKNPQVEQQKLHRRVFLEDINPENTALKILISCFVKTSHFEEYLCVKEAILLDLLRVVSHHRARLATPIRTVQKIYSEPASENIPFGDSIFTRSRAAVNRPFLLIEPPYKVNGEDKAKPSTRSTRGNEEKDAKVDEPVASDSKSDENFAGTSTSPSSVNSKDKSKSKSDAQTQNMGSDSSVEKTSKTMQPKKETAGDVGKGSTIPVPKTPAHSVVSETLPVITNHESSRADTASATSSQSKQDEEKSSVPSSAVRTPLEENILLGVALEGSKRTLPIEEEMNPSPNSAESQEFAVQRNGNGPPANKDKKDGPTSSFPNAKQND >RHN78606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16644800:16645702:1 gene:gene2231 transcript:rna2231 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSYIETNSQKMSPKYISLCLLLGIVLLATTSLADLSEDESSVSVNSWKHKPPKKHWPPKKHWPPTTTTQNDLPKVDQEIPQNKQAQEDHTIVEDSHKHHHKRPWKKHPPSGN >RHN60855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31003516:31003892:1 gene:gene23242 transcript:rna23242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MQGADTDPNDVAKIRESLAAGTTYCGRLLNYKKDGTPFWNLLTIAPIKDENGKILKLIGMQVEVSKHTEGTKEKMLRPNGLPKSLIRYDGM >RHN55630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20252645:20253838:1 gene:gene30838 transcript:rna30838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MRAHIIFWLFFMLFSSINSSTNNFLLNGNCRGHQRAVLLQLKNNLIFNPEKSSKLVHWNQSEYDCCKWHGVTCKDGHVTALDLSQESISGGLNDSSAIFSLQGLNLAFNKFNFVIPQALHKLQNLRYLNLSDAGFEEQVPKEIAHLTRLVTLDLSSLITSRQNLKLENPNIEMLVKNLTDITELYLDGVAISSSGDEWGRALSLLEGVRVLSMSSCNLSGPIDSSLAKLQSLSVLRLNNNKLSSKVPDSFANFSNLTILEISSCGLNGFFPKEIFQIHTLKVLDISDNQNLSGSLPDFSPLASLKYLNLADTNFSGPLPNTISNLKHLSTIDLSHCQFNGTLPSSMSELTQLVYLDLSFNNFTGLLPSLSMSKNLRYISLLRNYLIEIYHPIFLRAS >RHN70120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48907940:48911587:-1 gene:gene18672 transcript:rna18672 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDHFNNFGMDICRYLEGQDEIRIFRIRVTMSWEESSYIGKVGRTIIDAGLC >RHN68024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32218192:32220391:1 gene:gene16307 transcript:rna16307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubulin MLSSFAPVISAEKAYHEQLSVAEITNSAFEPSSMMVKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIRTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFGRIDHKFDLMYAKRAFVSVWRRHIKCLTLNCVTLG >RHN61734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37916377:37919826:-1 gene:gene24225 transcript:rna24225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target of Myb protein MNPSTLFYCLLKNKWRFISSSEKETQNMSDSLKEKVNAIGERLKINGAEMGRKMSAGIGTMSFKMKEFFQEPNQAEKLVYEATSESLDEPNWDMILNICDMVNAEKLYTCDVVRAIKKRIMMKSVRGQYLALVLLEALVENCDKGFFEVATERVLDEMVKIVDDPDQSFVASKEKALMMIQVWGESNTELRYLPVYEETYKSLKSRGIRFPGRNNESSAPILTHYHAPSAPEIDHSLGHLIQHDTQLDRSLAHLIQRENPVPSLKPEQTKEAFDVARNSTELLSSVLSSSPQQNVLKQDLTITLVQQCHQSQSTVHRIIATVGENEALLCEALNVNDEIHKVLSKYEELKKKPKASPLEPEQNMMIPVTIEPDESPHFREEALIRKPACSRTGVQGLSHDDMMDDLDEMIFGKKGGDASEWRQDPKRTNNHQNMTPSSYKL >RHN52790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38881817:38882877:-1 gene:gene37502 transcript:rna37502 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGRIIFFSSQAHITHAKPCPTQASSSSISSFTQSLTQT >RHN54130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7159936:7162739:1 gene:gene29120 transcript:rna29120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MEAQLSFFVIPFFLLFVLHWLAKYSKTKKSHSKLPPGPMKFPLIGNLPQLAMSKKRPHHALHELSHKYGPLMHIQLGEISTVIVSSPKLAKEIMKTHDAAFANRPKLLSPEIMAYGSKDIVFSPYGDFWRQMRKICVFELLSAKRVQSFSYIREDETKKLIQSIQSSTGSTINLTSRIFSMVSSNISRAAFGDKSKDQDEFVDLVRKVVEMSSGFGVDDLFPSIKPLHILSGMKPKLEKIHKRVDKIIENIIRQHQEKRERAKEDDNNEVDNEDLLDVLLRVQQSDNLDIKITTNNIKAVIWDVFVAGTDTTSTTIEWAMSEMMKNPSVREKAQAELREAFKGKKIISESDLNELTYFKLVIKETMRLHPPSPLLVPRECTELTIIDGYEIPKNTKVMINAWAVARDPQYWTDAEMFIPERFDGSLIDFKGNNFEYIPFGAGRRMCPGMSFGIASVMLPLALLLYHFNWELPNQMKPEDLDMTENVGLAVGRENELCLIPNVYVM >RHN62881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46459072:46466127:1 gene:gene25513 transcript:rna25513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-severing ATPase MAADDEPMPTRWSFEEFKKYYDVRLGRKKLVENGENAVSNGNSSGIASNGNSHGKVTSDRAIYDQFQSQGQNPTHTNGFGPNGVDEKPKKSLLPPFESAEMRTLAESLSRDIIRGSPNVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECNTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPATIFLDEIDAIISQRGEGRSEHEASRRLKTELLIQMDGLARTDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARRAMFEELLPLQPDEEPMPYDLLVDRTEGYSGSDIRLLCKETAMQPLRRLMTQLEQEPDVVPEEELPKVGPVVPEDVEAALRNTRPSAHLLAHKYDTFNADYGSQILQ >RHN60497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27366569:27366904:-1 gene:gene22814 transcript:rna22814 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVGMSITERLEHCASDLSLWNKTTRNGMKGKIAEYRKELNR >RHN70925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55110205:55110899:-1 gene:gene19560 transcript:rna19560 gene_biotype:protein_coding transcript_biotype:protein_coding MIQCITQLDRSTRLPLTHDKCLNKTLCSISLLRGSRYYNFSFHSSRDWISNNNICIRDLICFRHSPFSPYISATRARGTTTSTVVLVIIGWLPLHDETKLLHKQ >RHN70454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51530597:51534693:-1 gene:gene19034 transcript:rna19034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc/iron permease MFFLEDLWQLLLQYLLQKTRFFSEKESFIRLKESMTNSTCGGAESDLCRDEPAAFVLKFIAMASILLAGMAGIAIPLIGKHRRYLRTDGNLFVAAKAFAAGVILATGFVHMLSDATEALNSPCLPEFPWSKFPFTGFFAMMAALFTLLLDFVGTQYYERKQGMNRAVDEQARVGTSEEGNVGKVFGEEESGGMHIVGMHAHAAHHRHNHPHGDACDGGGIVKEHGHDHSHALIAANEETDVRHVVVSQVLELGIVSHSVIIGLSLGVSQSPCAIRPLIAALSFHQFFEGFALGGCISQAQFKASSTTIMACFFALTTPIGVGIGTGIASVYNPYSPGALIAEAYVKV >RHN47700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41725927:41729686:1 gene:gene42326 transcript:rna42326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine-nucleoside phosphorylase MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGNSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIAPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYDDEEEEEQDHAYE >RHN67451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27344139:27345005:-1 gene:gene15640 transcript:rna15640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MMNMLCFHSNPKVLNLHDSKKLISNKQKFSDYDDDLYKFYHIEESQIRANSKGFKVDFLMRKIVVDWLIQTHYKQKLMPETLYLCVNILDRFLSKIEFEVTTMDKLKLMGLSSLLLASKYEQRSVVGVYDIEGMADYIYMPKEICQMEKLILQELGWILTVPTPYVFLIRNIRTCLLSDEDKIMEKMVFFFSELSLTNHSIVCDYKPSMIAACSVYCARFVVERYPFWSNDLKMCTGYSEDKLLSCASVMMDSCIQICRDGYMEVFKKFSSLYHQSRVSCIAQEFLEV >RHN62623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44764768:44766897:1 gene:gene25218 transcript:rna25218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain-containing protein METYQYPPSYPDSGNSSPLSREIDFENPSSWEDQQNYKAKFMCSYGGKIQPRSHDNQLSYIGGDTKILAVDRSIKFQAFLSKLSTLCDALQQDISFKYQLPGEELDALISVTTEDDLEHMMHEYDRLYRPSSKPVRMRLFIFIAPNSGSVSASQPDPLKPNSKVDFLFGIENKTLAQPIQPSYAAVTAKYHDPVPDLVAPQPDYPPRGSTDESVEIQRQLQRLQVSESEQSLYRRSSDGVTGGYAAAPGGDYYVQKMPENIPRSNSPVTVHHPAGYWPDKQFSGEAFPVTGMNTSGGGDQHVYMMPPPGTFYQTAQMMRPPTAQGYYAVQRMASDGYREQPVYGGIPPQNVAFSSSSAAQPVNPSAYQEGYGLVRPAVVAENAGAAGYAQVAYDSSSGRHVYYTTPGGMVHAPQYQHGVSPVFSNDMRPAAVPGGQDPKVVNKGSHSQGL >RHN78533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16026346:16038900:-1 gene:gene2113 transcript:rna2113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MLRRKNKELLENVKGPAKEVWNKLPEGSLEKIADRIESPRIYKHHKIPSFKMEKHASEELVNASSRKKVKSTIVTKDDLIEGRDRTNNILETKSSAGLNNKGWITEEKTREEGRGQNKAENKHSRDSDNKHSYAVKDTSKSERKINKKNHNEEEENHNEHYTERKHGKDRHDGGKIKRLMSNDFEEVPEKKHHRDSDKHIHAEGREKYGREINKEPRAKYEREIKRKYRNDDETQDRNAMRKQDIAKHHNPHIYERKNRQEKVKPHYEEPTMKRRRSRSREREDRRKPRSLSPRAHRNTYQDGERKDLSVPSLTDSSRKKHSDDKNRVSTNGSSRRSRSHEREDRRRSTSISPRAHRKAYQDGERKDLSMLSLTDSSRKKHSDDKNRLSTNGSSRRSRSREREDRRRSPSFSPRAHRKTYQDGERKNLSMLSLSDSSRKKHSDDKTRVSTNDSSSHHRRYRHSSPASGLGGYSPRKRKSEIDKKTPSPSKHSPEKKRPGWDLPPVGTDPTLAPVSSGFELSNHSVLSSMHDLAAATSLNPSIVKPLPVSFFNAVSTGKNANIDSVQLTQATRPMRRVYLENLPASASEKVVMDCFNNLLLSSGVNHIQQTRPCISCIVHKDRGQALVEFLTAEDASAALSFDGSKLFGSTVKIKRPKDYVEFATDEPERSVEVAATISDVVVDSPYKIFIGGISNHISSEMLMEIAGVFGFLKAYHFEARVSNGSCAFVEYVDHSVTIKACAGLNGMNLGGEVLTVVQAMPDASPVENDSKPPSYGIPEHAEPLLREPTQVLEIKNVFTVESLSSLSDTVIEEILEDVRLECARFGTVKSIHVARHCKDNNLATKSEEVIKKVGSEEPTPDTHTVTNDAESSFSEEATYSNSKGTGGMESHGDKVLEEDKDNDGTSVNVDKNAEVFDNTTCQEHLVSDSTVIDAGNEDLPSSTIQGCPDQGNTPNDDPELHDSMIANDIDVEKTVIGNTDSENMVYPLQEGFSECDASLELVGNRKDIKEEDEEEDDTYNHVFEEGSVLVEYARSEACRSAAHCMHRRLFDGRLVSVQYVALSLYRERFTK >RHN50135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4399991:4401656:-1 gene:gene34390 transcript:rna34390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MSNFAKTKPHAVLIPCPSQGHINALLKLGKLLHLRGFHITFVNTEYNHNCLLNSRGPNSLDGFTDFNFETIPNGFTTMETGDVFQDVHLFFQSIMMNFIQPFSELLTRLDASATADLIPPVTCIVSDCYMPFTVDAAEQRALPIVLFSPVSACCSLTTSHIPKLFQNGVLPLKDEIYLTDGYLDTEVDWIPGLKNFRLKDFPETIKIKDPNNLLIKFVSEMTDKCHRASAVILNTSNELESDIMNELYFIFPSLYTIGPLSSFINQSPQNHLASLNSNLWKEDTKCLEWLESKEPGSVVYVNFGSITVMTPDQLLEFAWGLADSKKPFLWIIRPDLVIGGSFILSSEFVNEISDRGLIASWCPQEQVLNHPSIGGFLTHCGWNSTTESICAGVPMLCWPFFGDQPANCRFICNKWEIGLEIDKDVKRDEVEKLVNELMVGEIGKKMRQKVMEFKKKVEEDTRPGGVSYKNLDKVIKDVLLKQN >RHN46458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31892062:31897172:-1 gene:gene40946 transcript:rna40946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MGCILSTFQSPLSGWGAIKKVLPLQSWGATTRPKFVLFGSSIVQYSYYEGWGATLSHLYARKADIVLRGYAAWNSRRALQVLDKIFPKNAIEQPSLVIVYFGGNDCTHPFPSGLGPHVPLEEYVENMRKIAIHIMSLSDKTRIILLSNPPINEAQIKINIDDFGNPMRTNETSRIYSEACLNMCREMKIKAIDLWSAIQKIDDWRDVCFVDGVHLSNKGSEIVTKEILNVIEEAEWKPSLYWKLMPAEFGEDSPYDMVSLDGKININFSDVPFPDGVEWP >RHN67067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23416557:23416932:-1 gene:gene15204 transcript:rna15204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative procollagen-proline dioxygenase MRFCVIPVCVFCCCTYYQVFCIMHQTCSYAENGEGLQVIHYGVGQKFVPHYDSRSNESFWNGGPRVATFLMYLSDVEEGGETVFPSAKPMKFSSNPYI >RHN49934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2423372:2425268:-1 gene:gene34171 transcript:rna34171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MLFHSKSTLFLFIFFSYSFLLFQANATRSLSNNVKEFEVKVDSFIQFKEAPEYRNMQKCKVVDRKIDIDQYVCDPSLVHISMTIDWDYLRGSMAAIHSVLKHTSCPKNLFFHFIASDSRLENKDEFTRIVHGSFPSLKFKVYVFNESLVENLISPSIRQALENPLNYARSYLADLLEECVERVIYLDSDVIVVDDIQDLWKVSLTDSKVIGAPEYCHANFTRYFSYEFWSSYEFSEVFKGRKNRPCYFNTGVMVMDLMKWREGEYTKKIEKWMEIQKERKVYKLGSLPPFLMVFGGDVEAIEHRWNQHGLGGDNVVDSCRSLHPGPVSLLHWSGKGKPWRRLDAMRPCSVDFLWKPYDLYMPHVGYGHSLI >RHN78126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12116319:12117022:-1 gene:gene1647 transcript:rna1647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine rich protein MNSKALLFLAMLLASILLSSAETAPKDLDKNLDNQDEFYETNGVDESQYVGGPWRGGGSGYGGGGYGGGHYGGRYCHHGCCDWGYHGSCRRCCYPREHHVADIDAEPHN >RHN41274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28788125:28789051:-1 gene:gene47578 transcript:rna47578 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamine synthetase MNNIFFFFFSTKSMREDGEFEVIKKPILKPSLRHQVHIEAYGEGNETRLTGRHESASINTFSWVNLKVGVLLRTWIHMW >RHN48971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51469601:51470340:1 gene:gene43748 transcript:rna43748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MTKLFSVLVICVIVLAHQTVAQETKGKDLITRVCQLAQKNSDLCVEVLSSDPKSANADDINDLAIIALRVAARNASAMLNDVKSMIDDANLDPEVQQGLADCKENILDAESQLEDTIAALLVESDVDSQKWLKAALAAITTCDNSIPGNDDVLSVKSRIFRRLTNIVVLITRALPKRTPSAQPVKV >RHN70847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54433359:54436585:-1 gene:gene19475 transcript:rna19475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Tesmin family MEKSETTLDLANRKFARQLDFAAACGGPSYLTLSPPTPQSLSKTIPRPPTPSYLPPPAQMHLDFQSPVRRPWKQSPPQWQQQRTSQSPPVMPHFQSPRPQLVSPVHRLPYSTPKLPVKRFQALNESPSPRSQSQNKAGLKDNTLKKQKRCNCKNSKCLKLYCECYAAGIYCDGCNCQNCHNNLNNEAARKEAIGMTLEKNPNAFRPKIASSPQKPEVSMEEVSEIQLIGRHNKGCHCKKGCLKKYCECFHANVLCSENCKCIDCKNFEGSDVWRIVLQEECSLVQIRQATNAAINGAVGFGPSISGTHITPKKRKIQESFSGKSLTDQPVSMTAQHQRELDPIASSPLSLSASFVSDTAYKRISRPKFRSVLADVLQTQNVKNLCSLLVVLSKEAAKTNAGFD >RHN58832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5308435:5309549:1 gene:gene20785 transcript:rna20785 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNSLVSLETGRLEWRVKVKVRVTRKWNVESSFFRGKVNTIELILLDIDVFSSDHLCVCVNQNPMRVAIADQLEFNRIYHSKTIFELVKSSETGLSIVCARIVGCFQVDQWWYPLCDCSNCIK >RHN48454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47603486:47607335:1 gene:gene43171 transcript:rna43171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative asparagine--tRNA ligase MSDLSSPPSDQLSTVTLSLDEVPKAEFSDRVPIQSIIRREDGGSGLAGKKARIGGWVKTGRKADKDAFAFLQLNDGTCAGNLQVIVEASLADLGQLVLTGTCVVVDGYLKLPPSGEKQNKKIELRADKVLHVGPVDPAKYPLPKLRLTPDKNLEFLRNFVHLRSRTNTISAVARIGNALAYATHTFFNKHGFLYVRTPIVTTSDCEGAGEMFQVTTLFSEAERLEKELIQNPPPTESDVEAAKLVVQEKGEVVSQLKTAEAERLEKEQIQNPPPTESDVEAAKLVAQEKEKEITAAVDELKKAKRNVSKLEERSKLQPSIPRKDGKIDYAKDFFARQAFLTVSGQLQVESYACALSSVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFADLEDDMKCAEAYVKFLCQWLLDNCLEDMEFMADKGCIDRLKMVASTPFIRLTYTEAVEILEEAVKNGTEFENEVKWGIDLASEHERYLTEVKYQKKPVIVYNYPKGEDIKGKAFYMRLNDDLKTVAAMDVLVPNVGELIGGGQREERYDVIQQRLKEMDLPVEPYEWYIDLRRYGTVKHAGFGLGFERMILFATGLKNIRDVIPFPRYPGRADL >RHN39416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6959224:6959733:-1 gene:gene45437 transcript:rna45437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAFSAYEEMYILPGIIITGALVIAFTFFILTMLGWCRVTNHESRLPTTVISSNDKFSACMSLESHSITFLYNEADAAKGINQTECVICLTIFQEDESVRKLHTCKHMFHTSCIDKWLASHLGCPLCRSQIDQVTSPNGSLTNNDHRTMEIVDSRIENILVHSNLLVTMT >RHN68561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36560672:36561302:1 gene:gene16929 transcript:rna16929 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIFGDKGSLQEEDGLILHLGRFTSLMEGLEHNIGRNVFL >RHN42917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41928599:41929219:-1 gene:gene49423 transcript:rna49423 gene_biotype:protein_coding transcript_biotype:protein_coding MLVENNCNHEYILSAKFPPYIYCSYCEKGEKKKDSATTIHCTCQPPKYTFHNTTCIAIKEATAPSQSLPTG >RHN55709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21441987:21443630:1 gene:gene30935 transcript:rna30935 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQRFSPSLNSLLLDVQCFGKFHCYLQDISNINNKSIRERTDWNPNSILFYLKSFHFIDLEKDGKGTSIRMRIQSNDLIWLRTRRVIIHSHCLASLFKYI >RHN78806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18606651:18609045:-1 gene:gene2460 transcript:rna2460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MIESFIFDIVDSLLGKLASYAYEQASPVYSLYEDLRSIKDTLSIVKGMLLDAEETKIQYHGFHEWRRQIQNICYDAEDVLEKFELQHKRKQVLKASCSNRMKVRHFFCSSNSLAFRLRMAKQIKDIRDRLDKVATDGTKFGLATINVDPRLIVQKREMTYPDVDALSVIGRESDREEIIKLLMQAHPHGDGDGDKSLSVIPMVGIGGLGKTTLAKLVFNDKRIDELFQLKMWVCVSDNFDIRQIIIKIINSAVNSASAHMSGLALQENIINLDIVQLVSLLKQTLSSQKFLLVLDDVWNDDRAKWIELKDLIKVGTRGSKIMVTTRNNSIASMMGNVPSYVLQGLSLKDCLSLFVKWAFKEGEEEKYPNLVEIGKEIVKKCQGVPLAVRTLGSSLFSKFDLNTWIFVRDSELWNLKQQKDDILPALKLSYDQMPSYLRQCFAYFSLYPKDITFCSLDIIALWVALGLVQPRNGSEKLEDIAREYIDELNSRSFLQDFEDNGWICVFKVHDLVHDLSLYVAKAEFLVVDSHIQNIPEQVRHLSIVENDSLGHTLFPKSRNVRSILFPIDGVGLDSESLLYKWISRYKFLRLLNLRYSSFEYLPNSIAKLEHMCFLDLSYSKKIKRLPNSICKLLNLQVLLLTGCTELEEMPKGLGKLISLRQLMITTKQSVLLDNEFASLNNLHTLGFHFCDNLKYLFSREQTQFTSLETLALHSCKSFDSLTLDNFPKLQNLFIRGCEKLNLSLKNDSAIQRCFLSV >RHN71070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56256070:56256333:-1 gene:gene19713 transcript:rna19713 gene_biotype:protein_coding transcript_biotype:protein_coding MVKISSKIMVIVMIATILMVVISMPLTLIPSSSTVQHPVSIVRPLRDHLSQGDKFYRAEDDLEDYSSIWKPSPCCGGGGGGSPIPHP >RHN39098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4095376:4095978:1 gene:gene45092 transcript:rna45092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRM1 domain-containing protein MCTLDMITENFEDYPEHRLNIFSLLRTIATHCFPALISLSSRQLMFVMDSIIWAFWHTEKNIAETVLKLSLEMLNKFQASKFCNQFYRTYILTIEQEIFAVLIDTLHKSGFKLHVLVLQHLFCLAKSGALTEPLWDADTCSYPYQSNVAFVRIMTIKLLSSSFPNFDVMEVIQFVDKLFAATNDLSTFKTYTQDFLIQSN >RHN66457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12524651:12525214:1 gene:gene14448 transcript:rna14448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MMSLSSSKELEVKSTAKPNWLQLPTDVTADILFRLDTYEIMKNVRNVCPLWWKICKNPIMWQTIYMGNFDRVCLPQYQILELGMKLPLLEELNISITVWNGYCLPIKLKTFHQLPSEITDKCNADPFAIAKSMPGLRRLNISGHPMYQGCLLFLMDVLVLNLLTLADVSILNLVKIWRKGVANRSKN >RHN79813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32486809:32488098:1 gene:gene3655 transcript:rna3655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Hemopexin-like domain-containing protein MSSQVIDTAFRSSKLNECYVFKKKRFTVVNYAPGAKKDRVVKRPIHTSDGFPFLDETPFEKVVDCAFETEGTEAIIFSGNKCAKIDFAPDSDTELVDGPVPITTMFHCLKGTFLENGIDAAIKWTGHNVFLFKGNEYVRMDYHSKTIVTHYSIRSGFKSLLGTVFENGIDAAFASHVPNQAYVFKGEYYARIDISNEGDCIVNGRVKLFHDEWPALQGLLNF >RHN42821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41261940:41264426:-1 gene:gene49313 transcript:rna49313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase, AT hook, DNA-binding protein MQPKKLGRGRPKKQKRERLDEESNIVIQPRKMGRTKKQKRKVGRPKKQKTENMDEDGNPSHSESTTNNNNQSQSWAVSARSRKQITPNSNMISDRCDLRFSKRLIETGATSLPRCSKPNISREHSSSSDSIQQLDVSANTINSANQVPEEGSDQVESMSRYLVQVVYPLTMEPELEHKEAENVENSTGGIPENMGELGEAEMSFSHNSNKMAGQIALEEKVPLEKESLSGKIQASNRFEENAPLEKQSLSGEIQASNRFEEVTNMKSISRAGCLSPSFSLKLTEVVDMVRNEDGDEVDSNVRSRPEDTINGYQVKPEFMPMLRKIIGKHGDIAKNCLAKSVKFRSVLLEMICGIISDLDEKNVKNTREEVLKTKIDQVDEIKSMKVEVEWLRTRLVEALDAREIMKKFVMLKEKTDDNRKLIEDVESELKECEEEKKEASERLREICDKETACKQRLAIAKEESATISTTVGYAKSKVKRFLKCSVVDGLF >RHN56746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33368466:33369365:-1 gene:gene32187 transcript:rna32187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative crocetin glucosyltransferase MTENGDKRVCYIPGISSTRLADFALNDDSNRSKQMMQYFLKGFEWIHKAQYLLFSSIYELESQAIDVLKSKLPLPIYTIGPTIPKFSLIKNDPKPSNTNHSYYIEWLDSQPIGSVLYIAQGSFFSVSSAQIDEIAAALCASNVRFLWIARSEASRLKEICGAHHMGLIMEWCDQLRVLSHPSIGGFWSHCGWNSTKESLVAGVPFLTLPIYIDQPFNSKMMVEDWKVGCRVKEDVKRDTLVKKDKIVKLVHEFMDLDGELTRDIRERSKKLQKICLNSIANGGSAHTDFNAFISDVMHL >RHN55269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16622769:16626861:-1 gene:gene30421 transcript:rna30421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MEESEQVKIEEKIERLWSWGAGTDGQLGTGRLQDEQIPQQLSLSLPSVSSLACGGAHVIALTSDGKVLSWGRGNSGQLGHGEVVNNLLYPKAITSLDNYFITHVSAGWGHSGFVSDSGCLFTCGDGSFGQLGHGDYASHSSPIKVSSFVDQNVAQVACGMRHSLVLLKGCLSNQVYGFGSGKRGQLGVSKDKIKSINLPKVISGFEDEEIVGISANGDHSAALSVDGHLYTWGRGFKGYEDSHLPQCLNSTSKFTKVTLGWNHALAMTGEGKVYMLGGNHLGVLSDLHNARPAKSLFDSREANLEKAPGLDGTKITDIATGAEHSVLVTENGEIKTWGWGEHGQLGLGDDGDRISPVTVNLGYDLNEAASIRVYCGSGFTFALTKP >RHN51756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24491677:24500345:-1 gene:gene36274 transcript:rna36274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGNSFGCSASGERLVSAARDGDLVEAKMLLEFNPCLAKYSTFGGLNSPLHFAASKGHNEIVALLLEKGADVNSRNYCGQTALMQACRHGYWEVVQTLMLYRCNVMKADYLSGRTALHFAAVSGHVRCIRLVVADLVPSAPYETLHARVDADVGDGSNTKRKNEQSELSKFVNKTADAGITALHMAALNGYFDCVQLLLDLNANASAATYHYGTSMDLIGAGSTPLHYAACGGNLKCCQILLSKGATRMALNCNGWLPLDVARMWGRHWLEPLLAPSSDTIVSSFPTSNYLLLPLMSVLNIAREYGLHSPTTSSNEIDFCAVCLERPCTVAAEGCMHELCVRCALYLCSTANVSSEMHGPPGSVPCPLCRHGIISFVKLPSFQPKENKLHASLSMCTPCIQHPRDIDQSSFSHNTSEIRKNRVASVSSEMLCPVTCTPFPSVAIPLCTCNDGPCPPFEPGEDETQDESPRHSQASTTDQDKMEGPKLVKKTCSNMFWGRRSCSRENQCNSEINA >RHN60396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26185969:26191600:-1 gene:gene22704 transcript:rna22704 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGQYGDASANSYGASQMHHNHNHNHHMAGQSMETKSGNFEGRLEAFTPERENSYPNSKPEGQWRWESDESKMSNSMPSHMYNEGQGGDASRSYFQGQRPDPKLALQSRSNSDSRSQAHEENIDVRYEGNHLSQTFEGLEQNFHDDIVKLTKEQHDVEDAEHARHREKINAINSQYEEKLEALRARHGKRRTEFLQRESRARQQQYEQIIRDPYSGSGTASRGPHAHSNVNASASGGEPQRGYSADHFDPYREQSRFLGSARDQGFEPRGPYPGGRVYDTGSRYYN >RHN77610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8108607:8110310:-1 gene:gene1080 transcript:rna1080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MAFSKFLAASLLASLLLLHLVDATDQSAQAYSQGSLLRKIDCNGACVARCRLSSRPKLCHRACGTCCRRCNCVPPGTAGNQEKCPCYASQTTRGGKPKCP >RHN73412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16143284:16150366:1 gene:gene9218 transcript:rna9218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like, metaxin, glutathione S-transferase domain-containing protein MESNETPERNNTLVVRKPCFGLPTGCPQCLSAYIFLKLSQLPFHLDYHLNYPDSDQIPYFEAGDSATYNNEKEGIIECLKRDVGDLDTGVSSLPEWIPTKVMLTTWLADALEYELWVGSDPSSAYSIYYSDLPWPIGKVLFWKKARWVKQKHEISNDNADVKEEEIYRKANSAYDALSTLLGEESYLLENRPSSLDAIFLAHALVVLQAFPESSILRANFLKHANLVKYVQQRKGELIEAAGTSPSNVPYVGADASSSTFGGGSTSSSKFKRKPKKEQQTKEDKKYKRRAKYFVVAQLVAVVLFLSIMSGISDDGEVELDDGDLDFGYDG >RHN58830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5285565:5286999:1 gene:gene20783 transcript:rna20783 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKCYKFKEVDLVSLRELALKVKSQTGFRLRYGGLLTLLRTDVDEKLVHTLVQFYDPSFRCFTFPDFQLVPTLEAYSNLVGLPIAEKTPFTGPGTSLTPLVIAKDLYLKTSDVSNHLTTKSHIRGFTSKYLLDQANLGTTRQDTLEAILALLIYGLVLFPNLDDFVDMNAIEIFHSKNPVPTLLADTYHAIHDRTLKGQFFFYTNAPTGQRKAFMDAWSKVRRKSVKHLGVRSGVAHEAYTQWVIDRAEEIGMPYPAMRYVSSSTPSMPLPLLPATQDMYQEHLAMESREKQVWKARYDQAENLIMNLDGRDEQKTHENLMLKKELAKARRELEEKDELLMRDSKRARGRRDFFDRYCDSDSESDGAPTTSYA >RHN60775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30289610:30291702:-1 gene:gene23141 transcript:rna23141 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYMRFFFIFVPFAHNSLFICCFAFLLNSRWRLCLLWRAFLKNSKDKKARKVDDPIPKVILLKKFIGVIKGKIMLVVWCLLWKLRLRLGSLRHLP >RHN64900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62792576:62798843:-1 gene:gene27773 transcript:rna27773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Omega-6 fatty acid desaturase MACTSANSLLQLKGSSFQRPILNLRTNISAPYSPGLFNLKGDGLIHKGFRHQSQKHLTPRNKVTVIRAVAIPVEPAPVESAEYRKQLAERYGFEQIGEPLPDNVTLKDVITSLPKKVFEIDDVKAWKSVLISATSYALGLLMISKAPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSTNKLVEDIVGTLAFLPLIYPYEPWRFKHDKHHAKTNMLKEDTAWQPVWQDEFESSPFLRKAIIYGYGPFRCWMSIAHWLVSHFDLKTFRPNEIKRVKISLACVFAFIAIGWPLIIYKTGIMGWIKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKNSEEWNAAQAQLNGTVHCAYPQWIEILCHDINVHIPHHISPKIPSYNLRAAHKSIQENWGKYLNEASWNWRLMKTIMTVCHVYDKQQNYVSFDEVAPKESRPIRFLKKVMPDYA >RHN55655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20680810:20681231:1 gene:gene30872 transcript:rna30872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MLFILYLFDKFISPNGLITKFSFVSPHVSRDDNQGNAIAKILLKDKEFKDRLILAPCNIGKHWVLLVINPDAEMIYYMDPLNGEPTKYQNLKTKFDK >RHN81538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46833297:46840467:-1 gene:gene5598 transcript:rna5598 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRSKRFELPPADENVEKLEKVVKDGNCYGAQQMYKSISARYVTAQRYTEALDILHSGACIQLAHGQVTCGAELALLFVETLVKGKIPYDDGTLDRLKKIYEGFPRVPLPQHLWDVDDMQQLSENLGSAKTRVEGCSSFLKAAIKWSAEFGTSGSGAPELHIMLAEYIFSESPEVDMNRVTYHFVRGNDTQKFASTLVNFLGKCYPGEDDLAIARAVLRYLSLGNLKDANILVDEIKKQTESTEVEFPKTDLMQFLNFLLQTMERDAFPLFNMLRANYKPSIEREPSFNELLDEIAQKFYGVQRRNPMGMFGDIFKLMGAE >RHN68755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38283778:38284155:-1 gene:gene17144 transcript:rna17144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative psoralen synthase MPPFSRLFPSPPRLPIIGNYLQLGTLSHRSFQSLSQKYGPLMMLHLGQLPVLVVSSIHMAKEVMQTHGIVFANRPSTTLTKALFYGGKDIAFSSYGHTWRQKKKLCVNELLSQKRVQSVQFIREE >RHN47145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37479411:37479780:-1 gene:gene41705 transcript:rna41705 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLRQVEQTPTVLEKSEICFLHLICLLHTTAFRVFFVE >RHN53356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1162667:1165199:-1 gene:gene28257 transcript:rna28257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cell division control protein MNPMRMRMDPLTLLRDFTIRGDLDKIVRLNGNFRFGEDYTFPCSLETAYRSTKGNRYTLETLVHYIKNHHLKHTEYFQNTLALGIPSVTLPDRKPILNYLQGILSTTDSIEYLPEQPSIPDEPSSHQQHSQFPNSDEIITELESPPLDFISMIRTAEKPLKDRESLLECKNRDFYSVLVAATKREEERQRAESHQRKDGLVAKSRLLGSADDFGGDEMGYDHQTPKPKMHLKIGEGVPIILVPSAFQTLITIYNVKDFLEDGVYVPTDVKVKAMKGAKPDCVTVQKKLSRDRAVTAYEVRDKPSALKPEDWDRVVAVFVLGKDWQFKDWPFKDHVEIFNKITGFFMRFEDDSIESAKTVKQWNVKIISISKNKRHQDRAAALEVWDRLEEFVRSRSHS >RHN68125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33106674:33107264:-1 gene:gene16426 transcript:rna16426 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQTRGTGSNSTAVAGGHVALSSAPMYVQRFQSQRAQPRTRTHSAPHATDIIS >RHN39618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8734922:8737499:-1 gene:gene45658 transcript:rna45658 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTPIMINKYQIWLTKLNYHTVVAKKNEKCFYHYSSSLTSATSIAFHFISQNSSMLRLTKLKPPSSSPHQLLQRCSVSRTAKGKGKAGQILKRSKITVKKSGPEPTPGAPTGSREKQERERLYDQCLNAPTPLRFLKPKQRAREAEREKLGLISKDRQIELDMMKKKNAKFRVFEKPTIMGTPGLDYITLGLVDAEKLPKYELTKEDGMKLAKEYSRVLMRKHRARQAAETNLLRMKKKAIEALPGGLKETALVPDLTPFPMNMFMATLTPPIDGYIEEIKEAADRISGKEKIR >RHN80502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38631579:38641017:-1 gene:gene4437 transcript:rna4437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase STE-STE20-Fray family MGSGSRSYSANPQDYKLLEEVGYGASATVYHAVYLPYNEEVAVKSLDLDRCNSNFDDIRKEAQIMSLIDHRNVVKSYCSFVVDRKLWVIMPFMAQGSCLHLMKSAYPDGFEEDAIGSILKETLKALDYLHQHGHIHRDVKAGNILLDSNGEVKLSDFGVSASMFDTGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDRKFSKSFKEMVAMCLVKDQTKRPSVDKLLKHSFFKQAKPPELSVKKLFADLPPLWTRVKALQLKDAAQLALKRMPSAEQEAISQSEYKRGVSAWNFDVDDLKAQASLVQEEDDDIAEIKEEDENKFFNSNKDTSDSQFGVDKRNSDNSQENEFALQVGGSNVPALHVGGNDILQSDKRNGSIAEATTSTSEKDMGTGKIKTQAVKVGKTQSGPLVPGTVLGHYLPEKGRLFERFENENQLPGEKINRDMRRAPSFSGPLMLPNRASANSLSAPIKSSGGFRDSPDDKSKANLVQIKGRFSVTSENLDLVKDIPASSVSRRSSQDSSPLRKSASVGDWMLDFKQQMPTGQSSNDSANLNVPASVIVPHLHNLYQQMSIQQDLIMSLLSSLQTAEPNDASQNGKLPPMPRSSENNGSVDTATSEREQLLLAKISELQSRMVSLTDELTSEKLKHMHLQQQVAAFYSQQQNGEREEGA >RHN65964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7104098:7105040:1 gene:gene13872 transcript:rna13872 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVIARAFLLSFFQVIHERLDSHLGDLIHHNDEGLLKKLEIKLNSINEELDVAETKQCQSPNMRTWLDHSIHEVYEVEQLLDVMAT >RHN68772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38405836:38407685:1 gene:gene17166 transcript:rna17166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSMDFQTNFLQENYHLKSNIKNYENPFGVVPSSHGFFQDFNHIDKFNVNSSTFFNPVSGVKNFDLSDNFTYDVHHNFKSFAENNSGNGNAYVMDNFLYGGGYDLNNNLSQRNNQMEMMVTNQNYFPFNISQETKPLNSVVPDEVSCISSVNYYKRVGMNRNNKAYPYASRKPEKVKKKINFIKGQWTVEEDRLLVQLVGEHGLRKWSHIAENLPGRIGKQCRERWHNHLKPDIKKDIWSEEEDNILIKAHEEIGNKWAEIAKRLPGRTENSIKNHWNATKRRQYSKRKGRSKYPKGTLLQKYIKSLNLDENPPKDYRRKPSANAIKNKTNRSTTISSQSQQTEQFFPNDQCLVPTCDFDDVPDFSFDENLFQNGCSIDSLLDDMQCAEADQIMEGKKHFDVETEMPLDIMESMLGVEVKKEMDLMEMVFSGQRKFSSDSFFGNDINIGL >RHN49410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54631513:54633906:1 gene:gene44237 transcript:rna44237 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA(His) guanylyltransferase MANSKYEYVKCFELEDEVMFPNFILVSITASKLHKPYHVNALNLMNSCAVAVLEEYADIVLAYGFSDEYTFVFKKTTKFYERRASKVLSIVSSFFSSVFVRKWHEFFPQMELHSPPSFHGKVIPCAAIDALQAYLSWRQNICHLKNQYDQCFWRLVEHGMNEKEAKDFIDGAKKRDLNDILFDEFNVNYNTLDPMLRQGSCVLKTMVGDVVKYTEDGAPVERKRRKINTVHYKKIASTRFWNEQTILLKELGVFAEEINNVKPEYVRSFEFDSKLMPSTWVVVRIDGCHFHRFSEIHEFVKPNDDRALNLMNSCAVAVLEEFRQDIVFAYGVSDEYSFILKKSTDLYQRRASKIISAIVSFFTSTYVMRWNNFFPQTELNYSPSFDARAVCYPSAEIVRDYLSWRQVDCHINNQYNTCFWKLVASGKSKREAQRSLKGAQLQKKIEELAIDYNKLPVMFRQGSSVFRDRIDNVLIHQENGESSESYGKVAVAHIDIIGSAFWLEHPGILDEKLHVWKKC >RHN66471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12698522:12699805:-1 gene:gene14464 transcript:rna14464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MNCLPSTKSPPSYCCATTSLIVLLDELIVEILSRLPVKTLMQFKCVCKSWKTLISHDPSFAKLHLRRSPRNTHLLLRSFRVPDWGNFDYSLISIPVSRLLESPSFMTHHRLYYHVKDITILNDPYYRLSNIDCCNIVGSYNGLICLSGASRNAAANYKDTWFRLWNPATNTLSEKFGYSTNLFRYTFGYDISNDGYKVVAFSSEQDEKVKVFCLTDNVWRDIPPFPVVPFGLCRSRFYCHPFASHGAYVSGTVNWLAIRNIKNMNEYEWNDISVNQFVIVSLVLATETFRQLLPPNGFVEIPPVEPSVTVLMDRLCFSHRFKGTHFVLWMMLEFGVQDSWIQFLKISFQDLQIDYGISDLLEYGSQLFLFPLYLSESDNTLIMASNQPSHAIIYNWRDKRVDQIKSLDNVIMWFQTRDYFESLVSTS >RHN81276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44513552:44514022:-1 gene:gene5292 transcript:rna5292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MTRRKVKLAFISDNAARKASFNKRKKGLIKKVTELTTLCDIPACAIISSPFGSQMEVWPNPEEAKKVIERYQSYSLIDENKNVSHERYIMQMIAKGRDKLKKLKHDNREQELNLSMLRNLKNNNLYEDLTAEELKDLAKRVDKKLKEVDGKIDTLD >RHN73185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14176082:14178530:1 gene:gene8973 transcript:rna8973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DYW domain-containing protein MSHCRIFHHILKRCKASKNSKTVAKTHARIIILGYATYPSLVASLISTYAHCQQPNIAHHVFSRVMNLFNMNLVIESLVKSGECDIAKKVFDKMPVRDVVTWNTVIGGYVKNLRFLDALSIFRVMLKAKVEPDGFTFASVVTGCARLGSFCNAKWVHGLMVEKKVELNYILTAALVDMYAKCGRVDVSKEVFECVVRDHVSVWNAMINGLAIHGHALDATVVFSRMEVENVLPDSVTFVGILKGCSHCGLVEVGRKYFEMMQNRFFIQPQLKHYGTMVDLLGRAGHLEEAYSMIKAMSVEPDVVIWRSLLSACRIHGKKELGEFAIANISRLESGDFVLLSNMYCSFKNWHGAERVRHMMKKGGVRKKRGKSWIELGGSIHQFNAADQSHAEMKAIYRVLEGLMQRAKLEGFTPLTELVLMDVSEEEKEANLTFHSEKLALAYGVLKSSPGTKITISKNLRICQDCHNWIKIVSRILNREIIVRDRIRFHQFEGGCCSCGDYW >RHN78688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17513303:17515202:-1 gene:gene2326 transcript:rna2326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MYWRGVMAGQIGHGIMEEEVWRKGPWTAEEDRLLIDYVRLHGEGRWNSVARLTGLKRNGKSCRLRWVNYLRPDLKRGQITPQEESIILELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKAKNPFDAAEKAKNRFLRKQLFHQQQQQQQLQQQQQQQQLQFNLDMKGVMSMFEENNSHRVPYISQARQEIFNMYPNTTEDQGYFMLNGNSSVPNATEDDTLLDGLWNLDDVQGNFSVANATNKAGLYNLVAPYC >RHN69054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40631631:40633732:1 gene:gene17481 transcript:rna17481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cathepsin L MDKFDLRDSGFLNPVRNQKKGRCCFAFPPYAAVEYLYHKERGVNPRIQFSPQDIWDNLVKNEKQNQDGMTLTDAYNWMRNHGCVREESWPYRGVYGPPPQNREVVCKIIRCKWLPFRKMKEHLRDEGPIAVEVKWIKEMGDYKGDGIYNGPADANAFVKTVNNHVGDHALLVIGFGSERIEGELVHYWIVQNSHGEGWGKEGYAKFNIDIMCGTDKRLIDGGFAPHKIKQGG >RHN44526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5727477:5727830:-1 gene:gene38655 transcript:rna38655 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLRFHFLRDQVNKDGQNMKIVSMSCIRILFPNERQLYDGVSRKSTFVSYDLSFSEEVYRGMIIQLLKFSDSFANKALQHGVCSKSSTCSRHCDLTHEFESLFLYSLVIGSNKNQE >RHN77880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10185023:10186839:1 gene:gene1382 transcript:rna1382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNAJ-containing protein MLDPMAFFAQLFGSGLFEDYVGHLDVASMASSELASGIEDLQEKLKASMEEKLARFLKDFLSQYVRGDQRGFYQRAKSEARRLSHAEFGVDMLHTTGYIYSNQAAQELGKNALYLGVPFLAEWVCSKGHYWKSQFTAAKGAYQLFQLQKELRKQSETGGSCPENVDSHIRPDQETFILNSLWKINVADIEVTLAHVCQMVLKENNVRKEELQGRATALKIVGNIFQVHILHI >RHN67556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28226978:28227592:1 gene:gene15754 transcript:rna15754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IBR domain, E3 ubiquitin ligase RBR family MLAWILEFAPPKILGSIPSSKLFKKVVVDWESAIYESSIVLRQKIYCPYKNCSLLLVNDGLEGVTSCECPSCHRLFCAQCEVPWHADKKCRQFQKSKKVLGEKQLDKKLAQLAKTEMWQKCPRCSIHVEKTLGCDFMKCRFIIFINFTYKSI >RHN70524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52010099:52011684:-1 gene:gene19109 transcript:rna19109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ctr copper transporter MMHMTFYWSKKVTLLFDSWKTDSWTSYALSLLACLIVSIFYQFLENRRIRLKLLASGKPFPAAIEAPLLRRTFAGSGAKLGVRVAGAVLFGLSSAIGYFLMLSVMSYNGGVFIAIVVGLAVGYLLFRSDGEDSVVVDSSCACA >RHN78852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19210309:19210623:-1 gene:gene2513 transcript:rna2513 gene_biotype:protein_coding transcript_biotype:protein_coding MILFCGGSVTKTRKGVVRLTRKGMVTDVLDSSLDLSSYALLARKSFLCLLLFRELLALGFGYHAGGQSIRHLYTLIVLYNVHFSFFFCNLVSRPYAGSLSCVCD >RHN42961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42202118:42203951:-1 gene:gene49473 transcript:rna49473 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MEEVSNSCNNELHAPEIVFFDLETNVPKKIGQRFWVLEFGAIVVSAHKLSEIESYTTLIRPEDLSVAPMKSSRSDGITREAVKNAPCFEDVAEKIFSILNGRVWAGHNIQRFDCVRIKEAFDGINRPAPVPVGIIDSLGVLTEKFGRRAGNMKMATLASYFGLGQQKHRSLDDVRMNLEVVKHCATVLFLESTLPNTLHIDKSKWYGSSSIMTRSRTNGKSPCREETSRKSPPASSSYQRTVPYARGSLGKVAERMKGLLCKAQGQPPLQQLLKHSHSLLR >RHN46656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33791640:33791952:-1 gene:gene41175 transcript:rna41175 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDNESYSFNLSSCLVSTIELLSTSIMMSFVGRRTRSVLISGSGSSSDSAELSSGESNGEDDEVFGVENLCCLVVKCCRFYCLFG >RHN45182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12667475:12667696:1 gene:gene39407 transcript:rna39407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MRKLVGNRLPEFTKKEKHMLKGSLDFIGVNYYMSIFARHESNRSKMYYIDNFDALAATTGKLIFLELHFNYMI >RHN48164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45523072:45525054:1 gene:gene42851 transcript:rna42851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 94A1 MFEMLLLYLLPLLFLTLPILFFLTKPNKKSSTKNNITIPKSYPLIGSYLSIRNIGNRRIQWLSDIVQIAPSATFNLNRPLGTRQIITGNPSTVQHILKNQFTNYQKGTIFTKTLSDFLGNGIFNTNGENWKFQRQVASHEFNTKSLRKFVEDVVDTELTNRLIPILSSSTQTKQILDFQDILQRFTFDNICKIAFGFDPEYLTPSAKRTKFAQAFEDATEISSRRFRLPLPVIWKMKKRFNIGSEKRLREAVTEIREYANKIVREKKKELKENDSLHTQDMLSRFLSSGHSEEDFVTDIVISFILAGKDTTSAALTWFFWLLWKNPRVEEEILKEINKKSESLDYDEVKTMVYTHAALSESMRLYPPVPMDSKEAINDDVLPDGIVVKKGTMITYHVYAMGRMESLWGEDWAEFKPERWLENDGYGKRAFVPRDPFTYPVFQAGPRICLGKEMAFMQMKRIVAGIVGKFKVVPEPHLAEHPNFISFLSSQMEGGFPVTINKRIS >RHN80886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41576926:41580379:1 gene:gene4862 transcript:rna4862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyroglutamyl-peptidase I MGSEGPSAAVTTVYITGFKKFHGVSENPTETIVNNLTEYVKKKGLPKGLAIGSCSILDTAGQGALVPLYQTLQSAIIAKESESSSSNKIIWLHFGVNSGATRFAIERQAVNEATFRCPDEMGWKPQKVPIVPSDGPISRIRETTLPVEEITKTLATKGYDVMTSDDAGRFVCNYVYYHSLRFAEQNGNKSLFVHVPLFFTINEETQMQFAASLLEVLANVSNTQLM >RHN39322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6023077:6027567:-1 gene:gene45332 transcript:rna45332 gene_biotype:protein_coding transcript_biotype:protein_coding MQMITIRPMDYESKEGEVTLRTMSFKSERKIDELYNQEKLDDEVVHEESTCSKRRKIGNLKLQTTFSFKYLVTDNSGTKEGFIDDVFNKQSPSILLHKPEIWFSPKSIGELDVAAIKLQKVYKSYRTRRNLADCAVVCEELWWKALDYAALSRCSVSHFDSVKTETALSKWARARTMAAKVGKGLCKDDKAQKLALRHWLEAIDPRHRYGHNLHLYYNVWFHSQSSQPFFYWLDVGDGKEVNLDKCTRCELQKQCIKYLGPKEREVYEVIIEEGRLVYRKSKNLVHTCEGSKWIFVLSSSRILYVGEKKKGQFQHSSFLAGGATIASGRLVVQNGVLDAIWPYSGHYRPTKKNFMEFIGFLMEHNVDLTNVKKYPIDDDVPPSEQVDNELQFKSTNNTTNANSSDSAKRCIQNNVAITQHKDCKPLSSKWTTGAGPRIGCVREYPSTLQAKALQQLNLSPRVNNGKIANKTPIPSPRPSPKIHLSPRLVHMGIPSPRVHVTSAN >RHN51013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12888474:12888896:1 gene:gene35374 transcript:rna35374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative squalene monooxygenase MLRNKFSSFLQVEVPSYFVGLVLENCNLPYANHGHVILGDPSPILFYPISSTEIRCFVDVPTGRKLPSIGNGEMTHFLKIVIAPQVYQLMHACILYACIYASAVFLPPILLYLSGYGRFNNLVFGVELQPLYILYNILPN >RHN75651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44100895:44101131:-1 gene:gene11885 transcript:rna11885 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLILNELDVTLGSMVVKGIYGYYNVKFHFDFFGLGCTFL >RHN59459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10942405:10943059:1 gene:gene21490 transcript:rna21490 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRFLVLLLIVLIVIPQGLAQPECEPIGYGARDPSFRPRRPPGNKLPVYTPSMKKASVEKPPVKKPHVCKPQVEKP >RHN82670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55567078:55570340:1 gene:gene6849 transcript:rna6849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MDHETNNTKKSSSYWKSFYSFADKVKRFPGLVKRTIWKVGKDDPRKVVHSLKVGLALTLVSLLYLMEPLYKGIGKNAVVAVMTVVVVMEFTVGGTLCKGLNRGLGTLSAGLLAFLIEYLADAPGRIFRAVFIGAAVFVLGASATYVRFIPYIKKNYDYGVMIFLLTFNLMIVSSYRVDNILSLAKDRVSTICIGVAICLVMTLFVFPNWSGEDLHKSTISKLEGLANSIEVTVVDYFYDSEKQANEDDSSEDPIYKCYEAVLDSKAKDETLAMQANWEPRYSRSCHRIPWQQYAKVGTSLRHFSYTVVALHGCLQSEIQTPRSIRDLYKDSCIKLAQEVSKVLRVLANSIRNKRQFSLETLSNNLSEALQDLDNVLKSQPQLLLGSRNNQSRIPITPKTPRTPNFFKIDEDTRISLSSIKSDYYSPVGTKSKEHSRELTKEGQGQKKVLRPQLSKTMTMNMITSLEFSEALPFAAFTSLLVEMVAKLDHVMDKVEELGKMSHFREFRDDDNDEIVLTCEKPKINTAVNDLPSYGGAE >RHN47142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37464771:37469814:1 gene:gene41702 transcript:rna41702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MSQQDQIPINPNIVPQQNQLPPIRMHHPIHMQQQQQMHMSMPNMVMQQMHMPYHMPLQLPYSTHDAGYPSSMKRRRDDEDLGTASSAELSAAKRAKGQDVIFRIVVPSKQIGKVIGKEGCRIQKIREMTRANIKIADAIARHEERVIIISSKDNDEMVTDAEKALEQIANLILKEDNSSFDASKLTAGHVAANTIRLLIAGSQAGGLIGMSGQNIEKLRNSSGAMITVLAPSQLPLCASAHESDRVVQLSGDVSTVMKALEEIGCQLRENPPRQVISISPTYNYAAIRPSQPYLDPNSGQPILQLIMLHLRC >RHN52671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37591433:37595284:1 gene:gene37369 transcript:rna37369 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQFFVLEHAKHCYRIGEQLSHIFNHHTLTWKKPSQGRFKCNMMLLSSYI >RHN77325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6008385:6008891:-1 gene:gene769 transcript:rna769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MAYAKLIPLAVFMLATFLMLPMKKVEASCKDNICVGFFLRCGDRCFCYPQIGCVEQIIGENHPNICHSHVECMNKGSGSFCAHSPILDVDYGWCFAYKSEAEDLLKMSGTA >RHN49407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54616364:54617545:1 gene:gene44234 transcript:rna44234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MGKLAVLILVCLIAATIAEQCGRQAGGKTCPNNLCCSQYGYCGTTDEYCGPNCQSNCHGSSGGGESASNVRATYHYYQPDQHGWDLNAVSAYCSTWDASKPYSWRSKYGWTAFCGPVGPRGQASCGKCLRVTNSGTGAQETVRIVDQCSNGGLDLDVGVFNRIDTDGRGYQQGHLIVSYQFVDCGNELDITNPLFSIIDAKQ >RHN45912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26771301:26772263:-1 gene:gene40339 transcript:rna40339 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYSFSLEIVFKLGFVPHYISFAINQHFNKNLHSKTITLQYSSPNFSSVSDSILNQ >RHN53764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3954398:3954778:1 gene:gene28708 transcript:rna28708 gene_biotype:protein_coding transcript_biotype:protein_coding MKEASSKLALWIIFIILASNTCFWLAGVQLKRMVAAQAQQTYNIKCQTDADCESRCDAGVCEKKCLELPSICLNGQCACPFGPEHSVTKTLPNSTCAFDDDCLKFCPSACSIRSCLEAQCHCGCHG >RHN69050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40594629:40600049:1 gene:gene17477 transcript:rna17477 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRANPHSEDSISDDDDHYANLLSLQNHATNEQDEELPLPVRLDLLKERFCNHNSSSSFQLPEDEEVEMPDFNEGDNHDELGEVVADSDDEDTVSEDEGSTILSTRLLQRYGSQFLRNDEVKDAKRKSEALLCFQESASSHATFSKANSSGTCTKKNASIWNKAKPRISLSSVTHKYGHTGPSISNIDRLPEIMKAVDHRPSASLDGNHLEDDDIVEINLDTEPSETEARPHEFNLPLMADLFDNLQDKTDLYPRDNQRKGKAVQLFQKSSISHLPETVVDSEDSPEPVDSGSSSDNEETDQHMRITFPGKKMQTMADRFHNALGTSSVITESVGAHNSLRTGIFEKLQQAMQKEKERDIDFSKKLQAGAKPDGEFGCVDVNIISRYLDGKLIVCHCSFSKYTENFLVQAEGMGFGGSKDGQITIIFCPRVCNNVDLEVGSLIRIHPPWKEVQVGNDNIILCSYFSEISPPF >RHN70445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51466773:51469510:-1 gene:gene19024 transcript:rna19024 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFHVMCFHLSFGLGCHQQIISHHHFMHLLPSSSSHELSSFSNHLQRLRSLWVDCNSECQLSLDAKNILDALIATVFKDLESIATTSQLSNMTTSTVSGSKYSFKSLLIQIFFVDIHSLCLHLYACLCVYHLIHLNSLVYMSINNTRKSTQQFAKGVKYLKI >RHN67845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30809947:30812076:1 gene:gene16088 transcript:rna16088 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSQEYGDGQIAIPFFPTSTTDPLTIEDFDIIEYLEITQTNNDQGEDFWDRLSFLNEDAFKKESLMIQEQFPIASQFASKVHFNNFKKNIKESENQSIQYCATSEKTAAATFSTILGTRNEPPIQIVAPKPKGFPYFSYIFLNFIDVRIEVNFQIKLTSNCMQCVEEGNTLTNADAASPSAHLELVSSSQEQDVDVRGFQKITKTNNDQSQILRNNFLRMMILEIQNLSHLLPTAFLCLLRDTSLSTRYKCYAYTCLADLLQFLQTHSVLDVLGSSHSEFVKLLHNLRSFGFDKDWLDSVERRALFSDIKVSQDALQKLLDSKQQVSKEVEVLRLKIDILSQHVEDLKHQLTTSETVLKSIIQEEAQVLETKATFIAPLGY >RHN71048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56084046:56084992:-1 gene:gene19691 transcript:rna19691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monodehydroascorbate reductase (NADH) MSPSLLKVDVDELNTIAEEWEIEAMPTFLFLKEGKLVNKVVGGNKTGRE >RHN39180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4699370:4708834:-1 gene:gene45182 transcript:rna45182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MQTDYMEREPPEIVILMLKACVFLALVPFICISQANSEQLDKSVSREIANNENPGFISIDCGSNVDYYHDETGIWYKTDEGFVETGENRMTSSSVKLNYLYFGKQLITLRCFPEGDRNCYTLKPKEGKNKKYLIRALFSYGNYDGKNLQQSFQLYLGVDLWQDIDFIEYYYHTEIIHTPSTDTIHVCLIKSSPTIPCISSLELRLLNNSIYQNEEINSTGAPQPLLKLEERFDVGPSTCYYKDPRNFVGRRDARYKDDVYDRMWSCDQLLYITFDWYPMGLDESINMDNATNDTYKLPANVLKSAAQPRNVTRTLGFVYTAYSSTAQYYVYLHFNEIEKLSDGKKRKINITFKNQPVPSKPIVLDYLKPVTLNIKIQGDVLFNISATSDSNAPPILNAYEIYKLITPLDSPTYAQDVGAITDIKSSYLVNKLSWQGDPCLPTEYAWEGLVCTGDTIPRITSLNLSSSKLTGEINISFSYLTELEFLDLSYNELEGSLPEFLAHLPKLKVLNLTGNKLSGPIPKDLKEKADNTTLELSVAGNHDLCMTGSCKKKNIVVPLVASFSALFLIILISLGFRIFKRQKGLGFDISKRQKGICWIQHVVCPLFSFIHSFGICWILNYGRFFSMSHIPNIDII >RHN61964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39642332:39643522:-1 gene:gene24481 transcript:rna24481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nck-associated protein MLTTEGFSNNIHCLARCISAVIACIEFVRLEHEHQHRQSLSNGHASERMDPELSGHMSAEASINSTLQLFVKLSAEMILDSWSETHSTTYLSRPTLRDFTIPSAKLIGNSPYLPADSQYYAIGNSCSGYFKGSSSHSQEHLYDVDISSLRSTHRSGPLDYGARLKFWHYWTQSTC >RHN47805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42647726:42650752:-1 gene:gene42448 transcript:rna42448 gene_biotype:protein_coding transcript_biotype:protein_coding MDNWRKNQGISNHHQVGHWKSSSSYKGKPPLDNRFPTVPLWEKKFCESVGSVPWRKVIEGKKYMYLHPNVVNWDDSAVKEAFDNAKNRFWAEINEFPCDIPLPDPDTHIDDVDWNASVDPELYQDVEREAEARRNMEEKGEAAVILGSSLLLNQSFSGPGWGPTGWGDEEEKEVTKPSEPNYAAQGWESNQHENNETNSWEQYRAPVVEHSKEYGWRNGQNDYHGWNQTEQYGADLHNKYQGRNGGHGNWGAWDGYNRKRENMSWSNNPGHYHGTNEYQMNRGRRRSGGRGGGRGGGRRGNFSYVEKVATPGAW >RHN45404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19823638:19829886:-1 gene:gene39725 transcript:rna39725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative U6 snRNA phosphodiesterase Usb1 MEALMQCYGDGSSDSDSESSPSNPTLAYAERREPLPHRATNIREPLHKACVQPLPPPPIALLHPPNFLGPQDIQISQTTKVRSFPHVDGNYALHVYIPINISSSSKKEVAAFLKKISSLHPSLCAVDVDVPLNVLCKNDEKLEQVLLGREFHISLGRTVPIRVHQIDSVVTMLKQKLQTQSLYWIDFDKWEVFVNDDRTRTFLSVEVVHGGLVEIRKQIEAVNAIYKLHNLPEFYEDPRPHISLAWSLGDTADSLKKVVDDEIMKCVTGKSLKKCIFTCKFKGIECKIGQKTFKICKISDR >RHN77714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8899598:8905444:-1 gene:gene1197 transcript:rna1197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lupus La protein MAKHSLDEETTKKVIRQVEFYFSDSNLPRDDFLSKTVNESEDGMVSLALLCSFNRMRKHLKLADDTKPADVKEETVKAVAEVLKNAASLKLSEDGSKVGRITELPKPEEVIEQVEIRTIAASPFEYTVKLEEVESFFGQYAKVNSVRLPRHVGDKKFFCGTALVEFSSDEEMQNVLKQKLVYAGAELELKPKQDFDAEREKELEKHEKSRKNVGSNHEEDNTNTEEDYPKGLVIAFKLKSSSDEVPEKNSTDQQANDNNDVTKTDEQKPSEVAAEESDQKMSENDDNDKENNGVNEGKEAEGEEKEQEKNGVKEEKETEVEEKGQENNGAGEGKVTEGVEKGQETGKKTAVIFKNDSDVVLREDLKVVFQKFGDVKYIDFNMGDESGFIRFDEPEAAQKARAAAALSEKGGLVVKNYIATLDPVTGEAEKQYWSQLRGKQDIRRESFSNRGRGGGRYGRGGKFSRNRDNDSGRGRQNKYRKY >RHN69752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46023753:46024112:-1 gene:gene18265 transcript:rna18265 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNVDSGSSGSKRSYEDSVGSSARPMGRESAKKKGKKKSKDETLENVEKEWVQFKELKEQEIEQLKELTLVKQQKNKLLQEKTQAKKMKMYLKLSFEEHLDDRKKELLGKLERELFEN >RHN55026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14495033:14499915:-1 gene:gene30147 transcript:rna30147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase, protein trichome birefringence-like 2 MEWNKRITFSEPFSSHRTKVLSGFTLGLVASLLFLSLLFLNSSSFSEWHFPFANLSPSSNVSITPLFTIHQEQLSKIPEVNVSNQGFENTLLGNFSENGYNASFLSNGVDKVGNFSAGKGEIDENLSGSVAVEENLKDGKFDEKCDIFDGNWVRDDSKPYYELGSCPFVDRDFDCHLNGRPDSDYVKWKWKPNGCDIPSLNATDFLEKLRGQRLVFVGDSLNRNMWESMVCILRQSINDKKRVYEISGKHEFKKKGDYNCSVDFVSSPFIVQESTFKGVNGSFETLRLDLMDQTTSTYHDAEIIVFNTGHWWTHEKTSRGEDYYQEGNHVYPRLKVLDAYTRALKTWARWIDNNIDANRTNVFFRGYSVTHFRGGQWNSGGQCHKETEPIYKGDHLRKYPSKMRALDYVIPKMKTPVIYMNISRMTDYRKDAHPSIYRMEYKTEAERTTAEQHQDCSHWCLPGVPDTWNELLYASLLKIGKGHWKS >RHN73407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16062577:16063411:1 gene:gene9213 transcript:rna9213 gene_biotype:protein_coding transcript_biotype:protein_coding MLILIIPEISHSLCFLIPRIPHHCIRKAIFPQNKCPLFFMINDCNLCRSTKIYLINLSFQEKEKDQLISKKKKTEKHISYTHVNIMKY >RHN81828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49176776:49180228:-1 gene:gene5919 transcript:rna5919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MVSRTPVDAGRFSVVSKTFHSAASSDAVWNHFLPSDIDSIVSQSPSVVNASSKKALYLALSDQPIIIDNGKKSFQLDRNSGKKCYMLAARSLAITWGDDNRYWNWIAMPDSRFPEVAKLCLVWWLEIRGMINNLALSPNTQYAAYLVFKMIDSYGFENLPVDLSVGVKGGHSSTKIVCLDPNAEFIYIRERGFCNCLIRSDNKVVGLPRPSWRSGRWWEIEMGEFFNSGLENEEVHMSVIENKGW >RHN63361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50682825:50687097:1 gene:gene26052 transcript:rna26052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MITATEKAKEAIFYSYNRYINGFAAILDEDEAAKLAKHPSVVSIFLNKKYELDTTRSWDFLGLERGGEIHNGSLWKRSLGEDIIIGNLDSGVWPESKSFSDEGFGPIPKKWRGICQVIKGNPDNFHCNRKLIGARYFYKGYMAVPIPIRNPNETFNSARDSVGHGSHTLSTAGGNFVANASVFGYGNGTASGGSPKARVSAYKVCWGSCYDADILAGFEAAISDGVDVLSVSLSGDFPVEFHDSSISIGSFHAVANNIIVVASGGNSGPSSNTVANMEPWILTVAASTIDRDFTSYVVLGNKKILKGASLSESHLPPHKLFPLISGANANVDNVSAEQALLCLNGALDPHKAHGKILVCLEGENSKLEKGIEASRVGAIGMILVIERESGGEVIADAHVLPASNVNVTDGSYIFNYANKTKFPVAYITGVKTQLGIKPTPSMASFSSRGPSSLEPSILKPDITAPGVNIIAAYSESTSPSQSASDKRIIPFMTMSGTSMSCPHVAGLVGLLKSIHPDWSPAAIKSAIMTTATTKDNVRGSALESSLAEATPFAYGAGHIRPNHVADPGLVYDLNVIDYLNFLCARGYNNKQLKLFYGRPYTCPKSFNIIDFNYPAITIPDFKIGHSLNVTRTVTNVGSPSTYRVRVQAPPEFLISVEPRRLKFRQKGEKIEFKVTFTLRPQTKYIEDYVFGRLVWTDGKHSVETPIAINIHISKV >RHN60225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23876395:23877186:1 gene:gene22489 transcript:rna22489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative putrescine oxidase MASTTPMKLALFSILTLLYFQAVISLTPLHEHPLDPLTKEEFLTVQTLVHNKYHTSKNKVAFHYIGLDDPDKDYISKYEHEKVPIVIHRKSFVIAIINGQSHEIVIDIRLKTIVSDNVHKGYGFPMLSLEEQGLVTKLPLKYPPFIASLKKRGLNLSEVVCAPFSMGWFGEEESKRTVRVDCFMKENTVNFFVRPISGLTMVADLGLMKIVEYHDREVEVVPTAENTEYKASKQRPPFGPKQHSLASHQPQGPGFLINGHSVR >RHN82082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51144817:51146505:1 gene:gene6201 transcript:rna6201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase, RBP11-like dimerization domain-containing protein MEHGSYSDLSKSTFSLMDHTFANSVRFTLNQDPRVTFCGYSIPHPSENRVNDNWGSSI >RHN55427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18204374:18204724:1 gene:gene30606 transcript:rna30606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MISISKIVLSVFMLLTILVSLQFKYSQSFPFYRSKLTVYITNNLTDLELGVHCKDKNNDIKFQKLQFGESYTFTFRPNVLVENSLYFCGFSWFNEFHYFDIYVEQRDEDTCKTENI >RHN60029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17161724:17163998:1 gene:gene22223 transcript:rna22223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MSNRSRRNAIPFILVDGVLVEGVENVRTTMYNHFSSHFQARCANRPSMDGLHFRSLNLREGVDLIKPFSFEEVKNAVWDCDSFKSPGPDGISFGFVKEFWDVLKGDVMRFLVEFHRNGKFAKGINSMFIALIPKVDSPQGLNDFRPILLVNCMYKILSKVLANRLRSVIGSVVSDVQSAFIKGRQILDGILVANEVVDDARKRKKDLLLFKVDFEKAYDSVDWNYLEEVMVKMGFPNLWRKWIKECVGTARASVLVNGSPTDELSLHRGLRQGDPLSPFLFLLAAEGFHVLMEALVVNNLFNGYKVGSHDVLGVSHLQFANDTIILCDKSWANIRALRAILLLFQELSGLKVNFSKSLLVGINVHGSLLAETATVLNCKVGSIPFLYLGLPIGGNASRLVFWKPLINRINSRLSSWKSKHLSLGGRLVLLKSVLSSMPVYALSFFKAPSGEVGGLGVRRIKEFNLALLGKWCWRVLTERDSLWFRVLAARNGVEGGYLCSGGRNESVWWRSIGALRSEGWFRYHVSRSLGDGSSVLFWTDVWVGELSLRDRFSRLYDLSVLKGESVAMMRALGWEEEGEAWRWRRRLWAWEEELLGELRLLLQNVSLQVHRKDGWKWSADSTSCYTVQSAYKTLIAQVYFDHSVMSTSLWHKDVPLKVVLFAWRLFRDRLPTKDNLHRRHVLGVDAQFCVSGCGFVETSNHLFLHCNIFGSVWNYIF >RHN69288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42359089:42364841:1 gene:gene17735 transcript:rna17735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein phosphatase 2A, regulatory B subunit, B56 MFKKVFGKIPKKSSKGSENREQGGNSRSHGVATSKNSDVVTQPGSSKTGNSSNSSLNAGQGQLHSHGNKSSLPMNENSNGNFNSYEALPAFRDVPNSEKPSLFIKKLKMCSVVFDFTDPTKHLKEKEIKRQALVELVDYVSSVNAKFTENVMQEVVKMVSVNIFRTLSPQPRENKLVDGVELEEEEPSMDPSWPHLQIVYELFLRFVASPELDAKLAKRFIDQSFILRLLDLFDSEDPREREYLKMSLHRIYGKLMAHRPFIRKSINNIFLNFVFETEKHNGIAEFLEILGSIINGFALPLKEEHKLFLVRILIPLHKPKCLAMYHQQLSYCITQFVEKDCKLADTIIRGLLKYWPITNSSKEVMFLGELEEVLEATQPPEFQRCMVLLFRRVSHCLNSPHFQVAERALFLWNNDHIVNLIKQNCKVILPIIFPALERNTKTHWNQAVHSLTLNVQKIFHDLDLDLYKECLQKFEQDESKEGEVTAGREATWKRLEEVAAKKAASNEAVLISKALPRKSAG >RHN67671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29100417:29103598:1 gene:gene15880 transcript:rna15880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative jacalin-like lectin domain-containing protein MSLEEKPVSLGPWGGSGGYSWDDGVYSTIRQLVIVHEEGIDSIQIEYDKGGNSVWSLKHGGSGGHKIDTIKLDYPNEFLTSVDGYYGSLNQFGPIFIRSLSFESNKKMYGPFGVEQGTYFSLPVTGAKIVGFHGRYGWHIDAIGVHLRSYQQPKPSKTLSYPQNHITNTNDTVGYSVIQGSVSQGFDIVVAVKQKDGSAKASPTKKILTFKESNSIEPKEKTVPMLKAPSIVEGMVTYGPWGGNGGYVFDDGPYTGIRQIDLSRNIGIVWIRALYDLDGEAVWGYKHGGAGGFKHEKMIFDFPYEVLTHISGYYGSLMYMGPSIIRSLTFHTTKRIYGPFGDENGTYFTTKMKEGQIVGLHGRKGLFLDALGVHVMEGKVIVPVPTSPSNEIIPREPSITEIKSAQRSAKLGHSKSAPLEEVSRGVTQEPVPCGPGPWGGDGGRPWDDGVFSDVKQIYLTKSPEGICSIQIEYDRNKQSVWSVKHGGNGGSTMHRVKLDYPNEVLSCITGYHGPIATDEQAIVIKSLTFHTSRGKYGPFGDEVGKFFTSTKTEGKVVGFHGRSSMYLDAIGVHMQHWLGSQKTSRSSSLFKLF >RHN57206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37303875:37305860:1 gene:gene32715 transcript:rna32715 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSATRTSSSSLNPNAPMFVPLAYRTVEDFSDEWWNLVHSSPWFRDYWLRERFQDPQNYQNDSFSDFEMMDEDDLFHVHEIEPQHEDGKELMKLGSLKWRGSDGWAEVPRYAEKAPKIVKPRVSPRAIHQPR >RHN65959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7022413:7023351:-1 gene:gene13865 transcript:rna13865 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFANAVSEKEGSYESRVRGKLVDFSPQNINRILGIPVPPVCDVERRRLPANWPGSQEEWDGLLVGLMKEGTNWIRKYPTNNPQRIDTADLLPIPRAWASFVLSTIVSTSAAAEMILSRVFILLVLFSVHEQMNVGKLIAYNINDMLTKNTALGHCCLINLLCQDAGVSPEPANMLLKSQVPITDSTMARLEKKVARTAPPEAHHHQHRAPPQEEYPPMHPALAEYIYTSANWMDEASSQLYIEPPRFSQQFAAMQIRYKKKPGHSYERFGSRENMENYFRVTRERAGEREQDIREDYTYGENLDFAGVLS >RHN61882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39124971:39129972:-1 gene:gene24393 transcript:rna24393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain, 4Fe-4S ferredoxin-type, iron-sulfur binding domain-containing protein MAQLLTPLYPEALKIHNSSLCTRSSWQMLQQKTAVSPWRFATPSCKRRGFGRVRVATEQESFSTSDSVGAEDYYAVLGLLPDATPEQIKKAYYNCMKTCHPDLSGNDPETTNFCTFINEVYEVLSDPVQRRVYDDIHGYSLTSINPFMDDSSPKDHVFVDEFSCIGCKNCANVACDVFGIEEDFGRARVYNQFGNPELIQTAIESCPVDCIHWTSAAQLSLLEDEMRRIERVNVALMLSGMGSALGDVFRMANTRWEKRQLKFLEQARSRMMKQKGYDKTNSYWDNLRGKPRDYENSEEEVKERAKRAAAAARKWREYSRKGGAVKPSTVKLPEATSSTKD >RHN40943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24785257:24790528:-1 gene:gene47191 transcript:rna47191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MQTIMDPTKKRKLDENGFGGESDHLKLSPSEGRKLIERFTPDQLINILQDAVVRHPDVLSAVRAVVDPDISRRKLFIRGLGWDTTTEGLRSLFSTYGELEEAIVIVDKATGKSKGYGFVTFLHVDGALLALREPSKRIDGRVTVTQLAAAGNSGSNTNAADVAQRKIYVSNVPPDLPGDKLLAHFSIYGEIEEGPLGFDKQTGRSKGFALFVYKTPEGANAAVSEPVKNVEGRQLNCKLAITDGGKQQGMRGGPDGAQGRGNAHGNGLGMAPAAGSASGQYGASVGMGSYGGFSAGHQGQPPMGNHPLSSSVGGGLSSVGNQAPSSIGGAGGYGGGHYGGYSGPTAAGYGGSGGGGGGGYGGGSGVTGPGVGAGGGHGSAGGSGGHGLASLYRLPSSGGMQAGGYPDSGHYNSSGYQNQHHPPAGASPVPRVQPGSMYSNVPPYY >RHN75800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45179935:45182622:-1 gene:gene12052 transcript:rna12052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TCP family MGMKSTGGEIVQVQGGHIVRATGRKDRHSKVYTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKSAIDKLDQLPPWNPIPNATEELQEEENNNNNAVETNEMVIAAEQSESSGYNLQQNNHHNHNHSGFIQSTIDNDAIAFFPTTSVGESMNFQSYSSDIISRTNNSTQDLGLSLHSFQDNSASNDQTLFSGSNPVGFDANYNRIVNWNTADMNRTGFMVNSPAFLGQGSTFSAHRGTLQSSFSPSLRPWNDIPIADASVDHHNHKSQQQIHQASIFGSRFLSDALTGFCIPARIQGEDESHGVGSERPSSSSNSHH >RHN46504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32339599:32340787:1 gene:gene40997 transcript:rna40997 gene_biotype:protein_coding transcript_biotype:protein_coding MFISGPLKTSEDPNAVSPGRRLVVPLQICVLQGLSFVKAQLLSMEFPAHVSENLPKLDDVNNSSNGGHVNSESKMDRLVKIDPFKGSWGLRFLELELSNPTDVVFEINVSVKLENNSNEDNHLADQMLQNTAILKQELIEIAQQGFLCILSILNYPFLMIPFYRRILIQMGLVEEEIHHSLKRAAKPNSMLASRTLYLGLRFNGTRDAIALES >RHN51327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16274394:16276097:-1 gene:gene35751 transcript:rna35751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase chromatin remodeling SET family MNFQDPNPSISRTIVPVSNELQNYDSNTLAGKASKSKQKLRGQKKELVHTEMDADHSPYREMVRRTRILFDSIRVSGLLEEENNRKNKKNLKASSLINQTEEVNNSRVRNDLIASTLMNDHGMYLFPDVKIIGTVPGVSIGDIFLYRSEMCVIGLHGQPQAGIDYLHASMSSNGQPIATSVVVSDGYNDDDQGDSIIYSGHGDMKQDQKLERGNLAMVRSMQYEIDVRVIRGFRYEGGTSTTSSKVFVYDGLYKIIEYWFEKGISGFGVYKFMLSRVQGQPKMGSMILKEASMLMRGHLCDNHMYVLSDDISNGKENIGVRLYNDIDSDLYPMQFEYLPKAAFPMFLLPHSMTTRKKMRVIECSECVDGCVSSIMNGNTTPYSKSGILLKGRSLIYECGPFCSCPSHCRNRVTQKGIKYRLEVFRSNETSWGVRSLDPILAGTFICEFTGLVLTREQAEILTMDGEHNSFIIYPNRFLNRSTQEWGDLSMIDANHVHPAYPTLDFALDVSMMRNVASYISHSPTPNVFVQLVLFDHGNWMFPHLMVFAMENIPPMRELSLDHGVEAG >RHN74562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34767745:34770296:-1 gene:gene10650 transcript:rna10650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MVSQMFYCEQSSMNDFSVNDSNSNVSIPTIDLTGIHDDLVLRDEAVRKVENACEKWGFFQVINHGISAHVLDEMIKGTCRFHQQDVMVRKEYYTRDPNKKVVYVSNYSLYHDPAANWRDSLGFSMAPNPPKSEEFPEVCRDIVIEYSEKVMVFASTLLELLSEALGLNRFHLKEMGCAEGLIVLCHYYPPCPEPELTMGNTNHTDNDFITILLQDHIGGLQVLHDDQWIDVTPINGALVVNIGDLLQLVTNDKFISVQHRVLAKHIGPRISVASLFRTDDESIVYGPIKELLSEENPPLYRDVSLKDYSTQYYAKGIGTSALSHFKL >RHN68296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34380229:34381059:1 gene:gene16630 transcript:rna16630 gene_biotype:protein_coding transcript_biotype:protein_coding MLCAAVVQSKAFLHLKEGCPSMLLELLETFASVDDNSGQKLSRKRSSSSIYGQDLANGGAAEAANPNGGRKSILQSVSSTLKSYETVCQYE >RHN49625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:56023438:56024143:-1 gene:gene44474 transcript:rna44474 gene_biotype:protein_coding transcript_biotype:protein_coding MNIEMSMKMGCTSNLKNAVIAFLAPLPSIIFYLSFINNATDHPFCYRHPLLLVNLLFFFNVNILFWLIALIQSSHWMIDPYWTVIPVMLVHYYAAHPLAHYDFWRSRIVILLTWVWSIRLIHNYFRREKWQWGAREDWRFTDMIQQYGKHWWWASFFSIYLPHHCCCVCIWHPHSILCG >RHN82021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50622082:50630732:-1 gene:gene6137 transcript:rna6137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative XS domain-containing protein MAGGNHRKTRWESNSSSSTALPTTTNTKSPSDSKSKPNTNNSNPKPNLNPKPNPNPSPKLPNDHPALIPFQFPEPGPPPPPAYGFHMLERRTIILADGSVRSYFALPPDYQDFAPPPRPIDRFAYPPRPDYQNPMEAASAKRKYGEDCRDEFARQREQLLRNANGFANRVPVGGEFPAGPSDLRPSKQLRVDGVGSGNNARHVQVDQDSLKKKFLHFVRLINDNPLLKKSLLEDGKQGRVQCIACGSGSNRAAKDFSDIHALIMHTFNSDNADLRADHLGLHKALCVLMGWNHSKPPDNSKAYRYLSADEAEANQDDLIMWPPLVIIHNTHTGKGRDGRMEGLGNKWMDNKLRELGFGGGKSKALYGKEGHLGMILIKFAGDKSGLEEAMRLGEHFKKENHGRKDWVRVQAQTLGKDNENNPNLVKFDERNGEKRRVLYGYVGTAFDLDKLDFDTRKKVVIESRREYKPSM >RHN59947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15219453:15219863:1 gene:gene22119 transcript:rna22119 gene_biotype:protein_coding transcript_biotype:protein_coding MDWMNIMVDGLNEYGFDGSDCHPLLLILYDATLVRRCFVRRRCATLFVFLVSFRYLLDSDCQISFDPVQIKSMTLESSTLQIRSHVYSRDLNIVICVGFSTLPFYAINMDAVSLFTDSSSLFLANFHCIDVLYQFE >RHN81395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45528732:45529211:-1 gene:gene5424 transcript:rna5424 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTIFPSTLFFLRRGMGLFRPPYFVFLLPVIRHLRIPLLSLLTGAPSSTTTTNLLSPIRRKRDPPYTSSLQISFFSSWFFSKLWIPWKKECYGEEEEEY >RHN53938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5514373:5516532:1 gene:gene28908 transcript:rna28908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MKMVCLFHLLFLCSVCIVVSGQENIEFLNLCETSEDIATSSSHSEFPLAVSLNNEDLNMVSSNILSAETWLRLNIFPYYPSSKITNIVGKTSTFCQQDQNNLNVVLSSLKNLYHSLKRWGLEQDIKVSIAFDLDCFTLNSATSNHDLKMLKPMIEFLQTVNSTFSLIPSSKFSHFSDKSLNFVSSHLESMKKLGFFNHNNIINIATIVPKERKITIRKLSVTTIPIKPTPIPEIAQPPLDFPAGSPYPAPYNVPNPKPLPPLAQIVSSPPPISSPYFAPQEQPSPLPPQFVSPANSPQNGFTCPPCNPIENGSPSASPYPQIAPVQKLWCVAKPSVPDATLQEALDYACGEGGADCLEITTPQGNCYNPDTLVAHASYAFNSYWQKHKRIGGTCDFGGTAMLIHSDPSFLHCRFILS >RHN57612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40513425:40514685:-1 gene:gene33188 transcript:rna33188 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSSMESNLLMRNMGSDLAATQFNNCCTQRKSEGDDDDGDIDIAPAA >RHN56369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30370735:30383336:1 gene:gene31758 transcript:rna31758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endonuclease MutS2, P-loop containing nucleoside triphosphate hydrolase MLSSCNCNCNTIIFSFPNNKLLLKHTQLKLKLKHRQTVSVAASIHHDSLRVLEWDKLSDLVSSFATTSLGRHALKDQLWSQNQTYEESLKLLEETNAAVEMHKHGSCRLHFGHIDAMLVQTAIQSARRTILVTGYEANAVLSLLQSADTLQGDLKATIKQDKDWYSRFMPLTEVIMDLVINRSLVKEIEQVIDEDGSIKDSASSELRKSRQQVQVLERKVQQLMESLIRSERSETSILEVNNIDGRWCIRTDSGQKTSFKGLLLSSSGVGSTIEPLSAVPLNDELQRARSLVAKAEADVLLALTRKIQLDVDDIEKILDSLVQLDVINARATYGLSFGGSNPNIFLPDRNNSSTAESLTRNDTLNGPLPDNREWILYLPKAYHPLLLQSHRANLKKVKEDVNIATSVSALDKAQPQPVPVDFLVSNKTRVIVITGPNTGGKTICLKTVGLAAMMAKSGLYVLASESVQIPWFDSVFADIGDEQSLSQSLSTFSGHLKQISNIKLQSTRQSLVLLDEVGAGTNPLEGAALGMSLLESLAHGGCLLTIATTHHGELKTLKYSNEAFENACMEFDEVNLKPTYKVLWGIPGRSNAINIAERLGLPSVVIDAARKLYGSSSAEIDEVITDMEKLKQDYQRLLTEADHYLMQSRGLHGSLLKTRRKIAEHSTSLRLKKLRDVSEAAAMSRSILHKKVRELDASAKKTSQHDKAIKSSRVSTTNNLHTAADNKEPASTNKSPSVVKKFDKSSTVRSAAPKVGDAVYVSSLGKKVTVLKVDSPKGEILVQAGIMKLKLKVTDIQRL >RHN60495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27362153:27362763:1 gene:gene22811 transcript:rna22811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MYVYYIQMGKNMAQRFMFIYALIIFLSQFFVVINTSDIPNNSNRNSPKEDVFCNSNDDCPTILYYVSKCVYNFCEYW >RHN66132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8891234:8893403:1 gene:gene14052 transcript:rna14052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MTLKVFTSTVSNFDSVFEKFRSEIPQHKANLILFLADNDPSTSLSWCPDCVRAEPVIYKKLEASPNEIALLKAYVGDRPTWRNPHHPWRVDPKFKLTGVPTLIRWENDAVKGRLEDHEAHIEKKIEALVADK >RHN79386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28293564:28297716:-1 gene:gene3172 transcript:rna3172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative co-chaperone Hsc20 MTKKFLTKPLSTFFNFTRTRTLTSIPQRLLPHLKPQSPSPPFTPYHTHHLYHNYDYSSPGSLRFCRKSLCSKPEQNFQPTCWNCHAVPQSTPFLFCQSCRCIQPVDRSNDYFDIFGLERKYDVGGIDLEGKYKEWQKKLHPDLVHSKSQEERDYAAEQSARVIDAYRTLSKPLSRAIYMLKLDGVEVDEEQTISDPELLAEIMEIREAVEEATNSEALNHIRSEMQEKMQSWSNAFADAFQRRDFEEAKNAIRRMIYYTRVIEEVVKKL >RHN53211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:105655:115581:-1 gene:gene28098 transcript:rna28098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MLEEDEDVVLLSSSSFSSDSDEEVEVSSSSSSSSSSDSEIEQVSQRKSQNVEALIKGNLIVKRQSLLPRVYSTNGAAAICRKPFKPPSDAYNNNNNQDLARRLSARKRFVPWGSTTPIPIPTSTTPLTELDLNISDHKEEAVKPSPPLPPEIDPLILWQPLHDNDPSNSNFTTIAVDPLLVRFLRPHQREGVQFMFDCVAGLCETPDINGCILADDMGLGKTLQSITLLYTLICQGFDGKPMVRKAIIVTPTSLVSNWEAEIKKWVGDRVRLVALCETTRQDVISGINSFKSPQGKFQVLIVSYETFRMHSEKFSSSGSCDLLICDEAHRLKNDQTITNKALAALPCKRRVLLSGTPLQNDLEEFFAMVNFTNPGILGGIAHFRRHFEAPIICGREPAATAEEKKLGAERTAELSAKVNQFILRRTNALLSNHLPPKIIEVVCCKLTPLQSDLYKHFIQSKNVKRAITEELKHSKILAYITALKKLCNHPKLIYDTIRSGSPGTSGFEDCIRFFPPNMLSGRSGSWTGGDGGWVELSGKMQVLARLLHQLRQRTNDRIVLVSNYTQTLDLFAQLCRERKYPHLRLDGATSISKRQKLVNCLNDPSKDEFVFLLSSKAGGCGLNLIGANRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSAGTIEEKVYQRQMAKEGLQKVIQREQNDSVAAQSNFLSTEDLRNLFTFDENVKSEIHENMRCSRCQNNDGPQDTDVLSTMINSECGDDETADIGGFAEIAGCLGNLKTSEKQVGNPLEEDLSSWGHHLFPTSVPDGVLQASAGDEVTFVFTNQVDGKLVPVDSISPKLQKKELHKPSRNVERKSTPFALHNKLVPLRSASNIANVSCSSSIAWTKKAKNCERTTQNIAMSVALNTKHSLVNELPRQKRSYPADSNDGHSFVNQISPKKACHVDNNDENFE >RHN54684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11279936:11281888:-1 gene:gene29758 transcript:rna29758 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCLFFFCVFLSLQYSSPTEKIATSTLPVFFYSFGTFLCNLSIHMIYII >RHN62957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47033394:47034013:1 gene:gene25598 transcript:rna25598 gene_biotype:protein_coding transcript_biotype:protein_coding MINRVSRRCPRGLCFSSNRWFSSIIDVDIGEVFGLFFFFFVVVGV >RHN51510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19097173:19097583:-1 gene:gene35959 transcript:rna35959 gene_biotype:protein_coding transcript_biotype:protein_coding MWITLSKTEVLPFKEDDVHRVYELPMAGKQINVDLCSEAAIKRLRTKLVLDGNYLSFVKVADLKRILKTLEKPKAWVKGAICFIIHKILCPTHSSFVSFQYAHILEDPAGISLITGAHMFLDIRKKACKLWKLQIH >RHN79718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31537423:31542497:1 gene:gene3548 transcript:rna3548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MFQTTCIFLISLLCSFFNHGTSMLNLTLPGQHPDPESVALEVHRKVNVSMLRREMLSISNKEFSTSCITGNPIDDCWKCDTDWANNRQRLADCAIGFGQNAKGGKGGKFYIVTDSSDNDAVNPKPGTLRYAVIQNEPLWIVFPSNMMIKLSQELIFNSFKTIDGRGADVHIVGGGCITLQYISNVIIHNIHVHHCHPSGNTNVRSSPEHYGYRTESDGDGISIFGSKDIWIDHCTLSRCKDGLIDVVMGSTAITISNNHFSHHNEVMLLGHSDHYKPDSAMQVTIAFNHFGQQLVQRMPRCRLGYIHVVNNDFTRWEMYAIGGSGGPTIFSQGNRYTAPEDVDAKQVTKRLDSGDSEWTSWNWKSEGDVMANGAFFVDSGDKGEGSFQKAYSVDPKAVDRISTLTMAAGVLGVARDNNLGMWTRAPNGGGDYSMSYSGEEEYTDDMSKSTMLLTHSLVTLFVPLLCFLSLTIDL >RHN72549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8548384:8549216:-1 gene:gene8275 transcript:rna8275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MLSLQVMLLGHDDKFYADEQMKVTVAFNNFAKNLTERMPRVRFGYAHVVNNRYYEWSMYAIGGSAHPTIFSQANLFIASNNKKEVTKRETNEKWTNWNWRSYGDEFINGAFFNSSGTGTCDPLYSQSQNIIAAKASMVTSLTSNAGPLGCVAGKAC >RHN58162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44212904:44217887:-1 gene:gene33776 transcript:rna33776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MAVYYKFKSAKDYHSIPMDGPFISVGTLKQSIFVSKHLGRGTDFDLLVTNAQTNEEYHDEEMLIPKNTSVLIRRVPGLPRLPIVIEQKQEVESKVVETEPENSTLPVDYTSSMQYPEKLDWHEFGNDVYSIPDQLPLQSSTMIPDALTNKADEDRKIKALIDTPALDWQQQGSYFGAGRGFRRAVGGGRTGGGRGFGLERKTPPEGYVCHRCKVSGHFIQHCPTNGDATFDIKKLRQPTGIPRSMLMVNPQGSYALQNGSVAVLKPNEAAFDKEMEGLSSKRSVGDLPPELHCPLCNNVMKDAVLSSKCCFKSFCDKCIRDYIMSKSACVCLATNILADDLLPNKTLRDAINRILESGNSSTENARSTYQVQDMESSRCPQPKIPSSTSSATSKGEPKVSQVNEGMTNIQEIAAEIKDVSATQQVSEQVKMPRAAVVSEATHESMSVKEPASQGSAKLVEEEVQQKLVPTDEDLQWKTPLDLGGENYMMTPTGLPPFSYNSYWNDMQPCMDGYMGPYAAPMPTMVYGLGPFDMPFGGGLPQDLFGMHGYVMPVHPPHRNLSKDREFGRDVSSVGDVSSSKPKAVCTFYCFNFQLMRLLISVGFLPNVCPETSSMQKNIIIVDNPICYFFGFNYCSLWKLFLLASFIVNSIYLSSYIVWLFTKWLRELFRRVSPILMMKYWINCISAYANGAVLL >RHN78539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16084219:16086065:1 gene:gene2119 transcript:rna2119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEEGRVVSEFTEDGTVDLKGKPILKSKTGCWKACSFFVVYEVFERMAYYGISSNLILYLTNKLHQGTVTSSTNVTNWAGTMWITPILGAYVADTYLGRYWTFVTASFIYLLVYLNSLPALYHYNCIIYNFSLSQINCYIKILKLKVNF >RHN69567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44481098:44484670:-1 gene:gene18050 transcript:rna18050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MGKVTVPFVGMIIAECIQVALIILSKQVMSQGMTSFIFIFYSNTIAALVLLPSSFFIHRFQRPPITFSTLSGFFILGLLGYLAQVFGYAGINYSSSTLATAMLNLVPGFTFILAVLFRMEELDWRSPSSLAKSLGTIVSIAGAFIATLYKGAALLKGPSPANFSQQPLFSQDSDWILGGLFLAADCVMASSFTIVQASILKKYPAGLIVVFFYCFFVAILSAVTCLAVERDISAWSLEPKLRLLSVLYSGVFGSAFQVGVTTWCLHQTGPVFVSMFKPIGIVISVVIGVLFLGDAFYLGSLIGATVIVIGFYSVLWGKSKDIEARSLESRGKQTPLLKENSSEDV >RHN75580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43510166:43511409:1 gene:gene11809 transcript:rna11809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target SNARE coiled-coil domain-containing protein MRAIDVLKCYIIEKFSSLPPYCSKLGQNLPECSFRVKNKTPEDSFWWLIGFWSNTGNTFKQRSLLFDLQKLSCETFEYKPLSAKHFNLNTVGFRFVFFQNQFLFPPSNLDTVTLSNKAYSFFNVANATDHVKSGNDALHTAKSLQKKSRKCMMIAIILVLLIAIFIVLGVVKPWKK >RHN62401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43157049:43160452:-1 gene:gene24973 transcript:rna24973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S6e MKFNVANPTTGCQKKLEIDDDMKLRAFWDKRISQEVLGDALGEEFKGYVFKITGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGHGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGDNDLPGLTDVEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKDGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAADYQKLLASRLKEQRERRSESLAKKRSRLSSAKPSATA >RHN46417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31531312:31531722:1 gene:gene40900 transcript:rna40900 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYVVFFLALVFVLFNVSTDAKHQYDQTTNAKSRINITFKSLIPANERDLYFDCKVGTFLLPSQQDHIMAVSVDQVVSCHVLRNRLEATITAFDPKSKLIGHHGVVWLIRPDGLLQSLDGFFFEKKATWKPLGQK >RHN66265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10177840:10178912:1 gene:gene14214 transcript:rna14214 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEADWSDLPKELLNMISERIDIEINMIRFRSVCSNWLRSSSVPNHHLNLTINFPLHKSLSNSTITSFCCLSKRSIFLIKPQPPQKQQQTLIRPWLVRITQNSSGKKKRFDPFLSHHSSSTSFYLPRVIDFHKLSVLHLGADFITNELAFHMLLPQKVISIGKHSLFLGILKNCTPSWCCSLAAISLGSGSLKYPRRLATSVFMIGPDSTVQMVAEHVVHGGDRKLLVESDGELLLVDIYESLDFGINVFRFHEKEKKWVKLMNLGDRVLFFGEGCSFSASASDLCVSKGNCIVFIDDSVSAYWMQGGNGVYHLDQGRVSPVSRYHEYFNLFSPPDWILES >RHN61121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33148283:33150881:1 gene:gene23552 transcript:rna23552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQMVENMTTYVIIIFLSLFFLSMNIDAKCYQDSDCPKDMCSFLFKPKCIFTRYFPIYLGGICGCDRKTCP >RHN54120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7066644:7075842:-1 gene:gene29109 transcript:rna29109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-BEL family MGVASPVPFPSSSSYSSIIQLKTHQNQRTLDCSTSNSMSQDYQQAIFGFSSNGFERSSSSQQQQQQQQQIQRDKVRLLQGLNLSEGEGDERGGVYETAGMLSEMFNFADPSTAELLETATFRSSSSSSSRQLPQTTASENDWYGNNNRQGIQQHQQQISSINVADDSAAAMQLFLMNPSQSHQTTTSSSSPPPTHHQNSSTLHMLLPNPPNNSLQGFPNSGNFGQFTWNSTTTTHQEGAVEGQSVQGLSLSLSSSLEAAKAEEELRMGGGGGDGGSFMYNNYNIQGGSGGPSSSTYPYKLNHQQALNLQLQGGGTINGYQLLQSHNQGQGYGSVVVNVLRNSKYMKPTQELLQEFCSVGRGQFIKKTKFNRQNSSNPNNCSSNVGDSIPSSSSKDTPPLPLSAADRIEHQRRKVKLLSMLDEVDRRYNHYCEQMQMVVNSFDVMMGFGAAVPYTALAQKAMSRHFRCLKDAITTQVKQSCELLGEKEGAGGGLTKGETPRLKVLEQSLRQQRAFHQMGMMDQEAWRPQRGLPDRSVNVLRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQELNEAEVEAEDREMNQSNSNNSGHQTQTPPTSTATATEPPPPQKRSDINAAENDPSLVAINRQQDFSENQAMQSTTTINTTVSEVVVPPFDSDLPPHRSMAMDDTCRYGSLVAEDYETGSDIGSSTLIRFGSTTGDVSLTLGLRHAGNVHDKNNNFLY >RHN50783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10485514:10488824:1 gene:gene35111 transcript:rna35111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan 1,3-beta-glucosidase MAKCSIFLVGLLLFHCWITMAEAENFKYKDPKQLLNTRIKDLMDRMTLEEKIGQMVQIDCTVASADVMKKYFIGSILSGGGHTPKQNATANEWVDFVNEFQKGALSTRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGATRDPQLVRRIGEATALEARATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVQSMTELIPGLQGDLPANWSKGVPYVGGSKKVAACAKHFVGDGGTTKGINENNTVANRHQIYGIHMPAYYNSIIKGVATVMISYSSLNGHKMHANHDLVTGFLKNKLRFRGFTISDWEGIDRITSPPHANYTYSIEASVNAGLDMIMVPMNYTEFIDGLTSLVKKNAIPMSRIDDAVKRILRVKFVMGLFENPLADYSLADQIGSPEHRELAREAVRKSLVLLKNGKSSDKPILPLPKKAPKILVAGSHADNIGNQCGGWTITWQGQSGNNMTTGTTILNAIKNTVDKETVVEYKEQPTQDYVKSNGFSYAIVVVGEKPYAETDGDSLNLTLPAHGIETIKNVCGAVKCVVVLISGRPVVIQPFLHNIDGLVAAWLPGSEGTGVADVLFGDYGFTGKLSRTWFKTVHQLPMNVGDRHYDPLFPFGFGLTTAAGKAA >RHN63819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54227164:54230685:1 gene:gene26572 transcript:rna26572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP diphosphatase MLNEERFSSLITTSCLDLDNILGGGINCKEVTEIGGVPGIGKTQIGIQLAVNVQIPLDYGGLGGKAIYIDTEGSFMVERVLQIAEACIEDMSEYSHHFHKDNQAFGVKMHPNSILENIFYFRVCSYTEQIALVNYLDKFVTEHKDVKIIIIDSVTFHFRQDFDDMALRTRLLGEMSLKLMKLAKNLSLAVVMLNQVTTKHIEGSFQLTLALGDSWSHSCTNRIILYWNGDERHAYIDKSPSLKSASAPYSVTSRGIRNSTSSSKRIKMV >RHN40627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18341359:18342761:1 gene:gene46799 transcript:rna46799 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQTPFVMRPVRPRPPFAETLSLVTETPPYERFMSPTMGTIQIAVLAAFHKSHKPLAAEPLALKELEAAILAAIQKGRDEALALQKKEKLEADMAEASIQKGRDEALALQKKEESEADRALPTDMVRGADERRKKKNGMRKRKRKKWMMYHERRGKKNVMNGVKRKERSPGADERRGKKNAMNGRKRKKRSPEADERRGRKNVMAERKRKKLSD >RHN62998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47496832:47499346:-1 gene:gene25646 transcript:rna25646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MAVQHTIILLAFLLQFASLQFPSLGYDHQDTYFINCGSDIDVNENNNLYIGESNPTYPKTSFRKSSKVTSQSPVFSPLYQTARIFHSESSYEFSTIPNNTYMVCFHFFSFSSPTNLSSAKFNVSVPGFILLQNFNSENTTNSSLVKEYYVKIIRKRFTITFTPQTSSFAFFNAFELFILPLHLIPNSIARFNYIDSAIRNLTTYSNDFLSQSLETILGLNVGSGTVDRKTDTLSREWLPDDSYITNPQNAKNGSFADEIKRTANNTYEGPNSNIYIAPDAVYETAKESKSGSKGLNISWSSGLANFILYIYDQLVTNINDDELLSSMLHDPYYYDFVIRSDGSGLLNVTVVPDTSVEVAKPKAFLNGIELMTMIKSSSPIPLDGLDLRKINLPVVVGSVVGGEISHSKLTYGKTTQGSPLPNINLGMKISLLDLQIATENFDTKRIIGKGGFGNVYKGVLKNGMNVAVKRSVPGSGQGQHEFQTEIMVLSKIRHKHLVSLIGYCDERCEMILVYEYMENGTLRENLYNTNLPCLTWKQRLEICIGAARGLHYLHKGVAGGIIHRDVKSTNILLDENLVAKVADFGLSRTGPLDEHSYVSTRVKGTFGYLDPDYFRAQQLTEKSDVYSFGVVLLEVLCARPAIELSCPSEQINLAEWGLFCKNKGILEEIVDPSIKAQINQNSLRIFNETVEKCLHDYGCDRPTMVDVLWDLEYALQLQREPHRDSSSSAFASLQLPNVQRLPSLSALTEVDGTSTGRVDESESAALMMLDRISCDANQGTTINTQVSP >RHN44998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10607275:10608609:1 gene:gene39191 transcript:rna39191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MSASLPTNRFSKSIHNQTMSRKELSKISPSPVSLATLLDRELREEKGEKRFVKYGEESMTKKGEDYGLIKTCCHRVPGDPSTAFSVFAIFDGHSGISAAVYAKENLLNNVLKEIPKDIISSSRDAWLHALPRALVAGFVKTDMDFQSIKGEMSGTTATFVVVDESIVTVASVGDSRCILVDNKGGGVVSILTVDHRLEDNVEERERVIASGGEVKRQDYRGGPLRVWPGGLCLSRSIGDADLGKYIVPIPHVKQVKLLSNGGNGRLIIASDGVWDALSNEMAAAACRGVAAEFAAKLVVEEAIISKGLRDDTSCIVVDIPSDHLPVLQRNSTTQKKLHNLLKPLLFPFRKKSKKNSTNKATSKVGVVVEDLFEEGSVFIERFGNDFSLNNNSDQIFSCAICQVDQRPGNGISRPLNSPLEVPFFCTNCRKKKVIMEGKRPMVYQ >RHN46290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30402493:30403850:1 gene:gene40765 transcript:rna40765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MATIVKLLGSVGSPFVIRVQIALKLKGIEYKYVEEKLGHLSETLLRYNPIYKMVPVLVHNEKPVSESRVILEYIDETWKQNPILPSDPYKRALDRFWAKFLDEKCMAAAVKSLFITDEKEREKSRKELFEALQFLENKLNDKFFGGEEIGFVDIAALFIPLFQQVAELQLVPGDKFPKLHKWSQEFYNHPVVKEIMPTKEQQFGYFKARAASIAAANNAAASK >RHN46521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32458352:32460750:-1 gene:gene41014 transcript:rna41014 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGRGGFNNRGGAGCVKPAPPRCHVYLWVGGYGLHVYLRLNCTFGPLSFQKFMFCI >RHN72521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8375329:8375979:1 gene:gene8247 transcript:rna8247 gene_biotype:protein_coding transcript_biotype:protein_coding MISKLFLLVFLCPITCLASRFRKLGGDSEEMRHLHHLHSYGGGIGINSGGGYGNGAVGGSGSGGVGVGPGSGGVVGGGYNPGNSHGGFSGGNGDGSGMGGGNGGSSSGGGSFGGSGGGGIGGGYGDGIGGSSSGGIGGGNGGSGGGAIGGGYGGGNGGFGSGSGGGVGGGYGAGNGGYGGGSGGSGGGGYSNGNGGSGGGGIGGGYGGEIFNQLRP >RHN59095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7430413:7432650:-1 gene:gene21083 transcript:rna21083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol synthase MENESEIPILDFRRSSGVTLEKGSEGWKEMSKKVREAFESRGCFLLKCDEISKELCGQLFTGMKSLFDLPEDTKEKFVSPKLYRGYINKSYAIPNSQSFGIDSDLDHDTTHQDFINLMWPQGNPIFSEALSSMYSKTRELSSLILKMVVEGFDLPQHYNLDVEELGSTNDTRLTRYQHPEEKKDTEIAFVPHTDMGTITFICENEVQGLQVLSKTGNWVDVNIPPNGFVVICGDALKAWSNGRFQAIMHRVVTRGDKERFVYVLFTIPKDSAVIKAPSELVDEENYPLRYRSFKYGDYVHYQYSTRTQKERLESYAEI >RHN75140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39700796:39703949:1 gene:gene11301 transcript:rna11301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lanthionine synthetase C MSDRFFPNNLPPFTAGAETTLEDEEEEATSSQTPTQHSLTSLLSLPYQTLTSKLQATALHFKQSVVSETWGSGVNRVKDYTLYTGVLGTAYLVFKSYQVSKNVDDLNLCLKIVKACDSASANSSRIELPCDLPYELLYGRTGYLWACSFLNKHVGKDTIPTTYMRPIVDEVITTGRKLAHKGRCPLMYEWHGKKYWGAAHGLAGIMNVLMDMELKPDEVEDIKGTLRYMIKNRFSSGNYPSSEGSESDRLVHWCHGAPGVTLTLVKAAEVFGDREFLQAAEDAGEVVWKRGLLKRVGICHGISGNTYVFLSLYRLTGNKEYLYRAKGFSCFLLDRAQELIGQGKMHGGDRPYSLFEGLGGMTYTFVDMIDPQVARFPGYEL >RHN48848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50682425:50685673:1 gene:gene43608 transcript:rna43608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MLVPVEIGMSWIGSLSALAPFSIFADVCNVLAMGIVVKEDVQVALEDGFSFRERTAITSNIGGLPFAAGMAVFCFEGFGMTLALENSMKDKAKFPKLLAQTFSGITLVYILFGLCGYMAFGEETRDIVTLNLPRSWSSLSVQVGLCLGLMFTFPIMLHPINEIVEGKLKIIRRNNNDSIRLGKITVYISRAIVVVVLAVIASFVPEFGVFASFVGSTLCAMLSFVLPATFHLKLFGSSLCLWQKVLDYIVLISGLFFAVYGTYNTIVGI >RHN69399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43145213:43149160:1 gene:gene17864 transcript:rna17864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-3-phosphate synthase MFIENFKVESPNVKYTETEIQSVYNYETTELVHENRNGTYQWIVKPKTVKYEFKTDIHVPKLGVMLVGWGGNNGSTLTGGVIANREGISWATKDKIQQANYFGSLTQASAIRVGSFQGEEIHAPFKSLLPMVNPDDIVFGGWDISDMNLADAMARARVFDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGERANNVIKGTKREQINQIIKDIKEFKEANKVDRVVVLWTANTERYSNLVVGLNDTMENLFAAVDRNESEISPSTLFAIACVMENVPFINGSPQNTFVPGLIDLAIKNNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVNSNAILYAPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQFKSEAENKFHTFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENIMRACVGLAPENNMILEYK >RHN57653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40820159:40820945:-1 gene:gene33231 transcript:rna33231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-hydroxyphenylpyruvate dioxygenase MSPIETYLEHSEGAGLQHVALMSEDIFKTLREMRKKSGVGGFELRSVKSFGILVDRDDQGTLLQIFTKPIGDRPTIFLEIIQRVGCMLKDEEGKEYQKGGWGEFGKGNVSELFKSILEYEKTLETRRTA >RHN69388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43034981:43051088:-1 gene:gene17849 transcript:rna17849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fatty-acyl-CoA-transporting ATPase MPSLQLLKFTRHGQNFLASRRKAILLASGILIAGGTAAYMQSRFRVNKHDLFGHCNEQNNDKEVKKEEVINDSTKPKNKQKKGGMKSLQVLTAILLSDMGQLGVKNLLALVVTVVLRTALSNRLAKVQGFLFRAAFLRRAPLFFRLISENIILCFLLSTIHSTSKYITGTLSLHFRKVLTKLIHSHYFENMVYYKISHVDGRITNPEQRIASDVPKFCSELSEIVQDDLAAVTDGLLYTWRLCSYASPKYVFWILAYVLGAGAAIRNFSPPFGKLMSTEQQLEGDYRQLHSRLRTHSESIAFYGGERREEAHIQHKFKTLVRHMRRVLHDHWWFGMIQDFLLKYLGATVAVILIIEPFFSGNLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGTLSIGARRLNRLSGYADRIYELMAVSRELSLVDEKSSLQRQGSRNCISEANYIEFSNVKVVTPTGNVLVDDLSLRVEQGSNLLITGPNGSGKSSLFRVLGGLWPLISGHIVKPGIGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTSNQEVEPLTDHGMVELLKNVDLEYLLDRYLPEKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWSVHYRREDSSTEMGIDTMKASETKRQTDAKAVQRAFAMSKKDSAFSSSKAESYIADVIYSSPSTNHTNLPSTVPQLHGNTRILPLRVAAMFKVLVPTVFDKQGAQLLAVALLVVSRTWVSDRIASLNGTTVKFVLEQDKAAFIRLIGISVLQSAASSFIAPSIRHLTARLALGWRIRLTQHLLKNYLRSNVFYKVFHMASKSVDADQRITQDLEKLTTDLSGLVTGLVKPSVDILWFTWRMKLLTGQRGVAILYAYMLLGLGFLRTVTPDFGDLISQEQQLEGIFRFMHERLCTHAESVAFFGGGAREKAMVESRFSDLLIHSQYLLKKKCLFGILDDFITKQLPHNVTWLLSLLYAMEHKGDRAVISTLGELAHALRFLASVVSQSFLAFGDILELNRKLVELSGGVNRIFELEELLDAAHSGEFINGGPISSATDYHSKDVISFSKVNIVTPSQKMLARELTCDVELGRSLLVTGPNGSGKSSIFRVLRGLWPIASGRFSRPSEDLDQDVGSGCSIFYVPQRPYTCLGTLRDQIIYPLSREEAELRALKMYGKGEKHPDTVKLLDKHLEVILENVRLNYLLERDTSGWDANLNWEDTLSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEHLYGLAKKMEITFITSSQRPALIPYHSMELRLIDGEGNWQLRSIKQ >RHN44506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5521276:5526156:-1 gene:gene38634 transcript:rna38634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative formyltetrahydrofolate deformylase MGMVVRRVSQVLGLTNSNKIRNFSFKSLDLPPLPSPSLSHGIHVFHCPDAVGIVAKLSECIASRGGNILAADVFVPQNKHVFYSRSDFVFDPVKWPRKQMEEDFLKLSQAFNATRSVVRVPALDPKYKIAVLASKQDHCLVDLLHGWQDGKLPVDITCVISNHHRDSNTHVIRFLERHGIPYHCLSTTNENKREGEILELVQNTDFLVLARYMQILSGNFIRSYGNDIINIHHGLLPSFKGGHPSKQAFEAGVKLIGATSHFVSEELDSGPIIEQMVERVSHRDDLQSFVQKSENLEKQCLSKAIRSYCELRVLPYEIKKTVVF >RHN47881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43263234:43264086:1 gene:gene42530 transcript:rna42530 gene_biotype:protein_coding transcript_biotype:protein_coding MNWHGISSSARFSLHNPNCLFSVYNSIFVLYKSHYIQYKECLCFKFMSAINCNHRVKIFLGFIQQW >RHN53246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:351488:355713:-1 gene:gene28136 transcript:rna28136 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNVTGNANGSDDRWGTAAAAVPAIRKKGTSVRQWLVVDGTGEAQVIEAGKHAIMRRTGLPARDLRILDPLLSYPSTVLGRERAIVINLEHIKAIITANEVLLLNSRDPSVTPFVQELQARILRHHEATTTPLPDNQEDSHGGIKILPFEFVALEACLEAACSVLESEAKTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKLLQQQLEQTSDEDTVETPDHDVDDNGVDINQSVPAAEISLEAGVGGGVNYVEEDEDNLNSREQMFGASNLRDSRGTRASTTYSVTTTKLDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVVSAFVVVAGIFGMNINIELFDKNLYGMREFMWTVGGGTAGTIFLYVVAIAWCKHKRLLE >RHN74229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30576848:30577894:1 gene:gene10255 transcript:rna10255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MVWKFLIACLKNMSNPRFRTFLTACLALLCTCSLGNPLKWEWASEPMQDTLLGQGTFTASKLLDQKNVTAGASDYLWYMTEVVVNDTTVWGKSTLQVNAKGPIIYSYINGFWWGVYDSVPSTRSFVYDEDISLKRGTNIISLLSVTLGKSNCSGFIDMKETGIVGGHVKLISIEYPDNVLDLSKSTWSYKVGMNGMARKFYDPKSNGVPWIPRNVSIGVPMTWYKTTFKTPEGSNLVVLDLIGLQRGKAWVNGQCIGRYRLGENSSFRYYAVPRPFFNKDVNTLVLFEELGLGKGPFNVSVDIISIESRNFVKWDQGCSS >RHN57025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35837514:35842334:1 gene:gene32508 transcript:rna32508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial glycoprotein MAFNSILRSKSSTFVRAFSSATQLIRKTQPGHRAVLSAAVNRYQGSFVPTFHFSSVSVKNKATSDDILLRFIESEITCAQETDDFGAGEEVPSNFPFKIVDNPGNQTITLKRTYQGEEIQVEVHMPDLVTGEEHDHQDDDKDDESERATQSSIPLSVSVSKKDGPSLEFSCVAYPDEIVIDSLSVKNPDFSDEQIPYDGPDFQDLDEALQKSFHKYLEIRGIKPSTTNFLHEYMINKDSREYLVWLNKLKNFIKA >RHN71904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3482094:3491841:1 gene:gene7554 transcript:rna7554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative U2 snRNP auxilliary factor, large subunit, splicing factor MSDIDNHAYHGASSPDKPSSRGSGHDSTRMRRRDGDRKSFHDHRDRHRDDKYEGFKGRGKYDSYNRQRGRDYDRHNDYDRDRDTRNRYGAHSKRSRRESRSRSRSRSPSQSEGKRTSGFDMAPPATGVTPTVSGQMPGIAHMIQGATQNFSPYGISQIGALSLMQVQPMTQQATRHARRVYVGGLPPFANEQSIASFFSQVMIAIGGNSAGSGDSVVNVYINHEKKFAFVEMRTVEEASNAMALDGIVFEGVAVRVRRPTDYNPSLAAVLGPCQPSANLNLSAVGLSAGTIGGAEGLDRIFVGGLPYYFTEVQMRELLQAFGPLRSFDIVRDKETGNSKGYGFCIYQDPAVTDIACAALNGLKMGDKTLTVRRATVSAHSKPEEDNIFARAQQHIAMQKIALEVVGLNIPGVPTNDESPTKVLCLTEAVTTEQLTDNGEYEEILEDMRDECRKFGTLVNVVIPRPNPNGELSTGIGKVFLEYSDCTACLAAKNALNGRKFGGSIVTAFYYPEEKYHSMDYDL >RHN54827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12677561:12677728:-1 gene:gene29918 transcript:rna29918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ent-copalyl diphosphate synthase MQELVQLVLQNSPDGLHSNIKNSFLAVAKSFYYEAYCDAETIYSHINKVLFQKVI >RHN78888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19593572:19596516:-1 gene:gene2554 transcript:rna2554 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTQRQEALVNSSWEAFNQNLPFYSVLFYTFILEKTPAAKNMFSFLKDSNEVIQGNPSANAHAEMVFGMVRDAAIQLQVKGEVVLEDNVLGVVHTQKGVADRHFMVVKEALLKTMKEVVGDKWSEEFSVAWETAYDELAYAIKKTMI >RHN48231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45975034:45977321:1 gene:gene42923 transcript:rna42923 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNLKYIRFDLFYHTSMITYVVGMRLIFSHMFHNKSVWYINDCHPYSPVLCRQMVMLKTSRISPENQASDPSKVYKLLKLTKS >RHN66885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20834864:20841872:1 gene:gene14999 transcript:rna14999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MQQDHRKKGSTEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRKDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLTSMRKKQPVPFAQKFSNADPLALRLLERLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEEIRELIFREILEYHPQLLKDYINGTERTNFLYPSAVDQFRKQFAHLEENGKNGPVAPLDRKHVSLPRSTIVHSNTVPQKEQTSIASSKNRQTSEEYNKLSRDNEIPVPRPIQGPQRIPLAKPGKVVGPVVPFEYGNVVKDSYDPRAFIRGPVLPPQPVPPAYYYQRPGSTANQERPTAGADKGVPLQAKHGQQCGINAKIGHEIAINIDTNPFFMTRVGVNKVEKDDQIAIETNLLQSKAQYGGVGAAAGTNSHRKVGPIQYGMTRMF >RHN70214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49710890:49711460:1 gene:gene18771 transcript:rna18771 gene_biotype:protein_coding transcript_biotype:protein_coding MLESCFIHDYYYYYMVRPTLPLDELGVIYPYYYIVLNLSQLFLSPC >RHN44994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10533435:10534220:1 gene:gene39187 transcript:rna39187 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRSRTTLIYLECRLRIETSYGWANSMSKVLKSFKDLSYNINQPAGFAYLKGKVDPQKLLSKLRKAEKHVTLEWSSYVFHIENQGNGYNNHHFIPQGPFYTIGYPYDPNVYQFNHPNPNWNNMPQYGLPYHAPERQHSTEHMFEGTSFSSQSSDSQSPVRSNHHQSDVGNSSLPVIQPENNNQTNDQPAQRSSFMKKIAEKLCLAS >RHN48491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47905308:47908572:1 gene:gene43211 transcript:rna43211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phenylalanine ammonia-lyase METISAAITKNSANESFCLIHAKNNNMKVNEADPLNWGVAAEAMKGSHLDEVKRMVEEYRKPVVRLGGETLTISQVAAIAAHDHGVQVELSESARAGVEASSDWVMESMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGKGSESSHTLPHTATRAAMLVRINTLLQGYSGIRFEILEAITNLLNNNVTPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAHGPSGEILNAKEAFALAGINAEFFELQPKEGLALVNGTAVGSGLASIVLFEANILAVLSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSAYVKADKKLHEMDPLQKPKQDRYALRTSPQWLGPLVEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLALASIGKLMFAQFSELVNDFYNNGLPSNLSASRNPSLDYGFKGAEIAMASYCSELQYLANPVTTHVQSAEQHNQDVNSLGLISSRKTYEAIEILQLMSSTFLIALCQAIDLRHLEENLKNSVKNTVSQVAKKTLTIGVNGELHPSRFCEKDLLKVVDREHVFAYIDDPCSATYPLSQKLRQVLVDHALVNGESEKNLNTSIFQKIATFEEELKSLLPKEVESARTAYESGNPTIPNKINGCRSYPLYKFVREELGTGLLTGENVISPGEVCDKLFTAMCQGKIIDPLLECLGEWNGAPLPIC >RHN56457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31011963:31013865:1 gene:gene31862 transcript:rna31862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MQTETYLPFELIIQILLRLPVKSLIRFKCVCKLWLSLISQPHFANSHFQLTTATHTNRIMLITPYLQSLSIDLELSLNDDSAVYTTDISFLIDDEDYYSSSSSDMDDLSPPKSFFILDFKGSCRGFILLNCYSSLCIWNPSTGFHKRIPFTTIDSNPDANYFYGFGYDESTDDYLVISMSYEPSPSSDGMLSHLGIFSLRANVWTRVEGGNLLLYSQNSLLNLVESLSNGAIHWLAFRNDISMPVIVAFHLIERKLLELRLPNEIINGPSRAYDLWVYRGCLALWHILPDRVTFQIWVMEKYNVQSSWTKTLVLSFDGNPAHSFWPKYYTKSGDIVGRNMRCALAKYNDKGQLQEHHSYCDSQYVSPVVMYTESLLSIPGGDSGQV >RHN75563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43316585:43317444:-1 gene:gene11790 transcript:rna11790 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRTRPTLPNHFHKFLKPGTLARLRDSKITARSHRLNSLSQISIYRPPASPPPPPPSDQPQTTAVSADGFPSFFSGIYGPRCPQRKKLVAAKSVFFVPGSPAAADSADLGLESFGGDIIAAN >RHN71591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1201986:1202738:1 gene:gene7205 transcript:rna7205 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWSSRNHQQQFHLVALTPYYNLNRRMVLSHFWYMHVPSFL >RHN45853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26299711:26300577:-1 gene:gene40274 transcript:rna40274 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPQSPGVSSFCGRSPASSWSRFRCLLTPFGSQFITGPCQLLVHRAIQEELQ >RHN67910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31334048:31337146:1 gene:gene16161 transcript:rna16161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MAFHHIQYYFIVLLMATFLTTHSNAELTPDFYNDVCPQALSIINTIVRQKLDFKPRMGAHLLRLHFHDCFVNGCDGSVLLDDTPNFIGEKTAKGNIDSLKGFMLVDIIKAELDKACKGPVVSCADILAVIARDSVSILGGPSYWYQVLLGRRDARNASMKDAESYLPSQLFNLSQLVSNFESQGLNLKDLVALSGAHTIGMAKCSTFRERIYNDTNIDPDFATCLQDNCPLHGGDDNFEALDFLTPQVFDNSYYKNLVSKIGLFHSDQELFKGDGSESDMLVELYSRDSDAFARDFKASMIKMGNIKPLTGNFGEIRTYCGKVN >RHN79637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30806665:30807331:-1 gene:gene3454 transcript:rna3454 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEESHVRRRARTATLWPSSAMADLVVGERDAGGDDCGTHRSATRSMAMT >RHN50563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8102495:8105728:1 gene:gene34863 transcript:rna34863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-1 MERKTLASLCFFLIVLLAAQVVAQNVPCQTRNRNFKSACIAVSGDDEECDHDCRRVGGWNGGSCKNQKCVCDC >RHN68344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34774086:34775605:-1 gene:gene16684 transcript:rna16684 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSTKPLNLFHDLGTFTGDHRAGSCCSRAGKLKVKERETEIQGWLCHFLSFAAKLNNSDS >RHN40340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15450236:15451583:1 gene:gene46487 transcript:rna46487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MASTDLTNMKEDQSRDGQETISEENKVIHDYNNLILSLPKENGWGLSQNVYFQCFWCPSNMIQPIISFQNNFQAKHNDIVVASLPKSGTTWLRAFTFTIVNRNQYSFENHPLLKSIIHELVPSIERNLYAADIKDRIPLSKIIEPRLFGTHIPFPSLAKSIQDSNCKIVYISRNPFDTFVSYWIFINKIRSKHPSLPVLSLEETFESFCNGITPFGSFWEHNLGYLKESMIRPDKVIFLKYEELKEDPIFHVTRLATFLGYSFTQEEESKKVVENIINLCCFETMKELEVNKFGSVRSDIENKFFFRKAKVEDWKNYLSPSMEEKLSKIVDEKLSVPMSHLESHTTNIIK >RHN82232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52180479:52181211:-1 gene:gene6377 transcript:rna6377 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFCYFSWFCVISHQCVGFAAFLVVFCFGFFAFGLCGDY >RHN76651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:134713:146236:-1 gene:gene13 transcript:rna13 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoporin Nup85 MSPNTVDNGTLIPFSGETNNDSLAIYPLHHTLTRPVSRLAISWSRGNSLRVSLFAEPSQTSDAGDGAKVIEVKLGGGDPEIDDADWRRIAYGSVAPFALLQSRRSAISNMSKSPSTYQLDWWEHVLQYSKEITSLLAGPKLGPSPIIDDPNEIVKKNEEPTCLKAAWELMEIFYVDKQSQAWLPERLVDWLADYDSLFTSTQETIHGKLVDFQNDLVNIQVIEDDPRYWEVMSSALSVGWLDIVVKMLRLHGSYQLDQLSNRELENGLVEAVAVLISKMPRLRPESAAGNLGECFKSKPDFIKAWEKWRSQITKLDCSPFWIQCDNQQTRVGLRNLLQVMLGNTESLCTASCYWVELYISHFLYIRPFMIGVESMYNLAQKCIQLKPPTGTHRLTGLLIGILGENTEVVLAEISREFGPWMVAHAVELLTAGSEQAEILLYDERYNLGGISMVELHRLAYAQVLSSHALTWQIAPIYLTSCMKQGMGLLENLLYRQSVQHNNMLLKNIEICRLYELDHISSNIMKVAGVYHWKHGRKGAGVFWLQQSQDTNCLDRIAQQLFDSVGKSISDESFKQWEGLIELLGSESKPAGGLEFLHKYRDFKKSLQMVYSGKSTDAARQAVGSLILLMKNPSTPQRFWLPLLFDSLKLLNWKDSPLLSVSETNLLLNKLQEFSLARQRPHFTEPNLPPQALSSIRLALATNLGRAILDE >RHN56632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32432363:32434957:-1 gene:gene32064 transcript:rna32064 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKTQLELQASSKKRTKNSDEDDEPVLNVNSKKRLAPQKTLNKLINKTALEPEASSVMKNLPEDDHDHKTVLNVVDSNKLKTDDGNKGIETSKTPLKSEDDEPWNPSKPLPEGFVPWLEHDHDKCIAEQLGIDLDAPIPAEYADYFYNQATPSPEGSFNLHYSDSELAKIDPLDFPREPTPPMEFRFASRNPPSSPSDGDLGSDDDSDGLGGPITRSKTKKREEALYDKLLELECSDAKTAKWLKRINDDEARWSREADNKIQDKEKGRSIQKTA >RHN61219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33939210:33940858:1 gene:gene23659 transcript:rna23659 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLYSSEPYRISYMNEIPGSVGTSASFILRLGQTLFSSASLLFMSLGVEFYSYTAFCYLVTIMGLVIPWSFTLALVDGYSVLVKCPIRQPGILLIIVVGDWVLSTLTLAAAASTASVVDLLLNSQGSFCPIKLCCRYRISAVMAFVSWFLSLASSLFNLYLLPSL >RHN42670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40176291:40179762:-1 gene:gene49146 transcript:rna49146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone oxidoreductase intermediate-associated protein MELCSTTLSFSSSTPLLNFQNHKFPRRNFCHKISNPALPKPFLQNYGKPQTLIYEQKSTRLSTGAYRTIISAKAGRQSWDFGRFIKTLYFFNGPPSPAKFFDFLVGKLSSSSTSESVNSMGTSDIVLVAGATGGVGRRVVDELRKKGIPVRVLVRNEEKARKMLGSDVDLVIGDITKDSTLIPEYFKGVKKVINAVSVIVGPKEGDTPDRAKYSQGIKFFEPEVKGDSPEKVEYIGMRNLIKAVKNNLGLGRGKLLFGFEGDSYRQLSWGALDDVVMGGVSESTFQIDPNGSENGGPTGVFKGVLSSANNGGFTSIRTKNFSEPEDLSAYDGLEFRLKGDGRRYKVVVRTSADWDALGYTIGFDTEKGKWQSIRLPFSSLRPIFRAKTVSDAPPFDPSNVASLQAWLCC >RHN63132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48727065:48733515:-1 gene:gene25795 transcript:rna25795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MVCTANDLQEWKDFPKGLKVLLLEGDNTSVSEIRVKLEAMDYNVSIFCDVNEALSAVSSRPEDFHIAIVEVSSSSCEGGFRFLENAKDLPTIMTSNSDCLNTMMKCIALGAVEFLSKPLSEDKLRNIWQHVVHKAFNAGVSALSESLKPVKESVASILQIQTDNEQNESKASVELEQVLRSSDNDHHEHYPAPSTPQLKQVGRLLDDGDCQEQTNCSTEKESGEHDGESKSVEITCENLNAESITQQTKSEATLVQKEEEEFADASKCESVVSPQLPNVKVLNNSESNTTSANKVVVRSHKCEIKANRKKMKVDWTPELHKKFVKAVEQLGIDHAIPSRILDLMKVEGLTRHNVASHLQKFRMHKRHILPKQEDRKWLNPRDPTQRNYCLQRPIMPGPPSYYSNHTLPPLAPLYPMWGQSGTQTAGMQVWGHSGYPVWQPTESWHWKPFPGMHPDAWGCPVLPPPQPPCYSYSQDIPAVSNANAMDYTFSMMLHSSFDDYPAEEVIDNVVKEAISKPWLPLPIGLKPPSTDSVLAELSRQGISSIPPSYNGSIPY >RHN62878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46428606:46433278:-1 gene:gene25509 transcript:rna25509 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKENKKNHDDNKGKKKKMMKKNGKGKDNSSNSNKSDKPSHSNDKEVIEDPRFAMVHTDPRFREPPKHQTKVSIDSRFNRMFTHKSFLPSSAPVDKRGKPKDKANSQEHSLRHYYKMDEEDDKKKKVEQSSDEEDNDDEEEELVKVKKAKLEVESGTESEESGDSESDAVYSDTDKEIDIDTDTDDGVDENDSEEDEPEIQEEVAEIEKETHRLAVVNMDWRYVKAVDLYVLFSSLAPTSGLIKSVTIYPTEFGLQRMNEEEVKGPVGLFDGENKRSDEDGSAEEETDEEDFHDSDSDNEKLRAYEKSRMRYYFALVECDSSATADHIYKENDGVEFEHSSNPLDLRFIPDDMEFKQPPKDVASEIPANYENKDFYSRALQHSKVELTWDEDEPLRANTLKRKFTDEQLAQLEMDELIASDVSDSDDSEDNNETDERPRKKDNKYLALLDNNSDEDGDDDAMDMEVTFNTGLQDISKHIMEKKDKKSRTVWEECLRKKREKKKARKNKSKHSSSDDDSDNTDHEATEDADDFFIDEEPDIKKKKKKAESKNDKDHKLQDMDGVAKASKEELELLLADDKGTGTGLKGYNLKFKKGKGKKAENAIDEGKIPNNAFDDPRFASIFSADFAIDPTDPQFKRSAVYARQLAQKQQKGREELSVEREHVKFPKETQLSSDGSGAKLKGGEEVSDDLKSKQDKLELSSLVKSIKMKSKQIQLSSDVNTKKAGKSQFKKRKH >RHN69793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46303936:46305594:1 gene:gene18307 transcript:rna18307 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGNFDVSCGGESVSMTLYQNLMMLKSKLQPLNVSFDGDNTSVASMKQGSESPLSSWDSEPENDTPSVIKSDGSFEDINLEENYVNMEEQNQFVGVENTDDLSWEIDNRSYDNLLKKFMEKEEELRVTNLKLQLSEEENIKLKVQVESSEGLFDDLCEELKLKEKELHKQKVLSEEEIFKLKIQIEKSENQHDNVSKELNLKEKELNKQKELLKEEIFNLKIQFKRSECQLVNVQEALNLKEKELQKQTVNVCKITDLVKQCEVANEKLKISEDEIEFLTKELKSKSHDTRQLQSQLEAAQNNIAKLELELDSRKKGMHSLGELVRMHKKEVQKLMSKMHNWQTKFSSEKGKLNSNITSLSNMKIQLTSKLEDCESRNKELENKLRQYEAEKLKQEELHATQQMTLQDEVNSLREEVDQKMHTIEAVNRKLGTVTIERDDLDAKIDNLKAKICSRDAKILKKREYIHETNASLRTLVVEYESALNEVDKLKLRVEELEKEVTRQNGVISDVAEEKKEAIRQLSYSLEHYKSRYYELLQAFNDLQALAAVF >RHN82658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55498670:55499713:-1 gene:gene6835 transcript:rna6835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRGRISMELIQKEKSRKSTFQKRKDGLMKKVNEFSILCDVDVCVVLYAPNFVGRGFAEPETWPKDKRAVERILQKYYNTTSDRRPKIYDVQEYFKERIRKLEFEITKVRKEKLKMMYPTWNESFNSLGAEQLILFASKLEAKLDACNQKKHMLKEDLKGKTIAHESHKVDKLISTPSLTPNPSYYFNLMQNSMSQAQTYPPMMNISDKNPLGFWPLQSGQSSQPSSLISNIQSSHRVESEEGRYVQSYPYKHIDVNGANWSNQVNANVTYNGGYQDRCFGLPKINMERKDGAEKDYNGNAMTMQSYPITMHTLPFQNLSNLPHGFQLNGFNAKDILQAHMFMNGRK >RHN71215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57276894:57283955:1 gene:gene19868 transcript:rna19868 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRGFFDFKLRVTPQLNHFPELCRRKRRKLCFDAQRVPLGNLKFHCCCSDSVTPIRRTSGAGNGGDKIEERRFDPKKNSHIHRVRTQATPPAAMPFASPPSILKQEKFFPRCTPRNSGPQSRDTPPKRDTGIANEKDWGISLLNENVNESGTNEDGSTWYRENGEELGENGYRCRWTRMGGQSHDGSSQWKETWWEKSDWTGYKELGVEKSGRNSEGDSWWETWQENLHQDEWSNIARIERSAQKQAKSGTENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGENYDGRGSVLKWTDKWAETELGTKWGDKWEERFFKGIGSRHGETWHVSPSSERWSRTWGEEHFGNGKVHKYGNSTTGESWDIVVDEDTYYEAEPHYGWADVVGDSSQLLSIEHLERPPGVFPNLDFVSPPSPKADDLPDDLPSSQ >RHN73229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14553977:14559774:-1 gene:gene9023 transcript:rna9023 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSRLPNKTSQLDHPLHWPRKNKHLHFKTNKLSLHCKGRLRNYLICEWHAIVFHCVCVFHFSSYSFNR >RHN74536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34496519:34508891:1 gene:gene10624 transcript:rna10624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MAGRNDAALAAALQAVGQQPNANAGANAEARMLETFMKKNPPTFKGRYDPDGAQTWLKEIERIFRVMQCTEDQKVRFGTHQLAEEADDWWVALLPTLGQEGAVVTWAVFRREFLRRYFPEDVRGKKEIEFLELRQGNMSVTEYAAKFVELSKFYPHYTAENAEFSRCIKFENGLRPDIKRAIGYQQLRVFQDLVNSCRIYEEDTKAHYKVVNERKGKGQQSRPKPYSAPADKGKQKMVDVRRPKKKDAAEIVCFNCGEKGHKSNVCPEEIKKCVRCGKKGHVIADCNRTDIVCFNCNGEGHISSQCTQPKRAPTTGRVFALTGTQTENEDRLIRGDRA >RHN57306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38022194:38022574:1 gene:gene32830 transcript:rna32830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S19/S15 MRPTYMLRVLNKQFIGIAGDTVNAVAKKLTSSPPLVSHKPAFIDAFLYKMKKNPELLKNKSIWSRRSTILLEFVDSHVKIYNGKTFIRCKITEGKVGHKFGEFALTRKRKSKDQPNAKVKQLKKKK >RHN41261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28684313:28692549:-1 gene:gene47564 transcript:rna47564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate dehydrogenase (acetyl-transferring) MALSRFSSSQFGSTLLKPYFLSSAIRHRSISSSSTETLTVETSIPFTSHNCEPPSTTVQTTASELMSFFNDMVLMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAINRKDCVITAYRDHCTFLCRGGTLVEVFSELMGRKDGCSKGKGGSMHFYRKEGGFYGGHGIVGAQIPLGVGLAFGQKYNKDPNVTFTLYGDGAANQGQLFEALNIAALWDLPAILVCENNHYGMGTAEWRSAKSPAYYKRGDYAPGLKVDGMDVLAVKQACKFAKEHALKNGPLILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLVLAHDISTEKELKDIEKEARKQVDEAIAKAKESQMPDPSDLFTNVYVKGLGVEACGADRKEVKATLP >RHN56121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27951149:27951556:-1 gene:gene31468 transcript:rna31468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MIIKHFTLVYTYLKWVLNFLTYYPFYKLHDSHFPIIEEMYSICNYEPISDSEEDVECSVCLCKIEEGDEIRVLRCDHMFHRYCLDRWVGFKNNTCPLCRESLRSGRAITELGVDVLSFNFCNIYSDREHDDWWLR >RHN77433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6835734:6836193:1 gene:gene885 transcript:rna885 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQPWCHQACHHSSEIQHLVQQLKKESACLYPVPVDVPMQLSSEL >RHN69947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47510680:47512852:-1 gene:gene18480 transcript:rna18480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MNKAQTEITIPHLFRCPISLDLLEDPVTLTTGQTYDRSSIEKWISADSQLSENHMEFVEIALSCILKLLPIVNLEPLNIIKDESKLERFIFLFEKGTSSIKTSLCLVIDHSTATAQTEEVCEVLGNSQKLVHEIVQVVFNKNYDKVSEAAIKALSALCSLESNKESLVKGGAINGIITYISRCENRQKNLAPLAMATMKKLLVLESGKEALVNHVNGIETLVKMVFKVCNQECSESAVEILSIVCSDFGSAREVAIGAGVLSQLLFLLQSQCGTKTKTKARMLLKLLRSKWNEESMQL >RHN46743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34495927:34496289:1 gene:gene41265 transcript:rna41265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKTNNSANTYSNDGLFYEILVRIFTTLSVVDLAVASMVCKSWNLASRAPQLWSKLDISTLNSRGLNVPLRPYAWRDDHSSQKMTQFLKYASSLSGGNISCIIFNCYVYLRDVHLISIAER >RHN41293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28938729:28941397:-1 gene:gene47600 transcript:rna47600 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNQNQGNVQGDDRNKEKSSMTWHVTPSLNYLIILIGLKYIYMIM >RHN64855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62477699:62477875:1 gene:gene27721 transcript:rna27721 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNGIQIDMQAAEEEWRDVYDDNLESGMFRKDSFGDLLFNLPRIASLPKFLFNMSQD >RHN52351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34208615:34211093:1 gene:gene37015 transcript:rna37015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine--tRNA ligase MESFLSKIDKPQPFGTSGANNGGKGSETPGNGVVKQNNVKPKRKKKRVESLQLYNSKNNEMEVFEPEVKGKIIKRANEKGVDPLELSRHFCDEYNVDMSDLLCERPTKEPRVSDHIDQIKDMITKIINNDFAYEVDGDVFYSVEKFPNYGALSGQRLEHNIAGQ >RHN70953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55358133:55358909:1 gene:gene19589 transcript:rna19589 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSAGQNWAVRPNPPGTICLRVDRSVQTDNSVQAGKQTWSSLVWFSRLQVGDPNLAKPVSDRPNIYLLYNYFTIYKILIIKSVSNGVVLCLCKYFSSHHKFSVTINNRSSRRSQTCHLFLVFSDLRRLKTLFAPATSLLINFSLQEQQEQLKILLIWKISSKTKMEGRH >RHN48854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50712389:50712853:-1 gene:gene43615 transcript:rna43615 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSVGQMEETYSDNPSLSERPSKRSRKFTDLAFAALGRVIYFLKTRKVRDMNDQACKDLQVLWEELEKFKFDMAWLDPHVQSALGIKSYVEKAVEVEKLKDNVAAVELESGRLKAKLIAARANLDMERNLLKTKGFEERDLDSELGCGSWRP >RHN46382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31187149:31187550:1 gene:gene40864 transcript:rna40864 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRLMVNYLPISSLVGHSLITLGNNHILNEEEEEDRFFIVGSCNGLVCLVGNSDLERWLYFYIPATRTLSKKLGTFTDKYRNMFGFGYDTLTDTYKVVNFCATSRDARIFSLGDNIWKSIPSFPDNLSSTTN >RHN67013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22828872:22830075:1 gene:gene15142 transcript:rna15142 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHISQYCLLGILCIVLVLALAGPTPRSSLCLNNNPSLCDNPSNCFATCHVLLFRKGECVNHICCCLLYMVY >RHN73907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21434568:21439584:1 gene:gene9799 transcript:rna9799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MDCLATSPVLSNKLIPFPTTNSKLGASFQKIYRSTTKQKSRLSFSRENCESRSNVAIKSSRGVSSLQTQQELRTWEDPDIRSDSDDEYGDEEDKSLGFKNDGEQKETETQDEYEERIKKEVERLLKPEELEILQQNITPNLEKISSEKWNPLHSLGLSFQIYSMDKLLESGHDIDSINKEGLTALHKAVIGKKEAVISHLLRKGASPHIQDKDGATPLHYAVEVGAKQTVKLLIKYNVDVNVADNEGWTPLHVAVQSRNRDIAKILLANGADRSTENKDGKTALDISICYGKDFMSYDLARLIKIGPGN >RHN63166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49006805:49011458:-1 gene:gene25837 transcript:rna25837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casein kinase II, regulatory subunit MYKGRGGSASKSEDRKRINDVLDKQLERSSPSTSRPINGKSNKERETNTIKDPLLQRSSKTANISEESETDSEESDVSGSDGEDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHEEQNELIESAAEMLYGMIHARYILTSKGMAAMLDKYKNYDFGRCPRVYCSGQPCLPVGQSDIPRSSTVKIYCPRCEDLYYPRSKYQGNIDGAYFGTTFPHLFLMTYGQLKPQKPAQGYVPRVFGFKLHKP >RHN76009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46954608:46958723:-1 gene:gene12279 transcript:rna12279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase C MASSLRFLKMCLSFMMFFLFISHSYSSPAYPTNNDILLSSTASYPKLQAENLIRGLNLFPKDSINTPENDPHFLHGNIMEKKFTFPGFVDSGASVEELGHHAGYYRLPHSKAARMFYFFFESRNTKDDPVVIWLTGGPGCSSEIAMFYENGPFKFSKDKNLSLVWNEYGWDMASNIIFVDQPTGTGFSYTTDDSDVRHDEDGVSNDLYDFLQAFFKEHPQFTKNDFYITGESYAGHYIPAFASRVHQGNKAKEGIHINLKGFAIGNGLTNPEIQYKAYPDFALDNGLINKNEHANINKLFPRCQKAIESCGTKGGDACMTSRSICSEIFDQIMDIAGNINYYDIRKQCEGSLCYDFSNAETFLNMKSVREALGVGDLEFVSCSSTVYSAMLQDWMKNLEVGIPALLEDGIKVLVYAGEEDLICNWLGNSRWVDAMTWSGQKEFKVSPTTPYLVDSEEAGDLKSHGPLAFLKVKEAGHMVPMDQPKAALQMLKDWMQGKLIRTKGGDNVSSI >RHN56591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32095079:32095892:1 gene:gene32019 transcript:rna32019 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIRFVLCELISLDMPLLLVISVIDTCPTNLNFIGVRFKVSKFTLICFFSATIFSCFHNAFLWLCALISVLITKNYLDSINTFFIQFMIQVSI >RHN77348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6198639:6199384:1 gene:gene794 transcript:rna794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative encoded peptide MANSKLVFSSIFLVLIIFNLCFSTLGRPLMKRESKLEYVSAYENIVIWRRNILENEAATTLDSQNPHVGVEAEKLVDDFRPTDPGHSPGAGHSTPTIPMDTNMPQGVN >RHN49050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52087306:52087671:1 gene:gene43837 transcript:rna43837 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSACLQSSSMDTSTEPRLPSQIIVSFRPHASASCGCPMPLNKLFLLIYTRHYLPLDTFLYHNLILENCRIYIVFNLTGVLLLTTLLLLFYDAPVLLSEHETSIHTLLLCTPHSHSKHM >RHN74410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32917598:32920151:-1 gene:gene10471 transcript:rna10471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MERMFPPKKPSTMNSHDRPMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSIKDGMRASALELQRNTASSSAMIGRNMNEMQIEVQRRLHEQLEVQKHLQLRIEAQGKYMQRPHGMAEMGLLKEFGSPLSFSSFQDLDLFGGGGGGGDQLDLQQNMDKQTLDHHGFMQINENLCLGKKRPNPNTNPYSGNGKNPLMWSDDLRLQDLGTASSCLDDPFKGDQIQIAPPSLDRGSDIETIDIYDTKPLLQGEILGEKKFDASMNKLERPSPRRGPSLHAERMSPMISTGTMAQGRGSPFG >RHN69684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45511334:45516569:-1 gene:gene18188 transcript:rna18188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rab-GTPase-TBC domain-containing protein MNPLRRSNNSSSSSSNNSSPSSSSSNSSWIHLRSVLFVVTSSSSSSPASCSSSDRGRLKSPWSRRKRKRVLSPQQWKSLFAPDGRIRDRGMKFLKRVRSGGVDPSIRAEVWPFLLGVYDLDTTKEERDVIRTQNRKKYEKLRRQCRQLLKSNSGSFKLIEIGEINYEGDGVSFIQDSGSPSSEDAASARESLSSGEQSPDFEYSDDPSVSLLEGDDAPSSSNADASALDTDSTDSDSSESPEVFQTFPSDDGLEENNAKTTSKDSSSPSQMKGASTLRSREDFATWQRIIRLDAVRANAEWMPYSPSQAVVPESRAHRSAEAVGLKDYGHLDAGRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPIICVVSEDHEAFWCFVGFMKKARQNFRLDEVGIRRQLDIVAKIIKFKDSHLFRHLEKLQAEDCFFVYRMVVVLFRRELTFEQTLCLWEVMWADQAAIRAGIGKSPWSRIRQRAPPTDDLLLFAIAASVLQRRKLILEKYSSMDDILKECNGMAGHLDVWKLLDDAHNLVVTLHDKMKIEASFQ >RHN75021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38820297:38820729:-1 gene:gene11168 transcript:rna11168 gene_biotype:protein_coding transcript_biotype:protein_coding MHANLRKIRMSEENESFQRQLQQNREKELDKLQAAPIGEKRDYSSQSKEQIQAKLLNRQIAAMRSE >RHN72343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6934396:6934950:-1 gene:gene8044 transcript:rna8044 gene_biotype:protein_coding transcript_biotype:protein_coding MNDMDVSCSSLLLIEDSADSEGDLIGFFTLYPAANYIGHKEEDAESCTYECDIDDMYDLVKEDDNDKGSHEFCCVDCSCSCSSSTMWLSDAALEIECSSSPLLVDDDDEEIRMVNVNVNVNDVDDKLFWEICMEVGYP >RHN77446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6910230:6910721:-1 gene:gene901 transcript:rna901 gene_biotype:protein_coding transcript_biotype:protein_coding MLREQNFIQSWTPVHPPPFNWITIHYNPAYTPVVNCVYYWITAVNFFTISNILCFDFRNNQFHELKAPRIAVEHSIENIAEIKGSLAYVLEYHLPSPIQLEIWIMDQSGWAKKYNIGPVEWTCCRRGFWKDGDRVFGGKVGQLLTSYEDQGNLHSESQTSIEV >RHN58308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:666273:666761:-1 gene:gene20207 transcript:rna20207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFASMFPNLRLLDLSDCDHVSEGIFQVLRICCNVRHLNLAGCDGVNLLGMKFELPILEVLNLSDTNVDDETLYVTSKNCRGLLHLLLEDCHYVTKKGVNHVVENCKELREVNLKGCNKVNANVVDSMVFSRPSLRKIVTPPGFDLNDKEKKLLFLRQGCHVC >RHN71420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58881732:58883400:1 gene:gene20102 transcript:rna20102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase MDLSYLLEDKYVIHFANIIKKAKPVSGADFAQKARNIDGDVRIKYKDQWDFENIAQQFGIFQEWKDGVPRTAYKGVVVFRYQTTKRIFLVGPESLKLLQIE >RHN45176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12614195:12616072:-1 gene:gene39399 transcript:rna39399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MASSSAYSNSPCAACKFLRRKCMPDCIFAPYFPPEEPQKFANVHKIFGASNVSKLLNEVQPHQREDAVNSLAYEAEARIKDPVYGCVGAISVLQRQVIRLQKELDATNADLIRYTTCTEIPNHDIAATRIGGEDGGGSSLGQSPPPAFYYPSPWNNNDPLGDGFHTGGGDNI >RHN77362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6318372:6322145:1 gene:gene808 transcript:rna808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative powdery mildew resistance protein, RPW8 MALNDFFAGEIATELIKMLINISRKSLLCRTSAEQLISYINELLPTIQEIKYSGNELPEQRQFQLDRFSEILRSGVELSHKVLASSRWNVYKNLQLAKKMEKLEKNVSRFLHGPMQAHILADVHHTRYEMAEGFDRVDRKLEKYFGEMKIGVGGGGWVQEAVRSCMEEDENWVEGNYGNLSLSVGLDLGKKKVKEMVMGREDLWVVGIHGIGGSGKTTLVKEICKDEQVRCYFNEKILFLTVSQSPNVEQLRSKIWGHIMGNRNLNPNYVVPRWIPQFECRSEARTLVVLDDVWSQAVLEQLVCRIPGCKFVVVSRFQFPTIFSATYKVELLSEEDALSLFCHHAFGQKSIPLTANENLVKQVVSECENLPLALKVIGASLRDQPEMFWASVKNRLSQGQSIGESHEINLIERMAISINYLKEDIKECFLDLCAFPEDKKIPLDALINMWVEIHDIDEKDAFAIVVELSNKNLLTLVKEARAGGMYSSCFEISVTQHDVLRDLAVNLSNRESINERRRLVMPKREKGLPKEWLRNKHKPFEAQIVSIHTGEMKERDWCKLEFPKAEVLIINFTSKDYFLPPFIDKMPNLRALIVINYSASYTCLHNVSVFNNLANLRSLWLEKVSIPQFGGILMENLGKLFIVSCKINNNLEGKEVNLSQIFPNLSEITLDHCDDVTSLPSSICRIQSLQNLSLTECHNLEQLPVELGALRSLEILRLYACPVLKTLPPSVCDMTRLKYIDVSQCVNFSCFPEEIGKLVSLEKIDMRECCMIKKVPKSASSLKSLRLVICDEEVFGMWKDVEKAKPNVHIQVSEQCFDLEWLSE >RHN38819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2232957:2233831:-1 gene:gene44787 transcript:rna44787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MVQRNRRAIVCLLCRHMRRRHDADCEFGQYFSANRNADFQIVIHILGLSRLIRIMRAIEPPQRQAVANSLLTEGEVWRINPDRGFLGHQLELGAQLNTSLDELDIARKLLAFCIDNANSNNAPSSMPPSTQIPDLNLDLWGTEHMNDMDITEEDMQGIPCTREKGESSNASKGMVADEKEKGILNEQGATSDEDEDRI >RHN82260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52382316:52382693:1 gene:gene6408 transcript:rna6408 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRMNSSVTFIALILLVSLLVSEARPLLSPLQGKEAVIGEVNGVFRTLKGAGPSPGIGHRLKKLQIIGGMKDSGPSPSSGGVGHIKIQNIEGQKFNTVQVIGVIKHSGPSPGEGHKYIISNNHS >RHN42832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41354276:41355062:1 gene:gene49325 transcript:rna49325 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRTQAMAGINGNKSAKPRLSDDPSAISAICCAAKAASQVESVENIAPASAVPAMDVDSLMFSVVGIFFGRRFLREMREVGFVMSLVGLWNFGELVVFKDFALIMVIAMMVDAIDENVNGV >RHN57358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38361186:38362927:1 gene:gene32887 transcript:rna32887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MKIMTSDVCILKLVGAIFVLLQFGFLLSNYYGAVVDAKHVASVSGGCIEKERHALLELKASLVLDDANLLSTWDSKSECCAWKEVGCSNQTGHVEKLHLNGFQFGPFRGKINTSLMELRHLKYLNLGWSTFSNNDFPELFGSLSNLRFLDLQSSFYGGRIPNDLSRLSHLQYLDLSQNSLEGTIPHQLGNLSHLQHLDLSWNNLVGTIPYQLGSLSNLQQLHLGDNRGLKVHDKNNDVGGEWLSNLTLLTHLDLSSLTNLNSSHVWLQMIGKLPKIEELKLSQCHLSDLSHSHSKNEQQGGIFESLGDLCTLHLLYLNVNNLNEAISTILLNLSGCARYSLQYLSLHDNQITGTLPNLSIFPSLITIDLSSNMLSGKVPQGIPKSLESFVLSSNSLEGGIPKSFGNLCSLRSLDLSSNKLSEDLSVMLHNLSVGCAKYSLQELDLGRNQIIGTIPDMSGFSSLEHLVLSDNLLNGKIIQMSPFPYKLESLYLDSKNLKGVITDSHFGNMSRLGSLNLSFNSLALIFSENWVPPFQLTYTLLRSCNSGPNFPKWLRNQKHIQELDISDARISDVVPVWF >RHN66745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18214981:18215249:-1 gene:gene14819 transcript:rna14819 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAYIYFCICYKLYWRFYENKLKIIYEYCHKLFSYILPNRITKFMLVDKLN >RHN79431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28802707:28804356:1 gene:gene3221 transcript:rna3221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MNQDIEVTPFFLCPISLQLMKDPVTVSTGITYDRESIEKWLFSSENKTCPVTKQLLTHDANHLIILTPNHTLRRLIQAWCTMNSSFGIERIPTPKPPTTKTLIEKLLKEASDSPHLLIQTLKKLKTIASESETNRRCIESAGAVEFLASIVTQNNTSCSSSCSATELIEASFDDDDVEGFAFDFKIGAEDEAINILYNLQLSEQGLKTLLNFKNGEFLDSLMGLLQKGNYDSRTYAVCLLKSISKVADPSKLANLKTEYFVELVQLLKDQISKKASKATLQTLIQLVEFGRNRVKAIESGCVYALIELLLDCKERKPCEMILVLLEMLCQCADGRFELLNHGCGLAIVSKKILRVSTMANDRAVRILLSVSRFSATHFVVQEMLRIGVVAKLCLVLQVDSGNKAKEKAREILKLHSKSWMNSHCIPFNLLASYPTSG >RHN41557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31051040:31052035:-1 gene:gene47891 transcript:rna47891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MASSNSTNLLTFPLSPTELLNSHFPQFHTDSHYDNFYVNANGNLTLTPFFNFFLLTKHSLIIFFLGLMSFMDSAKMGDFVEKNRNSGLLYDLNSTSVFFGDKHDLNKSVVEFQGVSGSATVTKLGESSKVANQKSIVAMDSDASKKITVHSAGHRTSAYRGVTRHRWTGRFEAHLWDNSCKREGQKRKGRQVGLSESPESL >RHN52901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40171484:40173449:1 gene:gene37634 transcript:rna37634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MGMEQNNVIAPFIMKTYHMVNEPNTDNLIKWGPSNNSFIVLDPLEFSHSLLPLFFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEWFLRGQKHLLKNIVRKKHVGGIGNGRNNNCYNNNNYFNEVKFEDLEEDEAMVTEIMKLKEEQRNLEEELQGMNKRLETTEKRPQQMMAFLCNVVEDPQILSRILNERESKKFVDKKKRRLISPVVTAAVTTSTSSESSGMAMANSVKMEFEEDEVTVGNNMMSSSTEVGFEIDYLHRVPPREVAPVGGWLGQTEFGQMVYGRDCGVSRMPELSPVPAPMTWGENRSDSSSKKGGEGNFNEMAAENSKLPPYPFSLLEGGF >RHN66442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12331945:12339523:1 gene:gene14429 transcript:rna14429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamine synthetase MRDALYKWVKEFDYESTPIERMDLIELSKAVKEVELVDELESDNVSFVRILWVNISGQHRCRAIPRKHFYDVVKKNGIGLAFVSIVMTSVLDRPAPGSGLGLVGDARVAPDLSTIRTIPWCKQDEMVLGDLNVKPGQAWEYCPREAFRRVTKILKDEFDLVVNAGFEIEFFLLKSTMREGKEEWIQFDSSPYGCSSAFDVASPILREVTYALHSMGIPVEQLHVETGKGQFELVLGHTICTKAADNLVYTREAVRAIARKHGLLATFIPKYTLDDVGSGCHVHLSLWQNGQNVFMSSDESSKYGISTLGKEFMAGILHHLPSILPFVAPLPISYDRLNPTTWGSYLLWGNENRNAPLRTCSPGIPGGLVSNFEFKPFDGTANPYLGLAATIAAGIDGLRRHLSLPEPIDIDPNLDNLQRLPKSLSESLEALHKTDFFDEFIGQKLLTIIEAIGKAEIDQYSKNKDAYKQLIHRY >RHN51035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13262131:13271228:1 gene:gene35404 transcript:rna35404 gene_biotype:protein_coding transcript_biotype:protein_coding MKYDQLFPVEAKKFGYEPKTKVKSVENVLDDRVKKKADRYCK >RHN55881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25081372:25084308:1 gene:gene31160 transcript:rna31160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MTGVWPESKSFNDEGYGPIPKKWHGTCQTAKGNPDNFHCNRKLIGARYFNKGYLAMPIPIRDPNETFNSARDFDGHGSHTLSTVGGNFVANASVFGNGRGTASGGSPKARVAAYKVCWGDLCHDADILAGFEAAISDGVDVLSVSLGRNFPVEFHNSSISIGSFHAVANNIIVVSGGGNSGPDPSTVSNLEPWTLTVAASTIDRDFTSYVILGNKKILKGKSLSEHELPRHKLYPLISAADAKFDHVSTVEALLCINGSLDSHKAKGKILVCLRGNNGRVKKGVEASRVGAVGMILANDEASGGEIISDAHVLPASHVNFKDGNVILKYVNYTKSPIAYITRVKTQLGVKASPSIAAFSSRGPNILAPSILKPDITAPGVKIIAAYSEALPRSPSESDKRRTPFNIMSGTSMACPHVAGLVALLKSVHPDWSPAVIKSAIMTTATTKDNIGGHLLDSSQEEATPNAYGAGHVRPNLAADPGLVYDLNITDYLNFLCGHGYNNSQLKLFYGRPYTCPKSFNLIDFNYPAIIVPNFKIGQPLNVTRTVTNVGSPSRYRVHIQAPTGFLVSVKPNRLNFKKNGEKREFKVTLTLKKGTTYKTDYVFGKLIWTDGKHQVATPIAIKYPH >RHN50016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3164566:3168188:-1 gene:gene34263 transcript:rna34263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRIB domain-containing protein MTIKGIYKSFKYISQIFVVKEREIEIGHPTDVKHVAHIGWDGPNGNGPSWMNGFKTAPDFSTSIGSLSDQKDPNQLTVSTSGFNQDFEDPAGNQPKPVMYQGNIPSAGVTHHVPKKPRRKKPKSTSSPKSSSRQSRASRSKAMYSEINSEREETPIA >RHN64922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62993333:62996225:-1 gene:gene27801 transcript:rna27801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zeaxanthin epoxidase MVEIDTLVHSPFLDEEASILSLSLSLLDYDNIREETVILSIVIGFCLTRVRTRHLHVKAPNPRYSFLQKHTEKPNSFPSSNTKTRRNPFVLFFFLTSIASTMANKEMDVARGVDLKRYMGRWYEIACFPSRFQPSDGKNTRATYTLRDDGTVNVLNETWSGGKRSYIEGTAYKADPNSDEAKLKVKFYVPPMLPIIPVTGDYWVLHLDHDYHYALIGQPSRNYLWILCRQPHLDEEIYNELVQKAKEEGYDVSKLRKTPQSDTPPEQEGPEDTKGIWWFKSLFGK >RHN65582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3423810:3427647:-1 gene:gene13436 transcript:rna13436 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWWLPISSLPVPYPCFEMGKNSNPYLNPVKAGKTRRIGFGSGGYYNILNPTYYFLIKVQ >RHN44691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7399831:7402652:-1 gene:gene38841 transcript:rna38841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative perakine reductase MATVGRMKLGSQGMEVSLQGLGCMSMSAFYGPPKPQTDMIALIHHAIQSGVTFLDTSDIYGPHTNELLLGKALKGGVREKVELATKFGAKYTEGKFEICGDPAYVREACEASLKRLDIDCIDLYYQHRIDTRLPIEITIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEEIIPTCRELGIGIVAYSPLGRGFFSSGTKIVENFTKDDYRQYMPRFQPENLQQNQTIFERVNELAAKKGCTPSQLALAWLHHQGNDVCPIPGTTKIENFNQNIGALSVKLTQEEMAEIESLADLVEGDRTGKEPTWKESDTPPLSSWKTA >RHN54945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13805865:13814903:-1 gene:gene30051 transcript:rna30051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MVVFEILGFQGLVYLLLVLGFVVIRYVWRNAEEKREEVMRLVQDTTASMTHMEAYSDSDEISFSPSNSASFESSNSVSFSHGYQCAVCYSPTTMRCARCKAVRYCSGKCQISHWRQGHKDECCPSITTTREVNNENVTSRASASGTEFDLHESKGNHVSVGSYNDSHSNINPSVAARESLDDNRHETHDSFTKPVSDNTANDTSVASTDNDGTVLHSSFPLESKNPVNIEVKNSSSSKRSKKKSSNTTDETGFESKVPKAKSDTYHDEASKWGGHQHKRRVSFVEKPITDTSKCRTVPSLSNSNTDVLADNVEESHLSRYKEARRSSCSSRDRISSTTKEDLISHSKSTKTDNYHALPSKVSVVPNLQQNVRNGLKTSMQKVVQQFRSSKESRSNLTSVENELGFPYELFVELYCYDKVKLFPFGLTNCGNSCYANAALQCLAYTQPLTSYLLQGFHSKRCQKKGWCFMCEFEYLIQKAKEGTSPLSPIGILSKIHKIGSHLGHGREEDAHEFLRGAVETMQYICLKEAGVSSPLTEETTLIGYTFGGYLRSKIKCLRCLGKSERYERMMDLTVEIDGDIETLEEALGQFTAPEILDKDNKYNCGRCKSYEKAKKKLTVLEAPNILTIVLKRFQSGNFEKLNKSVQFPEVLDMTPYMSGRKDKSPFYSLYAVVVHLDIMNASFSGHYVCYVKNIQGEWFRTDDSRVEPVELSRVLSESAYMLLYARHSPKPLGSVSSNATFSTGKFKRRSLEAIPAVSKTRSNSMATNADSPSVQQKQGQHSHRNAVDDSFSNESVPEEWRFNYRGRNTMVDSASESSVFSSSDASSCSTASTKDSASTADFSDYIFGEAGSNWHGQYGISSNSAASSSYDNLHTDFSVDNDADRRLQQNSEDKAILYANKNKNHSGRWGIDLKKFVTAKHHDKSSAVHVRRTSRDASAQTFY >RHN49856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1738734:1739106:1 gene:gene34080 transcript:rna34080 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGMVFPKMCFYFGSSCLKEICLSIIRDTRGLKYFGAYFMVFFSGLGFQLEVCVYYVLFILIELSLRV >RHN44456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4913810:4914199:-1 gene:gene38577 transcript:rna38577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MEISGLVLVIVAGITIIWLRIMFHSTTVDNLSKTKNTRLSSLPPHLCRYFTIAEIRAATKNFDDDFIIGVGGFGNVYKGCVDGSTLVAIKRLKSGSQQGVNEFMNEIELLSQLRHIHLVSLMGYCNDNT >RHN47757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42291200:42291950:1 gene:gene42394 transcript:rna42394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MCNTNTPPSTMSIPELEQIVTPQGTIDLVTIAQGLHWFDFPNFYQQVKWVLKKPHGVIAAWCYSLPRISDEVDTVVDQFYFIDSKPYWDSACKLVEDNYRSIDFPFEAVDGVDHRGPFEFVTEILMSFDGLLTYIKSWSAYQTAKEKGVELLGEDVVEKFKLAWGEDGHKTAKFPVYLRIGRVGNV >RHN80493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38586519:38587079:1 gene:gene4428 transcript:rna4428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEASHEQQLSLGYREHINITEGNITQKVINPSQSPNPSSTSAYSSTREGETRESARYNVYACKTCNKTFTSSQALGGHRKGCQKKPRDGFKINNKSISLKLNSINGMGNIYASSSSSNKSKVYGCSICGSKFTSGQALGGHMTFHHAPVEETSSTPMASEPDEEDEEPPAKKMNVPLDLDLNLPAA >RHN79140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24219965:24223857:1 gene:gene2865 transcript:rna2865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MADPYSNFFSSNFFQFNTNNPTNHYPSSSNPSSHHNHPNYLHNFINHTTFNHSNTNNTFFHYHHHHHHHLQTTTASCSSSSSSYSSPSSPPLKEALPLLSLIPTKKEEYEDEVHNQHQQHHHHHHQSCTLMDVDDCIRKVEEKEDHEGVTVALHIGLPSPSSSEIATMMLSSSSETMINERDRLQGDVDVAISDDSSSAGFLSPNRSLNKGQYWIPTPSQILIGPTQFSCPVCCKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCYCCSPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCLCGSDFKHKRSLKDHIRAFGSGHAAYGIDGFEEEDEPASEVEQDNESTQTQ >RHN52814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39104709:39111965:1 gene:gene37529 transcript:rna37529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SNARE-complex protein Syntaxin-18 MAKARDRTEDFKDAVRQSARSLGYDEAKLASILASFIIHKPPQRSPFTKAAFKTLESIGELDHFLLKHRKDYTDLHRTTEHERDSIEQEVSAFIKTCQEQIDVLKNSINNEEENSKGWLGITTAKANADTIAHKHGVVLILSERLHSVTAQFDQLRAIRFQDAINRAIPRRKLNRITKKDSTETSNSGDVELREPDELRSEPIRVQQQLLDDETRALQVELSSLLDTVQETETKMVEMSALNHLMATHVLQQAQQIEHLYDQAVEATKNVELGNKELSQAIQRNSSSRTFLLLFLFVLTFSIIFLDWYS >RHN80234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36351546:36354101:-1 gene:gene4134 transcript:rna4134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFLTRTFSRTTSRYRYHTSAIVSLSPHNLLHLLQLSIDLHSLKLTQQCHSQILTNCFSQNAFLTTRLISAYATFGDSIMSKLVFDSVHTKNVYLWNSLINGYVKNHQFDNAIVLFRQMGRCLLPDDYTLATISKVSGEIQDLVLGKLIHGKSLRIGFVSDIVVGNSVMSMYIRCREFGDAMKVFDEMPQRNVGSFNVIISGCAALGNLDSSLYADLWNFFRRMQCQGYNADAFTVASLLPMCCDSDGKFDHGRELHCYLVKNGLDLKMCSDVHMGSSLIDMYSRSNKLVLSRRVFDQMKSRNIYVWTAMINGYVQNGAPEGALILFREMQRKDRIRPNRVSLVSVLPACGLLVGLMGGKQVHAFSIKMEFNDYISLRNALIDMYAKCGSLDYARRVFDNGSYSKDAITWSSIISAYGLHGKGQEALTTYYEMLQQGIKPDMITVVGVLSACCRSGLVDEGISIYNSLTTEYEMKPSVEICGCVVDLLGRSGQLDQALDFIREMPIIPGPSVWGSLLTASVIHGNSMTRDLAYRCLLELEPENPSNYISLSNTYASSRRWDEITEVRSMMKERGLRKVPGISWITISDKNHFFTVADKVHPSSSSIYEMLDDLVSIMTDGCADIDILT >RHN65595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3558253:3559218:-1 gene:gene13450 transcript:rna13450 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFSLSLSTVPSLVCCWTLLMSSMTVWQLGTCVVLCLLHIFVSMNLKRRKNNHTFSIIGLFCILYFYNLFVFL >RHN49006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51702312:51705371:1 gene:gene43785 transcript:rna43785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactolipase MVTLCLLQSGIPGIVPLITSISATTTTSRANDHVHVHQSHVTTVRRSNKSSMFSRFIGSSARNNCLAAVNDAFTAENADRTVKEGDGQNGNWVFKVFDLNSVWKGEQESGDNDGDECDVCRVDEEVDDENEDEEIRFDRESFSRMLRRVTLVEARMYAHMSHLGNLAYSIPNIKDLLEMHCSKIACLILCLICDLIDNWWNIVRLGRYVGNLLKRCGLRFVTSSIEKKELAASIKKEETNGKDAGERKVEKNGELKTSASNACEIAVVEGSVEGSNGSVDTVNMMDTDVGCLMATTNSMTAVVAANEEVKQSFADDLNSTSSSPCEWFICDDDRSSTRYFVIQGSESFESWQANLLFEPVQFEGLDVLVHRGIYEAAKGTYQQMLPEVHAHLKSQGSRATFRFTGHSLGGSLALLINLMLLIRKEVPISSLLPVITFGSPSIMCGGDRLLEKLGLPRSHVQGITMHRDIVPRAFSCTYPNHVAELLKAVNASFRSHPCLNNQKLLYAPMGELMILQPDEFFSPSHHLLPPGSGLYLLSGPLSESNDTENQLKAAKLVFLNSPHPLEILSDRSAYGPGGAIHRDHDMNSYLKSVRTVIRQELSQIRTAARRELRRKVRWPLVARRVSDADIVGGRSMVSVHIIQDQPPFSGIKQTGRKSLKRFCRLVASQHMQLFVVFLLPTLGNI >RHN42955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42164125:42164553:-1 gene:gene49466 transcript:rna49466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family MCRFFNRAALITDADLNSDGSVKNSSWRLCTVQQVEDFKKIIGILPLWTSSLFLSIPIVTENFFIVLQDLIMDRSVGSHFKIPAGSVSVIIVLISTSIFLTFLDRVLLPGWNTITEKKAYAAPTNRSRLCVDHSGYGCLRSC >RHN54226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7934799:7936603:-1 gene:gene29226 transcript:rna29226 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTKSFLSYVDLKKQAQKDFEDVDLELGNLNPTQDPNLSQFFQEVEAIKVEMEEITNLLLDLQQLNEETKSTHSAKVLRGLRDRMDSDMVAVLRKANIIKARLEALQKSNIANRSISECYKEGSPIDRTRVSVTNGLKVKLRDMMNDFQSLRDKIVLDHKEDLKRRYYTVTGEVPSDEVMEKMISGSLKVEFLAGKTDADMRTQVRHEAAMDIQRSLNKLHQVFLDMAILVETQGEKVDNIEDNVVNAAQYIHGGTSNLYYASQIKKRNRKWVYWVIAVLLIVLLVCLIAMLAS >RHN71206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57217408:57221923:1 gene:gene19859 transcript:rna19859 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent zinc metalloprotease FTSH MAFSTSSLLSTNFLGARNIPTPKTTKPSISLPLFFKTRFLNSQNDNNNNNSEPIKSAAVSALILSSMFTPAALAADNLPPPPPVLEAQPNQLNPANSTSPFSQNISLTAPKPQSQSSTDLPDGSQWRYSEFLNAVKKGKVERVRFSKDGSVLQLTAVDGRRANVIVPNDPDLIDILAMNGVDISVSEGEQGNGLFSFVGSLLLPFLAFAGLFLIFRRGQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGTPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFDKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEIADALERIIAGPEKKNAVVSEEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQDNVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGGGGNPFLGQQMSSQKDYSMATADIVDKEVRELVDKAYERATQIINTHIDILHKLAQLLIEKETVDGEEFMSLFIDGKAELYVS >RHN70620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52784044:52784907:1 gene:gene19224 transcript:rna19224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MASNTKLFSATILVLSLLAYSTFTEAKGSCSPSPKPKPKPSPQGHCPKDTLKLGVCADVLGLVNVVVGNPASGSNCCAIIKGLADLDAALCLCTAIKANVLGINLNVPLTLTWILGACQKTIPPGFQCA >RHN65117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64328348:64330906:-1 gene:gene28018 transcript:rna28018 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVSMKVLPCLYCFYQLPHKHMTQQLVQFHHHQRFQCCHLPPQSHCCCSTLFRMSVNVAP >RHN70975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55539319:55542932:1 gene:gene19615 transcript:rna19615 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFPKLFYLLSVCSNSQSIHMKTARMDYDVVENTCKALHSLSGFFWLLLGVECKREEIIEEQLNLNQVY >RHN42662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40063601:40068047:1 gene:gene49132 transcript:rna49132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, leucine-rich repeat domain, L MLHAHYDACMKYHIGVLVEKSLIKISSHSKLTLHALIEDMGKEIVRLESPEEPGKRSRLWSHEDIIQVLEENTGTSAIKTIYLMCEDEVELDEMVFKKMKTLKTLTIKGGHFSKGPKHLPNSLRAVEWWRYPSEYLPYDFHPKKPAIIKLPKSCLTSLKLTDLLKVINCLTEIPDVSSLLNLETFSFEYCEKLITIHESVGFLDKLKVLSAKGCSKLRRFPPIKLKSLEQLNLSFCKSLKNFPQILWKKENITELGLEETPIKEFPCSFQSLTRLQTLQLHYCGTFRLPNNIFMMPNLVNITAWKSQGWILPKQDEGEQRDISIVSSNVERLHLIFCILSDDFFPSGLTWFRNVKELSLAHNNFTILPECIQECHFLTDLNLDYCQYLQEVRGIVPNLEIFSASHCRSWTCIDMLLNQELHGNRNTMFYLPGARILNWFEHRSSGQSISLWFRNKFPAIALCFAARSMLKESTITPNVIINCYINFLHCRYAPSFWMEPDHTYIFIYIK >RHN76775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1226592:1227431:-1 gene:gene151 transcript:rna151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MQPFKPNSSQEQTKKFLTYPTDKERDITINIITMSMDQKKSNKIREIVRLQQILKKWRKIANSSKTTANTTTITRSKSMKFLKRTLSLSEREGGTTSSNNNGSVPKGYLAVCVGEELKRFIIPTEYLGHQAFQILLREAEEEFGFQQAGVLRIPCEVSTFESILKMVEDHGKNKDKFSNQECRSISIEEMMGYCSLENQLACSHHPQSPLCR >RHN73401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15994204:16002157:1 gene:gene9205 transcript:rna9205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-exporting ATPase MAAGDKGSISLDQIKNETVDLERIPIEEVFEQLKCTREGLSSTEGENRIQIFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAALMAIALANGEGQPPDWQDFVGIICLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQEAAILVPGDIISIKLGDIIPADARLLEGDPLMVDQAALTGESLPVTRHPGQEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQTVLRAIGNFCICSIAVGMLAEIIVMYPIQHRKYREGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRNLIEVFVKGVDKEHVMLLAARAARTENQDAIDAAIVGMLADPKEARAGIREVHFLPFNPVDKRTALTYVDNNDGSWHRASKGAPEQIMNLCNLREDEKKKVHAIIEKFAERGLRSLGVARQKVPEKTKESAGAPWQFVGLLSVFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSTLLGQDKDANVAALPVEELIEKADGFAGVFPEHKYEIVKKLQERKHIVGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLREIFATGVMLGGYLAMMTVIFFWVVKDTKFFPERFGVRHIHDSPDELTAALYLQVSIVSQALIFVTRSRSWSYVERPGMLLMGAFVIAQLIATLIAVYANWGFARIQGIGWGWAGVIWLYSIIFYIPLDIIKFAIRYGLSGKAWTNLLENKTAFTNKKDYGKEEREAQWAHAQRTLHGLSAPEETSSLFNDKNTYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIETMQQHYTV >RHN68339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34744539:34746218:1 gene:gene16676 transcript:rna16676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MKISILFGITFACGFFGNFISNANPLPYEAIFNFGDSTSDTGNAAFDHLNVMEKLIPYGSTYFKHPSGRQSNGRLIIDFIAEAYGLPFLPAYKNITKIPDDIKKGVNFAYAGSTALDVKYFSGISGVSAPKESLNVQFDWFKKLKPDLCKSKEECDSFFKNSLFIVGEIGGNDIFYHLSKTITELREKVPLMVESIKNTTNALIEEGAVELVVPGNFPMGCNTDILSKKISQKKEDYDEFGCLIAYNTLIEYFNEQLKKSIETIKQKHPQAKIVYFDYYNDAKRLYQTPQQYGVEILKACCGGSGPYHHDEYWCGTPNTTVCSDPSKLINWDGPHFTEAAYKQIAKGLIEGPFAYPSLKPAPFKIA >RHN40668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19164946:19169333:-1 gene:gene46854 transcript:rna46854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MITEPNLISSHITNHFKNIFSTNFSVQDLQVQELLDGTIPNMINEEMNQLLTRLPTQLEIHKVVMDMNKDGAPGPDGFGAVFYQSFWDIIKLDVTNAVLKFFKRDWILPNYNANTIVLIPKVPDALSVGQYRPIALANFKFKIISKILADRLAPLMKNLISPEQRGFIQGRNIRDCICVTSEAINHLHHKAFAGNLAFKVDISKAFDTLEWKFLLNVLNKFGFNQQFCSWIQTILNSATLSIYVNGKQNGYFKCKRGVRQGDPLSPLLFCMAEDVLSRNITKLVEQGKLDLIRGSRSVNVPSHSLYADDIMIFCKGRVSSIQALMDLFNAYALASGQIINPAKSTVYYGSISTTRIDHISQFIGFNKGSLPFVYLGVPIFKGKPKKSHLQPIADKIKIKLSAWKASLLSMAGRVILVKSVIQGMLIHSISIYSWPKQLLKEMETWIRNFIWSGDVAKRKLVTVSWRKTCKPILEGGLGIRSLCTLNESANLKLCWDFINSSEDWARLLRSKVLRGRRAISHHIYSSLWSSFKSEFSIIQDNCNWIIGSGTNINFWLDNWCGESIAKYLDFPPHFHSNLNAMVCDFLDNFDWRFPHDFFDVFPTIRQLISQAILPLEDRQDRMVWKHSSTGELSFKDSFSFKYGIGQNIQWAKYVWSPDIPPSKSLFVWRLLHNKAPTDENLMLRGASLASMCSSCNSQPESSNHLFFECPFALKLWNWLASILHMAIPLTSCSNILKILDRRWSPQCKVVIQSCLINLINTIWFNRNQARFKDKKSFWKSVVNEIIAKVALSGNNNNKAAAGDMLEFTILKACKVNVKPPRAPNIKEVL >RHN71008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55785994:55787883:1 gene:gene19648 transcript:rna19648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP-dependent synthetase/ligase MQYEYENYDPSFPDQPVVDQYLPVWARLPAFKSKPAFIWAEDNLNTISTTHLTYEQLNTSVDIISNQLLFPLQRGDTVLILCSPGLDLVKVIFGCQRAGLLTVPIVPPHPSFTNEKNYYHLIRVISQTKPKAAIAHPNYISTIQHYISSSHKNNNKLAHMLQTLQWISTDDIKNNNINLNSYTFSYKGRKPDEVYLVQYTSGATAIPKPVLVTTGSAAHNVRTARKAYDLQPNSTIVSWLPQYHDCGLMFLLLTIVSGATCVLTSPTSFIKRPRLWLELMSKFNATCTPVPSFTLPLVVKRGGIHKGTLPINLSNLNNLILINEPIYRGSVVEFVHTFSPFGLKSSSISPSYGLAENCTFVSTAWRYDEETFPTHKKLLPVARLEEQEDMDIMVVNEETLEAVEDGVEGEIWVSSPSNASGYLGHPSLTREVFHARIRNTVRKCFLRTGDKGIVKGENRYLFVTGRIQDTIQLQNGEKIQPHYIETVVFNSFPKLLRGGCVAAFKVLATVVIVAEMQRMEKDLDEGILRSVGEGIKESVLKKEGVEVGWVVLVKSECVPKTTSGKLQRWAAKEKLLDGKMKILMEMRFGKDVMRIKHEVIRKVELEKNGNHINGSVLGAETRSSLISHL >RHN82715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55851980:55852588:-1 gene:gene6897 transcript:rna6897 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTTKVSLKLLIDTKNEKVLFAEASKSVIDFLFNLLCLPIGTVVKLLSTNGMIGSLGNLYQSVENLNQNCMQPFQTKDVLLNPRAQSFSTEISGFLTQNEDVDDEDEGTKLYMCPNKCKFEVTNDNTTRCTALSANDHSHIFGSSPVYCPNTMSSEVSYVGKRKVAKKRVSNIKSGFVKDIIIFMVMDDLVIQPMSHC >RHN56144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28123654:28127653:1 gene:gene31498 transcript:rna31498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MEILRCFSVLNERKVKKKVGKEKYSKENFDTLLAKLQHSVSFTTCNSEPTTFDLTVTNGFQKNSRNNVRVMSLESPVKAEGGESYEGGDENENENENSPSIKKELSHLYPKLDEPVASKERYGPTDNEQATNEFEDQSDRYSRKSADTNNSGHASDPGIGKTDFLGSPKLKRSCSNLESRDVRMQINEYLSPLQAQSFEDFRDLSINPMVNLKRSRSMTSHCSADRVMLKRHSSSRVLPSGSKKLWWKLFLWSHRNIHRTFSRKSKLVPATSSLSNQIGYYSDTLELKQMKTLRHVQSSASFTMRSPNKSINGDDQRQSRFQNQWFAFSTETSSYARVDAWVKDLEIQEPVPEDDPLDDIAGSISFPPSPDAGRSKIISTSQLTHSNSNLPKDILLANSMVQSLNPASSVAHISGVGIKAIPVISHFSNLRSVNLSNNFIVTISPGCLPKSVQTLNLSRNKISTIEGLKELTRLRVLDLSYNCISRIGQGLSSCTIVKELYLADNKISDVEGLHRLFKLTVLDLSFNKITTTKALGQLVANYNSLQALNLLGNAIQRNIGDEQLNKAVSGLLPKLVYLNKQPIKAKRAMREILTDSVAKAALGNSKKRSYVKRSVSQGGSSSSRGHRSSASVAPNSRIRRRR >RHN68187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33553751:33555068:-1 gene:gene16502 transcript:rna16502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MANVKLAPFAVFLLAAFLMFPMKKIEGESCESRGCIFYINDSCPSGCVCDPIDPVTWAGVCVSYSSIKKKVEEHPNYCETHTECTKKGSGNFCARFANSDIKYGWCFASISEAENAFKIASTSEFKNDL >RHN54268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8157247:8163222:-1 gene:gene29273 transcript:rna29273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase METTSVSKFLFLFVSLTLFLAVYSDVTYDRKAIIINGQRRILFSGSIHYPRSTPDMWEDLIYKAKEGGLDVIETYVFWNVHEPSPGNYNFEGRNDLVRFIQTVHKAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRQDNEPFKKAMQGFTEKIVGMMKSERLYESQGGPIILSQIENEYGAQSKMLGPVGYNYMSWAAKMAVEMGTGVPWIMCKEDDAPDPVINTCNGFYCDKFTPNKPYKPTMWTEAWSGWFSEFGGPIHKRPVQDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLIRQPKYGHLKELHKAIKMCEKALISTDPVVTSLGNFQQAYVYTTESGDCSAFLSNYDSKSSARVMFNNMHYNLPPWSVSILPDCRNAVFNTAKVGVQTSQMQMLPTNSERFSWESFEEDTSSSSATTITASGLLEQINVTRDTSDYLWYITSVDVGSSESFLHGGKLPSLIVQSTGHAVHVFINGRLSGSAYGTREDRRFRYTGDVNLRAGTNTIALLSVAVGLPNVGGHFETWNTGILGPVVIHGLDKGKLDLSWQKWTYQVGLKGEAMNLASPDGISSVEWMQSAVVVQRNQPLTWHKTFFDAPEGEEPLALDMDGMGKGQIWINGISIGRYWTAIATGSCNDCNYAGSFRPPKCQLGCGQPTQRWYHVPRSWLKQNHNLLVVFEELGGDPSKISLAKRSVSSVCADVSEYHPNLKNWHIDSYGKSENFRPPKVHLHCNPGQAISSIKFASFGTPLGTCGSYEQGACHSSSSYDILEQKCIGKPRCIVTVSNSNFGRDPCPNVLKRLSVEAVCAPTIAN >RHN46507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32370351:32374273:1 gene:gene41000 transcript:rna41000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ subfamily C member 10 protein MTLSATTLIVTFAALCFLLQSEAKTIDPYKVLGVDKSASQREIQKAFHKLSLQYHPDKNKAKGAQEKFAQINNAYEILSDEQKRKNYDLYGDEKGNPGFEAGHPGGYGGPGGFNFRPGEQQWGRGDQGGSKSFSFSFGGGSGDSNSFGFGLDDLLGNFFGDFGSSFRSQSSQSGSKSSPKSFKAINSNIYNKEIVDEGMTWLLLSYSPSLRGIQHFESIIGEVAGTLQGALKVGSINCEKEVSFCKELGVYPRKGPRLFVYSYKENEKGSLVEYGGDLAVKDLKAFCQQHLPMFSKRTDLNQLDQFSTAEKLPRVLLLSTKKNTPVIWRVLSGLYRKRFAFSDVQVHDDSDSRVRKLGVDALPAILGWLPNGEKLILKTGVSVKDVKSGVQDLSKILDSFEKVSKKETSSQSKKEQADSEDKHIQLLSRTNFEALCGERTPVCVIGAFRSSKGREKLESLLTLVSQKSLSRKPNGSGSSKDSISYGLLDSSKQQSFLNAFDKTGYKSSDKLLIAYKPRKGKFTVFTGEMTVEEVENFISSVLSGDIPFRETHKKPVLK >RHN72010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4358747:4360123:1 gene:gene7677 transcript:rna7677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucoside 2''-O-glucosyltransferase MVANIFHVAMYPWFALGHLTSYLHISNKLAERGHKISFLMPRNTISKLEHFNLHPDLISFVPITIPHVDGLPLGSETTADIPFSLHSLLMTAMDLTEPIIEDTLRELRPHMVFFDFTYWLPALACRLGIKALHYCTISPATVGYLISPERKLHEKSLTEEDLIDPPPSFPPSAIKLQPHEARGLATATVKGYGKDISFTKRQLISFTSCDAIVFKTCREMEGPYCDYLEKTMRKQVILAGPVLPDPPTTTLEEKWVTWLGGFKSKTVIFCAFGSECILKSNQFKELLLGFELTGMPFLAALKPPIGAKTMKLALPEGFIERTKGRAIVVGDWVQQQLILSHQSVGCFVTHCGSGSLTEAMVTDCQLVLLPHAGDQFINARIMSGDLKVGVEVEKSEDDGLFTKEAVCKAVKTVMDSEGELGHMVRTNHAKWGKFLLSQGLENSYVDDLVQKLDSLLKS >RHN55327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17339738:17341797:-1 gene:gene30493 transcript:rna30493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TCP family MELEGDHHQSNGIRSRPNFPLQLLEKKDNNILDVSEEQTCTTTGNDGCTAIISSDQQKKPPPKRASTKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSTMSAPSHYFRGNYFNPSSFSSTAAQLRNRAEWDRTMNMNMNMNMNVVADDSRRSSNSTMLENPSSLSAMLNFNNVQNAILQAKQELREENAAATASGGGGGFELLGSDSDGSMGRKRRSEQELLSQNMGSYLLQSNVGSLPSSHASNTAAFWMVTGNGNQGVNVNGGNGNDPIWAIPSVGNNGMYRGAMTSPNGFHFMNFAASPMNLMPGSQLDFDEWYVKFVGATSRRRWRRR >RHN48501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47989376:47990862:-1 gene:gene43222 transcript:rna43222 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYALLHDQAIPSQDVTMEMNGMMMKASLVSHILFLSIFTFTSLLLLPQIQCADKDKPLAPSLYTEEIYKELEGLTITLTRNIKEDLGFCIKDVNKDWEEAFDFKGKLDFVDACVKQKGDFRDRMCTTAEIRYYFQSFFLQQGTSASYVKPNINCNLTSWGSGCEPGWSCSAAERIDLKNDIKDIPSRIDDCQPCCEGFFCPQGLTCMITCPLGSYCPLAKLNPITGVCDPYSYQIPAGETNHTCGSADIWSGVVNNSNIFCSPGSYCPSPTSKVSCDKGY >RHN75669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44195395:44196472:1 gene:gene11904 transcript:rna11904 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMNRVWMAATMAVAQGHTEPIHNCKSALGTIHQNRTRLFSAGGALSALLPLSSVFVSEATVVSSEPKKKLRQTDDSLQKVMYMNCWGQG >RHN52228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32832369:32834447:1 gene:gene36876 transcript:rna36876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aquaporin TIP-type alpha MATRRYAFGRVDEAYHPDSIRATIAEFASTFIFVFAGEGSGLALVKIYQDSAFSAGELLAVALAHAFALFAAVSSSMHVSGGHVNPAVTFGALIGGRISVLRAVYYWIAQLLGAIVAALLLRLVTNNMRPGGFHLARGIGVGHGLILEIIMTFGLMYTVYATAIDPKRGSIGAIAPLAIGLIVGANILVGGPFDGACMNPALAFGPSLVGWRWHYHWIFWVGPFIGAALAALIYEYLVIPTEPPHAHQPLAPEDY >RHN57891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42443766:42447327:1 gene:gene33482 transcript:rna33482 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSKTISAALKLIDNKKENLKKAYDDLQSHSSHLSSFPLSWPDIDSHFTTLHNTLSQRFLHLQSLESQFQQNHNDPSISSSKLSTTKPKNQNFSSINNDPSSNSNPTIQNGAVSGSITHLEGLSALCKKNDGKGLRDFIRVNFKDKVTIKDELQIAFKCASNPADMVLDALDGVFGANAVVDGKELPRLNKRSCNFLFQQLRVFSPYVSFDVRKKAKRLFSLWKVNLVNDVHESCWTMAFLQFVAVYDFLPELNVGELAAYSATAATNDELPDLYQIIALSDRVQDVIQKLIERGKQILAVKFIFHFKLTEKTPPVPVLKAYVNDAEKLAKRLASEGKSLNEIKSREIHALKSVIKVIESYNLDSEFPRASIERRIEELSKQRKVGGKPVAPAFAAMPLQHPQQQLSGIKRPLTSAPFGPAPVLNNAGGTPSTIHQYQQPHFQSTSLLPEHPNPYMSMPPTTPFGMKAATPTVSSYTGPSTGPYGLDGVPMGPIGNLNQGGSHLNSSQPQVMPGYYVPMGPNGNLSQGGSHPNSSEPRVMPGYYATMGPSGNLDQGGSHPNPSEPQVMPGYYDSMSASGGYGLQHYYGTSYPQ >RHN67110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23985915:23990092:-1 gene:gene15255 transcript:rna15255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MDYWQYLTSLFAPLSQTHKAAIKFLVSTHIFYTCPHFFIYKYLTKPSKPPHTCKEKINSKMDSIVMMRNSFLFISCFIFFSLGFLKAQKTPAIYVFGDSLVDVGNNNYLTLSLVKATLPHYGIDFPTKKPTGRFSNGKNAADLIAEKLGLATSPPYLSLVSKINFNKKNVSFLDGVNFASGGAGIFNGTDENFRQSIPLTKQVDYYSQMHEKLTQQTEASILQNHLSKSIFAVVIGSNDIFGYFNSKDLQKKNTPQQYVDSMASSLKVQLQRLYNNGARKFEIIGVSTIGCCPSLRLKNKTECFSEANLMSMKYNEVLQSMLKELKLVNKDLSYSYFDTYAALQDLIQNPKSYGFADVKDACCGLGELNSQFLCTPISIICSNRQDHIFWDQFHPTEAATRTFVDKLYNGPSKYTSPINMEQLLAL >RHN73500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17127369:17128634:1 gene:gene9318 transcript:rna9318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MGEMMTKQLSLIGAQGEVATRSGEVALVTASDQIHQLHHLDEEDSWTLFAKHAFHGFDDSYAVSWTKKTTLHEKVGKKVADKCKGLPLALIAIGNLLRRNSSLQHWEKISESDAWDLAEGNRIVPALMVSYQSLPTHLKKCFEYCALFPKGYLYEKDQLCLLWMAENLIQRPRQHMTSMKEVAESYFNDLILRSFFQPSTKYRNYFVMHDLHHDLSKSIFGEFCFTWEGRKSKNMTSITRHFSFLCDEIGSPKGLETLFDAKKLRTFLPLSMTCFEYQWLLCFNSNKLLLSELFSKCKRLRVLSLCGCMDMIELPDNIGNLKHLHHLDLSRTKISKLPDTLCSLHYLQTLKVRDCQFLEELPMNLHKLVNLCYLDFSGTKVTVMPKEMGKLKNLEVLSSFYVGKGNDSSMMDLSVYVYFVT >RHN58712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4224248:4229831:1 gene:gene20646 transcript:rna20646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MSMVHGFVLRRYVTAAVGSITKFRRSSCWNETLYSKKGISTVNSQHFTKALRLGPCISSQFSSVSINATTQYDVFVSFRGEDIRANFLSHLIEDFDRKKIKAFVDDKLKRGDEIPQSLVRAIEGSLISLIIFSHDYASSCWCLEELVTTLQCREKYGQIVIPIFYQVDPTDVRYQNKSYDNAFVELQRGYSSTKVQIWRHALNKSANLSGIKSSDFRNDVQLLKEIIKCVSINLNNKQLVSSKGLIGIGKQTAHLKSLLSQESEDVRVVGIWGMGGIGKTTLAEEVFHQLQSEYEGCCFLENIREESAKHGMVFLKEKLISALLDEVVKVDIANRLPHYVKTRIRRMKVLIVLDDVNDFDQLEILFGDHDLFGFGSRIIITTRDKQMLSKDVDDILEVGALDYDKSLELFNLNAFKGKELEIEYNELSKRVVNYAKGIPLVLKVLAHLVRGKDKLVWESQLDKLRKMPSKKVQDVMRLSYDDLDREEQKIFLDIACFFNGSNLKVDYLKLLWKDSESDNSVASGLERLKDKDLVSVSKHNVISMHGIIQDMGREIVRQESSGDPGSRSRLWDDDIYEVLKNDKGTEEIRSIWMPLPTLRNLKLSPSTFSKMRNLQFLYVPNVYDQDGFDLLPHGLHSMPPELRYLCWMHYPLKSLPDEFSAEKLVILDLSYSRVEKLWHGVQNLLNLKEVKLFYSRFLKQLPDFSKALNLEVLDIHFCGQLTSVHPSIFSLENLEKLDLSHCTALTELTSDTHSSSLRYLSLKFCKNIRKFSVTSENMIELDLQYTQINALPASFGRQTKLEILHLGNCSIERFPSCFKNLIRLQYLDIRYCLKLQTLPELPQSLEVLHARGCTSLESVLFPSIPEQFKENRYRVVFANCLKLDEHSLANIAFNAQINNMKFACQHVSALEHDFHNKFNDYKDHNDSYQAIYVYPGNSVPEWFEYMTTTDYVVIDLSSSTSSSPLLGFIFCFVLGGNRLIVAPLKFNITICDLEDQGKEEEHFELCISRPSASIVSDHVFMLYDKQCSCYLNSKAKDMTRFEIKVTTRLSSMHPIIYSGMCMELKGFGVNVIDASAYHSFIQTMGLPD >RHN62218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41810350:41818440:1 gene:gene24770 transcript:rna24770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 15-hydroxyprostaglandin dehydrogenase (NAD(+)) MEIKAGLSALVTGGASGIGKGLVLALAEKGVFITIVDFSEEKGRETATLVEKINTKFHPNLHHPSVLFVKCDVTNSRDLAAAFEKHVSTYGGLDICIASAGINNPIPFDKDPTDGTRSWRHTLNVNFIAVFDTTRLAIKAMEALKRPGTIINLGSASGLYPMYGDPVYTGSKGGVVMFTRALRLYKRQGIRINVLCPEFIETEMGLKVDPRLISMMGGFVPMDMVVKGAFELITDESKAGHCLWITNRRGLEYWPTPSEEAKYLTRPTRFRRKSEYQAPSIKLPDSFEKTVVHTLTHNFRNATSIVRAPLRLPIKPNHVLVKIIYAGVNASDVNFSSGRYFGGNNKETAARLPFDAGFEAVGIIAAVGDSVTDLKVGMPCAFMTFGGYAEFTMIPSKYALPVPRPDPEAVAMLTSGLTASIALEKAGQMESGKVVLVTAAAGGTGQFAVQLAKLAGNTVVATCGGGAKAKLLKELGVDRVIDYHSEDIKTVLKKEFPKGIDIIYESVGGDMLKLCLDALAVHGRLIVIGMISQYQGEKGWTPSKYPGLCEKLLSKSQAVAGFFLVQYSHMWQEHLDRLFDLYSQGKLKVAVDPKKFIGLHSVADAVEYLHSGKSAGKVVVCVDPTFSNHAAKL >RHN49622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:56006470:56007451:-1 gene:gene44471 transcript:rna44471 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFVHTQLGGYFGNFITFFFNPFTLPPNRESPSLSAIFHSLYSFSTLSLSLPPTVQAMNLNGGDEDGGSGSLRNKRPEKRTMVMVVVGGWWDSGGEVKKLTEATTTME >RHN69497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43965776:43966001:1 gene:gene17976 transcript:rna17976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MDQNQKRDWSINDFEVGKPLGRGKFGRVYVAREIKEQLIVNLSKYVVALKVIFKEQLEKY >RHN40311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15226218:15228719:-1 gene:gene46454 transcript:rna46454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MFLCEIYVFKDRIKKWLHIKSSLSSINIYKGHYNREAMHFMIINCIYHLNYVLSVLLLITTMENLNLNGDGGVNQPALSSIELLDLCLIGRVVVNKPVHLSTIEGRLGNMWCPVMKMKLLPMDDNKFMVQMFSKADLNVVLEGSPWLLDNRMIIVKKVAMGEDPLTLPMNSTEIWVQVHQLPFGFMDKTIGELAGSHIGKLVKYDEDNNYGPWRKYMRLRVEIALEEPLQQDLVIERSAGGNIRLLFNYEKLGKFCFICGSIGHTDNFCQKKFETNFVAGHKRWGPHLRAENNSFGGGSASSKWIIDGRSSNSGGRPVEGTSINEGQTSQFNAGNNSSISNHRFYGRIKVEIELLSRSLIIYKYSECQRSNGEGMVQWWTVVDPKDLNGKDINMEGCETSKGPFVPELTKSDQINKFLAEGMTEGDRMLCEHGEKSMQLLRKERIGGSYKNVSPQHSNLQAYFEKNLNEDSDSMVGPVSNSQARGGMTFVEPSTFQVRNNNLGVGGLGPHGQLLINKAITTQSLAMSPILMPIEFMSAAGPLVNEKTSNAGRTKGLRKDKTAANKASQQKSLTLARNPIQPDSFMASARVSYQN >RHN71855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3088212:3096270:1 gene:gene7495 transcript:rna7495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclease S(1) MTCLIAQALLKPEASEAVHHLLPPHVNGNLSALCVWPDQIRHWYKYRWTSPLHFIDTPDEKCGFQYSRDCLEDMCVAGAIKNFTSQLSHYKEGTSDRRYNMTEALLFLSHFMGDIHQPMHVGFTSDKGGNTIDLRWYRHKSNLHHVWDREIILTALADYYDKDVTLLLQDIEKNYTNGIWSDDVASWEHCKDISSCVNNWAKESIQIACKWGYEGVKSGMTLSEKYFDSRMPYVMKRIAQGGIRLAMILNQVFGDSEEGFVAAT >RHN44289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3244309:3245193:-1 gene:gene38389 transcript:rna38389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SPX domain-containing protein MDVIHEDEMSNESHFNDEDGNNVSQTNSKTSTEGFRPAFFDILDHVKINVNAPDTPVSTIKGLLLSAKSDKTFSKNELRKADEQISKALKEFYNKLRLLKRYSFLNLLGISKILKKYDKVSSRNAAKDYLKMVDSSYVGSSDEVNRLMERVEHAFIKHFANGNHRKGMRILRPTTKRELHRKTFLLGKGMKNWLIFE >RHN82810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56550197:56558139:-1 gene:gene6999 transcript:rna6999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MKWEEAEGREQRKMGVGNVRRMGIVLGICNALVFLLGSLLISHNYRLCDLRSLLPFVAVSFSAVVRILVMLQTASAQQSAATLILLDDHNHEDHLLLRFQRRARYKIWLWWSRCTVALTIIQFVCAAYLILNSVYYLSKDNTTPTACILELGWNPHWWNHKLLSLFIILLSFVALAQCFTGSDVLRWRSFYESHDNAWKSHYREVFDNGLRETLCCLGRVKYLTAIQQEEDEVYSVARLLGDLVAYRASGTGHMELLAALALLQSNEKSSESCQVSMEAPEMRIREAAALHKFAEAAYTGPLLDVGRNPLMFPCSWLYRQGVLSPWARNRRPVLDGDNWWRGHAAAFLKYANLPPEALRHGRVNQVKCEAAYFIVVLHHLQTVVIAIRGTETPEDLITDGLCKECTLSAEDLAGLINCNHIHSDIHKNVASSFPHYGHSGIVEAARELYMQIEGNPGEHDTESYGLLSKLLGFGCECFGYNVRIVGHSLGGAIAALLGLQLYNRYPNLHVYSYGPLPCVDLVVANACSSFITSIIYGNEFSSRLSFGSIMRLRAAAITLLAQDPKADSAMIFRLARRFLYISQYERNNQEAEHEAQCDIDNKGSNIQVVQEASLLTEAIKRDLLVTADHGNNDHDDFSLWADTRARDHIVEINNAEFTNPFATNVHSIDDPVSQFIDSVPTSENQSADDPPEMYLPGFVIHIVPDQKRPQTDFKISWRTQERGKCYRAYVANRESFKDIIVSPSMFLDHLPWRCHNALKKILKDLTAKDQVMEHNLI >RHN55568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19548868:19556788:-1 gene:gene30769 transcript:rna30769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Root UVB sensitive family MDSVSSSSSSSASNKTSLLTLEEWNGSSPTKLSKTFTIKASSSSFSIRRSGARFTHVWRRFLQAFVPEGFPSSVTPDYVPFQIWDLLQGLSTYIRTMLSTQALLSAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADLMNDLGMLMDLISPLFPSAFVFIVCLGSISRSFTGVASGATRAALTQHFALQDNAADISAKEGSQETVATMIGMALGMLVARITTGHPLAIWFSFLSLTLFHMYANYRAVQCLSLNSLNPERSSILLQRFMESRQVLSPKQVSPLEHVLPIQLTSMSSKKVNSLDSKVRLGTRISSFDEMEIKEHLLSLASYYAKAKYILVEKKGIIDVIVHKDSSSADVLKSFIHALVLANNVYKGKSLHSDSQMWMENQYEVFIQKLKSLGWKTERLLSSPIIWRANWIHEPSEEKID >RHN69702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45662489:45662782:1 gene:gene18208 transcript:rna18208 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRKHALTEESESIDMVVTSDDHATEVTMLDARFTSFLEKDNSFLTPWSWEWDPNASMNNETNSTKEKQYLSFQPESYFTYEVIFSVFYFILFLNH >RHN49585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55794187:55794468:1 gene:gene44431 transcript:rna44431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MMFSKSYKQVHHHGGRRATKFYSTCELPLFLHLLKEAEEEYGFSHQGTITIPCQLLEFKDILQHIMIHIHNYKSQLQYQHHLNLVGCFRASSL >RHN70676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53148725:53152627:-1 gene:gene19286 transcript:rna19286 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding nuclear protein Ran1B MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLVAQQQHENELQQAANQPLPDDDDDAFE >RHN69598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44773527:44780328:1 gene:gene18087 transcript:rna18087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MASVSSQQQFRYTQPPSKVLHLRNLPWECTEEELIELGNPFGKVVNTKCNVGSNRNQAFIEFADLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVHNKTAADVAGNVLLVTVEGEDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETATSAKDALDGRSIPRYLLSEHVGPCTLKITYSGHSDLTVKFQSHRSRDYTNPYLPVAQSAIEGGGQVMIGLDGKRLEAESNVLLASIENMQYAVTLDVLHMVFSAFGPIQKIAMFDKNGGLQALIQYPDTQTAVVAKEALEGHCIYDGGFCKLHLSYSRHTDLSIKVNNDRSRDYTIPMIPVVNTQPSILGQPVPMTVPPAQQYNGSQYTPISDPAMIPQSQAGWGTTLPTVAQSMPLQMHNNIYMPAGNMPPGNGPMQMQPGNGPMQMPPGNMPPGSIPLQRPLQMPPGNVPMQMPPGSMPLQRPMQMPPGNMPPGMHFPNHDMLQPTSTLPAYGSDRTQ >RHN73283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15110548:15116372:1 gene:gene9081 transcript:rna9081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Ribulose-bisphosphate carboxylase]-lysine N-methyltransferase MASSFPLYQTSFFSKTQFKGLRHGYLWRPTYSFGSCAENKVQPVKASVETAPFPLFQPPKDEETASQLEPVDPDFYKIGFVRSMRAYGVDFMEGPNGFGVYASKDVEPLRRPRVIMEIPLELMLTISKKLPWMFFPDIIPLGHPIFDIINSTNPETDWDLRLACLLLFSFDCKDNFWQYYGDFLPSEDESTSLLLATEEELLELQDPDLASTMRVQQQRVLEFWEKNWHSGVPLKVKRLARDPQRFMWAVGIAQSRCINMQMRMCALTQQANMLIPYADMLNHSFEPNCFFHWRFKDRMLEVLINAGQRIRKGDEMTVDYMSAQKNGMLMQRYGFSSPVNPWDVIKFSGNAQIHLDSFLSVFNISGLPEEYYRNEVLSSTGDTFVDGAVIAAARTLPTWSDGDVPPIPSEERKAAKALQQECKQMLAEFATTSKQDQKLLDSSPEATRTLEATIKYRLHRKLLMEKVILALEIYQEQILF >RHN47022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36515536:36516868:1 gene:gene41568 transcript:rna41568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MQSSFPDKEIAKLTSLEFLSICSCDNLESLLGELELPNLKSLSIIYCGNITSLPLQLIPNVDSLMISNCNKLKLSLGHENAIPRLRLKLLYIESLPQLLSFPQWLQGCADTLHSLFIGHCENLEKLPEWSSTFICLNTLTITNCPKLLSLPDDVHCLPNLECLEMKDCPELCKRYQPKVGHDWPKISHIKQVNIKSSEHEN >RHN79080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22187525:22188409:1 gene:gene2777 transcript:rna2777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MLKKQYVDEDHTLNFIVPIYEPLPPQYFIRVVSDRWLGSQTGLPVSFRHIILPEKYPPPTELLDLQPLPVTALQSPSYETLYQDFKHFNPIQTQVFTALYNSDDNALVAAPTGSGKTICAEFAILRNHQKGSDSVMRVVYVAPIEALAKERYRDWEKKFGGGLKLAVVELTGETTTDLKLLEKGQIIISTPEKWDALSRRWKQRKHVQQVSLFIIDELHLIGGQRGPVLEVIVSRMKYIANQVENKIRFVALSTSVANAKDLGDWIVLEQNCLAIITLKSFDDNKVLKIVNWIC >RHN72000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4276668:4278970:-1 gene:gene7667 transcript:rna7667 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYSNILNSLDSTNQEFMSWRRKYEQVSSRQKTKEGTASSEIAVAVLLKQGWLQQESDLSLHKMSRSGSMALLLEKVRFMTVEIIQENFF >RHN43817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48723688:48728591:-1 gene:gene50447 transcript:rna50447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha,alpha-trehalose-phosphate synthase (UDP-forming), Trehalose-phosphatase MVSRSYSNLLELVSGDSPTFENINRRIPRIMTVAGLISDVDDDPLETGCSEPSSSSVQRERIIIVANQLPIKAQRKQDGNNMNKWFFSWDENSLLLQLKDGLGDDDTDVIYVGCLKEDVHPNEQDEVSQILLETFKCVPTFLPGDLFTRYYHGFCKQQLWPLFHYMLPLSPGLGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDYVWIHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREELLRALLNADLIGFHTFDYARHFLSCCSRMLGLSYESKRGYIGIEYYGRTVSIKILPVGIHMGQLQSVLSLPKTEEKVSELIQQFSNNGKIMLLGVDDTDIFKGISLKLLAMEQLLIQHPEWHGKVVLVQIANPARGKGKDVKEVQAETKATAKRINERFGKPGYDPVILIEEPLRFYEKVAYYVVAECCLVTAVRDGMNLIPYEYIISRQGTEKLDKVLGVDASSKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDSALEMADSEKQLRHEKHYRYVSTHDVGYWARSFLQDLERICSDHVRRRWWGIGFGLSFRVVALDPNFKKLSMEHIVSAYKQTKTRAILLDYDGTLMPQASIDKSPISNSIKMLNSLCRDENNMVFIVSAKSRKALTEWFSPCENLGIAAEHGYFLRLKRDEEWETCAPVADFSWKQIAEPVMKLYTETTDGSTIEDKETALVWCYEDADPDFGSCQAKELLNHLESVLTNEPVTVKSGLNNVEVKPQGVNKGLVAKRLLSAMQEKGMSPEFVLCIGDDRSDEDMFEVITSSGPSMAPRAEVFACTVGRKPSKAKYYLDDTTGIVRMVQGLACVSDQIVLS >RHN63320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50363562:50367248:-1 gene:gene26006 transcript:rna26006 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMGSSKKGEVVVGKEEVITKLKDDGDFDRLRLKIIRKLKDNEELRQHIISIVKQSEALNRAGAENMKPRQLSDVIYEEVGENVMSHISDSLWQIIRSSDGMKGEIVETVQSVYDKLANPKGKDEVLLSTSDAMSIQCQGETASATENDDTLYENEPEEPPGFTLLPNHLNNNNHDDRDKGKAQVQRQGSTTECKEDSHLSQDTPGEDDHNIPPPGFSKDPEHNPVANCSDEDPDLPPGFS >RHN81142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43610607:43612092:1 gene:gene5143 transcript:rna5143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MALKIYGLAMSTNTTRAMICLHEKEVDFELIPVNVFTSEHKQPPFLNKNPFGLIPVLEDDDLTLFESRAITSYVAEKYKEVGPDLIRHNDTKEASLVKMWTEVESHYYDPAVTPIIYEYFVAPFQGQEPNKSVIESNIEKLKIVLDVYETKLSTTKYLAGDFYSLADLSHISSTHYFMQTPCASMINERIHVKAWWEDISSRTAFQKVVGGMTFGQSDQK >RHN61082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32824817:32830672:1 gene:gene23504 transcript:rna23504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-arabinofuranosidase B, arabinose-binding domain, beta-L-arabinofuranosidase, GH127 MKVFVFMFMFMALMLRGCVTIKECTNIPTQSHTFRYELFASKNETWKKEVMSHYHVTPTDESAWATLLPRKILSEENQHDWALMYRKIKNLGVFKPPVGFLKEVPLGDVRLLEGSIHAVAQQTNLEYLLMLDVDRLIWSFRKTAGLPTPGNPYGGWEEPNTELRGHFVGHYLSASALMWASTKNDSLKEKMSALVAGLSACQEKIGTGYLSAFPPEFFDRFENIQPVWAPYYTIHKILAGLLDQYTVAGNPQALKMVTWMVDYFYDRVVNVISKYTVNRHYQSLNEETGGMNDVLYKLYSVTGDSKHLLLAHLFDKPCFLGLLAVQANDIADFHANTHIPIVVGSQMRYEVTGDPLYREIGSFFMDIVNSSHSYATGGTSVREFWSNPKRIADNLGTTENEESCTTYNMLKVSRHLFRWTKEVTYADYYERALTNGVLGIQRGTDPGVMIYMLPLGIGVSKAKTGHSWGNPFDTFWCCYGTGIESFSKLGDSIYFEEEGNSPSLYIIQYISSSFNWKSGKTLLTQTVVPAASSDPYLRVTFTFSSNEKTGTSSTLNFRVPSWSHADGAKAILNSEALSLPAPGNFLSITRQWSAGDKLTLQLPLIIRTEAIKDDRPEYASVQAILYGPYLLAGHTTRNWDIKADTNKAVADWITPIPSSYNSQLVSFSQDFDQSTFVITNSNQSLTMQKSPEPGTDVALQATFRLILKGAVSKTVMLEPIDLPGMIVSHQEPDQPLIVVDSSLGGPSSVFLVVPGLDGRNQTISLQSQSNKDCYVYSDMSSGSGVKLRCKSDSEASFNQAASFVSGKGLRQYHPISFVAKGGNQNFLLEPLFNFRDEHYTVYFNIQG >RHN65197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:183559:188157:-1 gene:gene13015 transcript:rna13015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase with an alcohol group as acceptor MHKLLHRPTSSSLPIPILSSSSSSYSPLLISNSNSNSTPLLLSLPLKPFHSLTMAAATSSSTSSGVSFPLQKSLSSSSSPRYSNASYVRTCFCPTLTLTRHTTTTSTSNNTKNLPWLLLKHSQHSNATSQSSFHNNPEQQSTPTTEDDSSSSSSSSTNTTSSFRLNNRRHQKAESSSTPPDLLAIPGVGPRNFRKLVQKGIQGVAQLKQLYKDKFIGKSSDQMVEYLQSSVGIIHKNHAESITTFIKKSVDEEVDDNSSGKQPTQKKRLTFCVEGNISVGKTTFLQRIANETIELRDLVEVVPEPIGKWQDVGPDHFNILDAFYAEPQRYAYTFQNYVFVTRVMQERESSAGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSTLPGLIPDGFIYLRASPDTCHQRMKLRKREEEGGVSLEYLRDLHEKHESWLFPSQSGNHGVLSVNKLPLHVDNSLHPDIRDRVFYLEGDHMHSSIQKVPALILDCEPNIDFSKDIEAKREYARQVAEFFEFVKKQQVLSVEGEKNSQNQPQVLLPHEGNLWLPGGKPFPRAPLDFRRAMSYMSGSG >RHN73447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16511295:16516754:-1 gene:gene9261 transcript:rna9261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MATFTLHKETVQSWLPLSRTHIIFHFICVLFLIYYRINNLFISYPWFLMTLAELIFSFMWFSHQAFRWRPITRSVMTENLPADEKLPGLDIFVCTIDPEKEPTIDVMNTVVSAIAMDYPCNKLSIYLSDDGGSPVTLFGIKEAFQFAKVWVPFCKKYDVKSRCPKFFFSALGEDEHLLRTREFEEERDQIKAKYEKMQKNIQKFGSNSKNLCMVTDRLSRIEIINDQKEMPLVVYVSREKRPHVPHRYKGGALNTLLRVSGLISNGPYVLIVDCDMNCNDSSSAKQSMCFFLDPKISQDLAFVQFPQMFHNISKKDIYNSEARNAFTTMWKGMDGLRGPGLTGSGNYLSRSALLFGSPNQKVDYLLDAQNNFGKSTMYVESLKAIRGQQTTKKNTSRDVILQEACEVASCSYERNTNWGNEVGFSYAIKLESTITGYLLHCRGWRSTYLYPKIPCFLGCAPTNMKEGMSQLIKWVSELLLFAISKYSPFTYGISRLPIVHCLTFCHLSSLALYVVPYTLYGIVPQLCFLQGIPVFPKVTEPWFIVFAVLFVSSQIQHFIEVITTGGSSTHWWNEQRNAILTSIGCVFAIIEATKKWFGLNKVKFTLSDKAIDKDTQKKYEQGRFNFDGAKLLMAPMVVLLILNITCFFGGLWKLLNVRNFDEMFGQVFLISYVMALSFPILEGIITMKTKGG >RHN40780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21038132:21068698:-1 gene:gene46987 transcript:rna46987 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEERNVTEGDKNKKQKTKEAKGKEKKQHIKMSKKKKQENEEQLEEERKEEAKSKEKNEGSSYEENANQKLRHKMSILKVYDLMNSVNGKQRKEEIINVLNESGFGGMVHICNWNRVHTFFVDWIVKNFDKENMWIALSKTEVLPLKEEDVHRVYELPMAGKQINIDLCSVEAIKRLRIKLGLNGNYSASVRVTDLE >RHN68144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33188062:33188836:1 gene:gene16450 transcript:rna16450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MPYRMSASELSKNARNLRSMRVVNCWEIKDAGFRKCVTKLPHLEELDISLMELTHDSLEVLARSCPLLKSLKLHIAEPVYRLSHFYMKDYDRSEVGWLAILDRCLLLESLDIRGGNVYFNENLKERCHEKIKNLRLSVRPSY >RHN47672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41437941:41438548:-1 gene:gene42297 transcript:rna42297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MVFSEEDITKLYRIQKTLLQMLSDRNYLVEETELTMSREDFIFKYGKHMKREQLEINKTHRNNPSEKICVFFSDSDDAKLGVKIVRGFITRILQENVDSGILLHVGSKRLEVFMEDELLVNITKHECVPPHQVITEAEKRALLKKYTVKDTQLSRILTSDPVVRYYGLRPSETAGTYITYRIAS >RHN78764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18133147:18136191:1 gene:gene2413 transcript:rna2413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxyethylthiazole kinase MEESKKKKKEELEWRRKTWKLVSKVRMESPLIQCITNFVSMDLMANTLLSAGASPAMVHSLEEISEFTPRVSALCLNVGTLSSSSLPAMIAAAKLCSQLNIPWVLDPVAVSASSFRFDACVQLVTFKPTVIRGNASEIISLSSSSLQSSAASMGVDSTHGSMDAVEAAKLLAQTSGAIVAVSGATDIVTDGNQVVGAHNGVPLMQKITATGCAVTALIAAFVAVDKSHALDAAVSALAVFGVAGELGMKMAKGPSSLRMHLIDSLYGLDEATLQSHVNITSLC >RHN73045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12986015:12986533:1 gene:gene8822 transcript:rna8822 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHLVLPFAATARALSAASRTALPRRGPPVVPPMLTLARAWWSLEE >RHN60505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27427531:27434256:-1 gene:gene22826 transcript:rna22826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MKLFSGWRRFAFGILLIFLLTHLLSVRELNSSKMVETRKQLNKKFDHLVLGPAAGQGLSNRLQCQGSKALNRTHSSNGRFGVDGSITFVTVFTIYNSSLNRVDDKSSNTFVGNASYNKVERSMAVLNVFIDFIQVVMPQSEVIILTDPVSDLSVHRNRVSLYPIQGEYSRDKLMLQRIRSYITFLETRLQKLSQNPKDITHYIFTDSDIAVVDDLGQIFRDHPNFHMALTFRNNKAQPLNSGFIAVKGTPDGILRAKLFLQEVLKVYVSKYMSASRMLGDQLALAWVVKSKPQFDASRFAKTVAFSDDIGGTSILFLPCALYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLESWNFYSSTPDIADMLCLILGSGRTKYDF >RHN50345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6368527:6373142:1 gene:gene34620 transcript:rna34620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydro-lyase MKSVSNISCVFSLPNSSPYFNFQVEKQWPQRFSLSTKPMHLRYSNGCKVRATLDTNKSIEIPHQWYNLIADLPIKPPPPLHPKTFEPIKPEDLSPLFPDELIRQEVTTDRFIDIPDEVRDVYSLWRPTPLIRAKRLEKLLDTPARIYYKYEGVSPAGSHKPNSAIPQAWYNLQEGVKNVVTETGAGQWGSALAFACSIFGLGCEVWQVRASYDSKPYRRLMMQTWGANVHPSPSMLTEAGQRILQNDPTSPGSLGIAISEAVEIAAKNPDTKYCLGSVLNHVLLHQSVIGEECIKQMEAIGETPDVIIGCTGGGSNFAGLSFPFIREKLNNKINPVIRAVEPTACPSLTKGIYTYDYGDTAGLTPLMKMHTLGHDFVPDPIHAGGLRYHGMAPLISHVYELGLMEAISIPQTECFQGAIQFARSEGLIPAPEPTHAIAATIREALHCRETGEAKVILTAMCGHGHFDLPAYEKYLQGNMVDLSFSEDRLKASLGNISKVTS >RHN46748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34514083:34515027:1 gene:gene41270 transcript:rna41270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MITRAKFEEINMDLFNECMETVESCLTDSKMDKSSIDDVVLVGGSSRIPKVQQLLADFFKGKDLCKSINPDEAVAFGAAVQAALLSDDIMNVPNLVLIDVTPLSLGWRLIHDHMAVVIHRNTTIPVKKTKVFFTTEDNQTFVFIRVYEGERTRASDNNLLGSFNLTGLTPAPRRHPFYVCFAIDENGILTVSATEVSTGNTNKIIITNYKERLSTEEIKKMIEEADNYRAEDEKFLRMAQLKNALDLCVYKIETALKKQNIQLKLSTLEKTKINAAITIAKNLLDENHQHELDVLQDHLKELESMFENTIAKID >RHN70557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52280073:52281358:1 gene:gene19148 transcript:rna19148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b5-like heme/steroid binding domain-containing protein MEMTAQQLSEYNGTDPSKPIYVAVKGRVYDVTTGKSFYGPGGAYAMFAGKDASRALAKMSKNEEDITSSLDGLTEKEIGVLNDWETKFVAKYPVVATIVS >RHN48687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49479275:49480969:-1 gene:gene43429 transcript:rna43429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium/calmodulin-dependent protein kinase MLQVETLVSACAGGSTDRKIACETQADDLKTDPPPESSHHHPDSPPESFWLSRDEENEWLDRNFIYERKESTKGNSSSTNLNPNSNSNSNSTSNSQRFANLKSKTSMIGLPKPQKPSFDAKNLRNHKPSNIKLFPKRSASVGKSFVEPSSPKVSCMGKVRSKRGKTSTVTAAAKAAVKEKTARTERKQSKHSFFKSFRAIFRSGGRNKHDRMNDSSALDSSSITKNTNSVSDSKARDSTASLNDVSFVESVTRNSVSEGEPPGLGVMMRFTSGRRSESWVDDSEIHVSR >RHN43312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44843072:44844099:-1 gene:gene49863 transcript:rna49863 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVQYSRLLLLILVIILMTSQLSSCGEATRSRWMSKGIKQTEKRSSFQRFSGSLHFYTNKQQGPDTEEVHPIYGVSLRDVPGGPNPLHN >RHN67588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28426688:28427119:-1 gene:gene15791 transcript:rna15791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MTITMIMNYLTIFYTHLKWVLDILTYYPFYKLHDSNFPIIGEMYDIYNYEHTNEDVECVVCLCKIEEGDEISVLRCDHMYHKHCLDKWLSFKNHTCPLCRESLRPERAITEHGVEVLSFDFCAIRSDRDRDDWWLR >RHN45435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20738149:20740224:1 gene:gene39774 transcript:rna39774 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASCEICPSLHEEINSLNKKLERVSKGTMTFSMNSKDERTPFKRPYTKYSYVRKNKFHSKNYVPTIRCHYCGISGHTTPHCHIRRVEVPKGVMKWVPKVTCCETHPKAPTCVDGLVGSLFLPSALLQGRGEISPHHQHVFDIIKKGENEDNIDNIAYGLSLCFGNIPCVTPFESSKTLSRCVLFCKLHFCELISFIFVFELTHYSLNRFNKVSDFM >RHN75251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40664289:40664916:-1 gene:gene11424 transcript:rna11424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MARTKQTARKSTGGKAPTKVLATKIARNYKPKGGLKRPRRFRPGTVALREIRKYQKSSDLLIRKLPFQRLVREIAHVDLKPDFRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLACRIRGERRGG >RHN63512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51867431:51867971:-1 gene:gene26216 transcript:rna26216 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPIIPMDDFGAGFYPLLLGKFTTAIGSVVSLAACCSSCWEPLLL >RHN79372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28166299:28168224:1 gene:gene3155 transcript:rna3155 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSGKNGCYEHETLLQILFGSFRHHFGEGLLCSSKAVLQITDSNLYLFFININTRPHICNIISFANILPQNLHAMSKVGI >RHN73930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21748417:21748737:1 gene:gene9830 transcript:rna9830 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNTRLLCFLCGLWILVMVVTKVGTVDADLSFFVFRRCRRRRFVSSVERGIWWPMDKPAAVREKMISVVYDGWGERDEHDGGRWSAVGEVDKGKESGWMWRKREC >RHN62612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44683985:44687027:1 gene:gene25206 transcript:rna25206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative malate dehydrogenase MEAHAGANQRIARISAHLHPSNFQEGGDVVIDRANCRAKGGAPGFKVAVLGAAGGIGQSLSLLLKMNPLVSVLHLYDVVNTPGVTADVSHMDTGAVVRGFLGQPQLENALTGMDLVVIPAGVPRKPGMTRDDLFKINAGIVRTLCEGIAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTALDVVRANTFVAEVLGVDPRDVDVPVVGGHAGVTILPLLSQVKPPSSFTAEETEYLTNRIQNGGTEVVEAKAGAGSATLSMAYAAAKFANSCLHGLKGEAGVIECAYVDSQVTELPFFATKVRLGRAGAEEIFPLGPLNEYERIGLEKAKRELAGSIQKGVEFIKK >RHN60779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30331659:30332678:1 gene:gene23147 transcript:rna23147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRRKNMANNHMLIYAMIICLFPYLVVTFKTAITCDCNEDCLNFFTPLDNLKCIDNVCEVFM >RHN46323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30735376:30736590:1 gene:gene40803 transcript:rna40803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDIPPTKKRRCRSGRSIAPYPTLFLPDELIIEILSFLRVKNIVQLKCLSKSWFTIISDPTFVEKHLKNSSKHPHLTLFWNQRKEGFNIASFPMNRLFKNPSITVYSRNLHRLKNHCIVVGSCNGLLCLVFQSYVHNKCWLRFLNPATKTRSKKLGLLCYSTPHYTSYSYYHSKFSFGYDASTRTYKVVVVHAEKNEASWKSEVEVFSLGNNCWRNIQSFTAVPLNWFNVHIRHSHLNDGVHFNGTINWMTYKSIIHAEQFQILSLDLSTETYKTFLLPSDFNGVPSLHIQQPVLRVLSDSLCFSHDSNETEFVLWHMKEYGVQESWTQLFKISYQNLRMHNILDGYQLACIYVNGDMVIFANEFRNWAFIYNLKDKTAEKIKTRNSIQWFRGAKDYVESLVPVH >RHN66482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12916790:12919762:1 gene:gene14481 transcript:rna14481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MSGKNAPICYDVNVFDPSHLWQTQNVLKSELPEIATSIAFTVLLSLLIFSVLKPLHQPRIISHVAVGFLLTGPLLLVIAPYFPIYIGTAVLNIEVLSHIGVIYYAFISGLEMDLNSILHVKKKDATIAISGIIFPMVMGPILYNLHRYFYGNGDGPKLEESTMNVYVQWTLVLTMTSFSIVAHTLSELKLLNTGLGQTALTTAMISDTYSWVLYTITLPFSITGSIVAIYSVLSTVVFVFICIFVVRPIIVKVIDRKLERDEWDDKQLLFLLMGMFVCSYITGILGTQDIVGAFVYGLILPHGKFADMVTSMTDDFGGEFLAPLFFSRNGMRFIVKAIFVHRNWPLTLVLIILLCVPKILSTLFTTLFFGMRTQDSFALGMILNTKGALALIMLNTAWDRHIFSEPTHAVLSAAVFLMTIVVPLVINAIYKPRKRFEQNKLKTIQKLRLDAELRILTCVHNTRHAIGVINLIQSFNATRISPIHVFSLYLIELKGRTGALVAAHMDKPSVQPGAQNLTSSQVEQESINNTFEAFGEAYDAVRVRTLNVVSSYATIHEDIYNSANEKHTSMIILPFHKHLSSLGALETTSVAYKDINLNVMQTAPCSVGIFVDRNLGTLPKMNFRICMIFVGGPDDHEALTVAWRMAEHPRTRLSVVRMLLLDVAAEVDTKSHNETQGVLSVVMDNEKQKDLDEEYVNSFRLKAVNNNDFISYSEVDVHSGEDIPTILDDLDKFGCDLYIVGQGHHRNVRAFSSLLEWCDCPELGVIGDMLASNNFSSGSSVLVVQQYGYGGIVSGNQPNHVSTNKDGFEELVV >RHN78660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17256148:17256908:-1 gene:gene2294 transcript:rna2294 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQFHMMVGFPLTNLTFPYSSTSCYNFLTPNQLCQFPRMELQSFVVKSYFQRIRTLIIHSSMDHLQPQPPPLYFGLIAAMSDVETSSNRPASTL >RHN42181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36185893:36191444:1 gene:gene48592 transcript:rna48592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptidase B MHLVFRFPAVTRRNNHHLLRHYKAAKKPPPSPTPTPPKAPKKPQNFSFHGVTWEDPYSWMSSLNDKVAMRHMDVYMEQEEKYTEAVMSDSERIVNKLHFEMASRIPNELSTFPLRWGPWLYYRRVEEGKPYPVLCRRLASLNDDFISHKYPPAGFDFRTGKTIEQKLVDYNQEAERFGGYSYEELSEISPNHQFLAYTMYDKDNGYFKLSVRNLNSGSLCSKPQANRVSNLAWAKDGQALLYVVTDEKMRPYRIYFSLIGSTDEDVLLLEESDENVYISLRHTKDFKFLTVNTLSPTSSKVFLINAADPLSGLKLVWECDAIAHCVIEHHRGYLYLFTDAPKGGQSVDHHYLLCSPEDTHSNPRKWEEVIVDDPDLVIEDVDFSDKYLALIVRENRNFQLCSVGLPLPFEKGAVKLRKLDLQYLSLPKHVCQISPGPNYDFFSSVMRFIISSPVMPDAVVDYDLATCKWNIIQQQNMLHDRTRILYGTNSSSVSFESSDAKYSNPVNADLEDDHLWNDLSEFYACEQYAVPSFDGVLIPLTVVFARDNKQKDEKPGILHGHGAYGELLDKRWRSEFKSLLDRGWVIAYADVRGGGGYGKKWHHDGRRTKKNNSINDYISCAKFIIEKDIVNENKLAAWGYSAGGLLVAAAINRSPDLFRAAVLKVPFLDATNTLLHPILPLVADDYEEFGYPGDINDFLAIQGYSPYDNVQKDVLYPAVLVSSSFTTRFGVWEAAKWVARVRDLSIYDPKRPILLNLTTDIVEENRYLQSKESALEAAFLIKTVES >RHN65267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:688212:689239:1 gene:gene13088 transcript:rna13088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTKSVNFIYSMVIFISLFMVAMQTQRIYQCIKESDCPQYMCSAGLRANCVDRGVCKCVPVWWRKFHVLT >RHN47762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42322241:42325823:1 gene:gene42399 transcript:rna42399 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALNSALYIAKMAWIALSGWITSCLTIADEFATSLRSGDIGPFHVG >RHN50064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3782173:3783373:-1 gene:gene34314 transcript:rna34314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MLPVNQAAIDSALQPAIGPDGKRKEHKSNVLLATIENMQYAVPLDVLHSVRILFLIKRVFSAFGFVQKVAMFDKNGHTHALIQYPDLTIAAAAKETLEGHCIYDGGYCKLHLTYSRHTDLNVKAFSDKSRDYTVLDPSLHAAQAPAWQTTQAATMYSGSMGQMPSWDPNQQEVTQSYLSAPGTFPSGQAAPPFPGYSPAAVPPAGASPHSHMPPSSFAGAFPGSQPHYGWESRFRLNYNPYTL >RHN74598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34996474:35001548:-1 gene:gene10692 transcript:rna10692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S1, PA clan MERPRLNSRVRCSGSTPSEESALDLERNCYGHSNLPSLSPPTLQPFASAGQHGESNAAYFSWPSRLPDAAEERANYFLNLQKGVLPETLGRLPKGQQATTLLELMTIRAFHSKILRCYSLGTAIGFRIRRGVLTDIPAILVFVSRKVHKQWLSPIQCLPTALEGPGGVWCDVDVVEFSYFGAPEPVPKEQHYTEIVDDLRGGDPCIGSGSQVASQETYGTLGAIVRSQTGSRQVGFLTNRHVAVDLDYPNQKMFHPLPPTLGPGVYLGAVERATSFITDELWYGIFAGINPETFVRADGAFIPFADDFDMCTVTTSVRGVGDIGDVKIIDLQSPISTLIGKQVVKVGRSSGLTTGIVLAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLIMFKGDNGEKPRPIGIIWGGTANRGRLKLKIGLPPENWTSGVDLGRLLNLLELDLITSDEGLRVAVQEQRTASATFMGSIVGDSSTPDGMHQKDRVEDKFEPLGLQIQSIPLGVEPNSQEMKPSTMEAEFKLEDGIKVGGPSIEHQFIPSFIGRSPLHKHTVHDKAAAAENLSSLRNDCNEDLCVSLQLGDNEAKRRRSEASTSTEEP >RHN39154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4540953:4543893:-1 gene:gene45153 transcript:rna45153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MKKILIFFFVTLLVTCHGNILNHDDDHGSNNQIFPLRVKSGSGGHYIEEVSCASWRLGIEAHNIINWKTIPKECEKYIGNYMLGDQYRADSKAVNREGYFYAKTLNITTGKDIWVFDIDETSLSNLPYYAKHGFGVEPYNDTLFNQWVDLGTAPALPESKKLYNKLLSLGIKIAFLTGRPLKQKEITAKNLRRAGFKKWEKLILKNTTIYHGKTAVTYKSSERKKLEEEGYRIVGNIGDQWSDILGTNTGERTFKLPDPLYYIA >RHN64151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56961322:56965073:1 gene:gene26937 transcript:rna26937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primary amine oxidase MGWFGEKESRRTVRIDCFMKESTVNIYVRPISGLTIVVDLGRMKIVEYHDREIETVPTAENNEYQVSKQNPPFGPKQHSLASHQPQGPGFQINGHSVSWANWKFHIGFDVRAGVIISLASTYDLEKHKSRRVLYKGYISELFVPYQDPSDEFYFKTFFDAGEFEFGLSTVSLIPNPDCPPNAEFIDTYTHSAEGAPIPLKNAVCIFEQYNSIMWRHIETGISDEFIEESRTEVNLIVRSVVTVGNYDNIIDWEFKASGSIKPSIALSGILEIKATNIKHKDEIKEDLHGTLVSENSIGVYHDHFYIYYLDLDIDGTHNSFEKTSLKTVRITDGSSKRKSYWIIETQTVKTESDAKITIGLAPGELAFVNPNKKTVVGNEVGYRLIPAIPAHPLLTEDDYPQIRGAFTNYNVWVAPYNRTEKWAGGLYVDHSRGDDTLAVWTKKNRDIVNKDIVMWHVVGIHHVPAQEDFPIMPLLSTGFELRPTNFFERNPVLKILSPRDVKWPGCPK >RHN57896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42465858:42469129:-1 gene:gene33487 transcript:rna33487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MQTSLNHKMSYDSSMFNIEPIRNLDSYCFLQNENLENYSSSDNGSHSTYPSFQALEQNLESSNNSPVSKLQSKSYTFTSQNSLEIINDSLENESCLTHNQDDLWHKIRELENAMLGQDAADMLDIYNDTVIIQQESDPLLLEAEKWNKMIEMISRGDLKEILFTCAKAISENDMETAEWLMSELSKMVSVSGNPIQRLGAYMLEALVARIASSGSIIYKSLKCKEPITATSKELLSHMHVLYEICPYLKFGYMSANGVIAEALKDESEIHIIDFQINQGIQWMSLIQALAGKPGGPPKIRITGFDDSTSAYARGGGLGIVGERLSKLAESYNVAFEFHAIGVSPSEVRLEDLELRRGEAIAVNFAMMLHHVPDEDVHGGKNHRDRLVRLAKCLSPKVVTLVEQESNTNELPFFARFVETMNYYFAVFESIDVALPREHRERINVEQHCLAREVVNLVACEGAERVERHEVLKKWRSCFTMAGFTPYPLSSYINYSIQNLLENYQGHYTLQEKDGALYLGWMNQPLITSSAWR >RHN41823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33348184:33352965:1 gene:gene48185 transcript:rna48185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/gamma-adaptin-binding protein p34 MDAATESQTDPISLETRPGIFIIGSSTVGKRTLLSRLLAVDSEDAFDSASELNVHGWTINTKYYTADVAVWMAHLHDEFSVENVPAFQQMTALVMVFDMNDLSSLTALQGWVAHTDIQNFEILLCIGNKVDLVPDHPVHAEYRRRLLKHEDSAVDLYSEFSEYGISETEGTSLLGSEEPSWDIRKSCLEWCAEHNIEFVEACASNADFDKCLSVDGDLQGVERIYGALSAHMWPGMVLKSGERINQPSFPEIEEMSSEESDYEQEYEVLSDGTADAWDETEQGWISATPLDAGGPSVSQNNTDTSCEHDDANKSDKELRPTNSRTAFQDEGNMAVTHNIVDSEGDGKLDDNECLDLENLEQLMSEIGNMRSGLRLMPDFQRREMAAKLAMKMASLFGGESDDGEI >RHN81433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45864980:45865375:1 gene:gene5474 transcript:rna5474 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHSFPVICTIIFPCCDFKFLQSIVFCKDKKEASILLMIIFHIFLTVLISQIELVHAQFKRAKAQTEFLDLKLDLDIVVAQKDKDPDPAILKRPTEKLHLKTMNDLKKEFSELHELVIKSNGELGDSFES >RHN69868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46976873:46977453:-1 gene:gene18396 transcript:rna18396 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCHYNPDRRRFAVKKIMSCHSRIMERQSRTEDHPEVSERDLSVMGCCCRNQTADLSQPVPFKKYCDAAWAPDPR >RHN45185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12717167:12727103:1 gene:gene39410 transcript:rna39410 gene_biotype:protein_coding transcript_biotype:protein_coding MDQITPLPSTAPEQRGAGGKLRKPPPRKPPASPYARPPSNRRWISKLVDPAYRIIADGATRFLPSFFSATDSATNPPSIEDDQGKLGTSEQHNEDSLLKINLHLPQSELSKMASIGDGSSKQNSSFDFVLPRRVEKGEQHENNKLSDIEQLVKRTKFTRDEFNRMVEILNSREIDVLNVEEGKEHTNLTSRQDVGGLVAAHKLPKVFNERRHEESNAAIRGSATPGISKGQDEIGASPIEIARAFMGSQASEGGPSSKNMIQTVESTMLLTDEAAIKSYDPSPSKKSPTGWPGAFVQHAYSTPQSQGSKYGFLNHARTPYSKTLLTKSKSKLIHTQGSYSRISSTPLRQSQTSLYLKDKSEVGASESGYGSVGPIRRTRHKVGVQSTSRRPAYSSMSSSQRENSSFIESSTPTVATRMDLGWMSSSHKPLGFERSVPTVPTHTSLMAKKILEHIDRNIPTPKEKSAELKLATKWNNAESSVNTSTVFSNVDNGLVKPKDVSPSKYNEFCGTDSILRNEDEGNCNVDIQPRESTDKSVDITKEGTLTSDLNVHRSIPRLANDARTTQNFGSSQMFSVKSTDKDVLMALPSVGQYPLVNQEKKTVANNAASKPVLAPISIKKPESKWALASDNSSGFTFPVTATSSVFSEPPTPSIMPLLFSTGNQQQLEETSNQLLYSFGVKMSNPAVVFSFPSTSNTVDNDAGVIKYNFGSINTARLSFSFEKTAVNC >RHN47307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38699269:38701224:-1 gene:gene41886 transcript:rna41886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casparian strip membrane protein MADAKDSFRMEPKSSTTSTSQKHHRTFLMVQNILRILVIVLTAVSIVVTVTNNQSVMLFSIRFEAHFYYTSSFKFFVAANGVVCFMSVLTLIFNLLMRQQTPQRKDYYFLLFLVDLVMTVLLIAGCSAATAVGYVGQYGEKHVGWTAICDHVQKFCKTNLISLLLSYLAFFANLGLTILIAYKFTS >RHN73076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13223977:13225734:-1 gene:gene8854 transcript:rna8854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MITGNRNVMASLLLLFALFTVNLRLTSAQIGVCYGMMGNNLPSQREAIDLCKSNNIKRMRLYDPNQAALEALRNSGIELMLGVPNSDLQNIATNNDIAIQWVQKNVLNFYPSVKIKYIAVGNEVNPVGGSSQFAKFVLPAIQNIYQAIRAKNLHDQIKVSTAIDMTMIGTSYPPSKGSFRSDVRSYLDPIIGYLVYANAPLFANIYSYFSYKDNPKDISLQYALFTSPNVVVWDGSRGYQNLFDALLDSLHAAIDNTGIGFVKVVVSESGWPSDGGFATTYDNARVYLDNLIRHVKGGTPMRSGPIETYIFGLFDENQKNPELEKHFGVFYPNKQKKYPFGFQGKIDGTNLFNVSFPLKSDI >RHN75010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38702587:38709965:1 gene:gene11157 transcript:rna11157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanylate cyclase activating protein MRNITKIACFNIFFLSIIALHVQSRFLPNKLISNGVDDVQAEEDSYLLLNNDNDKGVITESSSNSEEYCKQMYGFLPCSNNILGHLFLILVYEYLLFHGESYLAAGGEQIFKILGPGVFGASAFDILGALPESLILLVTGLSSDKESAQEYASTGVGLLAGSSILLLTVVWGTCVIVGKQSFKNDSHSSDTSYLSTGRIKQALTGYGITMDIDTRKMARIMVFSVIPLLIMQIPTLFYFSTTLRNVTLIVSLIIAVTFLISYFIYQLFKPQIEKTRLEYIKHDDLILRIFQRVEKQTLQKILTEDGTPNVAAINGLYHEFSQHGKHLSTSEVKELLLGNNLADTNIREDQIADMLKAFDKNGDQIITKEEFVSGLTEYINQTKHALDRKYLPKESMNKMYQSFIKPWIEHVRKERELKGQLISGVLSHAQNDMVGRMLHDDGTADKDAIKRLFEQVDGDGDNHVSRSELEKVVRETHFGKAVDAEEAVSKLLHDLDANKDNEISENEFVDGFTKWINSNSSKPGSSKSSSHETHQTWEDVEKVMEENQTKGVTAWLEAIAYVMLGIAMLSLLAEPLIASVQKFSEAAGISSFFISFILVPLATNFREATSAIKEASHKKSSNTSHTMYEIYGAVFMNNILGFVVISILIYMRDITWEFSADVLVVAIVCVVMGLTTSFRSTFPLWTSFPTYLLYLISLVMVYVLKDVLNYV >RHN54563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10518839:10523408:-1 gene:gene29627 transcript:rna29627 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSQALVQKPFTLTPSSLIHCQNSIFSCYGKRVSVLGYKSFRFSDFVCSSSSEASVSSSAVNASSPLVASNSGFDSVVSHQEMPGKLEGIEEGIEKVIYRCRFLAIIGVFGSLIGSILCFIKGSTFVAESFLGYLVNRSKVIQMLIEALDLYLLGTVMLVFGMGLYELFVSNLDSASSLQDQKPSDRSNLFGIFTLKERPKWLDIKTVNDLKTKVGHVIVMLLLIGLIDRSKKATIQTPVDLLCFCAAVFLSSSSLFLLSKLNEAH >RHN75315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41177849:41178535:-1 gene:gene11496 transcript:rna11496 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLLFSLVCALSIYVLFFFWWQSPHHFRCGFDIVVPGDIIPEWFDHQFKGNSRLRITNFTNKNNNWLGFAFCVVFMENCGSNISYPLYLSFESEHMEETFDIPLRLDPNTVDGSNSEHLWLICISRPHCHFVTTEAHITFKAHPDVELKRWGLRMVLENDISNPFKLRTDEFCRQGYLQLDHVHVSSRSKRPEVQLRYNWHVTEEEENESREVNLKKNHLSDMGLTT >RHN63654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52967878:52972771:-1 gene:gene26379 transcript:rna26379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleosome assembly protein (NAP) MVGDRTKKQKLTENPEEVDAELILSIEKLQEIQDDLEKINDEASDKVLEIEQKYNEIRKPVYDKRNEIIKSIPDFWLNAFLSHPTLSELLNDEDQKIFKYLSSLEVEDNKDVKSGYTITLNFNPNPYFEDSKLSKTFTFREEGTTEITATPIKWKEGKGIPNGDNHEKKGNKRPPVDVSFLSWFCDCEQKDDMADIHDELAELIKDDLWSNPLIYFNSEEPDEEDADDEADDEVMEVPFFLEKIEEKDEDDSEEEDDEEGDDEEGN >RHN39347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6259423:6259796:-1 gene:gene45360 transcript:rna45360 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRSKSCKDQSLQSGGKVAPTSMQDLRSYSTNYASNSSAFDQNKVEKRKSKFGKASKSWSFNDPELQRKKRVAGYKVYDVEGKMKGSFKKSLRWIKNTCSQLW >RHN70791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54033131:54034102:-1 gene:gene19408 transcript:rna19408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MGITEAFSKFVVEFLQTFKNSPPNSMPHHDHLDQNHQQNMLKLDERMIRALVTVFGMETNGRIKKENARQVVEKLGLIYGSDHKENKAFQDDDEEEVVVEEVLGELEDMSKRSELLLEAFKIFDEDGDGYIDAMELKRVLDCLGLDKGWDMNTIERMVKVVDLNFDGKVDFGEFELMMG >RHN61012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32322560:32325515:1 gene:gene23422 transcript:rna23422 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLERPQRYVDGTKSPASIQTMEQLLRGEAASASKPKLSPSKSSSSSNCSSPSSPFFQRLKHHDSEEDHGQNQKKSVITKVKEKAKKLRHTLSKKKHEDWTATSPSAGAGSEGDGAEEDAEYHGAPMYESEMAPEGYKENVRQHSRMSPRSPQTHVLSNNEKLGLEQAREKTLSRSLSRKTTHPAATAATSTTLSGPSNIITKPIAEENMSPAYAEGSETAHYITSKVHGLSVSKPGEGHISSPTAATTHKLSSLSLRTSRTPPAKIPSQTGPSTPRASSIRMGTPPRSPPSSAPPGASNTSPTSQIWDKGVSVKEYLMNKFEPGEDEKALSKVISEAMSPRRTPGDVGVIEKVREAVTSLLRTEEPEKPADTDTTTTTTTHTPSQVSASTSTTHAPSQIPVSFNAQEAVEVENHDRILQAN >RHN52316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33843500:33843829:-1 gene:gene36978 transcript:rna36978 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVVFVMTNSKLAKNKKARKMVEYRFDDIDSDDEWIVNNDGCSLENEIWNLTIKGEDLEGGTQNEGDNGAASAGNDYEIHNLDEEFERLGDEDVMEDVGIDQNVLNDL >RHN57810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41837295:41837897:-1 gene:gene33399 transcript:rna33399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA mismatch repair protein MutS, core MERAITRDLFSHVSLFSTHLTKVATFAAELDCFLSMALVARQNNYVRPVLTEENLLDIKNGRHVLQEMTVDTFIPNDTKIFHDGRVNIITGPNFSGKSIYIKQVFSYYSLYS >RHN61197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33784462:33785426:1 gene:gene23635 transcript:rna23635 gene_biotype:protein_coding transcript_biotype:protein_coding MHIHTSQPQNKSQRDCKKPITTVGATNTSYTHTLYQKNKKHNNPPLVPKPKTNRYLKK >RHN43831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48821076:48821288:1 gene:gene50461 transcript:rna50461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain-containing protein MSLTTKKMITLRNSGGETFEVDEVVALESQTIKEKDCAENLIPITNVTSKILGKVRSMWRLRPRVPMKNI >RHN74525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34363786:34364295:-1 gene:gene10612 transcript:rna10612 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYVILKVTHEFDIVFLVVTSCISVLVSCNDEGAREGSNVMELYPTGSTIPDCSHACGPCSPCKRVMVGYNKCSMAESCPMVYRCICKGKYYHVPSN >RHN75512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42857256:42859500:1 gene:gene11731 transcript:rna11731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative The fantastic four family protein MVMQVTSELDIWSSILNQKNKDEASQSKTPPYIHPLVKNSNNYLSAKSLEICTESLGSETGSDGFSSYTSSEDNNSNDDENLKEKVDMVKKIRCFPPPLPSLFSQSQPLQMTPQRDNGRLFLFLQVVSVPSHNNFFTKRQNGRLVLSFNNDEEEIDDEFEEDESVIEKTHMLSSEITNISNLELAVNKSIWLVNKRPKCSKFNQVFNFEDVKVVQHGSLPMINGYEYYWRNKATRNFAPSDQQNSISNKVVNVVSGNMNINQLASNEASQDSQQLFVLRGVNKDYLVHSLKFCKDSRTTRSFMFWDQCCIAT >RHN57390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38616196:38622867:1 gene:gene32929 transcript:rna32929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-dependent channel, 7TM region phosphate MASLGDIGLAAAINILTAIVFLLAFAILRIQPINDRVYFPKWYMKGLRSSPLQGGAFVSKFVNIDFRSYIRFLNWMPAALQMPEPELIEHAGLDSAVYLRIYLLGLKIFVPISLLAFSVMVPVNWTNDTLKRSNVVYTSIDKLSISNIPLGSNRFWTHLVMAYAFTFWTCYILKREYQIVAAMRLSFLASERRRPDQFTVLVRNVPPDADESVSELVEHFFLVNHPDQYLTHQVVYDAKKLSSLVAKKKKQQNWLDYYELKYSRNESVRPTKKTGFLGLCGSKVDAIDFYTAAIERLSRDIELEKDKVTKNPKSIMPAAFVSFKTRWGAAVCAQTQQTRNPTIWLTEWAPEPRDIYWDNMAIPYVSLSIRRLVIGVAFFFLTFFFMIPIAFVQSLANIEGIEKAAPFLKSIIEIDVIKSFIQGFLPGIALKLFLIFLPTILMIMSKFEGFISQSSLERRCASRYYIFQFINVFLGSIITGTAFQQLDKFIHQSANEIPKTIGVSIPMKATFFITYIMVDGWAGCAGEILRLKPLIFYHLKNFLLVKTEKDREEAMDPGTIGFNTGEPQIQLYFLLGLVYSVVTPFLLPYIIVFFGLAYLVYRHQIINVYNQEYESAGAFWPDVHGRIVFALVVSQLLLMGLLSTKEAANSTPLLIALPVLTIWFHRFCKGSYEPAFTTHPLQEAMVKDTLERTKEPNFNLKDFLHDAYIHPVFNDDGDTDSDVMSQEWKEEPVIVQTKRQSRKNTPAPSKHSGGSLQTSMHGTTDV >RHN66477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12833602:12835019:-1 gene:gene14473 transcript:rna14473 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEMLNLYIQQDEAMVVECEVMLVLKEEREELYPYKTKEAGEVVEEEWI >RHN55420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18125559:18127405:-1 gene:gene30598 transcript:rna30598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MFPYLQGDGFVEETTGESITPYVLIVNPRENVVEKISAFFKNGPRQAVCILAATGAVSNVTLYQPGVSDGFLRYEGHFPILSLNGPCTFPGGCAQKEIEMMSVSLSKPDGSIFGGGIGRSMIAATPIHPLSHTYSKFLFFHQIVLGTFDMVITDGEPSNLATTSANGASMSDNVTVVGEPSNLEKFPYLDLNRPPEEI >RHN38768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1881770:1883718:1 gene:gene44734 transcript:rna44734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MQFVSSKLPLVMVSLKRFELDKLIRKMEIIKKNEISMTTKHDYDRASELKAFDETKDGVKGLVDASVTSIPRMFHHEFDKDSTSSSSNSHKLVIPSIDLVDIHQDPTRRKIVVEKIREASETWGFFQIVNHGIEVSVLDEMKNGVVRFFEQDSEVKRELYTRDPVKPLVYNSNFDLYSSPAATWRDTFYCFMAPNSPNPEDLPSVCRDIMLEYTKQVMKLGNLLFELLSEALGLDPNYLNEMRCNEGLALVCHYYPSCPEPELTLGITKHTDNDFITVLLQDHIGGLQVLRENSWVDVSPVPGALVINIGDLLQLITNDKFKSVEHRVVANHVGPRVSVASFYSTSFQPSTKLYGPIKELVSEDNPPKYRETTVHDYVSFSMARGLDGTSPLPYFKIGNF >RHN44316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3474464:3480752:1 gene:gene38419 transcript:rna38419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein ENHANCED DISEASE RESISTANCE 2 MGGCVSVPSHAIKAPKKLRRRIVRRRRRKISSSAANDINKLNNNGGMHVTDYSVSEYVHMDFENGAKTKCRRSEVSNSAYHLTQLEWHHSQYDVDANVMCQDESYFDSVSILDSDSDDEFNSVHGDCFPLVGSTVGCIPCGHVVQYEERSTCVVENNRQYEEYHESYRKVDGGNNIDKLKGRDENGFGLINTHGLPRLGKNQGSFKGLKEGKNNVEENAQEIARKSNLNRLAPSVSFNNRPSKKLSTIFRLSFKRKSCDVEETPELGQSKRYLIRPKAGLIIPYQNGDKLSAGCWSEIPPSTFQLRGENYFKDKRKSPAPNNSPYTPIGVDLFVCPKKIHHIAKHIELPNVKANGKVPQLLIVNIQLPTYPAAMFLGDSDGEGMSLVLYFKVSETLDDNISSQFQESITKLVDDEMEKVKGFAKDSNVAFRERLKIMVGLANPDDMHLSSTEKKLAQAYNGKPVLSRPQHNFYKGPNYFEIDLDIHRFSYISRKGLDAFRDRLKDGILDLGLTIQAQKQEELPEKVLCCIRLNKVDLGENDQTPMLMTLDGE >RHN59337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9696617:9699664:1 gene:gene21350 transcript:rna21350 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEWEFREREEFLTLGTGKSPLYIQVGVCKTVVRHTCARRLQILRKSNRRGETRGSHDGDRYCSFDRVSFTLSTPSRRFHVLRVKLPNAEFGLGLYWA >RHN56223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28970444:28973328:-1 gene:gene31590 transcript:rna31590 gene_biotype:protein_coding transcript_biotype:protein_coding MGENCGTWTPQVQFNLQSPNLSSYCAPSETRKPAFAAMNPGINMNMIPRNEAAPAYGSSASPHVQLGNSNEPHGWFYCLPRFRQGFTSPPNFTSEEKLPPASHLKGFKEDIAPNGESGFPQKQFLVVDQTGDQTTVIYSSRFGSPVECLGSWDSKLNGCSNLNGNDEPSLRRDVNHVIEVGPTFDYKVDENDNQGTEIESEMHEDTEEINALLYSDSDGYSTEDDEVTSTGHSPSTMTTHVNQQPFRIGGTAEEVASSSPGKTKKRKLFNMAYSDVDVQFMDTASSLKRNRPFDLNRGVDDDDDAESRCSGSNYGRGSPEIGSLTGHKKMRKEKIQNVLSILQCIVPNGKDKEPIQLLDEAIHCLNSLKLKARALGLNAL >RHN54590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10624178:10626181:1 gene:gene29655 transcript:rna29655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MLKNIDWLDVSENHLCGEIPGTIGECISLEYLRLQGNSFNGTIPSSFASLKGLQYLDISRNQLYGPIPDVLQNISSLEHLNVSFNMLEGEVPTNGVFRNATQVAMIGNYKLCGGISQLHLPPCSVKRWKHTKNHFPRLIAVIVGVVSFLFILSVIIAIYWVRKRNQNPSFDSPAIHQLDKVSYHDLHQGTDGFSDRNLIGLGSFGSVYRGNLVSEDNVVAVKVLNLQKKGAHKNFIVECNALKTIRHRNLVQVLTCCSSTDYKGQEFKALVFDYMKNGSLEQWLHPEILNAEPPTTLDLGKRFNIIFDVASALHYLHQECEQLVIHCDLKPSNVLLDDDMVAHVSDFGIARLVSSIGGTSHINTSTIGIKGTVGYAPPEYGMGSEVSICGDMYSFGILMLEILTGRRPTDEVFQDGQNLHNFVATSFPDNIKEILDPHLVTRDVEVAIENGNHTNLIPRVEESLVSLFRIGLICSMESPKERMNIMDVTKELNTIRKAFLAGFCTPCN >RHN82092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51216748:51219763:1 gene:gene6214 transcript:rna6214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MPISRLCPNIHPFLQIKACNGNNNDNGSKSVTGKPKVSPQLNRWSRARAIRSGRKLDRSSPRTQTIEPNNSQPTVLFAVDPDDTVSEDGSEFRAKSIYIVSDGTGWTAEHCVNAALGQFDYCLVDRGCPVSTHMFSGIDDVERLLEIIKQAVKEDALVVYTLADSSFSSAAEKACKLWGVPSTNVLGPITEAIASHLGVSPSGLPRGASGVPLSDDYFRRIEAIEFTIKQDDGASPQNLAKADIVLTGVSRTGKTPLSIYLAQKGYKVANVPIVMGVEMPRTLFQVDPKKVFGLTINHGVLQDIRKTRAKTLGFSSESRTNYSEMDYIREELEFAGRLFAQNPVWPVIDVTAKAIEETAAVVLRLFHDRKHKYTMSSISKRY >RHN69977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47704700:47711321:-1 gene:gene18513 transcript:rna18513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MSPSSSFTSLVFARKVLGTIITDIALCPSPTTQSWNLSTKGGQLVAFKGGARFIQTSCRISAPGTFPVNDGKPQLRDKKVVPDADPPSTKDVNLLYQFFEKSTKLVVLTGAGISTECGIPDYRSPNGAYSSGFRPITHQFLRSTRARRRYWARSYAGWRQFTAAQPSAAHCALAAFENAGRVDFMVTQNVDRLHHRAGSNPLELHGTVYNVICINCGYSLCRSLFQDQLKSLNPKWAEAIENLDHGNAGSDKSFGMKQRPDGDIEIDEKFWEEDFAIPTCQKCNGVLKPDVVFFGDNVPKERADMAMEASRSCDAFLVLGSSVMTMSAFRLVRAAHEAGAATAIVNVGVTRADDFVPLKINARLGEILPRVLDMGSISVPSV >RHN71780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2574198:2574708:1 gene:gene7415 transcript:rna7415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(ADP-ribose) polymerase, catalytic domain-containing protein MLFIFTELEEDSSRQIVGIICGNGTNLVSSELRQIDCILKVHNMPKTLACFEEYREIVKINANKLHVNHPRCLADGNELLMFHGTNIACSLGINNSFSLCDLDYCGVCQILRHGFSTNMEFQGAQGRP >RHN62652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44957528:44967181:-1 gene:gene25248 transcript:rna25248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynamin central domain, dynamin, GTPase domain, GTPase effector domain, Dynamin superfamily MAAVSNDGAPLGSTVISLVNKLQDIFSRVGSQSTIDLPQVAVVGCQSSGKSSVLEALVGRDFLPRGNDICTRRPLVLQLVHIPPSKPESAEFLHLPGRTFHDFSQIRAEIQAETDREAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIESRIRTMIMSYIKVPTCLILAVTPANSDLANSDALQMAGNADPDGHRTIGVITKLDIMDRGTDARNLLLGKVIPLRLGYVGVVNRSQEDILTNRSIKDALVAEEKFFRSHPIYSGLADSCGVPQLAKKLNKILAQHIKAVLPGLKARISASLVNLAKEHASYGEITESKAGQGALILNILSKYSDAFTSIVEGKNEAMSTSELSGGARINYIFQSIFVRSLEEVDPCEDLTDDDIRTAIQNATGPRAALFVPDVPFEVLVRRQISRLLDPSLQCARFIYDELMKISHRCMVTELQRFPFLRKRMDEVIGNFLREGLEPSETMITHIIEMEMDYINTSHPNFIGGSKALEAAVQQTKSSTVSKVKDAVESDKGSASERSGKSRSILARHANGGMADHGVRASSDIDKVLHSGTTSGSSWGISSIFGGGDNRVSVKENTNSKHHNDPVQSVLPSSTIHLREPPTVLRPSERSSETLAVEIAVTKLLLRSYYEIVRKNVEDLIPKAIMHFLVNNTKRELHNVFIANLYRDDLFEEMLQEPNEIAVKRKRCRELLRAYQQAFRDLDELPLEAETAEWGHSSPETTGLPKIRGLPTSSMYSTSSSGDNI >RHN62673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45110706:45111495:1 gene:gene25270 transcript:rna25270 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFKSLITTLLLVVTISNMSLEARHLLQTTTQPNLPTIPTLPKPTTLPPLPSIPNMPQGNLPPLPTIPSMPKLTMPPLPSLPTNIPTIPSLNIPPLPAVTSLPNLPSIPTTFPSIPFFSPPPSTSSP >RHN69895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47156377:47156904:-1 gene:gene18426 transcript:rna18426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSPKKKKWSKLEDSTAVDRFSELPDEILCHILSFIPTIFALTTTVLSKRWTPLFYSLAVLRFRFNHETVRNHNSFNHLCRFIDTLMLSPRVSNQTIKTFSLNCCFLLRKFNSPSPSNVSAWVEAAAPKLRHVEKFVLILKYSITLNPIILISRTLVILKLEQLKIQSDNLCVDLP >RHN67232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25059638:25061391:-1 gene:gene15398 transcript:rna15398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MVYSKSYLFLGLNLFVFLSSQALADIHVSPMLTVEPNLPSMADQLNHNQISKIKAYFSLIDKDEDGFIDNENFVAVFHMCDKDQNGFVSTSELHYFMTYIGQKVTYEDVEEFVREADFDGDGQINIEEFVKDLSRSGSNT >RHN69617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44957890:44965519:-1 gene:gene18108 transcript:rna18108 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSKHKSSKHSSRDYSDSERDSGAKDRKSKDDTSSVKVTKDSSGAEKRRLDSKEVHVHGNGGEYSDEWNGGDEGSKKSSKAIGDSRDLKNSKGEEVKRSSGRHRDSSSSGRKENVEKEKEKDKKVKEGKIEESVDADQEHRSSKQGVENNDSKKTDELRSPEQDIQLERRTRKKRDDYGDGDKHQDDVGDGYDRHLSSRDDIAKDGKKKDDRRNDEKYRDKYRDEKDRENKHRHDKQRDERPAKDHTTIRSDDKHAREEKNNLESRQKRTKHPESDRDHYRDRDGERDRDFEYVRERERRHERDHDFDFDRDHDYDRDRDWDFDRERDRDRDRHRDRDGSHGDDRSARAKDSGTKKRTLDDRDDYNDSKARAVKSYYPDTEKRSLTSSRADPDLDKGRSLPRQAHADSSGTSSKHRSSPASNAHVGKDEYRNANAEDTKYRDSTIEQRTKASREGYSGISDRGPKYKLTEKPVKIDEFPAGDLPIERSSSRKASPMGLMERSPSSTSIERRYMNKSVVKRNLEIDESGRRSSIDARDVSSDDRHNREFTVEKPLLDEPSQADPSFYGRTNQNNPPVIPPPPSFRATLDRPYMGSLEDDVRDNSNSRYRRNNEQSGFGRVHGGNSWRANPNWTSHVPNGFVPFPPGPGHGGFQTMIPQFSSQPLFGVRPQLEVNHAGIPYHIVDADRFPGHLRPIGWPGLMDGTGPAHLHGWDNNNGVSRDGPHMYGGPDWDRNRHPTNNHGWESGSESWKEQNYDSKKDLPSPACKDESVPAVVDNGGVPDQTIQVSQDEHKPNESHEKSPETKLSSVMSPRKVPLNSSPSTDFEKVPDNKTPEDYSSIFTRFYLSKLDISSDLVLPELYDQCVCALNVGKKASDDVASTELSLKNGSRSQHKYATTFSGHSPFPVVDNSIFQRAIDIYKKHRVKLPNKGDVDIFAASKSNQVQMDESVPISSLENKHDSVSASDAAKDVPTPALQPKEIETLSPAMEQLDGINQTCSQMEQDGDCTHTLKIDTSSPSSGPENKEAAVAALPMEEDKVAFDQLNSGDAENNHTTASKSEAPLAPTSHEECENMNIEDTVTGFSQYTDEKLGFGDTKGNPLIFEDGSPKACDALMPGSNESESLILSRIHH >RHN46585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32964602:32966213:1 gene:gene41087 transcript:rna41087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MESQQLNVTFLPFPTPGHMISMIDTARLFAKHGVNVTIITTHANASTFQKSVDCDFNSGYSIKTHLIQFPSAQVGLPDGIENIKDGTTREILGKISHGIMMLQDQIEILFQDLQPDCIITDMTYPWTVESAAKLNIPRIYFYSSSYFSNCASYFVRKYRPHDNLVSDTQKFTVPCLPHTIEMTPLQLADWIRVKTSATGAFGAMFESEKRSFGTLYNSFHELESDYEKLGKTTIGIKSWSIGPVSAWINKDDDKGYTEKNIGKDQELVNWLNSKENESVLYVSFGSLTRLSHEQIAEIAHGLENSGHNFIWVVREKDKDDGEEGFLIDFEKRMKESKKGYIIWNWAPQLLILDHPATGGIVTHCGWNSILESLNSGLPMITWPIFAEQFYNEKLLVDVLKIGVAVGSKVNQFWLSIGEEVVVRREEIVKAVEILMGSGQEGKVMRMRAKKLGDAAKKTIEEGGDSYNNLIQLIDELKSLKIARELEKTRLDN >RHN44537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5874050:5874616:1 gene:gene38666 transcript:rna38666 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIHIEFINDGTILENLKVEFMTRSGTPRWNLIRNGYALRGFRGLSIGGIRRWR >RHN45957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27238899:27242220:-1 gene:gene40387 transcript:rna40387 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TPS5 MSTVAYSDHDAKQRNLADYHPSVWGGFFLQYASETMELDQNLASQIDTLKDEVRNMLVSKTEMPLTKVKLIDSICRLGVGYHFEKEIDEVLQHIHKSYVENGEITLEDSLCSLAMLFRVFRQQGLHVSPNVFNKFKDKQGNFNENLSTDVEGMLSLYEASHMMVHEDDILEEALNFTSTHLESIASQSSPSLAAQIEYTLKQALHKNIPRLEARHYISIYEKDPTCDEVLLTFAKLDFNLLQSLHQKEFGNISKWWKELDFSTKLPYARDRIAECSFWVLTAFFEPQYSQARKMMIKVITLLSIIDDTYDAYGTIDELELFTKAVERWDISSLDELPDYMKPIYRSFLTIYEEIEKEMRKEGRIYTLDYYKIEFKKSVQAFMTEARWLNENHIPTTEEYMRISKKSGAYPLLILTSYIGMGDIATKEIFNWVSNEPRIVNAAATLCRLMDEIVSSEFEQKRGHVCSLLDCYMKQFDMSREAAIQECKNRMTIVWKDINEECLRPTEVPMPFMTRVLNLSRFMDVIYKNKDNYTDSDGLMKTCIKEVLVDPVPI >RHN43496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46318644:46320591:1 gene:gene50085 transcript:rna50085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxoacyl-[acyl-carrier-protein] synthase 2 MASPTVTAPKREKDPKKRVVITGMGLVSVFGSDIDTFYTKLLEGESGISLIDKFDASSFPVRFGGQIRDFSSKGYIDGKTDRCLDDCMKYCLVAGKRALEDANLGYETLNNMDKTRIGVLVGSGMGGVSTFNNAVDALTRKGHRKISPFFIPYTIPNSSSALLAIETGLMGPNYSISTACATANYCFCAASHHIRSGEVDIMVVGGTEASLIPSGVGAFIACRALSQRNEEPKKASRPWDKHRDGFVLGEGSGVLIMESLESATKRGARIIAEYLGGAITCDAHHMTDPRSDGLGVSSCINKGLEDAGVSPEEVNYVNAHATSTLAGDLAEVNAIKQVFKDTSELKMNGTKSMIGHCIGAAGALEAITTIKAITTGWLHPTINQDVLS >RHN70416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51293458:51294445:1 gene:gene18995 transcript:rna18995 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVYLCCFRVLELLLFISDGVFCIINVYITKYDGGGQLWPIAHTTSIFSLLVAQVIALGVFGLKRSTVAAGFTIPLLIGTVLFNQYCRQRFLPVFKNNAAQVLIDMDHRDETFRRMEEIYHQLHSAYCQFNNTSPPQSECFSYSGDISERVQSLHTPKDLETGKKIMQPEMSWPIVRR >RHN72275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6374590:6378096:1 gene:gene7969 transcript:rna7969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MANTQFQAISNAFHKLTLALKSCKTITEIHQFHCYMIKTSLTNVPFTLSKLLAASIFDMNYASTIFTCIQNPNLFMYNTMLRGYSVSNSSNKALPIFNKLRNSGNGLDPFSFIAVMKACGRSFEVGFGRGVHGIVVKSGNRFFVDLNNTILQFYCVCGRIDDARKVFDECPERNDLVSWNILMGGCVLVSDYSFVFDLFLKRGCSGIRASVATTLSLLSAAGDIGSFVLGKSLHGYCIKIGFCCNLNVVTALIDMYAKTGCIYLARKVFDGVVEKDVVLWNCLIKNYARSCLVEEAVALLQSMRQEGVKPNSSTLVGLLSVYSASGSMQGVRYVTSLIEEEKLELDVILGTALVDVYAKCGFLDEAMEIFERMENKDVKSWTAVISGHGIHGQAINAISLFNRMENEGFRPNEITFLAILTACSHGGLVTEGVEFFKRMVQEHGFSPWVEHYGCLIDLLGRAGMLHEAFELIKSLPIKGDATSWRTLLSACRVHGDVKLGECVKDVLSNFYTPHPTDSLLISGTYAAAGRISDLTRMQEMKQTNVTLDNYRVPETEGESMVKEAGFSRVEIDN >RHN79854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32860368:32860874:-1 gene:gene3701 transcript:rna3701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MNRAMHKHNQSKNEDKNEDMFSDFPDCVLLHILSFLKAKEAVQTCILSTRWKNLWKRLPTLTLSPSHFTSFNCFTTFVSHILFLRDASTTLHALDIHHEANIERRLLRRFVKYAVSHNVQRLHISLFCDIEHIPSRIFSCQTLTSLHLTVGANIIVQNHSQILSICQH >RHN41418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29829955:29830854:1 gene:gene47736 transcript:rna47736 gene_biotype:protein_coding transcript_biotype:protein_coding MESDIFNSVVYVHNLNWLGFCQSAFPAVKTELTVIDFLGFTWKLFMEFCHNEQLSCDFSGEWQTLCNARKLIEGANIKLGVTGAANNGDIGLSIIVRLQCWC >RHN56826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34040010:34042465:1 gene:gene32282 transcript:rna32282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase SIN MSIFSCFFAKDERETCNRDSEEIVNSVTDVSKNSSVPLIISNPKLLECCNCYQPLKIPVFQCDNGHIVCSTCCPKLRNKCHKCSLSISSKRCEAIENLLRSIEVPCPNAKYGCRVTNRYIRQRDHENECIHKPCYCPFSGCDFVESSEVLSMHFCHKHGDSQIKFSNGQSFVISLKSNDETIVLREENDDKLFILNNSTTLLGNAVNICCFGPDASESEYSYDILATSQICKLKLHSFAKNVQQITLANLSSKFLVIPFSSSEPLKLEICITCATPMMQIFVKGLDGKTKTLKVKSSYRIPKVKEMIFENDGIPVQDQRLIFARMQLDGNRTLADYNVTKESTLHLVLRLLGD >RHN48916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51113149:51115789:-1 gene:gene43684 transcript:rna43684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin protein, neddylation MAYVNNCFMNHTLFHKALKEAFEVFCNKTVAGSSSAELLSSFCDNILKKGGSEKMSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDEHEKCILTKLKQQCGGQFTSKMEGMVVDLTLARDNQLKFQEYLNENSDVHPGIDLTVTVLTTGFWPSYKSFDLNLPSEMVKCVEVFKGFYETKTKHRKLTWIYSLGTCNIIGKFEPKTIELIVSTYQAAALLLFNTADKLSYSEIMTQLNLTNEDLVRLLHSLSCAKYKILAKEPNTRTISPNDSFEFNSKFTDKMRRIKIPLPPVDERKKVIEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVLECVEQLGRMFKPDIKAIKKRIEDLITRDYLERDKENPNTFRYLA >RHN55171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15737583:15741237:-1 gene:gene30308 transcript:rna30308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative structural maintenance of chromosomes protein MPTTIEELEEAIQYTTSQANSMLFVNPHILQQYEDRQRQIEDLAKKLDTDKVEATKCLAELKTIKEKWLPTLRNLVAQINETFNRNFQQMAVAGEVSLDEHDMDFDQFGILVKVKFRENGQLKVLSAHHQSGGERSVSTIVYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASKPSTPQCFLLTPKLLPDLQYSEACSILNVMNGPWIEKPSKAWTTGDRWSIITGHVGEISC >RHN76507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50840253:50843414:-1 gene:gene12846 transcript:rna12846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MFFTIVEEFEHDPLTLSLIMLITSLRSTRTLLKIFAPSSTAIKTLKPSKPALEWVPSKPALEALKSEWDPHKLFHIFKSNATNPIVVENRFAFYDTVSRLAGAKRFDYIEQLLEQQKKLPQSRREGFVVRIITLYGNAGMIQHALNTFYQMGDFRCVRTVKSFNATLNVLAKSRDFDEISRFLNEVPRRFDIRLDVYSVNIAVKAFCEAEKLKEAYLFMLDCVNNKGVKPDVVTYTTLISAFYDHKRWEIGNGLWNQMVLKGVMPNLHTFNVRIQFLVTVRRVWDANKLMALMQRNGVTPDEVTLVLVIKGFFRAGYPEMAMRVYSALHDKGYKISANIYQTMIHNLCKRGDFSQAYTLCKDSMRKNWFPNVDTIFMLLEGLKKSGKINKAKVIVALAEGRKPPFSFSYLASMQSILSGN >RHN58709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4189969:4199264:1 gene:gene20642 transcript:rna20642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MSNNTPPHSKYDVFVSFSGKDIRSSFLSHLVKAFLQKQINAFVDDKLHRGDDISDSLVEAIKGSSILLIIFSEKYACSHWCLEELVKIVECKEKYAQIVIPVFYRVDPTDVRHQKRSYENAFAEHEKKYSSYEVHMWKHALKTSANLSGITSSSFQNEAQLLDEIITRVLEKLSKHQLCVVKSKRLVGIDKPIADLNSLLKKESEQVRVIGIWGMGGIGKTTIAEEIFSQNRSDYDGCCFLEKVSERLKAHGGIGSLKESLLSELLKESVKELSSGIERRIGRMKVLIVLDDVNETDLLEMLFGSLDWFRLDSRIIVTSRNKQVLVTNEVDNDDLCEVRVLDSSEALELFNLNAFKQSHLEMEYYELSERVIEYAKGVPLVLKVLAHMLRGKCKEVWESKLDKLKRLPIPKVHDVMRLSYDDLDRLEQKYFLDIACFFNGLDLELDYMKHLLKDCDSDNYVAGGLETLKDKALITISEDNVISMHDILQEMGWEVVRQESSDLGKCSRLWDVDDIFDVLKNDKGSDAIRSIRVDFLENRKLKLSPHVFDKMTNLQFLNFWVDFDDYLDLFPQGLESFPTGLRYLHWVCYPLKSFPEKFSAENLVILDLYLSRMEKLWCGVQNLVNLKEVTISLASLKELPDFSKATNLKVLTVTVCPNLESVHPSIFTLEKLVRLDLGGCRSLTTFTSNSNLSSLHYLSLSGCEKLSEFSVTLENIVELDLSWCPINALPSSFGCQSNLETLVLKATQIESIPSSIKDLTRLRKLNICGCKKLLALPELPLSVEILDLRSCNIEIIPSSIKNLTRLRKLDIRFSNKLLALPELSSSVEILLVHCDSLKSVLFPSTVAEQFKENKKEVKFWNCLNLDERSLINIGLNLQINLMKFAYQDLSTVEHDDYVETYVDYKDNFDSYQALYVYSGSSVPDWFEYKTTNETTNDDMIVDLSPLHLSPLLGFVFCFILPENEEYDKKVEFNITTIDVEGDGEKDGFNIYTDLEHVFNTQSDHVCMIYDQPCSQYLTRIAKNQTSFKIKVTARSSVLKLKGFGMSPINQSTHRNLIQQMEIKEAEKKEEATKQSVTKVGR >RHN39048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3712007:3713973:-1 gene:gene45040 transcript:rna45040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative defensin, plant MTSSASKFYTIFIFVCLAFLFISTSEVEAKLCQKRSTTWSGPCLNTGNCKRQCINVEHATFGACHRQGFGFACFCYKKCAPKKVEPKLCERRSKTWSGPCLISGNCKRQCINVEHATSGACHRQGIGFACFCKKKC >RHN77939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10660132:10666170:-1 gene:gene1449 transcript:rna1449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione-specific gamma-glutamylcyclotransferase, gamma-glutamyl cyclotransferase MAIWVFGYGSLISKAGFNYDERLVGFIKDYRRVFYQGSTDHRGTPEFPGRTVTLEPAQGEICWGAAYKITKKEDQEIALTYLGVREKQYDRKEYVDVFTELTATTPAISGALVYIASPDKKVNVNYLGPASVEEIARQIVQAEGPTGPNREYLFLLEKALLQIGCQDKHVIDLANEVRRILSEEQ >RHN54997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14292546:14292740:-1 gene:gene30113 transcript:rna30113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MLYFCHYIPMVRVYNVEILTLQKIKINQAVGVCHIDTSSWSRSHPAFLELGSAPGEIEVCHWIF >RHN65176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64711915:64713624:-1 gene:gene28084 transcript:rna28084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine alpha-hairpin motif superfamily, mature-T-Cell Proliferation I type MGQESKEPCKKEACNIQACLSKNNFLPQKCLKVIELLDSCCSRCDYNSTHCASLSGLLKQNSKLRDSAK >RHN53746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3782302:3793506:-1 gene:gene28689 transcript:rna28689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dnaJ-like protein C11 MMEQNSEQDNRELYAILNLSPESSDEEIRKAYRQWAQAYHPDKYQNPLMKDAATENFQRVCEAYEILSDPNKRQVYDIYGMEGLKSGLELGSRLDRAEEIKAELERLKRRREHEKMVANFQSSGTILANLSMPQYLDGDGLFRGMAMTSEVQSQLSKRNVVGIGGNLAVEGHQGGGAANVVLSHQLSEASSIEFIASAGLRSLIGVQTSRVISSHSSATAGLSMSLRDGSLNLSNAWTRQLSETTNGHIQLMLGSQPSVAVGWKKEDERRTATAEVKLGTGPFETSARYTHRFSSKSHGCIGGRFGSSSIEMEVGGGRKLSKFSTVRWLYVVGLQGISWRFEFCRGGQKLIIPVLLTNHLNPMFATGALVIPASFYFVLKKFVIKPYYRKRNKQKALEKEGKTSTQVQEARAAAEKAQKLQQNVANRKRNKQLETSGLVIMKALYGSDTILNNLYSSNGTSFESTSGVIDVTTPLNFLVNDSGQLKLHEGVKKSGIMGFCDPCPGETKLLYVEYAHAGNQHKVLVGDYEELVIPQGIHRI >RHN54694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11340634:11344189:-1 gene:gene29768 transcript:rna29768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoflavone reductase MGKSKVLVVGGTGYIGRRIVKASLEQGHETYVLQRPDIGLETEKVQMLLSFKKLGAHLVEGSFSNHQSLVDAVKLVDVVICTMSGVHFRSHNLMLQLKLIEAIKDAGNVKRFLPSEFGMDPALMGHALEPGRVTFDEKMTIRKTIEDANIPFTYISANCFAAYFAGNLSQMGTLFPPRDKVVLYGDGNVKVVYMDEDDVATYTIKTIDDPRTLNKTIYIRPPENILTQRELIEKWEKIIGKQLEKSTISEQDFLSSMKGLDLASQVGVGHFYHIFYEGCLANFEIGDGEEASKLYPEVQYTRMDEFLKLYA >RHN61476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35731262:35731828:-1 gene:gene23947 transcript:rna23947 gene_biotype:protein_coding transcript_biotype:protein_coding MLADGFHLGGNTLSEIPKNSSSVISNITRKRCFDELSYLRQPRQNPRALIDAYGSDKSQETSGSKPLLVERLDKNGKDKVMTTSWQNTEEEEFDWEDMSPTLEDRSRSNGFLQPTIGFSSEKPDIVAASATSSVSREFPGLNSNIEYGPPVLPATFEMRHSINVHAPHPPSITPIFPSKILLGIHSNR >RHN42933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42032550:42033917:1 gene:gene49441 transcript:rna49441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat 3 MDILKERCLISILEGRIVMHDLIQEMGHEIVHQECVNDPGKRSRLWKPDDIYEVLRKNKGTDAIQCIFLDTCKIKKIELHVETFKKMHNLRIIQFYNPSSPSRINSNVILPTFLKILPDDLKFLRWDSFPQRSLPLEFCPENLVKLDMPHSRLEQLWEGDQLFAF >RHN49337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54090719:54094084:-1 gene:gene44155 transcript:rna44155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, protein BONZAI MCMTLTPYYGVSTKIVTKPSRSLTLKLQSYKEDHVRRNLGIITILAEETVASRSAVEIIFRCSRLDNKDIFSKSDPFLRISRMVESGGSVPICKTEVIDNKFKSKMETSLS >RHN46143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29161269:29167197:-1 gene:gene40586 transcript:rna40586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MQRGEMKLDEDLDHKLDREDDFQTDDEENQADRDFKNQMDDDDTESSDNKNSPPSRYSSNEVDFNTTWPQTYRTSMDMLTSVSVTPPGISFLRKIGSAGKGSYLFKENQDDYNSLTIPLVTEEDSIKKEDPTSTTSHHQSPVSCSKISTSEFPTPQRQCSLAQSVINGTNLLCGIGLMTMPYAVKEGGLLSLTLLLLFAVICCYTGILLMRCLQSYPGLHSYPDIGQAAFGTAGRFGIAIVLYMELFASCVEFLTLISDNLSSLFPNTSMFIAGTELSTHQVFGITAALLVLPTVWLRNLSLLSYISVGGIFATIVVALCLFWVGAIDQVGIINPGNKLIDIEKISVSIGLFGFGFAGHAVFPNVYSSMKDQSKFPLVLYISFAFCLVMYISVGAVGYLLFGDKTESQFTLNMPKELYASKIATWTTVVTPLAKYALTLLPIASSIEELVPSPRLRCYPMSILIRTALVVSSLVVALSFPYFGSVMALIGSLMSMIVALIYPCACYLKLQSGKLSNMQITSCILIIIVGVISGILGTYSATTRIFGDGD >RHN41975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34436588:34437376:1 gene:gene48356 transcript:rna48356 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFFWSVQTGSDAEPVRPGDIKRGRAGFHSFFFFLLSLLLFLDPAREVAGGGSGAVTGKLSRRRHRAGVKNVVVESLVVFVRVLWLEDYYVMLCRLWL >RHN68065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32478981:32485552:1 gene:gene16355 transcript:rna16355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MDPKLFFSNNFLDGTITSLIDSQQRPAPSVIVVGAGISGIAAARILHDASFKVTLLESRDRLGGRIHTDYSFGCPVDMGASWLHGVCNENPLAPLIRCLGLTLYRTSGDDSVLYDHDLESCMLFDIDGHQVPQQTVIEVGETFKRILEETGKVRDEHPEDISVSEAISIVLDRHPQLRQQGLSHEVLQWYICRMEAWFAADADMISLKTWDQEHVLSGGHGLMVQGYKPVINALAKDIDIRLNHRVTKISSGYNKVMVTLEDGRNFVADAAIITVPIGILKANLIEFEPRLPDWKVSAISDLGVGNENKIALKFDKVFWPDVELMGVVAPTSYACGYFLNLHKATGNPVLVYMAAGRFAYDLEKLSDESAANFVMLQLKKMFPDACEPVQYLVSHWGTDPNSLGCYSYDLVGKSMDVYDKLRAPLGNIFFGGEAMSLDNQGSVHGAYSAGVMAAENCQRYLWEKQGNLESLSQVSARHETLGTNFPLQISRI >RHN78473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15425679:15429021:1 gene:gene2042 transcript:rna2042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MLQRMKFLPMSCLLLFFYVYVIATSPHAATKIKGSEADALLKWKASLDNHNRALLSSWIGNNPCSSWEGITCDDDSKSINKVNLTNIGLKGTLQTLNFSSLPKLKSLVLSSNSFYGVVPHHIGVMSNLKTLDLSLNELSGTIPNTIGNLYKLSYLDLSFNYLTGSISISIGKLAKIKNLMLHSNQLFGQIPREIGNLVNLQRLYLGNNSLFGFIPREIGYLKQLGELDLSANHLSGPIPSTIGNLSNLYYLYLYHNHLIGSIPNELGKLYSLSTIQLLKNNLSGSIPPSMGNLVNLESILLHENKLSGPIPSTIGNLTKVSELLIYSNALTGKIPPSIGNLINLDSIHLSLNNLSGPIPSTIENLTKLSALTLLSNSLTENIPAEMNRLTDLEVLELYDNKFIGHLPHNICVGGKLKTFTAALNQFRGLVPESLKNCSSLERLRLNQNQLTGNITESFGVYPNLDYMDLSDNNFYGHLSPNWGKCKNLTSLKISGNNLTGRIPPELGRATNLQELNLSSNDLMGKIPKELKYLSLLFKLSLSNNHLSGEVPVQIASLHQLTALELATNNLSGFILEKLGMLSRLLQLNLSHNKLEGNIPVEFGQLNVIENLDLSGNSMNGTIPAMLGQLNHLETLNLSHNNLSGTIPLSFVDMLSLTTVDISYNPLEGPIPNVTAFKRAPIEALTNNKGLCGNVSGLEPCSTSGGKFHNHKTNKILVLVLSLTLGPLLLALIFYGISYLLCRTSSTKEHKPAQEFQIENLFEIWSFDGKMVYENIIEATEDFDNKHLIGVGGHGNVYKAELPTGQVVAVKKLHSLQNEEMSNLKSFTNEIHALTEIRHRNIVKLYGFCSHRLHSFLVYEFLAKGSMDNILKDNEQAGEFDWNKRVNIIKDIANALCYLHHDCSPPIVHRDISSKNVILDMEYVAHVSDFGTSKFLNPNSSNMSSFAGTFGYAAPELAYTMEVNEKCDVYGFGILTLEILFGKHPGDIVTYLWQQPSQSVVDLRLDTMPLIDKLDQRLPHPTNTIVQEVASMIRIAVACLTESPISRPTMEQVCRQFVMS >RHN61529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36177040:36181616:1 gene:gene24002 transcript:rna24002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MQVLVYLRNAIASLSKNHQNLKQWNQIQSTIITSGLYTLQDAIFVAKLIQCAPLSQPQTSSLRLLLNTIHTPNTRLFNKLITSFPKTTLLSYAKMHEMGVQPDKHTFPLLLKIFSKNGVPNDHLPFMVYAQVFKLGFDHDCFVCNGFISAFGCSGFMKNACKVFDESPERDIVAWTALINGFVKNGVPGEALRCFVEMRLKGVVIDGFTVASVLRAAALVGDYCFGKRVHGFYVETGRVVLDGSVYCALVDMYFKCGYCEDACKVFDEMPYRDVVAWTVVVAGFVQCKKYQDALSFFRRMLLDNVVPNEFTLTSVLSACAHVGALDQGRLVHRYMEHNDCNLNAVLGTSLVDMYAKCGCVDKALMVFENLQVKNVHTWTAMINGLAVHGDALGALNIFSRMLESGLRPNDVTFLGVLGACSHGGFVDEGKKLFEMMRHTYHLKPNMEHYGCMVDLLGRAGCLEDAKQIIDNMPMKPSPGVLGALLGACVSHKDFVMGEHIGNILVNLQQNHNTGYALLANLYSTCQNWEAVARVRKLMKGTQVEKTPGYSWIEVAGSMHEFKAFDHSHSEFSCVYLMLENLILQMKMVDQAQWNEGFDLVSSTDVG >RHN55711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21480827:21482654:1 gene:gene30937 transcript:rna30937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like, peroxiredoxin-like FAM213/AAED1 MQYADKLENSEKNLVELLKLSTNELVSQFEMKRGHIARFVNKTRHDDSFKQRGLTLRRRSSIIIHGEDSVPKSNGSNYASSNSSTRSYLKSNAASDEQSMIDFKIKDGYVFKGIVASEPAEPRVCGCVKPPQVIDQVSAYSAVENISVQKLTPEYKFGMEPLVKMKTPPLKASELWRDKPAVFLCLRRPGCIMCRAEAHQLYSRKPIFDSLGFQLFAVLHEEIESEVKDFWPRYWGGVVLLDRSRDFYKALGGGKLPKENFISGFLLNPRALSNYKRSKTMNIEYNFKGEGEIKGGLFIIGNGKSGIAYQFIERNFGDWAPVAEVIEICTQMQKVTIIVCSIFHVTRVYAATTHKHGN >RHN71921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3616722:3622675:1 gene:gene7574 transcript:rna7574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ubiquitin-associated domain-containing protein MEVAGQNMVAYDEDQALKCLYDVFGGSCSLDDIAHAYCKANRNVNLAGEILYDMKGSSSTSGNHSSNSDAFEKSSESSDGQSFESSFHGSKNSRPKVRPVSAGTVSSVIGKSYVRSTPSTSGPKVMTKPPKLDAKDLPMTGIYRETSVSKPNSSKRDQLQEDMEEFLFKMLGVGFKLDRKMIREVLDMCGYDLQKSLDTLLDQSVMDSDKRPAAVCDSSVKFADVKTKSEAPVSEKKSQDLNCIRGDGNIVSVKETRDIQKEVLSNLFSYREYVEEPRKRIVRDVNKKSPYGVGHVVFEPPKDTMEEHKIDMDFRRRENEDDAEDEADYQSARKAVKEYRVTMKEYYKAAVDAFANGDQAKAEKLLDQGQFYLNKAREADDECSKMILETKAEETQEMVLDLRDHEPKVAIRLLKTHLSSLSGISSFEYLKVIFDANDQANKKRSTRVMVLKLLEQESIKWVEGETAGTILIRLDNIDRNRLSFYKP >RHN59540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11806702:11807106:-1 gene:gene21582 transcript:rna21582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MLSLKPYSSRLQLPIHQLLVATKKNKNSTVAAEKKIFMFNEYIYKVLEQEHPDIAIFVGAMDMMNIMISNVMMKLIHESSNVTSSKKLTMMTAKEMNAAVKGVFPEEMAKLSPLQPVTSFGIDQLCNTIDKTVI >RHN70520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51981330:51983385:-1 gene:gene19105 transcript:rna19105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanine nucleotide binding protein (G-protein), alpha subunit MQIKLLFQTNICEKLSEIGGRLHYPHVTKDLAKEIETLWEDAETYARGNELQVPDCTKYFMENLQRLSDVNYVPTKVLKIYHSIAVCKNRNSNPKFIPVGENKRSGEVYRLFDVGGKRNERRKWIHLFEGVTPVIYCAAISEYDQTLFEDDSKNRMMKPRNFLNGYLSSHVLRFHKTSFMLFLNKFDIFEKKILKARVFNTYVYTSSLILIRTGFLHKKHSTQDRFSKKIKILFSIFDFQLGKSQIML >RHN49008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51722080:51729694:-1 gene:gene43787 transcript:rna43787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylan 1,4-beta-xylosidase MSSTFSLSPLITLFILLLQSSCDARDSFACDAKDAATKNLPFCNVKLAIPERVKDLIGRLTMQEKVNLLVNNAPAVPRVGMKSYEWWSEALHGVSNVGPGTRFGGVFPAATSFPQVITTAASFNASLWEAIGRVVSDEARAMYNGGAAGLTYWSPNVNIFRDPRWGRGQETPGEDPVLAGRYAASYVKGLQGTDGNKLKVAACCKHFTAYDVDNWNGVDRFHFNALVSKQDIEDTFDVPFRMCVKEGKVASVMCSYNQVNGVPTCADPNLLKKTVRGVWGLDGYIVSDCDSVGVLYNSQHYTSTPEEAAADAIKAGLDLDCGPFLGVHTQDAVKKGLLTEADVNNALVNTLKVQMRLGMFDGEPSAQAYGRLGPKDVCKPAHQELALEAARQGIVLLKNTGPTLPLSPQRHRTVAVIGPNSDVTVTMIGNYAGIACGYTSPLQGIGRYAKTIHQQGCSNVACRDDKQFGPALDAARHADATILVIGLDQSIEAETVDRTSLLLPGHQQDLVSKVAAASKGPTILVLMSGGPVDITFAKNDPKVAGILWAGYPGQAGGAAIADILFGTASPGGKLPVTWYPQEYLKNLAMTNMAMRPSKIGYPGRTYRFYKGPVVYPFGHGLTYTHFVHELSSAPTVVSVPVHGHRHGNNTNISNKAIRVTHARCGKLSIALHVDVKNVGSRDGTHTLLVFSAPPNGGNHWVPQKSLVAFEKVHVPAKTKQRVRVNIHVCKLLSVVDKSGIRRIPMGEHSLHIGDVKHSVSLQAEALGIIKS >RHN52904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40217749:40222516:1 gene:gene37639 transcript:rna37639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-pyruvate monooxygenase MFMDYLKEQECKMAHDHQKKDSMGKSRLVHGPIIVGAGPSGLAAAACLKQKGIPSQILERANCLASMWQLKTYDRLRLHLPKQFCQLPLMPFPKGLPSYPTKQQFLSYLKAYADHFDINPIFGKQVVNAEFDVVCGFWKIKTQEIMKNGDEIIIEYLCKWLIVASGENAEEVVPQIEGMEQFQGPILHTSLYKSGSMFCGKNVLVVGCGNSGMEVCLDLCNHNAHPSLVVRDTVHILPQQIFGKSTFGLSMWLLKWFSVQFVDKFLLLMSDLILGDTSQFGIERPKIGPLELKNLYGKTPVLDVGTVAQIKTGKIKVCKGIKRLAHNAVEFVDGKVENFDAIILATGYRSNVPSWLKGSDMFSEKDGFPRKPFPNGWKGEKGLYAVGFTKRGLLGSSIDAKRIAEDIETSWKSIKAKPLA >RHN80065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34745726:34752455:-1 gene:gene3941 transcript:rna3941 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRSRNASAFGIFKWKRQGESSVTESLIQDVPPEIELSDYRRIPSPGSESPSGLLNGDSPNIEPIGDLDLFFERLYSYYCEKGLWCIIIKWIVELLSLGFTICFSAFFLLYVDWNGLRNAKCGMDAVESGMKPCDLAKEALHQHPLTPLTLSKAIIVGYLGIFSIYWIFCFLRFFAQLKDTMEIREFFYHSLHVTDSEIQTMPWATVLEKVVLVQSSRQLCVIKDLNAHDIVMRLMRKENYLIGMLNKGVLAFPISQWVPGAGPTVKSSTNGTQYRLVLTKTLEWTLNWCILQSMFDRNFCVRRDFVSNPRTLKKRLMVVGLAMLLLSPFLIIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSRWVFRGFNEVDHLFRHRINSSVLHASDYLKQFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLLWYAAVFGTITAISRAAIVNELLVIDPEGAMSMVVQHTHYMPKRWRGKESTEMVRIEFETLFQYTGMMLLEEMASIFLTPYLLLLVVPKHVDDILQFIEDFTVDVEGVGDVCSFSVFDFQKHGNSRYGSPYDSPCSKRSSQGKLEKSFLSFQSSYPSWEPNAAGKQFLLNLRTFREQKLSGHVNRQGFPPLRTWRGSPNTRSNLDNRNRFLSRDMSNSTFATGNHLGSLWFIEANNQNNHPYLLDWYYTSGPRDATSRDVPTDPFEETRHLSRNWMPSNLTRNEPEYEEYVNTYHDDRTASPLGASTSAPIFKDSIIQDQDSNDLHHPTRSHWWARSHHQEGGHGQTSFFEPPNFNHERAYNIYNDKLSDIGSENEDQEQEMYWSYHKSSHKEHIDDLESGEYNLHFDDIYSRPPVNSTVNPNTTIF >RHN58421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1599364:1603812:1 gene:gene20331 transcript:rna20331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MSNNNKRRYHVPPTQPDTVFRILCPAAKSDSLLSLSGDGVKILIDDFAGDVTSSDERIVVIISAAAEESAAQLALIRVFERIVEKDNNEENNKKSNSSVGCRLLAPSYQVGCVLGRGGKIVEKIRQESGAQVRILPKDHSPIPPPGDEFIQITGNFNAVKKALLAVSSCLQDNVGNSGGFKSSGGAQVEPYPQRGPHPPDHHSRGYSSFPGSENARPAHRMFVEEEVVYKMLCQQDKVGSLIGKGGSVVRALQNETGASIQIVDAGPDSDERVVVISALENSDQKHSPAQDAVIRVHGRLTEIGFEHSNAVVARLLVRSPQVGCLLGKGGHVISEMRRVTGANIRIFSKEQIKYISHNEEVVQVIGTLQSVQDALFHITSRIRETIIPMKNTPPNFGAPPPVPPFPDTPPPPLYRPRNHMLPSGHPPPHGIDHPAGPPMPPPPPHGIDHLTGPPMPVDHQHAFSHGMGRGPPNMDRVPYPRGYEGPNSPRSRNPQAVNRGNPGATADTFNLTSRNGNPGMTGNSLQNPNNSPVEITIPHMYLIHVYGENNSTLNQIRQISGANVVIHDPIPGATEGLVIVSGTQDQIHSAQCLIQGFIFCGLTAP >RHN49643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19443:21038:-1 gene:gene33845 transcript:rna33845 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVLKLEKFGRQRQAGKWCFLVSGIMTFTLTRRRTSKKIWAVGTVNLKPGLLRFSQWTKDFKFQTQKQTHVSLWIRLVELPQEYWRET >RHN42369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37804699:37809182:-1 gene:gene48803 transcript:rna48803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zein-binding domain-containing protein MAANKFATMLHRNTNKITLVLVYAILEWILIILLLLNSLFSYLIIKFADYFGLKRPCMWCARIDHIIESEKNMNPCRDLVCEAHAVEISKLVFCSNHHKLAESDDMCEDCSSSFSFKPNYVKVSQSLDVFPWMKEIDMINGADGKGIEKVEEGLGCSCCGVNFDNRFYPPCIVIDHSMNILDYEQNSQKQNMIKEGDHQSDQSRSYFTLDHHENQQNIEENSGIHMLFEVEHDPGTKKEEVEENCACSVCDGVKETMVDDLYKLEFGVIKGNETLEEETLRLNVPKSQDDDQACDKTTVEVEKTEEIPPKHLEFFIHGDDCSLIPVELVESTATENGNQSRCDKVVDEGFKESEDFILDFGMSTHAEAEPLIENWHISGDIVAEFSCQENKNVSKVNEVESDQLNYQDVRFSKIDEEFNKDDNVEVNMERMMNGYFDGELGSYVSLASDDASQTQGEEEYEAEVSIGTEIPDQEQMDEYQGEDILSDANQRMQEDSSTNSVRFNAQHDSGHDRDEEEFVEFTTMSLELRMPTASGHMPSSLELNENEEEKIPDTPTSPESLHQLHKKLLFLERKGSGTEDSLDGSVIGDIECGDVTFEELKSELKSERKALKTLYAELEEERNASAVAANQTMAMINRLQEEKAAMQMEALQYQRMMDEQSEYDQEAMQILNDLILKREKEKHELEKELEVYRKKVHEYEVREKILSRRDSSIRSRTSSPSCSNAEDSDGLSIDFNQESKEENGFHSNQNTPVDAVLYLEESLSNFEEERLSILEQLKVLEEKLIILNYEDEEHCFDDTASIEHFREENGNGYHNHDHDDHDDFQGHVNGFANGNGKHHQGKKVLATKAKRLLPLFDAISTEEDVELSGDEDELDFSQLQNVSAEKTNLDKKKVGLEEEVDHVYERLQVLEADREFLKHCISSLRKGDKGLDLLQEILQHLRDLRNVELRVRNIGDLAV >RHN76267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49012162:49015186:1 gene:gene12562 transcript:rna12562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSNLTSASGEASANSSGNRTHEVDAKFSQQYFASSQTQTHDETPAKKRRNLPGNPDPQAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHKRGHNLPWKLKQRTSNEIRKKVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESSRTVIPQPTQPNSHHNMNNLQTQDIQGFTLKKEHQSFNMLRPEQEVQIPSWLCQSSIDLSSNYSSLDQDLHLYENPNPRNGPTSTLPSYQPSSAASPHMSATALLQKAAQMGATSSCSSQSMMSGTHQQGHVSIVDSATNNMINSNGNFSLNLSSCEDQMINNSFSSSGFHGTSFEDTFAGNILHSNQDHNINHDGDNDIPKTTTNDDDVAAGGNNAFTRDFLGLKPLSDSDILTIAGMGSCMNPSNSNHQENHSQKPWEGN >RHN57024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35833418:35833600:-1 gene:gene32507 transcript:rna32507 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAGNQINHVNLNLNFFTLISPFKYYCLISWILNYLKWEIARNFLTLYQPKSNFQHFTT >RHN75319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41216055:41216597:-1 gene:gene11501 transcript:rna11501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MKNLLCLLYVFLVLALICCSSWTVWSNSSCKLINIKEVRPHSVSITEFGAVGDWITLNTKAFQNAIFYLKLYADKGGAKLFVPAGRWFTGSFDLISHLTLLLDKDAVILGSTNPGDWPVVDPPPSYGRGRELPGGWHRSLIYGCNLTDVIITGNNGTIDGQRSIWWSKFRNKTLDHTGPI >RHN69368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42948690:42949085:-1 gene:gene17824 transcript:rna17824 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLEALAMAGTSSVEYGIDIEEWERNDLEQYPPPHLLAQEEDDKISINGAKGYTEGNKVYGLPSPTTSTHFLPNNNTKEHDVTFTNHGEKGNGTLETRRLKKCASSIKLMARALEMLISLSCVISTRDY >RHN63094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48356795:48358561:-1 gene:gene25752 transcript:rna25752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MTNFSFLSASLILGLLANNKHKHMSSMEESWTNWLCDMEPNDYSFINQSSINAEIVGSLESNLERPSKLLKTTTTCSSSYMKTEHLSQKTASYVFSFENENPPPRKVEPALKPKTKVVNSKNGPRRVKNNESNKKNGSFSKSTTTHHTPDHIIAERIRREKISQLFIALSALIPNLKKMDKASVLGDAIKYVKELKEQVKMLEEQSKSVEPVVVVKKLSELSSDEDVSDTSSNSCNGNSDETSKTNLSLPEVEASLSGKNVLIRILCEKDKAVMVNVYREIEKLHLLVINASSFSFGSSALAITIIAQMENELNMSIQQLAKKLRVGLVQLN >RHN40697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19636177:19637127:-1 gene:gene46891 transcript:rna46891 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGLLLRQKLAPILHQNMQKLLTLCLKTQILSNLTKSTSTSTSITNMSGNPNRQRLSKETMTRSARAERQVAHPFIRKGRGGECRIGEGSSSQTRPS >RHN67200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24849909:24853451:-1 gene:gene15358 transcript:rna15358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MLSRSGSEFLHKHLNLGCCLHQGQECLLETEFWVKTASRGSCSLRWDGYNPRMGMDALQVFPVSQAAANQRAGRAGRTGPGACYRLYTESAYLNEMLASPVPEIQRTNLGNVVLLLKSLKVENLLDFDFIDPPPQDNILNSMYQLWMLGALNNAGRLTELGWKMVEFPLDPPLAKMLLMGEHFGCLEEVLTIVSMLSVPSVFFRPKDRAEESDAARERFFVPESDHLTLYNVYQQWKQHYYRGDWCNDHFLQVKGLRKAREDYDILAENVGNRLFFAGEATSRQYPATMHGAFMSGLREASRIYQLTPVQQPCPKKSLSKNIAHNNGILVNLFKRPYLEIGNFAFICDPLHDDTQSKAIMKFTFGGIDESYKEIYTILSLEQMDQMQEISGGDENRLLYLTKNLGLKLMGLSALLIAGNDVIASVAASRKGRSRNRKIPHNPKKK >RHN45749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25231910:25233458:-1 gene:gene40139 transcript:rna40139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MSTKHNFISNVSPRKQSWTLVVRVVRAWFGQDYKNKKLPFFMELVLMNRKGDRIGASIRRTLIYKFKEQLQEGMVFTISSFNVASNSGSYRPSCNEYKLDFTINTKVKLSKTVLVPTNVYSFTPAPHVFNESYDNNYLVDVIGVMIGVGVEREYERDGVKTKMNVIELDSNGFLSANTNSPASGLIQLPNSSRIPVNEEFLLLTPRTTIEELKNVNKVFYFILLQVYFGKVCTPFGIMLFCMVMRHLFYCLGNFNFQLNKSFLLHVLTIQ >RHN53016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41253577:41258558:-1 gene:gene37760 transcript:rna37760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminocyclopropanecarboxylate oxidase MGKQSKETESPVSDPTKFSILNLIDLSNSNINQSVNLLKQACLDSGFFYVVNHGISEEFMDEVFEQSKRFFTLPLKEKMKILRNEKHRGYTPVLDELLDPENQLHGDYKEGYYIGVEVDEDDPESNKPFYGPNKWPAPDILPGWRETMEKFHREALEVGKAVGKIIALALDLDADFFDKPEMLGESIATLRLLHYGGQVSDPLKGLFGAGAHTDYGLITLLATDHVSGLQICKDRDAKPQKWEDVAPLKGAFVVNLGDMLERWSNGVFKSTLHRVLGNGQERYSIAYFLEPSHDCLVECLPTCKSDTNPPKYPPILCRDYLSQRYNDTHADLKIYKKQQS >RHN80689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40117441:40121337:1 gene:gene4646 transcript:rna4646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanine deaminase MELAAAAAANEDRDQKFITKAVEEAYKGVECGDGRPFGAVIVRNDEVVVSCHNMVLRNKDPTAHAEVTAIREACQKLDQIYLTDCEIYASCEPCPMCFGAINLSKIKRLVYGAKAEAAVAIGFDSFIADAQKGSDSRQKAQLEIKKIDGNAAAISEQVFEKTKGKYILY >RHN49522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55363281:55366469:-1 gene:gene44363 transcript:rna44363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine N(alpha)-acetyltransferase NatB transcription regulator GNAT family MSILTISLKLYFNMSFYMTYLARWPDYFHLAQGPGNHIMGYIMGKVEGQGESWHGHVTAVTVAPDYRRQQLAKKLMNLLEDISDNIDKAYFVDLFVRASNAPAIKMYEKLGYVIYRRVLRYYSGEEDGLDMRKALSRDVDKKSVIPLKRPVTPDELEYD >RHN80271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36711229:36715592:1 gene:gene4174 transcript:rna4174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVKAIVSVLLIASILLCVSATTDNEFPRCNCDDETSFWTIESILECQRVGDFLIAVAYFSIPLELLYFVSCSNVPFKWVLVQFIAFIVLCGLTHFLNGWTYGPHTFQLMVALTIFKILTALVSCATAITLVTLIPLLLKVKVREFMLKKKTWDLGREVGLIMKQKEAAMHVRMLTQEIRKSLDRHTILYTTLVELSKTLGLQNCAVWMPNEEKTVMNLTHELNARNLDISIPITDPDVVKIKGSNGVNILSSESALAVSSVGVSGDAGPVAAIRMPMLRVCNFKGGTPELTQACYAILVLILPAEEPRSWSNQELEIIKVVADQVAVALSHAAILEESQLMREKLEERNRALQLARRNAMMASQARNSFQKVMSDGMRRPMHSILGLLSMIQDDNLKNEQKLIVDAMLKTSNVLSNLINDAMDSSAKDDGRFPLEIRSFGLHSMIKEAACLAKCMCVYKGLGFMVEVDKSLPNHVMGDERRVFQVILHMVGNLLDCNHGEGGILVFRVSADAGSQGRNEKGWATWRPSSSSGDVNIRFEIGINGSDSEVGSSVSSGPGGRTYTSDRYEGRLSFSICKRIVQLMQGNLWLVPYTHGIPQSMTLLLRFQLRPSIAIAISEPGESSERNYSNSLLRGLQVLLVDNDDVNRAVTQKLLQKLGCSVTSASTGFECLTVIGPVGSSIQVILLDLQMPDIDGFEVAARIRKFKSGNRPIIVALTASAEEDLWEKCMQIGVNGVIRKPVLMQGIASELRRILMQGNL >RHN70564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52310372:52313695:1 gene:gene19157 transcript:rna19157 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLPTSLFLGFFLGLKLVILCNVTVECSQHYHCHHKERTDFLRLQTDTVTGF >RHN60433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26693084:26693732:-1 gene:gene22745 transcript:rna22745 gene_biotype:protein_coding transcript_biotype:protein_coding MERLHQWKLALTEAANLSGYHFSPGYEYKFIGEIVKYVSNKISRQPLHVANYPVGLQSRVQQVKSLLDERSDDGVRMVGLYGIGGMGK >RHN56918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34754771:34761839:1 gene:gene32386 transcript:rna32386 gene_biotype:protein_coding transcript_biotype:protein_coding MALTANKVSSGPILTNRATLCRSHGSSSPRINRIQFSKGRLENGHLNNDSVLNERSTLSNDWFRFVNGRNPVSLISKTSSVSCKSTGANNTEEKECVTTYDDVSDLTRRHAEDEKNDRARSVRGLSEAYRFACNDAKFLSRGIMRMDERARQDVAFLGTEFLKLDARARKDTEKIDRGVKEKAKRLNRIATILKDIAQTRLKSAADEHWSDGALEADLRLADFRAKQRAMEDALMSLELIKNIHDMMVSKTYNFPIFRDKGSLSENNVRGRIMLEKNGRTTNSFPGDVTAERITALQEAYWSMASALSEADGIDYTDPEELELLITTLIDLDAMDGKQSVSLLAECSSSPDVSTRRALAKALAAAPSMWTLGNAGMGALQRLAEDSNPAIAAAASKAIYELKKQWEIEEGDSWRFMMGESTKEENET >RHN45943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27057508:27058730:-1 gene:gene40373 transcript:rna40373 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQKNKKDYCCNERTENPDPNIPSISICVETVTGHDVFALREIGVKNVFEISRKSVNPQVKSGSDDPIPFGDGELFSMKACSRDQ >RHN61606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36767704:36768192:-1 gene:gene24088 transcript:rna24088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S19/S15 MADVEPEVAAHGVAKKRTFKKFSFRGVDLDALLDMPTDELVKLFSARARRRFRRGLTRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATNSSRFIPLK >RHN72930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11930312:11930794:-1 gene:gene8696 transcript:rna8696 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSLEHHYYSLLFALISNSCIYICSNTFFCFFLPATNHNMSLKFRSQHLHQRWKQRSKIEGKIVLQVRPSYKLGHG >RHN54207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7788302:7790263:1 gene:gene29207 transcript:rna29207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-succinyl-5-enolpyruvyl-6-hydroxy-3-cyclohexene-1-carboxylic-acid synthase MVNMVNVIWSFGSWTMKNVGVKLYTVEIEQGTRMRFWVPSETISKPKSKSIKPVVVLLHGFCGDGLATWALQIMTLVKNYAVYVPDLIFFGGSTTDKPDRSPTFQAECLAKGLKKLGVEKCVLVGFSYGGMVAFKMAELYSDLVQGVVVTGSVLAIQESLISRALEDTGFSSYSEMLLPSSIEGLKALLSIGVYRNIWFPNCLLNDFLKAMFSNRKERSELLEALIISYKDINVPKLSQRIHLLWGEKDKVFKLEIAQNMKERLGNNTTFEVIKKAGHLAHLERPCIYNRCLKKFLSSVMLDERK >RHN63386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50863337:50865832:-1 gene:gene26080 transcript:rna26080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEGPQQPLLNYTVEAIGPLSCTKEGIYLVGGALSGTAYIWDVTSGKLLKTWTAHYKSVDHIIFSNDDSLLISGSIDGMICVWSMISLLDVEETESSNPLLHCLSGHMSSITGLLATTCSCFSILISSSLDGTCKVWDFITGRLMQTQGYPLAITCITLHQGEHILFCGAKNGTIIVNMLDIGLEQGPNFMIREDKSLELTGHMGAITAMASSRTCLISSSEDCTICIWDVIGWTITRRFDLQKWKVTNLVVIPRSPAFSASNNTRELKRYIVSPLDKCPLQISRYKETTTLLSLCRLFKEKQTYIDLRSTGLLRQNMFGSQKTDMPMTMTIEMKVETNIENRSWGIKMAKHVIVMNRQLKSRLLDMIRCRLLCTNKIHSQKTARKKLKIKSISLEGEN >RHN58986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6408330:6409886:1 gene:gene20964 transcript:rna20964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SOUL heme-binding protein MGLVFGRFSAETPKYEILKTTQNYVIRKYAPSLVAEITYDPSTFKGDKDGGFKVLVDYIGIFGKPQNTKTEKISMTTPVITKENKSSSEKIAMTVPVVTNEKNKMVTMQFTLPSMYLKVEEVPKPIDERVVIREEGGKKYGVVTFGGVASDEVVKEKVEKLRLCLEKDGFKVIGDFLLGRYNPPAITIPMFRTNEVLSSILFDTNFSNLVWFLKKSINRYIYLFQFIYVVLFYLPCLLPCVISMSNSTSSLGLM >RHN65762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5110941:5111171:1 gene:gene13649 transcript:rna13649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MPWVKWAVEIRDPQQGVRVWLGTFSTVEEAAIIYDVVARCIHDDKTKLNFPETHADVVAPLLQQRSSVLALIKLLY >RHN71104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56508515:56510863:-1 gene:gene19747 transcript:rna19747 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNKEGVMLLTRNTEIDTRAPFRSVKEAVTLFGDKVLAGELYATATKLKHQIHNGANENGVDQSSRVENVTAELEETRENLEKAKEESMLMAHCMSSLQEELERTKQELEQLKQRETEKHQVESSETEDVKFVENLTTFEVKSSRFDEELMMEFQKKRYVTFANPPSVSHVMLPQGVEKLERHPSLRKKKKKSLIPLIGGIFSRKKGTSQEVP >RHN55189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15892723:15899648:-1 gene:gene30329 transcript:rna30329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha MALSRLSSSSTSTIKSNLIKPISTIFTLNRSISSDTTLSIETSIPFTAHNCTPPSTTVTTTPNELLNFFHTMSLMRRMEIAADSLYKSKLIRGFCHLYDGQEAVAVGMEASTTKKDCIITAYRDHCTFLGRGGTLLEVYSELMGRVDGCSKGKGGSMHFYKKDSGFFGGHGIVGAQVPLGCGLAFGQKYLKNESVTFALYGDGAANQGQLFEALNIAALWDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQAVKFAKEHALQNGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVKKLLLSHDIATEKELKDTEKEVRKEVDEAIAKAKESPVPKPSDLFTNVYVKGYGVEAFGADRKEVRATLP >RHN64796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61950098:61951394:1 gene:gene27655 transcript:rna27655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNISEILPVELITTEILLRPDVNSLMLLKFVSKPWNTLISDPIFVKMHLKLSKSKGNLRLALFSNKNLRLQIRAGGRGCSYTVTVAPTSVSLLLESTTSSIPIADDLQYQFSCVDCCGIIGSCNGLICLHGCFHGSGYKKHSFCFWNPATRSKSKTLLYVPSYLNRVRLGFGYDNSTDTYKTVMFGITMDEGLGGNRMRTAVVKVFTLGDSIWRDIQSSFPVELALRSRWDDIKYDGVYLSNSISWLVCHRYKCQQKNLTTEQFVIISLDLETETYTQLQLPKLPFDNPNICALMDCICFSYDFKETHFVIWQMKEFGVEESWTQFLKISYQNLGINYILGRNGFLVLPVCLSENGATLIGN >RHN79734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31682638:31691585:1 gene:gene3566 transcript:rna3566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP-dependent synthetase/ligase, AMP-binding enzyme domain-containing protein MLTIVPTEAHYGPFSLYLLSYNFNFFLNIYICQLRYLKVNTFSSNKKTVSNFNNNKKNTLYNKAKKVATMAKNCFNLKTMTYSSPRQSINLPTDQNLSLTSFLFQSTSSAADTIALTDAQTGEFLTFRQLEKEVSYLSRSLLSLGIKRGDVVMLYAPNSIRFPVCFLAVAAIGAIATTCSPLYTVSELTKQLEDSKPKLVVTVSELFRNFEGLGFDLTYILLDGEPWTRTYTSHEFVSNVWCYEDLFGELCESSGEFPVVSQSDVAVILYSSGTTGKSKGVMLTHRNFIATAIAGVADQDFYGEGKNVFLCLVPMHHVMGLAVILYTQLRRGNTVVSMAGRFDLEKTLAAVEKFRVTHLFVAPPVMVELVKRREVVSGYDLSSLKQLAGGAAPLGKDLMQACAEILPKIEIIQGYGMTEACGLISFENPKEGAFLSNSGSTGKLVPSVESQIVSLQTSKSLPPNQLGEIWLRGPVMMQGYFNNPEATKQTINDQGWTLTGDLGYFDEKGQLFVVDRIKELIKCNGYQVAPAELEDLLISHPEISDAGVIPSPDAKAGEVPVAFVVRSLNSLITEEDIKKFVAKEVAPYKRLRRVTFIEKIPKSPTGKILRKDLVILDRQMTSKL >RHN59181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8241026:8241571:-1 gene:gene21176 transcript:rna21176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDLVHKSFFQNITEYSFIHDFVTFQMHDIVHDLASFISRNDYLLVNKKGQHIDKQPRHVSFGFELDSSWQVPTSLLNAYNLRTFLLPLHWSSPILYSESLLELSACNSILSSSRRFRVMNLTNTKSTKIPSSIGRMKHLRYLDLSCCDMVEELPRSITELINLETLLLNFCSKLRELPKDL >RHN60685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29416311:29417387:1 gene:gene23036 transcript:rna23036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MNSLLFLFLSFFFLHSSLANFDFYMLSHTWPPSFCLKKNCKGRLLGKFTIHGLWPQNNSSPQPAGCTSNYTFKAEDLPAKLKTDWPAIIGDDTDLWKYEWNEHGTCSMLTPNEYFKHSLALYGKPGKNIKDILAKAKIKAGEELVKRTDIEAAIKNHIKKEPQIVCDPTKEYLLEIRICYDKSDNYKDCASYTTSCNEEVRYPYRIKL >RHN64003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55833562:55839338:-1 gene:gene26775 transcript:rna26775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MYLYNLTLQRPTGIVCAINGNFSGSDDGITQEIVVARGKVLELLRPDKFGRIQSILSVQVFGTIRSLSQFRLTGAQKDFIVVGSDSGRIVILDYNKQKNVFDKIHQETFGKSGCRRIVPGQYLAIDPKGRADATGVAASQAQKHLIFYELDLGLNHVSRKWSDQVDNGANMLVTVPGGADGPSGVLVCAENFVIYKNQGHPDVRAVIPRRADLPAERGVLIVSAAMHKTKNLKPEEFKLFFLLQTEYGDIFKVTLTDGGGDRVSELNIKYFDTIAVAVSICVLKSGFLFAASEFGNHALYQFKGIGDDDNDVGASSASLMETEEGFQPVFFQPRRLKNLVRIDQVESLMPVMDMKVSNLFEEETPQIFTLCGRGPRSSLRIMRTGLAVSEMAVSKLPGIPSAVWTVKKNVMDEFDAYIVVSFTNATLVLSIGETADEVSDSGFLDTAPSLAVSLIGDDSLMQVHPNGIRHIREDGRTNEWQTSGKRTIAKVGSNRLQVVIALNGGELIYFEVDVTGQLMEVERHEMSGDVACLDIAPVPKGRLRSRFLAVGSYDKTIRILSLDPDDCMQTLGIQSLSSAPESLLFLEVQASVGGEDGADHPASLFLNAGLQNGVLSRTVVDMVTGLLSDTRSRFLGLKAPKLFPIIVRGKRAMLCLSSRPWLGYIHQGHFLLTPLSYETLEYAASFSSDQCFEGVVSVASEALRIFTVERLGETFNQNVIPLRYTPRKFVLQPKRKLLVVIESDQGALTAEEREAARKECFEAAHAGENKTGSEDQMENGGEDEDNDDSLSDEHYGYPKSESDKWVSCIRVLDPRTGNTTCLLELQENEAAFSICTVNFHDKEYGTLLAVGTAKGLQFTPKRSLTAGFIHIYRFLDDGRSLELLHKTQVEGVPLALCQFQGRLLAGIGPVLRLYDLGKRRLLRKCENKSFPSSIVSIHAYRDRIYVGGIQESFHYCKYRRDENQLYIFADDSVPRWLTSSYHIDFDTMAGADKFGNIFFARLPQDVSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVITSLQKASLVPGGGECIVYGTVMGSVGALHAFTSRDDVDFFSHLEMHMRQDNPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPTLPMDLQRKIADELDRTPGEILKKLEEVRNKII >RHN56778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33686164:33686919:1 gene:gene32228 transcript:rna32228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEIIKFVYVMILFLFLFLVAAEDIGGNCECIRDEDCFKQKRDEDCHKEYCMIFYVHKCENYKCVCAGMFN >RHN73104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13497674:13500078:1 gene:gene8884 transcript:rna8884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cellulose-binding family II/chitobiase, carbohydrate-binding domain-containing protein MALNFCSHRCFFFIAAVLSVFATTLSMSQQQASDSCNGIFLSYTYNGGIKLQPNLTDSAAQPSRFESTLTVLNNGLVELKSWKVFVGFRHREWLVSASNAVLADGTSIPGAVGNGTVIAGSSVIDLKTAAATAGDLTQMQVKVDMVGTVVGVVPPSVPMPSIINLANDGFICGEAISQGNNETHVCCTSDPNYKTNITTNEKFLPRQNGDLTIMYDVIKAYNSNYWAEVTISNHNPLGRLDNWKLSWNWTNDEFIHTMKGAYPSNIDSSNCIFGSQGEFYKELDFSNVLNCEKRPTIIDLPPTRFNDTQLGKVPFCCRNGTLLPPYMDLTMSISRFQIQVYKMPPHLNRSSLTPPQNWEIKGDTINPDYKCGAPIRISPSQSQDLTGLPSNISSIASWQVVCNISTKSSSKSDSPKCCVSFSAYYNESVIPCSTCACGCPSNQERTCSATTPAMWLPSKALLVPFENRNEFAHEWASLKNLQVPNPTPCGDNCGVSINWHVNSDYEKGWTARITIFNWGETNYADWFAAVQMDKGAIGFEEMYSFNGSLLERLNTTIFMQGKKGLNFLVAEANGSNPRRDPRVPGKQQSVISFRKKNKDEINVVGGDGFPSKVFFNGEECSLPSVFPSNGLRNEFSLATIMILILLSSMLIQY >RHN62838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46144597:46147521:-1 gene:gene25458 transcript:rna25458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MLKIELKPQRLQHTSKNLRVINMWFVPREFHFSVAILLLLFGSPCLSSFLNAENKIKSAVFLSPKFELGPGSVINKEYYDIDFPRGHIALKSFNAEVVDEVGNPIPLHETYLHHWGVGRYHQSKHVTHTRNDGKRMLQSSNIVKVRNSGICQGNTLGQYFGIGSETRGTATDIPDPFGIEIGNPAEVSEGSEDKWVLNVHAIDTRGVEDKLGCTECKCELYNVTVDEYGRSIRPDYKGGWLCCYDCTQCKLKEGFEGPKRSLYLRYTVKWVDWDDFIVPLKIYVIDVTDSMKQSDNSKGTNFDHDCKIEYQVESCSTDHREENGCVHVKRTSLPFQTGGYVIYGVAHQHSGGIGSTLYGQDGRVICSSKPSYGNGSEAGNEVGYIVGMSTCYPKPGSIKIIDGETLTLESNYNNSQEHTGVMGFFYLLVAEHSTRSSFFMDK >RHN67676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29199175:29199997:-1 gene:gene15886 transcript:rna15886 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKDARRVIQEGKTEGWGQLVELPENKRKEGIGFLNSKPGMFDPTRGSFHSAGFIHDSPETNAILDDAPRGVTPVFVTPGGACCNWIAVDIPSVTPGSK >RHN70437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51437761:51438891:-1 gene:gene19016 transcript:rna19016 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNGSDCCLLPGDSYPNWLTFNSEGSSVTFEVPQVERHNLKTMMCIVYTSTSDDITSDGLKNVLVINHTRAIIQLYKSETLISFGDEEGERVVSSIESGNKVEVVVVFENGFIVKRIAVYLVYDNSIGKTIDLYHLPDLNIIDCSSDDSECIGKRISTEEESIDDFNQTRKKKKRVE >RHN67521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27922147:27922458:-1 gene:gene15717 transcript:rna15717 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPATIYIFHFSGVVGCETLLWIILSQFSNWCIVNSFILVVTFVCHTNCIKLVHKLSCRTLDLFFKLYNILIQKDLFFLSKHSNSDVVPPPPNSEPQEASQV >RHN75007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38664506:38671609:-1 gene:gene11153 transcript:rna11153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionyl aminopeptidase MSDDERDEKELDLTSPDVVTKYKSAAEIVNKALQLVISECKPDVKIVDICEKGDSFIREQTGKLYKNVKKKIERGVAFPTCISVNNTVCHFSPLASDETVLEVGDILKIDMACHIDGFIAAVAHTHVLREGPVTGRAADVIAAANTAAEVALRLVKPGKKNQDVTDAIQKVAAAYDCRIVEGVLSHQMKQFVIDANKVVLSVSNPDTRVDDAEFEENEVYAIDIVASTGEGKPKLLDEKQTTIYKRAVDRSYNLKMKASRFIYSEISQNFPIMPFSARALEEKRARLGLVECMNHELLQPYPVLHEKPGDYVAHIKFTVLLMPNGSDRITSHPLQEIQPTKTVDDPEIKAWLALGVKTKKKGGGKKKKGKKGAEGEGEADATNDASTQEQN >RHN46675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33971158:33973267:1 gene:gene41194 transcript:rna41194 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRGSINEQRGNKNRVLSDGTLRDAVRGCWHVLLATIQVMIFSLISLQVIRPDNFSNCMAALAVATGAFVVALPKSTHLLTKRIAYGQLVIVYVRTVIHGPQEGVATHSIHVACSTALGAIASRVEGWWFRERECLCGGLKDGGFEREDGGLGRWKLFRLRRESVIHQYWFLVLCAKMKNIIRPILIFIKHKGSV >RHN80390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37650755:37654023:-1 gene:gene4312 transcript:rna4312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVRAPCCEKMGLKRGPWSLEEDEILTSYIQKHGHGNWRALPKLAGLLRCGKSCRLRWINYLRPDIKRGNFTNEEEENIIKLHEMLGNRWSAIAAKLPGRTDNEIKNVWHTHLKKKLNQTNSEAKKKAISKPKIKRSDSNSSTITQSESASACTTSSSDFSSVTVGERKDIKSEDIESMEETMAVIDESFWSEAAALDETSTDMKSSSLTISNEMLPLQYPDEILPESHEDYNSNMDDGMDFWYDIFIRTGDQIELPEF >RHN80525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38827296:38837710:1 gene:gene4462 transcript:rna4462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoinositide 5-phosphatase transcription factor WD40-like family MDPFHQNDASSSFPLSSSTPPQQQQQQRTFLYSRSSSSSSNDNDDVSSSNSIHSTNRRLDCMLQFLDRKLTISDHLPLPEFIAKGGGAGIFKPPLRAAVHPSRPPFLELRPHPLRETQIGRFLRNIVATDSQLWSATERGLRFWNFKDLYASWYGEEGLVRSGDEESAPFRESLLTSPAICLVADEGNRLVWSGHKDGKIRCWQMDSQNSDFNHKLSWQAHRGPVLSITITSYGDLWSGSEGGVIKIWPWEAVEKSIHLTEEERHKAVIFIERSYVDLRSQLSTNGYNNMLASDIKYLVSDNSKAKVWSSGYFSYALWDARTRELLKVFNSDGQMENRSDLPSMQDFPVDLVSSSRKDRNQSSIGFFQRSRNALMGAADAVRRVAAKGAFGDENRRTEALVVTIDGMIWTGYSSGLLVQWDGNGNRIQDFIYHPFAVQCFCTYGMQIWVGYASGIVQVLDLKGNLIGGWVAHSCPIVKMTVGVGYVFTLANHGGIRGWNITSPGPLDSILCSELGGKEFLYTKIENIKILSGTWNVGQGKASQDSLTSWLGSVASDVGLVVVGLQEVEMGAGFLAMSAAKETVGLEGSSAGQWWLDMIDKTLDEGSTFKRIGSRQLAGLVIAVWVKTNITLHVGDVDAAAVPCGFGRAIGNKGAVALRVRVYDRIMCFVNCHFAAHLDAVGRRNSDFDYVYRTMSFSRPTNLLNTTPAGTSASIPMFRGTNPAEGIPELSEADMIVFLGDLNYRLDDISYDEARDFVSQRCFDWLRERDQLRAEMEAGNAFQGMREAVITFPPTYKFERHQAGLAGYDSGEKKRIPAWCDRILYRDSRSSSVAECNLEYPVVSSVLQYEACMDVTDSDHKPVRCIFSTDIARVDEPIRRQEFGEILESNEKIKCLLKELYKIPETIISTNNIILQNQDTLILRITNKSTEDNALFEIICEGETTVLEDQKATNHYLRGSFGLPRWLEVSPATGIIRPDQIIEVSVHHEEFQTQEEFVDGVVQNSWCEDSRDKEAILIVKVHGNYAIQTRNHRVRVHHCYSSKKNQLTQPNGSRHVQGSVLHRSDFQRLSNSFDVVDQLHKLHSP >RHN60911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31558661:31564997:-1 gene:gene23306 transcript:rna23306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MRSGEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKEGGSAAGDKKKKRSKKNVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >RHN54402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9307635:9308636:-1 gene:gene29432 transcript:rna29432 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNYHVRSNSFPSQSHPNTSRIDQELTKMKTWEATSTSTSHSITNGLSFLEDLYISLEDLLNMSSTQKAIAHHHRGEKFVEELLDGSVKVLDICGITRDTMLQIKENVQSLHSSLRRRKRDSSIETSVAEYKLFTKKMKKNVTKLITSLKRMESKFGASSLLNQDQDLVAVITVLREVIAMNMSIFQSILSFLVGSSSKSKATKWLNLMHKRVICEENMENFNELQCVEASLKTLISEGSNVAHERFEALENAIEMIENGLENVFRRLVKTRVSLLNIMSQ >RHN66995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22592979:22593137:-1 gene:gene15124 transcript:rna15124 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRERERERERERESEPMRGLLVMLAEEDEGIDDSGIRGGRHIEKGEKRGD >RHN53377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1295045:1295311:1 gene:gene28278 transcript:rna28278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MMTTPSNGKSGLKKGPWSSEEDKKLVDHIQKHGPGRWRDLPRRAGLNRCGKSCRLRWTNYLSPDIKRGKFSDEEEELIINLHSVLGNK >RHN48899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51008387:51012255:-1 gene:gene43665 transcript:rna43665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane-anchored protein MAEGEERIELKFRIYDGTDIAHDTYPASTTTVGALKQKLITEWPQGKTVTPKSVNDIKLIHAGKVLGNSETLAESRITIGDIPGAITMHVVVQPPVAKKKTEKKENRQKTNSCACSCTIL >RHN55916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25394482:25395342:-1 gene:gene31201 transcript:rna31201 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVLKIFLVQMIFVKDVLYFQTCLSDDQRGEIEILREKKDMNLLPIFIIISYT >RHN79425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28719238:28720857:-1 gene:gene3215 transcript:rna3215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ammonia-lyase, Serine racemase MEGETTKYAADISSVKEAYDRIKSLVHRTPVLSSTSLDDISGRKLYFKCENFQKRGACNAVLSLTDEEASKGVTTHSSGNHAAALSLAAKIRGIPAFIVVPKSAPSCKVENIKRYDGNVNLAEDNMQSREEVMNKVRQETSAIYIPSSNDGRIMSGQGTISLEFLEQIPQIDTLVVPISGGGMIAGIAIAAKAINPAIRILAAEPKGADDAAQSKAAGRIIKLQEINTIADGLRAFLGDHTWPVVRDLVDDIITVEDFEIIKAMKLCFKILKIVIEPSGAIGLAAVLSETFQKNPAWKDCKHIGIVVSGGNVDMDVFWESLNK >RHN60397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26207934:26212971:-1 gene:gene22705 transcript:rna22705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative immunoglobulin E-set MEFHSLPNLFLLLSFSTLFLSSQANVTFKYCDKKADYPVKVTGIEILPNPVVSGAPATFKISATSGKALYGGDVVIGVSYVGVPVHSETIDLCKEVSCPVANGNFVISHSQTLPAITPPGPYALKMTLKDDKGGQLTCIKFNFKIVFGALVSDM >RHN40995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25521514:25523779:-1 gene:gene47262 transcript:rna47262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MERQNKHVVDTDRLSDLPDHVLLHIIEFMNIKQSVQTCVLSKRWKNLWKHLTNLKLHHSYPDNSEIFFKFVSQILSGRNDSISLHSLDFEHEDHVDPPKTTLLEVMRYAASSHNMQQLTVYAKVRQISDLELPPSIFYSRSLTYLKLGFWQIYGSNSGSNYVYDEAHNYNVVLCTPKLTSLTASGHPTFEAPSTHGLPFLEEINIDYTFPYRPCEYSIMISWLQLLANVKIMTLHFKTLFQILCILKMGAQPPCFVRLKSLKVELKSNDDISNGTVRKIVKILLRNSPPARVDIIRKG >RHN82142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51510898:51514299:-1 gene:gene6268 transcript:rna6268 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRNPSSRNQRSKGFKVKHVLQAILLLGVCFWLIYQVKHNHDKKKEFDKNDTKLPIRTETDQILKLGRKDLHPGKVEADKNEGHEEEEEDEHIVYNMQNKREHDEQQQEGEEGNKHETEEESEDNVHEGREEQDEEENKHGAEVQEENESKSEEVEDEGGDVEIDENDHEKSEADNDREDEVVDEEKDKEEEGDDETENEDKEDEEKGGLVENHENHEAREEHYKADDASSAVAHDTHETSTETGNLEHSDLSLQNTRKPENETNHSDESYGSQNVSDLKVTEGELTDGVSSNATAGKETGNDSFSNETAKTKPDSQLDLSSNLTAVITEASSNSSGTGDDTSSSSEQIKAVILSESDHAQNATVNTTITGDMKQTEGLEQSGSKISEGNLPGNDSIVSVKPESRVAAPEESSTLGASALEKTTGFVASNETVNMSSNLDRNASSDTTESDKSKGSTETSEANEAQNVDATEDEMFKGDTQTGETDEKLDNSSVTEILDSIEHDTIDSSDTQNIHEDMAKARTDLDTLPDIRNEGNDSDENAAE >RHN74812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36828878:36834507:-1 gene:gene10932 transcript:rna10932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thebaine 6-O-demethylase MCLIRRKEAISYFACYIHCELITKKMEGIKNPSGTSLLVPSVQELSKDGNISTVPPRYIQPNHQDLILSEVDTNLQIPVIDMYKLHSEELGSSELVKLHLACKDWGFFQLVNHGVSSNLIEKIKLEIQDFFNLPMSEKKKFWQTPQHMEGFGQAFVMSEEQKLDWADMFYMTTLPKHSRMPHLFPQLPHPLRDTLELYSQEMKNKAMVIVGHIEKALKIKENEIRELFEDGIQMMRMNYYPPCPQPEKVIGLTNHSDPQGVTLLLQLNEVEGLQIRKDGMWVPVKPLPNAFIVNIGDVLEILTNGIYRSIEHRAIVDTKKERLSIATFHSLNQDGIVGPLESLITEETPPRFKKVGVDEYFKNFFARKLEGKAFIDDMRIEHDD >RHN76195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48453681:48453983:1 gene:gene12481 transcript:rna12481 gene_biotype:protein_coding transcript_biotype:protein_coding MFLASSSSSLPCQICLFNDFFPSVFLIFSLTRSGSPNRPSHRFLASHTGFHRFNCMTDPISRPNRSRPRLTVQPIGPSFKTMMTYVCRRECDGNPLDRVL >RHN78226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12945371:12946882:1 gene:gene1760 transcript:rna1760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MNFRTMTLFQYIALFVTILFILHNIWRRNKNELLPNWPIIGMLPSVLHNQSNIHDFVTLLLKHYGSTFHFKGPWFTNIANFTLTSDPMNVHHITSKNFSNYGRGSDFPEIFEVLGISLINLDSNDWKQERTILHSLLKRKSFKTSLQQIIQKKLESCLLPFLDHATKGLQVLDLQDILERFTFDIIGTSLFEFDPNCLPYKFNEFSDIAYVKAISVIEDTILFRHYIPKCFWKLQKWLQIGQEKKNNIAQENIHKFLYNCISYYKDGEEKRTFKSNEDVDESHSCLLKDLMKEGLGKGEMAEKYIINTTLNLLAAGSGTVSSGLSWFFWLVSTHPIVETKIIQEIKDNFLKGLDKLVYLHGAICEALRLYPPIPFEHKCAIKSDILPSGDHVSPNTKLIYSLYAMGRMEQIWGEDCLEFKPERWISNRGEIIQVPSYKFIAFNAGPRSCIGKDISFVQMKMVAANVLWKFHIEVVEGHFVTPRVAMVLRMKHGLKVKVSQRCI >RHN40122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13472258:13474145:1 gene:gene46228 transcript:rna46228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MASTYNTENKVIHDYNNLILSLPRENGCETEYMYFFHGFWCPSYLIQSVNTFQNKFHAKDNDIVVASMPKSGTTWLKGLAYAIVNRQHFTSLENNNHPLLLFNPHELVPLFEVNLYGGKDILLPQIDVSNMIEPRLFGTHIPFLSLPKSVKESSCKIIYICRNPFDTFVSYWNYINKVRSKKSLTELTLEESFERYCKGICLFGPFWDNMLGYLKESIERPHKVLFLKYEDLKEDVNFHIKRIAEFVGFPFTQEEENNGVVENIIKLCSFESMKESKGNQSETVSTIYEKKFFFRKGEIGDWVNYFSPSMIERLSKVIEEKLSGSNLSFKGGP >RHN79073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22128262:22130983:-1 gene:gene2769 transcript:rna2769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MDTNANSREHYMSNSGSAHQRFNCGIRPGFMKNDNVCKGSNGHRGLDNGCEGTSGIYVNGLEDMGDINFKMLTEDDMKNYQFPNLEVAFTFYNWYARMHGFSARKSKVRRNKLNEVVQHNFVCYREEFRVDRFNNNKIRMHEARADTRCGCEANCCLH >RHN65682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4330036:4340297:-1 gene:gene13554 transcript:rna13554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metal-dependent hydrolase MNILIVISASISLLLAILIASLHLLHPTYFPLWKKLPQSTLPLVSDFIIKNGVIYTSDESLPFANSMAVANGRVIRIGNFSFVQEVAGDETQVLDLEGKVVVPGFIDSHVHFISGGLQMMQVGLRGVNEKEEVIRMIKEAVQSTKPGSWILGGGWNNDLWGGDLPAADWIDDITPNNPVWLSRMDGHMGWANSVALTLAGITNITDSPRGGTIVRTGGGEPTGLLIDSAMVLVASQIPERSVDDRREALRKASNLALKRGVTTVVDMGRYFPGVSADLSWEDFSDVYLWTNAMSKMKVRVCLFFPMETWPRLADLINKKGHALSQWVYFGGVKAFADGSLGSNSALFYEPYQDEPDNYGLQVTEPDALLNMTLESDLSGLQVAIHAIGDRANDLILDLHSSVASTNGMRDRRFRIEHAQQLAPGTPSRFGKEGVVASVQPDQLLDDADTAGKKLGNDRAEKESYLFKSLLDSNALVAFGSDWPVVDIDPLSGIKTAVTRRPPTWKEAWIPSECISLEEAIKGYTISAARASFIDNDLGSLSIGKLADFVILSTDSWKDFAETASASIEATYVSGVRAHP >RHN68754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38283204:38283744:-1 gene:gene17143 transcript:rna17143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonoid 3'-monooxygenase MSLSSGCCSVNLSEMLIETTNKMICRCIFGRKYDDEGYRLGELGRRITSQVGLMFSLAKLKKIKDSSEEMDDFLDRVIVEHKMSRRDPKKKDFLDILLQLQDDGLTEFELTQNDLKALLMVFLSIPFSSWKKNPLINYIQISRTVIVTSTSIFFDVPMTICLCKYA >RHN77489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7193036:7198380:1 gene:gene952 transcript:rna952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MGTLGKAIYSVGFWIRETGQAIDRLGSRLQGNYYFQEQLSRHRTLMNVFDKAPVVDKDAFIAPSASVIGDVHIGRGSSIWYGCVMRGDVNNISVGSGTNIQDNSLVHVAKSNLSGKVLPTIIGDNVTVGHSAVLHGCTVEDEAFVGMGATLLDGVVVEKHAMVAAGALVRQNSRIPSGEVWAGNPAKFLRELTAEEILFISQSAINYSNLAQVHAAENAKPFDEIEFEKVLRKKFARKDEEYDSMLGVVREIPPELILPDNVLPKQTKVSTQ >RHN55086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15072424:15074168:-1 gene:gene30214 transcript:rna30214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-exporting ATPase MVLIMARAGIREVHFLPFNPVDKRTALIYVDNNDGSWHRASKAAPEQIMNLCNLTEYEKKKVHAIIEKFAERELRSLDVARQFGFMFIALIWKFDFSPFMVLIIAILNDETIGSPFCIDVEFKWCSFTAYILVLGRLFLKSRRMELSELI >RHN65206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:227548:228235:-1 gene:gene13024 transcript:rna13024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MKLKKCGNDVYFCNKWQQFAEYYSIGYGSFLCFKYEGDSKFSVFIFDATSIEICYPFKTQSTNGETKTKFHSPRKRSKVETSDNHASKRAEEAAKEFNPKNPYFCSKILKGNYVYVNADFASKHLNPNVPIKLQNSHGKQWEVSCAMHDAKSSSTAMIISRGFPIFLRGNNLSHGDYCVFELINKTPDVLKVTMFRVIDYYV >RHN50213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5203695:5203991:-1 gene:gene34478 transcript:rna34478 gene_biotype:protein_coding transcript_biotype:protein_coding MIYEEEAICSNHQQRYCLMKQNSAAVLTIWCHFVLIWNQQLEYRLCWNVVGYMDRLVFLDLRKKICISDVHLVEHHIGVLTVVLKYLMLGYASLVTNV >RHN63285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50074309:50078964:-1 gene:gene25968 transcript:rna25968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ADP-sugar diphosphatase MAVTVRTISAALKNLKPFHAPNLIPKKKKNGFFYKMSSSTESPSLTHSITLPSKQSEPVHILAAPGVSSSDFWSAIDSSLFKQWLHNLQTENGILANDTMTLRQVLIQGVDMFGKRIGFLKFIAEIIDKETGNKVPGIVFARGPAVAMLILLESEGETYAVLTEQARVPVGRIILELPAGMLDDDKGDIVGTAVREVEEETGIKLNVEDMVDLTAFLDSSTGSTVFPSPGGCDEEISIFLCRKRVDKEIITHLQGKETGLREHGELIKVRVVPYKNLWRTTADCKVLVAVALLEMAKNEGLLPSLAT >RHN46671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33933377:33934186:1 gene:gene41190 transcript:rna41190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MASSMFVKVTCLAMICLVLGIPLANAAPSCPEVQQTLAPCVPYVTHPGPPISPPPPCCNAVKTLNGQSKTTQDRRDVCGCLKSMMGGIPGLNLPAIASLPKDCGVDIGYIISPNMDCNKVN >RHN61459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35592150:35594724:1 gene:gene23930 transcript:rna23930 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLSSSSSSLNTNLKPFTFLPLKPRFNSARFNFFPSNRSSFKWVASISRNNENPSPFLSATPLFVKPNNSLSHVANSDNPIIESQPVNKINATDFKKPKGLTVQTFVILSALVVLLIQPVFAPAAFATFANAAKTSGPATTAVGGKLIRTELLSSAWTGFFAGCLHTLSGPDHLAALAPLSIGRTRTESALVGALWGCGHDAGQLIFGLIFLLLKDRLHIEIIRTWGTRVVGLTLLVIGAMGIKEASEVSAPIVALENGESSVGAYESLDNPVAGKKKIGFATFATGIVHGLQPDALMMVLPALALPSRVSGAAFLIMFLVGTVVAMGSYTVFIGSCSQALKDRVPRITEKLTWASSLIAIALGFAIIISQFFGFSLY >RHN71806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2724498:2729479:-1 gene:gene7442 transcript:rna7442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MNLNMLHILFTLLILYMIKIGSASMYTITSSKLIKDSETISSNDDAFKLGFFSPMNTTNRYVGIWYLNQSNIIWVANREKPLQDSSGVITMSDDNTNLVVLNGQKHVIWSSNVSNFASNFNVTAYIQNTGNLVLQEDTTGKIIWESFKHPSDAVLLNMTISTNQKTGEKVKLTSWKTPSDPAYGNFSFSLERLNAPEVFVWNQTKPYWRSGPWNGQVFIGLPSRSLYTSGYLNGFSIARKDNGNVVELMFTLLNSSDFGTLVVSSEGKLVYTSWINRYQVGTNVPQQNECDIYGYCGPNGSCDLKNLPICTCLEGFEPKNVDEWNKQNWSSGCVRRASLQCERVKYNGSALGGKGDDFVKLETTKVPDFVQQSYLSVNACRAECLNNCNCTAYAFDDGIQCLTWSGNLIDIVRFSSAGTDLYIRQAYSELSIDTKGKRNVRKIIISTVVVGAIIFATCTYFLWSWASKHSGRRKEPNSLILNTRQSYPENQNASTIKNVKQIKIEDLTLFEFQKISAATNNFGSANKIGQGGFGSVYKGKLPGGREIAVKRLARTSSQGIEEFMNEVIVISELQHRNLLRLLGCCIEEEEKMLVYEYMPNNSLDFYLFDPIKKKILDWQKRLYIIEGISRGLLYLHRDSRLRIIHRDLKPSNILLDGELNPKISDFGMARIFGGSENEGNTRRIVGTYGYMSPEYAMEGLFSEKSDVFSFGVLLLEIISGRKNTSFYNHQALTLLGYTWKLWNEDEVVALIDQEICNADYVGNILRCIHIGLLCVQEIAKERPTMATVVSMLNSEIVKLPHPSQPAFLLSQTEHRADSGQQNNDSNNSVTVTSLQGR >RHN71982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4160611:4162582:1 gene:gene7647 transcript:rna7647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative terminal organelle assembly protein TopJ MGVDYYKILKVDKNATEEELKKAYRKLAMKWHPDKNPSNKKDAEAKFKEISEAYEVLSDPQKKAIYDQYGEEGLKGQVPPPQDATFFQSGDGPTTFRFNPRNANDIFAEFFGFSSPFGGMGAGGNGMRGGARSFGGMFGGDDHMFSSFDEGRPMRQQGPRKAAAIENRLPCSLEELYKGTTKKMKISREIADASGKTMPVEEILTIEVKPGWKKGTKITFPEKGNEQPNVIPADLIFVIDEKPHGVFTRDGNDLVATQKISLAEALTGYTVRLTTLDGRVLNVPINNVIHPSYEEVIPKEGMPIPKDPSKKGNLRIKFNIKFPARLTSEQKIGIKKLLAP >RHN51741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23907676:23934514:-1 gene:gene36252 transcript:rna36252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MPCDSSVISSDNSNSNNNNEKEKSKKSDDSSSSSLGDFKLNESTFLASLMPKKEIGVDRFLHSNPNYDGRGALIAIFDSGVDPAVDGLQVTTDGKPKILDVIDCTGSGDIDTSKVVKADADGCISGASGASLVINTSWKNPSGEWHVGYKLVYELFTEDLTFRLKKERRKKWDEKNQEEIARAVKQLDDFDQKHIKVEDGKLKRVREDLQNRLDLLRRQSESYDDNGPVVDAVVWHDGDVWRAALDTQSLEDDPDCGMLANCVPLTNYRIERKYGVFSKLDACTFVVNVFNNGNVLSVVTDCSPHATHVAGIAAAFHPKEPLLNGVAPGAQLISCKIGDSRLGSMETGTGLIRALIAAVEHKCDLINMSYGEATLLPDYGRFVDLVNEVVNKHRLIFVSSAGNSGPGLSTVGAPGGTSSSIIGVGAYVSPAMAAGAHCVVEPPSEGLEYTWSSRGPTADGDLGVCISAPGGAVAPVPKWTLQRRRLMNGTSMASPSACGGTALLISAMKAEGIPVSPYSVRKALENTADPIGDLPEDKLSTGQGLMQVDKAFEYIQKCQNFPCVQYQINIQQSGKTRPSSRGIYLREPSACRQTTEWVVEVNPKFHEDASNFEEKIPFEECIELYSTEKTVVKTPDYLLLTHNGRSFNLVVDPSNLCDGLHYYEVYGIDCKAPWRGPIFRIPITITKAKATTNQPLQVSFSNMLFQPGHIERRYIEVPHGASWADVTIKSSGFDTPRKFYIDAVQMCPLQRPLKWEKAVTFASSGAKSFAFRVISGQTLEIVISQFWSSGIGSHESASVNFEVVFHGIKVNQEELLLDGSEAPVRIDAETLLVSEELAPVAILNKIRVPYRPIDSKICALSADRDKLPSGKQILALTLTYKVKLEDGAQVKPHIPLLNDRIYDTKFESQFYMISDSNKRVYSRGDAYPSSSNLPKGEYNLQLYLRHDNVQILEKMRHLVLFLERNLEEKDVIRLNFFSQPDGPLMGNGSFKSSILIPGMKEGLYIGPPQKEKLPKNSQQGSVLIGAISYGKLSFSDQQEKNNPEKHPASCRISYVVPPNKVDEDKGKGSSISTKKTVSERIKEEVRDAKIKVLGTLKQENDEDRLEWKELAASLKLEYPKYTSLLAKILEGLVSRSNIKDKIHHDEEVIGAANDVIDSVDREELAKFFALKNDPEDDDAENTRKKFESIRDQLAEALYQKGLALAEIESLKDLDAKEDVDSEKSTDGGGSHPDLFEENFLELKKWVDVKSSKYGILTVTRERRSKRLGTALKVLSDIIQNDVEPAKKKLYELKLSLLDEIGWKYLATYERQWMLVRFPPTLPLF >RHN78951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20227365:20230427:-1 gene:gene2620 transcript:rna2620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MMGKDSSMMKAWEATVKKTQAVAKKRANSIFGSTYVASQHGDHEEESKDETEVYHAERVLPNGDYYKGEWADNFPHGKGKYLWTDGCIYVGEWFKGKTMGKGRFTWPSGPAYEGEFKSGYMDGIGAYTSTNGDTYKGQWVMNLKHGHGETSYSNGDKYEGEWRRGLQDGQGRYEWKDEKYYIGEWRNGSIWGKGSFVWSNGNRYDGYWEDGLPKGNGTFKWGDGSFYVGNWSKDPRDQSGTYYPSPGSSQSQEGHLDWEPQQVYTELSEYQVSPGEKVSVLPSQKRLAVWRSTKGEGGSAKPRRMSVDAGRASVGLEKPSDRMHLWGGGEGDGSRTPTGSRTPTGSRTPTKDDHELLGLHLHASNNPRQTLKAPKKSKRQGETICKGHKNYELMLNLQLGIRHSVGRPAPSASLDLKPSAFDSKEKVWTRFPPEGSKYTPPHPSSEFKWKDYCPVVFRTLRKLFKVDAADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEAKALLRMLPAYYNHFRAFENALVTKFYGLHCVKLNGPSQKKNYEIHIYKIYGFQVRFIIMGNLFCSEYTIHRRFDLKGSSLGRITTKPESEITETTILKDLDLNFIFRLQRSWFLEFCRQIDRDCELLEQEGIMDYSLLVGIHFKDIAPEGDLIPSGSHTPLDGDSEGEGTPRISREDMDQLLLDPSRWASIKLGVNMPARVERTVRTSDCELQLVGEPIGEYYEVVLFFGIIDILQDYDISKKLEHAYKSIQYDPTSISAVDPRQYSRRFRDFIFKIFSQDS >RHN66415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12142472:12144011:1 gene:gene14388 transcript:rna14388 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSVRRAKCIHRDLVHFFISLKEAADTIRIRITGNINVIHDAGGYFLAETIKIPFSSIEKRTQIGWQRRKVSGKG >RHN44865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9241827:9242195:1 gene:gene39032 transcript:rna39032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MFTTHVIDPDEPLSKEEDNVWKLIPEFKEKMHAHQKSAFEFLWQNIIGSMEPSLMQERSKTSGGCVISHVPGKTFLIISFRVRYLKLFLGKRPLILTPKSTLYTWHKELKKMEGSCACGSYP >RHN50625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8634516:8637944:1 gene:gene34933 transcript:rna34933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase, beta-propeller MSYSVSSKRSFIGSGIYRNSPNSSNENLRILQLSLSGDNGSSSGQEPQDADYVLLPLPCLSDELETMILARFPISKHWKMCCLNNKFLNLMKNGEIYKIRRMIGLKEPSVFMLASGGERNWCVFDGQFKSCRKLPIIPSDYIFENGEKESFSAGTHLFVSGMEIDGAVIWRYELTTNEWFKGPSMITPRCVFAKASCDNFAYVAGGLERKNCIEVLSMNQTCLNSAEKYNSENQTWQKLPNMNKKRKSCSGCYLDNKFYVIGGRDENNDDLTCGEFFDEKTNKWNLIPNMLKDIVLSSSRLPPLIAVVNNELYTLDASTNEVKVYMKDSNLWKKLGFVPVRADNQVGYGVAFKSLGNELLVICKTAMKIYKCFPHPDLEVLEWKKIVCGSGNLNSYIHNCAVMLA >RHN55481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18867427:18873283:1 gene:gene30669 transcript:rna30669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exosome complex RNA-binding protein 1/RRP40/RRP4 MESKSAGSSTNFVDQLVYPGDVVLDLSTMTNQTIKLGGGLRQDGDVISVMKAGRLRFSKPNKYWVESSQKRYVPHAEDSVLGIIVDSRSDNFLVDIKGPTLAFLPVLAFEGGTRRNIPKFEVGALIYVRVVKAIPGMNPELSCTDASGKAGEFGALKEGYMFECSTGLSRMLLSTPTCPVLDTLGKKLSFEIAVGLNGRVWVNASSPSTTIIVANALINSEVLSGVQQKIMAEKLLQRVQ >RHN43533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46602178:46606747:-1 gene:gene50131 transcript:rna50131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAT dimerization domain, ribonuclease H-like domain-containing protein MVKSQKIDSFFKRIVTHKDEERLTSSPKPENCLENLKVEENEKQPSKVPRVAYGELGNVIDVQKSDQIKKNRVCLKTSIDIVRNLTLQDVDFSRDGETSEARHQDAFLSMAKVAAKYDDEFAKVVLENGPYLSSCASFQFRKEILHILSNKVKSHIREEIGDSKFCIVVDGVCDGPQKEQMALVLRFVDKNGFIQERFFDIVHVKDFEPSEEEIYAILSRHNLDVSNIRGQGYDGTSDMREQWNSLQTLILNECPYAYHIHCFEHKLQPALVCASSEVFPIQQFFSKLTSIVNFFNSCSKPHDESLAAKLNEIAHLLEISEIGEGANQIGSLQRVGDTCLRSHFSSICSLIRMYDTTCSILKKLADDGSTYYQSGDASIAYDNLTAFEFVLILHMMREILGITHVLCDVVQLQCPYLVNVKAVVRSTKALLQIFRQDGWDKLLKDVKCFCAKHDIEIPQFNAPYVERPWQSRHQKDHITIEHYFRVEVFFIVIDNQLQELNSRFSDQAMELLTLCSALVPKDSCKAFDIDHLCTLVEKCYPMDFNEQEKIDLQRQLKYFIIQARQDSNLKNLSTIQELCSCLAGTRKAQIYYLIDRLLRLIMTLPVYTAATERSFSAMKIFKTMLRNMRKDEFLADSMLIYIEKEIAKIFSSDSIIDDLKVHNDSHLCSSVNDCIIKPPDMLGEEESDGSLLLQVAEDKVEDNISSR >RHN58302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:591640:592593:-1 gene:gene20201 transcript:rna20201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKMILFPLIVVVIIAVALISFAVVLNMKKKKRSKEFIKSTKTIATPPKTKSPPKTNTTPTTTDFYLPDDCWERVFKFIVTNKFIISNEYRNKNRDNYLILNSLSLVSKQFLSITDSLRFSLAICTPTVPFLDQLFKRFTNLSSLYLSSYYYKLDVLFSQIACFQLNITSIILRHKPINPANVFLIANCFPNLQQLNLTGCSGMSDEGITHVLRICCNITHLNLSSCRNVKLRGMNFEVLKLETLDLSCSSVDDETLYVISKYCRGLLQLSLQYNQNVTEKGVKHLVENCTQLREIKLEGCYKVHSSLLCYTQPSLLK >RHN57318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38088102:38093020:1 gene:gene32843 transcript:rna32843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MAMIGDSDNILLNISPDEVNDFLGEYTWEGKWENVIMIYYAFPEQAHKAIISDSAGTALHVAIDLDEEIVVKELVNAILTHNVEVSDERVEALEMENERGDTPLHFAASRGFARICKCIIGINNERIYLLSRKNKHGETPLFQAAINWRKQTFAYLAHISKEIVTLQDLVREDGDSILHTAIRGEYFDLAVIVVHYYDFLSTHLNKEESTPLKVLATRPSAFKSASNLSWYKRILYHCILVEPLDHEKTMRSNLRKMEAGSDSNKMKLPDNYTTLYYFFSIGLMATLFGKKVSKKKQHDTEDPSNDTEKYPVGFLPPNYETFHQFVKSAYVHTLGLSGVELKDVKIAKKKHLWSSQLLKALMKRPYAAFTGSGGRPSDREIDSDMYNVFPQWKQGASRFEEEQEQEPEQDVVKEITSSPNEIKKENEKEIKKKMTPFLVAAKNGIVEMVNEILIKVPSAIHNTTSRKENVLLVAVKYRQPLIVETLRMIKHSKPELWNNLILAMDEDENTVLHLAAEALGGDKPWQIAGSALQMMWDIKWFQYIKSLVPQHFIFRNNSSGKTSREIFKKTHKGLIKDSSEWLKDTSESCSVVSALVAGVSFATASAVPGGTTDQGRPVLEGKPAFDVFAISSLIGLCFSVTGLIMFLSILTSRKQAKDFRRDLPLKLLLGLSSLFVSIASMFVSFCTGHFFLLSHNFKSVLFPIYAATCLPVTFYAVAQFPLYFDLITAILTKVPMATDKGDNL >RHN82121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51397259:51401453:-1 gene:gene6247 transcript:rna6247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MTHIYKCKWGKGQGSWAIVDHCEFGYSPVDQYIRMTIVSSKLLFSFYSFLLFIIIIVSQAQGQPDFVRYSCLNNNGNYTANSTYQNNLNTLLSNLTSNTQINYGFYNFSYGQNTDKVNAIGLCRGDVKPDVCRSRLNDSRVLLTKLCPNQKEAIGWYEDYMLRYSNQSIFGILEWSPKFYMSNPNNVTEVDPFNRVLGNLMRKLREIAASSDSRLKFAADNATDVNLNFQTIYGLVQCTPDLSEQDCNDCLEFAISEIPIYCYNKIGCRVVKPSCNIRYEVYRFSDPTTVINQDEHSPSEEEKSKSSHTTVAIVVPTAVVVAALLIFICICLRKRKAATINLGEIEENDNDDIEIAESFLFNFETLRVATGNFSEANKLGHGGFGVVYQGILAGGQVIAVKRLSTNSGQGDIEFKNEVLLVAKLQHRNLVRLLGFCLEGRERLLIYEFVPNKSLDYFIFDPIKKACLDWERRYKIIGGIARGLLYLHEDSQLRIIHRDLKASNILLDEKLNPKISDFGIARLLLIDQTQVNTNKIVGTYGYMAPEYVRFGEFSVKSDVFSFGVLVLEIISGQKACPVLRGRSSEDLLGFAWRSWREGTITNIIDPSLSNGSQNEIMRCIHIALLCVQENLVERPTMATVALMLSSYSLSLSVPLEPASFVLGRTRSLPVRDMQFEGESRNHASITDPYPR >RHN72305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6619389:6626892:1 gene:gene8003 transcript:rna8003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MEVPKDQIATLLENGLYNSAQILGCFLVSSPAANAESSPHLKIESLVLLGDSFYREREYRRAIHTYKQALQYYKMIPKQSMSSARSSLSSNRSSSPNSCNTSVINENEVKFKIASCHCFLNENKAALVEMEGIPSKARNLPMNLLLGKLYRISRHSRAAVAIYKECLRHCPFILEAITALSEMGSTAKDIISLFPQTPNRSVRAPFDPTDSSRWLQRYVEAQCCISSNDYKGGLELFADLLQRFPNNTHLILEIAKVEAIIGKNEEAITNFEKARSIDPYIITYMDEYAMLLKLKSDYSKLNKLVHDLLNIDPARPEVFVALSVLWERKDEKRALSYAEQSIRIDERHIPGYIVKGNLLLTMKRPEAAVSAFRGAQELRPDIRTYQGLVHTYLALFKIKEALYASREAMKAMPQSAKALKLVGDVHASNSGGREKAKKFYESALRLEPGYLGAALALAELHVIEGRNGDAVSLLERYLKDWADDSLHVKLAQVFAATNMLSEALSHYQAALRLNPQNEAAKRGLERLEKQMKGVDPDAPEEDEDNDVEDGDGDQDETELL >RHN65517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2833091:2836673:1 gene:gene13364 transcript:rna13364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAETAVSSALSEVFQFLKEETKLLRGVHRDFSDIKDELESIQVFLKDADRRAADEADANDGIRTWVKQVRDASFRIEDVIDEYLLLIHRTNSPGCRSLVCKIPRLMKTLTPRYEIASEIQDIKLSIRGIKERSERYNFQISHEQGSSCQSNGCGESDTGGWRDPRLSSLFIEETEIVGFEGPREELYGWLLEGAAERTVISVVGMGGLGKTTLAKLVFDSQKVTTQFDCRACIVVSQSYTVRGLLIKMMEQFYQEAEDPDLEILRKMDDRLLIIEVRKYLEHKRYLIFFDDVWQEDFSDQVEFAMPNNNKGSRIIITTRMMQVADFFKKSFLVHVHNLQLLTPNKAWELFCKKVFRSELGGRCPPELEVVAKEIVQKFKQLPLAIVAIGGLLSTKSKTMIEWQKVSQNLSLELERNAHLTSLTKILSLSYDGLPHYLKPCILYFGLYPEDYAISHGRITRQWIAEGFVQISVGRRTPEQVAEEYLSELIQRSLVQVSDVDFEGKVDKCQVHDLLREVIITKIKDLRFCHCVHDDGELPVNGKTRRLSITTSDNDVLKSTNISHFRAIHVFDKAGSLEHFVGKLGSKSRILKVLDIQGTSLNHVPKNLGSLFHLRYLNLKNTKIHALPKSVGELQNLETLDIRYTLVREIPSQINKLTKLRHLLALHRNYEENYSLLGFTSGVKMEKGIKNMASLQKLYYVDVKHRGVDLINEMKMLKQLRRLGLRHVRREHGDALSAVIVEMKHLEDLNITTIGEDETINLNFVSYPHQLQRLHLKARLDTLPDWIPKLESLVEIKLALSKLKNDPLQSLKNLPNLLKFGLWDDAYDGEILHFRIGGFLKLKRLNLSRLNRVKYICIDEGTLISLEYLTMNRIPELMEVPYGIKSLNNLKAINFSEMADEFFESIDQNNGNDYWSIKHAPLVSFHHTSDPKFNDDEICTITSSDHCFAS >RHN77681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8601691:8603015:1 gene:gene1162 transcript:rna1162 gene_biotype:protein_coding transcript_biotype:protein_coding MKSILTEVQNGCIEAGTMQADFGKHATGTLRTRLHLKRWQGNVRMQGKGKIREH >RHN47258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38339369:38340502:-1 gene:gene41833 transcript:rna41833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MLLIILVSYHLKRGIGTQHKIIFWKRKKLVDNNVEIFMQSYNLSMPRRYRYTEVKRITNSFRDKLGQGGYGVVYKASLIDGRQVAVKVINESKGNGEEFINEVASISRTSHMNIVSLLGFCYEVDKRALIYEFMPNGSLDKFIYKSEFPNAICDFDWNTLFQIAIGIARGLEYLHQGCSSRILHLDIKPQNILLDDDFCPKISDFGLAKICQKKDSIVSILGARGTIGYMAPEAFNRSFGGVSYKSDVYSYGMLILEMIGGRKNYDTGGSCTPEMYFPDWIYKDLEQGNNNLFNCMTSSEEENDMVRKITLVSLWCIQTKPLDRPPMNKVIEMLQGPLSSVSYPPKPVLFSPERTPLQMPHISSSDSYETNSITLSN >RHN58403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1499488:1502103:-1 gene:gene20312 transcript:rna20312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 peptidase MFRKVFLPSGKNKNGSSPLVVTSTPTGFYIRSQKIQDIHSKLYGPFIPNWLSSVFKPVKAMTLNSDECDMASYLFGRSTDELVLIVSDIPYCEGTREVLQCLKPREMLDQDVINLAVCMLTYQAKNEMTKRGIWFLPTIFSQYVLAWKAQTQDMLKKYHNKFMGTFDKISKIFLPVHDSECSHWFLLVIDFDKKELIYLDSLPSHSARADRMRSIKKLALYMEEFLMDSSFYMTWTRNKSNISEFSLVTPNNLGMQATNSNDCGIWVIKWMIEKGTNEYQIYVDEGTRLRIALDLILDPSNLLNETTLAVAKQSRRVEQIN >RHN68525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36206589:36213254:-1 gene:gene16888 transcript:rna16888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyridoxal phosphate-dependent transferase MNVEGLEQFNVAKQLEQFKTTIFTQMSMLAITYGAINLGAGFPNFDGPKFVKEAAIQAIRDGNNQNARGFGVPDLNIAIAERFKKDTGLIVDPDKEITVTTGCTEAIAATALGFINPGDEVILFAPFYDSYGATLSMAGAIIKSITLHPPDFVVPIDELKSTISKNTRAILINTPLNPTGKMFTREELDSVASLCIENDVLVFSDEVYHKLAFDMEHISIATLPGMFERTVTMNSLGKLFGVEGWMGHSASTPNMGTLGAPDSYYVELKRDYIAKRAILVEGLKAVGFKVFPSNGAFFVLVDHTPFGHENDVAFCEYLIKEIGVAAIPCKRKIIEMSGNSCNINHIQHCRKSIYVAVTVIFDQSTAASSSFLQQPPRALPIPSGLRFFISTVTQNPRAPIFGFHSTMSIVSTENETTSEKIRHPLQVAKQLEQFKTTIFTQMSMLAITHGAINLGQGFPNFDGPEFVKEAAIQAIRDGNNQYARGFGVPDLNIAIAERFKKDTGLIVDPDNEITVTSGCTEAIAATVLGLINPGEEVILFAPFYDSYGATLSMAGANIKSITLRPPDFAVPIDDLKSTISKNTRAILINTPHNPTGKMFTREELDSVASLCIENDVLVFTDEVYHKLAFDMEHISIATLPGMFERTVTMNSLGKSFSLTGWKVGWAIAPPHLMWGVRQAHTYIAFSISHPLQCGAAAALRAPDSYYVELKRDYIAKRAILVEGLKAVGFKVFPTNGTFFVLADHTPFGHENDVAFCEYLIKEIGVAAIPLSVFYLNPEEGKNLVRFTFCKDEETIKAAVERMKEKLSK >RHN68837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38951709:38962848:1 gene:gene17248 transcript:rna17248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative post-transcriptional gene silencing PAZ-Argonaute family protein MCTIYIFFLSKSVYYTFILSISFSSYFGFKAYITFAFYFLQAFKTHNITQKVLCLCFVSLSLSLFSGYDMDSFEADGNGNGNGNGNGNGNGVEESLPPPPPVVPSDVVPLKAEELAPPEPVKKKIARLPMARRGLGSKGMKIPILTNHFSVNIGKNDGYFFQYSVSFAYEDGRPVEGKGVGRKIMDRVQETYASDLNGKEFAYDGEKSLFTIGSLPQNKLEFEIVLEDVVSSRNNGNRSPDANGDNEADKKRVRRPYNAKTFKVEISFATKVPMYAIANALRGQETENFQEAVRVLDIILRQHAAKQGCLLVRQSFFHNDPKNFADVGGGVLGCRGFHSSFRATQSGLSLNIDVSTTMIIQPGPVVDFLISNQNVRDPFQIDWGKAKRTLKNLRVKTHPSNQEWKICGLSEVPCKELTFTLKKRDGDGTDEMTVLDYFTNVRKIDLRYSADLPCINVGRPKRPTYFPIELCELVSLQRYTKALSTLQRASLVEKSRQKPQERMRILSDALKTSNYGAEPLLQSCGISISTGFTQVEGRVLPAPKLKFGNGEDFTPRNGRWNFNNKKFVQPTKKIEKWAVANFSARCDVRGLVRDIIRIGNMKGIMIDQPFDVFEENPQFRRAPPMVRVEKMFEDIQSKLPGAPQFLLCLLPDRKNCDIYGPWKKKNLADFGIVNQCMCPLRVNDNYLGNIMLKINAKLGGLNSLLGVESSPSLPIVSKAPTLILGMDVSHGSPGQTDIPSIAAVVSSRQWPLISKYRACVRTQSAKVEMIDNLFKKVSDTEDEGIMRELLLDFYTSSKNRKPDNIIIFRDGVSESQFNQVLNIELDQIIEACKFLDENWTPKFVVIVAQKNHHTRFFQPNSPDNVPPGTVIDNKICHPRNYDFYLCAHAGMIGTSRPTHYHVLLDEIGFSPDELQELVHSLSYVYQRSTTAISVVAPICYAHLAATQLGQFMKFEDKSETSSSHGGLSAAGAVPVPQLPKLQDNVCNSMFFV >RHN62609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44666108:44671309:-1 gene:gene25203 transcript:rna25203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative squalene monooxygenase MDYQYILGGILACSLAFVFVVYGFGEKKKTGSSSMDVKSNGYAKTSSENGICSQEIVGETDIIIVGAGVAGAALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLLELGLEDCVDEIDAQRVFGYALYKDGKNTKLSYPLEKFDSDVSGRSFHNGRFIQRMREKASTIPTVKLEQGTVTSLLEENGTIKGVNYKNKSGQEFTAKAPLTIVCDGCFSNLRRSLCNPKVEVPSHFVGLILENCNLPHANHGHVILGDPSPILFYPISSTEIRCLVDVPTGQKLPSLGNGEMANYLKTVVAPQVPPELHASFIAAVDKGNIRSMPNRSMPASPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVLLRNLLKPLRNLHDASALCIYLESFYTLRKPVASTINTLAGALYKVFCASPDPASIEMRRACFDYLSLGGVCSDGPIALLSGLNPRPLSLVLHFFAVAVFGVGRLLIPFPSPKRMWIGARLISGASGIIFPIIKAEGVRQMFFPASVPAYYRMPPVH >RHN48424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47393821:47394798:1 gene:gene43138 transcript:rna43138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MFNVLIPRPHYIWWGYEVLKLLNVLLPEVTENQNDPLVLDKESFLADRPYLIEKLAMDALPRLIQVVNSDPNTYVCHGCLSVIYKIVHFSQSDKLVELLENTNISSCLDRVFTRKDNHVLILALQIVELILQKFFSDKFIKLFIEEGVYFAISHFHHLGKHLHD >RHN67533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28032316:28033375:-1 gene:gene15729 transcript:rna15729 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPRSSWKFAGWKFYLVSNGDLEERWLIGRHRCYQSSISGKIEVVYNCTGLQVARKQLKVQYDDVQDEDESGNLEVQLNPSFEIF >RHN71778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2560901:2562747:1 gene:gene7413 transcript:rna7413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLEFCVSLKNKLQCKPNKKHVYDPKTIKHQKGKNNQRTKSGLLDHSNIIQGSKRFLTNSSSLYQESEGSIEISDPIIHEILHDSSIGQICSSGRKDFEGSFRTTRSASTSSWLTNPVDYNEENVSSRTRLLVQMDSDDGPSILICHKCGEKLKNLNDVETHHITEHYSVTELEETSSRKIVETICESGTSFISSELWQIDCILKVHNMPKTFQCFEEYREMVKINANKLQIKNHARCLVDGNELLMFHGTNIACSLGINGSYSLCTLDYCGVCQILRHGFSTNKEFQGALGVYTSSTSGKAFDSIMLSDERAFTRKAVIMCRVIAGRVHCPFEEIQEKIDSGFDSFAEKISDHSNIEELCLLNPKALLPCFVVIYKQK >RHN44281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3144062:3145976:-1 gene:gene38381 transcript:rna38381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFRKRIGFKPTLPNLKTLKQIHALMIINGFNNNVNFLGDLVLTISTSLVGPTATPTVTNYAHQLFAQIPQPDTFMYNVMIRGSSQSPNPLRAISLYTEMHRHFVKGDSYTFPFVLKACTRLFWVNTGSAVHGMVLRLGFGSNAVVRNTLLVFHAKCGDLNVATSLFDDSCKGDVVAWSSLIAGYARRGDLKVARKLFNEMPERDLVSWNVMITGYVKQGEMESARMLFDEAPVKDVVSWNAMIAGYVVCGLSKQALELFNEMCRAGVFPDEVTLLSLLSACADLGDLENGKKVHAKVMEISMGKLSTLLGNALIDMYAKCGNIKESLDVFWSITDKDVISWNSVIVGMALHGHGKESLSLFKMMQRTKICPNEITFVGVLVACSHAGEIDEGYKYFDLMSSEYKIEPNIRHCGCMVDMLGRAGLLKEAAKFIDSMKIEPNAIIWRTLLAACKVHGDVELAKVANEKLFSMRKDHSGDYVLMSNLYASRGEWDGAEKVRKLMDDSGVTKIRGSSFVEACN >RHN59019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6706764:6707825:1 gene:gene21000 transcript:rna21000 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFLVAFTSTCNLAYKTYAINGIHRTRKLVNNSTQSPPLQATDVQVNLSFAITLPIGAAILTVLGWAIYKIRNKKPTQQAEEAEFVTDNQLIHEVQDIVAVAVNAIAQ >RHN57013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35750766:35752454:1 gene:gene32496 transcript:rna32496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSNENYYLTLNKCCFSSSSSLGHTERKVERQVDRISRLPNEVIAYILSFLPTKDAITTSVLSHRWISLWTFADALHFPNHCPSFLTKENFVDIMNSVLSQRESKCIKRLSFSILNNCYIPHLVSSIVSMATTQKVYEIDLSLYRLKVYLPHQLYTCKTLTVLRLVGTFHLNVPSHLHLPLLKILHLNLLCFVDDHDDDALMRFLSSCPALEQLFYEEVKFKRTSLFGICVPSLKRLFVRSFDERLHIKTPLLECLVMKETKAINYVVENLDNLKEAHIGIHFDYENKKVKENIANIFNGVRKTRFLCLDLYTTEVLTYACLEFPTFDHLGHLQLYLKILDSYFLVELLLEKCPNLEFLEIIKVDELCDNEIEWRQPTIVPSCLSSHLTTFIFRDYEGTDEEFELISYILNSGKVLKRTTIYFESSCWNPSETSDAVLELSSLPRASKDNRTNYVLQSRS >RHN66391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11746077:11756005:-1 gene:gene14361 transcript:rna14361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MENCHVENMNIETSGSKDYELDRKQLVLLWMAEGFIELSQGEAMVLYYALEWLSDMRFDNVDFALDSKTTADAFNNSHPDASEFGPIISACRSLFDLKLTNSKVKFNRRQANEVAHTLAGVATLSASESGLNVHDDECGLKVALLCWESISLLYYYICIFVCSLAHLLYYYNLFPYNLCSLVVSTKFPCIKVPYQTCFQHSTFRKQFHLRKMAATLVGGAFLSASVQSMLDQLTSTEFRDFINNKKLNVSLLKQLQTTLLVLQAVLDDADEKQINNPAVKQWLDDLKDAIFDAEDLLNQISYESLRCKVENTQSTNKTSQVWSFLSSPFNTIYREINSQMKTMCDNLQIFAQNKDILGLQTKSARIFHRTPSSSVVNESFMVGRKDDKEIITNMLLSKSSTSNNNIGVVAILGMGGVGKTTLAQIAYNDEKVQEHFDLKAWACVSEDFDILRVTKTLLESVTSRAWENNNLDFLRVELKKTLRAKRFLFVLDDLWNDNYNDWDELVTPLINGNSGSRVIVTTRQQKVAEVAHTFPIHKLEVLSNEDTWSLLSKHAFGSENFCDNKCSNLEAIGRKIARKCVGLPIAAKTLGGVLRSKRDAKEWTEVLNNKIWNLPNDNVLPALLLSYQYLPSQLKRCFSYCSIFPKDYSLNRNQLVLLWMAEGFLDHSKDEKPIEEVGDDCFAELLSRSLIQQLHVDTRGERFVMHDFVNELATLVSGKSCYRVEFGGDASKNVRHCSYNQEQYDIAKKFKLFHKLKCLRTFLPCCSWRNFNYLSIKVVDDLLPTLGRLRVLSLSKYTNITMLPDSIGSLVQLRYLDLSHTQIKGLPDTICNLYYLQTLILSFCSKLIELPEHVGKLINLRHLDIIFTGITEMPKQIVELENLQTLSVFIVGKKNVGLSNVIDVAEAYDADLKSKEHIEELTLQWGVETDDPLKGKDVLDMLKPPVNLNRLNIDLYGGTSFPSWLGDSSFSNMVSLSIQHCGYCVTLPPLGQLSSLKDLSIRGMYILETIGPEFYGIVGGGSNSSFQPFPSLEKLQFVKMPNWKKWLPFQDGIFPFPCLKSLILYNCPELRGNLPNHLSSIETFVYHGCPRLFELPPTLEWPSSIKAIDIWGDLHSTNNQWPFVESDLPCLLQSVSVYFFDTIFSLPQMILSSTCLRFLRLSRIPSLTAFPREGLPTSLQELLIYSCEKLSFMPPETWSNYTSLLELSLLSSCGSLSSFPLDGFPKLQKLVIDGCTGLESIFISESSSYHSSTLQELHVSSCKALISLPQRMDTLTTLESLSLRHLPKLELSLCEGVFLPPKLQTISIASVRITKMPPLIEWGGFQSLTSLTNLKIEDNDDIVHTLLKEQLLPISLVFLSISNLSEVKCLGGNGLRQLSALETLNFYNCQQLESLAEVMLPSSLKTLSFYKCQRLESFPEHSLPSSLKLLSISKCPVLEERYESEGGRNWSEISYIPVIEINGKVII >RHN39506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7695171:7702984:1 gene:gene45533 transcript:rna45533 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFKVSKIGTRFRPKPLQSSQDDDQSQSDLAEAGENNARLPNSLISSENRSSVADKEASFTLNLYPDGYSIGKPSEFQYAAANQSLPKLLLPYDRSSETLFLAIESGHLPADILDDIPAKYVDGALICEVRDYRRCSSEKGAGIASVEISPTVNKVCLKMSLENIVKDIPSITDKSWTYGDLMEVESKILKALQPNLHLDPTPKLDRLCQSPFPTKLNLQRKRLRNIPELAVTSSNKIHGKKVCIDRVQENSNNRLGDSGVTTSNAIVQQTLENPAMQNLNPSIAMRSKNAIPDSSIPGFSMMPHQSRYPMAVGTQRSMLEHGSIAGINSSGASPATQDVTISYADNPNASVSFHAKRENPDGQSSPLSNIAKRMRPASTGVDAMQQHQIGSHVDALQGSDMNWQNTLLQQQAMARSIQYTGGGVQKFPQQGFEGGLNQDTGAIQFASGQQGMRLVAKEEQFEMERIDGAGINRNKSELEMDASNLDPQQLRLQQRMPQHAFMRSNFPQTTWNSLGQQIEKEAKKEDQLQKRKQVQSPRLSSGTLPHSPLSSKSGEFSNGSVGPSFGPSSMNTAPGALQKEKAAMASLTAAVGTPSNDSTQRQQQAHLAAKRRSNSLPKTPAMSGVASPASVSTGVPFNANSPSVGTSALPEQGLQHMFDRFSKIDMVTTRHKLHFKMKKPDQLIKKQNTYAPQRVAAHLSNAANNEGLIDDSCSLSKSLTGGSMNACKMRVLSFRWNERVVQGNVVNLVPRFRTRMIMAEKPSDGTVALHYGDIDESDFIGAEDHLPTLPNTHFADLLADQFSSQIEHDGYVKEDDRIQVRPNLVNLPLGSQSSLPPNEMQQYGEPIPGQSNNEAAKLAGGSNASLNLPQSLVANARMLPPGNPQGLQMSQALLSGVSMAQRPQQLDSQQAVLQQQQQQQQLQQNQHSLLQQQNPQFQRSLLSANQLSHLNGVGQNSNMPLGNHLLNKASPLQIQMLQQQHQQQQLQQNQQPQMQRKMMMGLGAMGMSNFRNSLVGLSPMGNAMGIGAARGIGGTGISAPMTSITGMGNIGQNPMSLGQASNISNSISQQYRPGTMHSNQELLSKLRLVHNREGMSGSPQSSIASMSGARQMHPSSASLLSQSLSNRTNMSTLQRAMGPMGPPKLMPAMSLYMNRQQQQQHQQSQQQQHQQQLQLQQQQQHIQQQLQQQLQQQQQQETTSQLQAVVSPPQVGSPSTMGVSSLSQQTHQQASPQQMSQRTPMSPQQMSSGAIHGMNAGNPEGPASPQLSSQTLGSVSSITNSPMDMQGVNKSNSVNNNPQ >RHN46686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34038951:34043650:1 gene:gene41205 transcript:rna41205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor P MIVGSVTLKLNLSHFSSSSFSLRKSSSSYPSNFPIRTPSKPHFLKIYALSSNDIKVGTNIEVDGSPMRVLEFLHVKPGKGAAFVRTKLKNHLTGNTVEKTFRAGSSIDEADIVKETKQFTYKDGVQFVFMDLSTYEETRLDESDVGNKTKWLKEGMDCNLLLWNGKVIDVDLPITVKLKVVDVVPVVKGDTAQGGGSKPATLDTGAIVNVPLFVNVGEEILVDTRSGQYMSRA >RHN49719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:585708:589123:1 gene:gene33926 transcript:rna33926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S17/S11 MKEVVGMVVSNKMQKSVVVAVDRLFHHKMFNRYIKRTSKFMAHDENNLCNIGDRVRLDSSRPLSKRKHWVVAEILKKARIYVPPSATVSENASSNSVRATSTS >RHN57573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40181497:40183837:-1 gene:gene33142 transcript:rna33142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MDSFNQSHQNNNFRYNPNLNRATQLDDEDEAEFTGLLDVYVHHARNIHNICIYDNQDVYAKFSLTYNPDETLSTRIINGGGKNPTFNENLRMKITQIDAVMKCEIWMFSRARIHMEDQLLGFALVPISQIVGKGKVTQDYSLSSTDLFHSPAGTVQLTLSLDTSLVSESTNSSSISSEVILLDRKISEVMLDPIEYSRIEFPDISVVKENQQMVSQYFNLACASSNNNSRKLLPFLHLGASHQFDDYEMMTMSSPDENQVDSISPNESLHNSGLVSSTITSLSDDRNSADSFEKKNHLGCDSTKFVTLCPDTPTSKKEGESKDDEKEEKFANKDKECKKERSIEVTKFGQVFNSPLGNINLEAEECAMQKQIVDMYMRSMQQFTESLAKMKLPMDVDKPERQDHSGDVISNHENKKLEIDNKKKDGSRVFYGSRAFF >RHN50789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10510122:10514545:1 gene:gene35117 transcript:rna35117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative superoxide dismutase MEGGKGTVKGVALIIGDNNVRGSLHFLQHPNGNYTHVTGKITGLSPGLHGFHIHALGDTTNGCNSTGPHFNPLKKDHGAPTDDERHAGDLGNIVAGPDGVAEISIRDGKIPLSGVHSILGRAVVVHADPDDLGRGGHELSKTTGNAGARVACGIIGLQSSV >RHN63266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49834632:49846023:-1 gene:gene25947 transcript:rna25947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxysterol-binding protein MRVKEMHPLCCISLESPGIGSNSPESYTAALSRARSLPASGSDCIVRRGGSEATVAGVLYKWTNYGKGWRSRWFLLRNGVLSYSKIRSPENLNLFSSIDDVHLIGDVTTSRLARMDRDAGNALRRQKSHKPSSSSSPSVVHLKISSFRESKSDDRKFYIFTATKTLHLRTDSRKDRVAWIQALVSTRSLYPLNGHHLSLAPYHISVSTERLKKRLLEEGSSENLVKECEQIMLAEFSDLQEKLQILCQERSGLFDTIRQLEAANLEPEGSALHDSEYQLTKNGFSSLGRGKYSECSTTESSDDIEKHEVEEVSEEDEISYYDTRDYFSDSGFRCGSKGAPNRVNMSAEAVKQGIDMENSHVETMMYDDYGYPQIVRRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEHGKKGNSLLRALNVAAFAVSGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTVVACHCEGRGWRFWADSNIHSKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKLYCDHHGNMEIRGNRQYSCRLKFKEQTLLDRNPRQVTGFVEDTMGKKAATLFGKWDDSIYYFDGDVNVKPKDYTSSNGTLLWKRTRPPPNLTRYNLTSFAITLNELTPGLKKLPPTDSRLRPDQRHLENGEFEKANMEKQRLEKRQRMSRKMQENGWEPKWFRKEGENGTFRYTGGYWEARAVGSWDGCPNIFGEFQESIIDPFDAS >RHN82605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55136568:55140066:-1 gene:gene6780 transcript:rna6780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fibrillarin, S-adenosyl-L-methionine-dependent methyltransferase MAPPVRGRGGGGFRGGRGGDRGGRGGGRGGFGGRGGDRGTPFKARGGGRGGGGRGGRGGGRGGGRGGGMKGGSKVIVEPHRHEGIFIAKGKEDALVTKNLVPGEAVYNEKRVTVQNEDGTKTEYRIWNPFRSKLAAAVLGGVDNIWIKPGAKVLYLGAASGTTVSHVSDIVGPTGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILGLNASYYLKAGGHFVISIKANCIDSTVPAEAVFSAEVNKLKADQFKPMEQVTLEPFERDHACVVGGYRVPKKKKDAE >RHN56804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33858022:33865882:1 gene:gene32258 transcript:rna32258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MEKTRNGSEENLKLGSLIDIVLSWTLEDALNENLYKDKVHKIPETFKSATDYKNSFIPLLFEETRADLSSSLSGVSQAALCEIKNVEHSKQLKLRKAQNQFIQFHHTIWLKSTTDYEPASGDLIAITYIRPKSLNDLNTLNSPYHIAYLNGGKNRFSDRITVLSSKCMKMDVDTLSRKNNTQKMYVVYIMNMTTNVRIWKALHSKSKGDHLNIIEKVLQPNLNSGENCKICMSGSNSQASFITKDIIRSQNLNESQQDAVTSCVRMVDCSHANTKLIWGPPGTGKTKTVACLLFSLLKLKSRTLTCAPTNTAILQVAIRLHSLVTDSLDHDTYGLGDIVLFGNGKRMKVDSYPGLEDIFLDYRVKNLMQCYAEWNHSLVAIIEFLSDPSKQYFLEMSKKDFVMDKNRILASAYHAYKINKGNHGLIMRFENYVQKARTEITKLYQLDENDKKECMLTIERFVKQRIDKLRMNRVNFFMTVFTSLMQLFEDPREQIFSKMGYKSLDDFATNSIVVSAYSAYKQNIRYDKYDDSLTFEGYVKRARKDIIELYQSIMTMEQFVKQRYLELREKLKFLLLTLYIHMPKSFISVNNILQALDSLKSLEISLSQAKFKQAVDDCEEESIPACFGPSSLERKDCLHILSFLSKSISLPDFKVRHQVEKFCLSNASLILCTVSSSIKLYSEEKSPVKFLVIDEAAMLKECESTIPLQLPGLCHCILIGDERQLPALVKSKIADECEFGRSMFERLVTSGYKRHMLNVQYRMHPSISLFPCKEFYDGKISDAVIVGKEKYNKHFLEGKMYASYSFINIAKGKEQFGRENSLKNMVEVAVISKILESLKHEFMRTKKKVSIGIISPYNAQVFEIQEKVKQYIAVSDTDFSVSVRSVDGFQGGEEDIIIISTVRSNESGKVGFLSNRQRVNVAITRARYCLWILGNAATLINSDSVWRNVVLDAKRRDCFHNAAENKKLARAINDVLFEIKLLDGSESPFKKLSLGGKSEKPTTSSSSRAPKQRW >RHN76387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49856310:49856673:1 gene:gene12706 transcript:rna12706 gene_biotype:protein_coding transcript_biotype:protein_coding MFISRSCSILQHQSLRVTTPGSIELNHKELVLFNYTGKVLLLQNDNVFFLHFLLLELVFYVMVQAGQVAKVVVPFIVVVEVVEESPSSSRELRSSSPSLLFWKVFFAK >RHN60410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26432645:26434057:1 gene:gene22720 transcript:rna22720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MPPKRSSKGKQKIELKKIEKKDDLFVAFTTRKSGIFNKASELTTLTGASVDILMLSPTGKPFCYGDPSSESITKTILNENPSMEEEMMKPEIIEQNEKNDDLLDKKHVAEAQRKNLKMMETSGYWSTTKDQYDQVKEIDKSLVAVTNKLITNVLKTGGEIHPDAISTIEELNGVKTNLPYVFEDNTKDHEVGASKDMVANASQDIATKKSQGVNLIGVSGIGYGSGVDHTSPDI >RHN61223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33985118:33986123:1 gene:gene23663 transcript:rna23663 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASNLYASKGGENKSTFVNIVLSNGKLQQFKEPIKAWHVLSQNPNHFICSSESMYVGSPFHPVLPNQELQLDHIYFLLPLSKSNVSLSLQDLCSLAIKANTALVNDPNSMLKPSSVSEIRNFQTYPILSNVSLGYSH >RHN74002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23375080:23377162:1 gene:gene9926 transcript:rna9926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MEIPLERPFETIAAVTNKKDFWKLAVRVKDKWTVVKDGKEHLEMIIVDAKGNNIQVVIPTGYKAVYDKILMENTTYTLSNFQVQNNDLAFKASDHKYMLKWNSATNVVDVNLHDIPIPNTKFKPFAEIISGKWRSDLLVHVIGMVQDMGYCQLNEGNGKKLQDYAARFIQYNKDRKDVGPLIIMLNYCKIKEEGRYPLSVSNTYSFTKMFLNDNIPEINLFRESLPKDEQLVSSSQILCTQSYTGSQVATQDDLLSKNTVLPLSQVIQLDQITYCVTVATIQKVNSNKNGWYYFACHKCPKIAKGDKPPYTCEDGHNTEIEIVRYKLEMDVSYESDRCNFVVWDREVTQMLGISAAQLRSNMIQVQFKLIIYRYLNTLLFFLLHRSM >RHN74044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:24707016:24708662:1 gene:gene9985 transcript:rna9985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde oxygenase (deformylating) MGAPLSSWPWENFGIFKYVLYGPFVGRVVYEMLYEEEKDLKFSWCLHLLILSSLRGLIYLLWNCYSNMLFLTRNRQILKQGVDFKQLDKEWDWDNFLILQTILASMAYYMFPFLQNLPLWNIKGLIAALMFHVGISEPLYYWVHKKFHGHYLFTNYHSLHHSIPVPQSVTVGTGTVLEHLFLTVVIGIPILGASLMGYGSTSMIYGYIFFFDFLRSLGHCNVEIVPHRLFQTFPFMRYIIYTPTYHTLHHTEKDSNFCLFMPLFDALGNTLNTKSWELHKSFSSGTEIYIVKKVIVSILSF >RHN43661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47556847:47561232:1 gene:gene50271 transcript:rna50271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MNRGVYLQSSPVQQMMAGNPNNWWNTMRPPAPDHHQPNFFSTTTPNSNFQTPYPHASSLPLPSWHENQDQLPESWSHLLMNGVVYEEEKASMLSQPPSNASLVDHVKQESSVNNYVYGNEEFHGTPNSTWSQIVSVPSSSSSKSCATSFTSTSMLDFSNTKTDIRSPPSDLSSDQCNSSSAGGALKKARVQSSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLESIGYIRFLQSQIEALSLPYLGNGSGNMKKQQQQYVVQGEKNCLFPEDPGQLLNENCLKRKAVREQVCEEKEKKDLRSRGLCLVPVSCTMQVGSDNGADYWAPAFGGGFQ >RHN75936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46273703:46278040:-1 gene:gene12198 transcript:rna12198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein disulfide-isomerase MRILILLSLTTLLFFSSFTHILCEQDIDDEDLSFLDEPEAGETTTSHHHHHDDDADLEEDFSGYEDSEIHQAPEFDEKDVVVLNDTNFTDVVNKNRFVLVEFYAPWCGHCQALAPEYAAAATELKGDNVILAKLDATEDNEVAQKFDVQGFPTILFFIDGVHKSYTGQRTKEAIVTWIKKKTGPGIHNITSLDEAKTILSSETKVVLGFLNSLVGPESEELAAASRLEDDVNFYQTVDPEVAKLFNIDTNAKRPALILVKKEEEQLNHFDGKFDKSAIVDFVSSNKIPLVTVFTRENAPTIFENPIKKQVLLFVTSNDSEKLLPVFQEASKSFKGKLIFVLVETDNEDVGKPVSDYFGISGTAPQVLAYTGNDDGRKFVFEGEVTGDKIKAFGEDFLEDKLKPFFKSDPIPESNDGDVKIVVGNNFDEIVLDESKDVLLEIYAPWCGHCQHLEPIYNKLAKHLRSIDSLVIAKMDGTQNEHPRAKSDGFPTLLFFPAGNKSFDPITVETDRTVVAFYKFLKQHASIPFKLQKPASTPKPESTDAKESSDAKENQSSNSDVKDEL >RHN43744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48146080:48147324:1 gene:gene50366 transcript:rna50366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MIFHGANAIIAAYNLSLKANQYSMSSIWIASGPPTELNIILTGFGVHPGLYGDSQLRLTSYWTVDGKKTGCYNQLCPGFVQVNHDKENALGSVLSPTTPIGSTTKYVAPIKIKQDRSTSHWWLIIHESIYVGYWPKELFTHLSKGAAFIRFGGQTYAPPNNDSPPMGSGRLPKEKFPNSGLMGELEIIDSGYNEIDVNPEDMKPYTDTNSNCYDLAYRGYQGSSYRQAFLYGGPGGRNCGI >RHN50347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6377820:6389108:1 gene:gene34622 transcript:rna34622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyltransferase A, auxiliary subunit MESILINCVQNNLHHFMHSNAIFISQLLCAQFPSETNLQLLAACYLQNNQAYSAYHILKGTKMPQSRYLFAISCFHMNLLGEAEAALCPANEPGAEVPNGAPGHYLLGQVYRYTDRKKRAIDHFKQALSKDPLMWAAYEELCILGDAEEATTVFGEAASFCMQKQYLNCSTSPNLSAEDCNVVATNSVSEDVSPRKLRLMQGLKDIAANPHGSSIIGGAASQLISSGSSNMSFYNTPSPMATQLSSVAPPPLCRNVMPNGPNLSTLNSDNSPKSTVNSTIQAPIQAPRRKFVGEGKLRKISGRLFSDSPRRSSRLSNEASVSSNANATMLSGNGTSNSYKGGSKLGPMTFRTMTVRKGQSWANENMDGGIHNDAVDVSRLNISSTTSCSSAAVEAKAYEQDATTLQVSGQATSESKVITGASEILTILRVLGEGYRLACLYRCKEALDTYLKLPQRHYNTGWVLSQVGKAHYELVDNLEADRVFSLARQIAPYSLEGMDIYSTVLYHLKEDMKLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLNPRFAYAQTLCGHEYVAQEDFENGIKSYQRALMVDPRHYNAWYGLGMLYLHQEKFEFSEHHFRMAFRINPKSSVILSYLGTALHFLKRSEEGLAVMEKAILADKKNLLPMYQKANILMSLERFDEALEVLDELKEYAPFESSVFALMGNIYKRRNMHERAMFHYGIALDLKPSATDAATIKAAIEKLYLPDELEDNL >RHN40632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18446275:18449980:-1 gene:gene46806 transcript:rna46806 gene_biotype:protein_coding transcript_biotype:protein_coding MNALLSGFPILETLNLYFNAEEYDIIRVPSTLKWLKIVLGNGDIGASLEMNAPGLEYLNISEITFSNVGSLENVVEASLDVFPSPGDSAYAFTLLKLLETLSGVKHLVLSRSTTKWLLGGPADLRFLEFPHLLHLELILPWFNSNSL >RHN46401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31354910:31361470:-1 gene:gene40883 transcript:rna40883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 1, Glycosyltransferase subfamily 4-like protein MNMNATSLSINLSTSSSYPSIISPRFQRFSPLQAKPINISCRKPRFCSFQGSKTVNCRKSFVLEATNMDKAEVDSHDEEDKEEGTSSSSSSSSSTSVDSENERNSRPRRIALFVEPSPFAYVSGYKNRFQNFIKCLREMGDEVLVVTTHKGVPQEFYGAKLIGSWSFPLPWYQNVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLSVPIVMSYHTHVPVYIPRYTFSWLVKPMWLVIKFLHRAADLTLVPSVAIGRDLQAARVTAANKIRLWNKGVDSESFHPRYRSHEMRLRLSNGEPEKPLIVHVGRLGVEKSLGFIKGVMDKLPEARVAFIGDGPYREELENMFEGMPAVFTGMLGGEVLSQAYASGDVFIMPSESETLGFVVLEAMSSGIPVVAARAGGIPDIIPADQEGKTGYLYNPGDLEDCLSKLKPLLFDKELRETIGKAARNEMEKFDWKAATRKVRNEQYNAAIWFWRKKRAILLRPLQWISKRVFPPPQVNYR >RHN54142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7249811:7250246:1 gene:gene29132 transcript:rna29132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MPLYAINLDAVSLFADSSFTFLAVLNDAGPRICLGKDSAYHQMRMVLAILCRFYKFNLVPDHQVKYRMMTILSMAHGLRVTVEKRS >RHN45962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27321061:27325772:-1 gene:gene40392 transcript:rna40392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine incorporator/TMS membrane protein MWAASCLASCCAACACNACTSVVSSISRRSARIAYCGLFALSLVVAWMLREVAAPLMESIPWINHFKQTPSREWFETDAVLRVSFGNFLFFTILAAMMVGVKTQKDPRDGLHHGGWMMKIICWCLLVIFMFFLPNEIISFYETISKFGSGMFLLVQVVLLLDFVHRWNDTWVGYDEQFWYIALFVVSLVCYVATFVFSGVLFHFFTPSGQDCGTNIFFISMTLMLAFVFAIVALHPAVNGSVLPASVISFYCMYLCYSALASEPRDYECNGLHKHSKAVSTGSLTLGLVTTVLSVVYSAVRAGSSATVLSPPSSPRAGKPLLPLDAKDEESNEKAKPVTYSYAFFHLIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRIVTCWATALLYLWSLVAPIMFPEREF >RHN76671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:291829:294837:1 gene:gene34 transcript:rna34 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MEMNEVQNERKKEVDIAVVANDGALLDDDGKPKRTGTLWTAAAHIITAVIGAGVLTLPWVMAQMGWILGISYIIIVGTVTLYTSNLLADCYRTPDPVTGKRNTYMEAVKTILGGKMHLICGIVQYALLSGAAIGYTITTSVGVVSIQKINCFHKKGIEAPCQFSNNPYMIGLGIIEIFLSQIPNFHKLSWLSIIAAATSFGYAFIGIGLSLATVIQGKGKSTSLIGGNSEQSSEDKVWNILIALGNTALASSYSQIAIDIQDSLKSSPPENKVMKMANKVGLSAMTIIFLLCACSGYAAFGSNTPGSILMGSGFKEPFWLVDLANVFLVVHLVGAYQVIVQPIFGVVESLVGQRWPKSSFISREYSIGICNLNLFRLIWRTIFVTIVTILAMAMPFFNEMLALLGAMGYWPLTIFFPIQMFITKQKIRRLSIKWLGLQTLNFIFMVISIATATAAIHGFSEAFHKYKPFKYKM >RHN50557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8075745:8077060:-1 gene:gene34856 transcript:rna34856 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTLVKSMYDESTPPPYLSPFVNYDEEGYIPENAKTINHLQAAARKEFLPLPSVGKEDSLHGALAYTSADFNVDTDISLLVMFRKLFQSPYACLSCLLLYPLPK >RHN72312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6699121:6705359:1 gene:gene8010 transcript:rna8010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MGKTWWCLIFVTWLCLFAFSDGRELKTRHTSHLAQYNHTLATILVEYASAVYLSDLTQLFTWTCSRCGDLTKGFEIIELVVDVEHCLQAFVGVADDPRAIIIAFRGTNEHSLQNWIEDLYWKQHEINYPDMDDAMVHRGFYTAYHNTTIRPAVLGAVERAKKFYGDIPIIALGHSMGGAMAAFCGLDLTVNKQEKNVQVMTFGQPRIGNGVFVSLYSKLVPNTIRVTNDHDIVPHLPPYYYYLPQKTYQHFPREVWLYNIGLGSLVYRVEKICDGSGEDPSCSRSVSGNSITDHLVYYGVDMGSDDPQSCRIVMNSDVPSTSSIRDSRGNFILSRNPTSSLLKLSTEFDNKEKAVNVY >RHN51997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29949073:29951332:-1 gene:gene36598 transcript:rna36598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQLLLFIYSLIIFLSLFFGEAALERTETTMHNVQPSHFIPCFTAADCPMIDEPHYIECVTGFCWALMRNLH >RHN80770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40752910:40757418:1 gene:gene4735 transcript:rna4735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MEMNDQSVQIQMLAEKFQLFVRDCELKQSPNAIPSDNDESKKVNENSDSVDENSMSNGIHENSSDLGHTLPILKKVFDLSTKVQDLKKEHLALTDHVKTATESFTSLEVLNSIQLLGSEYELLKRKYLDESSERRRLYNEIIELKGNIRVFCRCRPLSESEKANGFTSVVNFESTLENELQVISSDSSKKPFKFDHVFKPEDNQEAVFSQTKPIATSVLDGFNVCIFAYGQTGTGKTFTMEGTPEERGVNYRTLEELFRLSEERKGVMKYELNVSMLEVYNEKIRDLLVENSAQPTKKLEIKQAAEGTQEVPGLVEARVHGTEDVWELLKTGNRVRSVGSTSANELSSRSHCLLRVTVVGENLINGQKTKSHLWLVDLAGSERVGKTEAEGERLKESQFINKSLSALGDVISALASKASHIPYRNSKLTHILQSSLGGDCKTLMFVQVSPSSADLGETMCSLNFATRVRGIESGPARKQVDLGELFKYKQMAEKAKHDEKETRKLQDSLQTLQLRLAAREYHCKSLQEKVRDLENQIAEERKTRLKQESRSLAAVSSQQPPSYKYTSAHKTMTDKKPPLNPSNLRMPLRRITNFLPPPSPIPPKRYTNQMNGKENSARRTSMTTNTEGLQRPRSRASIAMRPPAQSTTQILKPRRRVSIATLRPEPTSEITTPLRTSTSRFAGGSSVSAAIRSQRGRYSNLFAPLPAIRPTSVDSTPISARGSSKFMGSPVHAQGGSRMGKHPTAIALPRRSLVWSPLRLREMKSSHRKSSLLPSKLQ >RHN42139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35747876:35749701:1 gene:gene48540 transcript:rna48540 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GGPPS MSAVNLNTWTHSNFMCNQVTTTATTRSRSRIPSFYFTKIPISVSPIKPSKPNSSSFSFSVSSLLTKQEPIEAEEQNPIFNFKSYMIEKATRVNKALDDAVSLREPLKVHEAMRYSLLAGGKRVRPVLCLAACELVGGTEPMAMPAACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVFGEDVAVLAGDALLAFAFEHIAVSTVDVSPARIVRAIGELAKSIGSEGLVAGQVVDINSEGLSDVGLERLEFIHLHKTAALLEGAVVLGAILGGGSDEDVEKLRKFATYIGLLFQVVDDILDVTKSSQELGKTAGKDLVADKVTYPKLLGIEKSKEFAEKLNRDAQEQLSGFDLNKSAPLIALANYIAYRQN >RHN40328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15333425:15349588:-1 gene:gene46471 transcript:rna46471 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENAIDLLQRYRRDRRVLLDFILSGSLIKKVVMPPGAVTLDDVDLDQVSIDYVLNCAKKSEMLELSEAIRDYHDHTGLPQMSDTGSVGEFYLVTDPESSGSPPKRAPPPVPISAVPPIAVSTPPPAYPTSPVASNISRSESLDSAQERELTVDDIEDFEDDDDTSMVEGLRAKRTLNDASDLAVKLPPFSTGITDDDLRETAYEILLACAGATGGLIVPSKEKKKDRKSSSLIRKLGRSKTGSIVSQSQNAPGLVGLLESMRVQLEISEAMDIRTKQGLLNALVGKAGKRMDTLLVPLELLCCVARTEFSDKKAFIRWQKRQLKVLEEGLVNHPVVGFGESGRKTNEMRILLAKIEESEFLPSSSGELQRTECLRSLREIAIPLAERPARGDLTGEICHWADGYQFNVRLYEKLLLSVFDMLDEGKLTEEVEEILELLKSTWRVLGITETIHHTCYAWVLFRQYVITREHRILLHALEQLNKIPLMEQRGQQERLHLKSLRSKVEGERDMSFLQAFLTPIQRWADKQLGDYHLHFSEGSAIMEKIVAVAMITRRLLLEEPDTSTQSLPISDRDQIEVYITSSIKHAFTRTNQVVERVDMSHEHHLALLAEELKKLLKKDSTTFMPVLQQRHPQATVVSASLVHKLYGVKLRPFLDSAEHLSEDVISVFPAAESLEQFIMALITSVCHEENAEILLRKLNLYQIETKSGTLVLRWVNSQLGRILGWVERVTQQEHWDPISLQQRHAGSIVEVYRIVEETVDQFFGLKVPMRFTELNSMFRGIDNALQVYGNLVVHDLASKEDLIPPVPVLTRYSKEAGLIKAFVKKELFDTRVLEREETRPREISVLTTPTLCVQLNTLYYAISHLNKLEDSIWERWTHKRSQEKLIRKSIDDKSKKDTFDGSRTVINAAMERICEYTGTKIIFCDLRVPFIDNLYKPSVSGSRVDVLIEPLDMELSQLCDIVVEPLRDRIVTSLLQASLDGLLRVILDGGPSRVFFPGDAKLLEEDLEALKEFFISGGDGLPRGVVENQVARVRVVIKLHGYETRELIEDLKSASGLEMQGGKGKLGADSKTLLRILCHRSDSEASQFLKKQFKIPKSSA >RHN72505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8189360:8189734:1 gene:gene8225 transcript:rna8225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLSIGVIELGIWVHYFIVRMMIVITVPLCTAIYLRCGSIDRSVRVFDEMPERNILTWIALINGLAVHGRSREALKVFDVMKESGLKPDGVLFIGVLVACSHGGGNAFETKLCYLEDFAWSMCES >RHN69262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42181487:42188312:-1 gene:gene17706 transcript:rna17706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-PITSLRE family MTTGGHGDNRDHEFRNSDSDFSISRRGFNNNVYHDIRNGKGRSRDTRDRIIQRQKDIRESQKEVLNGSYRSSSSRSDSGSSGGRSGVPGSRRCEFSVKIMDREPGELSSESGSDDGVELESLVKRHEVVMGKEFDYGVESESVLKRHGVATGKENESQAPLERKRKFSPIVWDQEESKVNNLSNLKVVTTVAALPPPPPLPIAFRESPNVNYNGVEVHYLENPELPTAMDPSMVSESVLDAESPIGLHSMLSEQGLVNGQVAEQPKVEDYVPTRNISSSRWASGDDSPNDEGEIIDEKEFLKRRRLSPESGTRVRNKMLRPDDKIKGFDRARAKSSESEERDSTGRYSGEDDHSGMEGERNNNMEIDGGICKSDTSGSHSNTDSESEDDCRESMEPPTPPHRVVNMLHGCRSVDEFERLNKINEGTYGVVYRAKDKKTGEIVALKKVKMEKEKEGFPLTSLREINILLSFHHPFIVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMEAIKQPFSQSEVKCLMLQLLEGVKYLHDNWVIHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTSLVVTLWYRAPELLLGTKEYSTAIDMWSLGCIMAELLSKEPLFNGRNEFDQLNKIFRILGTPNETIWPGFSKLPLVKVNYVKQQLQLCVVLVWLSGLPWYNLLRKKFPATSFTGSPVLSDSGFDLLSKLLTYDPEKRITAEDALNHAWFREVPLPKSKEFMPTFPAQHDKERRMQRIMKSPHPLERKHHKELQQRESGTDLFQVNLHC >RHN54603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10724965:10725324:1 gene:gene29669 transcript:rna29669 gene_biotype:protein_coding transcript_biotype:protein_coding MTQMKTIQNITILSMFSRGFALGFGAFFFFRLSILKNKQNAKITQNHALWRMLGRIDQPEHCRLAVSCPWVHEAFLGGTWSSQRCGKFHFCSFTLCSSMLSWDRISRTCIVCKNLLELY >RHN73826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20455909:20456897:1 gene:gene9701 transcript:rna9701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MIYHGAYAGIVGYDLSVQAKQYSMSYIWIESGSGTQLNSIKVGVGADGFKRTGCYNVNCSGFVQVNNNQEYTLGSIARPTNSIGSTEKVATFIKIKQVNLQLLSIHLNILYIMIYIYKLLHQI >RHN44793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8517976:8523940:1 gene:gene38955 transcript:rna38955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FMN-binding split barrel MKRSKTTVLTFAEKCKNILTSNWQGSLNTIKADAKGSKGDIHTSKVKYILRRGQPYLWVPENDLHNMNTIIDERGSFAVTCPFPGRLGAILKSLEKLPARVALSGDVLPLNEDKAKALTEKVHEVIRSEEKATRKFSYTVSGVLSSGGSSTSRSDNLQKLLEVTEKYSVYRFKTRSCTFIDGHGGTFDVNIEDLGTSKADLLAPFSAKLIDGINQSEARRRALVLLCFVYMNTNAKDAYVTSVDRKGFDVLAKVTGPVSKDGVGQYQWKELRFMFEQEANDVETFCQHLVQMEEEVIKKVSASSGLN >RHN46253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30030812:30031705:-1 gene:gene40719 transcript:rna40719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MLHEKGPFVALHLRYEMDMLAFSGFTHGCSKKEAEELKRLRYFYVCAFPWWREKEIISEERRSQGLCPLTPEEAALVLLALGFGRETLIYIAAGEIYGGKRRLAQLRAAFPQIVKKEMLLTRDDKNSTSRF >RHN78721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17798974:17803846:1 gene:gene2367 transcript:rna2367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MYVRQKISFINNKLIKIYSISYIERGENMTEIIKFVNVMIILLSVFIIAMNVNASPVLCQRNYECYEQICLPPKKHWCNILELVRINGFYLGLCACI >RHN78822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18726763:18727870:-1 gene:gene2477 transcript:rna2477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MTNLLSMFIVSFIYEAIVLSRFQPSSLFPAHDSNDQPYHFHSNRDKKKTSPPLQSKTFPPHLVSKKKFPPPPRSPSLPPSLPPPQSPLPPPPPPPPPPPPCILFDHFKLVERWPNTYRMTEKGCRAKLPSKFVIHGLWPSNKGSTGDQPRGKGKSKETTNLNFPFINELQDEWPSLTPGTIQLSEDIDFWREQWKSHGSCSSMDAKDYFRLTLDIHKRIGKNLKDVLENKNIKSKGKPVKRKDIFDAVKDHIGGFKPQIKCIKGPNNLYYLQEIRICLDKSTDHNYIHCVINYIDCPEDDFVYFP >RHN52245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32999266:33007228:1 gene:gene36896 transcript:rna36896 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNIETLLSYHCLTGPLLKNPVSEYDKAINKLQMKYRTYIVEYDFETKNLKMCVRVAHIWLIPEKKVPTNIIFMNMLLVDAKL >RHN79132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23707443:23708745:1 gene:gene2849 transcript:rna2849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MMHKHCFEGFDRTLRDVMKSFHNGRTDIPFGGKVVVLGGDFRQILPVIPKANRGEIVQATVNFSNLWNFVEVLTLTTNMRLSTGSSDSDVHERKLFSDWILAIGDGSVGESNDVDIHVDIPPDLLLQSNGDPIATIVNITYPNLLQNLDDLSYFQNRAVLAPKNSIVDEVNNYMMDLIPGEKKIYLSYDSPLYPKSGNNSPDEVHTPEFLNTISTYGIPNHKLRLKVGVPVMLLRNIDHSLGLCNGTRLVITKMGKYVLEGKVISGSNIGQKVYIPRLSLSPSDKKLPIKFQRRQFPLAVSFAMTINKSQGQSLKHVGVYLPQPVFSHGQLYVALSRVTSRKGLKILVLDEEGNDSNVTSNVVYKEIFYNLV >RHN55942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25822087:25826788:-1 gene:gene31236 transcript:rna31236 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRDRSGITMLLVLLLCLTTLFLKTEAIWLTIPSSGTKCVSEDIQTHVVVLADYYVVADEGLHTISAKVTSPFGNNVHHNENVTQGQFAFTTTESGNYVACFWMDGKHPEGSVSVSLDWKTGISAKDWDSVAKKEKIEGVELEIRKLEGIVDAIHDYLIYLKEKEATMREVSEKTNARVAWFSIMSLGLCILVSGLQLWYLQCYFRKKKLI >RHN75884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45882829:45888581:1 gene:gene12142 transcript:rna12142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbamoyl-phosphate synthase (glutamine-hydrolyzing) MSMAYSITHFHKLPLHSSPIFSPIPRFPSNLSLPFPSLLNKSSQKPLSISTTTNAAATTITTAPPPSQQPQTQPIHGKRTDIKKILILGAGPIVIGQACEFDYSGTQACKALREEGYEVILINSNPATIMTDPETADRTYITPMTPELVEQVLESERPDALLPTMGGQTALNLAVALAESGALEKYGVELIGAKLEAIKKAEDRELFKQAMKNIGIKTPPSGTCSTLEECMQIANQIEFPLIVRPAFTLGGTGGGIAYNREDLMEICKAGIAASLTNQVLIEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSIAIIREIGVECGGSNVQFAVNPVDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYSLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSQPILTTQMKSVGESMAVGRTFQESFQKAVRSLEHGHAGWGCGAVKELDYDWEQLKYNLRVPNPERIHAVYAAMKKGMQIDEIFELSFIDRWFLRQLKELVDVENFLMSNNLSDLTDLDFYEVKRRGFSDKQIAFATKSNEKEVRSRRLSLGVVPAYKRVDTCAAEFEANTPYMYSSYDFECESAPTTRKKVLILGGGPNRIGQGIEFDYCCCHASFSLQAAGYETIMVNSNPETVSTDYDTSDRLYFEPLTVEDVLNIIDLERPDGIIVQFGGQTPLKLALPLQRYLDEHKPACASGDGHVRIWGTSPDSIDVAEDRERFNVMLHELQIEHPKGGIARSEADALAIAAEIGYPVVVRPSYVLGGRAMEIVYSDERLVTYLETAVEVDPERPVLIDKYLSDACEIDIDALADSQGNVVIGGIMEHIEQAGIHSGDSACSIPTRTVSSSSLETIRSWTEKLAKKLNVVGLMNCQYAITPSGNVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGKSLHDIKFTKEVIPKHVSVKEAVLPFSKFPGCDIFLSPEMRSTGEVMGIDPSYNIAFAKAQIAAGQKLPLSGSVFLSLNDLTKPHLEKIAKAFIDIGFQIVATAGTALALKFCNIPAVLVLKLHEGRPHAGDMIANGDIQLMVVTSSDDALDRIDGLALRRMALDYKVPIVTTVNGALATAEAIKSLKSNSIKMIALQDFIVDELQE >RHN47293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38540814:38556333:1 gene:gene41871 transcript:rna41871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA ligase (ATP) MAPKSKSQTLESLNSTQLYLNALQSLNLPPPTLPLPPLPSSTIPHSKLIPNTRFLIDSFRHTTPSSFTYFLSHFHSDHYSPLSSSWSHGIIFCSPITSHLLINILHIPSPFVHPLSLNQSVVIDGSVVTLIDANHCPGAVQFLFKVNETESPRYVHTGDFRFNREMLLDLNLGEFIGADAVFLDTTYCHPKFVFPTQNESVDYIVDVVKECDGENVLFLVATYVVGKEKILLEIARRCGKKVCVDGKKMEVLRALGYGESGEFTEDRLESNVHVVGWNVLGETWPYFRPNFVRMKEIMVERGYSKVVGFVPTGWTYEVKRDKFKVREKDSCKIHLVPYSEHSNYEELREYVRFLKPKKVVPTVGLDVEKSDSKHVDKMRKYFAGLVDETANKHEFLKGFKQCDSGRSGFEVGKDVGNDTEPGHSVEKEVKPSDVGGDKSIDQDVAMSLSSCMGETCIEDPTLLNDEEKEKVVQELSCCLPTWVTRSQMLDLISISGSNVVEAVSNFFERETEFHEQVNSSQTPVPTHRSCSSNDTSPLSKSNLKSFSSNDASPFSKSNLNNTNSTTKKLDLFRSQESKLTNLRKALSNQISPSKRKKGSESKSNKKVKVKAKSESSGSKQATITKFFGKAMPVMPGDTQSDQFGSKPGESPEVEELVPTDAGNMYKQEIDQFMQIINGDESLKKQAITIIEEAKGDINKALDIYYSNSCNLGEREISVQGECKVDRPLEKKYVSKELNVIPDISMHRVLRDNVDATHVSLPSDKYNPKEHACWRDGQPAPYLHLARTFSLLEDEKGKIKATSILCNMFRSLLVLSPEDVLPAVYLCTNKIAADHENVELNIGGSLVTTALEEACGTNRLKIKEMYNKLGDLGDVAQECRQTQRLLAPPTPLLIKDIYSALRKISVQTGNGSTLRKKGIILHLMRSCREKEMKFLVRTLVRNLRIGAMLRTVLPALAHAVVMNSRPTVYEEGTAENLKAALQVLSVAVVEAYNILPNLDIIVPTLMNKGIEFSVSSLSMVPGIPIKPMLAKITNGIPQALKLFQNKAFTCEYKYDGQRAQIHKLVDGSVLVFSRNGDESTSRFPDLVDMIKESCKPVASTFIIDAEVVGIDRKNGCRIMSFQELSSRGRGGKDTLVTKESIKVGICIFVFDIMFANGEQLLGFPLRLRRKYLKALFYDERPGYFEYAKETSIEADDACLTCEATLTRINAFLEDALHSSCEGIMVKTLDIDAGYSPSKRSDKWLKVKRDYVEGLNDTLDLVPIGAWHGNGRKAGWYSPFLMACFNPETEEYQSVCRVMSGFTDSFYIEMKEFLSEDKLLSKKPPYYQTGETPDMWFCPQLVWEIRGADFTVSPVHHAAIGLVHPSRGISIRFPRFICRVSDRNPDECSTAADIVEMFHSQTRKMDVQIED >RHN79239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26441374:26444455:-1 gene:gene2993 transcript:rna2993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MLFCYEISPLLLENVALRMGNLQVIVLIVQLPSISGMAGRNDAALAAALQAVAQAVGQQPNANAGANAEARMLETFMKKNPPTFKGRYDPDGAQTWLKEIERIFRVMQCTEDQKVRFGTHQLAEEADDWREFLRRYFPEDVRGKKEIEFLELKQGNMSVTEYAAKFVELSKFYPHYTAENAEFSRCIKFENGLRPDIKRAIGYQQLRVFPDLVNSCRIYEEDTKAHYKVVNERKGKGQQSRPKPYSAPADKGKQRMVDDRRPKKKDAAEIVCFNYGGKGHKSSVCPEEIKKCVRCGKKGHIVAECKRTDIVCFNCNGEGHISSQCTQPKRAPTTGRVFALTGTQTENEDRLIRGTCYINNTPLVAIIDTGATHCFIAFDCVSALGFDLSDMNGEMVVETPAKGSVTTSLVCLKCPLSMFGRDFEMDLVCLPLSGMDVILSMNWLEYNHVLINCFSKSVHFSSVEEESGAEFLSTKQLKQLERDGIMMFSIMATLSIENQAVIDRLPVVCEFPEVFPDEIPDVPPEREVEFSIDLVPGTKPVSMAPYRMSASELSELKKQLEDLLEKKFVRPSVSPWGAPVLLVKKKDGSMRLCIDYRQLNKVTIKNRYPLPRIDDLMDQLVGARVFSKIDLRSGYHQIKVKDEDMQKTAFRTRYGHYEYKVMPFGVTNAPGVFMEYMNRIFHAFLDRFVVVFIDDILIYSKTEEEHAEHLKIVLQVLKEKKLYAKLSKCEFWLKEVSFLGHVISGDGIAVDPSKVEAVSQWEIPKSVTEIRSFLGLAGYYRRFIEGFSKLALPLTQLTCKGKTFVWDVHCENSFSELKKRLTTAPVLIFPKSDEPFVVYCDASKLGLGGVLMQEGKVVAYA >RHN57455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39256774:39258405:-1 gene:gene33000 transcript:rna33000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MADRVHPRDSPPVSPKPPLDKPVPPPGTYVIKIPKDIVHRVPPPENARRYEQYTRKKHRRNRHCCCLCWFIGIIFILIALLGIAAGIFYLVFRPKAPNYTIENITIRGINITSPSSTTGISPEFDVTVKADNPNDKIGISYEKDSSAEIFYKDMRLCNGILPSFYQPSNNVTVFKTMLKGNGVKMSSEDQRALVKAQTKQEVQLMVKLRAPVKIKVGSVKTWKITVKVDCDLMVDKLTANAKIVSRSCTFRVDLW >RHN63659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52992911:52997467:1 gene:gene26385 transcript:rna26385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MALSATFITPSPSSSNLYTKFRKPFLSHSVYLLKPFKIRASTTLDYSNVSSSDKSSPLKTSNWQWKFKDNLINIYYEEHVKESSEPSQNILMMPTISDVSTVEEWRLVAEDIAQRSGSVNYRTTIVDWPGLGYSDRPKIDYNADVLEKFLVDFINSPNGPVKQPDNDLVIIGGGHAASIVVRAAKKGLVKPKAIAAVAPTWSGPLPIVFGRDSSMETRYGLLRGTLKAPAVGWMVYNMLVSNENAIQSQYKSHVYANPDNVTPAIVESRYALTKRQGARYLPAAFLTGLLDPVTSREEFLQLFADLEGKIPVFVVSTKGSPKRSKAEMEALNGAKGVCKFVEVPGALLPQEEYPALVAEELYQFLQQYFSPVA >RHN42027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34862353:34866946:-1 gene:gene48414 transcript:rna48414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MTSSSTSSRKNLSKIASNRLQKELVEWQINPPAGFNYKVSDNLQRWVIEVIGAPGTLYANETYKLQVDFPEHYPMEAPQVIFMNPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPEDNDRYVKNCRNGRSPKETRWWFHDDKV >RHN63895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54861447:54866084:1 gene:gene26650 transcript:rna26650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MELELLSWLKLVSFSFIFLLCVLKVTVLLWWRPRKIEGYFAKQGIRGPPYHFFIGNVKELVGMMLKASSQPMPNFSHNILPRVLSFYHHWKKIYGGMFLVWFGPTVRLTVSDPDLIREIFTSKSEFYEKNEAPPLVKQLEGDGLLSLKGEKWAHHRKIISPTFHMENLKLLIPVMATSVVEMLEKWSEMSDKGEVEIEVSEWFQTLTEDVITKTAFGSSYQDGKAIFHLQAQQMILAADAFQKIFIPGYRFFPTRKNIKSWKLDKEIKKSLVKLIKRREENLNNGNEERIEKGPKDLLGLMIEASSNNNNTNVTVDDIVEECKSFFFAGKQTTSNLLTWTTILLAMHPQWQVQARDEVLKMCGSRDLPTKDHVVKLKTLNMIVNESLRLYPPTIATIRRAKTDVDLGGYKIPRGTELLIPILAVHHDIAIWGNDVNEFNPGRFSEGVARAAKHPVAFIPFGLGVRTCIGQNLAVLQTKLALAIILQRLSFRLAPSYQHAPTVLMLLYPQYGAPIIFNQLSIVDNPNQGSS >RHN79663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31011671:31018648:1 gene:gene3482 transcript:rna3482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MSEPSTTSPPPPTTKSWADQADEETNQTSTSAADETSSLNVNELTIDEENKSLSKSLDDPDDSNITAVTAGDTPYTSATTFEELSLSPELLKGLYVEMKFEKPSKIQAKSLPMILNPPHRDLIAQAHNGSGKTTCFNLGMLSRVDPNLQAPQALCICPTRELAIQNIEVLRKMGKYTGISSECAVPMDRRDSIPVMKRAPIMAQVVIGTPGTMKNLITYKKLGVTKLKILVFDEADQMLAEDGFRDDSLRIIKEIEKFNSSCQVLLFSATFNETVKNFATRVVGKKEHNELFVKKEELSLDAVKQYKVLVPDELVKIDVIKNYIFELGENVGQTIIFVRTRNSAKMLHKALVDLGYEVTSIQGALDHVDRDKIVKEFKDGLTQVLISTDVLARGFDQQQVNLVINYDLPLKYAAEYTHGHEQEPDYEVYLHRVGRAGRFGRKGAVFNLICGERDEKTLSKIEKHFGTYIKEVKDRSVEDYKVALKEAGLLF >RHN56847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34177054:34182673:1 gene:gene32306 transcript:rna32306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] MGFQKIKVANPIVEMDGDEMTRIIWKYIKDKLILPFVELDIKYFDLGLPYRDETDDKVTVESAEATLKYNVAIKCATITPDEARVKEFGLKSMWRSPNGTIRNILNGTVFREPIICKNIPRLIPGWTKPICIGRHAFGDQYRATDSVIKGPGKLKLVFVPEGQGETTDLEVYNFTGEGGVALAMYNTDESIRSFAEASMAVALEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAGWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNATLLDFTEKLEAACIGVVESGKMTKDLALILHGSKLSREHYLNTEEFIDAVAADLKTKISA >RHN39499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7599708:7602851:-1 gene:gene45526 transcript:rna45526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MSSPENLPLETAQKIILRWDSTASEEAREKMIFDQTTTNRDEIDLYLQAVDEIQRSISSTSLSDNHPSKPSSTIQIAMARLEDEFRNILISHTNNQIDPSLEDDTYLSSSSSKLQDEEDNSYDDDGVDVDDKLHRFDSNCSVATTVTTASTYRSASSIREIDLIPSEAVTDLRCIADRMISSGYLRECIQVYGSVRKSAVDSSFKKLGVEKLSIGDVQRLDWEQLETKIRRWIRAAKVCVRTLFASEKRLCEQIFDGIGTCIDDACFMETVKGPAIQLFNFAEAISISRRSPEKLFKILDLHDALMDLIPDIDVVFDSKSSESIRVQAAEILSRLAEAARGILSEFENAVLKEPSKVPVPGGTIHPLTRYVMNYISLISDYKQTLYELIVSRPSTGSRYSGDPSTPDMEFDELEGKPPLAIHLIWIIVILQFNLDGKSKHYKDASLLHLFIMNNVHYIVQKVRGSPELREMIGDDYLKKLTGKFRQAATSYQRATWVRVLYCLRDEGLHSSGGFSSGVSKSALRERFKAFNAMFEEVHRTQAVWLIPDSQLREELRISISEKLIPAYRSFLGRFRSHIESGRHPENYIKYSVEDLEDAVLDFFEGIPVSQHTRRRSQ >RHN68613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37108358:37108726:1 gene:gene16985 transcript:rna16985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MKPFLKKLFPYILRNAAGTKVNMYCVYDSQILTLFTSSLYLAGFVSSLVASKATTMFGRRNVIIIGGIVFLAGGAINGGSENIHMLIFGRALLGLGVGFTNQVSQWILNKFGTASVLNLGNR >RHN40165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13809836:13812620:1 gene:gene46279 transcript:rna46279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MTETLLLLLLLFSLFIIAYIIIFFFTTTTTVLRLPPSPPSLPLIGHLHLLTPSLYKSFQSISSKHGPLLHLRLGPSGHLLLVSSASSAASIFKTNDLAFSSRPAFTFADRLPYGTSGFITAPYGPYWRFMKKLCVTELLSGRQLERSRSIRRDEIELSVKRVLENAGSAAAVDLGAELMKLTNNVTCRMVMSTRCSEKCDDADKIRKLVKESFELAAKLCFGDVLGPLKELSFWMYGKKAMDVSRRYDELFEKVMKEHEHKRSSSHGNGNEHRGDESERDLMDILLDAYHDAYAEFKITRTHIKAFFLDLFIAGTDTSAEAMQWAIAELLNHPESFHKVRKEIESVTQNVRLVEESDIPNMPYLQAVVKETLRLYPPGPVTTRECNQDCKINGFDIPEKTAVAINLYAIMRDPEVWEDPNEFHPERFLKEKENQSSDYDCQNDAKRVAFDFVPFGAGRRGCPGTTLAFSLMNTAVAAMVQCFDWKIGEDGKGEKVDMQSGSGMSLGMVHPLICIPVLHFNPFDE >RHN75212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40185764:40194200:1 gene:gene11383 transcript:rna11383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (guanine(37)-N(1))-methyltransferase MMSKSFLKPQLHLLLPFPTKPFFPYTLKYKPSFIPINTFSTTTIETLSYGPSLHKGTSPFPLSSQSQPPQNDGVLNEQTFTRIFNLAALRVPSSNCSALENRLRGHLLNWPRIRNIARVPGDEIDPNIASLLGQKSEENDEGDGDDDALSPVLYRDKLAKTFNTRGFVKFRNLAKISRPNRNNKKKEKGKIGEAEGNKRVGRNGFVEVEVVEEGVDEGLRNLIGEEIGSGKWRGSTRLLLLDERYKDCCVDELPEAIKAVLKEYAEKSSPLTFELVRCKLTLFYDYWQTNEILEALLPEGMIVPTTFETVGHIAHLNLREEHLPYKKLIAKVVLDKNKPKIQTVANKIDSIHNEYRTMQLEVLAGNHSLVTTLAENGLRFHVDLAIVYWNSRLGTERQRLLSGFTRNDVVCDVFAGVGPLAISAAKIVKRVFANDLNPHAVEYLERNSVLNKLEKKIKVFKMDGRRFIMAMFASDKAHSITQVVMNLPNDAAEFLDAFRGIYKDRPKDGEFTYPMIHVYGFSKAEDPEFDFHERIRIALLEVAVNVDMRRVRLVAPGKWMLCASFILPKSVAFANTAVDT >RHN66635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15415865:15417197:1 gene:gene14672 transcript:rna14672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MKSGNVLIFRCLSTVSWCNGVGNEALVVVESKDQCKTKGQSDEHKVTLRRLMQNREAARKSRLRKKAYVQQLENSRLRLAQIEHELQQVRQQGTFVGIGVTADHGHSIVGNVMQYFKPSGSVAFDMDYARWVDEHERQINDIRSAINSQMGDNELHLLLVDGVMVHYDELYKLKSIGAKADVFHILSGLWKTPAERCFMWLGGFRSSELYHS >RHN71684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1898941:1901318:-1 gene:gene7309 transcript:rna7309 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAHESWPCPQPVAWPSLYSNGARMSDPSLCGYSAYPTPSTCTFPDVGAFPGFTAPATPSLLTDQTNEEQGFLQDPKTEPCLKANNMAMQNANPAFLQKKFIIFDRSDKKTRLFYSPVFPLVQSPIVTTTQFTQAYDVNQERHATNFGQKHLPKYSLPEESEQDHVVNEESEMHEDTEEINALLCSDDYDSDDDDEVTSTGHSPLADERTYLIQEQIEDTEEDTASSDWPNKRHKLIDGGYTKLSPLVDSASSVRLNEPCECVRDAESKHSDGQMYFARQTEDNSAAVGDIQLKKDKIRETLRILEKLTPGAKGKHPLLVIDETIDYLKSLMSQTGMLGVKYH >RHN52306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33676309:33691324:-1 gene:gene36966 transcript:rna36966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc-finger domain of monoamine-oxidase A repressor R1 MKMKETSKSDYESLRNARMSENKARLESLGILNKVSNLREASTPTKKQQRTPVKRVYGLTPVRRSQRIKDVSTGTVTVAATLDGLLPRRSNRLKSSFSYAVTPKQEKVTAENGEEEEKGCIVTTDKWEDEKRPANAPLIELNPKDVEHFLSAETSARRCDSKGRGSIYNPVLGICCHFCRQKKLCGEEDCKRCGNCDVNEPCLGKTDCSACHSSNGVFCRACLKVRYGEEMEEVRENKEWMCPHCIEEKGIKPYWICNSSICMRKRKMSPTGIAIHTAREMGYESVAHQLMEELKRGNRLTR >RHN59592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12320531:12321037:-1 gene:gene21642 transcript:rna21642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MLLEKSLSVTVYDCTGRTPSEIVNNMKIIKLQSWEEKFKNLVELLRDKEFVWLSKAQILKAANSFLYWMSPMVIPAFVFVGCVVTKNAPLNAETIFTVLATLRNMGEPVRMIPEALSILKIHGRLGGTLTSFPATTMVVCGGAVVVKVCENMKERVNNNVKNKLVLRE >RHN45948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27155702:27157410:-1 gene:gene40378 transcript:rna40378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MLVSKTEMPLTKVKLIDSICRLGVGYHFEKEIDEILQHIHKSYVENGEITLEDNLCSLAMLFRVFRQQGLHVSPNVFNKFKDKQGNFNENLSTDVEGMLSLYEASHMMVHEDDILEEALNFTSTHLESIASQSSPSLAAQIEYTLKQALHKNIPRLEARHYISIYEKDPTCDEVLLTFAKLDFNLLQSLHQKEFGNISKWWKELDFSTKLPYARDRIAECSFWVLTAFFEPQYSQARKMMIKVITLLSIIDDTYDAYGTIDELELFTKAVERWDISSLDELPDYMKPIYRSFLTIYEEIEKEMRKEGRIYTLDYYKIEV >RHN42220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36436700:36441869:1 gene:gene48635 transcript:rna48635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MSSATTHIITLTTRFNDVLNKISEIVETARKNQSSRLLFRLILKDLSPVVQDIKHYNEHLDQPREEINSLIEESACKSSFENDSYVVDENQSLIVNDVEETLYKAREILELLNHENPKFTVGLDIPFSKLKMELLRGGSSTLVLTGLGGLGKTTLATKLCWDEEVNGKFKENIIFVTFSKTPMLKTIVERIHQHCGYSVPEFQSDEDAVNKLGLLLKKVEGSPLLLVLDDVWPSSESLVEKLQFQMTGFKILVTSRVAFPRFSTTCILKPLAHEDAVTLFHHYAQMEKNSSDIIDKNLVEKVVRSCNGLPLTIKVIATSLKNRPHDLWHKIVKELSQGHSILDSNTELLTRLQKIFDVLEDNPKIMECFMDLALFPEDHRIPVAALVDMWAELYKLDDNGIQAMEIINKLGIMNLANVIIPRKNASDTDNNNYNNHFIILHDILRELGIYQSTKEPFEQRKRLIIDMNNNKSGLAEKQQGLMTRIFSKFMRLCVKQNPQQLAARILSVSTDETCALDWSHMQPAQVEVLILNIHTKQYSLPEWIAKMSKLRVLIITNYGFHPSKLNNIELLGSLQNLERIRLERISVPSFGTLKNLKKLSLYMCNTILAFEKGSILISDAFPNLEELNIDYCKDLVVLQTGICDIISLKKLNVTNCHKLSSLPQDIGKLENLELLSLSSCTDLEAIPTSIGKLLNLKHLDISNCISLSSLPEEFGNLCNLKNLDMATCASIELPFSVVNLQNLKTITCDEETAATWEDFQHMLHNMKIEVLHVDVNLNWLL >RHN79595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30394113:30394961:-1 gene:gene3408 transcript:rna3408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MGALSTYFNYNCYPYQPIQTTNNSEITTFQHHEQEQFVQQNHHFSSNYLNETSLLDESFIFQTPCFYSTETYPNPCQDDQLLVDSTFSSQNDGFVSMNEIFPNEEDFTNYLTCPKRQKLCYDEKREEPQQELLNSTNFFVDEFMTNPNPFASFEAEPFVASKIVDVVQCEKKVAERTISPQSMAARERRRKITEKTQELGKLVPGGPKMNTAEMLNAAANYVKFLQAQVGMLQLMETFSKVLLTLQVNLIFFF >RHN52161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32169583:32177434:-1 gene:gene36792 transcript:rna36792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloride channel, voltage gated MASSGEVAKKMDKEENVNNGDDVHDIENDGSLDGNDEQIGRYWSQFSERNMTYEEPLLVKRINTTSQIAIVGSNLCPIESLDYEVFDNEMFNQDWRSRKRVQIFQYVVLKWVFALLIGLGTGLVGLFNNISVENIAGFKLTLTTDLMSKQRFFEAFLAYAGLNMVLAAAAAALCAFIAPSAAGSGIPEVKAYLNGIDAHSILAPTTLFVKIVGSILGVSAGFVVGKEGPMVHTGACIASILGQGGSKKYGLTWSWLRYFKNDRDRRDMITCGAAAGVAAAFRSPVGGVLFALEEAASWWRSALLWRSFFTTAVVAIVLRAGIQFCSTGKCGLFGEGGLILYDVGSPQTEFSAGDIVAVIVLGTVAGILGSIYNFLVDKVVRTYSIINEKGPFFKIFLAVAISLLTSCCYYFLPWIANCIPCPTDSKVPCPSVDESGEYKIFQCPPGYYNDLASLFLNTNDDAIRNLFSPKITKEFHISSLFIFFATVYFLGILTYGIAVPSGLFIPVILAGAAYGRVVSRLFEPITQLDRGFFSLLGAASMLGGTMRMTVSICVILLELTNDLLLLPLVMLVLLISKSVADIFNKGVYDQILKIKGLPYLEAHAEPYMRNIATRDVVSGPLMTFSGIEKVGNILHVLNTTGHNGFPVIDEPPFVDAPELCGLVLRSYLLVLLKAKNFTREKVYANPSILENISVLDFGKAGSGKGVKLEDLDIQDEDLDMYVDLHPITNTSPYTVVETMSLAKAAILFRQHGLRHMCVVPKSQGRPPIVGILTRHDFMPEHVLGLYPDIKHHKWH >RHN59486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11177905:11178510:-1 gene:gene21517 transcript:rna21517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MSSFFLLIFVIIQAWAMKVEAQKNIFILAGQSNMGGRGGVVNDTTTGVATWDSVVPPQSQPNPSILKLNAHLEWVEAQEPLHEDIDTLKTNGIGPGMVFANHVLEKNLGFGLVGLVPCATGGTNISEWERGKVLYKNMMKRVKASLLDDGGNIQALLWFQGETDTVSLSDAQSYQTRVHKFFLDVRDDLQSPLLPIIQVFF >RHN43750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48199931:48200709:-1 gene:gene50372 transcript:rna50372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MSFSQIIIRVGVSVSVWCLVSVSVSVLHRNTVTAQGRTDPNENTGIIIHNCRITTANDLKAVQNSVKTYLGRPWQKHSRTVVMKSNLDGLINSEGWAPWMGGFALSTLYYGEYMNVGGGANTDGRVKWPGFHVITNPSDAVKFSVGNFLAGDSWISGSGVPFDAGL >RHN57760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41547982:41548625:-1 gene:gene33344 transcript:rna33344 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIIMYMFMLTMVLGIPPYETGRRLIKTKLLFSVKRNKTIT >RHN78009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11215472:11218048:-1 gene:gene1523 transcript:rna1523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MTLSFRPSSTTFFSKTITLFLKPHFRFYPFSTNPQIDNSVNPHFHSAVTQPEFLLRVLNSVKHRPLTALRFFRWVEKQPNFHRSETAFVAILDILAKNGFMKPAYWVMEKAIEVKVDGGVLDVLVGIGCGRNSEVSVKLLDLLIQVFAKKLILEKCLMVFYKMVNNGLLPDVRNCNRVLKLLKDKSMVNEVEEVYSVMIKCQIRPTIVTFNTMMDSRCKEGEVGRAVEVLDVMRMFGCDPNDVSYNVLVNGLSGKGEFDRAKELIEQMSMLGLKVSAHTYNPLIRGFCKKEMFEEANDLRREMLGRGALPTVVTYNTIMYSLCRLGRVSDARRYLDVMVNEDLMPDLVSYNTLIYGYSRLGNFAEALLLFSELRSKNLVPSVVTYNTLIDGGCRTGNLDIAKGMKDDMIKHGLCPDVVTFTILVRGFCQMGNLPMAKELFDEMLSRGLKPDCIAYTTRIVGELKLGNPSKAFGMKEEMKAEGFPPDLITYNVLINGLCKLGNFDDANELVQKMRLEGIVPDHVTYTSIIHAHLISGLLRKAEEVFSDMLKKGIHPSVVTYTVLIHSYAVRGRLDFAKKYFDEMQDKGVSPNVITYNALIYGLCKENMMDVAYNLFAEMESKGVSPNKYTYTILINENSNLQYWQDALKLYKDMLDREIKPDSCTHSALMKHLSKDYKLLAVLRLENVIREGE >RHN68274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34164523:34166915:-1 gene:gene16608 transcript:rna16608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MANRWWTGNVAMGHRESMPSSPSSLQHLKREQELMENNNNNANSTPTNSSNSNNNNNEEEDNNNEEQNPSEPGSSSGGRRPRGRPPGSKNKPKPPIVITKESPNALRSHVLEISSGSDVAESIATFANRRHRGVSVLSGSGIVANVTLRQPAAPGGVITLHGRFEILSLSGAFLPPPSPAGATGLTVYLAGGQGQVVGGSVAGPLVASGPVMVIAATFANATYERLPLEDEQGGGDQEEMQVQVQQSGVDSATVAAAVTSTSSQGLELGEHHQQLQQVSMPMYNLPPNLLHNGTQMPHDVFWGPPPPRPPPSF >RHN56141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28115459:28115926:1 gene:gene31494 transcript:rna31494 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYALVCRVLNLLGVNCLFFQLQDLRSLDRLLDNFVLGAYIYFLTKIFGVLSIVQIYGFSVLLDPMFWLHFQI >RHN77175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4646157:4653319:-1 gene:gene601 transcript:rna601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative clathrin interactor EPSIN 1 MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATDDEPWGPHGTVLAEIATATKKFTECQLVMNVLWTRLAETGKDWRYVYKALAVIEYLVSHGSERAVDDIIEHTFQISALSSFEYVEPNGKDVGLNVRKKAENIVALLNDREKIHDIRNKAAANRDKYVGVSSSGMTYKSGTTSFSSGSSNKYGGFGSSGDRFSDSYGDKGRYDEAKIDKDYSGKSRHGVSSKNEENSFKKGSVRSVSKSQENKSSRASKSSANAVPSQSASVPTEDDFDDFDPRGTSSKTSAGSSNQVDLFGQDLLGDFMDAPTSVSVEKPATSNVSDVDLFADASFVSAAPHADKGASSQPQDEVDLFSSQPAIPSVTPTVDLFSIPSPAVQPDSKSENSVPVNNSTFDPFASVPLNNFEGSDVFGDFTSQSDSVSSQPSTNAATDGSTSGKSVTDSNVSPKKDAFQVKSGIWADSLSRGLIDLNISAPKKVSLVDVGIVGGLSDGLDEREKGPPTTLYMGRAMGTGSGLGMYGGTPSQSTAGDDIFSNFGGQNYQFGGFQK >RHN81554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46977077:46980895:-1 gene:gene5619 transcript:rna5619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKLKMSGSTSTFTHFPYSSPSLSSIFPSKSTFQPLPSSKLTTSSPCSFKKIKALGIQQIGSTSHGDNLDSVKSRILLQMFQRAMPMEPLITIFTKQSELNDFSVENLQDWRKSSKWVSAFLFAQTMSVISPDVSYASDSVKINEMYQVGELFDLGIQLIYLLLLLGLLGAGTYYVIRQVLVRRELDLSAKELQEQVRSGDASATELFELGAVMLRRKFYPAATKFLLQAIDKWDGESQDLAQVYNALGVSYVRDGKLEKGIAQFETAVKIQPGYVTAWNNLGDAYESKKEYPSALKAFEEVLLFDPNNKIARPRRDSLKELVGMTQGVTVRYREKK >RHN65571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3308319:3308794:1 gene:gene13424 transcript:rna13424 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIIKKGENVNICLDRNTLVLMIDKRSPRRATMQKAEKKFKLSSLGFYFYMC >RHN54966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14044627:14044966:-1 gene:gene30079 transcript:rna30079 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWWERGRKGSGTSDSQFGTRAGLHVERKGRVDYFLGEKSEKV >RHN80744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40519385:40527540:1 gene:gene4704 transcript:rna4704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative branched-chain-amino-acid transaminase MEVEVIHSWSAPRSLSTSLMYSFSQRDDIDVLDEPLYSHFLRVSGFDRPYRDQLLSNMESDGNKVVKDLIYGSGNKKFRFCKHISKQRVLGLPEDLVKKGKHFILIRNPLDILPSFDKVVPPSFFELGLAELVSIYNELCENGKRPPVIDAAELQKDPEATIRGLCDDLEIPFQPAMLSWEAGPKPIDGLWAPWWYNGVHKSTGFKEQRKDTEPFPFSLYDLLEQSLPLYNMLRRHVKKKSSLLSSPLPHPDLPVPANEKLLAWVGDEIVTRESAKVSVFDSVVQGGDSVWEGLRVYKKKIFKLEEHLDRISDSAKALAFENVPSRDEIKEAIFKTLIRNGMFDNAHIRLSLTRGKKVTSGMSPALNLYGCTLIVLAEWKPPVYDNERGIVLVTATTRRNSPYSLDSKIHHNNLLNNILAKVEGNNANADDAIMLDKDGFVSETNATNIFIVKKGRVLTPHADYCLPGITRATVMDLVVKEHFILEERRISLSEVHTADEVWTTGTMGELSPVVKVDGRTIGDGKVGPVTRKLQAIYKKLTEESGVPIQN >RHN80904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41760533:41762113:-1 gene:gene4886 transcript:rna4886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MAGINIETLLSYHCLTGPLIKNPLSEYDKAINKLQVKYRTYIVEYDFDTGAVCLPRMFGSDFGDQIGRFATLTDPKGNQFEVLVDSINGDFFLTKGWKAIRDFYGISLGAWITLIFVGVGHFDMKLTDRFHKIINYPVFDPPMHFLIDRTNVHTTFNKHLQPKTSLLSYRHSINYMIIDFGKKLSQYDVTKGALV >RHN62220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41820668:41829713:1 gene:gene24772 transcript:rna24772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 15-hydroxyprostaglandin dehydrogenase (NAD(+)) MEIKAGLSALVTGGASGIGKGLVLALAEKGVFITIVDFSEEKGRETATLVEKINTKFHPNLHHPSVLFVKCDVTNSRDLAAAFEKHVSTFGGLDICIISAGIENPIPFDKDQTDGTRSWRHTLNVNFIAVFDTTRLAIKTMEALKRPGAIINMGSASGLYPMYLDPIYSGSKGGVVMFTRSLRLYKRKGIRVNVLCPEFVETELGLKVDPKFLSMMGGFIPMEMVVKGAFELITDESKAGHCLWISNRRGLEYWPTPSEEAKYLVRPRRLRRRAEYKAPSIKLPESFEKIVVQTLTHNFRNATSIVRAPLRLPVKPNLVLVKIIYAGVNASDVNFSSGRYFGGNNKETTARLPFDAGFEAVGIIAAVGDSVTDLKVGMPCAFMTFGGYAEFTMIPSKYALPVPRPDPEGVAMLTSGLTASIALEKAGQMESGKVVLVTAAAGGTGQFAVQLAKLAGNTVVATCGGGTKAKLLKELGVDRVIDYNSEDIKTVLRKEFPKGIDIIYESVGGDMLKLCLDALAVHGRLIVIGMISQYQGEHGWTPSKYPGLLEKLLAKSQTVAGFFLVQYSHFYQEHLDRLFDLYSKGKLKVAVDPKKFIGLHSVADAVEYLHSGKSVGKVVVCVDPTFVNQVAKL >RHN55390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17854607:17859393:-1 gene:gene30563 transcript:rna30563 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEQLKNGQQEKRCRNFHENFDLNVTAEELEDEPDLQCELAENHYSQYSELSETTEKHVDNRSMEANKHEEQVPQANTFEGCVDDLFILAQSAEILAAQTEDSVAKTHINTENQDQECSQELLDGSVSHVSSERCGLCYEVTGTRLTQIRKQARFKYRILDHDRADDNQFKHLQRKTTCLSQMKQQARCKSKNDLSSLQMINGPENKQRQGRILRLSQMKNQARSKNNATVKEGTEEHVCVNKHCNRCRVKRDNENHNKECQHVARRLRSFKTKKHRQQLTTECVRNSADTRSQASGTMLKDKNLITCQQELPQTYGCTENLEANFGTNKLEGNYGSNESSGVRYKSLQHIPSGLPVQKNVLLFTGLPNYTFHQYDPNMGWTLYMHHVQLMEHHRVVARQHRAERIEEKRALKYKQQTSKNPLLANSEAHKEKLPVKRLRLTQLRREVRIGNQCSAMQGPDEN >RHN46580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32932164:32934924:-1 gene:gene41082 transcript:rna41082 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFLLLQLGPLSSKHQQATSHCKIARQTLATRSLANQHSSFQESSSNHSESQFHLLQGDPISEAVVPNSI >RHN59392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10196929:10199281:-1 gene:gene21418 transcript:rna21418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MAIVLHRIISFAVLFSLLINPCYCLNPKLFNVSEIQNVNDPWQMAIATWYGAPEGAGSDGGACGYGESIEYPPLSKMISAGGPSIFLEGRGCGACYQVKCTENSACSRNPVTVMITDSCPGCAPIQFDLSGTAFGSLANNGQANNLRNAGRINVQYQRVACSFGTSITFAVDSGANPYYFATEIEYENGDGDIVSVELNQSRRWIPMQRSWGARWALNSGSQLQPPFSIKITENGNGKFNTIIAYNVIPRNWQPGKVYRSLVNFKNL >RHN46551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32670602:32673383:-1 gene:gene41049 transcript:rna41049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MKIDTVSGQRKSRKRRSGGRTDSVEDTLEKWKNYNRQQQQKLGCRGNGADKIHKVPAKGSRKGCMRGKGGPQNSDCNFRGVRQRIWGKWVAEIREPINGKHVGEKANRLWLGTFTTAHDAALAYDKAAKAMYGPSARLNFPDGSPSSSSGGSADSMNGEEDLGKAEELEGNLHQFEEENKILSKDFVSDDDSVEESKEVMIDGTVQCPTNKKCKKMVHQRSYKNVKSETHGENKRLERELGKVLENSSLDGEFNHVQKEPMDAGMNSGADRRSSDIADLVQSEETIRGSPEDLKSFELSCSNHFFGNQHNMLPDSNPRSSSEHCNIKTEASLAKKHKKEENGHFLSHARSQNEQNKNGYFDEMESELKGLEYKLGGQSIDCKNDEAQIVVPYMQGIHLFGGDSVGPIERMSQVEALNNNTNKNTKLKEKGSNGNAFHGLSSGQSRKLSDLSQQLQKLGGYLPENWNNMQFADLEVGYDYSFLKPDYDFGLLEEKKLLDICFSHIGS >RHN74782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36674626:36675487:-1 gene:gene10896 transcript:rna10896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEFIKFVSVIILLISLFVVMVDGGFESYCTSDSECESYCSDPKYAMCLVNRCICDYT >RHN58524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2474367:2476336:-1 gene:gene20445 transcript:rna20445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MALARLALRNLQQRVSSSAGSLQKQNKWINNNELVARFATTAVGDKGKSEGSEVAVSEGNNDKKSRLFPRRRGRRWLSRNVDRDFLPAPFELFPSGLGNALMQVTENINKLFNNMNLTPWSLSGRVKESDNHYKLKYDMPGIPKENVNITIGDGVLTIKGEHKEEKEGGGDDDDDNEYFSSSSYGYYNTSLVLPDDAKVDEIKAELKDGVLIVTIPRSEKPRKDVKQVNVE >RHN80382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37607854:37614778:-1 gene:gene4303 transcript:rna4303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGQGQSKSELLYQQVSYGNSDGIKALHREGAGLEWMDREGKTPLIVACMNPELYNVAKTLIELGANVNAYRPGRHAGSPLHHAAKRGLESIVKLLLLHGANPLLMNDDCQTPLEVARAKGNSNVVRVIESHICLFSGWLREFHGPGFLEVVAPNLVSRKVWVVVLPVGSRVLTTPYKLELAVYASLQDARPRTIIPLWKANLEEPKLRQSDPSVAISDKTTRTRLKFGPASENDRQQLTWFSNACKGMPQVSPVFLHNNLPTVPPTAPPDAEDPELAMAIQASIQHALHERPSFPDAQPNSEASSSINGVSAVQGFLGTPNINDSESVAVPGGNTQHVQNDDNVSAGHTTSGLDLNPSAPPFADDVQLDGPVHYPSIDLSPVDVSSSPLVEKLPNEEGKTAGGSGSTCVICLDAPAEGACIPCGHVAGCMSCLNEVKTKKWGCPVCRAKIDQIIKLYHV >RHN80699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40178913:40180225:-1 gene:gene4656 transcript:rna4656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MNFSPENKLGQGGYGPVYKGILATGQEVAMKRLSKTSGQGIVKFKNELVLICELQHTNLVQLLGCCIHEEERILIYEYMPNKSLDFYLFDCTRRKLLDW >RHN63808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54156671:54158130:1 gene:gene26560 transcript:rna26560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MCDWILQYDPPDEPKEYIHRVGRTARGEDGKGNALLFLIPEELQFLRYLKEAKVPVKEYAYDEKKVANIQSHLENLVAENYFLNKMAKEAYKSYILAYNSHSSKDIFSVQRLDMQVQLLEYFILAKIWFWSLQICLVLVLVPVKKICCFWSLQNILFLKIVLAGTISKNKIFCRDLFQNQKILQGLFL >RHN53266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:508534:512494:-1 gene:gene28157 transcript:rna28157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, leucine-rich repeat domain, L MGSFLCTRNATQWRATLDGLRNNPSLDKRIMTVLRISFEGLEPREREIFLHIACFFKGEKADYVRGILDACGLHPDIGIPLIAEKSLITIRNNEIHMHGMLQELGRQIVQGQHPNEPEFWSRLWLYRDFHRVMMTEMKAPIEVKAIVLDQKEDGSEFNKLRAEDLSKLGHLKLLILCHKNFSGEPIFLSNSLCYLSWNGFPFDSLPSNIQLHDLVELNMPDSNIKQLWEGIQRLPCLKRMDLSNSKNLRTTPSFEGIQNLERIDFTGCINLLQVHPSVGLLTELVFLSLQNCTNLTCLDFGSVSRVWSLRVLRLSGCIGLRNTPDFTVAANLEYLDMERCINLSKIDKSIGTLTKLRFLSLRHCTKLFPISNIFDNMTSLTTLDLCECWNFTTLPLPTTVNSPSPLESLIFLDLSFCNISVLPDSIGKLKSLERLNLQGNHFTTLPSTFKRLANLAYLNLSHCHRLKRLPKLPTKSGQSDSVGRYFKTTSGSRDHRSGLYIYDCPKLTKRLFSCEDPGVPFKWLKRLFKEPRHFRCGFDIVLPLHRKHIDLHGNPLIPQWFDYKFEKGSIITIKNSNMHVDWVGFAFCVAFQIDNRPAVSGSPYRFHSSPLPYPFCLSFESEHTEECFDMPLSLERNKVAGSNYIWVIYISREHCHFVKTGAQITFKAGEDGHGLIMKKWGFRVLTKKGLKRTSETQLPMPFIENVGQRSRRVEPKIKLPYNWSVSDEDEVENEEAKGKEINLFNLGLLTGRLH >RHN82677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55629388:55637197:-1 gene:gene6856 transcript:rna6856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptidase B transcription factor WD40-like family MLNQNLVRLNISRLFCCIPLTVSVLTLSLPFSTTAAFSHHHRRPMTTSPPQSQSLSPHSQSPLPPPVAKKVEHKMELFNDVRIDNYYWLRDDSRSDPDVLSYLRQENAYTDSVMSGTKKIEDKLFAEIKGRIKEEDISAPVRKGPYYYYKKTLEGKEYVQYCRRLISDNQKVPSVNDTMPTGPDAPPEHVILDENIKAQQHEYYSIGTFKVSPNNKLVAYAEDTKGDEIYTVYVMDIETQAPIGEPLVSVTSNLEWAGDDALVYVTMDNILRPDKAWFHALGTKQSKDTCLYEEKDDTFSLDLEASENKKYLFVASESKTTRFNFYLDVSKHEEGLKVLTQRVDGIDTTVSHRGDHFFIKRRSDQFFNSEVVACAVNNTSSTTVLIPHRESVKIQEIQLFSDHLVAYERENGLPKIIVYHLPSVGEPLRSLESGQVVSFIDPVYYVDSSESEFSSSILRFSYSSLKTPPSVYDYDMKAGISVLKKIDSVLGGFDATKYVTERQWARASDGTLIPMSLVYRKDLVKLDGSDPLLLYGYGSYEACIDPSFKSSRLSLLDRGFIYVIAHIRGGGEMGRQWYENGKLLKKKNTFTDFIACAEHLIEKKFCSKERLCINGRSAGGLLIGGVLNMRPDLFKAAVAGVPFVDVVTTMLDPTIPLTTSEWEEWGDPRKEEFYFYMKSYSPVDNVKVQNYPHILVTAGLNDPRVLYSEPAKFVAKLRDMKTDDNILLFKCELGAGHFSKSGRFEKLQEDAFTYTFFLKTLNMTNHL >RHN55371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17715229:17723156:1 gene:gene30542 transcript:rna30542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, leucine-rich repeat domain, L MVGIYGMGGLGKTTLACAVYNCIADQFDSLCFLANVRENSMKHGLVHLQEMLLHELALAGELDWFGSGSRVIITTRDKHLLHVYRVERVYEVEGLNRKEALQLFGCNAFKTQKIDQRYEDISKRVVLYSKGLPLAVEIIGSDLYGKTILEWESALDTYARIPHENIQEILRVSYDGLKEFEKEIFLDLACFFKGAKLSDVKNILCCGRGFSPDYAIQVLIDKSLIKFEDYSVKMHDMIEDMGREIVRLEAPSKPGERSRLWFSKDILHVFKENKTLEAYSCKELARIKKGEGRVRKTMSSDVRSVVDFSFCHLSDEFLATLLPCLHYVTDLSLDYSSIKILPSCINTCQSLKGLAMNNCTELREIRGLPPNIKHLSAINCTSLTSQSKDMLQKLHNSGAKYICFPESTIPSLFHQYKREPSMSFRFRNKLPPMALSTVVVVSGGLYFSKCCVKYEFDLIINGKHLKNFFHVRWSKMNAFGRNLDHIILLNLRFKANLDMIGELNIKNGWNHAEISLAKNDVKWKRLHVQEQKTNMEDIQIINPDDAKEGKEKRHVCKLN >RHN58771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4709990:4710226:-1 gene:gene20714 transcript:rna20714 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARIEMEKYDWRAATRTIRNENYNDVIWFWKITVDTFHKGKLLVTLQSSLMKCVNINFLASGARKKLNYW >RHN77870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10099889:10100383:-1 gene:gene1372 transcript:rna1372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MNFLYWNIRGIAMSVLSFVNGLKVGVDAIQQDEVVMISIACLVVLFSLQKYGTSKVAALFTWFCSLAGNGVYNLVKYDNNVFRAFNPIHIYYFFARNSTKAWYSLGGCRPTSSEAMFADLFLFCRAYYWVIWVKLHTLWNTMLMLVKPFFSFCSKWCMLAITMM >RHN39232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5148741:5149052:1 gene:gene45236 transcript:rna45236 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFELPYMSMDVDHWLKLASQSGVEVLNLFLPNRGECYVLPNGVIEVKLLTKLVLVGGIRVDQAFMNHSIKFFSLRKLYFWRIPLGDEQAIEYLISRCPLIE >RHN49111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52518399:52521241:1 gene:gene43905 transcript:rna43905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MYPLTMAFSSSTQLLQSHNPLSNLQGNFSQDALSMVQRSHTVSQIGYSKSLSLKSHVAFRFSATQQFGARSISYSRRITCAAAAENVQELQAKVTTKCFFDVEAGGESLGRIVLGLFGEVAPKTVENFRALCTGDKGYGYKGCSFHRIIKEFMIQGGDFTEGNGTGGVSIYGSSFEDESFALKHVGPGVLSMANAGPNTNGSQFFICTVKTPWLDNRHVVFGHVIDGMDVVRTLESQETSRLDIPKKPCRIVNCGELPIDG >RHN73984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23128218:23133940:-1 gene:gene9901 transcript:rna9901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative demethylmenaquinone methyltransferase transcription factor C2H2 family MAASASHFLHPLNQIQFPKCPHLFTKSHFHPRLLRSQTQRFNIRATSAVVVDSPLDLSTKKDQGTQVDLFACPICYEPLIRKGPIGLNLPAIYRSGFKCKRCQKSYTSKDGYLDLTVTSGLRDYVEVQPNRTELFRSPLVSFLYERGWRQNFRQSGFPGPDEEFRMAQEYFEPAKGGRIVDVSCGSGLFSRKFAKSGTYSGVIALDFSENMLRQCYDFIKKDDTLSTTNLALVRADVSRLPFESGSVDAVHAGAALHCWPSPSNAVAEITRVLRSGGVFVGTTFLRYTSSTSWVARLFRERSSLGYGYLTEEEIKDLCTSCGLTNYSCKIQKSFIMFTAQKP >RHN73714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19270653:19271174:-1 gene:gene9568 transcript:rna9568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock transcription factor family MNNIHRKKTLDSHSLQNTHGQGAATPLSEIERQNLNDIIENLKHDNEHILLEIQTREEEKKIHETQLNYSKEHLKVLEQKQQSMLYSVGHALHKPEIECLIWSPVENTQRKRRYPRNSPFGNEARTQNLVENSQVLRKECICSLIKHGTTVHARHINGSFGEYWNCNSSPCCS >RHN56534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31716920:31718505:1 gene:gene31949 transcript:rna31949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MSSWVRGKCIGKGAFGVVNLAYSKSDSKVFAVKSVDTKTALPSQLEALENEIKILKRLSSSSCSSSPHVIGFLGEDVTCEGNKTSSFKNLHLEYMPGGTVAEINRADVDEKLVRNFAWCLVNALRDVHARGVVHCDVKGRNVLIGGSVAKLADFGSAMEFSGGECEVPRGSPMWMAPEVVRREYQGPESDVWSLGCTVIEIFTGKSPWEDRGFETLSRIGFSDELPEFPSGLSELGRDFLEKCLRRDRNRRWRCDQLLQHPFLLPCDRVVETSPRCVLDCEENEFEFEEENEVKLNSDENENSGKNRIGKLATGMRVNWETEDWIEVRAISSEQKEEATMENEEYECDCEGEEAESGVIWEIENIMRVEEEMEVGSNSEYGDSDERVKWEMWKYNRNRRNNVIGGSGSWSGWRCRYRYGWGNFNRNISGIFSICTCCNNCKLFKSCKLFSIYNNCYYSLWLKIFFNWCFKLRFMLNMVKSVFILKFSGNNLIRTILFSQILEEFVQYK >RHN61251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34159812:34161267:1 gene:gene23692 transcript:rna23692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MEACNKVMIVGMLFAIANAMFANGELTVCNLTRKERMACESYIVHHDPSPTCCYAITKADFQCFCEYKKNGWIVFYGILPRITLEIPVKYCDPLHLHVDLWAMPLDDFEHDARGAEEFANDVVGGVGFPSVVGEVPQSSCFERGGPSVPVSIVKETREYVVGSGELGRVNGPKVPWLISPSKVDVVPIMERTPSVVASVGQTLMSLATSMWMLQCGSYLL >RHN50758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10181153:10187272:-1 gene:gene35083 transcript:rna35083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VI-1 family MENKLHPKVILVLLTIFLSTSHSTELQSSHTHTLLRIKRLLNFPSVLSSWNSNTDFCNTDSKASQTVVCYGEAITQLHIVGETTKPQQILPKNFSIDSFFTTLLRIPSLKVLTLVSLGLWGPLPGKIGSLSSLEIVNMSSNFLYGKIPQELPLLSNLQALILDNNMFEGHLPDWLDSFPSLTVLNLKQNLFNGSLPDSLSSLTNMRVLSLSRNRFTGSVPDLSRLINLQVLELDDNGFGPNFPRIGNKLVTCVLRNNKFRSSLPDNMSSYYQLERFDISENAFVGPFQLELFSLPTITYLNISSNKLSGMLFENLSCNSVLEAVDLSSNLLTGKLPKCLVSHSNDRTVLYGGNCLEETKQNQHEQAFCHTEAIAVGIVAERKKRKGVSKTVLSLAIVGGIFGGLALFALIFTIMIRRGNGKSMMMNSQTKLISENAASGYTSKLISDARYVPKTMKFGTVGLPPYRAFSLEEIMEATNNFDTTSFMGEGSQGQMNRGQLKEGSLVAIRSVKLTKSCRTRDFMQHIEMISKFRHQHLVSVLGHCFEHYLDDSNVRRIFIVFEYVPNGSLKTWISDRHYRKSLTWNQRIEAAIGVAKGIQFLHTEIVPGVYSNNIKITDVLLDQNFVAKISGYNMPLLSNMGKVGQGNPSSIFKSPSLKQNVKSEDKSDIYDFGVILMELILGRTIKLRNVDTLKDLLQSSITEDDEARRSIIDPSIRNACLDQSLKTMMEICVRCLVKEVEERPSIEDVLWNLQFASQVQDAWRGDSLSSDGSPISPLPCRRANFH >RHN50608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8542083:8543926:-1 gene:gene34914 transcript:rna34914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MSNSITCMEKKTCIAMVPSPGLSHLIPLVEFAKLLLQNHNEYHITFLIPTLGPLTPSMQSILNTLPPNMNFIVLPQVNIEDLPHNLDPATQMKLIVKHSIPFLYEEVKSLLSKTRLVALVFSMFSTDAHDVAKHFNLLSYLFFSSGAVLFSLFLTIPNLDEAASTQFLGSSYETVNIPGFSIPLHIKELPDPFICERSSDAYKSILDVCQKLSLFDGVIMNTFTDLEPEVIRVLQDREKPSVYPVGPMIRNESNNEANMSMCLRWLENQQPSSVLFVSFGSGGTLSQDQLNELAFGLELSGHKFLWVVRAPSKNSSSAYFSGQNNDPLEYLPNGFLERTKENGLVVASWAPQVEILGHGSIGGFLSHCGWSSTLESVVNGVPLIAWPLFAEQRMNAKLLTDVLKVAVRPKVDDETGIIKQEEVAKAIKRIMKGDESFEIRKKIKELSVGAATVLSEHGSSRKALSSLALKWQQYTC >RHN54671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11181798:11187255:1 gene:gene29745 transcript:rna29745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterol 24-C-methyltransferase MKHKDGKLIHQPNKNRSLTAAITIIVLCGFSFYLGGVFKSGNNGVDVINTIQKSLDSPKQSSGSLQIKPFSFPECSNDYQDYTPCTDPKRWRKYGTYRLTLLERHCPPIFERKECLVPPPPGYKPPIRWPKSRDECWYRNVPYDWINKQKSNQHWLIKEGEKFQFPGGGTMFPNGVGEYVDLMQDLIPGIKDGSVRTAIDTGCGVASWGGDLLDRGVLTISLAPRDNHEAQVQFALERGIPAILGVISTQRLPFPSNSFDMAHCSRCLIPWTEFGGIYLQEIHRILRPGGFWVLSGPPVNYERRWRGWNTTVEEQRTDYEKLQDLLTSMCFKLYNKKDDIYVWQKAKDNACYDKLSRDTYPPKCDDSLEPDSAWYTPLRACFVVPMEKYKKSGLTYMPKWPQRLNVAPERISLVQGSSSSTFSHDNSKWKKRIQHYKKLLPDLGTNKIRNVMDMNTAYGGFAASLINDPLWVMNVVSSYGPNTLPVVFDRGLIGTFHDWCEAFSTYPRTYDLLHADGFFTAESHRCEMKYVMLEMDRILRPGGHAIIRESSYFADAIATMAKGMRWICHKENTEFGVEKEKILVCQKKLWQPSNSGSR >RHN60264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24369143:24373137:1 gene:gene22542 transcript:rna22542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MDEGLCNLEVDVNGEETFMVDKTIITQYSSKLAKVFGKSSGGSGKLKVIFHDFPGGAEGFELMLRFCYNNGKGNLSPSNLLLARYAAEYMEMNESVKNVSNLLDQTEKSVQGISYWSWSEILITLKQCQNLLVDDSSVMLEKCLDAVVGRLVSASEASPCPSSCSNDSSGIRFSCDSKSTESIKTNFTRSTWWFEDLLFLTPQMVIMLVKSMLSSKLDHYVISRFLLYYQKAKFATATTDEKCKIIEMVIDMHYEIDRNSVSFKTLFGILRVTLCLNISKCSRNKLEIMIGSQLDQVTLDNLLVPSPYGINYLYDVNLVLRFLKAFLRQGTGAVAPVRMRKVASLIDLYIAEIAPDPCLKTSKFLALATALPDSARDSCDELYHAMDMYLEVHPQLSQDERIKICCGLNYEKLSPQACLHLSKNTKFPSKSAVQALISQQSKLKNLLLTTTTTPISTPYNDSLCGSSGSSQKGKKEKSNEQVVLYAGNFDIQPDNEKMKEHLQGMQWRVMELEKVCKKMQTQMAKMTKSKASGHSYGKSLPKLCS >RHN53675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3265817:3266572:-1 gene:gene28607 transcript:rna28607 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKVSGARKDSHSLHRKNLKGTDLMHKETAEPVNSPVKIFDLETTNTKNTHSEQAATELHNITNSPDFHLRLGSLNELHDTEKLHEEEDEDDVVISNPLFGMNSIHNTFDRLQEDSTIHLEENPLMDQIECQPAGDQVHAILEPVLIIPQKDIVHVPHKSTAKPVDLGETKKLTFLSVASASISSACDPCELRPRLMPVPYTTMYAELSTDKRPFTTTHNSKSVQILKQFWGDVEDDDSDTEDLTVMFAK >RHN79026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21320109:21322163:-1 gene:gene2709 transcript:rna2709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MESMCFINYLVIKNFLYEKGVNMAEIVKYVYVIIIFLSTILVATNIEGTMSCFHDADCVHKRCQLPQIPKCVGKKCRCRGQYQANPMGEEWPR >RHN76581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51412720:51413298:-1 gene:gene12931 transcript:rna12931 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKVLIALLTLVLLFHYSLTESTTLSHNHHRHLNKEEKQEQEQELSVQRRGSGVRRSLRCDPFYLYLYGYCGQWPFPTYRSPNNPFDQTPPPFRYFPRPPRLIPPPLVPSPPPPVVFQPPLIIPPPMPLIFSPPPSPWWISSPPPPQPWWYSPSPPPPSPDPIPWYIQAPPPPPPEPIPWYIPAPPPPLV >RHN69759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46059615:46059974:1 gene:gene18272 transcript:rna18272 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNVGSGSSGSKRSHEDSVGSSARPMGREAAKKKGKMKSKGETLEKVEKEWVQFKELKEQEIEQLKELNLVKQQKNKLLQEKTQAKKMKMYLKLRDEEHLDDRKKELLEKLERELFEN >RHN51259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15481435:15481848:1 gene:gene35669 transcript:rna35669 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLCLISIQIPRCDSIFCDVSPSANSSTISSPVPVMNAPSPSLFAINSPTSSPHASFGSPAVAPAVTPSSVSTPPSQAPSPTISLSTGANSPVISSPIPVKSSPSPSSSTINSPRIPRYRSCYYSIVDLLRFRRN >RHN53657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3177662:3180141:1 gene:gene28588 transcript:rna28588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-functional protein STAY-GREEN MGTLTTAPPPMLTSKFKPSFSPQHKPLFPNRRRLWKKNQSIVPVARLFGPAIFEASKLKVLFLGIDEDKHPGNLPRTYTLTHSDVTSKLTLAISQTINNSQLQGWYNRLQRDEVVAQWKKVKGKMSLHVHCHISGGHFLLDIFARLRYFIFCKELPVVLKAFVHGDGNLFNNYPELQEALVWVYFHSKIPEFNKVECWGPLKEASQPTSGTQRDHQNLTLPEPCQETCECCFPPLKLSPMPCSNEVHNDTYEPIDGIETQQSL >RHN81428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45852941:45853534:1 gene:gene5467 transcript:rna5467 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKAEKPTEKSGSSQPSGQVKKEPAAKSSGTTPKARASKVAPKKTEQKSQPKRKASSKQKITSET >RHN80413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37868206:37870202:-1 gene:gene4340 transcript:rna4340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MEPPLQHPPTPRPPTILGKYQLTRLLGRGSFAKVYKAHSLLDNATTVAVKIIDKSKTVDAAMEPRIIREIDAMRRLQHHPNILKIHEVMATKTKIHLIVEFAAGGELFSALSRRGRFSESTARFYFQQLVSALRFCHRNGVAHRDLKPQNLLLDADGNLKVSDFGLSALPEHLKDGLLHTACGTPAYTAPEILRRSGGYDGSKADAWSCGLILYVLLAGYLPFDDLNIPAMCKKISRRDYRFPDWVSKRARIVIYRLLDPNPETRMSLEGLFGNEWFKKSLKPEAEPEKSIFGCDYGKEGKNLGLNAFHIISMSSGLDLSGLFETTSSSQGGNNNNTWREKRFTSSANIEVVGEKVKEVGGVLGFKVEVGKNSAIGLVKGKVGLVVEVFEIVPCQLVLVAVKVVEGGFEFEDNHWEDWKVGLQDLVISWHNEESS >RHN70938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55233015:55233560:1 gene:gene19574 transcript:rna19574 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLRPQNKYRGFVADIMRKKKIILVFQMEICGGWKVREKVGVRVFKILSI >RHN54836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12757510:12758816:1 gene:gene29928 transcript:rna29928 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLDEEEIWKCLKHPSKRRKIGICPVCLRERLAALCPDCANVRPCSCYASTSSSSSASSSFSRFSVTGDGVGAVGKVNNLIDREPPLRRSRSMAIPFLRSRSRFSGAGGDKELVPDRDSPAINGSKSARSFWSIFKAHKSNSNRGSESERDWEMKKVLTEEIDGDVSRKAAVMARSRSVAVTAVSAGDGEFRAAPRSKGKGWFFPSPMKVFRQSKASKVVQERSPLYRG >RHN48621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48885826:48891350:1 gene:gene43360 transcript:rna43360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphopyruvate hydratase MAAITSIKARQIFDSRGNPTVEVDITVSDGTFARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVANVNTIIAPALIGKDPTQQTEIDNFMVQKLDGTVNEWGWCKQKLGANAILAVSLAVCKAGANVLKIPLYKHIANIAGNKHLVLPVPAFNVINGGSHAGNKLAMQEFMVLPVGASSFKEAMKMGVEVYHHLKAVIKKKYGQDAVNVGDEGGFAPNIQENKEGLELLKSAIDKAGYTGKVVIGMDVAASEFYKEDKSYDLNFKEDNNDGSQKISGEALKDLYKSFVSEYPIVSIEDPFDQDDWEHYAKMTGEIGTNVQIVGDDLLVTNPKRVQKAIDSKACNALLLKVNQIGSVTESIEAVRMSKKAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGAEAVYAGANFRTPVEPY >RHN41886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33887414:33888163:-1 gene:gene48250 transcript:rna48250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase MLGLLRVTQKEGKEPKVEILANEHDGLKFKLTDGVDVGEDGTIYFTEATYKYNLYDFYNDILEGEPHGRFMSYNPATKKVTLLARNLYFANRVAIAPDQKFVVYCETAM >RHN82253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52338697:52339548:1 gene:gene6399 transcript:rna6399 gene_biotype:protein_coding transcript_biotype:protein_coding MPELGWWCRSECLAPETVRKTLGWKSGCCFFMSELGLRRRSTPCRCRKCAGVVVGRRWPVAGGDVCETEAKEKKREMTCMCMLLNCPSFFVVGQNCPQYNE >RHN71320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58212634:58213252:1 gene:gene19987 transcript:rna19987 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYCRMIASSMRPCVTYTQTCLAWFWFLLVRKSCINKENGSKESCKHLNTIKEPSHSCLLIHFVFCFDIMKQGWVCIYIIINIHA >RHN43160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43653318:43656946:-1 gene:gene49694 transcript:rna49694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MASLQLRRILLRSVRFRSISSISSSPIQPPHHPPHINPSNFSSHFHNRALFTPPSLFQIEHFSSESKPEPVSTTEPDPIAIAISSELLKEPDSDPSSVTQRLNLSFSHITPTPNLILETLNLSLEAGRNVLGFHQWLASNPKFTHTDETLSYFVDYFGRRKDFKATDKILSGGGGGQKTLLSAVDRLVRAGRQSQAVQFFERMEKDYGLKRDRSSMKVVVEKLCLKGYASYAEKMTTDLAKEFFPDEEICDLLVAGYCIDGKIEEARRLAGEMYRGGFELGVRAYNAMLDCVCKMCREKDPFQLHSESKKVLVEMDSHGVPRNLETFNVLITNFCKIRKTNDALGLFNFMRQWRCNPNETTFLVLIKSLYQAARLEEGDAMIDGMKSAGINHALKIFTMMKADGCEPGVKTYDLLMKKLGAHDRVDKANALFNEARSRGLDVTLKEHVVDPRFSKKKEKKAVKAEKKRETLPEKMARKRRRLKQIRLSFVKKPKKSRFG >RHN56274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29424534:29424818:-1 gene:gene31646 transcript:rna31646 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSTGTINFPVDPLIDISQHSNMMSIGNKNLYPHLSYQGTPTLQLHHVSDYAVDNNVINDDVQIIDNINLDFWNERPPNKRRLMPPWVEVMES >RHN80424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38021810:38023808:1 gene:gene4352 transcript:rna4352 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPSKKNNRTEDEEGETNGSGPYMSESNVADLPLIKSNKSGDGELGKKKKKKKKLSEESNHKKYNEFDSNECEDDDQGKKMKKKRKLIETVHFVARSGMSN >RHN77846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9885658:9886149:-1 gene:gene1341 transcript:rna1341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MADINQWIVHLTGKYIKEFVLDICFDQRYKIPSSLFSCQGLHHLDLNYCWLKPPTMFEGFRNQKSLYLNRVTMTQVAFNNMIAGCPLLEKLTLTKIDGLTHINIDAPNLKFFEVDEEFESINFDNTFQLSIVLFYMKSKSNQGRLNGFSSNLPKIFIYLAYRA >RHN63518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51903838:51906439:-1 gene:gene26223 transcript:rna26223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-Pl-4 family MKKNLHWLKEISNNVRSGRRLSLGEYKRAVSWSKYLTSSGAAIKGNEQDDWNADMSQLFIGAKFDSGRHSRIYRGIYKNMDVAIKLVSQPEEDEELAALLEKHFTSEVALLFRLRHPNIISFVGACKKPPVFCIITEYMAGGSLRKYLLQQGPHSVPLKLVLELALDIARGMQYLHSQGILHRDLKSENLLLDEEMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIREKRHTKKVDVYSFAIVLWELITGLTPFDNMTPEQAAYAVTHKNARPPLPPDCPLAISNLIKRCWSSNPNKRPHFTEIVKILEKYTDSLEQDPEFFSTYKPSSSNMLVKCLPTCNAGNEFTYSKA >RHN66737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17905536:17908005:-1 gene:gene14808 transcript:rna14808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MNQFQCTLHLQTFRTLLKQCITQKDFLTGKTLHAFYIKSFIPHSTYLSNHFTLLYSKFGTFSNALTAFHFTGYPNVFSYNTIIHACAKHNLPNLAHQLFDEIPEPDVVSYNTLIAVHARRGECGQAVSVFKEVREVGLVLDGFTLSGVISASVEDVGLVRQLHCFALLCGYDCYASVCNAVLACYGRLGRLNEAWRVFREMGEGCRDMVSWNAMIVACGQHREGAKALRLFGEMERMGLEVDMFTMASVLTAFTCLKDLAGGMQFHGKMIKSGFHRNSHVGSGLIDLYSKCAPHGMLECMKVFEEIPKPDLVLWNTMISGFSQHEDLCEDALSSFREMQRVGFCPDDCSFVCVISACSNLSSPSVGKQVHALAIKSDIPCNRVSVNNAFVAMYSKCGNLHDARRIFDTMPEQNTVSLNSMIAGYAQHGAEIESLQLFELMLQEKIVPNNITFISVLSACAHTGKVDEGEKYFNMMKEKFGIEPEAEHYSCMIDLLGRAGKLNKAERIIETMPFDPGSIEWAALLGACKKHGNVELAVKAANKFLQLEPYNAAPYVMLSNVYASANRWEEAATVKRLMRERGVKKKPGCSWIEIDKKVHVFVAEDTSHPRIKEIHTYMSELLMKLKQAGYVADIRLALVKDEDVIAIEEKERRLWHHSEKLAIAFALISTEEGAPILVVKNLRICGDCHNAIKLISAISGREITVRDTHRFHCFKEGQCSCRDYW >RHN43267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44480522:44484703:1 gene:gene49814 transcript:rna49814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GAGA-Binding-like family MDDDRQYENGRHKMDYYRGAHSLWNVDPQHQIKEQNALVMNKKIRSIMAERQAALLELELEAAISEKNEALAARDVALRQRDEALAQRDNALLERDNALAALQSRNSTANFPFNGGIQRGSKRMHHSSNHISNMTEAAYSTTDIIIRDASPVTVITSEDVKSHLTKRTKENKASQTPTKIKKMGEDLNRKAYSEGTKIKSEWDRQDVGLNSIAFDETVMPVPVCTCTGVPRQCYKWGNGGWQSSCCTTTLSMHPLPQLPNKRHARIGGRKMSGNVFRRLLSRFASEGHDLSIPLDLKDYWARHGTNRYITIK >RHN68255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34006169:34006483:-1 gene:gene16586 transcript:rna16586 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNPFGEKGMAAPSSSNLHYILFLLMFLLILINGEDSNSDRSWVMIFRLNWVFPTTDLSHCNHRPIFLPSAKQLKAMNNESCAHVRLAVNINYFLFAKEYIG >RHN49373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54354220:54356485:-1 gene:gene44195 transcript:rna44195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GeBP family MASQQHDTVFPEEDIDDEEDEFHEYEEEDEEDDDVLVDDEENDLPSASASISVPSVTVAVPGGALRPPILTPTATTIIFADSTFSSDSKRQRLDQIEEKKPLLVEDSRKLFQRLWTDEDEMELLQGFLDYTTQRGSSHHNDTAQFYDQIKSKLQLDFNKNQLVEKIRRLKKKYRNVVSKMSSGKEFVFKTAHDQATFEISRKIWNNVAPIAGDIAIDDDEINLNPISNPNLNFTPVILKSEVNSTTRKRSRPRSVSIRSFEKRESNDAVASNRDRDYLNINLNNPNPNPYPNHNHHPNPNPNAYTTTATVNPAITPTITTPNVNTNAAIHANNYSNNCSTAGNGVAGVIEETVRSCLSPFKELMAGAMGGGLFASRGSFAMNPLPFQMMNLGFGGGELADEKWRKQQILELEVYSKRLELVQDQIKTSLDELRSVGGSSQL >RHN49424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54725859:54733726:1 gene:gene44252 transcript:rna44252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myosin ATPase MTSFSVITCVGLLSDSRHQIHFRSKVSVLERLIFLIDKLTSCYFYLQGTPVNIIVGSHVWIEDPDISWVDGQVSKITGQDAEIETTNGKKVVAKLSKILPKDMEAPAGGVDDMTKLSYLHEPGVLENLKIRYELNEIYTYTGNILIAINPFQRLPHLYDAHMMQQYKGAPFGELSPHVFAVADVAYRAMINEKKSNSILVSGESGAGKTETTKMLMQYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSRQVFLTCEHRFGKFVEIQFDKSGRISGAAIRTYLLERSRVCQVNDPERNYHCFYLLCAAPQEEIEKYKLGHPKTYHYLNQSKCYELADISDAREYLATRRAMDIVGISQKEQEAIFRVVAAILHIGNIDFTKGKEVDSSVPKDDKAKFHLNTTAELLMCDVGALEDALCKRVMVTPEEIIKRSLDPQSAQISRDGFAKTMYSRLFDWLVDKINNSIGQDANSKSLIGHVFKMEQEEYTKEQINWSYIEFVDNQDVLDLIEKKPGGIVALLDEACMFPKSTHETFSNKLYQTFKNHKRFIKPKLSRTDFTIAHYAGEVQYQSDQFLDKNKDYVVPEHQDLLSSSKCSFVAGLFPPPPEETSKSSKFSSIGSRFKLQLQQLMETLNSTEPHYVRCVKPNNLLKPAVFENVNIMQQLRCGGVLEAIRISCAGYPTRRAFFEFINRFSLLAPEATEGNLDEKAGCHKILEKMGLKGYQIGKTKVFLRAGQMAELDARRAQVLSVAAKTIQRRVRTYQARRHYLALRKKTIYVQSLWRGRLACKLYQNLRREAAAVKIQKHVRRYESRKSYNKLHASVLTLQTALRAIAARKEFNYRKRTKASTIIQASRETGALKEAKDKLEKRVEELTWRLQLEKSLRTNLEESKAQEIAKLQNSLQDMQSKVDETTSVLAKERENAKKSIEEAPPVIQETQVIVEDTQKVESLTAEVESLKTSLESEKQKSDDFEKKYNEAQAYSEERSKKLEDTEKKARQLQESLTR >RHN63138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48780150:48785818:1 gene:gene25802 transcript:rna25802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynamin central domain, dynamin, GTPase domain, GTPase effector domain, Dynamin superfamily MDSLISLVNRIQRACTLLGDHNADYASQSLWESLPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHRIEERLQEYAEFLHLPKKRFTDFSMVRKEIEDETNRVTGKSNKISPVPIHLSIYSPNVVNLTLVDLPGLTKFAVEGQPESIVQDIESLIHSYVDKPNCLILAITPANQDVATSDAIKVSRQVDPAGERTFGVLTKIDLMDKGTNALDVLEGRSYRLRNPWVGIVNRSQEDINKNVDMIAARQREREFFATNPDYAHLASKMGSEYLARLLSKHLESVIRARIPGIASFITRSVDDLEAELAHLGRPVAIDAGAQLYTILELCRAFDRVFKEHLDGGRPGGDKIYIVFDYQLPAALRKIPLDRHLSLQNVRNVISEADGYQPHLIAPEQGYRRLLESSLNYFKGPAQASVDAVHFVLKELVRKSISETEELKRFPTLRSEIAVAAIEALERFREDGKKATLRLVEMESSYITVDFFRKLPQEVSDRGGNQASSSADGHFQRIGSNVLSYVGMVSETLRNTIPKAVVHCQVREAKRSLLDHFYAQLSQKEELQLAHLLDEDSVLMEKRQQCAKRLDLYKSARDEIDAVCWSG >RHN73839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20534829:20535994:1 gene:gene9721 transcript:rna9721 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MNIIFKCVYHMIVILLLLLVATEAGTGNIRQSCEFDVDCENKYCPPSHDGKCVWEEEGEEGEEEYCGCIPR >RHN49228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53421886:53428062:1 gene:gene44037 transcript:rna44037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MDLNIDDGDGDTRHRKSSDSVPCSICLEIVSDNGDRSFAKLQCGHQFHLDCIGSAFNAKGAMQCPNCRKIEKGQWLYANGSRSYPEFNMEDWTRDDDVYDLSYSEMSLGVHWCPFGNFAQLPSSFEEREYSPIPYHDAPGPMFTEHSAVSSGSHPCPYIAYVGPVHPSTSNSGGTVSEVSNFNHWNARPIHGDMSTSYTVPAVVLHYHSWDHHSSHFSSGSSHLGAADQPSVSQSNQRPTRGSEATRSGSYMHPYPVGHSSVARAGNSAASSMIPPYPGSNARARDRVEALQAYYHQQQPPNSTTVRPPVASSARRSSGHSRSAPLASLSSPPDQSGSYIYLPGRNFQEETRLPSHFHAWERDHLPSSSLNQVGRESSWRAYHQTASGTDPGFRSSSFRLRSDSERTPSQNR >RHN43391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45426706:45432196:1 gene:gene49958 transcript:rna49958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-BEL family MARQLCHEDDKTSSGGFCYNPTIQTQIQGFVSDPEMFNLTTGMEMIGFSKQHLQQHSTDTTNSVMWKTNFSGKLSQQHVGPSSSSKTMNDNFYHHQDFTVSDTTSSDQNLNNMVHHDSSSHHQWQQVDDSSLRCVFPCEGNERPSQGLSLSLSSTNPSSIGLQSFELRHTTNHHLQDQGYQGHFLLKNSKFLLPAQELLNEFCSLESTKQNDLVLQKQKSQKFNNKQSWEDDNNNGGSSSSIKQSLTSVEFVELQKRKTKLLSMLEEVDRRYKHYCNQMRSVVSSFEAVAGNGAAKVYSALALKAMSRHFRCLKDGILEQIQTTRKAMGEKDPVAPGTTKGETPRLKILDRVLRQQRAFQQINMMETHPWRPQRGLPERSVSILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEEEKEQQRNTTTSDGGNNINHEEDNLNVLQNQSQTQPHEDQKPRLLRIDSECVSSIINNDHKNDANNKNLNHHQLAADAFGSVDIDFSSYNTHHSSSDMVAAYTSGESFHQGGSSGGVSLTLGLQQHGGNGVSLAFPPTSQSPMFYTRDQIEEPVQYSLLDGEGTNIMPYRNLMGTQLLHDLA >RHN56448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30948122:30948541:-1 gene:gene31853 transcript:rna31853 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHNALTVLSYLPQLYTLFLIYCMFISDFDSNIYACVNASGPLVRNSVTDLDKEVDKLRMKYRSYIVEYDVEDGIVLLPKLFGAAFRLD >RHN39919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11698285:11698863:1 gene:gene46003 transcript:rna46003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MKGVKISLNFIQTIIFLLILVSTLCLSIEASTCKPSGKIKGKKFKSRLCNRADQSECCKPGKSYTTFKCSPQVSHKTKATLTLNSFEKGGDGGAPSECDNKFHSDKTPVVALSTGWFNNNKRCLKKITIFGNGKKVNAMVVDECDSTQGCDAEHDFQPPCSNNIVDASAAVWKALGVPEKDWGEMEVFWSDT >RHN75711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44464620:44465540:-1 gene:gene11949 transcript:rna11949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MGVLQSIFLYLVLLQACIKVSSYRDEEWKSATATYIQDKDGSLITEGACGYGDIHKVDYGKYSTGLSTILFNKGSTCGACYEIRCVDHILWCLIGSPSVVVTVTDFCAPNYGLSSDYGGWCNFPSEHFEMSEAAFAEIAKRKSDIVPIQYRR >RHN63477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51577448:51578263:1 gene:gene26177 transcript:rna26177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oleosin MAETLRDDYYGYHQQQQQQNQPITFTQTRTRKGFHPSTSQLIVLATLVPFGATLLILAGLTLTATVVGLAVTTPLFIFFSPILLAAAVVIGLAIAGFLTSGAFGITSLSSFAWVASYLRRSRFLEKVNVKHHHHAIAKPPRFDADETLGHESQINLEDRDRVESMAQDKVQEAQDKVQEAQNDQRDVGKTKKQNVKKSS >RHN67682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29263770:29269751:-1 gene:gene15893 transcript:rna15893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative asparagine synthase (glutamine-hydrolyzing) MCGILAVLGCSDDSQAKRVRILELSRRLKHRGPDWSGLHQHGDNYLAHQRLAIVDPASGDQPLFNEDKSIIVTVNGEIYNHEDLRKQLPNHKFRTQCDCDVIAHLYEEYGENFVDMLDGIFSFVLLDTRDNSFIVARDAIGVTSLYIGWGLDGSVWIASELKGLNDECEHFEVFPPGHLYSSKDREFRRWYNPPWFNEAIIPTTPYDPLVLRNAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVTARYLAGTKAAKQWGAKLHSFCVGLKGAPDLKAGREVADFLGTVHHEFQFTIQDGIDAIEDVIYHTETYDVTTIRAATPMFLMSRKIKSSGVKWVISGEGSDEIFGGYLYFHKAPNKEEFHQETCRKIKALHRYDCLRANKSTYAWGLEARVPFLDKDFIKVAMDIDPEYKMIKHEEGRIEKWILRKAFDDEENPYLPKHILYRQKEQFSDGVGYGWIDGIKDHAAKHVTDRMMLNASHIFPFNTPLTKEAYYYRMIFERFFPQNSARLTVPGGASVACSTEKAIEWDASWSSNLDPSGRAAFGVHNSAYENQVNSVAKTVEPEKIIPKMEISNLGVAIQS >RHN78488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15565065:15567089:-1 gene:gene2060 transcript:rna2060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin protein, neddylation MIGDDKYEDLSRMYNLFRRVTGGLSQIREVMTSYIRDYGKQLVTDPERLKNPVEFVQRLLDEKDKFSRIINLAFSNGLNLWSENVIYSNQIRTRLSNTLWELVCKYYAGEVVNIKVIRNITNMLMDLGPSVYVQEFENPFLQLPAEFYRAESQKFIECCDCGDYLKKAEMRLNEVIDRVSHFWDPSTQKKITIVVEKEMIENHMIRLILMENSGLVNMIGDDKYEDLSRMYNLFRRVTGGLSQIREVITSYIRDYSKQLVTDPERLKNPVEFVQRLLDEKDKFSRIINLAFSNDKLFQKDLYSSFEFIINLNPRSPEYISLFLNDKLQNGLKGISEDVVEITLNKVMFLFRYLQEKDVFEKYYKKHLAKRLLSGKTVSDDAERSLIAKLKTECGYEFTAKLEGMLTDMKTSLHPMKSFYASHPELGDADGATLTVQVLTTGSWPTQSSVTCNIPTEMVVLCEKFLLYYLSNHTDRKLSWQTNMGTADLKATFENGQKHELNVSTYQMCVLMLFNNADRLSYKEIEQATEIPASDLKMCLQSLALVKGKDVLRKEPMNNYVSEIDAFFVNDKFSSKLYKVKIGSVVAETEPEPEKLKTQERVEEERRPQIQASIVRIMKSRKKLEHNNLVAEVTKQLQSRFLANPTEVKKQIESLIERVFLERDNSDRKLYRYLA >RHN65093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64132169:64133032:1 gene:gene27990 transcript:rna27990 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLSLKLKIQLPNSSNPSCSLFTPKVFIKPIKVLGIKNFKNPPSKYAIKLREASQISLKHTPTVEDAQRAPSMKLPFLENENSRIVIIGAVSVGILLVLNGMDEQKALAFVPEGPLLEEEFWDNVRRYGLYALTVSTGAIYTILVPIFELLKNPITAILIIAILGGGFYIVSQVLTAMVGVSDFSYDYAN >RHN52466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35611215:35611982:-1 gene:gene37149 transcript:rna37149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MENLESIFLKETSIKELPNSFQNLSGLRNLLLDGFRMFLRLPSSILVMPKLSWVLVQGRHLLPKQCDKPSSMVSSNVKSLVLIECNLTGESLPIIFKWFANVTNLNLSKSNITILPECIKELRSLERLYLDCCKLLQEIRAIPPNLKFLSAINCESLSSSCRSMLLDQLETPCFDCQEL >RHN63089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48312575:48316819:-1 gene:gene25747 transcript:rna25747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MLPFLPLLLFLLSFNLNQVLSTPHISEYHSLLSFKSSITNDPQNILTSWNPKTPYCSWYGIKCSQHRHVISLNLTSLSLTGTLSLSNLPFLTNLSLADNKFSGPIPSSLSSLSSLRFLNLSNNIFNGTLPQELSNLFNLQVLDLYNNNMTGSLPVSVTHLSFLRHLHLGGNFFTGKIPPEYGSWTHLEYLAVSGNELSGHIPPEIGNITSLKELYIGYYNTYDGGIPPEIGNLSEMVRFDAAYCGLTGEVPPELGKLQKLDTLFLQVNALSGSLTSELGNLKSLKSMDLSNNAFTGEVPVSFAELKNLTLLNLFRNKLHGAIPEFIGEMPSLEVLQIWENNFTGSIPQSLGKNGKLTLVDVSSNKLTGSLPPFMCFGNKLQTLIALGNFLFGPIPDSLGKCKSLNRIRMGENFLNGSIPKGLFGLPELTQVELQDNLLSGNFPQPVSMSINLGQVTLSNNKLSGPLPPSIGNFTSVQKLILDGNQFSGKIPAEIGKLHQLSKIDFSHNKFSGPIAPEISHCKLLTFVDLSRNELSGEIPKEITKMKILNYLNLSRNHLVGTIPGSIASMQSLTSVDFSYNNLTGLVPGTGQFSYFNYTSFLGNPELCGPYLGPCKDGVANGPRQPHVKGPLSSTVKLLLVVGLLVCSAIFAVVTIFKARSLKKASEARAWKLTAFQRLDFTVDDVLDSLKEDNIIGKGGAGIVYKGAMPNGDLVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSGFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVAGRKPVGEFGDGVDIVQWVRKMTDSNKEGVLKVLDPRLPSVPLNEVMHVFYVAMLCVEEQAVERPTMREVVQMLTELPKPPSSKHVEEDLTTLTINESSLSSSNSLESPSKDPKDLLSI >RHN49733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:726325:727290:-1 gene:gene33940 transcript:rna33940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain, rmlC-like jelly roll MKSIYLFFTAFLALASCAFAFDPSPLQDFCVAINDTKTGVFVNGKFCKNPKLATPNDFFFSVKEGNTSNPLGSKVTPVTVNDILGLNTLGISLARIDFASRGLNPPHTHPRATEILIVLEGTLYVGFVTSNPENRLITKVLNKGDVFVFPIGLIHFQLNVGYGNAVAIAGLSSQNPGVITIANAVFGSNPKISSEVLTKAFQVDNNIVDNLQKQFWVDNN >RHN61723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37825333:37826634:1 gene:gene24213 transcript:rna24213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MASIFKAASHPLLLCLILFSASQILVINCTEFEVGGRIGWVVPDSKDKDDMYNQWASQNRFKIDDTVHFKYEKDSVMVVNEEEYEQCKSTRPLFFGNNGNTVFKFERPGMFYFISGVSGHCTRGQKMIIKVLDVEPITAASPQSANESAPIAQHSKAAQITPITITSFTLFTLSILGICLKY >RHN39171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4658578:4661789:1 gene:gene45172 transcript:rna45172 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGIFTRFFNLIVREDKGMKKPILLKCGLALALTFAGFLFSHFKTRRIKPSPKGPPSGHASEVNSRGISASSSFCNIHSEGNNLEYEETCISKVVCRSSPIVVSPRTKKNDEKDDFLLPEHNDSPSTYASLEKDAYEQEIRKLKNMVIMLQERERSLEVQLLEYCGLREQETVVMELQNRLKISNIEAKMFNLKVETLQSENRRLEAQVAGHAKVLAELEASKTKVKLLKKKIKYEAEQNKEHIINLKQKVSKLQDLECKAVAKDQEIQMKLKRLSDLEAEAEQCRKSNLRLQMDNSDLATRLDSTQILANSVLEDPEADALREESDRLRQANEDLTKEIEQLKADRCTDVEELVYLKWLNACFRHELRNYQPAPGKTVARDLSKNLSPTSEKKAKQLILEYANAEGRTSISDFDSDQWSSSRASSYVTDPGDSDDYSPLENPSDARVNNAKNKSKIFGKLMKLIRGKDSSNHLSGSVTSVEKSRSREDSINDGLKSEYETLTDMSQNSIDLNSTLSLKEETRRNSDVGSLKNFGRRKSVAGDLKFITQSFSDSYASEKSNLIKYAEALKDSTSSETPPKPLLNRRSSSYSSF >RHN61717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37788294:37790838:-1 gene:gene24207 transcript:rna24207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MAYMCADSGNLMAIAQQVINQKQQQEQHEQQQHQHHHHHQNILGITNPLSVSLHPWHNNNIPVSSSTSLPPLSFPPTDFTDPFQVGSGPENTDPAFNFPSPLDPHSTTFRFSDFDSDDWMDTLMSAADSHSDFHLNPFSSCPTRLSPQPDLLLPATAPLQIPTQPPTPQRKNDNTTTETTSSFSSSTNPLLKTLTEIASLIETQKPNQAIETLTHLNKSISQNGNPNQRVSFYFSQALTNKITAQSSIASSNSSSTTWEELTLSYKALNDACPYSKFAHLTANQAILEATEGSNNIHIVDFGIVQGIQWAALLQAFATRSSGKPNSVRISGIPAMALGTSPVSSISATGNRLSEFAKLLGLNFEFTPILTPIELLDESSFCIQPDEALAVNFMLQLYNLLDENTNSVEKALRLAKSLNPKIVTLGEYEASLTTRVGFVERFETAFNYFAAFFESLEPNMALDSPERFQVESLLLGRRIDGVIGVRERMEDKEQWKVLMENCGFESVGLSHYAISQAKILLWNYSYSSLYSLVESQPAFLSLAWKDVPLLTVSSWR >RHN64168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57067975:57069491:-1 gene:gene26955 transcript:rna26955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MNLFAKAEDSVSSTTMDKPLKLYFIPYLAAGHMIPLCDIASLFASRGHFVKIITTPSNAQILPKSNNFNVHTFQFPSQEVGLPDGIENLSSVTDLDSSNRIFQATTLLREHIENFVEQEPPDCIVADFLFPWVDELANKLHIPRFAFNGFSLFTICAMESLKSQPLPEDASGSFVIPNFPYDIIINSKPPAESKSFIDPLLTIALKSHGFIINSFVELDGEECVEYYERTIGHKAWLLGPASLVRKTTQEKANRGEKSTLSVQKFLTWLNSKRDNSVLYICFGTFCYFPDKQLYEIASALEELNCEFIWVVPEKKGKENESEAEKEKWLPKGFEERTKGMIVRGWSPQVVILGHSAIGAFLTHCGWNSITEAVSAGIPMITWPVHSDQFYNETLITQVHGIGVEVGADEWLTIAFRDMEKLIGRDRIKKALRRLMDSGDEAIQIRRRAQEIAKIAKHVVQEGGSSHDNLTALIDELKQLRDNKGLN >RHN44981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10440363:10442341:1 gene:gene39171 transcript:rna39171 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCGENVRLVRINYSIEKAETGVHFRDSVLHTDNQIRRARCWFPCIDDNIQQCCYDLEFTVAHNLVAVSTGSLLYQVLSKDNPPRKTYVYKLDVPVSARWISLAVAPFEVLPDHQFGLISHMCLPPNLAKMRHTVEFFHSAFRFDM >RHN38421.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000023.1:20387:25033:-1 gene:gene50762 transcript:rna50762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterol 3-beta-glucosyltransferase MASNDNFNRSDSSFSDNSVYLDAQDRSVHDASSSGRINRDSSSSVSQNVEEYGALGVVPEGESSKNDAIVGKQRLHSRLWARFFDENVPLRKRLRWLKNAFMVKDDGTVEIDVPGNIKPLALENGTGVIDPSDESCNETINEDIEPIRPQQIAMLIVGTRGDVQPFVAIGKRLQADGHRVRLATHKNFEDFVLSAGLEFYPLGGDPKVLAEYMVKNKGFLPSGPSEIHLQRSQIRAIIHSLLPACNSRYPESNEPFKADAIIANPPAYGHTHVAEYLNVPLHIFFTMPWT >RHN78308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13954668:13957013:1 gene:gene1848 transcript:rna1848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEILISIVGKIAEYTVVPIGRQTSYLIFYKGNFKTLKDHVEDLEAARERMIHSVESERENGKEIEKDVLNWLEKVDGVIKEANQLQNDSRNANVRCSPWSFPNLILRHQLSRNARKIANNVVEVVQGKEKFNSVGHFPPLDVVASSSTRDGEKYDTRESLKEDIVKALADPTSRNIGVYGLGGVGKTTLVEKVAQIAKELKLFHKVVKTEVSKNPDIKRIQGEIADFMGLRFEEETILGRAQRLRQTIKMKKSILIILDNIWTILDLKEVGIPVGDEHNGDEHNGCKLLMTSRDEDVLLQMDVPKDFTFKVKLMRENETWSLFQFMAGDVVKDSNLKDLPFQVARKCEGLPLRVVTVARAMKNKRDVQSWKDALRKLQSNDHTEMDPGTYSALELSYNSLESDDMRALFLLFALFLDEQIEYFLKVAMGLDILKHLNAIDDARNRLYRIIKSLEAACLLLEVKTGGKIQMHDFVRDFAISIARRDKHIFLRKQSDEEWPTNDFLKRCTQIFLKRCHTLELPQTIDCPNVKLFYLGSNNSSFKIPDAFFEGMRSLRVLDLTHLNLLSLPTSFRLLRDLQTLCLHQCVLENMDALEALQNLEILCLWKSSMIKLPREIGKLIRLRMLDLSHSGIEVVPPNIISSLTKLEELYMGNTSINWEDVSSTVHNENASLAELRKLPNLTALELQIRETWMLPRDLQLVFEKLERYKIAIGDVWDWSDIKDGTLKTLMLKLGTNIHLEHGIKALIKGVENLYLDDKDLHC >RHN75404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42044125:42044809:-1 gene:gene11602 transcript:rna11602 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLDWKFPMKNSPHQLNMTRFNREETVLVGPQVKISLDWLFEMGICVICCASNGRKRPFIGCKFHSPIH >RHN57201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37266006:37266921:1 gene:gene32710 transcript:rna32710 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNTRCRPLLSLSISPLMISTSIDASTILAFSSLSYLPQNPFSYPHRCRISNIYKPAYVFFLFLLLPLFSFLS >RHN66468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12685369:12685689:-1 gene:gene14461 transcript:rna14461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TUBBY family MEGSYTRLQEIVQTPEESRRLMFSISLNQLGPRDSPIQCFIMRDRETSAYQLYYVLVPSENETDKLLLAAIGTDFIISMVADDSSRSTLIWNPSMRSSWPSKSTAT >RHN41819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33308888:33310240:-1 gene:gene48181 transcript:rna48181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MPMETIDLTYFLDSPPSIPISSSVAPMILNFDSTNPNLHHQHNTTFLGPSAQEHTHQSHQMITTNCPQTGTHGGSLIARVLRPLPGSNTMPFPSGSRQMVPWSQTEHDLFVMGLIKYGQGRWGKIAENFVCNKTPQQVQSYAASFFRHLPDEYVHGLKKRKYDFNGINSSSSASYYSMHNMIANNDPAKETLALFPIVPTYHEGEASRRNNTNNYEASTSMTLPSPSAGDGGVDLELRLGLY >RHN43090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43093910:43094744:-1 gene:gene49614 transcript:rna49614 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCRRPLQLLVIWLLLILFILGQCHGSRTTNDFKVKPKSEHQGHFFGFLPKRMHIPYSTPSRKHNDIGLRSWRSP >RHN49466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54995987:54999902:1 gene:gene44302 transcript:rna44302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MDKGFSSSLLLLLLLMISSLVISVYGIDGEYVHCNCDDDDHGIWSVQSILVCQKVSDFFIAIAYFSIPLELLYFVSYSNVPFKLVFLQFVAFIVLCGLTHLLNAYTYYGPHSFQLFLSLTVAKFLTALVSCATAITFPTLIPLLLKIKVREFFLKQNVLELGQEVGIMKKQKEASWHVRMLTREIRKSLDKHTILYTTLVELSKALELHNCAVWMPDEDRRVMHLTHELKPNPAKSFHSSISVNDLDVLEIRKSKGVTILRPDSALGAASSGESGDSGAVAATRMPILHVSNFKGGTPEFVETSYAILVLVLPKSNSRAWTHHEMEIVEVVADQVAVALSHASVLEESQLMRQELKEQNRALQQAQKHAMMARQARSSFEKVMSHGMRRPLHSILGLLSMFQEDNIRSEQKIVVDTILKISNSLSRLINDVMEISANDKGSFQLELKHFHLHSMLREASCIAKCLCVYKGFGLEIDVQRSLPDMVIGDEARSFQLILHMIGYLLNLYDRGTLIFRVSFESDTADKDDRSSGILRSSMQNDNVHIKFNFQITGTSSHSDGPILNSSCASRMPHSNEPKEGLSFSICKTLVQMMEGNIWISPNSLGLAQGMTLLLKFQLGSSHGRFVLANKDFSNQQFRGLNVILADDDNVNRTVTKKLLEKLGCQVTAVSSGFDCLAAITASSIPFKIILLDLQMPEMDGFEVARKIRKFQSRNWPLIIALTASAEERIKERCLQVGMNGLIRKPILLHEIANEIRTVLLRAGEKF >RHN51319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16146082:16146369:-1 gene:gene35742 transcript:rna35742 gene_biotype:protein_coding transcript_biotype:protein_coding MKRREKVEDGDDHDWLVEAERVSPGLTAVTVAATKRIKAKNRKILSVGKRRL >RHN75990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46817413:46822081:1 gene:gene12259 transcript:rna12259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative association with the SNF1 complex (ASC) domain-containing protein MNSQLVVDLNSKMNNPYAANPGEATVMGFEILKTSDSGYNNAYPLNEDEARDPPEIPPQLQNTLVGYPANVDSFSSLPLPQNAILNHLYIENREPQRSVVALGYTHRFRTKFVTAVLYKPVQRRGATNI >RHN66824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19490808:19492179:-1 gene:gene14920 transcript:rna14920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain, legume lectin MMAFIPTNQKLFPSLLLIITTSFLLLAPIPVNSDKIVSFDFPKFTGNESALTLQGDAFIAYDQVYLTGYAHPKRAVGRLLYSTPVPLWDKTTGNVASFVTSFAFLLNFQKTIVPADGLIFFIAPPNSVIPNNAAGGNLGVVDPNTAFNRFVGVEFDNYVNEWDPDYAHIGIDVNSLISSKTVVWKPLHGYYVKVSIAYDSSSKILSVVLTDQSGQLATVAQVVDLKAVLPETVTIGISASTSELCRQIQNIYAWSFTSTLKTTISSITSNNTNNLASYA >RHN52980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40829283:40830044:-1 gene:gene37722 transcript:rna37722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MILQCKWLLACPEVQFVSVEDILETIVTKEKELELQREDLALKPENITEKIVEGRISKRLPDLALIKQPFIKDDSVSVKDLVRQSIAAIGENIKAGRFVRFTL >RHN75879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45814505:45818273:-1 gene:gene12137 transcript:rna12137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MENNFRKHCFSDIIMNRELGFSHPTSFTHHFYASQVLVKNLSLYAKLEGHEGCVNAVEFNSTGDILVSGSDDRQVMFWNWESKTKLFDYPSGHEDNIFQTKIMPFTDDSRIVTSAGDGQVRLGLVQEDGRVNTTMLGKHQGSVYKLAVEPGSPHIFYSCGEDGFIHHFDLRSNSATKLFCCSSTKGNKKQPPGKIGLNSIVIDSRIPYYFSVGGSDEYARVYDIRKCHWAASKDSDQPVNTFCPHHLIGSKNVHITGLAYSKSSELLVSYNDDLIYLFEKNSSFDSLPSSAACEDPKNLQETQVYSGHRNAKTVKGVSFFGPNDEYVLSGSDCGHIFIWSKKEAKLVRLMVGDRHVVNQLEAHPHIPFLATCGIEKNVKIWAPLGSDTPPLPSNVKEIIEANRQGREDRLQVTLAPDVIMHVLRLQRRQTLAYTERRHNSADIVSDDEDAEDYFLGLLDGDVSSEEDSLGNSRDCNIS >RHN65766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5139238:5146051:-1 gene:gene13653 transcript:rna13653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Arf GTPase activating protein MEMIHQEKSEINGISGIPGSGSCCLYDLFCTETPSLNSQSQRERWMLSGPQERLDNLMRQAGNKFCADCGSSEPKWVSSSLGVFICIKCSGIHRSLGVHISKVLSLNLDDWTDEQVDSLVNLGGNTLINKKYEACVPSYVKKPKPNSSIEERSDFIRRKYELQQFLDSEENLICPFIPSHSRTTSSSHSSSSSYNPPQEKKRYDKQTTRNRIGLSFRNSWGRKDSESKSTKKSNSLAGMVEFVGLIKVNVVKGTNLAIRDIVTSDPYVILSLGHQSVKTRVIKNNLNPVWNESLMLSIPENIPPLKIIVYDKDSFKNDDFMGEAEIDIQPLVSAAKAYEKSSIMESMQLGKWVASGDNTLVKDGIISLEEGKVRQEISLRLQNVERGVLEIQLECVPLTQ >RHN55203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16079471:16086135:-1 gene:gene30347 transcript:rna30347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cofactor assembly of complex C subunit B, CCB2/CCB4 MEAGTTLRVLFTLPHTKTHIPIFISNRTSKPKTAFTLSSPSTSSYSSTVHSFRTPNPKRDFLPDWVSQNDDVVRPLPIYVGAASLFAVLFNRTISGIAPVADAGSSQSRADLLTLGLAVTNILAGLVWLSIKPKSISVVNPQGVECKKLCTALPDVALTELVWAWESLSDVTCCRSLVIVYESNCVLQFGFAAESSPGNGEAVSVDTDKLMQGSVYQGVMKSGTQSYLANLSLYPGKSELPFLPSNTQAVILQPLGDKGIAIIGGDTIRGFTSSDQAWITYIGEKLDSTLSKYVKQHQTLT >RHN68047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32346547:32350273:-1 gene:gene16336 transcript:rna16336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lactoylglutathione lyase MAPKRVLLLCGDFMEDYEGMVPFQALQAFGVSVDAVCPGKKSGDVCRTAVHILSGGQTYTETVGHNFTLNATFDEVDHTSYDGLWLPGGRAPEYLAHIPSVVELVTKFVKSGKEIACICHGHLILAAAGVVEGRKCTAFPPVKPVLVAAGAHWVEPDTMSTTVVDGNLITAPTYEGHPELLRHFLKALGGKISGSDKKILFICGDYMEDYEVKVPFQSLQALGCHVDAVCPSKKAGDTCPTAVHDFEGDQTYSEKPGHNFALTATFDDVDPSGYDALVIPGGRSPEYLSLNEAVIALVKHFMENKKPVASICHGQQILAAAGVLKGRKCTAYPAVKLNVVLSGATWLEPDPISRCFTDGNLVTGAAWPGHPEFIAQLMALLGIQVSF >RHN75300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41089567:41091194:1 gene:gene11480 transcript:rna11480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MQRASDLPAVSIFIANKIIDRARSAMNELCKIGIAENNVWHQHREHRYEILDDFEYLKQFGCVDATLLEIVKLVEVGELQTLPSFDLCRNQNSMYTMEVFEQGLQIEASRDKALIKISPTKLVELLMDVNQWSTAFYNIVSGARILGSIEGSYDEKMHVMSAEFHLPSPVIPTRKCVFARYSKQFTHNIWAVVDVSLEDILQSPSNNFHKRPSGCLIEGMPDGNSKVIWLEHVEADYSKLSDLFRPLVTSALAFGATRWLTSIVRYIEWSETLKAPKLIADAGGMFIFLLQNFLKTFLDNLKVEEYLR >RHN57635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40664424:40664704:1 gene:gene33212 transcript:rna33212 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPYIYTTLLSILCPTPNSHLTFIKQWLTTMSRLCSNTIAGQHLWIPILEKIEFHFSH >RHN64174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57090981:57091217:-1 gene:gene26961 transcript:rna26961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MITWPVHGEQFYNEKLITDVRGIGIEVGATEWCVDGIEERNKVINKDNIEKAVRKLMDGGDEAEDIRRRAREFGDKAI >RHN47870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43179286:43181700:-1 gene:gene42519 transcript:rna42519 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKYIVASLIGSFGIAWVCDYYVSDKKIFGGTVCATASNPAWQEETDKKFNAWPRTAGPPVVMNPISHQNFIVKSRPEA >RHN42313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37354193:37356876:1 gene:gene48741 transcript:rna48741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GAGA-Binding-like family MDDDVLNMPNWGYYEPFKGGNLGLNLMPAMNDRETKPFFPGRDPAMIGSANGPLPFQPRGCAVSESATPFNYVRDNWMTPRHRFINMQLTNPNYAVIPEASQALSLPVIPQPDASRNENVENAEELSVKKEGGKSKKRKSKGVVTTPKAKKPRKPKDDSSVSAQGVKPPKKTLALEINGIEMDISGLPVPVCSCTGNPQQCYRWGVGGWQSACCTTNVSIYPLPMSIKRRGARIAGRKMSQGAFKKVLEKLAAEGYNFANSIDLKTHWARHGTNKFVTIR >RHN74481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33885893:33886447:1 gene:gene10566 transcript:rna10566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MVVSRRLVSSLIRLWKPSVSCSTLIRSSTSCASRHTYGGISQYASSASTPLSRQAKIEVPGGPQISDEFTGQDAIGHVCQVVDTLVDVKFKEGLPLPQIRTALEVLDHSSRLVLEVADHLGEGVVRTIAMSPTEGVARGWRVLNTGSPITVNNTQSLKNIIVVVAAAAAAFVVVVVKKESISTE >RHN81648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47788636:47789142:1 gene:gene5719 transcript:rna5719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MKLPSLEGLHLHNCSLSDANILPLSDSHLNFSSSYFILLDLSLNQLTSSSMIFNWVLNYNSNLQHLELYGNLLRDTIPDDFGNIMHSLVSLDLSYNNLEGKIPKSIGNICTLQTFVASGNRLCGDLDFITSSNYFHCIGNLSSLQELLLWNNEISYGITDVGPEKKLS >RHN68720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38023626:38024324:-1 gene:gene17106 transcript:rna17106 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLKLKNVLFYILSYQSQHGSSLPSLCTTHIFIFLIIIISSSMQYLPSLIWELKISIN >RHN50436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7109962:7118511:-1 gene:gene34719 transcript:rna34719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MASNIIAWGSGEDGQLGIGTNEDKEWVCSVKALPSQHVRSVVAGSRNSLAILNDGKLFTWGWNQRGTLGHPAETKTENIPSQVKALSHAHIVQAAIGGWHCLAVDDHGKAYAWGGNEYGQCGEEPERKDDNGRPLRRDIVIPQPCAPKLSVRQVAAGGTHSVVLTREGHVWTWGQPWPPGDIKQISVPVRVQGLDNIKLIAVGAFHNLALQEDGTLWAWGNNEYGQLGTGDTQPRSQPVPVQGLSGLTVVDIAAGGWHSTALTDDGEVYGWGRGEHGRLGFGDSDKSSKMLPQRVQLLAGEDIVQVSCGGTHSVALTRDGRIFSFGRGDHGRLGYGRKVTTGQPMEVPIDIPPPQNLGDADGEAEGNWIAKLVACGGRHTLAIVEWKDDESKAESIHD >RHN64260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57729735:57738955:-1 gene:gene27060 transcript:rna27060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CCAAT-binding factor MAAKSKSDKPLPNIEDINLLKSEVASFASSLGLSTSQTDSSGFNDVDFRKTKPKKQQQQQKTPEKATPQNTQKPKNKTFSKNNEPHENSKSKKPEPKPKPPVLSLDNDANKGKGYYNKFKNLPKLPLMKASELGVWFEDAGELEGKVIGEGKKVEMKNLGEWKGFAEKKRELGERLMAQFSQDYESTRGRSSDIKMLISTQRSGTAADKVSAFSVLVGDNPVANLRSLDALLGMVTSKVGKRHALSGFEALQELFIASLLPDRKLKTLIQRPLNHIPETKDGHSLLLFWYWEECLKQRYERFVVSLEEASRDMLPALKNKSLKTIYVLLSRKSEQERRLLSALVNKLGDPDNKAASNADYHLSNLLSQHPNMKAVVVNEVDSFLFRPHLGPRGQYHAVNFLSQLRLTNKGDGPKVAKRLIDVYFALFKVLITGPSNSQTVDKSSKENSKEKKPEEFSESHAEMDSRLLSALLTGVNRAFPFVSSDEADDIIDVQTPVLFQLVHSKNFNVGVQALMLLDKISSKNQIASDRFYRALYSKLLLPAAMNTSKAEMFIALILRAMKRDVNLKRVAAFSKRLLQIALQQPPQHACACLFLLSELFKARPPLWNTALQNESIDDEFEHFEDVIEETDKKPVTVSKKLSDNIVPVQNGDTANSDADSSESEDDQVASSEDDDDDLDDALEDGSFSLEKSKAKHKKSKSESDDEVKKTQESAKKPVLPGGYDPRHREPSYCNADHVSWWELLVLASHAHPSVATMARTLLSGANIVYNGNPLNDLSLTAFLDKFMEKKPKQTTWHGGSQIEPVKQMDINNLLVGPEILSLAEVDVPPEDLVFHKFYTIKKSSSKPKKKKKKSTDDEDAADYFDADGDDEVDGGDESDNEEIEDLLDSADPTLGPDGDYDYDDLDNVANEDDDDLVGDVSDGEIDIGDLSDAEIDIPSDMEEDTPFAAVDDDNDLDIGDIDDVEYNVDKRKRKRKIGGKSGASPFASYEEYEHILEDDDAEKEHTLEDDDDAEKKPKKKNKSKKRKKKSGQ >RHN67704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29516864:29518653:-1 gene:gene15922 transcript:rna15922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MKQRFKVAIEKGKDIQSNAEFWEKQADGLIQENTETKQRCFLGFCPDCIWRYKRGEDLATKTKVIKDLIEKAEKFENIEITRGLPGVERYSSKDYISFESRKLKRKELLDALKDDSNYMVGLHGMGGSGKTTLAKEVGKQLKTLKHFNYVIDTTVSSNLDIKKIQDDIAGSLVLEWENKNESDRPKSLWKRLTNGDKILVILDDVWKNLNFDEIGLPNSDNCKGCKVLVTTRELEVCEQMECGKTIQLQHLTEEDALIMFKMYAKLSNISDISILDKVREIVALCKGLPVAIVTIARSLKGKKHRAEWDLALNSLKYPMSMGNVEDHLVDIFKCLKFSYDNLKDEKAKGLFLLCSAFPEDEEISVELLTRLGIGVNLFGEGYDKYNDARTQVSAAKNKLLRSCLLLETEKGDVKMHDLVREVAQWIVKEEILAENLFEKNQKSLVEKSKNIKILYFHGKLSELFSSKSHWSDGSKLEILIAKECEFQDVHTSFLENMAGLRVLIFSNSNSARHSSLANSIKLMINIRSLSVEKVILGDISVLGSLQSLETLELHYCEIDEFSREIAKLKKLRLFYPELPIT >RHN74731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36223293:36234067:1 gene:gene10839 transcript:rna10839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDVIISVAAKISEYTVVPIGCQFGYILYYKGNLQRMKIEVQKLEGSKDHVQHSVDEARRNGEEIENIVLKWLNGVDNTVAEAKKLIDTQGHEKSQCSMRYFPNLCTRHQLSRKSKKMIHEISEVLAEGKFDRISYRASSQLTVTPFGRGYEALDSRTSMLNEIMLALKNPNIFMIAVYGMGGVGKTTLVKELAWQAENDGSFSSVVIATITESPDVEKIQGQIVDALDMKFNKESIEGRATQLRNRIIMEKSILVILDDIWGRLDLVEVGIPFGDDHKGCKLVVTSRDLNVLNCEMNIQKAFRLDVLHQEDSWKLFEKMAGDIVHEFNIKPIAVEVARCCAGLPLLIVTVAKALRKKEASAWKNALNQLERFDQQGLHKKVYSTLELSYNCLERDDLKSLFLLIGSFGLDHIHTGTLFQCYWSSGLCEHLHTLTEARNQFFNLINDLRASSLLLERERGRVRMHDVVRDVAKSIASRFHRTYSVKRYSEVKQWPMIDQLQKFHQIILPWSYINELPEKLECPELRLLLLHNIGDNLKVSDEFFSGMREVRVLDLYGMMLTPSPPPSLSFLTNLQTLTLGGCELEDISIVLELKSLEILSLERSDIIQLPEEIGQLTNLRMLNLTNCSRLRFIPANLISSLTCLEELYMGNCFIQWDVKRSKDQSNNASLEELGNLSHLTTLDIMIQDSSVWPMDLQVFAKLERYNIFIGDVWKWSLEWAGGASESSRTLKLAESKSTSIHSDYGFNLLLNSAEDLCVAQLQRARGVLYELNMEGFPQLKHLCIEDSSELECIVNSIGCFYPYPAFPNLETLALQNLFNLEEICHGPIPIQSFSKLKFIQVNGCDKLKHLFSYSLVRDLPQLLEIKISDCKMITEIIAEQTSEDDIEVNKVMFPKLRLLELECLPGLSSFCSVPLAVENYEDTRCMALIDQKVGMSQLETLKLSKINSCKLWDDIQSGYSCVQNLTSLTVDKCDKITYIFSSVVARELVTLQYLEISNCQMLEDIISWDAILGNHSSSPEPLSNEDVIFPNLVTLIISHLDHLKSVWHKQLETIIVTNCPALEVLFEMQDFKTGARSQMQLRNLSLEHLPNLKHIWSRNPYGSLRFQNLGQLKVVECKSLDHIFPLYVAKELPYLQVLHIEECGVETIVAKDEMADTVPILVFPKLTSLSLRSLAQLRSFCYGLHTLHVPVLRDLDVYHCDQLELFVQKFLNYQGNTPVDPEALLSFEKVVPNLRELILNGRDVTMLWNSQFNHRPIYTVKDLRLRCFHNESEIFPYSFLQRFINLEKLMVTCSSFTEIFSSGSFDTGHSETTMKLKKLVLVELHNLEFICGDNSDMQFAVQNLEVFEVFKCSILRNIVPSSVRFEKLERVRVAFCVGVENIMLSSIATNLPKLRKLVIDNCEMIEVIVASNKENDAGELAFLKLEFLRLYNLPHLRSFYKGNYNLKFPLLQKLVVGKCDMIETFSNGVLSAPKLRAVHVTPSLKGPWSWNGDLNTTIEKLFAEKNSKNIANSSNN >RHN79911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33442764:33445475:1 gene:gene3766 transcript:rna3766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ADF/Cofilin, ADF-H/Gelsolin-like domain-containing protein MANAASGMAVNDECKLKFLELKAKRTYRYIIYKIEEKQKQVVVDKVGDPANGYDDFTANLPADECRYAVYDFDFVTEENCQKSRIFFIAWCPDISRVRSKMIYASSKDRFKRELDGIQVELQATDPTEMDLDVFKSRVN >RHN46388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31225800:31237414:-1 gene:gene40870 transcript:rna40870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ELM2 domain, SANT/Myb domain-containing protein MMIWSKDLFNPGEKKSSHHSLQSQNHKDHMLLNSSNSKIRQKRKLDQNHNDDKCATSYEIIDTMKHGGEIVQERIRHPTLGLSCPSPRDGKRIIIQGLQKYDFPPQNGREEFCGISAQDYSHLSDFNDSIKGSGYLMNQVNLDIHVKDLMDDNSYYCSPKTPIKKKPKKEDMDIIHSPKTFLASRGNHLPRPVIPIGPRFQAEVPKWETKTNVNKSCNDDCLKWLGTQIWPMSSLSKTNAKGIDEGRLDSCSSDNPESVDCIQKHIGEARECLKFKSGTVFSSWKFDDMGEDVSKSWTMEEQKEFESLVKLNPQSSGTKLCNLAMKYFPSKSMKSIINYYYNVYIPRCMSIDRRSFFGAVDRKPN >RHN49433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54770044:54770664:1 gene:gene44262 transcript:rna44262 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNPLAPTQTQTVIEPSASIHTTVHTGRSSKTIVAPSAKVNTSVQAHPNTVNIGKPSAKVYTNVKTTNTSQTTVKPSVDVSTTVNTSTHSKTFVKPYTIVKTICEPVLKSVPPKPSVAPTQAPRQSKSEGKKHGLGKVRRSGRNVWRTNANKKGPGKNMDDPIHIAEDCNADAQKETDPPVKVVPEAKLGSCLGLLRKVDTIKYV >RHN50893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11514252:11516002:1 gene:gene35242 transcript:rna35242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding pseudobarrel domain-containing protein MITPSDRSVVMRFYRTFIHILIASDIDFGYLVIAWFGFSEDALMLKTANWLSSIDHYGSIWRCEMVFIMVDNTLFCSIGGDWKSFCEARNLVKGHAIKLGATENTTSGILHIRHVS >RHN52794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38936538:38947939:-1 gene:gene37506 transcript:rna37506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MVYFENTIGYEGDFSWICMKDFDFTSFCSLWSAIDTINILFVCAYYTSLAISLTRTTSANKSQTKSWIFSLVSICCAFTSIAYFATGFWNLLDKTGNSKDLSWLACIIRGIIWISITVSLLVQQVKWIQILNSVWWASSCVLVSALNIDILFKGHAIQTFDITIWLVHCLLLLCSYKNLGYLGTHSVPECLYSEPLLAQKCETKQTGLSNATLLSKLVFSWVNSLLSLGYSKPLALEDIPTLVSEDEANTAYQNFVHEWESLGRKRSKNSTKNLVLWSIVKTYLQENILIAFYALLRTISVVVLPLILYAFVNYSSRTEGNLREGLSIVGFLILTKLVESFSQRHWFFNSRRLGMKMRSALMVAVYEKQLKLSSSGKIRHSAGEIVNYIAVDAYRMGEFPWWFHITWTCILQLVLSIAVLFGVVGIGALPGLVPLVICGLLNVPFARILQNCQSQFMIAQDERLRSTSEILNSMKIIKLQSWEEKFKNLVESLRDKEFVWLSKSQILKASSSFLFWMSPTIISSVVFLGCAISNSAPLNAQTIFTVLATLKSMGDPVKMIPEALSILIQVKVSFDRLNNFLLDEELHNDDNSEKHIKHCSSNVVEIQAGNFTWDLESVSPTLTDVNLEIKRGQKIAVCGPVGAGKSSLLYAILGEIPNIQGTVNVGGTLAYVSQSSWIQSGTVRDNILFGKPMNKARYENAIKACALDEDINDLSHGDLTEIGQRGINLSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAAILFNDCIMTALREKTVILVTHQVEFLSKVVDRILVKCSIYIHIIVNVFRRAYENNLLYVYE >RHN74995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38559683:38559883:-1 gene:gene11140 transcript:rna11140 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKDVWGGYRKHQHSHAMMFVPLKGMFAPDEYRDHLDQLDMASIFMAPYAEHHVVCLFEQTGLYS >RHN40554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17552551:17555515:1 gene:gene46716 transcript:rna46716 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MDLLQSSTLSYLVIIFTFSILLLIKFLTPTNKTNQKNHSKLPPGPSQLPIIGNLLKLGNKPHHSLANLSNIHGPIMTLKLGQVTTIVISSADIAKEVLQTHDTLLSNRTVPDALSVLNHDQYSLSFMRVSPRWRDLRKICNNQLFANKTLDSSQALRRRKLQDLLDDIKKCSEIEEAVDIGRVAFMTTINLLSNTFFSADFVHSAEEAGEYKEIVVSILKEVGAPNLSDFFPMLKVFDLQGIRRRSVVSVKKVLSIFRSFVGERLKMREGTGSIGNDDVLDALLNISLDDGKIEMDKDEIEHLLLNIFVAGTDTTTYTLEWAMAELMHNPEIMLKVQKELEQVVGKGIPIQETDIAKLPYMQAVIKETFRLHPPVPLLLPRKAEIDVEIGEYIIPKDAQVLVNAWVIGRDPNKWDNANVFIPERFLDNEIDVKGHHFELIPFGSGRRICPGLPLAIRMLPMMLGSLINCFDWKLEDGLNIDDLNKEDEYGITLEKSQPVRIVPIKLTKQ >RHN61742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37962948:37964318:1 gene:gene24233 transcript:rna24233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (S)-norcoclaurine synthase MFGQLEHELELHVPASEAWDLFGTLAIGKVVEEEMPERFQKVELIEGDGGVGTILKLTFTPGLPGPTGLKEKFTKIDNEKHIKEVEVVEGGCLDLGFTLFRVRMEVIEKGKDSSIIKTTLEYEVKEEEAANVALASIQQLAEIVEVGKNYLNRNKDAKEVK >RHN57585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40317268:40317653:1 gene:gene33156 transcript:rna33156 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFGNHFPPGFDHYKDLDNGHHGCGIPAWGIVVIVASSLFMLLLMICLLGAAFPNLFKCCKPAPPPRTEAAAANAAPEDGLRYDA >RHN60158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22128426:22129670:-1 gene:gene22398 transcript:rna22398 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSRATTKTQNQQNLNSLKNHQPHLRSSQTKSNPIHYEEEESVKEVLSETPISKAPILKPETNTQIPLLQNPSQKFENNGHHHHHQVQILIPESNTQMAIVQNPSQKFQTNAPKEEVTEVVVSQLSEATTITESFSTATTATTATVTDKREDEATSKQCNRDFTTTTHKWNQSPSRKRPLTGGGSERRVKSPARRPEPSPEKKMKSSSRLVRGRESSPVANRKLNVGSGGVRRESGEGSGRRSRSPSCSRTVSGSGKVGVGGGRKQAPAVENGVEKEKEKKSESEEVGDKNDIVSQGESIENPHVSMECFIFL >RHN75994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46845613:46850731:1 gene:gene12263 transcript:rna12263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPEWDDTTDIDVRADEGGDSINAATTPASPSLSKLNSGSLPSPHIPDGAVIPRKIAGASVAWKDLTVTIKGKRKYSDKVIKSSTGYALPGTLTVIMGPAKSGKSTLLRAIAGRLHPSARMYGEVFVNGAKSQMPYGSYGYVDRETTLIGSLTVREFLYYSALLQLPGFFCQKKSVVEDAIHAMSLGDHANKLIGGHCYMKGLPSGERRLVSIARELVMRPRILFLDEPLYHLDSVSALLMMVTLRRLASTGCTLIITIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDNGDFSSVNMDTAVAIRTLEATYKSSADAASVETMILKLTEKEGPALKSKGKASNATRVAVLTWRSLLVVSREWKYYWLHLVLYMLLTLCIGTVFSGLGHSLYSVSARVAAIFAFVSFCSLLSIARVPALMKEIKVYACEESNQHSSTFVFLLAQLLSSIPFLFLISITSSLVFYFLVGLEDQFSLLMYFVLNFFMTLLLNEGIMLVVATLWQDVFWSVLTLLCIHVVMMLSAGYFRIRSTLPGPVWMYPMSYIAFHTYSIQGLLENEYLGTSFPVGQVRSISGFLALQNVYNISPDSGSKWKNLLVLFLMAIGYRIFVFILLFLFVGKKISLLKSFKCNNRDTTDTS >RHN61397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35395225:35401713:1 gene:gene23861 transcript:rna23861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MIPFDIKGTWEATEDCYRLASFSANRSASKSVDVPKGYLAVYVGEKQTRYLIPVSYLSQPSFQGLLSQVEEEFGYDHPMGGLTIPCTEDDLDGKYIFDL >RHN65982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7251089:7253360:1 gene:gene13891 transcript:rna13891 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFPLFNPISHSFTFPSLRAPPSRNSSPLLLTGCRRPRPATVVTRAGASTSSYAFAIALPLSLLGITVFTALRIGQKLDQDFYEEMAKNEAIMEIDEEEEEDNDDDDVETYSQEEQEPVLPRGRNRPKREA >RHN54851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12897510:12901403:-1 gene:gene29944 transcript:rna29944 gene_biotype:protein_coding transcript_biotype:protein_coding MENRQSSSGSQDNSMMKSIDQRAHSSKGRGDLLTRRLKNRERQRRYRARKRREAGTTNSFVVEQAAAVQVELQPNGNYNNFVTRIYCNRDWKKDARRAHIVKHQEMNGFIDHSLTLTNVPVVTCLGIGNKSETMLDRETQSGSSSVVYNETPRTVLGRRDWKAEARRKKN >RHN71875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3289183:3292623:-1 gene:gene7518 transcript:rna7518 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVLGTEEHVVENGWSPIGAPLNVQRDDHQQQPQQQHWSNNNSNNFDSSVNAVSFGFVATAVLISMFLLMAIFERFLAPSSQALFPNLRRNRRAVESPIRKLGHHQSPKMNIFTSWVSVLMPGDEIPTFIAHPAPIPCCPERISWPSHQHTTLSCSTSNVLPSNNNINQV >RHN43472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46142006:46142275:-1 gene:gene50055 transcript:rna50055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trans-2-enoyl-CoA reductase (NADPH) MLAAPINPSDINRIQGVYSMRPEPPAVGGYEGVVEFHSVGSAVTCFSPGNWVIPSPPSFGTSHFFNFSFLFFLLNVEELFQFFFIILME >RHN77456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6962871:6966142:1 gene:gene916 transcript:rna916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L13e MVKHNNVIPNEHFRKHWQNYVKTWFNQPARKTRRRLARQKKAVKIFPRPTAGPLRPIVHGQTAKYNMKLRAGKGFSLEELKAAGIPKKLAPTIGISVDHRRKNRSLEGLQANVQRLKTYKAKLVVFPRRARKTKAGDSTPEELANATQVQGSYLPIVREKPTVELVKVTDEMKAFKAYYKLRLERTNKRHLGARLKRAAEAEKEDKK >RHN39388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6740460:6743078:1 gene:gene45407 transcript:rna45407 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNILGDKLHHKPLPVGYLKVSIDIAFEKDAELPIPDDDADIRLVGDAIGTYVAWKRNLISLNLETPATYKGNGNDGIRRGDESATSKKQIQIQKLHESTKIMKNKPRNMSPVQKLKEVTNKGGHRNIQITKPKQDAKTKHQKSYSTNCRPSWVFALKSLVAVQMMENTDMRQITMEESIFGEEQYHENITKEQMHEFFESTEIGVSVICIYIRYLYEKFVRDTDFSRKYSFLSPHRISLVLIEAEQELVKEYMVKEFLKYKDEHKLFILPFYVHKPIAHWLLVVIDPISEIIYYLDPLLNDYMKYPKMKNMFDTVLQVFRSARDAQVSKNKFNNISWVRVQV >RHN53089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41953610:41954593:-1 gene:gene37849 transcript:rna37849 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDCPFMVCSKLKFSFYFISCVILIFDISKFRIMIFIPKEFIPKSSRSVYITTYHPSAFIAAISAFFYLTKL >RHN62823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46057589:46058299:-1 gene:gene25439 transcript:rna25439 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEKFVVDLNKPLVFQVGYLGEAYEEWVHQPIMSKESPRFFHSSFLEFFTRTVWWVVPIVWVPVASYFIYNSFRLGLPIPQITLFVLLGIFVWTLVEYLLHRFLFHVQTKSYWGNTFHFLFHGCHHKHPMDSLRLVLPPTAAVLFASPVFLFLHNNAFPYNILRICVIIFYIIFL >RHN70190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49505135:49508835:1 gene:gene18746 transcript:rna18746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative argininosuccinate lyase MVFSSALSSSSSSFTLPSPLRTHYLSTTLTTRSSTFPNHKFIKTRMQAQTTNNAAKPKEAKLWGGRFEEGVTDAVERFTESVSYDKQLYKHDIRGSIAHASMLAKQGLISVSDRDSIIEGLGEIEKRIESGEFNWRADREDVHMNIEAALTDLIGEPAKKLHTSRSRNDQVVTDLRLWCRDAIDKILASIKQLQVSLLKLALNNQGLIVPGYTHLQRAQPVLLQHLLLAYVEEIERDAGRLIDCRARMNFCPLGACALAGTGLPIDRFMTSDALGFTAPMRNSIDAVSDRDFLLEFLSANAITAVHLSRLGEEWVLWASEEFGFITPSDSVSTGSSIMPQKKNPDPMELVRGKSARVIGGLVTLLTLCKGLPHAYNRDLQVEDKEPVFDSVRTILGMLEVSSEFAMNITFNRERIQKALPAGYLDATTLADYLVKKGVPFRTSHDIAGKSVALCTSKNCQLLDLSLDELRSINPVFDKDVYEFLGVENAIQKFISYGSTGSACVADQLDYWMKKLEIK >RHN73682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18822220:18822691:1 gene:gene9529 transcript:rna9529 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNSTFFTTIIKNLSDRFIRFIMVSHISVIFWTSNKNLICKISILLVMILNWNCDRFS >RHN39411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6909131:6917314:1 gene:gene45432 transcript:rna45432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GBF-interacting protein MVPASRTEGAGGTGTHLLSAKVRKTIQSIKEIVGNHSEADIYVALKETNMDPNETTQKLLNQDPFHEVKRRRDRKKENQNHVNQNVGNRGSSEPRRHSDNGGQRAQSHNPSEHNAGNRGSGEPRRHSENVGQGQQSQKSSEHNAGNRGSGEARRHSDNGGQRAQSHNRSEHNVGNRDSGEPKRHTENGGQGPQSHNPSEHNVENKGSSEPRRHPEKGSGEPRRHPEKGLGEPRRHPENGGQGMHLHTPAEHRVRRTNYSRNPPSYSREFRVVRDNRVNHIYKEVKPPSQQHSPSTSDKLPANTSEKGSSAASTNQRSSGARNQQTLNGPSDSHARHSKDAATNASDKKITSDDKQGLISNASARVQPIKPDNIHQNSSTVASTSSVVGVYSSSTDPVHVPSPDSRSSGVVGAIRREVGVVGVRRQSSDHKPKQLFPPSSSNANNSIVGKDSTSADSFQSVDTVQTTEPLSQPAVGVVTEPSFSGMSVSRPSLNNQYNSRAHQQLVGHQRVSQHNKEWKPKSSQKTNSNGPGVIGTPKKTVTSPAENSKNLESKSAQLQDQISQMSVYENQNVIIAQHIRVPETDRRRLTFGTIGVATELGSLMHQSQYQLIEATANLNGDSATSLTVPASELSTDDVSGSKQVDLRDDHVRSSESESPASGAASEQQLPDNKESSSSQNLDNYGNIGLVRDTSPSYAPAAQQQDSHNMPGFSAYDPPTGYDIPYFRPNMDETGRAQVLSPPQEVMNSHHAANNVPTSTISMVQQQQQQHQVAQMYPQVHLSHYANLMPYRQFLSPVYVPPMAVPGYSNSAPYPHPTNGNSYLLMPGGGSHLNANGLKYGVQQFKPVPAGSPSGFQNFANPGYAMLAPGVVGGASALEDSSRVKYKDNLYVPNPQAETSEIWLQNPRDLSGMQSPQYYNMPGQSPHAAPFMPSHAGHANFNAAAAQSSHMQFPGMYHTPPQQAPMPSPHHLGPAMGNNVGVAGAAPGAQVGAYQQPQLGHLNWTTNF >RHN64265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57769000:57769739:1 gene:gene27067 transcript:rna27067 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENPGGEGGESSSGRSRKVLVYTPTNEVITSYSLLERKLQSLGWERYYDDPDHLLQFHKRSTVYLISLPKDFNKLKPMHMYDIVVKNKNYFHVRDTS >RHN59003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6571628:6577694:-1 gene:gene20983 transcript:rna20983 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLGRENRMKRCSHLHYIKTFKGGFVTEVLNVTRGRPKLKFKNLSDIHERESLLSDDDDDEAKAVRLCIDVMEEGIVKTESDVWACDDDGDVQTIHNMDDEVGGDDDISILTLKQIKDVCKTRKRKRSQGLDSLNIKIKIDDPSSPEDHMEKQQTEDDPDFMETLSILKTKLSKNMKTKKKKCVKDHPMSSPKIVPVDQSEEILDGQEFSPSSGDSTGLAEVKFDCPESDCFNGQDDCSGRESKEDHSMSSQEAVLVDQSEEILDGQEFSPSSGDSAAPVEVKYDCPENDSFDGPDDCSGIESKEDHSMSSQEAVLVDRSEEILDGQEFSPSSGDSAAPVEVKFDCPENDCFDGPDGCSGRESKEDHPMSPQEIVLVDQSEEILDGQEFSPSSGDSAAPVEVKFDCPENDCSDGPNDYSGRESKEDHPMSSQEIVLVDQSEEVLDGQEFSPSSGDSGAPVEIKFDCPENDCSDGPDDCSGGESKEDHPMSSQEIVLVDESEEILDGHEFPPSSRDSAAVVEVNFERLENDCFNEPDDCSGKESKEDTEITPEWNLQNEFLNNKWIDFFHVPLRMVKPSDKDIVISNSDELSSNQFASFPAIEFEDHNNSDIFDNQLDDDADVPVSPPEVASDKDLDFVGLEVRDDNTLLTDCSEDEYTAVAEILYNSCSTNEHGLNPDGYLVCRSDDSPEYEKQSFASEGDDDIGTLVSPPKVASHDLELRDDNTLLDDCSKDESTDGAEVQDKLCSTTEQGLNPDGCPVRLSDDSPEYDAKQSFVSLYDGERIHVKEATDELTSCDEHEGSSKLHGPERLLSTRKAISPSSQEKLCKAMETIDISHRNNLKCKGKLQFTEPTDKNGDAERPSVVSRTAVTNNPNKNRVIPKTSRSGSNLQGASKIRNSSRSATHLGCSTLQNCSKSAIAFSKQQMHDAESLTMKLTKELNSMKEIMDDMLRSEFCLNTSLRYKVNEARMAVKNATKAEEGAKRWLSFMSRDCNRFCKIMKLADSSSSTPQNVVSPPQDVVRKGKKIAFADEAGGKLCQVRFYEDDEGHLPESK >RHN51118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14135177:14139200:1 gene:gene35498 transcript:rna35498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEILKFVYIAILFVSLYLVDLGCVTDADCKDKFPGNKYPIKCINGICKSVILITRLRSDINVLMYENKVYIYYLTYIIFLFKVCLIIVYV >RHN42354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37614692:37618202:-1 gene:gene48786 transcript:rna48786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MGYSHMASLFFFSMLFLSASASSRNLPITAFDEGYTPLFGDNNVFVHRDGKSVHLSLDERTGSGFVSHDIYLHGYFSASIKLPADYTAGVVVAFYMSNGDMYEKNHDEIDFEFLGNIRGKDWRIQTNVYGNGSTSIGREERYGLWFDPAEDFHQYSILWTDSRIIFYVDNIPIREVTRTKSMGGDFPAKPMTLYATIWDASDWATNGGKYRVNYKYAPYVAKFSDLVLHGCAVDPIEHVAKCDTAQSSKSIPSGITPVQKIKMENFRKKYMTYSYCYDKIRYRAAPPSECVINPQEAERLRKFDPVTFGNGRHRHGKRHHHSRGNHAEAASF >RHN78504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15743743:15745939:1 gene:gene2079 transcript:rna2079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L28 MAGSAVSLGNTFVFASMRSISSTNTHKPSSFSPQLGFLNSQLSGLKISSQTSLKLPTPIVASPFQPIVAKRVCPFTGKKSNRANKVSFSNHKTKKLQFVNLQYKRVWWEAGKRFVKLRLSTKALKTIEKNGLDAVAKKAGIDLSKK >RHN82431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53734982:53736799:1 gene:gene6598 transcript:rna6598 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIHFKFLGVFEQKNDRKRDEHTRLGHAPLAREAVFPLPHAPPVHSRGQKLHAAINRDPFSHYCSFIKLAV >RHN67647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28953903:28954452:1 gene:gene15855 transcript:rna15855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MLRKKIISLSMLVMVLGMLVATLDARQIDDVSCPSALFSLLPCLPFLQGVGPATPTSYCCAGITDLNQKANTTQIRRDVCNCLKPAASRFGVNPDRSKQLPTLCNITLNVPFDPSVDCNTVQ >RHN40781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21039662:21039970:1 gene:gene46988 transcript:rna46988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ent-copalyl diphosphate synthase MSSLANWIDHIMINTDATPLLGGTWNQSELEGFGLSKESMLFAYYVAAANIFEPEGSLERLAWAKTTDLLQILELNFKDEETRNAFVDQFNKCIIGGNYSYE >RHN43165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43672793:43673857:-1 gene:gene49699 transcript:rna49699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TGA like domain-containing protein MMSLLPSNGNDAESFNKFFECWMVEQNKYLNELVAAKSAQPQLTNDRMHTLIDKVVEHYECYYKTKSSFAKKDVLSMFSPPWLSTLEEAFLWIGGWRPSMAFHLLYSKCSMQFQARLNDLIQGQKTCDLGDLTASQLAEFDDLQKKTIREEREITDMLAEHQETVADAPMVELSHVVSEMIRGGENEKKELEERIESVLEPKVEGLEKILYRADDLRLRALQGIVNILTPKQAIHFLIAAAELHLRLHEWGKKKDDAKKGNQGIREGEIHNS >RHN48330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46693449:46694795:-1 gene:gene43036 transcript:rna43036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I PsaE, reaction centre subunit IV MASCNMASAASGFVLSPNNVSGNTNTNLLVSRMNMVMYPTTRNTNSRLVVRASEEAAATPTVEGEAAPKTKPPPIGPKRGAKVKVLRKESYWFNGIGSVVAVDQDPNTRYPVVVRFNKVNYANVSTNNYALDEIEEVK >RHN77624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8220263:8221450:1 gene:gene1096 transcript:rna1096 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCDVVASNLTIIYVAVTSFVKAYEVLYGRRFSGVFVVTVSTTLVALILVATLMWDLSRKISKCVFVDQHPRTSQHNTMSYCKGGICWHGVADRSPASQLHFKLPLHLPHI >RHN41306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29016699:29017883:1 gene:gene47614 transcript:rna47614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAPNNEKVSSSLYIPEDVSFIIFSKLSLKSLKRFTCACKSWSLLFENPSFMNMFRKNFISMHQSLYNNTYLFLNIKEIWPCPQDDGSELYLVSGDKFENSFELKWPDSLPLDADEIYLFDSGFNDIICFSDIRHARVALWNLDTKQLETVARSPAQVLPSSTPWFVVHGCGYDHVNDDYKIIRYVHTYNYIPYDKVDWTYMPMKPHPFWEIYSIRNHSWKRLDLDDMARGTGRKVYLNGLCHWWAMRDDNYMVSFNLSTEMFSTTLLPLDMQDRYHDEWVDMGRRYLDLVVLNGFVAMILKHVKTAAFHIYVLGEPGHRESWTKLFIVGPLPNVWRPLGAGKKGDLFFVKDDDGLTCFDLNTQRIDDFGVKGESLYCDFEIYQKNFLPIGRKKN >RHN67675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29139522:29140727:-1 gene:gene15884 transcript:rna15884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MLPSVRNRRLARVPLKRHRSMASAAFLPSELIVEIISWLPVKYLMQFRCVSKFYKTLISDPYFVQMHLVKSARNPHLALMWQDDLMREDGNIIFLSVSRLLRNKYTTPFQSGTFNQWWIIGLCNGLLCLVDIQNPDYHYYLYFWNPATRTKSRNILITLSRDFKFSFGYDILSKTYKVLAFIVESVDGTSVVKVLSMEDDSWRNIQCFPVLPLYWFDHDKNQGVYLSGTINWLALHNYFYSDYEFDNVSKITVEQYVIVSLDLSTESYTQLLLPRGFDEVPRVQPTIVVLMDSLCFGHDFKGSHFVIWKMKDFRVQESWIQLLKISYQNICSSQYLLKFETMELLPLYLSKKGDALILANDQIDAAFIYKCRENRGEPIQITNKVQWLWAKDYVESLVSTS >RHN70417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51298414:51300154:-1 gene:gene18996 transcript:rna18996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRAPCCDKANVKKGPWSPEEDDKLKSYIQEHGTGGNWITLPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFTEEEDNIICSLYVTIGSRWSVIAGQLPGRTDNDIKNYWNTKLKKKLFGKHRKEQQLQARNKLLASANHESNGRRSENSSSNLISDQHILQPYCWPQNIHPSLTYTNQGSSFNDQDSIRKFLIKLGGRFSNEDDDYYPLHDGGVLSRQQVHEEQQANYISGCINSINNNQILQYFSQTQTNQYCVEGVAGQELVQGQGSFTETTTSSSNNNYYPQKLSGLEFIYGEEMDGTICGQSSNWGETDQSSSSMIYPSLVASNFQEEKPQECVFQELSTYPS >RHN38947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2983128:2987935:-1 gene:gene44924 transcript:rna44924 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLTKRLSSLTPFLSRTSHQLRSPPQPSPTHSRRRPKSTPLPLKKPEERSEWWIVDGEMHEIGDHVPPRERFVIPRENIPNKRRKQLREQFMRRTRLVLKESEHDPWCKRYMELYNELRENWERLYWDEGFSNKLARDHANYESAEDDDEDFSPYRNRRPQMEYNKDLNFVRDRKSDTLEKVNLIRDKFEYDRERRMREKAFAPMHGGSVADSHDSEGWNQPLNTDRYFSQTERYQEDNNK >RHN76183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48335329:48339390:1 gene:gene12469 transcript:rna12469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein farnesyltransferase/geranylgeranyltransferase type-1 subunit alpha MAGKIEDESNDRVPLRLRPEWSDVTPIPQNNGPNPVVPINYSEEFSEVMDYFRAVYFANELSSRALSLTAEAISLNAGNYTVWHFRRSLLESLKVDLHVEREFVERVASGNSKNYQIWHHRRWVAEKLGLEARNSELEFTKKILSIDAKHYHAWSHRQWVLQTLGGWEDELNYCSELLAEDIFNNSAWNQRYFVITRSPFLGGIKAMRESEVLYTIEAIISYPENESSWRYLRGLYKDEATLYVNDTQVSSVCLKILRTKSNYLFALSTLLDLICLGYQPNEDFRDAIEALRTSDNEEQDSDIARTICSILEQVDPIRVNYWVWRKSRLPQAA >RHN67773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30140422:30140845:1 gene:gene16004 transcript:rna16004 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAVTKFPKETLKNQYLLSESQALTVDSYIPHTGKYLYSLSIV >RHN79257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26748529:26753176:-1 gene:gene3022 transcript:rna3022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plastid lipid-associated protein/fibrillin MAVSVSVSISASHPCISTITNCSIPSSSSWKVSTSRITWQKRGVCKAMVQVQTGAPAAYAKEMERLSAKESLLLAFKDAGGFEGLVSGKTTEYQRIDVNERITGLERLNPTPRPTTSPFLEGRWNFEWFGPGSPGLFAARMIFENFPSSLANLSKMDVFIKDGNAKITANTLFLNSVESRIILSTKLTVEGPLRMKEEYVEGIIVSPTVLEDRVPDQLKGALGQAVNVLQQLPVPLRDALADGLKVPLSGSFQRLFMISYLDEEILIIRNTAGIPEVLTRLDASPSSLGESSPEYES >RHN42597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39598750:39602939:-1 gene:gene49054 transcript:rna49054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MIPGGGGRSSTSSWAPTTSVSASGKRIQREMVELNNDPPPHCSAGPKGDNLYHWIATIIGTPGTPYQGGIFFLDIIFPTDYPFKPPQVVFRTRIYHCNVEPDGRVSMGILKDGWSPALTITKVLLAVRSLLTNPDPYNAVVPVIAHLYLGDRAKHDDIAAEWTIRFAK >RHN71268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57750019:57752481:1 gene:gene19927 transcript:rna19927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAEEKTPVQLKSLEDFDSQKKPESNKFAFACAILASMTSILLGYDIGVMSGAVIYIKRDLKLSNVQIEILVGIINLFSLIGSCLAGRTSDWIGRRYTIVLAGSIFFAGALLMGFSPNFAFLMFARFIAGIGIGYALMIAPVYTAEVSPASSRGFLTSFPEVFINGGILLGYISNYGFSKLSLRLGWRVMLGIGAIPSVILAVGVLAMPESPRWLVMKGRLGDAIIVLNKTSNSEQEAQLRLSEIKAAAGIPENCTDDVVSVKKTNEREGNTVWKELFIYPTPAVRHIVIAALGIHFFQQASGIDAVVLYSPTIFRKAGLESDTEQLLATVAVGLAKTVFILVATFLLDRVGRRPLLLSSVGGMVISLLTLAVSLTVVDHSRVRQMWAVGLSIASVLSYVATFSIGAGPITWVYSSEIFPLRLRAQGASAGVVVNRVTSGIISMTFLSLSDKISIGGAFFLFGGIAACGWIFFYTLLPETQGKTLEEMEGTFGKFGGKSNNKGANNNNGEIQFAN >RHN48803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50406088:50408384:1 gene:gene43556 transcript:rna43556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydroneopterin aldolase MEGYDAVISGDKLILKGLIFYGFHGARPEERTLGQKFFVDVDAWMDLKPAGKSDNLSHTFNYVEIYRIAKEVVEGTPQNLLESMAQKIAISTLTICKEISAVRVKVGKPHVPILGPVDYLGVEILRRRSDLTD >RHN39060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3843980:3844465:-1 gene:gene45052 transcript:rna45052 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFITTSLILVLIVGAFLNGAYSVGEGEGRGNYKLENDDPNFSACMVLFYKCMVFPPVCPIYIKLCAQKPPSPSTIP >RHN56666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32676491:32677037:-1 gene:gene32103 transcript:rna32103 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTLHEIVRWVTHPKISRFVCFVSSIVGLFYYALSSSFNHLFGKWNFFKLFLYTIFSFIIFLTILFAKSNHTSGTSLPFKAHLVFLIKLAKTRFIRFDFQCRFCYHVSWFLMKIKLLLVIVGVSFSYSLIILRFYLVAAVESGSLGIQIQDQNSLFI >RHN51544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19562161:19563161:-1 gene:gene36001 transcript:rna36001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MMKMKMKGKMDSNFDALCHVLLGREAWRIEMRTARLWTIHTFVKPDQINSVEMVLIDDKGGKIHVTVRKQLLYLFQHLLVKGKVYKIAYFSVAPSVGSYRSTLHPHKIVFQMNTKVLECENSLISPCGLTFSNISKICSHTDEYDYLFGEFLWPMCM >RHN72132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5210315:5212311:1 gene:gene7807 transcript:rna7807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MERSSLSSSAKRRGIKKRVVEIPMKNVEGTNTPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKNCPARKQVERNHMDPTVLLVTYTSDHNHAVPPPRNYRNTSNNTASNKDSESEQEPDETFTNIEENSMIATGDELGGWFGDVEAIGSPAVLECPIFSEKEVSMLGEEEMSLFADLGELPECSAVFWRGRRSGAGAGASGDVSVGPLVEANCSL >RHN62597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44594513:44595457:-1 gene:gene25190 transcript:rna25190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MHSQITILMERLTLTIWLDELCDATDCFAVDNAIGVGKMGMMYQGFLPNGQLLAIKRIFDSRLFKRQFLLETTILCKYRQKNIVPLLGFCIKGKEQVLAYAYMSNGRLSKWLHPSESEVIRLKWHQRVNIALGIARGLSWLHHSCDLGIVHFNICSECILLDENFEPKISNFGEAKFMNPNIEDHLGMMFKVDDGKKDVYDFGSVLFELMTGKTYNELSRSSDTTNLCGNPLSFYNVIDKSLTGEGLENEVCTLIKIACECVHPFPDQRPTMLEVYNNMSNVRKGQNSSVNNSDRLRGLEYATSITIDEIVEFK >RHN43956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:183630:185421:-1 gene:gene37997 transcript:rna37997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MLVLCKNRSIINLSLSLSLFAPISFKLKRVCLMDTSTPFDVVTIFTILLLSYPFDIANANSEGDALYAFRRAVKDPNNILQSWDPTLVDPCTWFHVTCDRDNHVTRLDLGHAKLSGHLVPQLGNLHHLQFLELYENELVGPIPKELGNLKNLISLGLYHNNLTASIPPTLSNLSNIKFLRLNNNKLTGRIPRELTKLKNLKILDLSNNDLCGTFPTYGSFSNLSQQSFKNNPRLTGPELMGFVRYDVGGNCK >RHN71635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1551251:1559233:-1 gene:gene7255 transcript:rna7255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MVQNFSHVTFVHSLLQTLNNSKTQKHNPSINVNEMSNLFSRSFHCLWFPCSNLPPPKPPSQTLLPFSPSTPLPRRFFTFTTTHHPHILPSSTSSSTPSPQEHEELDVISSTERSDGSFVFTFGNASEIREKIAELNKQKLVPEGVVEEEGVSVLVSDDGVEILSNEDDSNLGGEIESSSTMVVDVADQNPLLLESENESSVVLDSEPESETPVINDLQVIDKHFKLDSVEDGDGQHGVCSEDVDASVVEHNVVSEGEDSQVDSHKEEVVVSTVSPAESDVFSDLSSGASLEVEEKKEVDNGNSVDRAANGVAGGVDAADLTELVEVSTSLESGSVDADRSELEQVSTSLESKQDGYATDNLSGSFDVDISELVPESTSSESEQVDCSARENLTGIIDAKTSESVPVSISSESEQGGYGATDNVTGSVCTDISELEQVSTSSESEQDGYIARDNLTDSVESDITESVPVSTFSESEQDGFSATDNLTGSFDADMSESMPVSTTIESEQVGYIETDNLIGSVDVEMSDLVPVSTTLESEQVGYSATDNLTGSADAEMSDLVPVSTTLESEQVGYSATENLTGSVGAEMSDLVPVSTTLESEQVGYSATDNLTGSVDAEMSDLVPVSTTLESEEVGYSATDNLTGSVDADITEFVPVSTSSDSEQVDYSATANETGSVDPDMNGVVPLSTSLESEQVDYSATDNLTGSLDIARSELVPESFSLESGQANDSETNDMAGCVDAENSELVSVSTSLESKQVDYSATDDLAGSVDTNDSELVPMSISLESEQVDYSATDNLTGSADATINELVQVSPSLESKQVDYSSTDNLAGSVDADNSDLVPISTLESKQVDYGATDNLTGNVDDGITSELAPVPTSLDSEPSVNRETTHLTDGVDAEFSEAVPISTSLEAEQVDYSATDNLTAIVDTELSELVPLSTSLELEQADYSTTDNLTGGVDTDLCESAPTSTSLGSDDDERNHHVVDDSVDASEMKKSALLDDLVPSSDLANKIDVGNIERSDYENPILNMVPEIHSVQMASDGENISRTELFLVSGAACLPHPSEELTSREDAYIISPLNWLVVADGVGQWSLEGSNTGVYIRELMGNCEDIVSNCDNISTIKPAEVLIRSASETHSPGSSSVLVAYFDGQALHAANVGNTGFIIIRHGSIFKTSNAMFHEFSFPIHIVKGDDHSEIIEEYKIDLNDGDMIVFGTNGLFDNLYEKEIASTVSKSLQFSLKPQEIAEILATTAQEVGRSRSTRSPFGDAAQALGYVGYAGGKLDDVTVIVSLVQTT >RHN66919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21634612:21634905:-1 gene:gene15041 transcript:rna15041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MVIPVHKHFNVKIHRTVTNVGFLNSTYKTTLIHHNSKIKISVEPKLLSFKSLHEKKSFVVTVIGEAKSNHTVFSSSLIWSDGTYNVKSPIIVQRLSR >RHN69051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40611158:40613562:1 gene:gene17478 transcript:rna17478 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAGLGTKLWSFISFLPFFFMLFILGIIKGALIGPIAFAIMVVGNSAVIIGLWTAHVFWTYYCVARTKRFGLVFKIAALICLPVPLLLLPVVGIVGSFLGGIGYGFFAPLLATFEVVGENVQDKFYHCFIDGCWSTIQTSCTVVQDVTDFCFHSYFSYMDELRENLNPQEKPFDIKLSLLPCCLLVILVGVPFDVVLITSIAIWKSPYMLFRGWKRLLEDLVGRRGPFLETECVPFAGLAIILWPLAVLGAVLAAIIVSFFLSLYGGVVVHQEDSLKMGFAYIVSVVSLFDEYVNDLLYLREGSCLPRCYQIFFTMLSVFFYRA >RHN42716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40412388:40414279:1 gene:gene49199 transcript:rna49199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA ligase/cyclic nucleotide phosphodiesterase MATSSIKKEVYSVWAIPPEDVRDRLTKLMTSLRSDFGGPQFEPHMTVVGAIELTPDDALKKLRSASEGVKSFQVTVDRVSAGTFFYQCVYLLLHPTPQILETNAHCCTHFGYKNSTPYMPHVSLVYGDLTDEEKQKAQERANILDNSLSGLSFQISKLALYKTDTEDKSLKSWEKIAECTLTPN >RHN52021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30468424:30490734:1 gene:gene36635 transcript:rna36635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cleavage/polyadenylation specificity factor, A subunit MSFAAYKMMQHPTGIDNCASGYLTHSRSDFTPRIPPPDSDDLDASDWAPQRDVATFPNLVVTAANVLEVYVVRIQHDVAKGKLNADSRVLDGVNGANLELVCHYRLHGNVESVAVLSVGGGDASRRRDSVILTFKDAKISVLEYDDSIHGLRTSSLHCFEGPEWLHLKRGREQFARGPVAKVDPQGRCGGVLVYDLQMIILKTSQAGSGLVGEDDVSGSGGAVAARVDSSYLINLRDLDMRHVKDFTFLHGYIEPVMVILHEHELTWAGRVSWKHHTCTISALSISTTLKQHPLIWSAINLPHDAYKLLAVPSPIGGVLVIGANTIHYHSQSASCALSLNSYAVPLDNSQEMPRSSFNVELDAANATWLLNDVALLSTKTGELLLLTLIYDGRVVQRLDLSKSKASVLSSGVTTIGNSMFFLASRLGDSMLVQFSCGSGVSMLSSNLKEEVGDYDVDASSAKRLRRSPSDTLQDMVSGEELSLYGSAPNRTESAQKSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGSLCVLRQSICPEVITEVELPGCKGIWTVYHKSTRSLNADSSKLDEDEYHAYLIISLESRTMVLETADVLSEVTESVDYYVQGKTLAAGNLFGRRRVIQVYERGARILDGSFMTQDVSFGASNSESNYGSESALALSVSIADPYILLRMSDGSVRLLVGDPSTCNISVTSPTSFESSKGPVSSCTLYHDKGPEPWLRKTSTDAWLSTGVGEAIDGTDGAVQDHGDVYCVVCYENGNLEIFDVPNFSCVFSVENFLSGKSHLVDVLTKEVPKDSQKGDKVSDGVVSQDRKDALNMKVVELAMQRWSGKHSRPFLFGILSDGTTLCYHAYLYESPDGTSKVEDSVSAGGPGGLTNTSVSRLRNLRFVRVPLDVHAREETSNGSPCQQINIFKNIGSHEGFFLLGSRPAWVMVLRERLRVHPQLCDGSIVAFTVLHNINCNHGLIYVTSQGVLKICQLPSGSNYDCFWPVQKVPLKATPHQVTYFAEKNLYPIIVSFPVLKPLNQVIALVDPDANNLTENQNLNSDDQNHFYSIEEFEVRIMEPEKSGGPWQTKATIPMQSSENALTVRMVTLMNTSSKENETLLAIGTAYVQGEDVAARGRILLFTLGKNTDNPQTLVSEVYSKELKGAISAMAALQGHLLVASGPKIILHKWTGTELNGVAFFDVPPLHVVSLNIVKNFILIGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDCFATEFLIDGSTLSLMVSDDQKNIQIFYYAPKMLESWKGQKLLSRAEFHVGAHVTKFLRLQMLSTSDRTGSAPGSDKTNRFALLFSTLDGSIGCIAPLDEITFRRLQSLQKKLIDAVSHVAGLNPRAFRLFHSNGKAHRPGPDSIVDCELLCHYEMLPLEEQLEIAHQVGTTRSQILSNLNDLSLGTSFL >RHN63670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53087203:53089955:1 gene:gene26398 transcript:rna26398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MKFSKVIVIIVAIILGIGIEECHCKVVQFIFGDSLSDVGNNMHLSRSLAQASLPWYGIDMGNGLPNGRFSNGRTVADIIGDSLGLPRPPPVLDTSLTEKDILINGLNYASGGGGILNETGTYFIQKLSLDKQIELFQGTQKLIRSKIGKRAADKFFREAQYVVALGSNDFINNYLMPLYTDSWTYNDETFMDYLIGTLRRQLKLLHSLGARQLQLFGLGPMGCIPLQRVLTTTGNCRESVNKLALSFNKASSELIDDLVKQLPNSNYRFGDAYDVVSDLISNPLKYGFQNSDSPCCSFGRIRPALTCVPASTLCSDRSKYVFWDEYHPSDSANELIANELIKKFGFLRDGQNAAPSPAPAAIAPSPED >RHN59236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8691147:8692594:1 gene:gene21239 transcript:rna21239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MSEWSPENAKKAYLQALKMAKRDKEPDVAEFISAIAAGKNAQLMVVASANVASSTTLALAAASQQTHGRVIYISSGQNELQASKEALGVHKDSVEFVVGDAKTLLLNDYKGADFVLVDCDMNNAREVFLAAFKGANKDGALVVGYNVRHRALRWRQFRATYLPIGEGLLVTKIDLNVKKDYDMVVQRNKSNWIVQVDNCTGEEHIFRVTSPNKKIHVEV >RHN51455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17978870:17979124:-1 gene:gene35890 transcript:rna35890 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSFSCARHTSNVPRASTNVGHKHLFLLLFLVKTTLLAQTQVETLTINTSLPHLEFLVQNCGSSKGRQLWSFGRVSIFSLIF >RHN49314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53986013:53989500:1 gene:gene44129 transcript:rna44129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione synthase MELLSLALNTHGITIVRKTMAEVDQEGEILPDGTLSVNGQAVAVIYFRAGYTPVDYPSESEWRARLLMEQSSAVKCPSISYHLVGTKKIQQELAKPGVLERFLENKDDIAKMRECFAGLWSLDDSDIVKKAIERPELFVMKPQREGGGNNIYGDAVRDTLIKLQKTGSQEDAAYILMQRIFPNISAAVLMRNGGCHKDHAISELGIFGTYLRNKDRVVMNNQSGYLMRTKISSSDEGGVAAGFAVIDSVYLT >RHN60917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31589122:31589472:1 gene:gene23312 transcript:rna23312 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVMALALLMLLVETIPTTVLSKEVETLPTTYSSTHLHLTLPSTRRSVEGVLGAVEEGANKVEQGANNVGAAAEDIGEIAKQDVVDVAGKVSHATSFYIPSSYIITVILVYVVYV >RHN62620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44748562:44751142:1 gene:gene25215 transcript:rna25215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamyl-tRNA reductase MSMAASSSTDHTLRSSVKFSPAQFPKSTFAPQRLSFTTPKIPKCTLRSDNPLPQNFIVSKPSPLEILKTSSADRYTKEKSSIIVIGLNVHTAPVEMREKLAIPEAQWPQVIQELCALNHIEEAAVLSTCNRIEIYLVALSQHRGVREVTDWISKKSGVSVPEISKHQILLYNKDATQHLFEVAAGLDSLVLGEGQILSQVKQVVKSGQGVPGFDRKISGLFKQAISVGKRVRTETNISSGSVSVSSAAVELALMKRLESSFGDAKVLVIGAGKMGKLVIKHLVAKGCRKMVVVNRSEEKVNAIRKELKDVDIVYRPLSEMMECAAEADVIFTGTASESLLFSKENVEILPPVGQGVRRRLFVDISIPRNVDPGVSELENALVYNVDDLREVVDANKEDRQRKAMEARGIIKEELNTFEAWKDSLETVPTIKKFRAYVERIRASELEKCLSKMRGDVSKEQKEAMYALSMGIVNKLLHGPMQHLRCDGNDTKCLDEVLENMRALNRMYDLETEISLMEEKIRVKMEKAKK >RHN69355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42857614:42861401:1 gene:gene17810 transcript:rna17810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-OST1L family MEERYEIIKGIGSGNFGVAKLVRERQSGKLYAVKIIERGLKIDEHVQREIINHRSLKHPNIIRFKEVLCTPAHLAIVMEYAAGGELFERICSAGRFCEDEARYFFQQLISGVSYCHSMEICHRDLKLENTLLDGSSSPQLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVFSRKEYDGKVADVWSCGVTLYIMLVGGYPFEDADDPRNFRKTIERILKVHYSIPDYVRVSKDCRHLLSQIFVANPEKRITIPEIKMHPWFLNKLPVEFVEEGKNNKLESDLNGVDDSSQSIEEILSIIQEARKPVEGPKIDSQFVGGEMDFDEMDADDDFDNDIETSDDFDFVCDM >RHN50341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6328493:6333038:-1 gene:gene34616 transcript:rna34616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proline dehydrogenase MATRVVPQKIIKNLRFKTTTKPLNSSHPSATAAVASLIEREQPSPPQPSHQQPSYLDLNDGERLFSAVPTSTLIRSSAVLHATAIGPVVDVGIWAMQSKLLQTGILKDAVMAVTKRTFYEHFCAGEDAITAGKSIRSVNEAGLRGMLVFGVEDAHENDGCDRNLKGFLHTVDVSKSLPPSSVSFVIVKITAICPMALLERISDLLRWQQKDPSFNLPWKQDSLPIFSESSPLYHTTKKPEPLTPQEESDFQLANQRLQQLCKKCVEANMPLLVDAEHTTVQPAIDYFTYSSAIMHNKDDNPIVFGTIQTYLKDAKERLFLATKAAEEIGIPMGFKLVRGAYMSTESTLAESFGSKSPIHDTIEDTHNCFNDCSSYLLEKFANGKGSVVLATHNIESGKLAAAKAYEIGIGKVNHKLEFAQLCGMSDALSFGLSNAGFRVSKYMPFGPVEMVMPYLLRRAEENRGLLAASGFDRQLIRKELGRRLKAAIF >RHN40058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12880266:12882280:1 gene:gene46153 transcript:rna46153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MDNYGKAMEEVMRGCELANQLRQVLLKNNELTTTSLAQNLVNNVINSFNSAIFILSNDKNIPIDQVQNDFSSINPVIRSIDFKETSTTTRGCYKRRRTTQTWEKVTETPTDDGHQWRQYGQKKIANSQYFRTYYRCTHKYDQRCKTTKQVHKIQENPPLYKITYYGHHTCENMSNLPHIIDDPNDTFPIILLSFNNTFSTPSKHEGPFLSSSHLVEYKEEVPSSSLEISNPISPNPTLDNSISRLESDHTQWRSQEIYGAWAKN >RHN38823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2252683:2257308:1 gene:gene44792 transcript:rna44792 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAFIRLSIGSLGLRSTGIELSTGKSGIQRLSSSYVCEIRLRGFPVQTSSVPLITSAEVIRDTQNIASSFYLEESDLNALLAPGCFYNPHACLEIAVFSGKKGSHCGVGVKRQQIGIFKMQVGPEWGEGKPVILFNGWTCIGKNKQENGKPGAELHLKVKLDPDPRYVFRFEDITTLSPQIVLLQGSIKQPIFSCKFSKDRVSQIDSLSTYWSGSPDISDLESERRERKGWKVKIHDLSGCDWVARSNPGAWLIVRPDVVGRSESWQPWGKLEAWRERGIKDSICCKFHLLSEPQDGGNLLMSEIHINAEKGGEFFIDTEKHMRTVASAASPIPSPQSSGDFGALSPVAGGFVMSCRVQGEGKRGKPLVQLALRHVTCVEDAAIFMALAAAVDLSIEACKPFRRKIRRGFRNSI >RHN45189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12785586:12786912:1 gene:gene39414 transcript:rna39414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSSPRSISTVDRISALPDSVICHILSFLPTKQSAATSILSKRWNPLWHSVFTLDFDDHGFADFATFRYFVFCVMLARDPTLPLRSFRLKCGASQGCDPQDINRFVRAAVQRGTQNFIMETDLVKTDREFLTELGSTVSTIFNSRNLVVLKLKTLLVTDLPQINFPLLKTLHLKKVYILRDFNKLIEGCPILEELEITTSFLFRFSKDGIGEFKHSNLVRVNISKFGPQNFPFAWICNAKILRLELQRSEDQVHAFHNLTHMELIFTSNWRTKWKWLLEMLKNCPKLQNLTLHKLYGHGIDEDDWKEPEIIPNCLSSQLRTCSLIDYKGMKCELQFAEYVLKNANLLRTMTISASPGDLTLKHQMLMDLSLCPRGSIACKLSFI >RHN66334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10974891:10978011:-1 gene:gene14294 transcript:rna14294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAATMIGGAFLSATVQTLVEKLASTEFLDYIKNTKLNVSLLRQLKTTLLTLQVVLDDAEEKQIINPSVKQWLDDLKDAIFDAEDLLNEISYDSLRCKVENAKAQNKTNQVLNFLSSPFNTFYREINSQMKVMCDSLQFFAQYKDILGLQTKSGRVSRRTPSSSVVNESVMVGRKDDKDTIMNMLLSETDTSHNNIGVVAILGMGGLGKTTLAQLVYNDEKVQQHFDLKAWACVSEDFDILRVTKSLLESVTSRTWDSNNLDVLRVALKKKSREKRFLFVLDDLWNDNYYDWGELVSPFIDGKPGSMVIITTRQRKVAKVACTFPIHELKLLSNEDCWSLLSKHALGSDEFHHSSNTTLEEIGRKIARKCGGLPIAAKTIGGLLRSKVDVTEWTSILNSNVWNLPNDYILPALHLSYQYLPSHLKRCFAYCSIFPKDCPLDRKQLVLLWMAEGFLDCSQGGKDLEELGNDCFAELLLRSLIQQLSDDACGKKFVMHDLVNDLSTFVSGKSCYRLECDDIPENVRHFSYNQKFYDIFMKFEKLYNFKCLRSFLSTSSHSFNENYLSFKVVDDLLPSQKRLRVLSLSRYTNITKLPDSIGNLVQLRYLDISFTNIKSLPDTTCSLYNLQTLILSRCDSLTELPVHIGNLVSLRHLDISGTNINELPVEIGRLENLQTLTLFLVGKPHVGLGIKELRKFPNLQGKLTIKNLDNVVDAREAHDANLKGKEKIEELELIWGKQSEDLQKVKVVLDMLQPAINLKSLHICLYGGTSFPSWLGSSSFYNMVSLSISNCENCVTLPSLGQLPSLKDIEIRGMEMLETIGPEFYYAKIEEGSNSSFQPFPSLERIKFDNMLNWNEWIPFEGIKFAFPRLKAIELRNCPELRGHLPTNLPSIEEIVISGCSHLLETPSTLHWLSSIKEMNINGLESESSQLSLLESDSPCMMQEVVIRECVKLLAVPKLILRSTCLTHLELDSLSSLTAFPSSGLPTSLQSLEIRYSVIHLYPFHLMVSLSSKHL >RHN74443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33412189:33414259:1 gene:gene10517 transcript:rna10517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MFVCVSTPLSNSLNTPLVGSISKLSFTLNTPLAPMDYQSNDRVQQLRPLLAAESVEKHYSIGTSLQAGQVASTVTPQPSPRLFDEIPRTVVWELNQGSTVKSMEFHPTNHSILAVGCENGEISLWEARMKEKLISKSFNIWNLSNCSVKFQTENPKELSVIRITWSPDASYIVSNGLQQHLEINAHDGGVNDLAFSFPKNQLCVVSCGDDKLIKKIFSFEGHVAPVCLVVPHSKRNILFLFSTSIDGKIRVWLFENKSLMVEYDTPGKCSTTPICSSDGTRLFSCGTTTEGDCFLAEWDEDDGVVKRTYSGLRTKYVGMVQFDTAKSRYLAVGADNQIKFWDVDIINVLISTDADGGLSLLNAMLFNK >RHN74046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:24712451:24714190:1 gene:gene9987 transcript:rna9987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde oxygenase (deformylating) MQNESLNGGGKLFVDKHPNLRVRVVHGNTLTAAVILDEIPKDAKEVFLTGATSKLGRAIALYLCQKKVKILMLTLSTDRFQKIQKEAPEEYQSYLVQVTKYQAAQHCKTWIVGKWITPREQNWAPRGTHFHQFVVPPIFAFRRDCTYGDLAAMRLPDDVEGLGCCEYTMDRGVVHACHAGGVVHNLEGWTHHEVGAIDVDRIDVVWKAALKHGIRPLSSGSTVKAN >RHN47383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39329900:39334745:-1 gene:gene41976 transcript:rna41976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diadenosine hexaphosphate hydrolase (AMP-forming) MSFVPARTGRQRQRYEDNLRLVSGCIPYRWRKENADQNGETEEIVEVLMVSSPKRDDLVFPKGGWEDDETVTEAACREALEEAGVKGRLRETPLGIWEFRSKSSQDLCSMEGGCRGYMFALEVTEELEAWPEQKNRARQWLTIKEAIRLSRYDWMCNALKEFTRVMEEDTKLEKQDMNVDVDPPSVLVTDVSECYKRSSNKQHHANLLQRASPEIAIHFSY >RHN48171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45552253:45553366:1 gene:gene42858 transcript:rna42858 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQAFVLNFYYSICQHLVSIIENLMCIKLFQYHKHLNVYASYYSINLRIHIVCTRSNEQINTVNDKHMKQYTYMMYFFILIIYAHCHN >RHN78031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11392387:11398672:1 gene:gene1545 transcript:rna1545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MLIFNVGPLLNLIFCLLIVLYPTLLLSILLYTIKINPRHVVECRILLLKLNSITQLLFSFYNSKNFHTHKHSLHSFIQLPTMSSSPIATHSPPPTYDRAKDVKEFDETKSDVKGLIDFGIKTIPSFFIHPPETLSDLTPRSDFPQPEIPTIDLSAINIPVSPWLISFVPLQPAEERKKVYRREMRTGVSYMSNVDLFASKAPSWRDTLQFITLRKFFKLLLCSHSIGNSFDNYSTPSPPPSPYNYYTNYSDNYFSGNYFPGYYPGGAYPPPPSPHHFFECIESYAAVGPSGPSAPLEYSFSFDPGPKGGKMGLGAGLAVGVAAGALGRLALEEGVRYEERGLADMMGPVPAKAKEIPEVCTKEVMEWDKEVVRVGDILLGLLSEGLGLGEERLTELGLLRGRVMVGHYYPFCPQPNLTVGLNSHADPGALTLLLQDHIGGLQVRTQHGWINVEPLGGALIISNEEYKSADHRVLANPSNEPQVSIAVFLNPGTREKLFGPLP >RHN67730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29804992:29810300:-1 gene:gene15951 transcript:rna15951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MAAEQGDRCSSIEVPLLVDEKVYQVNDSKNKDEYHMVHVGNASSFKTCFHLVNAISGVGIVSIPYALASGGWLSILLLFTIAIACCYTGTLVKKCMDMDLNIRTFPDIGQHAFGSKGRLMVSIIMNSELYLAVTGFLILEGDNLNKLVPNVQIHLAGLTIGGTTMFTMVTALVILPTVLLEDMSLLSYVSAGGALASSIFIVSLLWNGAIDGTGFHGKGRVFRWSGIPSAVSLFAFCYSAHPILPTLYNSMRDKSRFYSVLSASFLACTFGYAAAAILGYLMFGEEVESQVTLNLQTGKLSSRVAIYTTLVNPIAKYALMLTPVINAIKMKVSCNYYNKRVTHMIISTSLLVGSLTIAVTIPLFGYLMSLVGALLSVSASILVPSICYLKISGSYKRFGCEMVINYSIIVMGVAIAVFGTYRSLVDIIQNL >RHN42385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37915422:37917574:1 gene:gene48821 transcript:rna48821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MLLFVVVLVRRAQEAVVNGRSFSHTLVEVLYSINEQLQTDDIEAPLTKLRPVKKVALVVCTGDRGLCGGFNNAILKKAENRIAELKGLGLDYTIISVGRKGNSYFLRRPYIPVDSLLPLSPKGEICDINGNCVDAAEDELFRLTTKEGKLTVERDAVRSKTIDFSPILQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMSAMSGACDNAIELKKNLSRVYNRQRQAKITGEILEIVAGADALV >RHN53942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5559510:5566391:-1 gene:gene28915 transcript:rna28915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CMGC-CK2 family MAIRPFQSTLSLQRFFSIFRTHHSISSSATTTTTNFLPPFSVLRCFATSFSQPRRPQYPPPPPRPDTLAQRIGKSIRRPGASSKARIYADVNVVRPKEYWDYESLAVQWGEQDDYQVLKKVGRGKYSEVFEGVHCGNDEKCIIKILKPVRKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLVFECVNNTDFKVLYPTLSDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNIMIDHAQRKLCLIDWGLAEFYHPGQEYNVRVASRYFKGPELLIDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGQDNYDQLVKIAKVLGTDELRAYQDKYHIELDPRYAVLIGRHDRKPWTKFINMDNRHLAVPEAIDFLDKLLQYDHQERLTAKEAMAHPYFSPVRNAESSKTRTH >RHN60660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29216772:29217161:1 gene:gene23010 transcript:rna23010 gene_biotype:protein_coding transcript_biotype:protein_coding MRLILPRRAPGSFVVIWIVVVVFVLEGGYVGRRWPRSFVWFVFSLCLYNRGWGGLRIWSFFAVVEVHKTRKGLVRLTRKGLVSGVLNSSLNLSFYTLFARKSILCLLLIQGVVDTWISFRVDSQSIRLV >RHN54679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11250207:11250827:-1 gene:gene29753 transcript:rna29753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MCLRRVCRLLLLPHTLWVAFAKLYEEHTDLANARVIFDKAVQVNYKTVDNLASVWCEWAELELKHENFKGALELKRRATAEPSVEVKRKVAADGNQPVQMKLHKYLRLWTFYVDLEESLGSLESTRL >RHN79950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33751963:33754587:-1 gene:gene3811 transcript:rna3811 gene_biotype:protein_coding transcript_biotype:protein_coding MALATFGSTSANSNTLFLTLPTPPPCFIHNHRTNFMFSSSRLSYGHTHTLYYSHQRSSVPTIVCSASNKPSTSPQISSTARIRSEVLSPFRAVRMFFYTAFVASASLGTLIAISQLIGALSNPSRASEVSEILKGLGIDIGAVSLFGFLYYRDNKAKNAQEARLSREEILSNLKLRVSEKRIIPVNSLRGIARLVICAGPASFITESFKRSEPFTENLLDRGVLVVPLVTDGNSPALEFEETEETDEAKQLATRRKRLWQLAPVITSEWSEWLDEQKKMAGVSSDSPVYLSLRLDGRVRGSGVGYPPWNAFVAQLPPVKGIWTGLLDGMDGRV >RHN39568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8256107:8260350:-1 gene:gene45603 transcript:rna45603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MDTMKSFKGYGKVDEIEQQAFQKKTRKRITIIIISSIILVAVIIAAVAGILIHKHNTESSSSPNSLPNTELTPATSLKAVCESTQYPNSCFSSISSLPDSNTTDPEQLFKLSLKVAIDELSKLSLTRFSEKATEPRVKKAIGVCDNVLADSLDRLNDSMSTIVDGGKMLSPAKIRDVETWLSAALTDHDTCLDAVGEVNSTAARGVIPEIERIMRNSTEFASNSLAIVSKVIRLLSNFEVSNHHRRLLGEFPEWLGTAERRLLATVVNETVPDAVVAKDGSGQYKTIGEALKLVKKKSLQRFVVYVKKGVYVENIDLDKNTWNVMIYGDGMTETVVSGSRNYIDGTPTFETATFAVKGKGFIAKDIQFLNTAGASKHQAVAMRSGSDQSVFYRCSFVGYQDTLYAHSNRQFYRDCDITGTIDFIFGNAAAVFQNCKIMPRQPMSNQFNTITAQGKKDPNQNSGIVIQKSTFTTLPGDNLIAPTYLGRPWKDFSTTIIMKSEIGSFLKPVGWISWVANVEPPSSILYAEYQNTGPGADVAGRVKWAGYKPALGDEDAIKFTVDSFIQGPEWLPSASVQFDSTI >RHN54350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8920071:8923243:-1 gene:gene29369 transcript:rna29369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb/SANT-like domain-containing protein MFPFLLLPLVVTSSDEGSILIGDIAVSLRVMARRITRSRRLETQQLEQSRAKWTASLTKILADLMVDQVHKGNKQNNSFNKKAWKHICDGFHNKTGLKWDKEKLKNRHSVLRRQYAIVKPILDEGDFVWDEATGAIIANDEIWAEYIKNNPDAETVKSGGCSIFKELCTIFSEAATNGQHEYAASDSEHTPRAPCPELLSTHQDESSSESEDEEDANGPQTVQPTTPTATCSSRKRGRKGVDGAIADAILEMASASKMRAAAIEQHNSKYSISDCIKDLDLMEGVDQQLYFAALDLFNNPNAREIFLSLKKDKRLTWLHHRCAVVSNR >RHN60804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30531249:30536779:1 gene:gene23186 transcript:rna23186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRRKNMAQILLFAYVFIISISLFLVVTNGVKIPCVKDTDCPTLPCPLYSKCVDGFFLR >RHN51214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15107817:15108678:1 gene:gene35608 transcript:rna35608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MTEARWLNENYIPTTEEYMRVSRTSCCYSLLILASYIGMGDKVTENIFKWVTNEPKIVNGAANICRLMDEIVSTEFEQKRGHVCSLLDCYKKHHGMSREAGIQECQKGVAIAWKDINRDCLRPTEVPMDFLTRALNFSRFMDVFYTDKDNYTHAEGLMKTYIKDVMVDPIPI >RHN49660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:123960:129156:-1 gene:gene33863 transcript:rna33863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase Do MTMFRYVRGFRNVFSSRYLASQSFSLVPHTHQTQTHTQQNQTFITTTSSVRGIRRERKNIQPRSAAALTHTTNTAVELAFNSVVKIFTVSCSPNYLLPWQNKSQRESMGSGFVIHGRKILTNAHVVADHSFVLVRKHGSPNKYRAQVKAVGHECDLALLIVDSHEFWDGMLPLEFGDIPFLQQAVAVVGYPQGGDTISVTKGVVSRVEPTQYVHGASQLMAIQIDAAINPGNSGGPAIMGNKVAGVAFQNLSGAENIGYIIPVPVIKHFISDVEENGKYIGFCSLGLSCQTTENVHLRNHFGMQRGMTGVLVNKINPLSDAYRVLKKNDIILSFDGVPIANDGTVPFRNRERITFDHLVSMKKLNEKAVVRVMRDGQELELSITLQPIQPLVPVHQFDKLPSYYIFAGLVFVPLTQPYLHEYGEDWYNASPRRLCERALRELPKKENQQLVILSQVLMDDINAGYERLADLQVLKVNGTEIDNLEHLCQLVENCTKDSLHFDLDDNRVIVLNYETAKIATSRILTRHRIPSSMSADLIDAQNNLQLTSSN >RHN60832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30746841:30749126:-1 gene:gene23217 transcript:rna23217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 peptidase MGKSEADDKILSYNDVVLRRSDLGILSGPYFLNDRIIEFHLSYLSSSHPSQDILLVPPSIAFWIIQCPVVEALKDFLDPLHLSDKKLVLFPINNNDDVNISEGGSHWSLLAYYRNANVFVHHDSCRSMNATPAKKLYKAVVGYMGLSESGSKAGYLEWTDSPRQANGYDCGLYVTAIARVICEWYVNSSKKTDANDLWFSVVKEQVTPSAVACMRSEILALIRDLMARNSGQHAQQ >RHN74667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35592685:35593394:1 gene:gene10774 transcript:rna10774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MYIIYKWCLGPIPKKWRGVCAGGGNFSCNNKIIGARFYGDEYVSARDGSGHGTHVASTTGGIEVKDVSFYGLAKGTARGGVPSSRIATYKICRGNSTCSGDVILAAFDDAIADGVDIITISICDGYAVDFLKDPIVIGSFHAMEKGILTTQSAGNFGPTPSSVCSGAPWLVTVAATSIDRQFIDKIVLET >RHN80716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40388049:40388420:1 gene:gene4674 transcript:rna4674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MECNKDEAVRARQLAESRMQRGEFVEALKFANKAKTMYADVENIAQILTVCEVHNAALNKLSKSDMDWYGILQTESLSEEAIIKKQYRKLGLLLHLDKNKFTGAEAAFKLIGEANSVLTDQAK >RHN82625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55250395:55252979:-1 gene:gene6800 transcript:rna6800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLGVSPSCKTANINGTLLSLLDKCKSMLELKKLHAIGISYGLSHEYSFIFKILSFSALSNSGDIDYSYRVFSQISSPTIFSWNIIIRGYSNSKNPIHSLSIFLKMLRHGVAPDYLTYPFLVKASARLSKQKSGVSVHAQIIKTGHESDRFIQNSLIHMYASCGNIMWAHKVFESMQGKNLVSWNSMLDGYAKCGEMAMAQKVFESMQERDVRSWSSFIDGYVKAGEYREAMAVFEKMRAVGPKANEVTMVSVLSACAHLGALQKGRMMHQYIIDNLLPMTMVLQTSLVDMYAKCGAIEEALFVFRGISKSQTDVFIWNAMIGGLATHGLVEESLKLFKEMQMAGIRSDEITYLCLLAACAHGGLVKEAWNFFESLVKRGMTPTSEHYACMVDVLARAGQLTTAYQFICQIPIEPTASMLGAIFSGCINHRNFDLAETVGRKLIELDPNNDGRYIGLSNVYAVVKRWDDSKSMREAMERRGVKKSPGFSFVEISEIHHRFIAHDKTHPDSDETYSMLHFVVCQMKHGCPQDNQEDNLLNDTSIEDDLILFE >RHN46302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30517257:30517936:-1 gene:gene40782 transcript:rna40782 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRRTNPNANFVRQLFDGGGGELFEGNLLLLRVVAMGCCCCCCELRGKEHLYCWVCVSGFERKSEGICCVVFLKEKMR >RHN46013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27746441:27750453:-1 gene:gene40448 transcript:rna40448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, kelch-type beta propeller MTEITNLSLDLIESILSHLPIPSLIQASTVCKLWYTILSSSSFSSNHNQKHKPWFFLHGIHNISSKNNQSFAFDPSSNSWFLLPTPQQPLHYPNNTSFIGTSSYFFITAPNFVYTSILRPLAWSSTPPLHFPRINPLLGVFNDGLSLKFIVVGGVRFIGNLVDIEDRLDVEIYDPLLGSWDLAPPLPVDFRSGNSSSSLSSALFKGKFFVFGIYSCFVSSFDLKLRVWSDVRIVRPSGVVFSFLIACRERLVLAGVCNSPSGSSFNLWEVDEKSMEICEIGVMPHDLLSSLFDGDEDDRFASLKCVGLGDLIYVFNEDYHRMYPACVCEIRSRGGGENSKCYWRRVPQLPSLMNRFHKVVSFCSTVSLDSILGEGHHGLH >RHN51481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18652788:18653421:1 gene:gene35922 transcript:rna35922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Hemopexin-like domain-containing protein MFPSLVTTPFANGIDAAWRLPGSKHAVLLKGNMCGILDVNNNYIYQVQNITNCYPIFVDTVFEEGIDAAFCAHGGNEIFIFKGEHCARVNLSGQFIGGIKRINEDWPTLHGII >RHN69637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45129570:45134316:1 gene:gene18131 transcript:rna18131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding protein MGKKGSSWFSSVKKVFKSSSKDSTLQENKKEKEENWQHEAAEEVSMEHFPAYSSPDITNEGSTTSTPLTEERNHAIAYAAATAAAAEAAVAAAQAAARVVRLAGHGRNSKVEKAAILIQSYYRGYLARRALRALKGLVRLQALVRGHNVRKQAQMTMRCMQALVRVQARVRARRLQLTHGKHERTVVEQHPTTKLDTNGWDYRRQSSQKIKDTDFRKHGTTMNKEKALPYAFNCQQLQKQYLHIDPNVDDSESYSNERERAQLDWNWLERWMLSQSNNVRPLGLGPLETPPYTPTDDMSEEKTVEMDMVAPRDSIHANMGLMNQEFRDLSPISKHHQRHHSGGVPSYMAPTQSAKAKIKSQGPSKHRASFGSYWSLSARSPPTGLGYDSSGSGGATAAHPITRSPSPKINGIRLQSKRILGAGVEDWPIPPGGHGWTRFD >RHN62946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46946984:46948332:1 gene:gene25586 transcript:rna25586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MDWVRGETVGRGSFATVNLVIPKSNSNSTPTAVKTSEVSTSSSLKNEKYVLHQLGSCQRIIPCFGDDYTFENGKEYYNLFLEYASAGTLSDQVKLNGGRIPEQHIRRYTRSIVEGLDHIHRNGFVHCDIKLQNILVFNDGEIKIADFGLAKKTGEKQSFECRGTPLFMSPESVNNGEHESPADIWALGCAVVEMVTGKPAWNLEKDSNMWSLLLQIGAGEESPLIPEELSKEGKDFVEKCFVKDPRKRWTAEMLLNHPFVEEVINVNESSPRNHFDFNDWVSDASDSVPSSPESEDSNQWDFDSKFCSAVDRLRQLVTDQGPMSWSRSDSWISVR >RHN39958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11902538:11902954:-1 gene:gene46042 transcript:rna46042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MASFNGFYDVVTIIIGFTLLFTTSLCSEISQPPSGGPSNDVASAPKPLSPYEKYLTNCASKLKPAECGKQIFSGVFVGNRIISYYCCHSLVNDVGKSCHYDLTRNALQWPAYAKNKTEILKRNDKVWNDCIAVRPILP >RHN65261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:646217:647713:-1 gene:gene13081 transcript:rna13081 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFTDSCITYVAFCKLIISRNWNRRPTFRNGLRVVNRRRERQSGIKYK >RHN74081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:26757399:26769870:1 gene:gene10047 transcript:rna10047 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGWIESWSKRKRIGADSVQKYEDSEDKICLPQVRTLARPVLGLARPCHLPMPLLLLLLRF >RHN50738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9970646:9972387:1 gene:gene35062 transcript:rna35062 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNFFILFVILLSFSYFLQVFAIPATRTKNLNSEDESVFASLYKDHGEKMMVHMDERLIGRRVNLELHDYEGPGANKEHNPKSPGNG >RHN48532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48244505:48248281:1 gene:gene43261 transcript:rna43261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Protein-PII] uridylyltransferase MGILHDDVVIMREPEKEGETTIITVNCPDKTGLGSDLCRIILLFHLTILRADVSTDGKWCYIVFWVVGKEKTRWSLLKKRLIAACPTCSSASGFSYFCSDLQNQKPPDVFLLKFCCKDRKGLLHDVTEVLCELELTIKKVKVSTTPDGKVLDLFFITDTRELLHTEKRKDDTIEKLTTVLEDFFTTIDIELVGPETTAFSQPSSSLPNAITDVFDLQSGTSTSDSVSIVMDNTLSPAHTLVQIMCQDHKGLLYDIMRTLKDFNIQISYGRFSKKPRGKCEIDLFIMQVDGKKIVDPSKKESLSSRLKTELLRPLRVAVVSRGPDTQLLVANPVELSGKGRPLVFYDITLALKMLGLCIFSAEVGRHVIGDRECEVYRVLLDEGEGLSFPRNKIEKGVWKKLMGWE >RHN50683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9399111:9406232:1 gene:gene35004 transcript:rna35004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MERSFGHYVHVLVDMELNKDLVHRILVERKGFAFFVDIEYEALPDFCTNCQVTGHHVQICKKLKAQDGNHDPQKVRGKAKIRPEWVSKRPNTKQPEAVPIEKSVTKSPARSKDDLALDNLKLMRPLRHAMQPLLGKVHNRTILPILQIRLFMRLVVIVLSLCCELESSSKSLDSVPSRPELAQQDIYFLKESWTNLETSKAITLNQEGFLAMQIIPVNVHAAQQTQQNPIVDDEGFQQVISKGTKRKL >RHN46175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29385309:29387319:1 gene:gene40619 transcript:rna40619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MWRICRNCLPTRVRLKDKRVTCPMDCTLCTVGSEDTLHLIFQCSSSLNVWSMLPFLSTISILLQQDMDSKNIIFKALHDLSNEDAALFCCVLWSI >RHN80215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36165490:36171002:-1 gene:gene4114 transcript:rna4114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo-like helical protein MGMNFLSLTSTSLSFLPVYSSSLLTATPSPYPSFFSYKCTSTPVLRTCVNKEKEEVLLEGMPSHYYDDEWQARQREKTKELHRRRREEEEEEERKIEEYREVGMRLKEYPEEDVRKARKLISSFIRAAEEVEEKIEEAAERGELTELVLMVIWNRLDLARRDDEKDAIRSLDLLYRRVETEILKREATPAMRLLNDLLIMYDGFNFDEWLKKCKKIMIDTFPREDPYSILVPPGFESFDIDQHHGPLRPSLEVDDNTLLRIDFVREVDELLQDVRSEQDEEENEQELNAESVANILKQQEKQQTIRQVEALLDLAISLNW >RHN47824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42807562:42809647:1 gene:gene42470 transcript:rna42470 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLGYSGLAPKTKNFIVAGGLTTFVFGAYFYTMRAVGGTDELQVAIDKFEADKSTKEGESSIPSKA >RHN75733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44632447:44633762:1 gene:gene11973 transcript:rna11973 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLKPSKFYGSSLPRPRIYVSPDGSDRVDPPISVTGPLMSWAQEAHWSMGGVSFKRLRLMGKIEGNVKKLRSQREKEFKAHPISPSPSKVDLPRSKNSAASRSRSPSPPPAPIGLKRKRFVTLLEQENLVPVEKTRRGRRLVKRLGDDFDRVASPVNDRSAEVAPVVADPAPVTPPVKRSRRLVKIGDAVKKVAEEKLKKKVVEEEEKSPNSVTRVRVSPRLAKNGSN >RHN40110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13370341:13372207:1 gene:gene46213 transcript:rna46213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MEENTGTMIKLTSTNYSIWKPKMEDILYYKDMYDPVEKGDTKPDKVTDEDWKKSHRKAVSLIRQWVDLSVFHHVATETNAQTLWKNIEKMYQRKTAQNKTFAIRKLVNLKYREGRSVAEHLSDFQDLVNQLVAMKLVLNDELQALLLLSSLPKSWETLVVSLSNSAPDGALTLSQVKDSMFNEETRRKYMGSSSSHALVTENRGRSKSGGRSNKSKDRSQSQPRRKFKCFHCNEEGHIKRNCKSWKNKEKKDRRNQRPDEDENTTTPVVDGEVVLFSVEEEECHVADSCVEWVIDSAASYHATSNKEFFTMYKVGDFGKVKMGNNSIADIVGIGDVCVQTNTGFTLTLKNVRHVPDLRLNLISVHALDLAGFQNNFGDGKWKLTKGSLMIARGVVYSTLYKTQVKLIKDGLNAAENVALADLWHKRLAHLSEKGLQILSRKSLIPSDKAT >RHN59140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7831735:7834661:1 gene:gene21131 transcript:rna21131 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEEGWPLGLGLLNARVGLVRNSEFSGSISFSRISTILSSSSIHSTDSSSYLDTESTGSLFHNKSITLGNLIGISSSFLELSRSSRGREMVPSNENKKNHKLKPWLFSLCTKLTTDAVNPNHIRVPSLGQYLEAERRARSTYRRNQRAFILGHNVCSPVQESNSLFAGSQVSLSSPASLGEDTGRDTNRSLKQNNGNGTPISFSSLCG >RHN72446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7719862:7725100:1 gene:gene8158 transcript:rna8158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MVLCMCIYAYAISNKKCVLKVNMHCDGCKKKVKKTLQKIDGVYTIEIDIEQGKVIVAGNIDPDVLIKKLAKSKKHAELWCAPTENNNNNNHNNMANQMKNIQIDTGKGGGENNNNNGQKDPINNQNQPKGGGQQEQNPQQQLQQLQQMKGLEDLKGTQFKDMNMMMPPPNQNPNMKGVKVNLPKEDDAFTDDEFDDDDDYSDEEFDYEIENTLNKMKLSMGSNGPAHMMMNAQKISAGNGGGIEIKGGGGSVGGPMPVQVHSLAGGNGNGGKKGGGGEGGNNQIQNQGGMPEGKNGNKNVGGGGGGIPNNNVGKKVNGMGESGVQGMINNGLPNMGGGHPNACHIDGNMSGMVMGGGAMENNLPMMGQMGGNMPAVSGIPEVAINDNGGRGGGVGGGYGGPEVMMGGNPYHQQHQHMAAMMNQQRAIPAGGYDILQPMMHARPPMAVNYMYPPPYSYPPPPQYPHDQYSNYFNDENTSNCTVIKLGKSPKTTMVAKYIPPPINNGTKEKVKAYNTISLLSLSRYTFPLSVHILTNASHFSSFSLSLMTTHHFSLHISL >RHN82687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55696921:55698412:1 gene:gene6866 transcript:rna6866 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPQPLHNGVADNNRSPSAPRFHSTVAEHKLRRFNSLILVFRLTSFSFSLASSIFMLATSRTGDSPRWYHFDTFRFVVASNAIVATYSLFEMSASVWEISRGATLFPEVLQVWFDFGHDQIFAYLLLSASAAGTSMARTLKDMDTCTVSNSFCVQTDIAIALGYASFLFLGFTSLLSGFRLVCFILNGSRFHL >RHN69581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44587057:44591719:-1 gene:gene18064 transcript:rna18064 gene_biotype:protein_coding transcript_biotype:protein_coding MKINTVPIQRFRRNRCRILFSSRKRRNTLCSVPLSFSTVLILEFFNSGIGSTRMIQQTLFLYGDPFGTDVLKDNLFVSQGVWMPR >RHN75881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45846749:45849283:1 gene:gene12139 transcript:rna12139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MDPSCGQPQQMSSQSSMENMLGCSKEEQERKPKPQPEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSPSSSSSSKRVQDQTFAPNLNPFNNLPHSSYDHNSNDFALALARLQKQSCGQMGYDDHDVSNLGNSGMNNHSAINHGFMDAIRSGLFLGNGLHYNNNVQNMYGVYGNGDNGEVNSGNNANNNACGVSEEMMFPYDQEINNVKQEMCSGREQISESKVFGGFPWQLNGGSNIGEVESARPSWNNGFTSPWQGLLHSPLM >RHN41043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26364533:26365879:-1 gene:gene47316 transcript:rna47316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol O-acetyltransferase MEINITSIETIKPSSPTSSKHKTYKLCLFDVFQLNTYFPLIIFYQKINGMEAFSNVSTKLKNSLSEALTIFYPLGGRRSDIFSIDCNDEGAIYMEASINMEMKEFLSPPKLELINKLLPCEPNKTQPYNEVLPQLLVQVNLFKCGGIAIGLCNLHTILDAHSCSIFLKTWSSICNGSRDKICEPNLSIASSFFPPRNTIGVRSGVLKMNDGVEIEIKCSMRRFLFDNKSINEMKETSKNEGIIKPTSYKVVSSFICKHMIVACMKEFCDESKKQVVNLHVVDIRRRMGENVLQNSIGNLIWPALVVYDNVNKNTNTSDMVKILEEEIGNVNEELFLKVKDDPRFLWSDECAELMLEGMDKNSISFVFTSWGNMRFKEIDFGWGKPLWIAQRGGTKEATPNTVVLMETYEGIEAWVTMAENYLNDLENNKEFLKFAFLNPNIIFNHSIV >RHN49442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54835613:54836402:1 gene:gene44272 transcript:rna44272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MAQNREKIKRKQPEPVDDDDDDDQEENWCFECKDGGQLVICDHENCGKAYHSDCVGKDDSFFDTVESWVCGRHCCYNCSKRSKFCCLGCPNAVCKKCVSTSEFTLVRGVQGLCCDCLEIVKIIELKLDHDSEGVGVIITLILEYLPNLFLLIV >RHN64226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57418554:57419825:-1 gene:gene27016 transcript:rna27016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSLSTLSYHTREDTEVNFPRPTTVNNKTDAPIQITTELILIEDKELQQIKLPEVDYLNHTLLNYPCKIVAFEKEARENPFNYDSWLSYIRLEESVGDEEKTREVYERAISNVPPNQEKISWRSYIFLFIKYALYEELDAGDMERARDVYRRCFNLMAHKKISSAKLWLLAAQFEIRMLNFNGARRILGYAIGIAPKHELFKKYIEMELELGNTDRCRNLYVKYLEWSAKKCNAWSKYAEELERSSSETERARAIFESAIARPEHELLWKAFLDFETAKIEFERTRVHYERIPNRKKQHLEIWISYAEFEATATYKAGLEQKKQCIEHARRVFEEAVSYITSSAPDSREERAMLLVKWLNLEAFSGELGDVSLVLPKLPKKRQKRLKVAAQDGSFRIEEFFDYRFPEETQATHLKKQKLSCSVA >RHN74952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38110596:38116554:1 gene:gene11092 transcript:rna11092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoenolpyruvate carboxylase MANKMEKMASIDAQLRQLVPAKVSEDDKLIEYDALLLDRFLDILQDLHGEDLKDSVQEVYELSAEYERKHDPKKLEELGNLITSFDAGDSIVVAKSFSHMLNLANLAEEVQIAHRRRNKLKKGDFRDESNATTESDIEETLKKLVFDMKKSPQEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRVRNCLSQLYAKDITPDDKQELDEALQREIQAAFRTDEIKRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCNDELRVRAEELHRNSKKDEVAKHYIEFWKKIPLNEPYRVVLGEVRDKLYRTRERSRYLLAHGYSEIPEEATFTNVDEFLEPLELCYRSLCACGDRAIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITKHLEIGSYQEWSEEKRQEWLLSELIGKRPLFGPDLPQTDEIRDVLDTFRVIAELPSDNFGAYIISMATAPSDVLAVELLQRECKVRNPLRVVPLFEKLDDLESAPAALARLFSIDWYINRIDGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEDLIKVAQKFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMRPPSSPKPEWRALMDQMAVIATEEYRSIVFKEPRFVEYFRLATPEMEYGRMNIGSRPAKRRPSGGIETLRAIPWIFAWTQTRFHLPVWLGFGAAFRQVVQKDVKNLHMLQEMYNQWPFFRVTIDLVEMVFAKGDPGIAALNDRLLVSKDLWPFGEQLRSKYEETKKLLLQVAAHKEVLEGDPYLKQRLRLRDSYITTLNVFQAYTLKRIRDPNYKVEVRPPISKESAETSKPADELVTLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >RHN70626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52826538:52827403:-1 gene:gene19230 transcript:rna19230 gene_biotype:protein_coding transcript_biotype:protein_coding MSELTSVSNESPRRSLSIQLSSMIIVDCTLPYDKLPSQNLTLTVLKLDSSSFRNLPLLADFSSNSYSVEVAKTATVAVLKQAVEAAFRHKPEKISWPLVWGQFCLCYEGQKLVTETDYLRDYGIKDGDQVRDYSVNSSCLNFSAPGISVILISLSLQING >RHN45405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19917199:19917969:1 gene:gene39728 transcript:rna39728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAQEHAHSSAVERLLNCEVPLRAQYIRVLFREITRISNHSLALTTHAMKGFASGLGARRNRMSSSGWSTVHQNRIG >RHN52893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40076825:40077329:-1 gene:gene37625 transcript:rna37625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Caspase-like domain-containing protein MNTYLDGVKIKELLINSYGFKAEDIELWKEANDGGPGFLIDAAKIREKLCQLIEISQPGDTLIFYFSGHGDYDEEWHIHLVTANGYALYGYDFQASLDSMADRVKTTFIIDSCYGGGFMELAHHKVVLYASSK >RHN78985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20580929:20581723:-1 gene:gene2661 transcript:rna2661 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGKQHGMVRTYRILPNPTPGTRFITRFDSPPTAGLFTKVPSKPTNHSKFTGKCGTPQCFGCRLHPVSKSKNKSKGNHKHFRVMDQPNSNLIGLSATETLNNLSDFYMDDDDDDEVENVSVDNDYYVNHSSTIDETVGFRLIIEQVKEEKYEDDWCLVECCS >RHN79307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27410418:27411423:-1 gene:gene3082 transcript:rna3082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MAKLFFSNSFLLSLISFLFITTITTAKPHSFIKTISPTTLGLHKPQKLSHIRFYFHDIIGGKNQTAVRVASSPTTEKSPTGFGSVVMMDDPLTARPESNSEVVGRAQGIYASASQSEVGFLMVLNFAFTQGKYNGSNLSILGRNTIESAVREMPVVGGSGLFRFARGYAQASTHSINALEAIVEYNVYVFHY >RHN82574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54890269:54891095:-1 gene:gene6747 transcript:rna6747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (pseudouridine(54)-N(1))-methyltransferase MMTSNSYAVVGLSFNSPKTVKIRDFVAANCDKNLVFVVGAMPHGNIDADYIDDFIPVSGYPPSADTCLYRICDALESNWKIF >RHN75869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45719901:45720404:1 gene:gene12125 transcript:rna12125 gene_biotype:protein_coding transcript_biotype:protein_coding MAREFHAILGGGQGDYNSGILYLLGIIFLSLSVLSIIIFSCGDDNHHKHRKRRGGRGGISGIGGDGGGHGGGGGVDGGGGGGGG >RHN70059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48399608:48401279:1 gene:gene18602 transcript:rna18602 gene_biotype:protein_coding transcript_biotype:protein_coding MYFCFVLKHEVLVRIAIYGDCLCYVYLSNFLIVFHVIWNHLCYCFFFHTWYM >RHN43683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47689928:47691121:1 gene:gene50297 transcript:rna50297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor OFP family MHLYHPIFLIPTSPYSSLSLSLSLSLMENQNRLKMRISRMFRSSFGSCKTKQHLTDVMEKPLFAPPISSNTTVLPFHPISKPKPSQTIDDPSDIISFKDSHSLPRRKISSCSSPFLCGANSNINNNLKLDRKPCPPVSPNTTFHEQNSLSFYEKTNSSMKNVTNKNKNKKKKKKKLKKKKKHTQKKNRAFPFNSCAKDTNFDSYWWYSSDEDDETDTLFSSKSLSSDSSKSRRRHSSRRKTDRSSDMGVLPLNGKVKDTFAVVKRSSDPYNDFRTSMVEMIVEKQIFSPSELENLLQCFLSLNSHHHHKIIVEVYTEIWEALFSDWL >RHN68763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38355929:38356238:1 gene:gene17155 transcript:rna17155 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFVGFMFSIKSDDLAKYDLPEVEFMILCLLFLVNAIFADASTITFLRIVSQFFFVGLSAHLVHQKKRRLLFRHPPNDN >RHN63046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47917735:47919982:1 gene:gene25698 transcript:rna25698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MDTLFRLVNFQQQQQQYQPDPSLNSTTTLTTSSSSRSSRQTTYHYYNQQEEDEECFNNFYYMDHNNNNDEDLSSSSSKQHYYTYPYASTTTITTPNTTYNTINTPTTTDNYSFSPSHDYFNFEFSGHSWSQNILLETARAFSDNNTNRIQQLMWMLNELSTPYGDTDQKLSSYFLQALFSRMNDAGDRTYKTLTTASEKTCSFDSTRKMLLKFQEVSPWTTFGHVAANGAILEALEGECHVEEC >RHN73858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20716770:20717894:1 gene:gene9741 transcript:rna9741 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVNWSELPKDLLNLISKHIDSELDLIRFRSVCSNWRSSSIPNHHPNSTIEFPLFKAPFLSDSINNITSFCKLPKHSFFLIKPPLEQQQQTLVQPWLVRITQTSSGKNKLIHPFLSHQYQSPYPFHFPHALDFSKLSVCHLVTFINAEPLSHDYMEPEKVVSVTCQGKKPIVLATLHSIFTPVPLLLKCGDDNWKVIPDILTFSLDVCLFKGKPYVVDKVGRTITFEPDDLTVQLVAEPFVDGAGGRVKFLVENEGDLLLVDIYEICLSYYLDEDALRIYVFRLDEKEKKWVSLTSLGDRVLFLGNGCSFSASASDLCVAKGNCVIFIDYMKSGNCVFHLDQDRLSPLSDYPEYFNLFWPPPEWIVKSCISS >RHN61844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38805833:38812669:-1 gene:gene24345 transcript:rna24345 gene_biotype:protein_coding transcript_biotype:protein_coding MITMDGDGINWVGNLYQKFENMCLEAEDMIYEDTVEYIENQMQTVGENVKKLYSDIVGDLLPSISCVLDEKEDSEFPKDQVTDAGFCKKPIQNFMERSAKANTKQTTEDSKIDHNADNDVVHKTGALIMSSSKSSVKRRNIVSRSRQHIGKNDIKSNIGIDENQVNEKMAATKIFNEITSAEPDTRMPSQGCEISNEDQNPVASVSKPALDEVARLASEPDHCNEIKNACTKQFPYVLLQVKSAEEKQIGTSSYDSLSMDRTIQCDDCSNSMVVLSHPEQGHKTVQEDHLKLEETCVIVTGDDLELVPKEVGNLKANKKTRRQGFSLSKKSARKQEYKELAAWHGNNEKANGVSMKNLDQTLQEDQKKLLLHSVSEPEWELL >RHN41327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29183480:29187196:1 gene:gene47635 transcript:rna47635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative six-bladed beta-propeller, TolB MPSPSTFLPLHLLLLFTLFYLHVQATLVIPEEGYTITTILDGHKLHINPFSILQRPISSDLIVLDSTNSTFYTVQLPISQESVFKRFSGNGSPGYEDGDVGLARFDKPRSFAVDFRGNVYVADRVNKVIRKISTNGVTTIAGGSSEKSSIKDGPAQNASFSNDFELTFIPALCALLVSDHMHQLVHQINLKEEDCTLGSKSALGAVMTWTLGLGLSCILGLVIGIVIRPYIIPHEHTSRCHFTATWKHCQTNLVKLVPTLYSGIKSAVASCGCSSVFTVAVRLWELSLSLLVLMFNIDFVSPRRPHLESVSLLDLDAYNSGEISKSSKYFDQLKDLMSFDEHLMDSTKEALNQGKGTGVRIGPKDSNILHQESSVSNLGIVKRR >RHN73455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16604227:16609130:1 gene:gene9270 transcript:rna9270 gene_biotype:protein_coding transcript_biotype:protein_coding MASFISKSKTLFTNPSNFTTIRHRSSRATGKLYEIDTSSSSSSQSLSSEGEHEMTLKLFDDLIHRILVKKATPDWLPFVPGSSFWVPPRPTPSNVVHLVHKLTDDERQSPFENDESLSISSLRGWPSSNYFIKGTVHGGDSGVELKIPEGIEGPVKVKVLTFPENLAHSEDEDEP >RHN45083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11580581:11580826:1 gene:gene39286 transcript:rna39286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative legumain protein MEACEAGSMFEGLLPNDINIYVTTASNKSENSYGFYCPNSYLPPPPEYDICLGDLYSISWMEDRYFFYCFLGGTNTGIFNC >RHN44806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8683588:8685191:-1 gene:gene38971 transcript:rna38971 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMDHQHSFLLKPAGAPYTCSGCGELGFGSSYHCENINCSYVLHGECAYPDPHARHPFFKESYFEFHKKPTGNKTRYCNACGKDVLGFVYHCSSTDYDLHPCCLKLKHSISDESGNVTLYHKARSKCVKCKHKHVVGNFQGWSYYDGNSSYHVSCFKALILENWRGGYFSQGDRSITNSTSMSDRETQLALTSLEMDQSSRIASRERTISKYTKIAVVVFKLIFSAIFGNPVSAFVALLEALA >RHN46919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35771130:35774336:-1 gene:gene41454 transcript:rna41454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MKSFCPKFSFLLLITLILTSFLYSEAQKCRPNGRIRGKKAPSGQCNKENDSDCCVQGKMYTTYECSPSVSTHTKAYLTLNSFQKGGDGGGPSACDNQYHSDDTPVVALSTGWFNDKSRCLNKITISANGRSVVATVVDECDSTMGCDEEHDYQPPCPNNIVDASKAVWKALGVPQDQWGGLDITCFIDKMKRFCPKASFILLVITLILTSFVYSEAQNCRPSGRIRGKKAPPGQCNQENDSDCCVQGKMYTTYVCSPSVSTHTKAYLTLNSFEKGGDGGGPSECDKQYHSDDTPVVALSTGWFNRKSRCLNNITISANGKSVVAMVVDECDSRKGCDEQHDYQPPCPNNIVDASKAVWKALNVPKEQWGGLDITWSDA >RHN81903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49674631:49678486:-1 gene:gene6000 transcript:rna6000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructokinase MAQFTPSGKSDDPTNGECNESGLVVCFGELLIDFVPTVGGVSLAEAPAFKKAPGGAPANVAVGISRLGGSSAFLGKVGADEFGYMLADILKQNNVDTSGMRFDSDARTALAFVTLRADGEREFLFFRNPSADMLLDKSELDHNLIEKAKIFHYGSISLIDEPCKSAHLAALRIAKDSDCILSYDPNLRLALWPSAEAARNGIMSIWDLADVIKISEEEITFLTGGDDPYDDDVVLNKLFHPNLKLLIVTEGSEGCRYYTKDFKGKVEGVNVKPVDTTGAGDAFVSGILYNIASDPSIFENEEHLQKALYFANVCGAITVTERGAIPALPTKDAVLQFDAK >RHN42665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40143373:40145376:1 gene:gene49141 transcript:rna49141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MKRKFKNPHTHQEEDLTDISQDSTPSSTSFNIDGSVTSHSSSSSKKRRAIQKRVVQIPIKEPHGSRLKGESNTPPSDSWAWRKYGQKPIKGSPYPRAYYRCSSCKGCPARKQVERSRVDPTMLIITYSSDHNHAWPVSKTTTRLSLKKTEPDPVEPDEKFAGHLVLDDELGWLGEIDTNSSAILESPIMAEFDNDMASVFLPMEEEDELLFADLGELPECSTVFRHGLLDARRRLTAPWCGTTT >RHN59258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8853347:8858858:-1 gene:gene21262 transcript:rna21262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transketolase MSSSSSTSLYLTNPHLTRHTSSPSTTRLSSLPSTITLNRTPTPTTHLSQSHHLRHTAIHASVSAPPSTTTDSSLVEKSVNTIRFLAVDSVEKANSGHPGLPMGCAPMGHVLYDEVMRYNPKNPFWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKVEDLKQFRQWESRTPGHPENFETPGIEVTTGPLGQGIANAVGLALAEKHLAARFNKPDNEIIDHYTYCILGDGCQMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTESVEKRFEGLGWHVIWVKNGNTGFDEIRAAIKEAKAVKDRPTLIKFTTTIGYGSPNKSNSYSVHGSALGAKEVDATRNNLGWPYEPFHVPEDVKKHWSRHIREGAALESEWNAKFADYEKKYKEEAAVLKSIISGDLPAGWEKALPTYTPEIPADATRNLSQQNLNALANVLPGLIGGSADLASSNMTLLKKFGDFQSDSPAERNVRFGVREHGMGAICNGIALHSRGLIPYCATFFVFTDYMRGAIRLSALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNILMLRPADGNETAGAYKVAVLNRKRPSILALSRQKLPNLAGTSIEGVEKGGYIVSDNSTGNKPDVILIGTGSELEIAYKAGEDLRKEGKAVRVVSFVSWELFDEQSQAYKESVLPTAVTARVSIEAGSTFGWEKIVGSKGKAIGIDRFGASAPAGTIYKEFGITKEAVIAAAKELI >RHN71198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57142142:57142581:-1 gene:gene19850 transcript:rna19850 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSDKKSRFLPLLIIYFPFICKVDTFIIFFHFNLKVFPCNFL >RHN63915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55020532:55022309:-1 gene:gene26671 transcript:rna26671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartate racemase MLERGMLMSIQTLNHPQFLFGVAFRNRTQTQYRTRSSNSSISSVFLTDESGNYEEPGNGTSLMRGHISSASCIKLSQQNTIGVIGGVSVLSTLVFLEKLACWSSRNGKECPPFVVCSDPVLSKALSLRGSFPSTRTRIDHIELNQELMIQNLRHKINVLQQSGARGLALPCHLSHAWHKEISKDSSLPFLHVGDCVAMELKNAKMKPIHATSTVRIGLLTTDSSFVVCYYKEKLQSQGFEVVLLDKATEEHILVPAVDALQRKDIEGARNLLRIAIHVLLVRGVNVVILASDDLLGILPHNDPLLKKCIDPMDALARSIINWAETTAKVPGRF >RHN42317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37374707:37377489:-1 gene:gene48745 transcript:rna48745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thebaine 6-O-demethylase MDITSILVPSVQELAKEQLTKVPERYVLPDHETVVLSNTTSSPQVPVIDLAELLSQDINLKRHELEKLHYACKEWGFFQLVNHGISTSLVEDMKKGAKTLFELSMEEKKNLWQIEGEMEGFGQSFVLSEEQKLEWADTFFLSTLPPHLRKPYLFNQIPQSFRENLEVYSVELEKLAIKVIELMANALAINPKEMTELFNIGTQMVRVNYYPPCPQPERVIGLKSHSDAGGLTILLQTSDIDGLQIRKDGQWIPVKPLPNAFIVNIGDMLEIITNGIYPSIEHRATVNSEKERISVAAFYGPNMQAMLAPAPSLVTQERPAQFRRISVVDHFNGYFSQELRGKSYLNEMKITKSEE >RHN47671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41422599:41423432:-1 gene:gene42296 transcript:rna42296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEDPVQESKSEKEPKTTPPTSGSDGGDFLTLRLGGGTNPTSKPLEKGEHSGSSQNANVEPPPAPQVKEFSCTYCDKKFPTSQALGGHQNAHKRERVFKKMEEQRREDVIDSALRYQSNILPYPYQFSSSPHYQGYSYFRGANLSNSIGGHVNNSLPSWLGGGSSSGGYGGMYMPNTPPASRFVMPLSNSSLATPQFGMNNLWGGGQNAAALPTPQRSNAVGLALLAQANQTPPPSSEGVERNFIDQIPSHDPIEDEDEDDINEANPNEEELNLELTL >RHN56819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33984113:33985000:1 gene:gene32273 transcript:rna32273 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFGKPVFPRQIILFASGLLFLASTTYDVHRSIKNNETPPSEEQLKALEEYIKSVRRSP >RHN79311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27463943:27467230:-1 gene:gene3086 transcript:rna3086 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNIRKLAEQLQETLHEAPQDDLSNSRDPKYASTMLQIGKNLDFKTMVRRLIWALMQDPSMSSMVEIYTDPSLEGQRKRRTAHLNKDQCLKLILDEIENGGPEVMMRYWNDEKVLKMFGLVMGIRPYFGDAVASFENYVPDETGDMGNEDSKNSGSDLTEDMESEDEGYVTEEDMESEDEGYVTEEDMENEDESTVHHTESTVHHIAVVDGVELCCWKLEERCQEPSVSSIVIKSRMQIESIHEENSLVEFMLKFNLLDLLFRRYPRGPGPYYRYGYVRAQTSRVRSSLTSTHNLPYQETQQRAGREGLLTILTRVMEEETPSVRFAQEFILALYVYHCLCNVHCLKIV >RHN77948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10757407:10761123:1 gene:gene1458 transcript:rna1458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I, cationic amino acid transporter MEEPNANSSIGEENVAPPSTKLKSFESLPSYGRALLYTPCRLLDRVTARSNDEVELVDVRKQSQHEMKKTLSWWDLIWFGMGSVIGSGIFVLTGLEVKNTVGPAVVLSYIVSGISAMLSVFCYTEFAVEIPVAGGSFAYIRVELGEFVAFIASGNIILEYVIGGAAVSRSWTSYFATLCNQPSDKFLIIVDSLANDYNELDPIAVFALAIITTFAIFSTKGSSRLNYIASIIHVIVLMFIIVAGLSQAKAENYKDFTPFGTRGIFASAAVLFFAYVGFDAVSTMAEETKNPGKDIPIGLIGSMTLTTFIYCMMGVTLCLMQKYSDVDEKAAFSVAFEAVGMKWAKYIVAFGALKGMTSVLLVGAVGQARYLTHMARTSLLPSWLARVNKKTKTPVNATVVMFIATAIVAFFTSLDILANLLSISTLFLFSLVALSLLVRRYCVRGVTSRFDVMKFLGFIFLILGSSIGCSVYWSKTDEWIGYTILVPIWFVGTFGIWFFVPLAKKPKIWGVPLVPFLPSASIGINIFLLGTLDKASFRRFGIWTAILVVYYLLVGVHASYDIAKVQKEEKEKLDTNIVSKMDEENGVSLLTGSNTKTENDT >RHN47059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36851476:36856685:-1 gene:gene41614 transcript:rna41614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MEPNFMFSLLLIISLWLLLTFHSNAETSTYIIHMNKSFFPQVFTNHHDWFKSTIHSLKSKTLVLDDHDQQEEASMQSQKQLVYTYDNAMYGFSALLSSNELETLNNTDGFVAAYQDRTATMDTTHTFEFLSLDSPSGLWHASNFGDDIIIGVIDSGVWPESQSFKDDGMTKKIPNKWKGTCETGHKFNASMCNFKLIGARSFNKGVIASNPNVRIRMNSARDSIGHGTHTSSTVAGNYVNGTSYFGYAKGVARGIAPRARLAMYKVIWEEGLLASDVLAGMDQAIADGVDVISISMGFDGVPLYEDAIAIASFAAMEKGIVVSSSAGNSGPKHGTLHNGIPWVLTVAAGTIDRTFGSLVLGNGQNIIGWTLFASNSTIVENLPLVYDNTLSSCNSVKRLSQVNKQVIIICDSISNSSSVFDQIDVVTQTNMLGAVFLSDSPELIDLRHIYAPGIVIKTKDAESVIKYAKRNKNNPTASIKFQQTFLGIKPAPIAAHYSSRGPSHGFPWILKPDIMAPGSRVLAAFVPYKPTARIGTDVFLSSDYNFMSGTSMACPHASGVAALLKAVHPQWSSAAIRSALITTANPLDNTKNLIRDNGYPSQYASPLAIGAGEIDPNRAMNPDSPSGLWHASNFGDDIIVGVIDSGVWPESQSFKDDGMTKKIPNKWKGTCETGHKFNASVCNFKLIGARSFNKGVIAGNYRNVGISKNSARDSIGHGTHTSSTVAGNYVNGASYFGYAKGVARGIAPKAKIAMYKVIWEEDVMASDVLAGMDQAIIDGVDVISISIGIDGIPLYEDAIAIASFTAMEKGIVVSSSAGNSGPKHGTLHNGIPWVLTVAAGTTDRTFGSLVLGNGENIIGW >RHN48093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44938620:44939093:1 gene:gene42768 transcript:rna42768 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRYRLLIVRIFIEIHNPNKSKFISKTNIQSIIAYSNSSNSLLRQNRRNTLPIFR >RHN60519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27576428:27583301:1 gene:gene22840 transcript:rna22840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol-forming fatty acyl-CoA reductase MNSGTMQDFLKGKTILVTGTTGFLAKVFVEKILRIQPDIQKLYLLIRASNTELASHRMQNEVFQTDLFRVLRDKLGGGFNSFISKKVVAVAGDAAVENLGIKDNTILNVMFEEIDLIVHSAGTTNFNERFDISMGVNTMGALHVLNVAKKCRKINVLVHISTAYVCGETKEGKPIFQEKPFEMGRQSLERTLKLDIHTEMNLLEKKLDELRAMNVDEKTIKHALKDYGIERANLHGWPNTYVFTKAMGEMLLVHHKDNVPLIIIRPTMVTSTSKDPFPGWIEGQRTVDSMICAYGKGKLPYFLGNPRTILDIMPADLVINCVIAAIVINLNKAPKNFIYHVSSSLRNPLKISDVHNISHQYFKKTPCLDEDGKPIVISKGIALKSMAAFNIYTETRYVLPLEVLNLVNKLICHSFQDVYDDNYKKIRIVKRLAKLYKPYVFFKAVFDDTNTENLRRETMSYNMENGMLEFDPISINWTNYMMNTHIPGLVKYAMK >RHN75434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42308423:42308784:1 gene:gene11644 transcript:rna11644 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLEHRPLCRLLLNCSQSVWLLVKMTLVKREPFGLDLDQLSQIQITGP >RHN77308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5932146:5933270:-1 gene:gene750 transcript:rna750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LisH family MANNNSKEHVIFSVLQYLDDAGLKETVHTIERESGLYFDKEYFEDMILKGMWDEAEKYLSGFTKVEDNSHSTKIFFELRKQKYLEALVSNDRAKASNILMTDLIIFRSKSEALFKDLTHLLTIDNIRDHSLLSTYQDANSGRKNVMDEIKKVIKKNPKLDGKLNFPAIESQRLRRLLSERFHTYFLNLS >RHN44997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10578556:10580364:1 gene:gene39190 transcript:rna39190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQREKNMDKTLKFVYFVILFLSLFLLITISNSFQYHVVSPCLSDKDCFRTSANNIRCRKGFCVPI >RHN48078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44791779:44793823:-1 gene:gene42752 transcript:rna42752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A(2) MELSKVTLEIFTKLEQQWLSVSQCGTTSKTRILSIDGGGTTAIVSGAALIHLEDQIRLQTNDPHAQIIDYFDIITGTGIGAILAAMITADDGFGRPLYTARDAVNFIADRNHEFYKMKSVGVFRRRRRFSTKSIENLLKRVFQGKESEGKSLTLKDTIKPLLIPCYDLNTSAPFVFSRADASESPSFNFELWKVCRATSSTPSLFKPFQFASVDGKTSCSAVDGGLVMNNPAAAAVTHVLHNKRDFPSVNSVEDLMVLSIGNGAPANRVHRDVRECSTSTVVDIALDGVSETVDQMLGNAFSWNRTDYARIQAFGLGGKGSWEETEVLNERVLQSLPFGGKRLLQETNGNRIERFVQRLVATGKSSLPPSPCKITPLVSC >RHN80220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36217876:36222166:1 gene:gene4119 transcript:rna4119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVMASQTETLENPPPPIEVEGSMVRDDTGKDLVVGVLGSDLCIQGVCANAELNHDVGFDGSVQEDKDVGLTIRGVEGFQGSQAVGSFECKSEKEEVEFGGNEASLHKDGVCGSVEVNNDVGFDGSVEEGKVVNVAIGGIVGFEDSQVVGSLEGKSENEVVELGGNEATLKTLDEQKKKEEEVDGILEKEVVSDNVTRVELDGGKVGISGKIDDSDKEKEIGDKEVIDGEEQAKASDGKVEKEVMSDDVIRVESDEGKVGISEKIDDSQEENEIGDEEVNDADEQVKASAGEVKNKVMSDDVIQVESDEGKVAISEKIDLDKEKEIGDEVFNDAEEQVKASDGKVVKHGPVKSSGKSRREGKFSVNDLVWGKVRSHPWWPGQIFDPSDASAQAMKHFRKNCYLVAYYGDGTYAWNEGSKLKSFRSHFSYIEKQKNLEVFRSAVDSALDEVKRRVEFGLACSCIPKDTYDKIKLQVVENCGIRQEPSLVHRVDESLNATSFSPEKLMEYLKALSKFSTGGFDRLELTTAKAQLLAFYRLKGYSCLPELQYCGGLENDTDTLINDTDKKLSEVNEHKIHTSKNGDQTGTGDSKATNQSRRKRKHNLKVGDTAKKKSLFEPANGTPDSTHSDYPNDDEAIANLFSPVLSKKRKTIAHHYADVPRVKGRRKTISLDKSSSTTKQSFKIGDCIRRVASQLTGSPSMLKYSGDTSLMADGNGDNLSENKSDPFSPNFEETQKSSLIFPTEFSSLEDLLSLLQWVAKEPKGDYSFLNVIGSFFSDFRNSIIVTSDSGKEILPTKVGTKRKKPAIGESPETFDFDDLVDTCCMQNGSEEQQSQRSSKPDYERAPSEPEKPVHVYTRRSCSRKQYFGSNHAEVPEKPAGYIDEKSPAELVLNFADLDSVPSETSLNNIFKHFGPLKESETEIDRGSRRARVVFKKCADAQAAFGSAKQFNIFGRSLVDYQLNYSPSALLKASSFATTQDQELHLDHSNVELNVV >RHN69461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43596769:43599808:-1 gene:gene17937 transcript:rna17937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MGAVEDNINLQDPTETMTENIEALLEAARYDDMDDVVSLTSNGVPLNSKDDQGRTALHMAAANGHVNIVEYLISKGADLNSENVEKNTPLHWACLNGHVEVVKKLIIAGANVSVLNSYERTPMDEAVTRGKLEVMDAINEAEALVELRGALVSS >RHN42089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35320720:35322573:-1 gene:gene48484 transcript:rna48484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MDVEMKSIEKNRTWQLVDLPKGKDAIGLKWVYKTKYNEDGSVQKYKARLVVKGYSQQPGVDFNETFAPVVRMETIRTVLALAAQLELQVFQLDVKSTFLNGELEEEVYVKQPQGFEVEGKEGKVYKLHKALYGLKQAPRAWNSKIDAYFLQNGFVKSPSEPSLYVKRSGANFLMVCLYVDDLIYAGTNHDMVQSFKEAMMKEYEMTDLGLMKYFLGIQVKQTKCEIFITQEKYIHDLLKKFRLESCKPVSTPMALNEKLQLNDGSEKADPKAYRSLVGSLIYLTNTRPDIVHSVSLVSRFMNEPSKLHFAAAKRILRYLQGTKKLGIKYVKEENNELVGYTDSDWAGSFDDRKST >RHN59130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7779302:7780085:1 gene:gene21120 transcript:rna21120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MKFLKRTLSLSEREGGITSSKNNGYVLKGYLAVCIGEELKRFIIPPTEYLCHQAFQILLREAEEEFGFQQAGVLRILCEVSTFECILKMVEDHGKNKDSVQTRM >RHN51150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14479420:14483652:-1 gene:gene35536 transcript:rna35536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MTTLSIMNLFCLKLVQTIFLIYLVLQVDQLVCSKEVVRCIQSERTALLQFKAALTDPYGMLSSWTTEDCCQWKGIGCNNLTGHVLMLNLHGNYDYDYLYYYYYYGGGNRFCIRGDIHNSLMELQKLKYLNLSRNDFQGNHIPSFFGSLRNLRYLDLSYCNFEGDQIPIQLESLLHLKYLNLSWNYLDGLIPHQLGDLSNLQFLDLSNNDLEGSIPYQLGKLTNLQELYLGRKYEDSALTIDNKDHSGGFWGVFGSILIKRTWRHAYFKFMNNLADNIYVKCRRWLRG >RHN57426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39071808:39079972:-1 gene:gene32969 transcript:rna32969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MDLKKSENQTSLIFCVNGEKFELSKVDPSTTLLEFLRTQTQFKSVKLGCGEGGCGACVVLISKYDPLLDRVEDFTASSCLTLLCSIHGCSVTTSEGIGNSKKGFHSIHERFAGFHASQCGFCTPGMSVSLFGALVNADKSNFPDPPPGVSKITVSKAEKSIAGNLCRCTGYRPIADACKSFAADVDMEDLGLNSFWKKGESKESKLNKLPRYDRDHKNIEFPMFLKEVKHDLFIASEKHSWNKPTSLEELQSLLELNHANETKIKVVVKNTGMGYYKDKQGYDKYIDISGISELSKIKTDQSGIEIGAAVSISKAIEALQKESKSDFISDFVMILEKIADHMSKVATGFIRNTASVGGNLVMAQKNKFPSDIVTILLAVDSMVHIMTGAKFEWLALEEFLQRPPLSFESVLLSIKIPSTELYKSESSETRSSRFLFETYRASPRPLGNALSYLNAAFLVQVSPCKDTDGTMIDTCRLSFGGFRNKHAIRAKHVEQFLSGKLLSVSNLYEAINLLTDTAAITPQDETSTHGYLSSLAVGFIFQFFNSMIESPARISNGYLNGYTHLPLAEASNIKDQKQIKHNKFSTLLSSGKQVLEAGTEYNPIGEPITKSGAALQASGEAVYVDDIPSPTNCLHGAYIYSEKPLAKITSIKLGHELELDAVRDILTSKDIPDGGENLGAKSSFGTEPLFAEEIARCVGERLAFVVADTQKLADMAANSSVVDYSLENLEPPILSVEAAVERSSFFEVPPFLRPKNQIGDVSKGMAEADHKIVSAEIKLGSQYYFYMETHTALAVPDEDNCITVYLSSQSPEFAHSTIARCLGIPENNVRVITRRVGGGFGGKGMKAIAGAVSCALAAQKLQRAVRMYLNRKTDMIMAGGRHPMKITYSVGFKNNGKITALDLEILVNAGIYPDVSAIMPRKIAGALKKYDWGALSLDIKLCRTNHPSRSALRGPGDTQGSFIAEGIIENVAATLSMEVDSVRSINLHTYTSLKKFYDDSCGEPLEYTMPLIWNKLAVSANYEPRVDKVKEFNRLNIWKKKGISRIPVLFELSLRPTPGKVSILSDGSVVVEVGGIEMGQGLWTKVKQMAAFALGTIQCNGTESLLDKVRVVQSDTLSMIQGGLTAGSTTSEASCAAVRLSCNTLVERLQPIKKQLQEKKSSIKWEDLILQAYMQAVNLSDSSYFVPGSNSVKYINYGAAVSEVEIDLLTGETRFLQTDIIYDCGQSLNPAVDLGQIEGAFIQGLGFFMLEEYETNLDGLVLQDGTWNYKIPTIDTIPHQFNVEILNSEHHQRRVLSSKASGEPPLLLAASVHCATRSAVKEARKQLLSWSNSDDGSDSAFQLGVPATMPVVKEVIGLDIVQRYLKWKMDNK >RHN43583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47023893:47024525:1 gene:gene50188 transcript:rna50188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger-XS domain-containing protein MTRAEFADITATLTTDIKALLDQMSAWTGKVYNNNANNRNNPNRGGEPIPVIRVCNNIPIIVTYRKLKYADESDLEYYERRYYNKLTDDYYEIEISDSVYRCPFCYNKDYSLSDLLRHASRIADNSRKTVKDIARHSILITYILRILTTLKEEIDVARTTEAFPTSVKPVEEHSVTEPVVSIVETDEHMVSQTSETLRSYEQRRSPLSTS >RHN70915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55011469:55024951:1 gene:gene19549 transcript:rna19549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, histone transcription regulator 3/CABIN1 MFSIAAINDTDSKCHWEPLAPTKEAQEFHLSQTYHEGLVKLQAKEYEKARELLESVLKDPLIANAQVDRGAADSHLLQLRFLALKNLAAVFLQQGSTHYENALHCYLQAVEIDSKDSVVWNKLGTLSCSMGSLSISRWAFEQGLSCSPNNWNCMEKLLEVLIAIGDEVACLSVAELILRHWPSHSRAMHVRNTIEESEPLPFAPRGIDKLEPKHVRLKFPDKRKASNENLDEDVAFKKLKQNKDLDLTEASWVALADALLEILLPSNLQISEVELKKTCNSPDIRLRINLPCSSEAAVNMEVKGLSGDNSAFGDGSVGPESDFKEKEANTQEEQPHERRSSRLERLRSRKPGKDDESNSCGKDPAKVVIRCLEPFIAGGLGDQETVDSDTMTLSSARNSEYDNFSAFLRQTSNNYGAYHMGHLLLEEVSRQGLPFQDAFVKFLELEKLTRHWGKDRTAECNIFLAELYYDFGLCSVTGSKQLEWMSEASYHLCKIVESVALDYPFQLTSALNEGCNLIDGFQETRGTSMHASTENISDSDNSLLMKNSSFWSRFYWISGRLSIFESNKAKACEEFCIALSLLLKREKMEHSPGSVPRPHCKDVKEINIDRVLYEVNILKVNFLMEKSVSRMMEQEKYLDCVSLLSPLLLSTEDVYFNSFSLCMADKKDEKITSIELRALDVLIEACQKTRPMDVDMYFNCHYRKLKILMALMGLNTSITSCKSSDQTLGFSAPSNLDVDSNESSSKHCSHLAAEEVKALSDCISQVKKVIDHFGDSDGLTVPTSSLCQMQSLLLLIMSYVANVLVCNKTSAQVISDQVESSCFVDAAIVFCKLQHLSGTTPIKTQVDLIAATHDMLAEYGLCCVGEGGKGGEGTFLRFAIKHLLALDMKFKSIFNLKNKESMRCEEASKNSTVNVTMEDSKSDTLDIRMDWTRIDEITTVKKDVSEGISKGISCCKVQNKDSKEEESENHVSAGTDCPLVKGENSCNQLIECVNELSEDEREELESIIDSALDQCFFCLYGLNLRSDSSYEDDLVMHKNSSRGDYQTKEQCADVFKYVLPYAKESSKTGLVKLRRVLRAIRKHFLQPPEDLLTGNPIDKFLDDPNLCEDKLSEEAGSEGFLETITKTMFPDVGGLGQYNTTLLRRSEPYLDVYCNLYHFLALSEEMSATDKWPGFVLTKEGEEFVEQNAKLFKYDLMYNPLRFESWQRLGNIYDEEVDLLLNDGSKHINVVGWRKNPTLSERVETSRRRSRRCLLMSLALAKTSAQQCEIHELLALVYYDSLQNVVPFYDQRSVLPLKDAAWTAFCESSMKHFKKAFALKEDWLHAFYLGKLSEKLEYSHQIALSYYNKAIALNTSAVDPVYRMHASRLKLLFKRGKQNAEILKVISAYSFDQSVKEAVTSILGSTDSSSLNTKETCINANSVETKHEGLLKLDTAWSMLYNDCLSALETCVEGELKHFHKARYMLAQGLYRRGESGDIEKATDQLSFCFKSSRSSFTINMWEIDSMTKKGRRKTPGSAGNKKGLEVNLPESSRKFITCIRKYVLFYLKLLEETGDRCILERAYVSLRGDKRFSLCIEDLVPVAIGKYLKAMISTMRHSQTTGSVPVSNSDNVLERMFALFMEQGSLWPEICSLPEIEGPVISESILYGYLHDHIALLEINGKLETLETINEKIRKRFKNPKLSNSSCAKVCKHASVALCRSLIYNLAQITPVSSGFSNGIQVHDLTDDGMEYSQFLCIDLQPRELWNTSFEDPSLLENIETKWSAILSKIKDILIKKASDENLEIANTLLRACYNFYRESSSVVLTSGLNFYVIPSQLVTETPFDPTMTGVEALDLSIPRKLLLWAYVLVHGRYTNISVVVKHCEEISKSKMKRGSGTSPALTNSPAMAPTLHGSSRSGPNDVDSTHVTTVGSGLLCTDDIQKNLFGSPQLHQCTTNDAERSNVNACEGETRD >RHN69169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41489314:41489710:1 gene:gene17602 transcript:rna17602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPGIRRSSFTAGQSSSKQMEVPKGYLAVYVGEEMKRFLIPVAFLNEPLFQELLSQAEEEFGYCHQMGGLTIPCKEDVFLRTTSRLNGL >RHN79717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31493275:31500618:-1 gene:gene3547 transcript:rna3547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbonic anhydrase MKHQKILKIFLPNLLLLATILILSTTWTIAQEVEDEHEFDYTKDSKIGPSHWGKIKKEWATCKDGKMQSPIDMSSHRVKVVPKLGKLKRHYKPHNATIKNRGHDIEVKWKEDAGSININGTEFFLHQCHWHAPSEHTINGRRYDLELHMVHESAKVNGKSKLAVIGLMYKIGRPDRLLSKLSKYIKTMMDNEVERSIGVFDPSEIKLGGKKYYRYIGSLTVPPCTEGVIWTINKKIRSVSRAQLELLREAVHDHAEKNARPVQLLNRREIQLYGPKRKE >RHN50642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8751904:8752461:1 gene:gene34952 transcript:rna34952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MAHFLTLVVVFLFCVASSYAVNVVDVQAICKEAKDPSFCLTLLKSKPGGVGQDLNSLAQYTIDVLHTNVSNTVTLITKLIAQSGSDRNKQDHYKNCYSHFLLDGGALGEVVNAQQQLKAFDYYNVYTHMNAIATDVYDCLTGDESVHQDARVLSLYKDTSLLPKYVDVVGQIALIITNMVLILQK >RHN58046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43338986:43339231:1 gene:gene33652 transcript:rna33652 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLKYMSFMYYGFRLLLKVQYSGDQLYECESDGGCRTLQSSPSFDTVNLKGGLSEVWILIAMAICFRFLAYFCLRRKIDV >RHN71964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3988812:3998242:-1 gene:gene7626 transcript:rna7626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S1, PA clan MDHSEIFNFARNFSVMVKIRGPDPKGMKMRKHAFHHYRSGETTLSASGLLVPEAFCDAQVAKGLYGDNFEGRVLVVTVASVVEPFLSPQHRQNIPQSRPDLISGVRIDIMTEKTNEESDQGTPCWLEGQLLSLVDIPASALCVQSLVEASLGLSEHEWDVGWSLASHNNDSQSSKDNFQTQRRLALGESGSASLMCKSLTRMAILSISSSFKDSLNYDKSSTNKRGDFLLAVGSPFGILSPTHFFNSLSVGCIANCYPPNSSDGSLLMMADIRSLPGMEGSPVFSEHACLTGVLIRPLRQQTSGAEIQLVIPWEAIVNAASGLLRKWPQNTVEGSCYQEGNSCGPGKGPFIDYNKSEAYVLSSNNHEHLNFGNSSPLPIEKAMASVCLVTIGDGVWASGILLNSQGLILTNAHLLEPWRFGKTHITGRGYGNGTNPEKFPSMLEGTTSLDNRGESIQTRQTLPSKMTNLYPFVAGEQGRYKLNKPYDSHRNIRIRLDHIKPWVWCDAKVVYVCKGPWDVALLQLEPVPDNLLPILTNFSRPSTGSKAYVIGHGLFGPKCGFLPSVCSGVVAKVVEAKTPQSYQSIQPEHMHTQGNFPAMLETTAAVHPGASGGAVINSDGHMIGLVTSNARHGGGSIIPHLNFSIPSAALAPIFNFAKDMQDLSLLQILDEPNEYISSVWALMRPSSPKLNPMPDQPPSPLNYKSKEEKGSQFAKFIKERKGIFNDPSHIGKSGVLSKDVIPSKL >RHN59109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7536760:7542861:1 gene:gene21098 transcript:rna21098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S10, serine carboxypeptidase, alpha/Beta hydrolase MNMMAPPSRNSLHYSCQILLALGLFSLQMLTPLEASRSKVEHLPGFQGPLPFELETGYVGLGEANDDMQVFYYFVKSENNPKKDPLMLWISGGPGCSSFSALAYQIGPFAFEIKEYNGSLPSLVSRPQSWTKLCNIIFVDLPLGTGFSYAKNVKDYRSDWKLVHNTHQFLRKWLIDHPEFLPNEFYIGADSYSGIPVPAILQEISNGNEEGHQPLINLQGYLLGNPWTTYKEDNYQIQYAHGMGLISDELYASLQRNCKGEYIDVDSGNELCLRDLQYFHECLSGINKFNILASICKDDLRMWRRSLTQELNASLSSRLTVPELSCRDYGFYLATKWISDESVRKALHIREGTIGTWERCYTTDFKREIFSTVEFHANLSKKGYRSLIYSGDLDLIVPFRSTQAWIRDLNYSIIEDWRSWYVNGQVAGYTRTYSNRMTYATVKGSGHIAPEYTPELCFPMFSRWISNLPL >RHN43736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48060169:48069204:-1 gene:gene50357 transcript:rna50357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MMTCSSDTISIAARTQKVDVDNRFSLRIYYRIADNILKQADIFRAEKNIIDLYIMLLRYSSLVSETIPRHRDYRTSPQSKKELLRKKLLTSVIELEKLKPLAQQKINELNSRKSYQHSGRDTFRSNYSTGFSPVKKQTMASYDEIKAVRQTAGEFVYRGSKAQQYPCVRPVEDSMKRLWISNSPSPKEETLSRHSILGPNGLKGPWQPPTSDKGVRYPTIIDLSPVEIPSLHQSLEDGSQNKKDNSISEHNKLDVESTLTQSEDCQVKHADETPSLISFEETEDFAPIKVIRQPSPPPVLAEVQDLVPTVSPHVDEAGCKTETPLSDSFGRAESPLQLHISTAMMGSFMKLAKSNTDKNLETCGILAGSLKNRKFYITALIIPKQEATSSSCQATNEEEIFEAQDKRSLFPLGWIHTHPTQSCFMSSIDVHTHYSYQIMLPEAVAIVMAPTDSSRTHGIFRLTTPGGMSVIRQCQQRGFHPHDPPPDGGPIYDTCTDVYLNPDLKFDVIDLR >RHN47430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39690978:39691432:1 gene:gene42029 transcript:rna42029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative apyrase MDEPNKPNSIHHPVDFEVEAKRACTLNFEDVKYTYPRLTEEKRPYVCMDLLYQHVLLVCGFGLDPQLEITVGRGIQYQNSVVEAAWPLALPKFERLMYFI >RHN52072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31313243:31314041:1 gene:gene36690 transcript:rna36690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MDDARNVFDSAIDRDLYSWNTMIGVYVGSGNMIQAKNLFDEMHERDVVSWSTIIAGYVQVGCFMEDLEFFHNMLQSEVKPNEYTMVSALAAC >RHN50121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4237370:4238519:1 gene:gene34376 transcript:rna34376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MSFTIQAAEEHALPIILFCPASACSFLVALHFRTLIEKGLIPLKDESYLTNGYLDIKLDCIPGLQNFRLKDLTDFIRSTNPNDVMVEFAIEAADRFHKASAIVFNTYDELESDVMNALYSMFPSLYTIGPLPSLLNQTPHNHLESLGSNLWKEDTKCLEWLESKEPGSVVYVNFGSVTVMTPEQLLEIAWGLANCRKPFLWIIRPDLVIGGLFILSSEFENEISNRGLTAS >RHN42867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41547973:41553262:1 gene:gene49365 transcript:rna49365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase-like ATPase domain-containing protein MNECCNQMFLLSSINTLPITFKSQRCRNPSCFLQTINANPNSDSDDDHRNNSTTLTLSSTRALASAIRKVSTSPVEFTQRLEKHPRNGLVLPSPDFHRLCLQQLQLFRRIVPESFLSVYVRPAGSYVMDQLELRRVAVYPGGDAESEEEGIAILVSHFNVPAGLRSAETALSELQVKVVPECKAVVLPMVKHPFVVGFLVAELPLVELETCVKGQSDGLNNHVSGKEDYSLPPFLDLDKKSWEIQTLRMKDEPVGMCNFTADQRSNAVDISQSLAMAYVMDQKAMLLQQSTWQNNIRMNNLVEQIRGPLSSIQTLGKILSTQTKKSEISYDIVEDILALGDRLSDVLQQLQDAVYLTKGNILRYNEESIKKMNGSNHIFSESVKSQLLDGSPRDGSVNKMHKSSEPLSLGAAAQDIEMPLPPLALAPLRHGIRSCNVSEVLADLVDTIKPLAQNQKRVIEHSRLSSPLLVSVEEPALRQAFSNLIEGALLRTHVGGKVTIVSTSAPAGGTLVLIDDDGPDMHYMTQMHSLTPYGQELLAEDMVEDNMTWNFVAGLTIAREILESYGCVVRIISPRTKDAPLGAGGTRLELWLPMAVAKSDI >RHN78594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16522941:16528511:-1 gene:gene2218 transcript:rna2218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase, cytoplasmic MAKDPVRVLVTGAAGQIGYALVPMIARGVMLGPDQPVILHMLDIAPAAESLNGVKMELVDAAFPLLKGVVATTDVVEACTGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEKHAAANCKVLVVANPANTNALILKEFAPSIPERNISCLTRLDHNRALGQISERLNVQVSDVKNVIIWGNHSSTQYPDVNHATVNTPAGEKPVRELVSDDAWLNGEFISTVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPQGTFVSMGVYSDGSYNVPSGLIYSFPVTCANGEWKIVQGLSIDEFSRKKLDLTAEELSEEKNLAYSCLS >RHN53408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1500786:1501518:1 gene:gene28311 transcript:rna28311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone synthase 3 MSNLVGQALFGDGAVVVIVGSDPISKIEKPLFELVWTAQTIALDSEEAISGQLREVGLTFNLRKDVPEIISKNIDKELVKAFQPLGISDYNAIFWIVHPGGPAILDHVEQKLALKPEKMKATREVLSEYGNMSSACVLFILDEMRKRSAQEGLETTGEGLKWGVLFGFGPGLTIETVVLHSMVI >RHN74186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29996976:30005103:-1 gene:gene10200 transcript:rna10200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MAESDDDHHTSPENQINDSYKKKNKKLRKRKRSRKLEQELEKQQKEKNNENTESEDEEEENNDKDGNDVVEEVEEKKVENVLPSGILSTESFSSLGLSERTSQAIAAMSFTHMTQIQAKSIPPLLMGKDVLGAARTGSGKTLAFLIPAVELLYNVQFTPRNGTGVIVICPTRELAIQTHAVASELMKGYHSQTLGKVIGGVGRKGEAERIVKGVNLLIATPGRLLDHLQNTKGFIYKNLKCLMIDEADRILESNFEEEMKQILNILPKKRQTALFSATQTKKVEDLARLSFQTPPVYVDVDIGREKVTVEGLEQGYVVVPCAKRFVVLYSFLKRYQSKKVMVFFSSCNSVKFHADLLKFIGLDCLNIHGKQKQNSRTSTFFNFCKAEKGILLCTDVAARGLDIPAVDWIVQFDPPDEPKEYIHRVGRTARGEGGKGNALLFLIPEELQFLRYLKAAKVPVKEYAFDDKKLSNVQSQLEKMVASVYHLNTLAKEAYRSYILAYNAHSMKEIFNVHRLDLQAVATSFCFTNPPKISLNIDSSASKHRKKIQKVEGGRRH >RHN71009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55790212:55791255:-1 gene:gene19649 transcript:rna19649 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIIFVLIFSIVLAMPFRTVLGQDGLKDLLGGGDLASTIDEAKKALGAASGEAGGQAALDDYAGGEEGQTANPADASLAGWIETDNATSNGTGSSPPKSADSPIGAAEDLPADTPEGGAPTPTADGSSNKSPQQAPTNAPSNEPSKTPESAPTNAPTAASTNAPAEAPKGNGANVAGSSKAPESAPIVSPTGAPQGDLTDDDDDDDQMVAPSGAPKGTSTDDD >RHN47783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42516714:42518422:-1 gene:gene42424 transcript:rna42424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MHPWLENIVNLCRNRKNDNLALFDEWPSLASSINLKNHCYGEFSSAFVQANEDMEDRSQVEVASRNALFLGVYDGHGGFEASQFISEHLFDDLLRLTNENENKITEATLRDAVSATEASFLDSVKRNYMINRNLGKVGSCCLAGIIWKGTLHVANLGDSRAVIGTMVNKRIRAEQLTRDHNCSDPAIREELKSMHPGDPTIVKEKNGVWRVKGIISVSRSIGDTYLKRLEFTLCESFPKFKKVPEPFTRGVVSAEPEMRTRVLTYSDKFLIFASDGLWDFLSNEQAVEIVQNNPRNGIAKRLVSTVLAKAAANGNVTCNSMMHANLGRGDGNRRSFHDDISVIVVFFDKTSFLRMPVHNLSYKSSSDRPTPSAFARSGLTTHWLQRLKKTIKDRFKGSSSNASGSQDQNPETPEGESSQTQSLLGQSSRAQSPWKNLKQN >RHN70921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55086227:55090010:-1 gene:gene19556 transcript:rna19556 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGWWLIAVGTLRLASVWFGFFDIWALRLAVFSKTTMSEVHGRTFGTWTLLTCTLCYICAFNLDNKPLYLATFLSFIYALGHFLTEYLIYHTMAISNLTTVGIFAGTSIVWMLLQWNAHLKVRSKPSNRKH >RHN48823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50503178:50503766:1 gene:gene43579 transcript:rna43579 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRKNITPFCLHMPYSVVDLDLFIHCFPLQVYYHSLVLGIMEPRRYVLNRFIPFLDTVVLVPLEFY >RHN78890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19605265:19606750:1 gene:gene2557 transcript:rna2557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator GNAT family MAAAAPPPPPTEPPSTMPETALPRHPVFTRIRLATPSDVPYIHKLMQQMAVFERLTHLMTATEASYSSTLFSPENKPFLSTTILILEVSQNPFTDTHFDNDPFYKPITKTVTLDLPIDDPEKENFKTQHGNEVFVAGFVLFFPNYSTFLGKHGFYVEDLFVRECYRRKGFGKMLLTAVAKQAVKMGYGRVEWVVLDWNVNAIKFYEDMGAKILQEWRLCRLTGENLEAYGATE >RHN77968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10936185:10941534:-1 gene:gene1481 transcript:rna1481 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PIN3 MITLKDLYTVLTAVVPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAVFAVPLLSFHFISTNNPYQMNFRFIAADTLQKIIMLVALSLWTLFTKNGNLEWMITIFSLSTLPNTLVMGIPLLIAMYGDYSGSLMVQVVVLQCIIWYTLLLFLFEYRGAKLLIMEQFPETAASIVSFKVDSDVMSLDGRDFLETDASVGDDGKLHVTVRKSNASRRSFMMNTPRPSNLTGAEIYSLSSTPRGSNFNHQEFYSMMGYQPRHSNFGANDLYSVQSSSRGPTPRPSNFEENGASSPRFGFYPAAQTVPTSYPVPNPEFSSTTKPVKNQNQNLMPQQPQVQLQTKGSQDKELHMFVWSSSASPVSESAGLNVFRNSEQSEEGAKEIRMVVADEHNQNGETNNKGGQEKEIGGEEDFKFNGVKVGEQGEGPNGPNKLGSNSTPELHPKATGVADSSVGKLMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVAFRWDVHMPKIIEKSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVASFAMAIRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLLYYILLGL >RHN72256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6163404:6163665:1 gene:gene7949 transcript:rna7949 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVHLLCATLFVFLVSLRYLIASDCQISFDPVHIQSMTLVSSTLQIRKHGYSRDLNIVIVYAFVLCRFMLLAWML >RHN74905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37688427:37688777:1 gene:gene11039 transcript:rna11039 gene_biotype:protein_coding transcript_biotype:protein_coding MENQDPSNCRKEMEQELIRGRDTAKQLLEVINVHRVNIHGDLEGLIIPFAQDLAKKVLRSFTNTIFLLNTNDDVFSDEEVLPVTIIDLSPANCPKDEDTDKACKSFKTQRGCYKRK >RHN81038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42824835:42826354:-1 gene:gene5032 transcript:rna5032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase response regulator and transcription factor RR-A-type family MHGDIGIVDKEVGKRGTCFKFNVLLTLCENETVNYEYGSTAGDKSQTLEKKLTIRTASSGSSICSLSPKLHVSPSQRPEPSHVILYITDEERRRTSQLFIESLGIKVKVVKSRNHLVHTLKKIKKEKGDHLSDQSSPESSEMSSRCTSYSSSCSRRVPFKAMDHGNEYLSSMFKKNNGAAPSFVLIIIDANAGPFSKLCKIVSNFKKDLLNPSKVVWLEKPFESSVDYQAIDQDDNVISKPFHGSRLFQVIKLLPEFGGSWISNSSKPRNELRSQEPTNDESVCLSVGQCWKGTQKSYVKMGRKGSVHQGEIEESGGESSNPEPLSGKKFLVVDDSPMLRKICMATLRSLGVTTIDQCENGEEAVRTVQEGLTKDFTNPPYDYILMDCQMPVKDGFEATREIREMEKQYGLHISIIALSAEIDKLTTETGMDFHITKPIKKEHLLKAITYIENSDIL >RHN63110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48549449:48553689:1 gene:gene25769 transcript:rna25769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGCTVREKHIRANRRPRSTATAKPDSDSSEIKDAISKSIEESGLKPFKYDLSRVDSLNSHCLIPIPNPNPNSDEPGWGYCTEEQLEEILLKNLEFVYNEAVSKIVALGYDEDTALKAVLRNGHCYGGMDVLTNILHNSLAFLNSNSGAYVGVGVNGAVCAGFAREGENMDELEPVFADLKHLEEYSLAGMVCLLQQVRPNLSKGDAMWCLLMSDLHVGKASTIEIPVPGSAGARGAAAVESGGGGGGGKARTKPVAHRSFPPCKFHEGWGFGNGDYPTNANGILSCAPVLQREIEFPKRFDLSPPMKCLLKRNVAMFAAGFRANTKQLQAKGKANVPGRSAVSNLDSPVVSGAETTVDPCGHSRVVDNQEAVNSVLSKFRDLNLDENLEFVAEDQKDEVIVSIFHQIKDLEKQAKERKEWAYQKALQAAKKLSSDLTELKTLRMDREETQKLKKGKQALEDTTMKRLSEMENALRKASGQVDRANGAVRRLETENAEIRAEMEASKLSASESVTACLEVAKKEKKYLKKLLAWEKQKAKLQKEISDLKEKILEDREVSAQNKQRQKEAEAKWKEELKAQEDALALVDEERRSKEAAESDNKRGFEALRLKIELDFQRHKDDLSRLENDLSRLKASVRSAALHHQNTSPIKDFEGTKPQRETIAKLLLDLDDLSESEANNNRECIICMKDEVSVVFLPCAHQVMCAKCSDEYGKNGKAACPCCRVQIQQRIRVFGACS >RHN53230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:258770:259413:-1 gene:gene28118 transcript:rna28118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA5-type MGRSNMFIHLRRTAKGAENTTGICRYLGREVGSKQLLVDQKTKSGSLGKKAVVDSTSSYSSCWVPHPRTGIYFPVGHEWVMEDVPDGAATFSETCYFRN >RHN42440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38374946:38376311:1 gene:gene48882 transcript:rna48882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vinorine synthase MEMEIISREFIKPSSPTPSHLRNFPISFIDHISFRNYVPLLFFYDDTKVCDQTSKISHLKNSLSQILSIYYPFAGRFKDQLSIECNDQGASFLVANIAGTKLSTILKNPTENLLNPLFPDELQWKNMDCNGSILVIQINCFACGGIIISVCACHKIVDAATAFNFMNDWAKLNSEGESNSKSTLSLPYNLLYAGDTIFSQGSLPNFPECEFVIDKTIVSKRFVFEASKVKLLKSMMVNSDSNEVKNPTRVEVVTAMIYKCVVSALELNFKTTSLRMAVDLRRRMVPPLSDKSVGNIVWFLFLINPELHDLVFKIRQGLHEFCEVYPKKFGGKEKDLSFIFECLKQVTTPVSESDYNQSLIVYASWCKFPMYEADFGWGKPIWVTTSTCPVKNAIVLMDTRNGDGIEAIVNMKESDMVRFEREVELLQYASLNPSIV >RHN72492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8057443:8057735:-1 gene:gene8211 transcript:rna8211 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQPRITRLGKYVTCLFEGVRPHERRTFCPPNKVPFKTRIASSAQLLVQEKMKAQS >RHN45529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22302914:22304329:-1 gene:gene39887 transcript:rna39887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MENDANENFFSTSSSSTPTSSNSNSNSNSSTNLETKNNPQNGNKKSKKRERNENDTKHPTYRGVRMRAWGKWVSEIREPRKKSRIWLGTYPTAEMAARAHDVAALAIKGHSAYLNFPNLAQNLPRPSTTSPKDIQVAAAKAAAIVFEEIKEDQDQDQDQVSFSTLSSIDNVQESNSSSPSTTATTNDDIDDTLFDLPDLFPDGKNGILSYYSSWHLCAVDSGLRLEEQLSSWEYY >RHN79858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32905976:32907474:-1 gene:gene3705 transcript:rna3705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MEETTSTILAALSSLPPSHLTNLTNTILSSTRRHHRRLTFLLSSPSLFSLTLHHLHTLSLQQKTLLISRHLLSFLHLLTQSNNPTSPLPPPVSTSMRERDTDAVLLLLLFCETHKYNPEALTAPFSHWRLNLNKIFSNTLLTLSYSSAPPLGACFGSVLIPFIETVSRCWRLVGVLGCEEGKEVKEVAASAATVVSLPAVEVSVGGRECVICKEEMQVGRDVCELPCQHLFHWMCILPWLGKKNTCPCCRFRLPSDDVFGEIQRLWEVLVKISAKHI >RHN54976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14159785:14160225:-1 gene:gene30090 transcript:rna30090 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRVVHSLGLVEHTNENPRRKNKVKRKHPRQEKTKENSKTSVPNGVTVPPNESIIHENCEVNEANLGEEKVNIAKMNMSQGGEDAEDSYFSAKEFYVTKGKSSIRYSRRKGIKGKKPFVAPLPKGRLYRGLGWKPSLATVDDLSP >RHN42741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40582639:40590506:1 gene:gene49224 transcript:rna49224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldo/keto reductase, NADP-dependent oxidoreductase domain-containing protein MLVTWLNLIRRYFNHSLSFIHLSQRSIEKVELMMSGGGGVPVFNLAPNLNVSRLCLGTMTFGEQNTLSQSFQLLDEAYHAGINFFDSAEMYPVPQRAQTWGMSEEYFGHWIKHRNIPRDRLVIATKVAGPSGQMTWIRGGPKSLDATNISQAIDNSLLRMQLDYIDLYQIHWPDRYVPMFGETEYDPVQQYSSISIDEQLDALSRAVNDGKIRYIGLSNETPYGLMKFIQVAEKSSSYPKIVSLQNSYSLLCRTFDSAMAECCHQESISLLAYSPLAMGILSGKYFSHGNGPADARLNLFKGKYSEGESRYNLSNKAIQAAAREYLDIAKTYGLHPVSLAIAFVLQHPLVASVVFGATKSWQLREVINACKIKLTSEVIEEINKVHSRFPNPCP >RHN47055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36831776:36832568:1 gene:gene41609 transcript:rna41609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MSLIPGEEKTYLSCDSPLGKNASATRPDDIHTPEFLNTINASGIPNHKIKLKVGVPVMLLRNLDATAGLCNGTRLIITKMGRYVLEGKVITGSNIGDIVYIPRLSLSPSDTRIPFKFQRRQFPISVSFAMTINKSQGQSLKEVGVYLPQPVFSHGQLYVAVSRVTSRNGLKILCTDEDGNCMSTTSNVVYKEVFRNL >RHN48926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51210059:51212931:1 gene:gene43695 transcript:rna43695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-L-fucose synthase MGSQNAAAFFDYKSAKVFVSGHRGLVGSAIVRKLTQLGFTNLILRTHTELDLTRQSDVEAFFASTKPEFVIVAAAKVGGIHANNTYPADFIAINLQIQTNVIDSAYRNGAKKLLFLGSSCIYPKFAPQPIPEDALLTGPLEPTNEWYAIAKIAGIKMCQAYRIQHKWDAISGMPTNLYGPNDNFHPENSHVLPALMRRFHEAKVNGAKEVVVWGTGSPLREFLHVDDLADAVVFMMEKYSGVEHLNVGSGKEVTIKELAESMKEVVGFEGDLVWDSTKPDGTPRKLMDSSKLAALGWTPKVSLKDGLVDTYKWYLENVKQ >RHN71483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:458296:465508:1 gene:gene7089 transcript:rna7089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative etoposide-induced 2.4 MRSGMERLKSGGILWLEGLREACCLHRVIILCLRSKPLMLRTGQCFLLNGFIFLGSIFVLNSVVIPALWWILPDQCSQLVSHKLCALAGTLKFYSFLRLVLIQLFYALWFYPLYVFSIVLSTLWYNDIAKYGYAAMGRSKFTVDNSSGQNNTVTMQNAYQAKRPSGLGGVMIGIGEQVYSILLLSVFFLEVYATGFIPYIGKVLNFLLLSWMYAYYCFEYKWNFNEVALDRRLDYFESYWPFFAGFGSPCVLAIFFFSPLVSYGIMAILYPLFVLTATGSEAEQEISFEKNKWRAAGVERLPIFYVADNVSMWILSLLPLEKRDRMQDRKAK >RHN73383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15871874:15873391:-1 gene:gene9186 transcript:rna9186 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTEFYRRRDERRKHPNVDNQMCHNTPALNITFVVFGVHLVAVKVYIKMNQVYSFSHHHQRGYVCATCYINGILSLHIV >RHN55888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25169338:25170162:-1 gene:gene31167 transcript:rna31167 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRRFHEEEEETEPMSPKSRRPKSFHQSTLPKWGTHICTKTAVIDTAGASINCFGDRRSSSPNSYESTDAKGIAVVREKLMHELKTDLKTATDRLKYAFLNGESKAPITSSDNANGDFTSLSRIEIDAAGHGFVDPISSRLRSNIPSNEVETPKFSLQLLRKEIDEDFMVLTGCRPRKRPTKRPRVVQKELDNVFPGLWLSDVNAEKYKVHDTNQNERSAKRKGKRKCFDDEESSY >RHN67819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30491931:30493355:1 gene:gene16057 transcript:rna16057 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKVHKRTAMYRNLHLLRSIIHSTSNSKTSVILDATKYIQDLKQKLEEINPLRVAASTKMVDYDATPKIKVEPKEEGFVIEVMSEKRCQGLLVFILEAVEDLGLEVLDARVSCVDNFCLEAVGNKQEKNEDTCPLNAQSVEQVVSQAIQNWREVTQQ >RHN46261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30172663:30175120:1 gene:gene40728 transcript:rna40728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVLFITFSSKYNNMAQFFMFVYILIIFLSSFLIEASTAATPCTSDKDCRLERYNVWCINGYCKYKFTPID >RHN75634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43947398:43951914:1 gene:gene11867 transcript:rna11867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative equilibrative nucleoside transporter, major facilitator superfamily MVNVEPPTRIEGKYAAILVCFLLGNGCLFSWNSMLTIEDYYIYLFPDYHPSRVLTLVYQPFAVGTLAILSYNEAKVNTRLRNLFGYTLFFITTFLVLILDLATSGKGGLGTFIGICAISGAFGVADAHVQGGMVGDLSYMKPEFIQSFLCGLAASGALTSGLRLITKAAFDNSKDGLRKGAILFFAISTFFELLCVLLYAFVFPKIPIVKYYRTKAASEGSKTVSADLAAGGIQTIPKEDEDHAHKHERKGNKELFFENIDYLLDMFLIYALTLSIFPGFLSEDTGAHSLGTWYALVLIAMYNVWDLIGRYIPLLEFLKLESRKMITVAILCRFLLVPAFYFTAKYGDQGWMIMLTSFLGLSNGYLTVCVMTSAPKGYKGPEQNALGNLLVLFLLGGIFAGVTLDWLWLIGKGW >RHN55496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19030136:19032793:-1 gene:gene30686 transcript:rna30686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MTLYMPLFRTCSTLRRLTQLHAHLVVTSLHNNPLASTKLLESYSQMGSLQSSRLVFYTHPSPDSFMFSVLIKCHLWNHLFREVLSLFNHHIQMGSKLTQNCAFLYPSVIRAVTGVGELIVGRKLHGRILKSGFCEDRVIGTSLVGMYGELCFLRDAKKVFDEMCVRDLVLWSSIISCYVENGVYREGLEMFRSMICEGIRPDSVMLLSVAEACGKIGCLRLAKSVHGYVMREGMVGDGSLSNSLIVMYSQCGYLCRAKRLFECIDDRSTSCWTSMISAYNQNECFEEALDVFIKMQDSEVEPNDVTMISVLNSCARLGRLKEGKSVHCFVLRNAMGVTGLDLGPALIDFYSACWKMSSCEKLLHSIGNENIVSWNTLISFYAREGLNDEAMAFFACMVAKGIMPDSFSLASSISASASSGSIQFGQQIHGHVMKRGFFDEFVQNSLMDMYSKCGFASSAYTIFNKIKHKSIVAWNCMICGFSQNGISVEALSLFDEMFKNRLEINKVTFLSAIQACSNLGYLDKGKWIHHKIIVTGNQNDLYIDTALVDMYAKCGDLQTAQKVFDSIVEKSVVSWSTMIAAHGIHGQINAATSLFHKMVLSNIKPNEVTFMNILSACRHAGSVKEGKFYFNTMRDYYGIVPNVEHFASIVDLLSRAGDINGAYEIIKSIRTPVAASIWGALLNGCRIYGRMDMIEYIAEELGGISTDDTGYYTLLSNIYAEGGNWYESRKVRSKMEGMGLKKVPGYSTVEIDRKIYRFGSGDTSEWQMKEICMFLENFQSLAQEQGSDVECFMFNNTTTRGGVFFDDFSVYNLQREASNHIGNKSVLL >RHN55093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15119431:15123856:1 gene:gene30221 transcript:rna30221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MASGGASVTTTMIAAQIVEVGGETLMKSATKDGMSIFIFIFYSNLLALCFLLPSTLFHHRKRAPPPISTSIFCRLLLLGCLKTATQTLMTSGIKFSSPALASAIVNLVPAFTFILAIISRMEVLNMKKHSSQAKVIGTVVSIAGALVVTFYKGIPLINDAIKNIEMGASGIFLLGKSDWIIGAFLVAVGSFCLSLLFIVQTWIVRDYPEELVINTICCCFVVIISTIVALIAEGNSNAWRLRPDKELLSVCYSATFVESLKNVIQTWACRKKGPIYVAMFKPLRVVIALSMGVIFLGDNLYLGSMIGAAIIVIGFYAVIWAKAQEEHTTSENNLLSSSSAPLLSNKSIDV >RHN75368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41652444:41653350:-1 gene:gene11555 transcript:rna11555 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISTQSEPSCYYYGLHAHYQEQYEYLRSMNRYLEVQRPKLKPTDWLNFHIDVLSHSVDSNSVTRLNTLLYNCEEVSCKRFFEEGQDWFQSILFHQVFSFDSLEQLAQRLVQEVHELFDFDERLFGSNFANGDGPSVARRFTLRLRIFVEIQLEI >RHN48062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44663158:44667909:1 gene:gene42733 transcript:rna42733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MNKTNVSETKKTTMTHMDFLKKFKRFNPLEPSLTILGFFFVSILFITCFFYVDYKGILHSRGTKLFSFHFSSTSPSSPPPIQFLTQKGDNCDVFDGNWVWDETYPLYHSSNCSFLDQGFRCSENGRPDAFYTKWRWQPKDCNLPRFDARKMLENIRNKRLVFVGDSIGRNQWESLLCMLSSAVTNKSSVYEVNGNPITKHTGFLAFKFEDFNCTVEYYRSPFLVVQGRPPHGAPYRVKLTLRVDHMDWTSHRWRDADVLVLNAGHWWNYEKTVKMGCYFQIGEQVKMNMSTEDAFRLSVETVVDWIAREVNRNKTYVLFRTYAPVHFRGGDWNTGGGCHSETLPDLGSVPAISDIHFSTVTNVLSQRASKSHVLNLDLLNITQMSARRKDGHASIYYIGPDKGPASMQRQDCSHWCLPGVPDSWNEILYALLLKRKAFSDRNTTKVSQVIL >RHN71605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1320289:1321934:1 gene:gene7221 transcript:rna7221 gene_biotype:protein_coding transcript_biotype:protein_coding MLVASRLMFAPHVEISRRRTIRSLRKVKSGVIVKKGRVDLVKESSRVLKGGNLTRSMEDVVKDAKQKAKKKVEAAAMARRVASKKAVAARRAVELANKTLNIAANREEGTLNLPSKMDPVKVVGCSCLAFDLCLNNSPMISKSRCLLDTNNLDAPKRWTFSVDSSGKTSNSRSASGSLRSLDSDSSTDLSCPCIGRCDMITSPKDGECTAELKEGEGSCSDRLINFSGENSALHGEERSDRYFFKYVRRKSDRYFFKYSRRRSDRYFFKYSRRKSDRYFLKYSRSNYSKPNLDS >RHN54152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7353506:7354806:-1 gene:gene29143 transcript:rna29143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geranylgeranyl diphosphate synthase MSSMNLGPNSMLNQTITTKIQTIIPFLAIPIFSSTNQQQTRNKLVSSFPSLKLSAVLTNTNIVKDKKTEEETIPNFNFNFNGYMLEKANIVNKALDEAIVLQEPEKIYEAMRYSLLAGGKRVRPVLCIAACGLVGGKEEASIPSACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVYGEDVAVLAGDALLSFAFEHVAVSTIGVPPERIVRAIAELAKAVGKEGLVAGQIVDLESEGKSNVGLETLEFIHLRKTAALLEAAVVMGAIVGGGTEDEIEKLRKFARYIGLLFQVVDDILDVTKSSEELGKTAGKDLTADKATYPKLLGLEKSKEFADKLIKDAHDCLIGFDVEKAAPLVALTNYIAYRQN >RHN57796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41769226:41770578:1 gene:gene33384 transcript:rna33384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MYPEDYEVKSKRVILQWIAEGFVKEESGKTLEEVAEVYLTELIRRSLVQVSSIRIDGKTKSCFVHDLIRMMIHEKCEELSFCKHFNEDGHSSLSGTLRRLSVTTNSSDFIARIENSHIRSLQLFTNKNLDESMVNRILKKHRTLKVLDFQDDSLIIDFKHFRSLVHLKYLSFKIRSEQHQNVLPKWIGLLLNLETLDLRASYYCSVIPKDISKLRKLRHLMGYKMCLSKWKDVIGCMESLQTLSAVKIGKGGIELIKELRKLRQLRKLNLFYARAEHFSALSSSLNEMRHLKKLSIVSGWGQDFVYDVIDLHLVSPPPMLRKLKLCGKLKKFPEWVPQLKNLVKFDLANSFLNDDPIKYLENMLNMLSLSIIYNAYEGESLHFHDGGCQNLKELYIGGLLNVNSIVIDKGALHSLKLFELFHIPNLKTVPSGIQHLEKLEVLHVPYELLA >RHN66812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19200231:19201598:1 gene:gene14904 transcript:rna14904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase, 2-hydroxyisoflavanone dehydratase MNLFLINTNSHHHQEKHHTLIFHFENSIQSLFSSSVEMASKTQTTPETVAKEIVTEFGTFIRIFSDGSVERPSQSPLVPPSLNDPNTGLSSKDIEIPHNPTISSRIYLLKITNPPSKFPILVYFHGGAFIFESAFSKLYHDHLKIFASQANVIVVSIEYRLAPEYPLPTCYHDCWAALKWVSSHSNHTNDTINNAEPWLIEHGDFDKVFIGGDSAGANIAHNIAIQAGIEKLPYDVKILGAILIHPYFHSSNPIGSEPIIEPENNLFHKVWHLVYPNAPFGIDNPRVNPLGEGAPSLEKLGCSRIIVCVAGQDRLRDRGVWYWECVKNSGWNGKLEFFEEKDENHVYHLFKPESECAKILIQRLDNFVQE >RHN53404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1494421:1495185:-1 gene:gene28307 transcript:rna28307 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRHSMCCGASETADHVFLFYPTLVSLWSLVRLQIGVASVDPNQLQDHFVHSSSGIRVCRSFMQLL >RHN80880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41513997:41517471:-1 gene:gene4856 transcript:rna4856 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQSIVENYSNPRTCFFHVLFKAAALAFYILSALFVDNFVIIFVVTVLLAALDFWVVKNVSGRILVGMRWWNEIDDLGESVWKFECLDQDSLARMNKKDSWLFWWTLYLAAVLWIMLAIFSLIRLQADYLLVVGVCLTLSIANIVGFTKCKKDAKKQIQQFASQTIASRFSSTLQSAFSVV >RHN63263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49790416:49790960:1 gene:gene25943 transcript:rna25943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MNSSKSSTLVLFSIINMLFFAMANGCFFCPKPNPNPNPFPYPNPSPSTKSCPRDALKLGVCANLLNGPIGAVIGSPPEHPCCSILEGLVDLEVAVCLCTAIKANILGIDINIPISLSLILNACEKTPPTDFQCS >RHN82572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54866356:54869837:-1 gene:gene6745 transcript:rna6745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein CHAPERONE-LIKE PROTEIN OF POR1 MAATTATIPVRSDRISAGSSFPRPPPVRSLVKPILTEPWPLRKRWRHASTRSVGPVQASSRADDSAPFEMSVENALKLLGVSEGASFDDILRAKNSIVASCKDDQETISQVEAAYDMLLMQRLTQRRAGKVVSSSVRYADVKRVQSQANSSMPQWMQSTMKKAPVSIESPSTRDLGLQAGVYGALMGLTYFSGSSSPPAGYAGADVPGLILAGSFGASLYFMTKKNVKLGKAVVITIGGLVAGAVVGSVVENWLQVDIVPFLGIRSPAAVVSEVIIISQFLVSLYLR >RHN61758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38078539:38083129:-1 gene:gene24251 transcript:rna24251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prohibitin, Band 7 domain-containing protein MKIPKVPGGGGISALLKVSILGGLAVYGATNTLYNVEGGHRAIVFNRIVGVKDKVYPEGTHIMIPWFERPVIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPVPDQLPTVYRTLGENYNERVLPSIIHETLKSVVAQYNASQLITQREAVSREIRKILTERASQFNIALDDVSITSLTFGREFTAAIEAKQVAAQEAERAKFVVEKAEQDKRSAIIRAQGEATSAQLIGQAIANNPAFIDLRKIEAAREIAVLIANSANKVFLNSEDLLLNLQELTSESSGKK >RHN50258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5591541:5596948:1 gene:gene34528 transcript:rna34528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSPSMLKTLDRYQKCSYGAVEVNKPAKELESSYREYLKLKARFESLQRTQRNLLGEDLGPLGTKDLEQLERQLDSSLKQVRSTKTQFMLDQLADLQNKEHMLVEANRSLSMKLEEININSRNQYRQTWEAGDQSMAYGNQNAHSQSFFQPLECNPTLQIGTDYRYSPPVASDQLTATTQAQQVNGFIPGWML >RHN42882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41645864:41646211:-1 gene:gene49382 transcript:rna49382 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRKYWGIDLNEKPLFLVCRILFLFCLYYFTFTFFLLQIMQRFDVT >RHN58655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3703691:3704496:1 gene:gene20584 transcript:rna20584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MPFPLLLNTTDTVAETKMKTTASVVKLTTEQVEKLKKTTNNNNNQVRFSTYEVVTTHLWRCACKARGLDDVQPTVIRLPGDIRNRINPPLPQNYFGNALAVALTPICYVKDIVNEPLSYGAGKIREAIGLVSNPEYIRSHLDFIRCQESLDFIRTSIVENGKAKAATFYGNPNMNITSWMSMPVYEADFGWGKPLFFGPALVLPDGRLYIMRSSSGDGSLLVSVHLQSRHMELFKNFFYQDLP >RHN43404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45526858:45532231:1 gene:gene49973 transcript:rna49973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monodehydroascorbate reductase (NADH) MPSSVRRLTMAAITNSLSLKHTLSIGSPQTNLLTRTRPSSTSLSFRTFHRCYASYANENREYVIVGGGNAAGYAARTFVEHGVADGRLCIVTKEGVAPYERPALTKAYLFPPDKKPARLPGFHTCVGSGGERQTPEWYKEKGIEMLYEDPVENIDTEKQTLTTSSGKLLKYGSLIIATGCTASRFPDKIGGNLPGVHYVRDVADADALVSSLEKTKKVVVVGGGYIGMEIAAAAVAWKLDTTIIFPEDHLLQRLFTPSLARRYEELYQKNGVKILKGASIKSLEASSNGNGGVASVKLGDGSIVEADTVIIGIGAKPAVGPFERVGLNTDVGGIQVDGLFRTSIPGIFAVGDVAAFPLKIYSRISRVEHVDHARRSAQHCVKALLSAQTNTYDYLPYFYSRVFEYEGSPRKVWWQFFGDNVGETVEIGNFDPKIATFWIESGKLKGVLVESGSPEEFQLLPELARRQPPIDKAKLQNATSVEEALDIARESLQAAV >RHN72315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6712925:6717103:1 gene:gene8013 transcript:rna8013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MEASAIERLDFGKMGYGCKHYRRRCRIRAPCCNEVYSCRHCHNEATSMLKNPFDHHELVRNDVEQVVCSVCDTEQPVAQVCTNCGVRMGEYYCDLCKFFDDDTGKQQFHCEDCGICRVGGSENYFHCKKCGSCYSVALRDNHLCVENSMRHHCPICYEYLFDSLKDTTVMKCGHTMHCECYHEMIKRDKYCCPICSKSVIDMSTAWKRIDEEIEATVMPDDYRNRKVWILCNDCNDTTEVSFHIIGHKCGHCSSYNTRAIAPPVLPQ >RHN60356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25778771:25779171:-1 gene:gene22661 transcript:rna22661 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLPAVADPSRLIIILCNYWIVRRRKDRDGLWLSSRGLGCVPVYSGSGARLGGSLPPVMVVKVSTLVVRELRGA >RHN55966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26138316:26141480:1 gene:gene31266 transcript:rna31266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol synthase MEVLRVQTIAHQSKDATSTIPSMFLRSETESPGTTTVQGVKLEVPIIDFNNPDEGKIQNEIMEASTKWGMFQIVNHDIPNEVIKKLQSVGKEFFELPQEEKEVIAKPIGSDSLEGYGTKLEKEVNGKKGWVDHLFHIIWPPSSINYRFWPNNPASYREVNEEYGKYLREVADKLFKSLSIGLELEEHELKKAAGGDELIHMLKINYYPPCPVPDLVLGVPPHTDMSFLTILVPNEVQGLQASRDGQWYDVKYVPNALVIHIGDQMEILSNGKYKAVLHRTTVNKDETRMSWPVFIEPKPEHEIGPHPKLVNQENPPKYKTKKFEDYLYCKLNKIPQ >RHN51429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17662989:17666862:-1 gene:gene35861 transcript:rna35861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEALLGIVIENLGSFVREEIASFLGVGELTQKLNENLTTIRAVLKDAEKKQITSDVVKQWLQKLGDAAYVLDDILDECSITSKAHEGNKCITRFHPMKILAHRNIGKRMKEVAKRIDDIAKERIEYGFQPVGVTEEHQRGDDEQIQTISAITEPKVYGRDKDKEQIVEFLLNASDSEELSVYSIVGVGGQGKTTLAQVVYNDERVKTHFDLKIWVCVSDDFSLMKILESIIENTIGKNLDLLSLESRQKKVQEILQSKRYLLVLDDVWSDDQVKWNSFKSLLPNGKKGASILVTTRLDIVASIMGTHDAHPLSRLSDDDIWSLFKQHAFGAKREGRAELVEIGQKLVRKCVGSPLAAKVLGSLLRFKSDEHQWISVVESEFWNLDDENPVMSALRLSYYNLKLSLRPCFTFCVVFPKDFVMVKERLIHLWMANGFVTSRGNLQMEHVGNEIWDELYQRSFFQEVKSDLAGNITFKMHDLVHDLAKSVIGEECVASEPKSLTNLSTRAHHIGCFDTKRKFDYNMIPFKKVESLRTFLELKPTYKSSDVLLSIISLRALRSGSYQLSSLKNLIHLRYLELYRSGITTLPASVCKLHKLQTLKLEYCSYFSSFPEQFNKLQDLRHLMIKDCQSLKSSPFRIGELTSLKTLTNFIVDSKTGYGLAELQNLQLGGKLHIKGLQNVSKEEDAKKANLNGKKDLNSLYLSWGDEANSKVGGVDAERVLEALESHSGLKHFGVNGYGGTNFPHWMKITSFLNGLVSIILYDCKNCRQLPPFGKLPCLTALSLSGLNDIKYIDDDLYESATDKAFTSLKKLTLCNLPNLERVLKVKGVEMLTQLLKLNIRNVPKLTLPPIPSVESLFVQGGNEELMKSIIYNNCSEEVASSSSRGIAGNYMYNLKSLFILNIRKLKELPVELGTLGALEFLRIVGFDELESFSEHLLQGLSSLRTLSVDSCPRFKSISEGIRHLTCLETLKIISCPQFVFPHNMNSLTSLRQLEVWEDNENIIDGLQTSFSSIPSLQKLSLAYFPSVTSLPDWLGAMTSLQTLEIFNFPKLSSLPDNFQQLRNLQMIRFDDCPKLEKRLKIGKGEDWHKIAHIPEFRLNFKLQLQSDAKPTICENIKSAWNWGKRFLHRPAQWVNQDEFEMMIADINE >RHN76579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51400811:51403030:1 gene:gene12928 transcript:rna12928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MMDNIPEHIIWEILNRIKKTSDRNNVSLACKRLYYLDNAQRHSLKVGCGMDPANEALTCLCTRFLNLSKVEITYSGWMSKLGKQLDDNGLFILGNHCPLLCDLSLSYCTFITDVGLGYLASSLKLSSLRLNFTPRITGCGILSLVVGCKNLSRLHLIRCLNVSSVEWLEYLGKLGTLEDLSIRNCRAIGEGDLIKLGPGWQKLKRLQFEVDANYRYMKVYDRLSVDRWQKQNVPCENMLELSLVNCIISPGRGLACVLGKCKNLEIIHLDMCVGVRDSDIICLSQISSNLRSVSFRVPSDFSLSSLVNNPLRLTDESLKALAQNCSKLESVSLSFSDGEFPSFSSFTFSGIYHLIQKCPVRQISLDHVYSFSDFGMEALCTAEYLEYLELVRCQEISDEGLQLVSQFPRLSILRLCKCLGISDDGLKPLVGSCKLDFLAVEDCPQVSERGVQGAAKFVSFKQDLSWMY >RHN62876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46417249:46420146:1 gene:gene25507 transcript:rna25507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S11 MSSKRKVREPKEETVTLGPAVKDGEQVFGVARIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVATRCKELGITALHIKLRATGGNKTKTPGPGAQAALRALARSGMKIGRIEDVTPIPSDSTRRKSGRRGRRL >RHN51258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15457813:15458151:1 gene:gene35668 transcript:rna35668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S18 MDKSKRLFLKSKQSFRRSLSPIQSGDRIDYKNMSLLYQVISHQGKILSRRVNRSTLKQQRLITIAIKQARILSLLPFVSFNSLPLPFVNNGKKKYEKKMKKASRSVELLLLF >RHN61292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34551752:34553612:1 gene:gene23740 transcript:rna23740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MASADVEYRCFVGGLAWATDSEALEKAFSQYGEIIDSKIINDRETGRSRGFGFVTFADEKSMRDAIEGMNGQDMDGRNITVNEAQRTVVMVMMVVPAIHAVVVVMEEAGEVSYADVKC >RHN81439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45896310:45901724:1 gene:gene5480 transcript:rna5480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MARIKPQALLQQSKRKKGPSRISAASIIFYALILVLVGFFLLATYRHWSNRSRLQSENNLSVSEEVENSFGDSKKSELPGYAVLNTSKGSIIVELYKESAPEAVDEFIDLCQKGHFKGMLFQRVIKHYVIQAGDGQGTGAADWNLRGKQPASMKHEAFMLGTSKGKHTNKGFDLFITTAPIPDLNEKLIVFGQVVKGEDVVQEIEEVDTDEHYTPKITIGILDVTLKQKV >RHN74203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30119836:30120351:-1 gene:gene10220 transcript:rna10220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetraspanin/Peripherin MFRISNTLVGTLNILTLLLSLAGMAGSAYIHFHKTDCLKVLMYPLLFGAFFVFVVSVLGIMGSMFRINEALYAYLLATFFVILAFMLFTVFALFVTNKVVGQKVSDKGFGEYKVADFSLWLQQYVINDKNWNDIKSCLLGVGLCQRNLSAAGVSRTFFLNCSNYHSNLKYE >RHN40208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14217524:14220253:-1 gene:gene46330 transcript:rna46330 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNQKHPCCKSEINHTIPTMSSPLKNQTPLRRYPSDSIKWNQMKLNGGAEQQKRGTWVQNQEAVEPPSHRSS >RHN49395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54501171:54501623:1 gene:gene44220 transcript:rna44220 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQVVKMYIHASLVPTFPSDDKRSCSCQSFPLVTLVGLPENCLQVHFL >RHN74560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34753841:34756945:-1 gene:gene10648 transcript:rna10648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kelch-type beta propeller MAILHGSWVKLDQRGILQGARSSHAIAVVGQKVYAFGGEFEPRVPVDNKLHVYDLDTLAWSVADVSGNTPPPRVGVTMAAVGETIYVFGGRDAEHNELNELYSFDTKTNNWALISSGDIGPPNRSYHSMTADDRNVYVFGGCGVAGRLNDLWAFDVVDGKWAELPSPGESCKGRGGPGLTVAQGKIWVVYGFAGMEVDDVHFFNLAQKTWAQVETSGLKPTARSVFSTCLIGKHIIVYGGEIDPSDQGHMGAGQFSGELYALDTETLSWTRLDDKVDSGDHPGPRGWCAFAGASRGSQEGLLVYGGNSPSNDRLDDIFFLALAQN >RHN75877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45806540:45811866:1 gene:gene12135 transcript:rna12135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MDGKVEASSKEADESLSIGSKRGGWISFPFFIGMVAGLSLASAGIGGNLIVYLIKEFNIKSINAAQIVNVVVGSSNLLPIIAAILADSFFGSFSVAFTTSCVALLGTILLTLTATINSLKPHPCSNDSSITCIPPTGIQYTVLYISIVLISIGFGGSRFTAASLGANQFDKLEDQGTFFNWFFFTFYVASGAALTGVIYIEDNLGWSLGFGICAVATFVGVVVFLSGYRFYRAEKPQGSAVLDLGRIFVASVRKWKCKLSSRVEDYYVSSSGCGDSMIEVPTPPTPGKRLRFFNRATLITDADLKSDGSIKKSSWKLCTVQQVEDFKKIFGILPLWSSSIFLATPIAIQSSVTVLQALAMDRSLGPHFKIPAGSVSVIVIISTSIFLTFLDRVLLPCWHKITGKTPMPLQRIGVGHVLTVLGMVVSALVESKRLKLVHVHVEMSVLWLFPQLVLVGIGEAFHFPGQVTFYYQQFPQSLKNTSTAMISMLIGIAFYLSTALIDQVRRSTDWLPDEINHGKVDNVYWMLVMFGAINFVYYLLCSISYKYENV >RHN60121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21038651:21040078:-1 gene:gene22351 transcript:rna22351 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLVRNTTLTFSRRQGYELLGLQGKEKTLKSVSYRRRRAKQRKIFLTTYKLSSLNTFVEQPKRPKIKRVAVKVKKIVNSVLLFMRTGSFRSCNSKSAISASSPVPIRKL >RHN76781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1250698:1254404:1 gene:gene157 transcript:rna157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEYTNSDDATNEKLIENGSSSSSSQPRKGGLRTMPFIIVNECLEKVASYGIMPNMILYLRDDYNMPIAKASYVLSTWSAMSNVLSIFGAFLSDSYLGRFNVITIGSFSSLLGLTVLWLTAMIPVLKPTCASLFEICNSATSSQQAVLFLSLGLISIGAGCVRPCSIAFGAEQLTIKGNSGDGNGRILDSYFNWYYTSISVSTIIALSVIAYIQENLGWKIGFGVPAVLMLVSVISFIIGSPLYVKVKPSESLLTNFARVVVVATKNRKLSLPDHDSDRYCQGHDSKLKVPTDSLRFLNKACVIRNPETDLNRDGSISNPWNLCTIEQVESLKSLLRVIPMWSTGIFMMATQSSFSTLQAKTLNRTLFGNFNFPAGSFNLILIFTLTIVIPLYDRVGVPLLAKYAGRPRGFSFKVRIGIGMLFAIVAKAVAAIVETVRRNAAIEQGFEDQPNAEINMSALWLAPEFILFGFAEAFTPVGLVEFFYCFFPKSMSSFAMAMFTLGLACSDVVSGVLVSIVDTVTSIGGNESWLSTNINRGHLNYYYGLLTFLGILNYFYYLVICWAYGPIQGEKHEDSARKKDDKFGYRELPTS >RHN51342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16515897:16517600:1 gene:gene35767 transcript:rna35767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase chromatin remodeling SET family MNFQDPNPSISRTIVPIPNELQNYDNNTLTGKDSKSKQKLRGQNKELVHTVMDADHRPYREMVRRTRILFDSIRVSGLLEEENNRKNKKNLKASSLVNQTEEVNNSRVRNDLIAATLMKDHGMYLYHDVKIIGTVPGVSIGDIFLYRSEMCVIGLHGQPQAGIDYLHASMSSNGQPIATSVVVSDGYNDDDQGDSIIYSGHGDMKQDQKLERGNLAMVTSMQYEIDVRVIRGFRYEGATSTTSSKVFVYDGLYKIIEFWFEKGISGFGVYKFMLSRVQGQPKMGSMILKEASMLMRGHLCDNHMCVLSDDISNGKENIGVRLYNDIDSDLYPMQFEYLPKAAFPMFLLPQSMTTRKKMRVIECSECVDGCVSSIMNGNTTPYSKSGILLKGRSLIYECGPFCSCPSHCRNRVTQKGIKYRLEVFRSNETSWGVRSLDPILAGTFICEFTGLVLTREQAEILTMDGEHNSLIIYPNRFLNRSTQEWGDLSMIDANHVHPAYPTLDFALDVSMMRNVASYISHSPTPNVFVQLVLFDHGNWMFPHLMVFAMENIPPMRELSLDHGVEAR >RHN69634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45092619:45095735:-1 gene:gene18127 transcript:rna18127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MELDSIECVSSSDGMDEDEIHSHHHHHSEFSSTKARNGGANINNILGPTAIAPATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHETECNFRPYSCPYAGSECSTVGDISFLVAHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENDARNYSYSLEVGANGRKLIWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQNQDAAVCIPNLCS >RHN44521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5657151:5680793:1 gene:gene38650 transcript:rna38650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MAEANLIAVADAISNPSGEFLHKLRSDDAVRSGLDAFYCLLRRGLESSGGDDDTLRLQSWTDSQIHAISSLALAIASSSRSLSVEQAEGVLVAIVQRSIEFALCYLEKSGFDDDDLGIQTNMIHLLEIALVDGVNMVVDILQPTTASALVDLLPMVDDCCGDYVDDYKKCRLEGFPCSMEEKSMDWLLKTLASKHMPHDRQESGFSEQTYFQYLNTFVFLSQHWAVVHGKCTPRLILLCNKLAKVQDVFDERELGQNFRRRLSFILRMLKILGSLTTDVPYVEYDASLMRAVASFTDTLSNLFRVKLEFVSTYATIEGSLESIVLMVTEEFLHDVQVIFGNSNVAQNIQACVVASILESLDSSVWIYDKTDPNSKPPLSFFPRFVVYTLKLINDLKKQRHQIPFERKDFDAELVGSSTDSENNSLSYLVHHGSVPLLKGYTFEELTKLIFPASSQWVENLMQLAFFLHSEGLKLRQKMERSHSSLAKVAGPSEIENAVCHEDEALFGDLFSETARSVGSIDGCEQPPAAALVSNSSNQNMPIQSAIELLNFLKTCIFSTEWHPSLFVDACSKLSNTDIDILLSLLDSHGCWSEDNMSDACIPSHEDGKVGIIHELSFDLLHNLLTNHALSDSLEDYLVEKILIVENGAFSYNDRTLTLLAHALFSRVGSVGSQLRTKIFRGYVAFVVEKAKSVCVNCPSINELVGTLPSLFHIEVVLMAFHLSCAEEKGVMANLIFSTLKEVANPVLDLNSSLLTCWALVVSRLILVLRHMIFHQQTCPTSLLVDVRSKLREAPLSSSSLLNKVNDNMSSWSSTALKSIAGGLAGDEVFLGSLIGQLIDVSESSASLSVDDLTIEKLTLNWKDIYCTFSLILGFWRGKKANAVEDQIVERYVFNLCWDIPCIGSEADHPVLSWNQGHSVDLSNMLHFFHFSHLLLGHPEVFGNFTNIPDVVLSLLQNLDALPIPEGIEELGWDFLRSEMWLSLVLSFTNVGIWRYCIDTAISGHVLTWTESAFGDEKYFKLAGSVISSMIDSGQFVLLVRLFSSLLSKRVQIYQRAFLDVLSYKQKVAPGFLPLLLLKYTGIDKSLQDELLERSGSNADELQSVLSLISKLDAAVDKKASKTLPKAYWECILHGFPLNHSTSSATLLSCVLSVRGIIFVLDGLHKIKESGRNIDSETEVFLQIVDTIMIIKCDRVFESVHQKCDTIYHSSSAELELSNLTNLILMKQMEGFLKDMNARGASDCFVHEWIICKIVEILSSLRKDPSKSVIFHFCLGIENVPGQTSKLLQLHLGDCLVLIDSLDTCFSESVNVKVLGFFVDLLSGEQFPHLRTRIQRKFLDRDIQSVSKWLEKRLLGSIMKSDSGVNCAKGSSISLRDSTMNFILSLVSPPSEKQSKELQHHIFNSVLLLLDNAFLLFDIHVAKSYFNFIVQISRGELLMKQLLTRTVMIMGKLAGNENLLPGLKFLFGFIASVLGECGSGKTSLQRITKNCSTGNTAGVGHASARLVGSRKTSEAFVVSSNQEGGSTSLECDATSVDEDEDDATSDGEVLSIDKDDDEDANSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSNCQCLKPRKFTADNSAPVRGSNTFQSFLPFPEDGDQLPDSDSDFDEDINSDVDNSLRLSITKELQEMIPLLLEELDVESQVLNLCSSLMPSVINRRDSHHSKDKNISLGEDKVISHGIDLLQLKKAYKSGSFDLKIKVDYSNAKDLKSHLANGSLVKSLLSVSVRGRLAVGEGDKVAIYDVGQLIGQATISPVTADKTNVKHLSKNVVRFEIIQLAFNPVVENYLVVAGYEDCQVLTLNPRGEVIDRLAIELALQGAYIRRVEWVPGSQVQLMVVTNRFVKIYDLSLDNISPVHYFTLSDDMIVDAILYTASRGRMFLVVLSENGNIFRFELSVKGNVGAVPLKELVQLKGREIHAKGSSLYFSPTCKLLFISFQDGTTLLGRPSSDAASLIEMSSVFEEQESKMRPAGVHHWKELLAGSGLFVCLSTVKSNSALAVSMEEHEILAQSMRHSVGSASPIVGMTAYKPLSKDKIHCLVLHDDGSLQIYSHAPVGVDAGVIAASEKVKKLGSGILTKAYAGTNPEFPLDFFERTVCITPDVKLGGDAIRNGDSEGAKQSLVNEDGFLESPSPTGFKISVFNSNPDIVMVGFRVNVGNTSASHIPSSISIFQRVIKLDEGMRSWYDIPFTVAESLLADEEFTVLVGPTFNGLTLPRIDSLEVYGRAKDEFGWKEKMDAILDMEARVLGSNASLGGSGKKRRSMQSAPIQEQVIADGLKLITKFYSSCRQQDCTRLEEARTELGKLKCKQLLETIFESDREPILQASASCVLQAVFPKKEIYHQIKDTMRLLGVVKSSSLLLSRLGIGGTAGSWIIEEFTAQMRAVCRIALQRRSNLATFLETNGSEVVDALMQVLWGILDFEQPDTQTMNNIVMSAVELIYCYAECLALHVKDSGVHCVAPAVVLLKKLLFSSDEAVQTASSLAISSRLLQVPFPKQTLLAPDDAVESAVPVPGSADTSARNNQVMIEDDTITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCEACFEVLDADRLPPPHSRDHPMTAIPIEVDSVGDGNEFHFTPDDVSDSLPLPADSNMQNSSPSIHTLEPNDSEEFASALTDPVSISASKREINSLLLSELLEQLKGWMETTSGVRAIPVMQLFYRLSSAVGGPFIDSSKPDSLDLEKLIKWFLDEINLNRPFVARARSSFGEVAILVFMFFTLMLRNWHQPGSDGSMPRHSGTADVHDKNVIQLSSSTSKTSVDDQEKNDFASQLLQACDSLRQQSFVNYLMDILQQLVHVFKSPINSEGGHSNAGPGCGALLTVRRDLPAGNFSPFFSDSYVKVHRTDIFMDYPRLLLENAFRLVYTLVRPEKHDKTGEKEKVYKLSYGKDLKLDGYQDVLCSYINNPHTNFVRRYARRLFLHLCGSKSHYYSVRDSWQYASEVKRLHKHITKSGGFQNNPIPYERSVKIVKCLSTMAEVAAARPRNWQKYCLRHGDILSFLMNGIFYFGEESVIQTLKLLNFAFYTGKDVGQTSQKTESGDSSSTKSSIASQDSKKKKKGEDGADSGLEKSYLDMEAAVDVFTDKSGNTLKQFIDSFLLEWSSVTVRAEAKLVLYGVWHHAKPMFKETMLMALLQKVKCLPMFGQNIVEYTELLTCLLGRSPDTSSKHKISDLVDRCLTPDVIRCIFETLHSQNELLANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDTRKSKSVKVLNLYYNNRPVTDLSELKNNWSLWKRAKSCHLAFDQTELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDNMENDEDMKKGLAAIESESENAHRRYQQLLGFKKPLLKIVSSIGDSEIDSQQKDSVQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVTKSVQTLQGLRKVLMNYLHQKNADNSVASRFVVSRSPNNCYGCATTFATQCLELLQVLARHPNSKKQLVSAGILSELFENNIHQGPKAARVQARIVLCSLSEGDVNAVTELNSLIQKKVLYCLEHHRSMDIAVTTREELLLLSEVCSLADEYWESRLRLVFQLLFSSIKLGAKHPAISEHVILPCLRIISQACTPPKPETPDKEQGLGKSSAKAKDEKSQTVPGSLAGAVSVGGTKTFPDSSERNWDATPKTQDIQLLSYSEWESGATYLDFVRRQYKVSQVVKATGQRSRPQRHDYLALKYALRWKRRVGKAAKSELSVFELGSWVKELVLSACSQSIRSEMCSLISLLCGQSSSKRFRLLNLVVSLLPATLSSGESAAEYFELLFKMVDSEDALLFLTVRGCLRTICTLITQEVNNVESLERSLHIDITQGFILHKMIELLGKFLEVPNVRSRFMREDLLSEILEALIVIRGLIVQKTKLISDCNRLLKDLLDSLLLESTDNKRQFIRACINGLQIHAKEKKGRACLFILEQLCNLVCPSKPEPVYLLVLNKAHTQEEFIRGSMTKNPYSSTEIGPLMRDVKNKICHQLDLLGLLEDDYGMELLVAGNIISLDLSIAHVYELVWKKSNQSSNVTNSNLVSSNAVTSSRYCPPMTVTYRLQGLDGEATEPMIKELEEDREESQDPEVEFAIAGAVRDCGGLEILLGMIQRLRDDFKSNQEQLVAVLNLLMYCCKIRENRRALLKLGALGLLLETARRAFSVDAMEPAEGILLIVESLTLEANESDSISITQGAFTVTSEEAGTGEQAKKIVLMFLDRLSHPLGLKKSNKQQRNTEMVARILPYLTYGEPAAMDALIQHFSPYLQDWDAFDSLQKKHLDNPKDDNVAQLAAKQRFTLENFVRVSESLKTSSCGERLKDIILEKGITKFAMKHLKDSFANAGQTGYKTSAEWVQGLTLPSVPLILSMLRGLSMGHLLTQKCIEEEGILPLLHALEGVSGENEIGARAENLLDTLSNKEGKGDGFLVEEVSKLRHATRNEMRRRALRKREELLQGLGMRQELSSDGGERIVVSRPVLEGLEDVQEEEDGLACMVCREGYSLRPTDLLGAYSYSKRVNLGVGTSGSGRGECVYTTVSYFNIIHFQCHQEAKRADAALKNPKKEWDGATLRNNESLCNSLFPVRGPSVPLAQYIRFVDQHWDNLNGLGRADGSRLRLLTYDIVLMLARFATGASFSADSRGGGRDSNSRFLPFMFQMARHLLDLGSPLQRRTMARAVSAYISSSTSDVRPSSPSGTQLTLGTEETVQFMMVNSLLSESYESWLQHRRAFLQRGIYHAYMQHTHGRTTARSSSVSASVQGVESGSTGQSATTEAGQNDELLSIIRPMLVYTGLIEQLQHFFKVKKLPSATPASIDGVSSAAEGEDESGNLEGWELVMKERLLNVKELLGFPKEMISWLDEINSASDLQEAFDIVGVLPEVLSGGITRCEDFVQAAISAGKS >RHN55683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21076157:21077005:1 gene:gene30904 transcript:rna30904 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKDVPGKELLEHNGQKCVRNSPQEAPTNNFPDTPLKTVEVKRPRLEVSSSAPVQIPGNNQQGSSEWVDEMWTSFFTEINSSGDGDTTSVWDDNFPFGGFINKHFSQEKFHEKVKELEFERVLQTSLTDSVRTTFLLHVMGLKLGDTVKENKAYVGEITELKNKLSEYEKNYVGEITELKKKLSDYEKDMAQLTNLKDELKKTLEETILEKRRMAAREKDLMDENSKNKGKLLVKEDAYKVTVDKLKAEIEELKYKMSVQYKAGYDKAVKQVVFFASGLKP >RHN55819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24307430:24309244:1 gene:gene31087 transcript:rna31087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein METFDNHDVDVNFSKTNFSNSVGKAFVPDHWLEEETTPKGRTSGKQSLLITKSDYKRKPQHFSQTAPSTVPESGVQKRLKFHTPSPTLKPTQSHSSKKSAKLRQSAKNFKGRREEATPRSARMKGGVTPKGKEIALDTKNVALDERTKRALRNAHVCAFLFQKSSEVNLINETLVLTMSLTTTRSELQCLLPDVHITKLVIALAAARVTCRHTLRQSVWCLPPSFAIYIPLRGAEGHWFLMVVHVPSGITYHLDSNCPAGLTEEQQHYKIRRMGLLLHRLVDCDEYSTIFPKKSQEFEKFEIVRPNLMIDGCSSCYHCSSENSGVWVLHWLSMEHYYRPENFLRIMDVKSVRLNTAVELLIGDENSLRYEV >RHN40705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19766875:19767294:-1 gene:gene46899 transcript:rna46899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ZF-HD family MLPENVTVFNGKYSSIGGYSLDGCREFLPAGAEGATNFFKCTACKCHKNFHCIVPATNLVVPSKNGIHISWEELEENVHVRNVEPINDDGRDGARQGTSNSNKWFRTKFTHEQRKKMLDFAMTLGWKTKKNDENVVEEF >RHN52918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40336561:40343645:-1 gene:gene37655 transcript:rna37655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative site-1 protease MATTTTTTTTIFSIFLLFLALFKTLTLKNPSISPPSPSSPPNYIIGFTQYKPSSHHLSYLQSNLQSKGWQWINRKNPASKYPTDFGVVSVEELGVIDEIKKLGLVKYVSLDMSYKRGLLNDKVGSFFDGGKKPGKIFTKMSFCEADEHGQEQDSVNLNGSVNLRRQLLIQRSQVTSMFGAEDLWTRGHTGAKVKMAIFDTGIRSDHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVVAGMDAECLGFAPDTEIYAFRVFTDAQVSYTSWFLDAFNYAIATDMDVLNLSIGGPDYLDLPFVEKIWEITANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYSDHIASFSSRGMSTWELPHGYGRVKPDVVAYGRDIMGSKISSGCKSLSGTSVASPVVAGVVCLLVSVIPESDRKNILNPASMKQALVEGAAKLAGPNMYEQGAGRVDLLESYEILKSYKPRASIFPSLLDYTDCPYSWPFCRQPLYAGAMPVIFNASILNGMGVIGYVESPPIWHPFEEVGNLLSIHFTYSEVIWPWTGYLAIYMQIKEEGAQFSGNIEGNVTLRVSSPPAQGENRPRISTCVLQLKLKVVPTPPRAKRILWDQFHNIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFNMLRDADYYVETLGSPLTCFDARQYGTLLLVDLEEEYFPEEIEKLRDDVINTGLGLAVFAEWYNVDTMVKMRFFDDNTRSWWTPVTGGANNPALNDLLAPFGIAFGDKILSGDFSLFGEENRYASGTDIVRFPRGGYVHSFPFSDSSESGATQNVLLTSSTTKADSPILGLTVMGEGRIAVYGDSNCLDSSHMVTNCFTLLRKMLDFTSKNVRDPILFSNSNKQDAPLYEDDNQLPSRRTDVNFSSYSAVTGKELICKTDSRFEIWGTKGYSLQVRGRNRRLPGYPVIDLGNAFNSTFDASNVMRRKVTLRNKDDSLGNRYLGLFYGDEPDAPMLVGSHWLIPVAVAATGILLLSFWRIRQKRRRRRKGSSSTGRLTNL >RHN53182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42579183:42583980:-1 gene:gene37958 transcript:rna37958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation transporter MHILNSFLKKLQHLCSTSLQRIACQTKSIFFLAACFCRFILLRANPLWVQIVYFTSLSTLGFGFLKVLKPLGETPKNLDLFFTSISATTVSSMSTVEMQNFSNPQLIIITILMFIGGEVFTSMVGLFFIRSRLKTELDKIASSHARLASINTFNIEQIHLEVEMVTNEVHNKSHESLHNKSHQSLHNMSHESLSTTNENLRYLSMKYLGYVVFAYFLIIHIIGVIGVSIYLAIIPSAKQILKNKGLKMFTFSVFTIVSTFASCGFVPTNENMVVFRKNSGLLLMLIPQVLLGNTLYPPCLRFCIWVLGKFYKKKECRYLLKHSEEVGYKHLLKGKHSICLVATVFGLIMVQVTLFCAMDWDSEGLKGLNFYQKMIGVLFQIVNSRHTGETVVDISNLSQAILVLFVLMMYLPPYTSFLPLKSDGKNSERSKRMKKIRGKVTENLIFSQLSYLVIFIILVCITERKKLKEDPLNFNVLNIVVEVVSAYGNVGFTMGYSCERQLHLEINCENKWIGFVGKWSDEGKIILILIMLFGRLKKFNMDGGKPWKLL >RHN38600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:628787:630124:-1 gene:gene44557 transcript:rna44557 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSAEYHIQHVINIPEIIEPATWPQCCIYNVTTILLNGKEEAYTPLLISIGPIHHNNKKLEEMQEHKQRYFHFFWNRIEEKNDLINYKAFLEQEEKNIRHCYQKKFPDISHEQFVDMILLDVVFIMELFLREAKKWEHKDDYIVTQLCLSKNIQHDLLLLENQIPIYVLEKLYDTVVPSSDKKHKWFLNLAHEYFVSCYPHQHESSSESMFGSKKWEKSLHFIDLIRCAYLPMKISTKNSDSHKECLMLRSATKLNEAGISFEKVHNRSLLDIKFEKNPLLSWFLCLGCLPCCKCVKARFQFPQLKVDHTTECVLRNLIAYEQCHYPDEPYICNYVSLIDSLIQTKDDVEWLVEKEIVVHELGSDEELTTLVNSLCKHVVTNSSCYYQLIEDLNEHYNNEWKKAMGTLRWVYFRDPWRSSSTVVGITILIFTIFNFRRVVDLMF >RHN45483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21337800:21339926:-1 gene:gene39828 transcript:rna39828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin protein, neddylation MILLYKFFYEYVHIKWMLYFIIFNKGILSSNILLSLFCGFRNAYNMILHQFGDKLYSGLVATMTSHLKEMARSVAATEGSSFLEELNTKWNDHNEALRMIRDILMHADMTYFPQIKKTHVYKLGLNLWRENVIYSNQIRTRLSNTLLEFVFKEHAAEDVNREPIRNLSKMLMDLGPFVYEEEFETPFLQVSAEFYRAESQKFVDFSDCGDYFKKVAKRLNEETDRLSHLLDTGTKEKITNMLKETIENHMLRLIQLQNSGLVNMLCGDKYNDLGRMYNLFHRVPDGLLKIREVMTSHNRESILDPVEFVQRLLDEKDKYDKIINLEFSNNNMFQNVLNSWFEFFINLNPCSPEYISLFVDDKLRKGLNGVSEDDLEVTLEKVMMLFRYLQEKDVFEKYYTQHLAKRLLAGKTVSEDAERSLIIKLKKECGYQFTSKLEGMLKDMKASLDTTKGFYANHPELGDGPILTMQVLTTGFWPTQSSVTCNLPAEMSALCVKFQSYYLGNQNGRRLSWQTEMGTADLKATFGNGQKHELNVSTYQMCVLMLFNNADRLSYREIEQATEIPASDLKRCLQSLALVKGRNVLRKEPMSKDVSEDDAFIVNDKFSSKLYKVKIGTVVTQKESEPEKLKIRQRVVEDQKPQIEAAIVRIMKSRKQLEHNNLIAEVTKQLQSRFLANPTDIKKRIESLIERDFLERDDSDRKLYLYLA >RHN39208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4981768:4983326:1 gene:gene45212 transcript:rna45212 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQKPSESLLSLVMETLPYERLMSPTMEKIWIAVTAAFHKSHQPFERIKSLREALEGQSQVEASALEELEVALEAEALALEELEAAILAAIQKGRDEALALQKKEELEADMAEAAIQKGRNEALALQKKEELEADTAVPTDMGPGADKRRRKKNAMRKRKKRKELEADRVVATDSSPGDDERRGKKNEMGERKRKKRSPGADERRGKKNVMNRRKRKKRSLEADERRGRKNVMTERKRKKLSN >RHN72481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8012882:8013978:-1 gene:gene8198 transcript:rna8198 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVAIGTRGTIGSLVRKEIEYFTKFELDIRGISQKPQQHLVDMEKAKKPSFWFLPTTWKKRKQRSSTNRFIRKICSVTEVDYESNQFNRIPGYSYKILRDDINNFHL >RHN79728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31638107:31641340:-1 gene:gene3560 transcript:rna3560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine adenosyltransferase MDTFLFTSESVNEGHPDKICDQVSDAILDACLEQDKESKVACETCTKTNMVMVFGEITTKAKVDYEKIVRDTCRSIGFVSADVGLDADKCNVLVNIEQQSPDIAQGVHGHLSKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKIGAKLTEVRKNKTCPWVRPDGKTQVTVEYKNDNGAMVPIRVHTILISTQHDETVTNEKIAQDLKEHVIKPVVPAKYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDKDILVLIKENFDFRPGMIAINLDLTRGGKFRYQKTAAYGHFGRDDPDFTWETVKMLKPKA >RHN54940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13748199:13759662:1 gene:gene30044 transcript:rna30044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Type I phosphodiesterase/nucleotide pyrophosphatase/phosphate transferase MSSSCSISCTKLTLFTITAITIQFIGLYLFVFAFFPVKPLLSGYSGSESFQRPNCNGDGHANRNETSLPPDRLRFLYQEVSKMPPSYDRLILMVIDGLPAEFVLGKNGKPPNKGFMEAMPYTQSLLANGMGVGYHAIAAAPTVTMPRLKAMVSGAIGGFLDVASNFNSQAYSDDNLIAQFFKIGWKMVMHGDDTWLKLFPGFFARHDGVSSFFVKDTVQVDHNVSRHLGDELSRDDWNFLVSLDHVGHIGGRNSALMASKLSEMDEVVKTIHTNILQNLENDQGKTLLVVVSDHGMTENGNHGGSSYEETDSLALFIGPKNHASDHALSNHDTIFQVDIAPTLALLFGVPIPKNNIGVLISQMVDPLADEQKLRALQLNSWQLFRLLQAQLPELSCTNFPCDSFITNSGPTISECKGNKEKLFCCLYLNATTLHDAWRDEVGTKSNNAEGYNTTVAAYHEFLSRASEWLSHKATDRPISLLAFGVAALITSCLILLKLLFVIHKEVPAQEIQGVENYMRPWKLDEVFILFGILILVISMGSSSMIEEEHYIWHFLTSTINLLFFRKAIQSFNLNKAVDDLISVAKENCTSGYQISLLFLILFCGRILKGWHQGGVNWTNLPDISTWFEQAGSQYINWIKIASCVMIIMLGIFALFLLQSKTKVVTVIGFSLLLSGLLVLQHFLKHQDMSASYNKDATLSIQVFYAIIGITTVIAVLVLPWVMPIKTREKCSKWNLYMSTSVPTEIQDTPIFLLKDSLYVMGCMFITSWCLLQLLLQRPINAMPLLLLNVQILAYMLVFSSSGSHHKKWVEITALYNLGMTGHFALGNSNTLATIDVAGAFIGISSHSTFLSGVLMFIITYASPMLFFFSLVMYISMKVTICPLVTGGGNSGEILKSLLGFPCLVPLSINSILLSANTIVLVLMRNHLFIWSVFSPKYLYVCAATACVYIGVFIVVTTVIYTYIVLFWLRKSFSIASKEKGT >RHN67189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24724691:24729495:1 gene:gene15346 transcript:rna15346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GrpE nucleotide exchange factor MSVYRVLSRASRTFTLLSASHKPQPLSTNFFHQFSTFPHQLPNKLIPIQANLLYSPISSSLTPRFGFSSSASPESSSDDPAKTSEKAKVVDQNEEDKREGQSSESDSDVEIECDLSRDELIKLVTEKEALLKLKHKEVEKMQDKVLRTYAEMENVMDRTNRNAESSKKFAIQSFAKSLLDVADNLGRASSVVKDSFSKIESPKESDQAAPLLKTLLEGVEMTEKQLAEVLKKHGVEKYDPTNEPFDPNRHNAIFQIPDASKPPGTVGVVLKAGYMLYDRVLRPAEVGVTHEVEEDNKTDE >RHN55265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16604832:16606172:1 gene:gene30417 transcript:rna30417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MRELNLDNCKYLTHIFDVSCLPNLEKISFRHCENLMTIDSSVGFLNKLKIIRADGCLKLMSFPPMELTSLQRLELSFCDSLECFPEILGEMENITEIVLEGTSIEELSYSFQNLTGLRKLQIRRSGVLRLPSNILMMPKLSYILVEGILLLPNKNDNLSSSTSSNVEILRLPNCNLSDEFLQTSLAWFANVIHLDLSRNSFTILPEFIKECHFLITLNLNDCTCLREIRGIPPNLKRLSALQCESLSSSCRSMLLNQELHEAGSTDFCLPGTSPIPEWFQHQTRGSSISFWFRNNVPSVSLFVALKPMRNECINYGFLPLATINLTINGHKFDLRCPPDGIRLMMSLGHTYLSDMQLHEMDLESKLEEELLRNEWVHVEVLFKHQMKKTLLIESGIHLFKQKSSMEDIQFNNP >RHN69956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47555169:47555570:-1 gene:gene18489 transcript:rna18489 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDNGRLTTADKKRPCILGLAVNNGYPQCGHVDGQNEQISHLKDTAGSQKIVMCQSLFDASGFLRKQQFQSMMCMVTGGLERPEVENVGW >RHN40430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16225104:16233093:1 gene:gene46579 transcript:rna46579 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSGNPRSSFSQSRRQKFPLNASTATHHRSKVSTFSQRVTPPPTTGNNSNASTSDFNDDDSSFGVLLGTCSYMCPERERIQREKLRDLAVFERLNGNPRKSSPVLAVKKFCRTISIKDVQASDMRPLNVLEDTLNYLLGLLDSKEHPFEVVHDFIFDRTRSIRQDLTMQNIVNKKAIYMYEGMVKFHVISHHKLWGSMGDPNIASTHHLNMEQLTKTLSSLFNLYEANRNSNDVHENEAEFHSLYVLLNLGSHSKPMGEPLSLWFSHVSTSILKSKEMRFARRIVRSFRMGNYIDFFHTVAAEASYLQYCIMEPYINEVRSLALSYINFGGYKLHPYPLFKLSKHLMIEESDLESFCHSCGLETCTDESGNKLLHTKQTTFSHPKGGFQKYSFLGLQDYER >RHN58351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1027200:1027675:1 gene:gene20256 transcript:rna20256 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEDFIVGHFINRAHDILEAYNAYMEEGKVECLVKGNGAMHFESYLQRMVRMAATDQAVLEYYNTSLAERMKALVKEFTRIGVEDCEKFLYGGNMKRKQKNMASGNL >RHN45999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27643967:27646411:1 gene:gene40432 transcript:rna40432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MGVSLFSSLKFPLIFLSLINFCTFELALAGITRHYHFDIRYQNVTRLCHSKSMVTVNGQFPGPRIVAREGDRLIIKVVNHVQNNISIHWHGIRQLQSGWADGPAYVTQCPIQTDQSYVYNYTIKGQRGTLFWHAHISWLRSTLYGPLIILPKKNDQYPFAKPHKEVLILFGEWFNADPEAIIAQALQTGGGPNVSDAYTINGLPGPLYNCSANDTFKLKVKPGKTYLLRLINAALNDELFFSIANHTLKVVEADAIYVKPFETNTIYIAPGQTTNVLLNTKSHYPNAAFLMTARPYAAGPGPFDNTTVAAILEYEIPPNTHHSASSLKKHPLFKPILPAFNDTSFATKFSNKLRSLASAQFPANVPKKIDKHFFFTVGIGTNPCQSNQTCQGLNGTMFVASMNNVSFTMPTTALLQSHFFGQNNGIYTTDFPSKPLNPFNYTGTPPNNTMVSNGTKVVVLPFNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNYDSNKDPQKFNLVDPVERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLSMAWIVLDGKLPNQKLLPPPADLPKC >RHN62374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43007641:43013880:1 gene:gene24943 transcript:rna24943 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEFKTVEGRITSMLSQLHCECGILERLVYKNKNQHRRSSYFQHLLKVRRDLRLLHLPNIEELVTSCFNVIKGDRPKQKVHLLESLKRRKCNDVKRTFLERLLGSARLLAEMVEPILKAATEISILFARSFFMGLSVTIMALLARLLVLVQQILLDVVYLFNTVSSLSKNKQSIKITHEGIEVFREFYPVSDDNDYVTLDCVWKSHKYILDERKHKTENESQGEDFGGNLSVQASDVNYNTIESILGDDQLDPERGEADVAAKEDPPHAMDKILIC >RHN57499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39564040:39567294:-1 gene:gene33048 transcript:rna33048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MSINSNYTLFNEIKRLNGPIYKVCFEAPPHIVSDGLWGGHDNGRRPMKSFLTLFELQLIIIFALTQICSFLLKPLRLPQFLPQMIAGLILGVSFELKPMDTYMDKLFPYGTHDVISSISSLGMMFFVFINGVQMDFSLITRTGKKAWIISIIGFSVPLCVLFIPLLTLPGKVEAIQKIDGGNGILVAMLTHSLSTFGTIASLLSELQIQNSELGRLSLSSALVCDILTAIIQSNIVVLVTSPDSTTLLRNLFLLYILIVLIPLVCRPIMFWIIKRTPEGRPVKDSYLYVIISMVFVFGILSVKINQEFAIGAFILGLSVPEGPPLGSALVKKLQFFCTTFFLPIFVTTCVLKADFSMDVSSSVMVYTGLAVLVTHLVKMTACFITALCCKMPVTDALCISLILNTKGVIEVGIYSSAFDDQIINRKTFGMMMISIMIIATIVHWSVKLLYDPSRKYAGYQKRNMMGLKRNSELRILVTLQKQNHISAATNFLDLCCPTQEKPITVDVLHVIELVGRALPLFIHHRLQRQASGSTSSKSYSDNVILAFDIYEHDNQNAVSINTYTAISPPNLMFEDVCNLALDKVATIIILPFHIRWSSDGEVESDDKKVLRALNRRVLEIAPCSVGILVTRANSMQKPTSIKSEYSTTRLAIIYLGGNDDDEEVLCLAKRAMNNPKINLVVYRLIAKENIEELEEFMVIGDEMLEEFKHAENVRYQEVFTKNGSQTASFLREIVNEHDFFIVGRRHETQSPQTDGLAEWSEFPELGAIGDFLASPDLNSSASVLVVQQQLSRTNDLKGWIL >RHN71788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2615657:2617157:-1 gene:gene7423 transcript:rna7423 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYYSSCSGSDYGENNFNSYTFNYDYAQVPSFMTYNSYDYNQPNYAYDSSLYFAPSNNYPDHSYNQTIAYSATTFSDPKYLVYDPNYGMTQLVLSYSTLEFNEPAFDEYDPTPYGGGYDIDSTYGKPLAPSDKICYPRSGSIPTPIPIPIPIPIPIPLPVEEAKLDEKVIKPPQNETKDQNAVEKPQLQDSTIKETSEAYEGEESEDSEEKDDGYNSGWSIDGSGFGEIEIVKEVTPPQYPSGYGLETLDICESLFGTWPCLSKMKRENYCNVGIHRGNNCEENMWKETADYLFGNPYPYGGRRDDDFNDEQW >RHN41359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29433298:29434776:-1 gene:gene47674 transcript:rna47674 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGELDEIVAELEVSRRKLVGLKMQKDAAMGMNSTNADVVNGNLSPEKPAERAITLSDLKNSIEEAKIVNDDRLSELQDARVGN >RHN65077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64038862:64041047:-1 gene:gene27970 transcript:rna27970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MLLKLSTYLRHLVPPGIRLRKFIKVIFYLVFFTSLLGFMFNLNAVDCRNGENKCSNIGRKIGPEILGSNLESPVTSTEQSFRTAKIHEYFYRHVASNSIPEQLHCLDLTLANEHANNAAARLRLPSADLVPALVDNSYFHFVLASDNVLAASVVAKSLVQNSLQPWKVVLHIITDKKTYNSMQAWFSLHSLSPAIIEVKSLQDFDWFREGKVSLLEAMEKDQHVRSRFRGGSSAIISNTAEKPEDIAAKLKALSPTYSSVMNHIRIYIPEVKSKAIGSKLFPSLDKVVFLDNDIVVQTDLSPLWDIDMNGKVNGAVETCKGKDKRVMSKMLKSYLNFSHPLISKNFDPNECAWAYGMNILDLEAWRKTNISYTYHYWVEQNIKSDLSLWHLGTLPPGLIAFHGNVHTIDPFWHMLGLGYQENTNLVDAKNAGVVHFNGWAKPWLDIAFPQLKPLWTKYVDFSDNFIESCHIRA >RHN50228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5339175:5340744:1 gene:gene34495 transcript:rna34495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MISVWKETQRMEILKILNLSHSHYLVQTPDFSNLPNLEKLVLADCPRLSEVSPSIGDLKKILLINLEDCVSLCSLPRSIYKLKSLKTLILSGCLKITKLEEDIEQMESLTTLLADNTAITRVPLSILRSKSIVYISLCGHEGFSRDVFPSIIKSWMSSTNNLPFHFQTSAIMSSHVPFDVPRSSSHDLSSISKYLPSIRSLWVKCSSELQVSHDAAIILEALYAANSKELEPSTQVSRNPSKSLFIQMGMNCQIANILKDQILQSMRGGCVLPGDSYPNWLSFKCDGSSVIFKAPQVEEHNLKSLMCIATADNITPDGLKNIICFVVILPLISSFWQVTLIVVTNL >RHN52810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39078081:39086469:1 gene:gene37524 transcript:rna37524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative complex I subunit NDUFS6 protein MGRKKGYVEFDDNPPDDFDPANPYKDPVAMLEMREHIVREKWIQIEKAKIIREKLRWCYRIEGINHHQKCRHLVKQYLESTRGIGWGKDGRHPSLHGPKVEEVESE >RHN46157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29268584:29270391:1 gene:gene40600 transcript:rna40600 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFVGNMVAVLMHLEFYSLTRRDLNIKCILQYHEDTMTFISDLKALKFLSESSARIIKDAFRTMWLKNWQNPNACSINLVLSIGFIILATSNGKQKPCYMLQNGSDSHISTILKLHCCEALPISIGITPDQPACAEVQSVGSIADVALNFNMLVKNYGLVMLGNKILCIVDKFDHDGYMDVAERVIQIYVKWSNICAAAPFN >RHN49403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54548580:54552555:-1 gene:gene44228 transcript:rna44228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-mannose 3,5-epimerase MGSSGINNDYGAFTYQNLEREPYWPTEKLRISITGAGGFIASHIARRLKTEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTKDVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMIEAARINGVKRFFYASSACIYPEFKQLETNVSLKEADAWPAEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKTLTSTDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKSIPIQHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIKEQLEKEKAGGVDVTSYGSSKVVSTQAPVQLGSLRAADGNE >RHN51078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13698109:13698900:1 gene:gene35452 transcript:rna35452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein MAASTMSLSSSSLGHHEKTTTKKVSSGSPWYGPDRVKYLGPFFGEAPSYLTGEFPGDYGWNTAGLSADPETFAKNRELEARSQIFSEGGLDYLGNPSLVHAQSILAIWACQVILMGAVEGYRIAGGPLGEVIDPLYPGGSFDPLGLADDPEAFVELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVLGK >RHN51920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28414975:28416129:1 gene:gene36491 transcript:rna36491 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLFRYLVEMKTYIGMNDTLLKELLHRWDASSLGYKVGVRTVAFKHLDLYLAPGFSIVEESFPESIDGDSHVRTLCDANEIIDINSVHSKLKVLQEGDNIDDFCRVYILFALCVLYFPKSHGNIKKDFFNLVDDLDALSTYNWDIAIYDDLMENFSSAASKYQQQKNDTAVHTSGCSTVLQLVEELIPTQEDMENNVFVQAVNHYQVGIRDGAYPLFDVQAYMAEHQRLVGKHKDLFSRVSILEDDMRILKANSVHGRENILMQSSVEVGSGSKQVGVK >RHN68214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33729154:33731233:1 gene:gene16532 transcript:rna16532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MMASSSSPKKEVEGDSITVLNWLELPRDIIATNILQRLSITEIITSACLVCPLWWNICKDPLMWRIINLTILDTSSKDLKKIVDYALERSCGNLEEINIECLCSDGLLKYIANRASNLRRIRLKRPSLYKRFCEVVKKLSLLEEVDVSLSCISKDSLKSIGRGCPLLKLLKFKKKCCEDIKCDEDAFAIANTMPKLRLLMIFGNSLTNVGLLSILDACPLLEDLDLRECLNLDLSGRLGKRCQDQIIYLRLSNYDVDDTCYDHDNSCQQSQRKMYTHSDLTVWLSYAELPNTPTRYYDVDDNDNDNDYYCPSLCDSLEYDFDYLLELYENENLDGSSYDYA >RHN76378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49775868:49780054:1 gene:gene12694 transcript:rna12694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MMAGNPNWWSMHPSSLNIPPQYMLGSSSIPFNSLTENNAEVPPQSWSQLLFTGLPGEEERLGFNHFQPKNSENWDVQIMNPSSRVPFMDIIKHEVSQGENFYGQQGHHHEEFHANSGSLGSSWSHMVPVSSPSSHITSLSSDNILDFTYNKVDHSKNQLPDQISECNSSTTGVNKKARVQPSSSQPPLKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSTPYLDTAASKNMMRNQHSVHVERNSVFPEDPGQLLDDTGLKRKGAPIPNQNAEGNKAKDLRSRGLCLVPVSCTQHVGSENGADYWAPAFGSGF >RHN63347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50556781:50561192:-1 gene:gene26034 transcript:rna26034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UBX domain-containing protein 2/7 MATPNQETIDTFISITGVSQPIALQKLQEHGGSLNEAVNAYFSEGDRNLMASSATVPQGDFMDIDDQHDEILRPPSLLSFARNLDPFSLIDPTIGRSILDTHLGATNQAPFVTHPREVRQIPIEDKDGSQSTPQAGHIPIVEDVTGTVHAQGPDIHGSVIVLDDDDDDNTLPAPTHSSPDSSARPSAPTLENLPDSSNDIEEEMIRAAIEASRREAEENYSNHELDRQIDVSESGPNPRQSLLEDPELAHAVSLSLKTAEQEKARRVQEGDVGAPTAGPSKAQGVELGEVSSNGRLQAGEDEDEEDEDVEEMPLVRNRSTRHVSLSSTGSGKDAELIESSTPAGTTVPESSSPPHNDNSFPSDEWGGMSSVEHDEAVMLEAAMFGGIPEGSGYRRAYAPHEFMQNRGFHPRPAPLAYRPPSPSLEAQRLIREQQDDEYLASLEADREKELKAIAEAEAAREEEKRRAEESQRKLQEEQELEAQLAAKEVSLPPEPSSDDDNAVNLMVKMPDGSRRGRRFLRSHKLQSLFDFIDIGRQVKPSSYRLVRPYPRRAFGVEESAVTLDELGLTNKQEALFLELV >RHN68817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38842260:38842919:1 gene:gene17226 transcript:rna17226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAIKAHPLFSMVLGFTLPLIASLLLLLMLLAGALVIVTCYRLIQRFQHQSFLTSISLNDVESQSTMVEAPHVHACGLEKSVINAIPSFIYNTTKSKQDESNHECTICLVEFENNDYIRTLPLCSHIFHLACIDAWLHKQPSCPLCRSCLRCDQFDKSPLKPMMSDRIHPSFHDHMPFQISHSHSVIQSVMEITLYSNNDDDNHGVGDGAPVFGATMQVP >RHN47918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43556802:43563455:-1 gene:gene42572 transcript:rna42572 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLIFWLLICFPLNIAFLASAFYQVLMLSDLEADYINPYDASSKINYFVVPEFIGQGLLCALFLFTGHWVMFLLMLPLASYHVMLYVKRQHLLDVTEVFRVLNAEKKFRIVKLTFYLTLVMITIFRLVLIVVNYFDMDDD >RHN70111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48842551:48844309:-1 gene:gene18660 transcript:rna18660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MGEEEKKTEEPKKKEETKPEETKDEAAVPAPPPAEILLKVFMHCESCARKVRRSLKDFPGVEEVITDCKSHTVVVKGEKAEPLKVLERVQKKSHRKVELLSPIPIAPEEEKPAEEEKAAPEEEKKDEPQIVITVLKVHMHCEACAEEIKKRILKMNGVELVETDLKNSEVSVKGVYDPAMLVEYVYKRIGKHAVIMKEEKVDVEAKAEEEKKEEKVEEVAKKKEEGEGEAKPQEEEKEAEETNVEEEMKKYQYYYNPSMNLYAYPEIGYPAYPAAYYQAYPPPPPPAPQMFSDENPNACSVM >RHN60418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26567652:26570561:-1 gene:gene22729 transcript:rna22729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (non-electrogenic) MVEKKVVIIGGGVAGAVLAKTIQHHANVTLIDLKEYFEIPWASLRAMVEPSFAERTVINHREYFTKGDLVISSAINITESEVFTADGREFAYDYLVIATGHTESIPKTRTERLDQYKGENTKIKSARSVLIVGGGPTGVELAAEIAVDFPDKKVTIVHKGSRLLEYIGPKASRKTLKWLKSRKVDVKLEQSVDLDSFTNENRTYQTSVGESIEADTHFLCIGKPLSTAWLGETLLKDDLDGHGRIQVDEYLRVKGRNNVFAIGDITDIQEIKQGVYAQGHAQLVAKNLKLLIEGGAKERKLGTYKAQPAISIVSLGRKHGVAQFPFMTIVGRLPGMIKSGDLFVGKTRKGLGLEPNVKKS >RHN59050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7098015:7101753:1 gene:gene21034 transcript:rna21034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDLMRAAIVGASGTPYHDGLFFFDICFPPEYPNEPPMVHYISGGLRINPNLYESGKVCLSLLNTWSGTATEVWNPGASTVLQVLLSLQALVLNKKPYFNEAGCDQQIGRAEGEKNSVSYNENAFLLTCKSMLGLLRKPPELEMEMLNPLGLV >RHN72829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11067658:11068281:1 gene:gene8590 transcript:rna8590 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGFSSLSCIDNLYRSLAELSPDGCLRSQEFREKLTKPPIAAQFELSSQIFLITASLPVYYYRTYVHNRLFYRTLTTTDEHDDCFSDEMCVPLNLVDPKNSASKSSSCGEFAKGPLRYMVTDDLVVTPMSSFAAISHLNSSNIPVSDVEEKVVRIGLSEVNSISNTFSICHSYYFSFAYITRTFLLYILQSFGILNASLISTNYQG >RHN38984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3299526:3299819:1 gene:gene44967 transcript:rna44967 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTIASLVLVSLVVAILLNGYNAEDGGRGNQLKKDDAIYKSQKFGQCLDCTILYNICLVNPYLWTLHDKFCSSNDYRSNKIASSGKDAPQVVSDLP >RHN72293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6527178:6529662:-1 gene:gene7987 transcript:rna7987 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP710A15 MNAPLLLSSFSFNQLIPYLICFILFLLLIEQISYLNKKRSIPGPSLVLPFIGNAISLVRDPTKFWEVQSTLSKSAGFSANYIIGLFIVFIRDTELSHKVFSNVKPNAFHLVGHPFGKKLFGEHNLIYMMGQEHKNLRRRIAPNFTPKALSTYTELQQIIILKHLKSWLHKSHAQAHESFPIRVLTRDMNLETSQTVFVGPYLGLKARERFEHDYFLFNVGLMKLPFDFPGTAFRNARLAVDRLAGTLGGCTAASKEKMEKGEEPSCLIDFWMQDTLREIEESKLNGVTVPPFSTNAEIGGYLFDFLFAAQDASTSSLLWAVTLLDSHPEVLARVREEVAGIWSPESNTLITSEQLREMKYTQAVAREVIRYRPPATLVPHVAAEPFPLTESYTIPKGAIVFPSAFESSFQGFTEPDRFDPDRFSEERQEDQIFKRNFLAFGAGPHQCVGQRYALNHLVLFIAMFTSLIDFKRDRTDGCDEIVYVPTICPKDDCRVFLSKRCTRYPSLPGVEELVK >RHN65923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6555663:6556544:1 gene:gene13819 transcript:rna13819 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKGFRDLSWNKPHSSIVAIKLRHLSIRRLLRLEGNCLSKNDSSHGQLYNTRELRLVNKCSAKGKEEECQPVIVKVRRELQLHIFKEDHSRFGPKKSSTSSSSRKALLTRIFSRVVSMT >RHN67446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27313764:27319099:-1 gene:gene15635 transcript:rna15635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WW domain-containing protein MTPNHFPSFLAASRDFRGSGGRKFYWFVCQQSQNPLLLLGQYSDDEADERSNKGPNDTKVHSHEEANGALDEGSEDPNISVPVDSVAQNNGHQDTMQNLTSMDVEYSEKTESDVALGNLQNEIVSKDQTDASENFDERDGNDTSSGWKMVLHEESQHYYYWNVLTGETSWEVPQVLAQADHLTNDPLPPASVNDKTDNVTVGVDNSNMLSAAMLGTSAAFTVDETVETSVISHKDLHDHGSQMNGCSEECTNENKGSNIHGDDLIRNDDLMSLSYGGDHSIGVEEQQVEIDFPSRLVQQTESLLEMLKSLEKSKGNLQGQDSLSKYMLELEIRLSDFRSLSSYGSSLLPFWVHSDRKIKVLECLINVELLQTDKSEHAEVEDKPVPVAEEFGEQPNGVGQESEVDHNEKKGSFLTSEVSIGSQTDASVEALKDVSDKFSSDAQRIPLSISTGSHAETSLEVSTQIEATINPEESTHENVCNIGEDVDMDVDMEVEDMNSSENTVLDASVANDYVQTDQPFQSNPQIEYHSLLPEDAFAVPPPPDDEWIPPPPPDNEHMPPPPPPDDDQMPPLPPGDPLATCYHVIPSYTETGQSLSYAQYNPSYLGASSEYYGQTAAEVPTSNIYGQIAMQPAQLYYSAVPNIYSENPQVMINPTDPVTYYEAQDGAGLKPIPDINISDSGGVSGADSVSSDIPSTSAAIHDPATVSVDASVSLAPATTEVNADKTTSSLAAKAQTKVVRSRKRAVAVGSSLKSNKKVSSLVDKWKAAKEELLEEEEEPESVYDALERKRQREIEEWRAKQIASGEAKDNANFQPLGGDWREKVRRKRAKAAKETVGKVEVASESSKQQKPDIVELAKGLPSNWQAYWDEASKKVYYGNVVTSETTWTRPTR >RHN71759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2478921:2479418:1 gene:gene7393 transcript:rna7393 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSLLFLLGLTLIMGSHVAHAQDSPADYVNAHNNARSAVHTNVKIPNIVWDNKVAAFAKNYANQRKDCQLVHSGGGGRYGENIAESTGNMSGVEAVKLWVDEKPYYDYSSNSCANGEMCGHYTQVVWRNTQRIGCAKVKCNNGGTFITCNYDPPGNYIGERPY >RHN52591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36882066:36883615:-1 gene:gene37285 transcript:rna37285 gene_biotype:protein_coding transcript_biotype:protein_coding MALENHERDNKKTRKWRGALTEVSNLSGWQFKHGYGNEHKIISNIVKQVSTRIQRVPIHNEISDVDRKVSQASQSVPGVSQTAHKATKVKMPIPHWDFKAEKTWRVVGLMSSVVGLLCYALSPSFNRLIGRWKPFKFFLYGVSSLVIFTTVLFAKQSSLPKQHAQFIKTCTIFAVLVII >RHN80221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36224479:36228830:-1 gene:gene4120 transcript:rna4120 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YB9 MADAPNQCEESHESGGEQSPRGSSSASREQDRFLPIANISRIMKKALPSNGKIAKDAKDTMQECVSEFISFITSEASEKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKVYLARYREGDSKGSVRNSDGSGRRDQVGGPPGQNAQFVHQGSLSYIDSQVHPQHLVMPSMQNHE >RHN74610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35118035:35118550:-1 gene:gene10705 transcript:rna10705 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPSAPAAVRCNVKLEHIDEVYDAWYLVPVETLSEISRYFNDYFMRYHEPTRRVKKICYITPYYPLDTVHNNWKLSYDIKYLETDRDVQDMFQWRTNTGDPLYLHVATELIDW >RHN52221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32802458:32804514:-1 gene:gene36869 transcript:rna36869 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLVSFTNRYRAASLADSSPASVPLPLRVEPKPKSGIRQQDLLKKVVEIKPKRPRSEGNKPMQAPSDASITNRQHDRDNLKDNKQCLSGQKVEERSLSGLKKVEEHPVSELHESETKPKVDNSAGGLLGLAYASSDDDE >RHN78007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11209940:11211131:1 gene:gene1521 transcript:rna1521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MYRLGLYIGEAIDIGTIVFKTTINLLSNTIFSVDLIQSNGAAGEFKDLATDITKLAGTPNVADFFPVLNMLDPQGLKRRQTKNVRKVLDIFEDLINQRLKMREGTCVDTCKDMLDAMLNISKLNEFMDKNMIHHLSLDIFVAGTDTTTSTLEWAMAELINNPEAMRKAKKELEETIGCGVPLEESNISNLPYLHAIIKETLRKHPPVPFLLPRKAERDVEICGYTIPKDAQVLVNMWTICKDPTLWENPTLFSPERFMGSDIDVKGRNYEVAPFGGGRRICPGLQLANRMLMLMLGSLINSFDWELEGGMKPEDMNMDDKFGITLQKAQPLRIVPLKLSN >RHN47476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40042587:40052933:-1 gene:gene42086 transcript:rna42086 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RAN BP MALNDQNQAQMALILGPDSTHFESLITNLMSTINDQRSQAENLFNLCKQTYPDSLILKLSHLLHTSSNPETRTMSTILLRRHLTRHHDDSFIYPHLSPSTQSTLRSLLLSSLHQEPIKSIVKKLCDTVSELASALLSDDLSSWPDLLPLLFQWVTSNDARLQEIALLVFAQLAHYIGETLLPQLSTLHSVFLRCLSAATSSSDVRIAALAASINFVQCLSNSSDRDRFQDLLPLMMQTLTEALNSGQEATAQEALELLIELAGTEPRFLRRQIVDIVGAMLQIAEAESLEEGTRHLAIEFVVTLAEARERAPGMMRKLPQFVKKLFGVLMNLLLDIEDDPAWHAAVSEDDDAGETSNYGFGQECLDRLSIALGGNTIVPVASELLPTYLAAPEWQKHHAALVALAQIAEGCSKVMTKNLEHVLSMVLNSFPDPNPRVRWAAINAIGQLSTDLGPDLQDKYHHLVLPALAGAMDDFQNPRVQAHAASAVLNFTENCTPDILVPYLDGIVSKLLVLLQSGKQMVQEGALTALASVADSSQEKFQKYYDAVIPYLKAILLNANDKSNRMLRAKAMECISLVGMAVGKEKFRDDAKQVMDVLMSLQQSQLDSDDPTASYMLQAWARLCKCLGQDFLPYMGFVMPPLLQSAQLKPDVSITSADSDAEFDEDDDSIETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVASTLVPLLKFYFHEEVRKAAASAMPELLSSAKWAIEKGQSQGRDATYLKQLSDYIIPNLVEALHKEPEVEICASMLGALNECIQVSGPHLDEKQVRSIVDEIKQVITASSSRKHERAERAKEEDFDAEERELLKEENELEEELFDQIGDCLGTLTKTFRASFLPFFEELSSYLIPMFGKDKTSEERRIAICIFDDIAEHCREAAHKYYGSFLPFLLEACNDECSDVRQAAVYGVGVCAEFGGSVFKPLVGEALSRLNAVITHPNAQHSDNVMAYDNAVSALGKICQFHRDSINAAQVVPAWLSCLPIKGDLIEAKVVHDLLCSMVERSDKELIGHNNQYLSKIVAIFAEILCAGTDLATEQTVSRMINLLRQLQQTLPPSTLASTWSSLHPQQQLALQSILSS >RHN48898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50994769:50998742:-1 gene:gene43664 transcript:rna43664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MGGSPRNPNPNPSHKLVRPCDYCGHSNAVIYCRADSAKLCFSCDREVHSTNQLFSKHTRSLICDSCDDSPATILCSTESSVFCQNCDWENHNLSLSSPHERRSLEGFTGCPSVTELLSILGLQDIGKKSLLLPQESVGDGFVGYEIEGLSDMFVWDAPSFVSLDDLISSSPSSHNYRAMEVPPLPKNRKAACGRHREEILNQLREMTKSEPYDPEEYIPPANLSTSFDCDVKADIVPSNEWLRESSEPMYQVVPVDTSFKAHTEEISVKHSVSSVGEPHTHCNNGGTPSEPLNHCNNGGTPSEYVKSETLSTTSKAVPPPYELASQERDSALLRYKQKKKTRRYDKHIRYESRKVRAESRTRVKGRFAKIDH >RHN63946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55257791:55262509:1 gene:gene26711 transcript:rna26711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L36 MKVRSSVKKMCEYCRTIKRKGRVYIMCTANPKHKQRQGMSTFAYEAPSHQLSVEISSSCAAQEIKPLHTSRTGLASIIPQRHSLSMLYGWRVGLASILSKK >RHN74228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30538100:30542412:-1 gene:gene10254 transcript:rna10254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MKESLLWGREEKSGSKRGKLIWEGLMMMSNNKVEKLKNTVKNSVPVRSSRMNMWMLRATTTVILWICIVQLTAFGDMWGPRVLKGWPSCFTHESAIIELPSTKPRVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKASFWADPSEFQDIFDLDHFITSLRDEVRILKELPPRLKQKVENGFLYSMPPISWSDMSYYKNQILPLIQKYKVVHLNRTDARLANNGQSIEIQKLRCRVNFSALRFTPQIEELGRKVINLLRQNGPFLVLHLRYEMDMLAFSGCTQGCNSDEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALALRAFDIDQNIQIYIAAGEIYGGSRRMASLAKNYPKLVRKETLLEPSELQFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYLGFRKTILLNRKLLVELIDQYTSGALNWEEFSSAVKQSHADRMGGATKRLVIPDRPKEEDYFYANPDECLDPSEDDDMLSSTA >RHN64640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60667396:60668597:-1 gene:gene27474 transcript:rna27474 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVETEAEAEKKEEALEGLKRHVKNLYGVNHSLLKIGGVECSGIPKIVHLFTFTFFSISMTIQFRRLSSEMMKRKPTMWGMFLSWLITIHCHECCKYDMHFPEAHFWEDLESKIMECIRNTVDRRVQFYEDEIRKLSEQRLMPVWNFCNFFILKVHPFLMNCGPKSTINCSESPTFINFPLQLILGDCGFL >RHN69012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40298470:40300667:1 gene:gene17438 transcript:rna17438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MWRSILSRQRCVPLRNFNAASASPLIQVLPLSNFILNPTLSTTPSSSLGFPQISLLSNNPRFFSQQIATQNEDGAVHLENDDVPVQNDDGNENVVGVEEEEQVYQFDDEKLEKVVFFLQNEEVSDDGSFESTLNHMDLNVHQDFLIKAIEKIEIVSVQNLVRFLNWVWSENSRKVTAQVLEFFVVTICNSGGSLRDKDVYSLWDLVKEIGEKENGVVNVAILNELVSSFSKLGKGKAALGVLEKFDGFQCVPDAESYYFTIEGLCRRLDFDLACSVCQKMIDAQRIPDGEKIGRMLSWLCKGEKVKEAHAVYMAAMENKMYPPLSSVGFLVGNLCHKNETVQLALELLNDIPAERRKRAIKPFSAVVRALCRIKDVDQAKELVLKMIADGPLPGNAVFNYVITGYSKVGEMGQAVEMLRLLESRGLKPDVYSYSVIASAYSNGGEMEEARKILEEAKKNHSKLSPVMYHTLIRGYCKLERFDEALELLTEMKDFGVRASADEYEKLIQSLCLKALDWEKAEKLQEEMKEKGLHLKGITRALVRAVKEAEKEAVEAQSESLVA >RHN41611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31479492:31480364:1 gene:gene47953 transcript:rna47953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MEQALRTLNGMPPMEEQDNHHHHKKTSSSSSTSATNKTGATVRYRGVRRRPWGRYAAEIRDPHSKERRWLGTFDTAEQAACAYDSAALSMRGSKARTNFFYPETTEQNLLHPFHNHKQQKSQHRHVTKFNSGDFLNHTCSNPNPCLVPPFVQNKPNNTISSSSSSNVNITEEIIDEDSEFFPRESSGLLEEIVYKFMKSSKTTNNEKKVKTNSFGSISQPISHNMVPMLEGTFGTVSFDQQGFPMQQFETINNGFNFINTNEGMFFGEMENHHVGECSIMEDVFCSSFCS >RHN39144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4448965:4452046:-1 gene:gene45143 transcript:rna45143 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKNNNTITNRFNPQNQINDNDDNSSLTLLHSDLSTLLHQIDELVVKALEVKKSVSKEGKSDVESFSNLLSEMLSRLKPWGPKLQSSLSSTSVESEIKSEEVSCDESNVSDCESPKETTLVSPSPLVSWRANCTVQRGRQMFMLTPLPLSKSLLSSKSHQPQTKLDFTELASSNNDSVLYGVVTKPTPIKPALSLVSEEATNNEEPELISSPDLSKIDTSMLYMMTPCLKMSPPKSCVLLEPISEICRVGNDKFRKSTPFPVGVHYSDSEDSESSGSDGVTQGLALKYPDLMGKHCVPKSGIGKENVEASPIWLTSPPKTCVLLGTPDEKSLDKEKVDNKSCMHVTKSILKQQVNKLNLEEDISKGHNQAKKTCCNKGDFVGDLSHIESTPMWLNPENTVQRGKRAGENTLKKELWAKFEEASDCPFESKFQTVSKSSQKGFLDLLEEASCDE >RHN78483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15495840:15496190:1 gene:gene2053 transcript:rna2053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine-rich transmembrane CYSTM domain-containing protein MNTFNNHQQAPISYPPPGETYSTSPYVTAPPPMGYPTKDGPAEGYPNQRIPDQTTTRGDGFWKGCCAALCCCCAIDICF >RHN71639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1590153:1590575:1 gene:gene7259 transcript:rna7259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MLLTIFVVLQFKDVESFKPFPTTVHVSITNNFTNSLQVDVHCKDNHDDFGNKTLKYKEVYSFSFKTTFLLPNKLYFCSVSWIHGFKYFVVYDQKRDDDDCEKECPWAINEYGPCKEKPGNVIECYQYNFYSFSFFHFTSM >RHN49304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53933251:53934029:1 gene:gene44119 transcript:rna44119 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKPMRKRAATKMTMIIAKEGLNSWLKFGFVGLSLVAWDWVFVIERRRIPKRMNKLVGSFFMMFKVCLR >RHN76586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51467275:51470185:-1 gene:gene12936 transcript:rna12936 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFPFLNHCPCMLMFLLFTLFFTSTEAYDPLDPNGNITITWDVVSLTSDGYVAVVTINNFQQYRHITAPGWFVGWSWVEKEIIWAVVGGEATDQGDCSEFTETFPHCCKKDPTIIDLHPGTPYNKQFANCCKGGVLRTWAQDPPNAVAAFQVSVGRAGNNKTAIIAPQNFTLKTPGPGYTCNQPKIAELTEFTSPNERRVTEAILTWKVTCTYSQFLVQKPPNCCVSLSSFYSENIVPCPNCSCACQDNSFQSGSCIDSSNSSNLASIVNSPGEKGVEPLVECTRHMCPIKVHWHIKYNYYEYWRVKITVFNFNYRKNYSDWNVVVQHPNFDKLTQIFSYNYKSLAPYGSTNDTVMLWGVKFFNDVLMQAGPNGNVQSELLFRKNKSSFSFRSGWGFPEKIYFNGDECSMPPPDAYPRLPNGCSTQEVSLLALMMTSFILLLLCTYA >RHN45355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17636005:17651133:1 gene:gene39639 transcript:rna39639 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPSRGSNILHWEIFVEVCVFDLVKTISEPSSTTGSENLLFLNLVPVGTLGLLVFLGLPLFAFLMESECCSKYWASAFNSGKHS >RHN67967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31867083:31868918:-1 gene:gene16233 transcript:rna16233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEIIKYVYGMIIFIFLFLVSTNVHAGIRCVFPSDCPRTMRCLSEFHLTCKKKQCKCVKMFDPINFVTA >RHN51441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17849891:17850187:-1 gene:gene35875 transcript:rna35875 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCSRPTKNISDEDYRNKLKNSKVTKTRRQVTRSSSALKDNNMVIMSTSMAGGGCGGGGGGCGGGGGGGHHGGGGCGGGGGGGHHGGGGGGGCGGS >RHN48273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46233765:46237352:1 gene:gene42974 transcript:rna42974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MGDSETMVAEASAAETYPSDGYNNTSSNPVPEAGANVPAPAEIAGEAAAAQPNSGYAFAYSGDGNAYTAGDPNSVLQAQFSATNESKQAAEVPNEDSSLVGKEAMDSAMVSADHSSVNGSVDTVGLENGNASENVDESADEKQLTDAYAALSAEEDRLWNIVTANSSDFTAWTSLIDETEKVAENNILKMRRVYDAFLAEFPLCYGYWKKYADHEARLGSADKVVEVYERAVQGVTYSVDMWLHYCIFAISTYGDPDTVRR >RHN49237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53486183:53489122:1 gene:gene44047 transcript:rna44047 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L18 MGIDLKAGGKSKNTKRTEPKSNDIYLKLLVKLYRFLVRRTDSNFNAVILKRLFMSKVNRPPISLSKMIEYMKGKDGKIGVVVGAVTDDIRVYEVPVLKIAALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGRAPGVPHSHSKPYVRSKGRKQEKARGKRKSRGFRV >RHN63757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53833828:53837786:1 gene:gene26500 transcript:rna26500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MCKPESNSNNYKWQDLGWMGLKAQKERLASGSGSGSGSGRGRMKLWMIRATTTVILWTCIVQLTAIGDLWGPRVLKGWPSCFTHVDSSASNSRALDNNNVQFLRPPILPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFQDIFDVDHFITSLRDEVRILKELPPRLKLKVERGFLYSMPPVSWSDISYYKNQILPLIQKHKVVHLNRTDARLANNGQPPEIQKLRCRVNFGALRFTSQIEELGRKVIKLLRKNGPFLVLHLRYEMDMLAFSGCTQGCNSDEVEELTRMRYAYPWWKEKIINSDLKRRDGLCPLTPEETALTLRALDIDQNIQIYIAAGEIYGGERRRASLSKEYPKLVRKETLLEPSDLQFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVAGHRRYLGFKKTILLDRKLLVDLIDQYYNGALNWDQFSSAVKESHAHRMGNPSKRLVIPDKPKEEDYFYSNPEECLEPSNDMLSST >RHN77871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10104814:10106177:1 gene:gene1373 transcript:rna1373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKKNNSASRISIQDLFYDILIRIFLKLNVVEISVASMVCKSWNEICRNPLLWAKLDLSRLSSNAFNIPLLPDAWRGDLVSKCKLITCLKYALRLSNYNTSCLVFNFFIYLQDAELIFIAKRTPNLKRLVLPFTGKLSNNAVDIAMKSWDSLESITITAMVKTSCIFSAISKYCMTIYELKFTNYFGINDADLVVQYTPNLKLLSVRNIMVNLRALCNILNSLEKLEVVNICHGFIWDRVEGAVKAYTVRDLRNHLSLPCLRKLMFCNRRRCLRCINGNDPVRIPFGVFEDIWREDEISSLAH >RHN52895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40082685:40083959:1 gene:gene37628 transcript:rna37628 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPCFQRFPPVCSVIDELSADPMKLKPKITIAHIEKLVRTAKTVSDLQPALELLNAARTALDEIEKQREADFKRAAVEIKKLRVQVQKKIDEKAASKAAEVPIEEGTSKAAQVPNQQENEA >RHN77761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9248455:9249377:-1 gene:gene1248 transcript:rna1248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase MEMKTKISQLCQILIVAISMVRVFASDESLPSSLPGCKNTCGHVKIPYPFGISNSSIPNQGPCFLEPKFNLTCVNDTKLLLGNLQVSNINILEGQLELSFFVGGYCNSTNYNRPTLGTASYTISRKENKFVTVGCDSYGYLNSIYNQETYSTGCLTRCNGNRNRIENGTCSGIGCCQVDIPPMMRNISVQAFDFPNSTDSLGCSYSFVVKDGFYNFTVDHLDIFPYKMLPLVLDWSVGSENCKASKGKDGYACMKNSDCDDEDIGFGYRCKCKEGYEGDPYHPEGCIGNLILSLNFLFTFSFPSSK >RHN38707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1407485:1408685:1 gene:gene44668 transcript:rna44668 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKGMEDEVIPLLEEYKPVTNTSDCEKGMKDGFVEMKESDAVHTTSIVAKSRLKDLLICRRNKTSIVAVVSTILCLLLAIFPVLKISSDGRNFMAKYENIIMVILVPLVFFIQLRLADISSMSPVDNRIFGILFIILLSNAISVVEVGFFSWTAASIILIVSAMVIASLVHDTWEVISTEDIVFSKGIQKFVSVICFLSIVYTIKSIVYYVYV >RHN51585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20282864:20283289:-1 gene:gene36050 transcript:rna36050 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLITLFSLFTQQVAIRLLSLFSSLFGFFVLGFYGLNVTICVSMLLLLLYSGCLTKLNICL >RHN60552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28085695:28090745:1 gene:gene22875 transcript:rna22875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA dimethylallyltransferase MATENTATINPTHPKQPKVVVITGPTGSGKSKLAVDLASHFPIEVINADSMQVYRGLDILTNKLPFSEQNGVVHHLLGCVNPNLEFTAKTFRDSAIPIIDEILSRNHLPVIVGGTNYYIQALVSQFLLDDSTDDLSESYLGDSPGITGFDTNFVAENDSSSNSYDLLKDIDPVAANRIHPNNHRKINQYISLYSRTGVLPSKVFQGQAAEKWGQADNLRYACCFISVDASLPILDRYVEQRVDDMMDAGLLNEVYDIYTMNADYTRGLRQAIGVREFEDLLRTSVFKNINQREGELIDGSSLENSEPLFDGNLMAWLRSFSDTKSTTLLEDAIEKVKVNTRRLVRRQKRMLSRLETLFGWDIHYVDSTESILSKSNDIWNRQVVESATKIVTTFVSENGSLLSTFGMSNGTGTKIIQRDLWTQYTCKACNDRVLRGLHEWEQHIRGRGHRKRISSLKSKAKGLSFVEKKCEPSEHECSDIL >RHN69484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43776949:43779487:1 gene:gene17962 transcript:rna17962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MLYTQTLFKEHTERKKKEKKKLEKKMGRGKIEIKRIENSSNRQVTYSKRKNGILKKAKEISVLCDAQVSLILFGASGKMHEYISPSTTLIDILDRYQRASGKTLWDAKHENLSNEIDRIKKENDSMQIDLRHLKGEDITSLNYKELMALEESLENGLTGVRDKKMEVHRMFKRNGKILEDENKELNFLLQQHMALEGVGNMHGQWI >RHN53484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1970445:1973496:-1 gene:gene28394 transcript:rna28394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MEVKSLNLINLLQSCITNKSLSSAKIIHARIFRFTLFSDTFLCNHLIDLYSKCNQITSAHHVFDKIPHKNIFSYNAILSAFCKSNNLQYACRLFLQMPERNTVSLNTIITTMVKNGYERQALDTYDLMMVYESVKPSHITFATVFSACGGLKDVNCGRRNHGLVLKVGFDSNIYVSNALLCMYTKCGLNEDAFRVFEGIVEPNEVTFTTMMGGLSQTNQVKEGLELFRLMLRKGICVDSVSLSTILVICAKGVSFGVCDDSRGLSTNAQGKQIHTLAVKHGFERDLHLCNSLLDMYAKTGDMDSAENVFENLDKHSVVSWNIMISGYGNRCDSEKALECFQRMQCCGYEPDDVTYINMLTACVKSGDVKVGRQIFDCMSSPSLISWNAILSGYNQSADHGEAVELFRKMQFQWQNPDRTTLAIILSSCAELGLLEAGKQVHAVSQKLGFYDDVYVASSLINVYSKCGKMEVSKHVFSKLSELDVVCWNSMIAGFSINSLEQDALACFKRMRQFGFFPSEFSFATIASSCAKLSSLFQGQQIHAQIIKDGYVDNVFVGSSLVEMYCKCGDVGAARYYFDMMPGKNIVTWNEMIHGYAHNGYGLEAVSLYKDMISSGEKPDDITFVAVLTACSHSALVDEGVEIFSSMLQKFEVVPKLDHYTCIIDCLGRVGRFNEVEVILDTMPYKDDTIVWEVVLSSCRVHANVSLAKRAAEELHRLNPRNSAPYVLLANMYSSMGRWDDAQVVRDLMSDNQIHKDPGYSRSEFKYDVQSIM >RHN79450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29058471:29060571:-1 gene:gene3241 transcript:rna3241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MAGNQLNGAYYGPSIPPPPQPKPRRHRNDDGCGICSCLCGCVRGCCGCIFNCILGLICKIITTIIVIIVILGFIFWLIVRPNVVKFTVNDATLTQFNFNETNTLHYDLALNVTVRNPNRRVGIYYDTIETMAFYKDVQFANQTLGRFFQHHKNTSFLNPVFKDKQVVILNEDQNKEFDKEKIDGVYGIDFKMLIEMRFKFGWFKIGHAKPKVRCDLKVPLKSHNGSSSLGNVFQATECDWDYKWRLWH >RHN52619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37137642:37142119:-1 gene:gene37313 transcript:rna37313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MASVPKAFTYDVFLSFRGEDTRYGFTGNLWKALHDKGVRTFMDDEELQKGEEITPSLIKAIENSNMAIVVLSKNYASSSFCLKELSKILEVGLFVLPVFYKVDPSDVRKLEKSYGEAMDKHKASSNLDKWKMSLHQVANLSGFHYKKRDGYEHEFIGKIVEQVLRNIKPVALPIGDYLVGLEHQKQHVTSLLNVGSDDAIHMVGIHGIGGIGKTTLALEVYNSIVCQFQGSCFLEKVRENSDKNGLIYLQKILLSQIFGEKNIELTSVGQGISMLRQRLHQKKILLLLDDVDNLEQLEAIAGRSVWFGPGSRVIITTRDKRLLTRHEIEITYEVNGLNDEDAFDLIRWKALKNKYSPSYKDILFVTKYGRELMDMNDKVFSGYVHVLKRAVAYASGLPLALEVIGSHFFNKTIEECKCALDRYERVPDKKIQTTLQLSFNALQEEEKSVFLDIACCFKGWKLKRVEEILHAHHGDIMKDHINALVEKSLIKVSESGNLTLHDLVEDMGKEIVRQESPENPGKRSRLWSSKDIIRVLEENTGTSKIEIIYFDRWIRVEWDGEAFKKMENLKTLIFSNDVFFSKNPKHLPNSLRVLECRYHKYHSSDFHVHDDRCHFFIHPPSNPFEWKGFFTKKFENMRVLNLDHSEGLAEIPNISGLPNLEEFSIQNGEKVIAIDKSIGFLGKLKIFRIISCAEIRSVPPLSLASLEEIEFSHCYSLESFPLMVNRFLGKLKILRVINCTKIKIIPSLILPSLEELDLSDCTGLESFPPLVDGFGDKLKTMSVRGCINIRSIPTLMLASLEELDLSDCISLESFPIVEDGFLGKLKTLLLKNCHNLKSIPPLMLDSLETLDLSNCYNLESFPLVVDGFLGKLKTLLVGSCHKLRSIPPLKLDSLEKLDLSYCCSLESFLSVEDGLLDKLKFLNIECCVMLRNIPWLKLTSLEHFNLSCCYSLDLESFPDILGEMRNIPGLLLDETTIEELPFPFQNLTQLQTFHPCNCEYVYVPSSMSKLAEFTIMNERMSKVAEFTIQNEEKVYAIQSAHVKYICIRDCKLSDEYLSLNLMLFANVKELHLTNIQFTVLPKSIEKCHFLWKLVLDDCKDLQEIKGNPPSLKMLSALNCISLTSSCKSILVKQELHEDGNTWFRLPQTKIPEWFDHQSEAGLSISFWFLNKFPAIALCVVSPLTWYRSQHCVRVVINGDTFFYTHGSKIGAKSQADTYHLHLFHMQTENFNDNMDKSLLENKWNHAKVYFGFKFHKSGIHVLKAKSNMKDIRFSDPGS >RHN72763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10515955:10516746:-1 gene:gene8517 transcript:rna8517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MARFYSMPLLLSSSAFHLRHYSSVESAERLRNIWISGLTNPAKVTWEERFMLYTGKIEELNEARRVFERKQKEHRDFICRERRKCSELIKCTFCLRRKLSRLFLQKKVRQIISETTFKFYGGRYHFLQLETLQGNNIIPFLLALFMEWYSVIDTPGRGVDDFTTEVKRALHAFDSGAIHVLCIVGGVQSHSIAIDEQMLRYKLPRLVFINNLDHKGANPWEVLNQVKFRLMHWSLGGHRIICC >RHN64659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60795405:60801823:1 gene:gene27495 transcript:rna27495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle transport protein, Use1 MVISKTEVNLKRLLAAAPQQQNQAKLVHYVATLREQLEQLAEEKTPEGLPRISKATLNDYSEKTEAIASKLVHVSDIEVSEDTERNVKENPSEIEDKMPMSPCSGLRRRPVAASSTEDRAHEPDETDHLSSVKLDAAGHAHIEKHRKLQDDLTDEMVVLAKQLKESSLMMSQSVKNTEKILDSTEEAIEHSLASTGRVNVRANTIYSESSKTSCLTWLVMFVMTCVFVMVILLIRVT >RHN72211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5835139:5835720:1 gene:gene7897 transcript:rna7897 gene_biotype:protein_coding transcript_biotype:protein_coding MATHINLLFVTIAMLCLASVTFAEVDLTGSGEVSGECFPFPTCPNDTFQCSLHCLNKGYQHRGDCAFGKKLCCCNPNI >RHN57264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37674296:37675177:-1 gene:gene32786 transcript:rna32786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine rich protein MDSKKAILILGLLAMVLLISSEVSARDLTETTSDAKKEVVEKTNEVNDAKYGGGYNGGGYNHGGGYNGGGYNHGGGGYNNGGGYNHGGGGYNNGGGYNHGGGGYNGGGYNHGGGGYNGGGYNHGGGGYNHGGGGCQYHCHGRCCSHAEFVAMQAKDNTQN >RHN76821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1548995:1554023:-1 gene:gene200 transcript:rna200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSCDSNSSTSVNNMDDIQLNIVWDDVVCPICLDIPHNCVLLKCSSYDKGCRALVCDTDQSHSNCLDRFKVACGVPSSSVSDATSVEINEPVVHEDGQSNLTCPLCRGQVSGWIVVDKARTHLDDKKRCCDEVKCKFMGSYLELQNHAQIEHPHACPSKIDPARVLDWENFQQSSEIIDVLSTIHSEIPRGMVLGDYVIEYGDDDGRDEYDDFPGDDGNWWTSCILYQVFDNFRSSRNRRRTRNSDTQRANRRLSYDTSNSDEGSIASGDYGDVLVDEIEFEVVNTSGSSRRNSNYRRSRRRQSRFQDI >RHN49823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1473482:1473904:1 gene:gene34045 transcript:rna34045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MSTINYVPVLLLLPLLFGLCNASFVTIHILNILSDDVLLFCTSQPGINAHLHPQNPFYWSFPAETSENCFTDWHELRAPFSAYDSHYDQGHPNIYWMVKQDGLYHSWDNKSFVKKVGWN >RHN82551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54692639:54697301:-1 gene:gene6722 transcript:rna6722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mRNA splicing factor SYF2 MSDDRDVHPDCRNASNPFHECTDYCFRVIAQAKLTQSEVSQASGSSHHEQAIPDELSPDEGMHDDGPKPDENSDSEPDQPAPENNEDGSFPKLSARQKKWMELRAKMQEAKKRNQIEMANEKKRMEAPTESRGVSKQKWLDDRKKKIGKLLDANGLDMTKAYMLDTQEAAEEKYKKWEKDPAPFGWDVFNQRTLYNAYKKRTKNIEVDVEEYNRMKEADPEFYRDASSLQYGKAPKTSEDKIDRMVQELKDRDEKRRAFSRRRTFREEKDVDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >RHN49696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:363308:364901:-1 gene:gene33901 transcript:rna33901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MLSYTSIIYALFFFFLCIVFQIHRWRRCKYPILTDYPIFGMIIPLLWNLPRVHDFITQLLKKQHRNTCEFMGPWFTSMNYLVTSDPINLHHMLSKSFDNYVKGHEYHEIFEAFGDGIFNTDSETWKYNRSLFHSILKIRDFEMFQKKIIQNKLEKSLIPLLDHVQQQGSVVDLQDVFNRFTFDNICSVVLGCDPNCLSIDFPDVACEKAFNQIEEGIFYRHKVPKSVWKLQKWLQIGEEKNMTKACKTYDQFVYANIKSKREELSKCRKNNEMVDLLTTLMKEEKGSQVVMHDDKFLRDVAFNLFVAGRDTITSALTWLFYLIATHPLVEAKILEEIKENFGTINIEKKLGIDELKKLVYLHGAICEALRLFPPIPFERKQAIKGDILPSGHIVNPNTIILFFLYSMGRFEEIWGKDCLEFKPERWISERGGIVHTPSYKFISYNAGPRTCLGKDLSFIQIKMTTIAILSKYCVQVVDGHVPILSHSIVLLMKNGLKVRITKREI >RHN38879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2578607:2579184:1 gene:gene44854 transcript:rna44854 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSPLVPSLILVVLGILIYGSSMFSLFDMSLSFYQMASEAEEKSFTTLILVLLVLLVFVIIYFPYSFPLFSKSSYGTKRYANISSHDYDESGFGLGTLLLVLLFILLYNLL >RHN49420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54690900:54696422:1 gene:gene44247 transcript:rna44247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zein-binding domain-containing protein MVTTNEVSSSSSSRWQNFYTRITTSLASALLEWLLIIFLFISAIFSYVITKFANYCKLKTPCLFCSRLDHVLGKQKRGYYLDLICSLHKSEISELVFCRAHDKLVNIQGVCETCVLSSATVDKSNAETSRLLVGKSGEEEESGSVFDHDPLLGQHTVAGHCSCCSEKFQLDGHDQNLVFAKSIRSRAVDFDDSGVVGNDFYGKRTAKLSVSFRDARLRNDHADPLSRVGYTELNITSDTESEFEVPISDDDGISIPIHGKDDTKEDIRVPCEYMELCSVDSTEDLTSGKPGTSASVLEPLLSEPRVQVENKDICAIKTAEAIVESGNGLTELDWQQIERSDVCPSPSEPISFNDVPILSNITEVPVEVSKEKYDFRTDEEELTSERRPAMDREENIKSGNKLITSETGLEPTPVSIDTCQQNSNLLDLGDAYKLAVSNRGRQLSGMLAEHWLGKDSSRVNEDLKILLSQFSATRGTDMSFNDISPRLSMNSEEAKSSDVTNSTGMQILQKMISLERNESGLSLDGSIVSDIEGESPVDKLKRQVDHDRKLMNALYKELEEERNASAIAASQALAMITRLQEEKATLHMEALQHLRMMDEQSEYELEALQKANDLLAEKEKELEDLEAKVEFYRKKFPDDSMPENMVEKNSEMKVKDIGLDLSQCTFIENDESGPRKSNPNIYDKADLQLMSSEEKNIQSAQSSQMEFQDEKLYISERLKKLERQVYFFLNSHQSQDNWPNSENVVKEYPENSEKLDKNIPMEDSVSSFKLNSDAMVDDPSSKKPPVCKQNGELEYSGHNSSVLCGDNDLASTGRLVSDFIERLQALEADHSFLEHTINLLSDGGEGLKLLQEIADRLQQLRRIGIREIDQPVA >RHN65174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64707421:64709573:1 gene:gene28082 transcript:rna28082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zeaxanthin epoxidase MDVAEEVIGVGCITGDRIKGLVDGVSGLAVQGIDAFGEVHAMGLRVSPCLEDEFIRTHPKKTIDELVCLDEEGVFVVGGKVEGLVEGAEWWYSAYRCNRSVLAASGSYYCNGCVRHCKVKVKVSDGECKVILVLFDNDVSFIVKKSCAKLVADVKVSFKIKCVCQVK >RHN59132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7787278:7792095:1 gene:gene21122 transcript:rna21122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane protein insertion efficiency factor MATVVLPLNLNTTSSFQCSLPTPTQITFKHSSSRIQNYNFTSLKFKLKSTTLFVRASDPDSNPQTPQNAEVDNLGVQAALSVLRFYKREISPILPRSCRYVPTCSEYSMEAYKRYGFLKGTTLTVWRLCRCNPLGGSGYDPPRWFGENKPGEELDD >RHN53740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3733517:3734139:1 gene:gene28682 transcript:rna28682 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFIKSSMSFIAGTGLGVYIAQNYNVPNVKKLASMAVSVASHLEQAYRKPKPESNNKDDDVQDS >RHN73988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23160831:23163405:-1 gene:gene9905 transcript:rna9905 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKSYKFKEVDLVSLRELALKVKNQTGFRLRYGGLLTILRTNVEEKLVHTLVQFYDPNFCCFTFPDFQLVPTLEAYSHLLDSPIAKKTPFAGPGISLTPLVIAKDLYLKTSDVSNHLTTKSHIRGFTSKYLLEQANLKTTCQDTLEAILALLIYGIILFPNLYNFVDMNAIEIFHSKNPVPTLLADTYHAIHDPTLKGCGYILCCVPLLYRWFISHLPSSFHDNSENWSYSQWIMALTPNEVVWITPAA >RHN65068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63988530:63989402:-1 gene:gene27961 transcript:rna27961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dodecenoyl-CoA isomerase MILIDDLLCFIVSEILSPPIPTIAVVTGNASAVGYILGLSHDYVL >RHN41963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34370245:34371622:1 gene:gene48343 transcript:rna48343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multisite-specific tRNA:(cytosine-C(5))-methyltransferase MPLVLNKFSFNPHIFCISLQLNLLTNGFRLLKVGGSLVYSTCSLTVAQNEDVMEQFLKENITAGRIFILHFFTYIQNYFEWPVLNISCFLV >RHN59400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10287616:10293387:1 gene:gene21426 transcript:rna21426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MRKSIRISSIGLAQATEPPAVKNFSTRFSLTPFAKRVQSLTDEQRSAISRTGFGSLLLIPNHTLNKVFLTEVMEAWNSERHAFEIGSGEIGFSLLDVALILGIPVVGHRVELAEEQLFSELEEEYGASRAKRKVAMTSLEARLDSIGEDVSDDFVRSFLLFTIGTFLSSIDGKVDSRYLSFLGNLDDVSGFAWGAAVIEDLCQWLDKRKDNNVQYVGGCLIFLQTWSYEHFDIARPNLQDQDMTFPRVCRWDHSKSHPRQRGTSRFKDLHDDQIIWKLQPTSGELQLDIVKKAMGLLGGNEDKRDDSYSASTSSNVSDVDVEIQQSISSKIDREDEGSFENEVVEDTPTRLSTCDEEYIEKKINIENLIVEDTPSNSSIDGEVGREEELQVEKLVIEDSFTNLSIDEERGREEEMNAGNLITEDSFTNLSIDEEGGREEEMNEGNLITEDSFTNLSISKKGGREEGLNAENHIMKPENLIIGDKVGREPELVAETLIVDDTPPTFSSDYDDLRKENTELKVKISQQMEEIEVLRRENLSYTQLKKENDELKKELDICKRNLSCFADRIERDLMDLQTDAIE >RHN82307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52723175:52726919:1 gene:gene6463 transcript:rna6463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGDHFDLLVDRLLTESTLEAAIESRNRAMQAASSEVTSAAVDHSLLKMGIKCSGKLAECRICHDDDDDSNMETPCSCCGSLKYAHRRCIQRWCNEKGDTTCEICHQPFKPDYTAPPPLFQFGRIPMSFRGNWEISRRDLNSTHLVSMVPTDQNLINSNYDQYSTSSSGSLICCRSAAVIFMVLLILRHTLPLIISGNKDYSFPLFMVLLFRSAGIVVPIYFMVRAMALIQRHRRQHREHPSALVSSSDDEIEEAALQSQPHIMHLV >RHN54174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7562549:7565289:1 gene:gene29170 transcript:rna29170 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPAAKSSLRRLCPNIDKEDGLETVLEIPIPEEMFSNMGSNVTLRWQNMLTWMKAQTEDKLSSPTVSARLNELRFLLYLVGSPLIPLQVQLGHSVHRPVRDSSIEASTAKYIVQQYIAATGGQPALNVVESMCVMGQVRITASDFHHTGETIEVKKTSEESGGFVLWQKDPDLWCLELIVSGCKVSCGSNGKVSWRHSSNQQQPIAKGAPRPLRRFLQGLDPRATANLFLDAACIGEKIINDEECFILKLETSPAIRESQSGPNFEVIHHTIWGYFSQRSGLLVQFEDSRLLTMRTKDDNDIFWETSLESVIDDYKYVDGINVSHSGTTRVTVSRYGEQSANHKRELEERWKLEEVDFNIWGLTAESFLPPSNLGNT >RHN39045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3700449:3703657:-1 gene:gene45036 transcript:rna45036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative defensin, plant MLLSTTFTLGIISTSKFYTMFMFPYLTLFFIIFVLCVEVEARICARGSRTWLGPCTINSDCSTKCIKQEHATFGACGGFGLDCVCYMNC >RHN58690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3980170:3981298:-1 gene:gene20623 transcript:rna20623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MSSKHFLTLFFFLLISCNTLTSSTLEKEDTFDFVRPIDRKLLGLNKKEKLSHFKLYWHDIVSGKNPTSVAIVPPSSKVNSTTFFGLVSMIDNPLTLGPELSSKLVGRAQGFYASASQEEIGFLMTMNFAFIEGKYNGSTITILGRNHARNKVREMPVVGGSGLFRFARGYAEATTHWIDAKAGDACVEYNVHVFHY >RHN38644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:962832:963262:1 gene:gene44602 transcript:rna44602 gene_biotype:protein_coding transcript_biotype:protein_coding MFHELCRWFMPLNVLLTFIIGAALGWLVVKLMRVPHHLQGLVLGCCAAGNPFGDADICRRNRLAYASLSMAVRYLINAYLN >RHN81738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48589681:48591972:-1 gene:gene5823 transcript:rna5823 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDTESFDDKIEDKFHGSDSDSFSDSEDDHNINNRPPIPLAKSNTVYRLFGRDRPVHKVLGGGKPADILLWRNKKCTAIALGAGTALWVFFELMQYNLITLVCHLMILALAALFLWSNASVFIHKSPLQIPHVVIPQECVFEAASVLRIEINQVFAILREIGTGRDIKKFLTVIAGLWFISVIGSCFNFLTLFYIFYVSLFTLPLVYEKNEDQVDALAEKAMIEIKKQYAVLDAKVLSQIPIAGFKKD >RHN72027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4500931:4503071:-1 gene:gene7694 transcript:rna7694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative periplasmic binding protein-like I MLTLLGEALQDVDSMIEHCLILPLISSLHDPGELISKEMIKLTQTQSRVFIVLQSSLEMEIHVFKEASKVGLVDKESVWMIPESIANLLDSVNKSAISYMEGALGIKTYYSERSREYKEFKAQFQRTFWSKNPEEDNRYPGFYALQAYDSINIVTQAFNRMTSRNNSSPKFLLSEIQSSNFIGLSGHIQLEAGQVMQKNLVLRIVNVAGKSYKELCFWTEQHGFTTINHAGQGGNKYVKVEYGQNGKPDKYSGFCIDIFEHVLNHLGYGLPRPYRYYPINGTYNDMVQLVYNKTYDAFVGDTAIIEERLRYVDFTLPYAESGLSMIVPLKTEDSAWMFMKPFTWELWLGTGAILIYTMCVVWYLERVPNPEFHGNWKLQLSTALWFTFSSLFFAHRENMHSSLTRVVMVSWLFLVLILTSSYTASLSSMLTFKQLRADVTDIQRLKDNNKKVGCDGDSFVRTFLEKVKKFKPENIISVYEYKYDDAFANNSIAAAFLEIPYEKVFIDEYCKKYTGSTPSIRFGGLGFVSDDTV >RHN60099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20289409:20290246:-1 gene:gene22321 transcript:rna22321 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFLFETIHQTLSESIQTSFESYQSPPGLFESFQLSLDTDHSSSYYSSSYFSPFLLSYMV >RHN64895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62754970:62758305:-1 gene:gene27767 transcript:rna27767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor IF5A MSDEEHHFEPAADAGASKTYPQQAGTIRKNGYIVIKSRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDNGSTKDDLKLPTDDSLLTQIKDGFADGKDLVVSVMSAMGEEQICALKDIGPK >RHN76714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:642349:646333:-1 gene:gene84 transcript:rna84 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABI family protein MGKIATQPLPRMASNYDEVFMHQTLLFDDSLTDLKNLRTQLYSAAEYFELSYTNDDQKQILVETLKDYAVKALINTVDHLGSVAYKVSDLLDEKVTEVFGEDLRLSCIEQRIKTCQGFMDHEGHTQQSLVISTPKHHKRYILPVGETLHGTNSTKSKYIGCHLDDEDDWHHFRNAVRATIRETPTSTSSKGNSPSPSLQPQRVGAFSFTSPNMAKKDLEKRTVSPHRFPLSRTGSMSSRSTTPKTGRSTTPNSSNRATTSPSNARVRYPSEPRKSASMRLSSDVNNIRDIDQHPSKSKRLLKSLLSRRKSKKDDTLYTYLDEY >RHN74128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28815871:28816990:1 gene:gene10121 transcript:rna10121 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIKNFNLRNTCVYPLVVLFFHYPFIFITVPHLQKNVVLLPHHRRRSHPRRHLCETCTWLFLFMTVVPLTLASFYPWLSFLLSLYIMFSRWKESHG >RHN50069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3803380:3809998:1 gene:gene34319 transcript:rna34319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MGGQRERSNYGKRPRSQSDYDGGGNKRRNGGDHDRDREQFVINKEDTVFRYLCPGRKIGSIIGRGGEIVKQLRVETKAKIRIGETVPGCDERVVTVYSSSDETNTVDDGDKLVSPAEDALFKIHDRVVAEDLHSDQEEEGGPQVNAKLLVPSDQIGCVLGKGGQIVQNLRSETGAQIRILKDDHVPACALRSDELVQISGDAAVVKKALHQIASRLHHNPSRTQHLLGSAVPSVYPSGGSLMGPTAGPPIVGMAPLVGPYGGYKSDTGDWPPRSMYSAPRDEISSSKEFSVRLVCPTGNLGGVIGKGGGIINQIRQDSGATIKVDSSAKGDDCLITISTKEFFEETFSPTVEAAVLLQPRCSEKSERDSGIISFTTRLLVSSSRIGCLIGKGGSIITEMRRLTKSNIRILSKENLPKIASDDDEMVQISGDLDVAKDALVQVLTRLRANLFDREGAVPGFLPVLPYIPAPVDGPDVLNYESRDGKRHGRGHSYSSGYGGSSDLGPVDTYGSYASSQLAGGSGYGDYESYSLGRNSTVWLPSQNNVSRRRNHAY >RHN67927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31529250:31532235:1 gene:gene16185 transcript:rna16185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNISKMKSVFILSIAPRMLLETLTISKCDELKHIIIDTGYHNTGGNNWGTVFPNLRSVEVGDCEQLEYIIGQYTDDHQNHTEIHLRLPALECLSLWNLPSLVGMSRKQYQTTFPPLEELELIECSQFANIKSIGDFITHHSVIRSVDDRIIEELSGNVDHFLALKKLVVYNNSEVESIVCLNEINEQKMNLALKVIDLDVLPMMTCLFVGPKISISLQNLKELRIMRCEKLKIIFSTCIIRCLPQLHYIRVEECKELKHIIEDDLENKKSSNFMSTKTCFQKLKTLVVAKCNKLKYVFPISVYKELPELNYLIIREADELEEIFVSEGDDHKVEIPYLRFVVFENLPSLCHAQGIQFEAVTYRFIQNCQKLSLASATTAILESDLYGLDINIYDWELKDYLRALFRQLQKETKGHNNGNENPENSKGFAAGVEVKASSEHKLTSPKKTKETPKTEHELVENVPDLEIPTNSKELMNEQTMDQQRLVGETDTAVKPFQVSEISVEEGTTSANAKRTTASSQCLKETENQSIQGGPTSETRNEPSIQLVSDLDGSQETTQTNDNQGEDLLDRFSFLICYLIALLTLNLIVGSIVMKVRLNREEQFPMVFYSFILFSINISMLK >RHN39601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8596490:8601713:1 gene:gene45640 transcript:rna45640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor 25 MSGRLVKKILNEQHQLSQQQFIKEEEEEDDDNEQEEGSTAPSTINPFDLLNDNGSEPENQGDESADETSVRDNDKERTSSLKNSAQVSTSNPKSKKKKNKKKKSKDNAVSGKKGDEKELDLILEDLALNANSSSGQHVSTEGKAVNAKDKSRAVKEDAVSILQVDPKHLSAENELIRIFGSKVVKSFENQNNNQPSSSRQMRGVRRVRHNLKKTVLVTPANTWLPCDDSLSMEFLEMKNGYYYFRYVHSPSYSQYQKSFEAAKAINDINGVASILQHRPYHIDSLLTMAEYFKVVGEQQMSGDTIARCLYALECAWHPTFTPLQGNCQLKYKHDTNKPIFTALFTHMKNLDRRGCHRSALEVCKLLLSLDSDDPMGAIFCIDYFSLRSEEYAWLEKFSEAYKSDSSIWLLPNFSFSLAICRFYLERAASEDACVDSKKSSSSDLMTQALMLHPSVIKKLVTKVPLKDRAWTDILKHAFFRSDQTGIPSQDHLINIYVERNYLIWRLPDLQKLLIGAAKQVMETLESNKSEVNDWSCVRKEAFSSEKNEYGHLLVSDFSDSVTAIPQENLQQFMGIPRAGEAMLDENQFANQQGNGHAPRGVANRNALAVLFESMLPWVTYEEGGPDVNQPGDGEQDNQ >RHN45769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25429500:25429781:1 gene:gene40166 transcript:rna40166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S12/S23 MTYLSTFPLLPLKKPNSALRKVARVRLTSGFEIIAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYHIVRGTRDALGVKDCQQGRSKYGAKKPK >RHN39629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8867168:8870258:-1 gene:gene45670 transcript:rna45670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation efflux protein MMDAHSSQHGQIIEINADLPDAGRKICCESPCGFSDAGSISKDSKERSTSMRKLMMAVILCVIFMTVELVGGLKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPRQSYGFFRIEILGALVSIQLIWLLAGIIVYEAIDRLIAGPQNVDGFLMFSVAAFGLVVNIIMAVLLGHDHGHGHGHDHGHGHHGHSHGLTVSTHDLTKDEHHHHTHEDHIHHHAHEGVTEPLLGESKEKSKKRNINVQGAYLHVLGDSIQSIGVMIGGAVIWYKPEWKIVDLICTLFFSAIVLGTTINMLRNILEVLMESTPREIDATKLERGILEMEEVVAVHELHIWAITVGKILLACHVKINRDADADIVLDRVVDYIKRVYNISHVTIQIER >RHN80605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39358007:39360792:1 gene:gene4547 transcript:rna4547 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLFEGLPPPSSITQSQPQLPILSVSTNNNTESSSLLSAPKPILKSSLKRSNPTQSDNSQAAAPKKSLKFKTSTDASETQVIDAMEKISSHIKNPAKFSKAAKLAIQLIEAGSVKSGVSDYFFAILEAAMLSPVSCTDPSVRADYHSLFTAAQNAKEHLNKKQKNQLATWTISAVVANDLYTDDSFVFSKAAGQIKEAISNLPVATEEDDTEEAISLNDGTVMADEGGQTSPNEENNALEEADPFGLDSLIPGSTKKGEKFKGKNDAAMKIRKEEEEETKRFLQLQRKALITCLEIAARRYKTPWCQTVIDILVKHAVDNVARFTAHQRDAVRKLWASILEQQTRRKQGKSVNGKLDVNGFEWLQQKYSTEKISIRHSVGGSGDRRATQWLG >RHN67036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23163093:23163518:1 gene:gene15168 transcript:rna15168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MVTVNKPLELPIFLKPIANSIHELNLNQFNLNLNSIHEAKECPFKINFNGWHSPQEKWVKWVDELKPKYESVWKKARIFEPIMSIKSCIIKNQDLVCGIVEKWCCETNTFVFLFGEATITLEDVIVLGGYSLFGHPVFHPT >RHN47247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38295665:38296195:-1 gene:gene41822 transcript:rna41822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase MLILEMIGGRKNYDTGGSCTSEMYFPDWIYKDLEQGNNNLVNYLENSEEENDMVRKITMVSLWCIQTNPSDRPSMSKVTEMLQGPLQSVPYPPKPFLYSPKIPSVQTSYVSSSNML >RHN71063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56204113:56206636:1 gene:gene19706 transcript:rna19706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative voltage-dependent anion channel MKINMECSQSNIELVIIEKNITNHNNQKSTSLMFTKRSLIRSITCILKKLHAGYFRISLSFGGQALLWKTLIDPTNDTSKSRHVLSMLPSSVFIVLWSMSLFILALLSLLYLLRCLFFFKMVKEEFLHHVGVNYLFAPWISWFLLLQSAPFIAPKTITYLILWWVFTVPVVVLDVKIYGQWFTKGKRSFLTTVANPTSHLSVIGNLIGAQAAAEMGWKESAVCLFSLGMVHYLVLFVTLYQRLSGGDRLPALLRPVFFLFFAAPGVASLAWESIVGDFDTLSKMLFFLSLFLFMSLVCRPALFKRSMRRFNVAWWAYSFPVTVLAMASTNYAQQVKGTVSHILMLILLALSVLVSFSLTLFTLLNSKMLLPDNDPIASILIV >RHN38664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1158900:1159172:1 gene:gene44623 transcript:rna44623 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLKSFQESVQMRTKHVEPLPRQLSLREICSPQATTMSKLREDGFGTRLKGLNLPQGVIILIWSYVRSLWTLCKESLETYLGLDFGPN >RHN66862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20438951:20439307:1 gene:gene14972 transcript:rna14972 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta' MSSDINELYRRVIYQNSTLIDLLTTSRSTPGELVMCQEKLVQEAVDTLLDNGIHGQPMRDGHNNVYKSFSDIIEGKEGRFRETLLGKRVDYSGRFVIVVGPSLSLHRCGLPREIANTG >RHN53057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41594560:41595501:1 gene:gene37807 transcript:rna37807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MNWASLTEDLQIEILVRLPRKSLMRFKSVQRSWNILFKTYSFVNKRMLHNSQNGKAHNLTILSLGKLVAEMSHDDVLAEIKSGMKKAKCAFDIKTEMRFLEDVLICKAELEKELAAEHQKVNFEFQKLRVALQMRIDKIRKLTATTDEAAGDKSVGVLE >RHN43516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46453624:46453994:-1 gene:gene50109 transcript:rna50109 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLELKRLVFSLVFGAYEIKVTFSINVIFNVFATCGFKVSPLTKAPWIINKGL >RHN82443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53860764:53865747:1 gene:gene6610 transcript:rna6610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UV excision repair protein Rad23 MKVFVKTLKGTHFEIEVTPQDTISAVKKNIETVQGVDVYPAAQQMLIHQGKVLKDGTTLEENKVAENSFIVIMLSKSKPASGEGSTTSNAPPAKAPQTSAAPTSTPPVSVSPQAPAATAAPPASVAAPSPAPAPAPISSATATEGSDVYGQAASNLVAGSNLEGTVQQILDMGGGSWDRDTVIRALRAAFNNPERAVEYLYSGIPEQAEAPAVARVPASAQPVNPEAAAAAPQAAQPAPVTSSGPNANPLDLFPQGLPNIGAGAAGAGSLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIRDHQADFLRLINEPMEGGEGNNLLGQMAAGMPQSVTVTPEERQAIERLEAMGFDRAIVLEVFFACNKNEELAANYLLDHMHEFDEQ >RHN43581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47013885:47018411:1 gene:gene50185 transcript:rna50185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Snf7 family protein MSKTLLTRLLGKPKPKVSAQETIAKLNETLEMLEKKETVLLKKAGAEVEKAKEFTRSKNKRAAIQCLKRKRLYDQQVEQLGNFQLRIHDQMIMLEGAQATTETVDALRTGAFAMKRMQTEFNLDDVDNIMDEITEQTEKMRQVQEALSAPIGPADYDEDELEAELEELEGAELEEQLLQPTITTPAAPVHVPAGQQHTHPVSVKPTAEEDELAKLQAEMAL >RHN73015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12681336:12682864:-1 gene:gene8785 transcript:rna8785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 2-beta-dioxygenase MMIVHDSSSVSAYTEAVKELACEILELMAEGLGVPDTSIFSTFITQLDNDSLLRFNHYPPKDCKDRDNSNSYNVGFGEHSDPQILTILRSNDVAGLQISLQHGVWNPVTPDPAAFCVNVGDLLEVMTNGRFVSVRHRAVTNSYKSRMSVAYFGAPPLDACIVAPSVMVTPNRPSLLFKPFTWAEYKKVTYSLRLGDSRIDLFKNCTQIE >RHN48631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49012556:49013787:1 gene:gene43370 transcript:rna43370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ammonia-lyase, Serine racemase MTYQEENYILSVKIFKRGERYDGKVNFSEANIRSRDEVANILRQETGAIFIPSSNDGRILSGQGTISLELLEQAPQIDTLVVPISGGGMASGVALAAKAINPSIRILAAEPKGADDAAQSKAAGRIITLPEVNTIADGLRACLGNFTWPVVRDLVDDIITVEDSEIVKAMKLCFEILKIVVEPSGAIGLAAVLSKTFQKNDAWKDSKHIGIVISGGNVDMAVLWNYLNKSK >RHN66277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10278273:10279457:1 gene:gene14227 transcript:rna14227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MDKVSILGDAVDYLKELKQQINDLQSEIKSSSHKSFMPLPMTSTMSTLPVQLKEQLFQNNVSSLKNQPAKVFIYFKTKFLFLKRIKQFKRRVKMKEKTTQKYLFTLYIGIK >RHN77120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4245492:4250268:1 gene:gene544 transcript:rna544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative feruloyl esterase MADFVTQRRITVRNHHGENLVGILHNAFSTALVIVCHGFQSSKERIPMVNIAAALEKNGISAFRFDFAGNGESEGSFQYGNYYREVEDLRAIVQHFREEKYAVTAIVGHSKGGNVVLLYASKYKDIDTVVNISGRFNLARGMESRLGENFVQRIKQDGFIDVKNKRGKIVFRVTEESLMDRLNTITHLACLSIPENCRVLTVHGSMDETVPAEDALEFAKFILNHELHIIEGADHEYTYHQDELTSLVLGFIKFHNDKENNTSKQTRFGRVDKLIHSRF >RHN65478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2533030:2533829:1 gene:gene13321 transcript:rna13321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein argonaute MEYSLNNEEMRKTLNSNHVPMARRGLGSKGAKIQLLANHFRVGLSKNDGYFYHYNVALCYQDGHAVEVKGVGRKVIDKLCETYDVLRNKNFAYDGEKSLFTLRSLHHKKQEFIVVLEEVSSTRVGSNPSEATKRMKHQSRSKTFKVEISHVSKIPLQEITDALRGQESEHYQEAFNFLDTILRQNAAKQYVQINKS >RHN82613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55194234:55196174:1 gene:gene6788 transcript:rna6788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling &Metalloenzymes JmjC family MLAQSVIHPIHDQTFYLTREHKRKLKEEYGIEPWTFIQKLGDAVFIPAGCPHQVRNLKSCIKVGLDFVSPENVGECFRLTEEFRKLPINHRSTKDSLEVKKMTIYAMLDVVNKLEKTKVTDCKLPLPLVMNLIYLCFLIYCFALKGIGRLYLMYTFFCPIL >RHN39772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10400755:10401177:1 gene:gene45834 transcript:rna45834 gene_biotype:protein_coding transcript_biotype:protein_coding MILIVAHHLTGNPTVVDILSIVHLLLDVDVSHCDDCIKETQVLYHRSDIDHCCDNCILSLVLLLFVDVHIVIGAATTWIMHTAFVQVGVKAKSDLCNINVDVNLNLMLTATSDLTSTLIISLSLMIRSALTSSFRRPPLP >RHN75468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42512934:42513554:1 gene:gene11684 transcript:rna11684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MSSSTASKLLTLIISAFAIVQITLAGDPDILTDFIAPNGTQVDGNFFTYTGFRALTVPNIQPSFFVALKASKKEFPALDGQSVSYAALMYPPGTINPPHTHPRSAELLFLVKGSLNVGFVDTTNKLYTQTLQPGDMFVFPKGLVHFQFNSNTTKSALAFSAFGSANAGTISIASTLFNSTIDDNVLALAFKTDVATVQTLKKGFSS >RHN41934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34209649:34213314:1 gene:gene48310 transcript:rna48310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MACNYYSHDSVHSPLVLLLLLMVLVLSLSSHSCYSLGKFGLDIHHRFSDPVTEILGIGNDELLPHKGTPQYYAAMVHRDRVFHGRRLADDRDTPITFAAGNETHQIAAFGFLHFANVSVGTPPLWFLVALDTGSDLFWLPCNCTSCVRGLKTQNGKVIDLNIYELDKSSTRKNVPCNSNMCKQTQCHSSGSSCRYEVEYLSNDTSSSGFLVEDVLHLITDNDQTKDIDTQITIGCGQVQTGVFLNGAAPNGLFGLGMENVSVPSILAQKGLISDSFSMCFGSDGSGRITFGDTGSSDQGKTPFNLRESHPTYNVTITQIIVGGYAADHEFHAIFDSGTSFTYLNDPAYTLISEKFNSLVKANRHSPLSPDSDLPFEYCYDMSPDQTIEVPFLNLTMKGGDDYYVTDPIVPVSSEVEGNLLCLGIQKSDNLNIIGQNFMTGYRIVFDRENMNLGWKESNCTEEVLSIPTNKSHSPAISPAIAVNPVARSDPSSNPGRFSSNQSFRKKPTFAFMVILFTLRAIF >RHN59056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7138420:7148982:1 gene:gene21040 transcript:rna21040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MSSFIPSSANSKSERYNVYLSFCHQDAASFATGIYTALNRKSRFHVFWDDEKLGSGDRGIPTSILNVIEDCKVAVIVFSRNYVNSRSCLQEFEKITECCLTTSGLIVLPVLYDGLNHYSSFGTVEETFHDFVDRIWIKETTSEEKDKFMSWVAAVTKATTYSGVIDFADSYGREYVVDVVESVTRTVNKKRDLFGAFYTASVKSGVQDVIHLLKQSRSPLLIGIWGMAGIGKSTIAEAIYNQIGPYFEHKYLLDDVREVWKRDGGLVSFDGPVSLQEKLLSYRGIPTEIKIGTIESGKNILKEKLHNKRVLLVLDNVDKLEQLKSLCGNRDWFGPGSKIIITTRDRHLLKEHRVDHIYKVKELDESESIELFNWAAFNQATTSREGFGELSRQLVAYSRGLPLALKALGGFLHGKEVLEWKRVLRSLETFSFPDQEILQVLETSFADLSGEEKHIFLDIACFFNRMDQNDVLHTLNRSTQCSALLISLLEDKSLVTIDENNKLEMHGLLQAMARDIIKKTDQPKLYDVFLSFRGEDSRAKFMSHIFSSLQNAGIHTFRDDDQIQRGDQISVSLLRAIGQSRISIIILSTNYANSRWCMLELVKIMEIGRTRGLVVLPVFYEVDPSEVRHQEGQFGKSFEDLISTISVDESTKSNWKRDLIDIGGIAGFVLKDSRNESADIKNIVEHITHLLDRTELFVAEHPVGVQPRVEAATKLLNIQYSEDVSLLGIWGMGGTGKTTIAKAIYNQIGNKFEGRSFLLNIREFWETNINLVSLQQQLLCDVYKTTTFKIRDIESGKNTLKERLSQNRVLIVLDDVNELDQLKALCGSREWFGPGSRIIITTRDMHLLRSCRVDEVYTIEEMGDSESLELFSWHAFNQPSPTKDFATHSTDVIAYSGRLPLALQVLGSYLSDCEISEWQKMLEKLKCIPHDQVQKKLKVSFDGLKDVTEKQIFLDIACFFIGMDRNDAIQILNGSGFFADIGIKVLVERSLVTVDNRNKLRMHDLLRDMGRQIVYEESPFDPETRSRLWRREEVFDIISKHKGTEAVKGLALEFPRKNTVSLNTKAFKKMNKLRLLQLSGVQLNGDFKYLSGELRWLYWHGFPSTYTPAEFQQGSLVSIELKYSSLKQIWKKSQLLENLKILNLSHSWDLIETPDFSFMPNLEKLVLKDCPRLTAVSRSIGSLHKLLLINLTDCTSLQKLPRSIYKLKSLETLILSGCSKIDKLEEDLEQMESLKTLIADKTAITKVPFSIVRLRNIGYISLCGFEGFSRDVFPFLVRSWMSPSTNVTSLVQTSTSKSSLGTFKNLLKLRNIFVECGSKLQLTEDVARILDALKATICHKYEANPSATTSETSDMYATSIIDGQVRISGSNNYLKSLLIQMGTKCQVSNITEDENFQTAEASWDSFVLPCDNNSDWQTFRCKGCCIMFDLPTMKGRNLKSMMLFVVYYSSPESIASEGCQGVLIINYTKATIQAYKRDTLTSFEDEDWQSITSNLEPSNKVEVMVVFEEGFVVQHTEVSLLYDEPLDKEMEHCYVVEEDDVIVSVYDDKNVSVSSGDNIDVPEDYNAIGPIQDKNISEDKHWHAVDKNAVVPGDDHSMAANKNYAVSGGGVVPADKNVTFYAEDDNVSDNKNGDAVEYDANGSCIADKNVVSGGDKNRYLRIFTKLPSLVRAVLISQPFWSSLVGILVWITYRHFKKRSPNLFTRYTRRCKWLVDCAQRLATYKKGSKAQCHPVT >RHN58076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43551919:43555787:1 gene:gene33683 transcript:rna33683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MGEEAIIDKAPLIDHCTSSTDVLVVEPLRRTGNVWSAVAHIITGVIGAGVLSLAWSVAQLGWIAGPLCILLFAATTFISTYLLSDCYRFHDPEHGSIRCSSYMDAVKLYLAIRGKVCGVLVHVSLYGTTCAYVITSATSIRAILKSNCYHKEGHEAHCKYGDTIYMILFGLVQVIMSFIPDLHNMALLSVVAAVMSFTYSSIGLGLGVTNVIENGRIMGSVAGVPASNIADKLWLVFQALGDIAFAYPYTTILLEIQDTLESPPAENKTMKKASMIAILITTFFYLCCACFGYAAFGNQTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQIYSQPTYTAADRWCSRKYPNSGFVNNFYQLKLPLLPAFQLNMLRICFRTAYVISTTGLAIMFPYFNEVLGVLGALGFWPLTIYFPVEMYFVQNKIEAWSTKWIVLRTFSFVCLLVTVVSLVGSLEGIISEKLS >RHN50731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9872426:9873215:-1 gene:gene35055 transcript:rna35055 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQLQALCKEFEILHMKAGESVDEYFARTLTIANRMRIHGEKMEDVRIIEKIICSMTSKFDYVVYSIEESNDIDTMSVDELQCSLLMHEQHMSNHVEEEQALKVTHAGNFSGRSGRR >RHN64286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57913461:57913965:1 gene:gene27089 transcript:rna27089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sec1-like protein MNHSALHVAPVIHEWTYDAMIHDLLDMDGNKYIHEVASKTGGSPEKKEVLLEEHDAVWLELRHSYIADVRLIQSLSAYISFVSNQISMLFYQLKFQASERLHDKFTNFVQKNKAAQIHQSGRYSLAPLAQLYTKLVSVL >RHN51706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23179881:23188110:1 gene:gene36207 transcript:rna36207 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKEDTSFHRVLVTKEIRKGVNAVKLMLGIMEDGEITISTL >RHN73833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20510258:20511892:1 gene:gene9710 transcript:rna9710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasaponin III rhamnosyltransferase MDSIVNHENGNNKPLHVVMIPWLAMGHMYPYFELAKILAQKGHTVTFINSPKNIDQMPKAPKAIQPFINLVKSPLPYIEQLHGEESTLKVPLDKNGHLKLAYDGFQDHVTEILKTSKPDWVFYDHAGDWVPSIAKSLNISCAHFNVVPSWNICFANPPKDQINNDRYSPPKWVPFKTNIHFKPYEMRRTISLFKNVSGGKTASFNFDKVYSSCDLILIRATRELEGQWLDYISDRYKVPVVPVGILPPSMQIRDNEEEENDPDWVKIKAWLDSKESSSVVYIGFGSELKLNQQDLTELAHGIEQSRLPFFWALKNLKEGTLELPEGFEERTKEYGIVWKTWAPQLKILAHGSIGGCMSHCGSGSVIEKLHFGHVLVTLPYLIDQCLFSRELEERKLAIEVPRNEQDGSFTRDSIAKTLRLAIVDEEGSIYRKNAKDMGKIFGSKDLHNEYIKGFIDALQKHRVHSNN >RHN58436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1708920:1709900:1 gene:gene20351 transcript:rna20351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKRKKTRNFLNFKQRQQLSRSQTKSAEVIGTPEFYLPDECWECVVKFLNDGHDNNSRSLKSFSAVSKQFLSITNHLRFSITIKEPTHRCLSRLFQRFPNLTSICLTHYYDNDTFCWLSSIASSIKMKYTKKKNSVDNSNSFVVIPQLKSLHLISNSRIRDESIEMIAALFPNLQLLNLTYCYNISEEGIFHVLKTCSDIRHLNLRRSLGKKLCGMNFEVPKLEVLNLSYTNVNNETLCVISMCCRGLLQLLLEGCFNVTEKGVKHVLEKCAQLREINLKMCGQVHDDVLASLIFSRPSLRKLSTPYDYQFSDREMELLSLQGCIVC >RHN57084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36331370:36338269:-1 gene:gene32580 transcript:rna32580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MSDALINGLAGAGGGIIAQLITYPLQTVNTRQQTDRDPKKGNKNLGTFQQMCQVVKQEGWERLYGGLAPSLVGTATSQGVYYYFYQIFRNRAEAAALENMRLGSGDGSVGMFSSLIVAALSGCVNVLLTNPIWLVVTRMQTHRKESRKTLPDPRLSGAIEQTSLSTVEPLAYGTSHVIQEVYDEAGVLGFWKGVLPTLVMVSNPSIQFMLYETLLAKLKKRRASSSVTALEIFLLGAVAKLGATVVTYPLLVVKARLQARQVNNGDKRHNYKGTQDAIIKMIRYEGFNGFYKGMGTKIVQSVLAAAVLFMVKEKLVEQTRSLLAKNVPNTLKPKVV >RHN47871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43182937:43190926:-1 gene:gene42520 transcript:rna42520 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSGVVSRQVLPACGSLCFCCPSLRTRSRQPVKRYKKLIADIFPRNQEEGPNDRKIGKLCDYVAKNPLRIPKIVTALEQRCYKELRNENIHSTRIVMCIYKKFLSSCKEQMPLFASSILSIIQALLDQTRQDEMRVIGCNILFDFVNNQVDGTYLFNLEGVIPKLCQLTQETGEDESARIRRSAGLKALSSMVRFMGEHSHISVEFDNIVSAVLENYEVPKENSESLDHEEQGTNIRNEDQIMLDVKKRNPSWSKVVNDKGEVNFAMEDDKNPSFWSGVCLHNMANLAKEGTTIRRVMESLFRYFDNGNLWSIKHGLACSVLKDILFLMDDSEKNTHVLLSMLIKHIDHKTVLKEPNMQLDIVEVATSLAQYAKVQPSVSIIGAVSDMMRHLRKTIHCSLDNSNLDTNWNKNFREVVDKCLVILANKVGEADPIFDVMAVMLENISTITMTSRTTVYAVYRTSEIVATLPNLSDQKKAFPEALFHQLLLAMVHPDHETRVVAHRIFSVILVPTAADPKALGVPRTLSKAVSFFSSSASIFQKLRSQKRSSSVRLSQYNKENVTPANNNVGIINRLKSSHSRVYSVNNPPLPNKMDNSDNQNLEVVTLKLSRHQISRLVSSIWAQSTSPENMPANYEAIAYTYSLVLLVSRTKKSFKELIIRSFQLAFSLWNISLKEGPLPPSRRRSLFILAISMIMFSSKAYNIVPLVHSTKEVLTEIKVDPFLHLVEDKLQAVSFALDNLAINYGSKEDDERALQTLSELLTSAHQTQESFASEILRSLDIFSKVELLSIKEKLLEEFSPDATSELGSQLTLNVPRKDASTIDDDFIYELFESQLKQSPRLSTEVPSLLSANQLLELVFDPSQPAAGRISVSTAFDTPYEHMADNCEVLMMGKREMSRLMSNVQKQECSTNPPLPNHNNESKNMDSSSHVDNQKVETPLFDDNFVELYQPTSVPVPNLCGVEYQDQPHLFQLPTSSPFDNFLKAAGC >RHN73558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17651871:17658394:1 gene:gene9383 transcript:rna9383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MTQASLSVSSSLTYDVFISFRGIDTRNNFTRDLYDSLDQNGIHTFFDEKQIQKGEQITPALFQAIQQSRIFIVVFSNNYASSTFCLNELALILDCSNTHGRLLLPVFYDVDPSQVRHQSGAYGEALKKQEERFCDDKDKVQKWRDALCQAANVSGWHFQHGSQSEYKFIGNIVEEVTKKINRTPLHVADNPVALESPVLEVASLLGIGSHEGANMVGIYGTGGVGKSTLARAVYNNQISDQFDGVCFLDDIRENAINHGLVQLQETLLSEILCEKDIRVGNVNRGISIIKRRLQRKKVLLVLDDVDKAKQIQVLAGGHDWFGSGSKIIITTRDKHLLAIHEILNIYEVKQLNHEKSLELFNWHAFRNRKMDPCYSDISNRAVSYAHGLPLALEVIGSHLFGKRLDVWKSALDKYERILHEDIHEVLKISYDDLDEDDKGIFLDIACFYNSDEMSYAKEMLYLHGFSAENGIQVLTDKSLIKIDGNGCVRMHDLVQDMGREIVRQESTLEPGKRSRLWSDDDIIHVLEENTGTDTVEVIIIDLYNDKEVQWSGEAFKKMKKLKILIIRSARFFRGPQKLPNSLRVLDWSGYPSQSLPIDFNPKKLNILSLHESYLISFKPIKVFESLSFLDFEGCKLLTELPSLSGLLNLGALCLDDCTNLITIHKSVGFLNKLVLLSTQRCNELEVLVPNINLPSLEILDMRGCSCLKSFPEVLGVMENIRDVYLDQTSIDKLPFSIRNLVGLRRLFLRECMSLTQLTDSIRILPKLEILTAYGCRGFQLFESKEKVGSEVFPKAMLVYKEGSAELLDMSSLNICPDNVIEVISTSILDGNVVLMRKGIAKGRGNWYRHESNESSLRFWFQNKFPRIALCCAVEPPVCKDNMLLDFNLSVLINGTKQFTSSCNYMFSAEKLTEQVLMCDLVCKVERSYLEHEWNQVDISYEFKYSMPCGSKRIMATQEMTTTRNPSWSFIYAYEEDNKVGIRFLSQFVEWVEQHRRNIAYYWFGSVLYTRSFSPLVEKERSIFPELKLKLFNLMVEEIEGPAIGIDLGTTYSCVGVCQHDRVEIIANNQGNRTTPSYVAFTDSDCLIGDTAKNQVASNPINTVFDAKRLIGRRFSDASVQRDMKLWPFKMISGPDEKPLIGVNNFGEDKQFAPEEISSMILWKMREIAEAYVGSAIRNAVVTVPVYFNDSQRQITKDAAVFAGLNVMDIIDEPTAAAIAYGFDMTSVGEKNVLIFDLGGGTFDVSLLTFEESDVEVKVISGDTHLGGEDFNNRMVNHFVQEFKRKNKKDISGNPRALMRLRTACEKAKRTLSFTVQTTIEIDCLFEGIDFCSPITRATFEKLNMDLFRKCMEQVEKCLRNARMDKTSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCNSINPDEAVACGAAVYAAILSGC >RHN69584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44607483:44611334:1 gene:gene18067 transcript:rna18067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MDKKLFLLFIFSAVLVCIEAEPLEDKQALLDFLHNINHSPHFNWDENSSVCQTWRGVTCNTDGSRVIAIRLPGAGLSGPIPPNTLNRLSALETVSLRSNGITGDFPDGFSELKNLTSLYLQSNKFSGPLPLDFSVWSNLSIVNFSNNSFNGSIPISISNLTHLYSLVLANNSLSGKIPDLNIPSLKEMNLANNNLSGVVPKSLLRFPSWVFSGNNLTSENSTLSPAFPMHPPYTLPPKKTKGLSKTALLGIIIGVCALGFAVIAVVMILCCYDYAAAGVKESVKSKKKDVSMKAESSASRDKNKIVFFEDCNLAFDLEDLLRASAEILGRGTFGTTYKAAIEDATTVAVKRLKEVTVGKREFEQQMELIGKIKHENVDALRAYYYSKDEKLVVSDYYQQGSVSSILHGNRGERRTPVDWDSRLRIAIGAARGIAHIHTQQGGKLVHGNIKASNIFLNSHGYGCVSDTGLAVLMSSVPSPGTRASGYRAPEVTDTRKAVHSSDVYSFGVLLLELLTGKSPIYSLEGEQNIHLVRWVNSVVREEWTAEVFDVELLRYSNIEEEMVEMLQIGMACAARMPDQRPKMSEVVRMVEGIRPENRPSSTESRSEVSTPTVYAT >RHN79087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22337123:22341425:1 gene:gene2788 transcript:rna2788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-formylglutathione hydrolase MAGGAVTELSSGKMFGGYNKRYKHYSNTLGCSMNFHIYFPNSDSNKSFPVLYWLSGLTCTDENFIFKSGAQRAASEHGVALIAPDTSPRGLNVEGEADSWDFGVGAGFYLNATQEKWKNWRMYDYVVKELPKLLSDSFPQLDTSKASIFGHSMGGHGALTIYLKNLDKYKSVSAFAPVANPTNCPWGQKAFTNYLGDNKSEWEDYDATHLVTKFPGVSASILIDQGEDDKFLHDQLLPGKFEEACKNANVPLLLRFQPGYDHSYYFIATFIDDHIRHHAQALRLN >RHN56290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29546298:29547567:1 gene:gene31664 transcript:rna31664 gene_biotype:protein_coding transcript_biotype:protein_coding MEETDSSSSTSLPNHKKTSFGTRLKISCLSFAVSIQETFRYAKAFFVGQAKTITAKNEKEASEAELEATKKQVEAADAAEHIKNRLNDPHS >RHN80410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37824913:37829752:-1 gene:gene4336 transcript:rna4336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rRNA-processing protein Efg1 MAHGGGVNKRRERVNPASNRRKTTTKKFRPPVSLKNQIRSAERMLRKNLPAEVREAQEQKLEALKKQQDIHTRLAVERKIFLRDKKIKFFERRKIERRIRRLEKLQRASSSQSASPDQLASLKQDLQYVMYFPKNEKYVPLFSGTDDAEIVDKRNGLRKQIEDRLSAAAASGKDLEETGSEDDGLLDLSDDDFFLAGSSSDEADADDELTDKSTREQASGKAVSGMSSDEKNQRHISARALMPPPRPSNKLSRFGSAGQNSSKQRSDISTSRYASNSKSSSDFRVREPSRSGTSSDFRVREPSRAGTSSDFRVREPSRSGTSHGSSLSSNSDAHKPRTGHGSSLSSNSDAHKPRRKRRPKKKKKQE >RHN68932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39718137:39719463:1 gene:gene17353 transcript:rna17353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MKGTRLISLFSKQHLRPSSHPQFRFFNSSSSSASSNKLFVGGLSWSVDEKSLKDAFSSFGDVTEVRIVYDKDSGRARGFGFVIFSNEDAAKSAKDAMDGKALLGRPLRINFALEKPRGIPVVVPRFTDNGHFNKH >RHN81050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42904942:42907646:1 gene:gene5044 transcript:rna5044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain, tetratricopeptide-like helical domain-containing protein MAVTTSFLNSTEKKQHWWLTNRKIVEKYIKDARTLIATQERNEIVSAISLLDSALAISPSSDQALEMKARSLLYLRRFKDVANMLQDYIPSLKMANDDSGSVSSSSDGSSQQLSREGVKLLSSSDSSAPDQSYFKCFSVSDLKKRVMAGLCKSYDNEGYWRYLVLGKACCHLGLMEDAMVLLQTGKRLASAAFRRESVCWSDDSFSLWSSPFSGDGALSKQSTTTPPKSPLSESETVNQLLAHIKFLLRRRAAAIAAMDAGLHSEAIRHFSKIVDGRRGAPQGFLAECYMHRASAFRSAGRIADSIADCNRTLSLDPTCIQALEARASILETIRCYQDCLHDLEHLKLLYNTILRDRKLAGPLWKRHNVRYNEIPGKLCTLTAKIQQLKQKLSCGETRNVDYYGLIGLRRGCARSELQRAHLLLSLKHKPEKAIGFIERCELADERDLESVKDRARMASMLLYRLLQKGYTNVMNTILEEEASAEKQKKMVLQLQQTNANVHVIQASTNAHVTQASTNAHVNVNVNVNAVVEVLQKKSREEMLEEKYAMSSPSIVNPTVFQGVFCRDLTVVGNLLSQRFSNRSIPVKYEALSC >RHN77840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9790952:9791302:-1 gene:gene1333 transcript:rna1333 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta MVLRYSRFVYMKLNIDTPENNTFLLPRDILTVADHLIGMKFGMGTLDDMNHLKNKCIRSVADLLQYQFGLALVRLENIIRGTISRAIRYKLIPTPQNLVTSTPLTTTYESFFGLHP >RHN54092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6856769:6858409:1 gene:gene29076 transcript:rna29076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MPTLRKRSRREIVENPPQSEIEIPMKDHKNKKSEYELSREERIRENRERMGKLGLFDISLSLKPNPPSRPTASKKPKSPVSLKPSRRSTRLQNVAPIRYTEEAINKRIYWKKKVKKTPSKLMPDSYTWLKYDRKQIKGSIRDYSECSTVNCPAKKYEDRARDDPTVVIVTYNGEHTHP >RHN79699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31349595:31349879:1 gene:gene3524 transcript:rna3524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MSWLSGCNFSGGTAFSIYNLCVNIQFLSAEVGGAKVTFTNRCVYTVWPGTLYLGSNYIQLSTTGFELEQGETKSVDLPYPLAYQFWARNRMLKQ >RHN61516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36089022:36093105:-1 gene:gene23989 transcript:rna23989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutaminyl-tRNA synthase (glutamine-hydrolyzing) MLHGIPVLIKDSIATFDKLNTTAGSYALLGSKVPRDAHVVSKLRDAGAIILGKTSLPEWYGIRSSKMLGQAWCPRGGFGLNPYVESESPCTSSFG >RHN39614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8690857:8691613:1 gene:gene45654 transcript:rna45654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MKKAEIKGEEENDTMMCDEGEPSRQMTPSFPPILHYVGFRFCPTGEELVNHYLRNKLLGNDAIVNNVIAEVDIRKFEPWELPGKYIKQTNKSPIIILAQKCDFYYCFCCFSARSVIKSDDQEWFFLCPIEYKYAKSKRFKRTTNNGFWKATGMDRNIRIRGTNEVIGIKKTLVYHENHVPGVKTNWIIHEYHDVTLEDSQDLIYFLSDRLF >RHN79369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28134652:28140914:1 gene:gene3151 transcript:rna3151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MEKNQPVFVKDAVLKLQLALLDGIQKEDQLFAAGSLISKSDYEDVVTERSITNLCGYPLCRNALPTDRPRKGRYRISLKEHKVYDLQETYMFCSSGCVINSKAFAGSLQDERCQVLDVEKLNNVLRLFGNLNLEPMENFGKDGELGFSDLKIQDKTETGTGEESLEQWAGPSNAIEGYVPKQRDNGSKASKKNDKKGSKANRGKSDDYKSLIGSELDFMSTIITQDEYSVSKVSSGQTDTTGDHQIKPPSILEKPKRVGNKVVRKDDNIQDISSSFESTVNISTSTKEKEIANSCKDVLKSSHDPSVEKKVVHSITISERECDAEQNNSERKSIQLKEETSIVAANDDASTSNLNPTNVEEKFINEKAIESCHTKPKSSLKSNGKKKLSRSVTWADEKINGSGGKDLCAVKEFGNINKESDVADNVDSADDEDMLRCALAEACAIALSQASEAVASGDSDPNDAVSEAGITILPHPPNAVEGSTVDDDDILETNSVTLKWPKKPSEFDLFDSEDTWFDAPPEGFSLTLSPFATMWNAFFSWITSSSLAYIYGRDVSFHEEFLSVNGREYPSKIVLTDGRSSEIKQALVGCLARALPAVVEELRLPIPVDILEQAMVRLLDTMSFVDALPAFRMKQWQVVVLLFVDALSVSRVPTLISYMTDRRDLFLKVLSGSQIGKEEYDVLKDFIVPLGRAPHFSSQSGA >RHN45272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14095109:14095411:1 gene:gene39516 transcript:rna39516 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLLSYKPVEVPNKVPEPAEVFKSQDVQLEAEINANIDEEAHKSITKDNETDSTQGSFVDATVDNNDNLSTNEEVTSAQNDISTPERVAKDMEFLQQS >RHN74199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30090942:30091298:-1 gene:gene10215 transcript:rna10215 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQGEVKILEHQIKVSNLLKFVLRVSFIFTWLCIFHWLFINCIY >RHN68189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33572678:33573530:-1 gene:gene16504 transcript:rna16504 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQASYSCLLGRPWIHEAGAVTSTLHKKLKFVSRGKLVTVSGESALLVSHLSSFSIIGGESSDGTSFQGFSVESGTTRGETCMASLKDAQRVIQEGKAEGWGKLVQLPENKHKEGLGFSGNKQMMFDPTRGTFHSAGFINAPPKTNAILEDQSEEVAPDFVTPGGNCCNWIAVDIPSAIPLSK >RHN45175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12597212:12597929:-1 gene:gene39398 transcript:rna39398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oleosin MATDRTTPHQIQVHTTTRRYDTITPPLHYEGGGGVATHHYSDNKSPSTSQVLAVITGLPVGGILLALAGLSLLGTLTGLAVTTPLFILFSPVIVPATIVIGLAVAGFLTSGAFGLTALSSFSWVMNYIRQTQGTVPEQLESAKQGMADVVGYVGQKTKEAGQKTKEVGQDIQSKAQDAKKTSTTTTATTAA >RHN40280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14810638:14811075:-1 gene:gene46415 transcript:rna46415 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFQRPSLSLFNSPSSRCRCRLVGTRRLDVLVVQAGSVWTLFEYAERFGASSVAGVLGSFAGFSVGGLFYWGTGTDPDS >RHN47623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41055533:41061026:1 gene:gene42244 transcript:rna42244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MYHAKKFPEETMMLHKSQGGGGGGGEQFANGGGLNGSAVKNVQPAGGGGKQRLRWTSDLHDRFVDAITQLGGPDRATPKGVLRVMGVPGLTIYHVKSHLQKYRLAKYLPESPGDGKDSKDEKRNSGDSISGADSSPGLQINDALRMQMEVQKRLHEQLEVQKQLQMRIEAQGKYLQKIIEEQQKLGSTLAASETLPLSHDKQNQPLSEPSGSSDALADTFSPHKKQRIDEGSKDGTAPQVTIKTAQKNDCNVGPLDPNLYEDDAGYGFDLETENDDGNE >RHN82456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53932024:53935129:1 gene:gene6624 transcript:rna6624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MVFLLLGTPQTNRTLPSFSHSLIQQQLCLCLCLCSFDPKMQSHGTSATRIGSFRHSILEKSRERLLAKKGYPDDDFNYNDSAPKRSCFDLDALSERVVNIRNVFRDFIGKLYEMGRSDRRRVVFAMKAGLSLALVSLFIYIKEKQLSKYSIWAILTVVVVFEFSIGATLNKGFNRALGTISAGVLAIGIARLSVLVGGAFEELLIVIAIFVAGFCASFMKLYPAMKSYEYGFRVFLLTFCIVLVSGRDGKQFFTTAFYRLVLIGVGAGVSLSVNICIYPIWSGEDLHKLVVKNFHGVAASVEGCVNGYLQCVEYERVPSKILVYQASDDPLYRGYRTAVQSSSQEETLLDFALWEPPHGPYKMFNYPWRSYVKLSGALRHCALMVMAMHGCILSEIQAPPEKRLVFFQELQKVGAEGAKVLRQLGSKVEKMEKLSPHDILLEVHEAAELLQMKIDSHSFLLVNSENWEAVRQHQSKQNGQSDNLSKKSSTNELVDDSKLNISIQDSLPESSFTQTMNKGLLSWPQLSFCSDAILNEPESKVYESASSLSLATFASLLIEFVARLQNLVDEFQDLGEKANFKDPFDQPILK >RHN61823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38611859:38625344:-1 gene:gene24322 transcript:rna24322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MAVPFLLRSLYSSTRKSFTSSSPLTQSPSRYFPRFFSSSSAAAATSSPAASLDPNRLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITISSKVTSISWKDNELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVLAKALKYGLRPILLLNKVDRPAVTQEICDEVESVVFDLFANLGATEEQLDFPVLYASAKEGWASTTYTKDPPADAKNMSQLLDAIVSHVPPPNANIDAPFQMLVSMMEKDNYFGRILTGRVHSGVVRVGDKVHGLRNKDSGAEKIEDGKVVKVMKKKGTTMVVTDCAGAGDIISIAGLSSPSIGHTVTTVEIMSALPTVELDPPTISMTFGVNDSPLAGRDGTHLTGGKIGDRLMAESETNLAINVLPGMSETFEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTDKGQKLEPIEEVTIEVNDEHVGFVMEALSHRRAEITDMGPVAGTVGRTRLCLTCPSRGLVGYRSVFSSETRGTGFMHRAFLKYEKFRGPLGNVRKGVLVSVGFGSITLHALMSLEARGTLFVSPGMEAYDGMIVGEHSRDTDLDVNPVRAKQLTNVRSVNKDDTVKLIPPRLMTLEEAIGYVASDELIEVTPKAIRLRKKYLDASKRKTMSKKPKE >RHN69753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46027374:46029483:1 gene:gene18266 transcript:rna18266 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L9 MKTILSSETMNIPDGVSIKVNAKVIEVEGPRGKLVRDFKHLNLDFDLITDEEGKKKLKIDAWFGSRKTSAAIRTALSHVENLITGVTKGFRYKMRFVYAHFPINASITNDSKSIEIRNFLGEKKVRKVDLLDGVSIVRSEKVKDEVVLDGNDIELVSRSCALINQKCHVKKKDIRKFLDGIYVSEKGSVVTEE >RHN42727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40497920:40503297:-1 gene:gene49210 transcript:rna49210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SH3 domain, AH domain-containing protein MDAFRKQASKLREQVVKQQQAVIKQFSGSGYESSDVVVIDEVEMQRHQHMEKLYRATRAGRDFQKEIVKAAETFTAISYKHIETGTKLSEECCRYGAENNSDNILAKAASVYGDARKHVEKEHEELNRLLSSQVLDPLRQMINGPPLEDARHLAQRYSRMRQEAETHKEEISRRQARVRESPTAEQVAKLHAAEAKMQELKANMAVLGKEASAALAAVDAQQQRLTFQRLVAMVESEKTFHLRVAAIFGEIETEIVSDRQKKESAPPVVMSQNGSEKTMYFLAEAMHPYDAETEKELSFSKGDFIVVRKVSQTGWSEGECNGKGGWFPSGYVEKRQRIPSSNMAGEVY >RHN82489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54191101:54191660:1 gene:gene6658 transcript:rna6658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WIYLD domain-containing protein MAPRRRPLKKGDSRMDAALDAMTPLGFDKKLIHQTVNKLLKIYDGNEGWHFIEDGAYCLLIDQLLEAQQDQVTHFVFAYPRSNSHNLCYIIKAIIILFKHVLILNLMICGYLTGGIVVRPGP >RHN46014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27757356:27758097:1 gene:gene40450 transcript:rna40450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >RHN57765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41595946:41598668:1 gene:gene33349 transcript:rna33349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MAATSTMFFYVLERWTLTIPSQVVSIMLCVTRESRPSTHMKLILRNFNLLLLCSRQLRKQGFLLLFSENFASSTRCLDELVNILECMKMKNQLVWPIFFKVEPWEVRNQKGRFGEAMLKLEKWLQNYPYRILQWKKALHQVSLIAGWNYGAEFEYELFKKIVESTVQSLPRYDVFLSFCGEDTRYSFTGFLYHDLRHEGFKIFMDDEGLKGGNQISQTLLKAIEKSRLSIVVLSENFGYSTWCLDELVKIMECKKTNNQLELTNILQNRTTRCKQSNKKLW >RHN56190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28604593:28605259:-1 gene:gene31551 transcript:rna31551 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTTMINKHFGMECIWLALLSNTIIFGLQPLLVSVSFIQTNEDTEFFQKKMKVLSLIFLKIIQVYDNPYVIQIKQPHLDLYVPQPATAQSALSAKK >RHN75365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41643256:41643618:1 gene:gene11552 transcript:rna11552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MFISCFPLIYINSLRLLVKKDYNFCENSLDFILSCYKLIYKRLVKLFFQTGLCPSRVISGGGFGTLFRKHGLAADHVVDAYLIDVNGRILNRKSMGEDIFWAIRGGSAATFGRRSHKTHS >RHN76818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1525557:1534763:-1 gene:gene197 transcript:rna197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-exporting ATPase MGGISLEEIKNESVDLERIPIDEVFEQLKCSRQGLTSEEGANRLQVFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGSGRPPDWQDFVGIIALLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDSRWSEQDAAILVPGDIISIKLGDIVPADARLLEGDPLSIDQSALTGESLPVTKSSSDEVFSGSTVKKGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAIGILVELIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRNLIEVFAKGIEKEYVMLLAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSDGKWHRASKGAPEQIINLCNCKEDVRKKVHAVIDKFAERGLRSLGVARQEVPERTKDSPGGPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDSSISALPVDELIEKADGFAGVFPEHKYEIVKRLQEKKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLREIFATGVVLGSYMALMTVVFFWAMKDTNFFSNKFGVRPIRHNPDEMMAALYLQVSIISQALIFVTRSRSWSFAERPGLLLLGAFLIAQLIATFIAVYANWGFARIKGMGWGWAGVIWLYSLVTYIPLDLLKFAIRYFLSGKAWDNLLENKTAFTTKKDYGKEEREAQWAAAQRTLHGLQPPEASNVFNEKNSYRELSEIAEQAKRRAEVARLREVHTLKGHVESVVKLKGLDIDTIQQHYTV >RHN67157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24452490:24454126:1 gene:gene15306 transcript:rna15306 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTLLIQHSSDQLDFLKGNPINEVLAAFANHVCARSELRYPTVHHELQADLVKNIWTQFGMFRD >RHN75374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41727557:41736176:1 gene:gene11563 transcript:rna11563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAVAGVHNAAVLDTNFLRESHSRSPRRQEDGGRGGGRSSSLLQMWREIEDEHMVRQVQGRPDEVLVQQRSEGLVVDPSQEDAGLGENESETWSQSQSQNGSQYEQDQEELNSSIRENSADIGEVGRERVRKIFRGWMSNGGSRDRASNINRGNSGSRGELLGGTDQERVSIVREWVETSSRLRGVSVGENREEQPAEFGNQNEGQSEHISRRRIRKLRGRQVLLDMVKKAVMERQREVQELLERRAVSHFPHRNRIQALLRGRFLRNDRPIDSNRSTSIAESELGLLRQKQTVSCLREGFSFRKDNLGYGQAASNLSDTSSDGDIDVDRIEQTGATSSQVVTSAHSEQSKPNNKGSDRLGMSCAHDEEEETGICESSSNISVERRDGNAQNVDTIPSEDTGNNLTQQSLQIEVTEHSNLQEQEQEPSDIHTEESMRGDITGEQSNLSNHNNRAEGNIIDNVDLVESVALERQQHEEIIIENEGSDWHQTNVEWRDSTQESVDDNQLSSTSNEWPQNILGNDDGENSRLQEQVAASEVWQEDGSFQEAVEIWLGGPSDNGATPVGRIHGFYFPEDDNVYSVELRELLSRRSVSNLLRSSFRESLDQLIQSYVERQGHAHVEWEELQETTPSSSSTEQDLEQQRRDQIVDTEDIVNNSLNLPLPPPPPPLPPLWDRHSRHDNWTQQNGINNQRRGTVNSFAGHNRFPLADDWDNISDLRIDMVRLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRSTGSSGVHDHVSPDESKWECVRKGLCCICCEGSIDCLLYRCGHMCTCSKCANQLLDSRKKCPMCRAPVVEVIRAYSI >RHN64479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59434533:59438021:-1 gene:gene27298 transcript:rna27298 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPVSAFYRNPVKFRMPTSENLVPIRLDIEIDGHRYKDAFTWNPSDPDSEVVVFAKRTVRDLKLPPPFVTQIAQSIQSQLAEFRSYDGQDMYAGEKIVPIKLDLRVNHTLVKDQFLWDLNNFDSDPEEFARTFCRDMGIEDPEVGPAIAFAIREQLYEIVVQSVVSARESRLSKKGRRGADFFPVSKGGAVAVDLVKLFGIKSSVVRKRKEWDVYEPIVDLLSNEEVDILEAKEERNFR >RHN41661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31880580:31883281:1 gene:gene48004 transcript:rna48004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MNIEHPTPSTNQPQQQQKFSLPVDSENKSTIFKIHSIAKPHMLAFHLSWFSFFACFVSSFAAAPLVPIIRDNLNLTATDIGNAGVASVSGAVFARIAMGTACDLVGPRLASAALILLTSPAVYFTSIINSPTGFLLVRFFTGFSLATFVSTQFWMSSMFSTTVVGRANGFSGGWGNLGGGATQLIMPLVFSLIQDFGSTKFTAWRIAFFIPAFLQSLTAFSILIFGQDLPDGNFHGLKKSGEKEKDEISKVIYHGVLNYRGWILALTYGYCFGVELTIDNIIAEYFYDKFNLKLHTAGIIAASFGLANVFSRPGGGLLSDLMAKRFGMRGRLWCLWICQTLAGVFCVILGLVGSLSVSVVVMLIFSVFVQAACGMTFGIVPFVSRRSLGVISGMTGGGGNVGAVLTQLIFFKGSRFSKERGITLMGAMIIICTLPLFLIYFPQWGGMFFGPSSTKVTEEDYYLAEWNSKEKEKGSHHGSLKFADNSRSERGRKLNISTRPSEDVTPPQNV >RHN59262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8886002:8887240:-1 gene:gene21266 transcript:rna21266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MLNICYEISYNIICLLHSIRSEIHLNIQSSTTISLPSLKILLIDIFGYVQIPMVNALLCGCPNIEALDLKFLTNSLDNVFLPPTLKRLKIEIESDEVGPLLEINAPDLEYINIYQYRFSDVLNMKNLHNVVEASLYFVPLSYDFVDPLLKLLNNLSRTKHLVLRVSTTKWLLGEPRDLLFQDFCYLLHLDIILPWFDSNSLLSLLHKCPILQVLKIQNYKEQSPILGWAPQPSAPNCLVSYLTFIQFKKFQGFSDEITFIEHVLQKGLVLKTVIIADISLDQGKKYDILKRLSNVPRASEMCRLTFD >RHN58804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5018544:5019255:-1 gene:gene20752 transcript:rna20752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MGKIIKFVNLSILFIFMFLVVVDVNAERTCKEDFDCRMRYCVYPTIPLCDVKHCRCRRPPNL >RHN77786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9421446:9422505:-1 gene:gene1277 transcript:rna1277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MVVDCGSHELISVDDTVSEYRREFSKNLESKTAIDTGRVIGRYLLPIFVARYVLGLLVFFVLLIYTCRRRHISIYEDIEVFLQGSTLMPIRYSYKEIKKMTRSFRDKLGEGGFGTVYKGKLCSGPFVAIKMLGKSKGNGQDFISEVATIGRIHHTNVV >RHN47027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36570797:36579308:-1 gene:gene41575 transcript:rna41575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ste24 endopeptidase MAFPYMEAVVGFMILMYIFESYLDLRQHKALKLPTLPKSLEGVISQEKFEKSRAYSLDKSHFHFVHALVTIITDSTILYFKVLPWFWKKSGDFVTLAGLNAENEIFHTLGFLAGLMIWSQTTDLPFSLYSTFVIESRHGFNKQTPWLFFRDMIKGIFLSIIIGPPVVAAIIVIVQKGGPYLAIYLWAFMFGLSLVMLTIYPILIAPLFNKFTPLPDGPLREKIEKLASSLNFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLVQQCKNDEEIVAVIAHELGHWKLNHTVYTFIAMQILTLLQFGGYTLVRNSTDLFQSFGFDTQPVLIGLILFQHTVIPLQQLVSFGLNLVSRSFEFQADGFAKKLGYSSSLRAGLVKLQEENLSAMNTDPWYSAYHYSHPPLVERLAALDIPDKSEKSE >RHN48539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48296364:48303919:1 gene:gene43269 transcript:rna43269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein phosphatase 2A, regulatory B subunit, B56 MFKKLMKGGQKKPSKSDSTDGFGPPANTTVDSSAVVIPPPSGTIEALPLFRDVPVSERQNLFLRKLQICCHTLDFTDTLKSVREKEIKRQTLMELVEFIQSGSSKISEICQEEMIKMVSMNIFRCLPPAYHENTGQDAADPEEEEPCLEPAWPHLQLVYELLLRYVVSSDTDTKVAKRYIDHSFVLKLLDLFDSEDPREREYVKTILHRVYGKFMVHRPFIRKAINNIFYRFIYETERHSGIMELLEILGSIINGFALPMKEEHKLFLVRALLPLHKPKPVAVYHQQLSYCISQFVEKDFKLADTVIRGLLKYWPVTNCQKEVLFLGELEEVLEATQAAEFQRCMVLLFRQIARCLNSPHFQVAERALFLWNNEHIVSLIAQNRTVVLPIIFEALEKNVQSHWNPAVNGLTVNVRKMFLEMDAELFEECQRQYLEREAKAKEVEELRELNWKRLADAAAQNGADMITA >RHN40991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25474522:25476540:1 gene:gene47256 transcript:rna47256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEMFKFFYTMIILVSLFLVVSFSNEECTSDADCYKIYPHLSLLHIRCFEGICFFTFFLPGYPIGHA >RHN49391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54473813:54474217:1 gene:gene44215 transcript:rna44215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator A20-like family MVPSLCANGCGYYGLPSNKNLCSKCYNVYLKENIVLESSSSCPSKNPSINDICDAVAAISLIDSDNMKEKKTRCKSCNKKVGLTGFKCRCGDVFCGMHRYPEEHTCKVDLKKIGRQILEKQNPLCMGDKLKYRI >RHN40633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18618775:18620585:1 gene:gene46813 transcript:rna46813 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLSTARPGPPSINEPNTADNLLLFDGENELPEGEKRSLHSYKRNKIAPSEQSSQIGGGQNAKETEESAIFTSYTRRNRSRPNHGPRGVQGM >RHN47689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41612499:41628604:-1 gene:gene42315 transcript:rna42315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MASSGSSSISNNDINPSCIYDVFLSFCDKDTSESLASYLYTALTVAGIVVYKDEDKLLNHDQMITSSVLHAIAGSRLSIIVFSKLYAVSTCCRQELEKIMECRRTTCQIVVPVFYDADPSGVFHQEDLLGEASKYLKQRILKKDKLIHEVCNISGFAVHSRNESEDIMKIVDHVTNLLDRTDLFVADHPVGVKSRVQDIIQLLNSQESKSPLLLGVWGMGGIGKTTIAKAAYNKIHHDFEAKSFLPNVREVWEQDNGVVSLQQQLLSDIYKTTKIKIDTVESGKMILQERLRHKRIFLVLDDVNKLDQLNALCGSHGWFGEGSRIIITTRDDDLLGRLKVHYVYRMKEMDSNESLELFSWHAFKQPIPIEGFGELSTDVVKYSRGLPLALQVIGSFLLTRRRKKVWKRVLEKLTKPDDKIQEVLKLIFDNLSDNIKETFLDIACLNLSGMSLDDLLQIFQKDVHFTELGMEELVINGLVNLDSEKRIGMHDLVQLFGREIRQEKSTGMAAGGIYDVFLSFRGDDTHAKFISHLYTALENAGIYVFRGDDEIQRGDQVSVSLLQAIGQSRISIIVLSRNYANSRWCMLELENIMGNSRTQGMVVVPVFYKIDPTEVRNQSGRFGEDFESLLLRMSVDTHKFSNWRRALAEVRGTTGVVIINSRNESEDITKIVDHVTNLLDRTDFFVVDHPVGVDSRVQDVIQLLNGQESKDPRLLGIWGMGGIGKTTIAKAAYNKIHRDFEAKSFLLNVREVWEQDNGIVSLQQRLLSDIYKTTKIKIETVESGKMILQERLCHKRIFLVLDDVNKLDQLNALCGSHGWFGEGSRIIITTRDDDLLGRLKVHYVYRMKEMDSNESLELFSWHVFKQPIPIEGFGDLSTDVVKYSGGSPLALEVIGSFLLTRRSKKEWKSILEKLTKPDVKLIPDMLRLSFDNLSDNIKETFLDIACLNLSGMSLDDLIQIFKKDVHFKELGMEELVTISLVQIDSEKRIERDDLLQLLGREIRKEKSTAMAAGRIYDVFLSFRGNDTRAKFISHLYTALENAGIYVFRDDDEIQRGDQISASLLQAIEQSKISIVVLSRSYADSRWCMLELENIMGNSRTQGMVVVPVFYEIDPSEVRNQSGKFGEDFESLLLRTSVDTLKLSNWKTALAEVGGTAGVVIINSRNESEDIRKIVDHVTNLPDRTDLFVADHPVGVDSRVQDVIQLLNNQESKDPLLLGIWGMGGIGKTTIAKAAYNKIRHDFEAKSFLLNVREVWEQDNGVVSLQQRLLSDIYKTTKIKIETVESGKMILQERLRHKRIFLVLDDVNKVDQLNALCGSHEWFGEGSRIMITTRDDDLLSRLKVDYVYRMKEMDGNESLELFSWHAFKQPIPIEGFGDLSTDVVMYSGGLPIALQVIGSFLLTRRRKKEWKSVLEKLKLIPNDEVLEKLKISFDGLSDDDVKEIFLDIAFFFIGMDQEEVTTILEGCGHFADIGISLLVQKSLVTVDRKNKIGMHDLLRDMGREIVRKKSIEISKEPSRLWRYEDVDSVLSKATRALDVKGLTLKMSRMDSRTYMETKDFEKINKLKFLQLAGVQLEGNYKYLSRDIRWLCWHGFPLKYTPEEFHQEHLVAVDLKYSHLEQVWKKSQLLKELKFLNLSHSHNLKQTPDFSYLPNLEKLILKDCPNLSSVSPNIGNLKKILLINLKDCTGLCELPRSIYKLKSVKTLIVSGCTKIDKLEEDIEQMTSLTILVADKTSVTRVPFAVVRSKSIGFISLCGFEGFARNVFPSIIQSWMSPTNGILPLVQTFAGTSSLEFFDEQDNSFYGLPSFHKDLPNLQRLWFKCKSEAQLNQTLASILDNLHTKSCEELEAMQNTAQSSKFVTSASTHCCSQVPSSSSQNSLTSLFIQIGMNCRVTNTLKENIFQKMPPNGSGLLPGDNYPDWLAFNDNGSSVTFEVPKVDGRSLKTIMCTVYSSSPGDITSEGLKVLLVINCTKNTIQLHKSDALLASFDEEEWQKVVSNTEPGDIVNVTVVFENKFIVKKTTVYLVYDEPNDIKAKPCLESDGNIFGRLFFRLPSLVRSILISRPFWICSAVTIVWRSRFHSNK >RHN69453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43545895:43553190:1 gene:gene17929 transcript:rna17929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MGEWWRFLLHALILTVSLCTVHGANVTYDRTSLVINGHHKILFSGSIHYPRSTPQMWPDLISKAKEGGLDVIQTYVFWNLHEPQQGQYEFNGRFDLVGFIKEIQAQGLYVTLRIGPYIESECTYGGLPLWLHDVPGIVFRTDNDQFKFHMQRFTTKIVNMMKSANLFASQGGPIILSQIENEYGSIQSKFRANGLPYIHWAAQMAVGLQTGVPWMMCKQDDAPDPVINACNGMQCGRNFKGPNSPNKPSLWTENWTSFLQAFGGAPYMRSASDIAYNVALFIAKKGSYVNYYMYHGGTNFDRLASAFIITAYYDEAPLDEYGLVRQPKWGHLKELHASIKSCSQPLLDGTQTTFSLGSEQQAYVFRSSTECAAFLENSGPRDVTIQFQNISYELPGKSISILPGCKNVVFNTGKVSIQNNVRAMKPRLQFNSAENWKVYTEAIPNFAHTSKRADTLLDQISTAKDTSDYMWYTFRFNNKSPNAKSVLSIYSQGDVLHSFINGVLTGSAHGSRNNTQVTMKKNVNLINGMNNISILSATVGLPNSGAFLESRVAGLRKVEVQGRDFSSYSWGYQVGLLGEKLQIFTVSGSSKVQWKSFQSSTKPLTWYQTTFHAPAGNDPVVVNLGSMGKGLAWVNGQGIGRYWVSFHKPDGTPSQQWYHIPRSFLKSTGNLLVILEEETGNPLGITLDTVYIKN >RHN44912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9789647:9790760:1 gene:gene39089 transcript:rna39089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MSSSSSMGSGPSEPPPLKLIWRQTAANLGGSIFDSEAVPPSLAEIAPILRVANEVEKTHPRVAYLCRFYAFEKAHRLDCTSSGCGVRQFKSAFLQHLERENDQTLKGRVMKSDALEMQSSYPYYYQKYIQASHNTADKADRGQLNKAYETANVLFEVLKAVHEPIYI >RHN59036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6889765:6891159:1 gene:gene21019 transcript:rna21019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MQETLNLKKHGDAAFRAKDFVTAIDCYTQFIDGGTMVSPTVYARRCLSYLMNDMAQEALGDAMQAQVVSPEWPTALYLQATCLFSLGMENDAQETLKDGTNMEAKKHKNLKTV >RHN78146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12278795:12282205:1 gene:gene1668 transcript:rna1668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-OST1L family MDPTMNHVGHGGMDIQIMHESDRYELVRDIGSGNFGVARLMRDKHTNQLVAVKYIERGDKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEEPKNFRKTIHRILKVQYSIPDYVQISPECRHLISRIFVGDPAQRISMPEIRNHDWFLKNLPADLIVGNTTSEQFQEPEQPMQTIEEIMQIISEATIPAAGTHQSLTQYLTGSLDIDDEMDEDIDTDPDLDIDSSGEIVYAM >RHN54525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10239217:10242749:1 gene:gene29589 transcript:rna29589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling &Metalloenzymes JmjC family MNEKIEELWREVRELSLGSKRSIERLESAPTPLQFHRNFITPNKPCIISNSISHWPSLSLWSHPSYLTQSLSSTTVSLHLTPTGSADSLTPLPSSPSSLCFASAHVQNLPFPEALRLINSSNPSQCVAYAQQQNDCFRSEYDSIVKDCDQHIAWATEAFGLEPEAVNLWIGNKHSSTWFHKDHYENLYAVVTGQKHFLLFPPTDVHRFYIRNYPAATYKYYMETGEFDLELDKPTRYVPWCSVNPFPSPENLEDEISKFPLYFNGPPPFECTVKAGEILYLPSMWFHHVRQSGDDGELTIAVNYWYDMQFDIKYAYFNFLQSIDYRSPTSPMMPEKLCEEIDFGPDDDETR >RHN61662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37275661:37279403:1 gene:gene24150 transcript:rna24150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porphobilinogen deaminase MEMTLSSSSAFSLPSTPSNPSLFLLSSSCPFASFKIPPFSKCRIRASVAVEQQTQQTKTAIIRIGTRGSPLALAQAHETRDKLIASHTELAEEGAIQIVIIKTTGDKILSQPLADIGGKGLFTKEIDEALINGDIDIAVHSMKDVPTYLPEKTILPCNLPREDVRDAFISLSAASLADLPAGSVIGTASLRRKSQILHRYPSLSVQDNFRGNVQTRLRKLSEGVVKATLLALAGLKRLNMTENVTSTLSIDDMLPAVAQGAIGIACRSNDDKMAEYLASLNHEETRLAISCERAFLTTLDGSCRTPIAGYASRDKDGNCLFRGLVASPDGTRVLETSRIGPYAYEDMMKMGRDAGEELLSRAGPGFFNS >RHN41390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29692592:29693551:-1 gene:gene47708 transcript:rna47708 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTGSNNIEILRVQALSILCTPHPFLEFSGFAPIPDKVDSIRSRKQQIKNDTLFDFDGSSPVQDKVDPFCSTKQQIDNDAFLDFDGFSPAEDKDIYNRSKEQRQDNDEENIEEGEFSFACTKVRGLHIFADEIFENGKIRQIPHTFDQSLFIYPTSNNNVSHLRPPLKKIFIKNSVNRHSMLGGISKESQNESLQNMTMVEIKASNECYEKSNSTGSSNLWKFRQNMNLRSNSDHKDSLVLLNASDPKNSRKPKVENIVNKKRKDEKHKNGLSAYEKLYVSNKTRKDSNKRRSFLPYKRQLFGLFTNMNGLSRNLHPF >RHN47950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43772814:43773326:1 gene:gene42608 transcript:rna42608 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMKRDAVESNTNDSELQRVGNEDSLGFERAAEEEDEDYECERVEDSLIEEANEDNEREIVEDSMDFERVAVEEDEDYECERVEDSLIEEEDEDGDNEREIVEDSMDFERVVEEEDEDYEDSLAEEEDENDFGLDFELVVVEEYEGLQEEEKREVVEAMLKNYIPILY >RHN73003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12507519:12508211:-1 gene:gene8772 transcript:rna8772 gene_biotype:protein_coding transcript_biotype:protein_coding MWFVIKTLFYLPLLLFEQKKMLNHDSTKKRKIRNQEESNALIQRNVPSSNLNLLTTNKRNTQFEINMMGNMINCNFYIPDATDDNTGLAFRVAYLHIMLFIIKMMQEDAIRTMLGNLDLRTSVINFLMKNFDNSDSQICSLCHYLSFTLSWSGDMRVFTVMNKWLLKTKSPVLSGSRVKFEVDNLEETIKAIATHTYPQYFSHLCVASQLFHLDVSRFLNLFVVALVLEQ >RHN68435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35507252:35509327:-1 gene:gene16789 transcript:rna16789 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFLFSQNCSRIPFTFFSQNVSTSRHYQPFFRRCQPRCCLSLIDF >RHN59869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14211439:14214458:1 gene:gene22034 transcript:rna22034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSFSTEKKNHHSQKENPNPNPNSPLKKLGTRWSDLWLKHTKSKPLNQIVVAAAMQQLHSLSSPRKSNPNFPNFSIIDQTLLLSDEILLNILSKLPDSQRNVNSLVCKRWLNLQGRLVRSIRIRDWNFVLSGRLIYRFPNLNHVDLIHGSLILTQSSNILMSSNVLNMYIDSGSSPNWFNCEENMLPVEVIDNGLVALANGCPNLRRVQVIGASEMGLLSLAEECSTLQELELQKCSDNVLRGIAACGNLQILKLVGNVEGFYGSVVSDIGLTILAQGCKRLVKLELCGCEGSFDGIKAIGKCCQMLEELTLCDHRMDDGWMAAVSYCENLKTLRLQSCKKIDLNPGLDEYLGSCPALERLHLLKCQLRDKKSVSALFSVCRVAREIIIQDCWGFDNGMFGFATVCRRVKLFYLEGCSLLTTEGLESVIHSWKELQSLRVVSCKHIKDSEISPSLATLFTTLKELKWRPDTKSLLPSSLVGIAMGKKGGKFFKRT >RHN72216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5863613:5864724:-1 gene:gene7902 transcript:rna7902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MRYSFMFEFVAQKRGDANLPCPWSWHSIKKDNKVITPGAKNHARAKGQEDNSKDIDDLKLQDFLQVMQPRAKSKLWANETTVVSNDGNNQATLNKETNGTSIANYPILSDRQVVGLPNNPESDKSRELNHDGVMSDIDYFKNKVTTDWSDSESSDDGNDNVTSDSEFAVHDDKDNRSPASECEENCEGQEDIFGEDVANDKSQGTATEEEEKLSNPEVKKEVPESCRLFEELEEYFSQFGSVSQVHLVVDKETKRSKGIAYIHFSVPDFAAR >RHN43347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45067512:45067841:1 gene:gene49906 transcript:rna49906 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHCKAPPFWCTCCFQHTVTSSRTSKQIPLQATSNSSIVCHKTHCSTTASCSDVLPFLQPLDPIILAQWQPHPPTHPLPTQHSSQNSLAPLAMMTSSSSQPPPTTTST >RHN43814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48707823:48712050:-1 gene:gene50443 transcript:rna50443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane attack complex component/perforin (MACPF) domain-containing protein MDEGIVEKALNSLGKGFDLTSDFRLKFCKGEERLILLNEIEKRELSVPGFGSIKDVSVDIKCDKGDRTRYQSDILTFTQMSELFNRKSSIPGKIPSGYFNTVFGFDEGSWAAEAANTKCLGVDGYLIKLFNLHIDPYPLLLSKQVIQAVPSSWDPPALARFIENFGTHILVGLSIGGKDLLLVKQDVSSNLVPSELKNHLDELGEQLFSGTCNFLPKNKDQKHKVPAAFDVFGPQIVAFNGSTSVCAKDGINVICVKRGGDTQMSSHSEWLLTVPNKPDAIDFSFIPITSLLKGASGRGFLSHAINLYLRYKPPMSDLSYFLDYQGYKIWAPVHNDLPLGPTTNISTISPFLTLNLMGPKLYVNTDKVTVGKRPITGMRLFLEGMKCNRLAIHVEHLLNTPTMLSNKIEDTTIWSEEINDERFFEAISGKKFSHVCTAPVKYNPKWSTEKNVAFIVTGAQLHVKKHDTKRVLLHLRLLFSKVSNSFVVKSNWTKGSSGLSQKSGIFSAISTSISGSSKDQKKSTVLLDSSVFPTGPPVPVQTQKMLKFVDTSELCKGPQHTPGHWLVTGAKLVMDKGKICLWAKFSLLNTDL >RHN66703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16822362:16823803:1 gene:gene14759 transcript:rna14759 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKPDTEATRFFPHTLSLSLSHITLSSLFSHIITSSFSNFSFKSSIFFHKSNTHKKRYISELSYLMTQVLFGRILGVRVVLEEDEKYPFYGSGSPRLLEI >RHN47010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36421459:36421713:-1 gene:gene41555 transcript:rna41555 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFTKLKKFQLLMVMVMVVLLLLNKIVRMKDIQGMPNSVGGLYLRILQFIFFVDALSVMAFTSYFRNIKHLPSISFGEREGFW >RHN41505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30588898:30593004:-1 gene:gene47837 transcript:rna47837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MMGDVAKDLAAGTVGGAAQLIVGHPFDTIKVKLQSQPTPLPGQPPRYSGAFDAVRQTLAAEGPGGLYKGMGAPLATVAAFNAVLFTVRGQMESLVRSHPGAPLTVNQQFVCGAGAGVAVSFLACPTELIKCRLQAQSALAGTGTAAVTVKYGGPMDVARQVLRSEGGVRGLFKGLLPTMGREIPGNAIMFGVYEALKQQFAGGTDTSGLSQGYLIVAGGLAGASFWGIVYPTDVIKSVLQVDDYKNPKYSGSFDAFRKIKATEGFKGLYKGFGPAMGRSVPANAACFLAYEMTRSALG >RHN79515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29780356:29781951:-1 gene:gene3315 transcript:rna3315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casparian strip membrane protein 5 MSTKIDIQESSKDTKGKAVLVAAPARPGGWKKGIAIMDFILRLGAIAAALAAAASMGTSDQSLPFFTQFFQFEASYDSFPAFQFFLIAMAIVAGYLVLSLPFSIVAIIRPHATGPRLFLIILDTVFLTLATASAASAASIVYLAHNGNQDTNWLAICNQFGDFCAQTSGAVVASFITVVVLIVLVVMSALALGKH >RHN51405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17307247:17308527:1 gene:gene35835 transcript:rna35835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAEALIGIVIENLGSFVREEIASFLGVGELTQKLNENLTTIRDVLKDAEKKQITNDPVRNWLQKLGDAAYVLDDILDECSITSKAHGGNKCITSFHPMKILARRNIGKRMKEVAKRIDDIAEERIKFGFQLVGVTEEHQRGDDEWRQTISIVTEPKVYGRDKDKEQIVEFLLNASDSEELSVCSIVGVGGQGKTTLAQVVFNDERVKTHFDLKIWVCFGRFQFDENFRVHHRKHHWKKSRSLVFRNLEKKGSRNFAKQKVFACS >RHN60054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17807857:17813073:1 gene:gene22253 transcript:rna22253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase sigma-70 like domain-containing protein MATAAVIGLSGGKRLLSSSYHYSDIIEKFSHACDFGSTQYQLPPSKSVIVAKKSSKYTPTFPPERKNQSIKALKEHVVDSAQADSEQWFQGYNSNDFEVESSDMDYSLDSLLLLQKSMLEKQWSLSFERKVLSENSRREKIRRKVSVTCSGVSARQRRMTTKRKVAAKSGSAVMQLRSTISPELIQNRLKGYVKGVVCDELLSHAEVVKLSEKIKAGLSLDEHKSRLKERLGSEPSDDQVATSLKISRADLRAKIIECSLAREKLTMSNVRLVLSIAQRYDNMGAEMGDLVQGGLIGLLRGIEKFDSSKGFKISTYVYWWIRQGVSRALVENSRTLRLPAHLHERLSLIRNAEYKLEERGITPTIDRIAKSLNMSQRKVRNATEATSKVFSLDREAFPSLNGLPGDTHHSFIADKQNENIPWNVVDEWALKEEVNRLINLTLVEREREIIRLYYGLDKECLTWEDISKRIGLSRERVRQVGLVALEKLKHAARKREMEAMLLKY >RHN57853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42169609:42174220:1 gene:gene33442 transcript:rna33442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-associated protein, MAP65/Ase1/PRC1 MAVTEAQNPLIGENTCGSLLKKLQEIWDEVGESDEERDKMLLQLEQECLDVYKRKVEHAAKSRAQLLQALSDAKLELSTLLSALGEKGFAGIPDNTSGTIKEQLAAIAPALEQLWQQKEERIKDFSDVQSQIQKICGEITGSLNLNDVPAVDESDLTLKKFEEYQSELQELQKEKSDRLQKVFEFVSTVHDLCAVLGMDFFSTVTEVHPSLNDSTGVQSKSISNETLARLANTVLTLKEDKKQRLHKLQELASQLIDLWNLMDTPPEERKLFDHVTCNISASVDEVTIPGALALDLIEQAEVEVERLDQLKASRMKEIAFKKQSELEVIFANAHIEIDSDAAREKIMALIDSGDIEPTELLADMDNQIAKAQEEALSRKDILDKVEKWMSACEEESWLEDYNRDDNRYNASRGAHLNLKRAEKARILVNKIPALVDTLVAKTRAWEETHDMSFTYDGVPLLAMLDEYAMLRHEREEEKRRMRDQKKFQELQSTEPEAPFGSKPSPARPVSAAKKVGGPRANGGANGTPNRRLSLNAHQNGSRSISKDGKRDNRPVAPVNYVAMSKEDAASHVSGTEPIPTSP >RHN75947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46382814:46383613:1 gene:gene12211 transcript:rna12211 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLKVTNQLNNFFSEGCPVLQELTLNYCCWLYIKQITIATSTLRILTIRSDPYCLNCDDFSDFSVKIDAVNLVSLTCTSRPTIQYIIVNPPTSIVDAYIEFDIHLPITYLMKYVSSPYSDTFREPLYVIDHLHPLPELHNLTHLCLDLEIIFSRKTLMEFLLRCPKLEALVFPQGIGKLVDKRNYA >RHN49714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:537765:539858:1 gene:gene33921 transcript:rna33921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase MLQKSIGVVAMHMQLLHNDRIVIFDRTDFGYSNLSLPNGQCRHDPAELVVKDDCTAHSLEYDVLSNTFRPLFVKTNIWCSSGSVRPNGSLVQTGGYNDGNRNIRIYSPCPTCDWSEIDGGLSAERWYATSHILPNGSQIVVGGRGQFNYEFYPKSNDINANYSLSFLAETNDPEQENNLYPFVFLNVDGNLFIMANNKSILFDYTKGMVVKTFPEVPGGDPRNYPSTGSAVLLPLKNLEAKNLEAEVLVCGGASRGSYLQSKKEVFLKALNTCARIKITDPNPQWDMETMPSPRVMSDMVMLPNGNILIINGASSGTSGWEQGRDPALTPFLYRTNNPIGSRFKLQRSSETPRMYHSTAVLVRDGRVLVGGSNPHSGYSFTNVLFPTELSIEAYSPSYLSDRLSNYRPKIMSLDSKTQMELKYGQKLELQFQVNRTLKYLNLVHVTMLLPPFNTHSFSMNQRLLVLEQNKVNRVNGTTYEIEVTVPNSPILAPPGFYLLFVVNAQIPSEGIWVHIL >RHN70137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49037635:49042093:1 gene:gene18691 transcript:rna18691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol dehydrogenase MPIIRSVTREYIGKPIRCKAAICKKSGEPLVIEEVELDPPKSWEVRIKILCTSLCHSDVTFWKMNSSAPTARFPRILGHEAVGLVESVGENVEEVKEGDLVVPVFLPNCGECIDCGSTKSNNCTKFGNKPIRDMPRDGTSRFRDMKGEVVHHLLGVSSFSEYTVVDVTHVVKITHDIPLDKACLLSCGVSTGIGAAWKVADVEKGTTVAIFGLGAVGLAVAVAAKQRGASKIIGVDLNHDKFEIGKQFGITDFVNPSSTSNEKSVSEVIKDMTNGGADYCFECIGLASLMTEAFNSSREGWGKTVIIGVEMHGSPLTLNPYDILKGKTITGSLFGGLKPKSDLPLLAQKYLDKELNLDGFISQEVDFKDINKAFDYLLQGKSIRCIIRMDP >RHN79720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31549701:31555661:-1 gene:gene3550 transcript:rna3550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein phosphatase 2A, regulatory B subunit, B56 MFKKMMKGGHKKPSKSDSTDPPPSGNNRNSAAPVIVNHGSRGGASTVNSGVVMPLTPSSGTMEPVPLFRDVPVSERQNIFLRKLHVCCFSLDFTDTLKSVREKEIKRQALIELVEFIQSGSGKISENCQEEMIRMISINIFRCLPPASHENTGTEPTDPEEEEPCLEPAWPHLQLVYELLLRYVVSSDTDTKVAKRYIDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIYETERHCGIGELLEILGSIINGFALPMKEEHKLFLVRALLPLHKPKSVVMYHQQLSYCITQFVEKDFKLADTVIRGLLKYWPVTNCQKEILFLGELEEVLEATQNAEFQRCMVQLFKQISRCLNSSFQVAERALFLWNNEHIVGLIAQNRTVILPIIFEAFERNIESHWNQAVHGLTVNVRKMFIEMDAELFEECQRNYAEKQAKAKEEAEKRELNWKRLAEAAAQNGVADMVTD >RHN52095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31440967:31444908:-1 gene:gene36715 transcript:rna36715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein MASIAASTAAASLGMSEMLGNPVKFSGATRSVPSSSTPSTFKTVALFSRKKAAPPPKQKVATPASEELAKWYGPDRRIFLPDGLLDRSEIPEYLTGEVPGDYGYDPFGLSKKPEDFAKYQGYELIHARWAMLGAAGFIIPEAFNKYGANCGPEAVWFKTGALLLDGGTLNYFGKPIPINLIVAVVAEVVLLGGAEYYRITNGLELEDKFHPGGPFDPLGLANDPDQAAILKVKEIKNGRLAMFAMLGFFIQAYVTGEGPVENLAKHLSDPFGNNLLTVISGNVERVPTL >RHN75813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45301322:45302010:-1 gene:gene12066 transcript:rna12066 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFYFLNGLINCEMFNFVKHLINRKQIVAAVRFSCAYNLDDKDHLVDMLREHVQNVKLICESSCKKTNSIEIKDKARDQEIASLGTVLQCISDNNLESTGQLHKEIDYRILELKAHKGN >RHN65721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4724804:4725523:-1 gene:gene13597 transcript:rna13597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAISYKFVYAIIFFIFLFLVANNVEGYIVCITDNDCPENTEVRQYECIEGRCRLSRVLNP >RHN53526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2285402:2286164:1 gene:gene28441 transcript:rna28441 gene_biotype:protein_coding transcript_biotype:protein_coding MTYYLKFRIGAKIMWYVMLLYLLASCVSGLILWDEGCFLVFSVVYDFLQTSFGLIFAP >RHN58507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2351795:2352154:1 gene:gene20426 transcript:rna20426 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNVGSGSSGSKRSHEDSVGSSARPMGREAAKKKGKMKSKGETLEKVEKEWVQFKELKEQEIEQLKELNLVKQHKNKLLQEKTQAKKMKMYLKLRDEEHLDDRKKELLEKLERELFEN >RHN75725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44581136:44584520:1 gene:gene11965 transcript:rna11965 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPSFLRNYNSEGHKDDIFCSYDDYTNEDSSCGTHIDSNKDFHISRMVKTSTFPATAYNSPEVSLSQEVIATTVEKSMKTCTDDLMRFLEGISSRLSQLELYCYNIDKSIGELKSELTSDHEEADSKLKSLDKHLQEVHRSVQILRDKQELVETQKELAKLQLARKGSPSSSHSQSNEERFSPSAIDQKGTDNAYVTSYDPHNQQLALTLSNQVAFQQQPIAPSSQASSPNVTETTQQPRYYTLPTSSPNPPAAAQLPQNQYFSSNPQYQYHPSTLSQVIQSSTVQQFSQYQQPQQKQQWSQPLPQQVQPMQPPLMHSQMRPPSVNAYAPYLTSQATSLPPTDTFSNSMPMQKPYSGTPPQTRGHHPTHQGNLYGTSGVHATPPPASVYVMNEGEGGRASYPPQPSPFAQGGYPPQNASLQNPAPHNVTIRNPSQQKLMRSHPYNELIENLVSMGVRGDLVVSIIQRMEETGQPVNFNSVLDRLNAHSSLGPQREWSG >RHN68835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38940558:38944707:1 gene:gene17246 transcript:rna17246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine cleavage T-protein barrel MNRALSSFSKHFTRTIHQTKLQTSGPVASLIKSRSVIRFRGPDTVKFLQGLLTNDIRKFSDSLGDKTANFPTPNVPATSVPPIYAALLTPQGRFLYDLFLYKPPSKDTKLDSTGTGPASEPNEPFELFADVDASVLDELLATFTKYRLRSKVEIDNVASEFSCWQRYGSGLSENSSDVEEPEAASVGWGAGEDGAAMSSSHGGNLGWQWFKDPRLACLGFRGIFPSNIIPPLIEADKETDEQNYLMWRIENGVAEGSTEIPKGEAMPLEYNFVGLNAISFDKGCYVGQELIARTHHRGVIRKRIVPLRFQDNEGKEIISKVSPGSEVINTSSGKKVGLVTTALGCRGMGLLRLEEALKGSTSLSIQGQEDVKVVASKPDWWPSDWLQDLQQHTAFA >RHN67081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23615266:23615400:1 gene:gene15222 transcript:rna15222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol 3-alpha-galactosyltransferase MYFNDKYKPIPNVYNLVLAMLWRHPENVELEKVKVVHYCAAVSF >RHN80407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37796836:37798452:1 gene:gene4333 transcript:rna4333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MENKVAYENDLNMKATELRLGLPGTEQNEEQKAKISNKRPLTETSKDSGSKTSDDAAPPSKAKIVGWPPIRSYRKNSLQEAEASGIYVKVSLDGAPYLRKIDLRVYGGYAQLLKALESMFKLTIGNYSEKEGYKGSEYEPTYEDKDGDWMLVGDVPWEMFVTSCKRLRIMKGTEARGV >RHN62430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43390970:43393031:1 gene:gene25007 transcript:rna25007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MHLKFNCVPVPFFVVFIVSLLMASSETQNSVVEAKKTKIDTPDYLPVDIIYTIFTLLPIKNAIVAASTGSPRYKGSWRHNRRFLFGRDFHLQYGQQNLVAIVDHLFNSHEGNEIKTFMLHIDPVGIKALLNKWLQICTQKDLEDLELHFFLPSFTIESSVFNALHKLKTLKLIKCKIQLPEVLSGLQFLHTLSLCKLPITEGMFHALIEHCKMLESIDLIKCSTIKKLNLIARENRHFKKLRIASCGDLKEIEIDSPTLHSIFYHGKFSTIRIVQGMQLYEAFFYFTPSKKYIQPSMVEALVKDLSHVSILTITPVIIEGVARQGVFQEIQYCFVNVRELQLFMNGGIFCNPYHITMFLKNCPSLVTLFIDLDNYQFDLGRIWQEHQKAELDNCNHKFIQLKVVVLRNFKCLPSELELVKMVLQRAINLERLVLIPPRINGRSKFKREDTPIYEKLFSSWKASERAIVELHEKYIKKSFINPTHPKSWHYAYAS >RHN43955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:176043:183147:1 gene:gene37996 transcript:rna37996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AH domain-containing protein MKSSLKKLRGLALHNHHHHHHHHHKHDTINNKTILPLKQLDELEKATREMQDMRDCYDTLLSAAAATASSAYEFAESLRDMGSCLLEKTALNDHEEETGKVLLMLGKIQFKLQKLIDNYRSHIIQTITVPSESLLNELRIVEEMKRQCDEKRDVYEYMIARYRERGRSKGGKGETFTLQQLQAARDEYDEEATLFVFRLKSLKQGQSRSLLTQAARHHASQSCFFKKAAKSLETVEPHVKSVTEQQHIDYHFSGLEEEDGDEGDYVDEDDEGYDENDDGELSFDYGPNEQERDVSTSRNSMELDQVEHTLPRGSPAGGAKENLDKLQRNLFSFKVRAGSQSAPLFADNKPDSSEKLRQMRPSLSRKFSSYVLPTPVDAKSPISFFPDKPKPSTMQTNLNEPTKNLWHSSPLDQKKHEKDIRDEHSDPTIRNTQSALRESNNNASFTRLPLPLVDGPASLNHDNVSAYSKKIKRHAFSGPLTSNPWPTRPVSMENIQLFSGPLLPTRIPQPPSSSPKVSPSASPTILSSPKISELHELPRPPANSPPNSRLLGLMGHSGPLVSRGQNVSAANNLVVSSVASPLPMPPQAMSRSFSIPSSGSARVAALMGQGDENPLIHHLYPRKLLLLR >RHN63201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49305990:49311562:1 gene:gene25877 transcript:rna25877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MATPSSKSSKRWLPLEANPDVMNQFLSGLGLQENQAECHDVYGLDDELLEMVPKPVLAVLFLYPLTTKSEEERLQQNNEKREYNNKVYFMKQTVGNACGTIGLLHALGNLTSEIKFVEESFFDKFFKSTANLDPMQRALFLENDTEMEVAHSVAATAGDTEATDDVNTHFICYACVDGELYELDGRKSAPISHGPSSPSTLLKDAAKAIQSMIQKDPDSLNFNVIAISKKSSDGY >RHN61194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33768766:33770206:-1 gene:gene23632 transcript:rna23632 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFIAHLVQGLALTFLGLWHIINTIKSYLVKGPSNFTIRFWYHFNTPHFKFKHLELVSILSFSILAILMQILDFPHFHYAFKLDNFEHATMFIHLALFAGFSLLTELTDSLELFSGFVAILVSSVFSQELFLLHFHSTDHVGPEGHYHWLLQLIVFVSLIASLAAAVFPNSFNAAIVLSISLIFQGCWFINMGFMLYIPTFVPEGCVMNLARESASEIHGAVTCGSKEADFRAKGLANLQFSWILSAIMIFAGIVCLKLAKKFTIVDRLEYERIQSKVADSTVVNEGFKPAK >RHN82274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52482211:52485267:1 gene:gene6424 transcript:rna6424 gene_biotype:protein_coding transcript_biotype:protein_coding MALAQAQPSASLPVSLRDARVFTARNNPATSAQFPKPTLVPARASFATGSPLMIRSNHKRKLACKAMPVSIRCEQNTKDGNGLDVWLGRVAMVGFAVAITVEITSGKGLLENFGLTSPLPTVALAVTGLVGVLTAVFIFQSASEN >RHN73892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21241695:21247402:1 gene:gene9783 transcript:rna9783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif domain, nucleotide-binding alpha-beta plait domain-containing protein MEEEESEKRTVRIFVGGIGEAVTSDDIRRLFESLGSVQSLETIRTKGRSLAYLDFLADSKSLSKLFSKYNGCVWKGGKLKLEKAKEHYLDRLKKEWEEDAILSIEPPASDVSTHKEDLVKEKPNARRIVDPDAKPLNIYFPRLRTVKSIPFSGTGKHKYSFQNIKVGPLPVHFCDCEEHCSPFITKKEKLSMNGETEREKSEIGGINDEEINIMNAVMNKLLEKEKVSNTKHLGKKHDSFESLSVIHSNECEVDSATDDGDDDDDDLITNIATKKNKAALTGTEELERIMESQEWSNKTNIAEEEPVEAQKRSKSNSNKVKKRKSLSKSESESNGVASSTPVGKSKMQTLLDEVGSGAKPTEPEYDFGESAKVSWSQKSSWRELVGKGGNASFSASLISPKFDSADDQQNSDGSYTSSSTNDETEDMESDEYPESEPTNTKVIEEPSKSQPTDTQVIEEHGEAQATNTRVIEEPAESLPTNAQVIEEPAEAQPTNKQVITEPAETQHNIAPKITGTGVSWWQKKSWTQLANENNSPFSLSQLLPDISFPEQTAKEPILYPAGSSECKHNGADKNTVDGTVTDGFNLGEIVPGNSEHAGTDDIVSAPVVEKIVETSPRERSANVEIGETCSFMRSADSLKEWKKAKAAVSGSLKRKRSEKK >RHN56555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31847860:31848639:1 gene:gene31971 transcript:rna31971 gene_biotype:protein_coding transcript_biotype:protein_coding MLASFRVSERYNSITSGTRRTVFKPIDDFDGVGGGGGAAVGCIFIPFSFLCEGEKRVEAGFGGGVGGKTIDDFSFLGEVDES >RHN65466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2470061:2470998:1 gene:gene13307 transcript:rna13307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller, F-box associated interaction MVKFVVNRNTGRKSTTLPRWLNRILQGRDRAPLPTLPFDLVAEILCRLPVKLLVQLRCLCKSFNSLISDPKFAKKHLQMSTARHHLMLRSTNNLGKLFLYDSPIQSIFSTSRVKQTQLNYPNGLKNNHFCAYSCDGILCISNTNYYSCAVLWNPSIGEFKILPPLETSPNRRACSSFYSFGYDHFIRNYKTVVISFDTDNYFFAGKYEVSVLTLGTYSWRRIQAVADLGGDQQVPLHPLTLL >RHN50348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6391052:6392113:-1 gene:gene34623 transcript:rna34623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MEARLFLPLLFKRFANLNTLNLKHFLDHRNLDDLLNQLSNFPLKLTSLKLDECYFPTDGLQALSQNITTLTSLSCYCVYFFENSLTDIVDCFPLLTKLIIYFPLVAYDNQTNFVNTIHRLLSKSPCIQHLELCHITSLNDQHVVDFSLFLGNLVSINLCGGYLTETTFFSLVRNCPLLTEIKMENTCIGKETVGHSGVYPQLNSLYLGTNYWLIDEIIIMFTSIFPNLQLLDLTRCSQISEGICQVLKKCCKLKHLNLAFCSKVKLHGMNFAVPELEVLNLSNTSVDDETLSVISKNCCGLLQLQLDNCKNVTEKGVEHVVENCTQLREINLGDIDVSDENRELLLRRGCRIC >RHN53430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1617371:1618195:-1 gene:gene28334 transcript:rna28334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative B3 domain-containing protein MMKMNENMEAMLEKKAAMARKKINALMQNMETMEERKFNPFPHFTLHNLLSYYAPQLYTEKDLAQIEKINQHLRQETKLPLNIPQSTMKGEAVNKKKRCRSSDEGSMADKAKRRVKPKSTKKMVPKKQDKEKLSSPPPILPIDVEIKIKELNGTDIKHIMCKELFDSDLSKHQSRLLMSLKEDIADDFLNDTEKKTFGRKDRGTKSKLVGIEVIVLDPSFREFTMSLRKWGKSLYSLVQDWKHVMRQNSFEIGQKLNIWTFRVNSKLHFLLDKN >RHN54842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12799258:12803651:-1 gene:gene29935 transcript:rna29935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MSDSNSPRGEQEQEQEENQMNSSSMMSSISETLDPAQMGISLADLEDDIDVDVDVVGVSEPEDAGLTNAEIFSVMSTGDVPQVDIGDLSDIWPGPMDLAVYAPVPINSTRILAAPPQAWLPPDDENWIYDFNEEALQDEVYDSDSKAVPLMIGAGLANLGNTCFLNSIMQCFTHTVPLVEGLLLSCHSPNDGHNGFCVICAFRYQMKQSLESTGRVISPVVFVDNLKQFSSDFRRHQQEDAHEFMQCALDKLETCFSNFEEDNIAKKVFGGSLVSKLRCCNCSRSSITNEPLIDLSLEIENVDSISSALESFTMVENIDAKLKCEGCNEEVSVEKQLMLDQTPSIAAFHLKRFKTVGNNAVEKIDKHINFPLELDLQPYTILNESDNASLKYDLYAVVVHNGTSSDSGHYFCFVRTAPDTWHKLDDSMVTKVSEGTVLSQEAYILFYARQGTPWFSSFAESTIPCLNLSRMNTSPKSVLDITDGQDKSFSISNENIERSGVGKSKKNSEKSDYSCQQSRKFPENDDVIDASPCRKQFPAGPSNQKTLHLNGSEDISAQVLPVNYASPTGIAKPGGSSYAENVAPDKSKCSLEANDFIENDVFNALTPPNSPPSQTPGKSFQISRDHLKKEKQGISGKRSSSGKSSDNPQNKAARAYVRNMPGSRRGAFLDLLGDSPENKRKKTGSSHSDKDSSSARKKSGHASVGSYPVAAGVSQ >RHN54066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6669961:6678030:-1 gene:gene29045 transcript:rna29045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubulin/FtsZ, GTPase domain, misato Segment II tubulin-like domain-containing protein MKEIVTIQVGDYANYVGSHFWNFQDELLGLAGDPQADSVFKNHDLNMDVFYRTGHTLQGIDTYTPRLLSINMRGSLGSMSSRGTMYKEAAPATSNVFTWTGGVSTQASEPQKKNLFLQSLYEEENQNMVNETSGSPSEYQDRDITESLENGVQFWTDYSKVHFHPQSLYELNGVWTDVGDFDNYGIGRDSFAWASQGEEISDRLRFFVEECDHVQGFQFVVDDSGGFSSVASEFLENIVDEYTNTPVMLYTVRGSGPKARLQSRNHKILEDLHDAISFSRLSSYCKLIVPVGLPSLSKASKFLHIEDEKHYHSSAVYAAALHSISLPFRMAPVGPTADACSVSGAVDFHGLIQMLSGQGRQNMVSILDVAMPTPALTGGKKELCLLENFQPLTPMISEDGEDLQAIEHLTVHGILASVEGLRASVCEVKDAVDAAYQRANTRPLFSHLSVARCPLPIPLPFPSIFGNQIGKYGELMSDQLTNSPSKGSLDVHSIPMAARLRSSSTVLPLLEYNLQNLHRYGITRGAAGAELLRGWGFEKEELVEMQEMLSKMVATLCPPELSSDSD >RHN47954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43800558:43803288:-1 gene:gene42612 transcript:rna42612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSQIWNIGACNQQQLSIVEEGESTKSCNTNTIMSRFESPASAFYATENCMGFAEFDHQVDNNQSLSSQSYKVNDLEFPLCQSLRENNHLLDASNQHDPNFELSNTLQALVKSQLNGNQHLRFPENLNKFSCGNFPFEQQKLFFDGLASVSNSSSFCNKGNHDYMVARGSYHLSVEQLNFSSQHEKLSPTISAGSLSTSLGSTSSSGNVVSSKTRIRWTKDLHEKFVECVNRLGGAEKATPKAILKMMDSEGLTIFHVKSHLQKYRTAKFMPESAQGKSDKRIHIDDVQHVGVKTGFQIKEALQLQLDAQRRLHEQLEIQRTLQLRLEEQGRQLKKMFDQQQKTCSNLFNTPNTINDDTKNIGKDVEVSISERAENSLLQSKSSERII >RHN76614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51669403:51671647:1 gene:gene12965 transcript:rna12965 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLNYVFLLSSLFAFQVLQTMSLERNVEFLKAAAGIGGRHHAPPPPPHYSPGPVQKLNSPPTPAADVLRHHRHSPPPPPQYNPGPTPGLLILPATSGNPTARKHILYQNSPPNIFAASA >RHN68208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33672016:33675296:-1 gene:gene16525 transcript:rna16525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MENLLGLLKIHVQRGVNLAIRDVVSSDPYVVIKMAKQKLKTRVVKKNLNPEWNEDLTLSISDPHTPIHLYVYDKDTFSLDDKMGDAEFDIGPFFEAVKMRLAGLPNEAIVTRVQPSRQNCLAEESHIVWKDGKIFQNMVLRLRNVECGEVELQLHWVDIPGSKVM >RHN79997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34215319:34219714:-1 gene:gene3867 transcript:rna3867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKFQRSGEQLGGTYETQPSSESLITRDFFSGSDHSFLPGKLDQKLDTGNIEEAELSLRESGVLNYEEARALLGRYEYQKGNLVAALHVFEGIDIGAVTPKIKIALSKNRERRKKHSHNHGEPEMSIHSVGLLFEALFLKAKSLQALERFKEAAQSCKVIMDIVESSLPEGLPDNFGAECKLQETVSKAVELLPELWKLADCPREATLSYRRALLHKWNLDPGTIAKIQKEFVVFLLYSGGEVMPPNLRSQMDGSFVPGNNIEEAILLLMILLRKVTLNRIEWDPSILDHLSFALSVSGDLSSLANHLEELLPGTLHRSERFHDLALCNYGAGKDLVALDLLRKLLTGRERPEHVPGLLMASKICCENPSLAEEGVSFSQRALESLDGRCKQLENVAKFYLGVSSSAHSKLAVSDFEKFKRQSEALQALETAGRTTGMRDPLVLYHLSLEYAEQRKLNDALYYAKCVVKLEGGSNVKGWLLLARILSAQKQFLEAESIINAALDQTGKWDQGDLLRTKAKIQIALGQLKNAIETYTQLLAVLQIQSKSFGSWKKLYKGNRDRARNLEVEIWHDLAHVYISLSQWHDAEACLSKSKAIKPYSASRCHALGIMYEAKGLYKESLKAFRDALDIDPGHVRSLISTAEVLRHCSNKSNPAVRTFLTDALRHDRLNASAWYNLGLFHKAEGKISSLVEATECFQAAHSLEESTPLEPFR >RHN46056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28121961:28124049:1 gene:gene40496 transcript:rna40496 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSQTTLEENIVELQIYIDTNQTPQNSRPKIQRVPRYVKNRTKFKEHYSPKFVSIGPIHHGNTDLKLGEKYKLMWAAKYIKNTGSIQKDLYKKIADNIDELKGHFSDDVLTLTGESLEGYHSLEEKLSWMLFVDGCSLLHILEKTMLYEPGHMNVKVDQLVLVMMDVLLLENQLPYQVLKLLWKDSNESELIKIMTNFLDCHHWATLDNKRSRRWQLNQDTTTIPAHLLDLQRNIILIKSTPKIENYKANKENWRMKTIYMNIKDLKALGIRLKSSKTRRPKDIDFTEGWFTAELTLPEIVVDDTSATTFLNLIAYEMCPNFDNDYGICSFVAFMDSLIDHPEDVKVLRSKGILLNSLGSDEEVAELFNIIGTDLVPNPETYFEVKAKMIDHYYKYRTGIVRGYYTYFRIAAFIAIALTFIQTVFTVYPAFKKDG >RHN42419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38210398:38213178:-1 gene:gene48857 transcript:rna48857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MDVEGVRNVINYDVPKFIKTYVHRAGRTARAVQTGRCFTLMSEDEVRRFKKLIGKAEGGSCLDHIVPSSQIEALDTTYQSALTKYKEIISKTRKKPKN >RHN64231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57450413:57455200:-1 gene:gene27021 transcript:rna27021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MVSGSFLNCNKSKKNLEVMVKEVEDVEEGEISDTSSVKVIIEKDLNKVDHVVKVDSDVKSNNNNIDKIKTCGNSRVLDLQNFYSSCYYASGGGLYNLAWAQAVQNKPLNDIFAMEIDKDTDVNVTSNTNSNNNDDLNKPLKEVIFVDDDDKEEGELEEGEIDVDDDTNCAIVGGGDSFENVSESDVIGVRDVLKCISVANVSESFAETCTRIQSALQSKVFSGIAGSEKDDLVCLLFNAVEVVYSIHAMITLVDVSGNSEANSEEKKLEALDETRKILGLRHDLDNLPSLTQEVPVNKLFSVGDGTDRFGLPPVKTEAEKMELDGKDYKLHIHETDALKAASTCQQKFSRSSFFTDDEFPSPTPSGDCEGGAVDTNDEVSSASIASSLTSSKPPPLDQMLVSSTYINRSNMHGLINSRIDASGAGSYPAKTSVKSRDPRLRFNISDQSSTKNIMPKVEYAEGVISRKRKTVEESSLDATAPKRLTRSLENSQHNSREEQTMDAKGGWLAENTVASNLTTTSNGNEQAPVISSCAATPLLALFNSESVNSTMLLNKLLDIHQRLAEVKRPINFATSALHLTNSNSARGTNSTVNTSPTMTSGVPQNSIGMLPTSSPTTSMAQTLQVDSEKICLKPRDPRRSLHASSTVQKSGSLGSKQSKAIVSPMPNIKGSAHETCASGSCQPHNTWAANVEHLLEGYDAQQKAVIQRERARRLEEQNKMFAARKLCLVLDIDHTLLNSAKFVEVDPEHDKILRKKEKQERGKPRRHLFRLPHMGMWTKLRPGVWNFLEKASKLFEMHLYTMGNKLYATEMAKVLDPNGVLFAGRVISRGDDPETVDIKCKDLEGVLGLESSVVIIDDSPRVWPHNQLNLITVERYIYFLCSRRQFGLSGPSLFEIDHDERPGAGTLASSLGVIERIHQNFFASQSLEEMDVRNILASEQRKILGGCRIVFSGVFPVGETNPHLHPLWRTAEQFGASCTNKVDPQVTHVVAQSPGTDKVNWGISNGKFVVYPNWVEASTLLYRRMNEQDFAVKTEKQPPNKIR >RHN52436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35242909:35252580:-1 gene:gene37116 transcript:rna37116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase CMGC-DYRK-PRP4 family MAETNSVDVILDFLRRNRFTRAEAALRSELNNCSDVNGILQKLTLDEKNLCDLSQNDKGKLVVENRGLDSRDSVEVSKELIVKEIECGTARNNTESKWKNATPAEERNKSNEVVGTSGTNFTFLKSSEDSVFDLHSWKINGPAEPCQNDGASKASNTSKASISQQSKTQMSEASISQQLKTSMSEAAISQQSKAQTSEAFDAANSNVKTAEENNVPDEKKPLWLGSSGKASTEPKINLVQNKESREIDRQQLKFSSSSLKENLADNVLSRADENANSSSDVWKDCSIKTVFPFSKGDVSMSYSSSTYSEKVDEKRKPEIGDARAYIKEQVDEVGRAFYLGKLQGSSEQNNIGGLSFPLALEKQKEEYPRLPPVKIKSEDKPLTINWSEKFESDGLAAKLASADSSLLIGSYLDVPIGQEIKNAGMRKATGGSWLSVSQGISEDTSDLVSGFATVGDGLSESVDYPNEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVPDPQERGPAKEEDDDQSFAEEDSYFSGEQYLQAKNVEPVIGSDDPIGITVTNMYGRANGNDLMAQYDGELMDVEELNLMHAEPVWQGFVPQTNDLIMLGDGKVLNHSGRSRLEEMEDDQHGSVRSIGVGFNSDAADIGSEVHGSFIGGSSEGDLEYFRDRDSGLGGSKHTHHDFGKNSMDKSFKNKKKNDEIESNKYVIGGHKDAHSQIKTHTEGNFSFPQSLKDSQMIQGGGSSKSPWLNNCNADETDDCMNAFEGSDEMLSSWRQKSSDSSPEKSSRDENNAHAVRSSNSSPTTVDNYGYADKEHVKLEKDDEEVDITREDDLGVSQEDEEIAAVQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGVDVCIKIIKNNKDFFDQSLDEIKLLKYVNKHDPGDKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQYLHNLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLSYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIGQSMLAKGRDTYKYFTKNHMLYERNQESNRLEYLIPKKTSLRHRLPMGDQGFIDFVDHLLEVNPKKRPSASEALKHPWLSYPYEPISS >RHN53190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42696302:42698104:-1 gene:gene37969 transcript:rna37969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MMVSAGNEKLCGAPLGACSSNKKSSINLSRIVVVVLVSLALLVIAAVILYVLVKRRKTKQQEELGEGAGVAANTSNRDNNNQKKGSSSNNNTDEGSVRSRTSSNTSSRKGDSKLSFVREEVSAQFDLQDLLRAAAEILGSGCHSSSYKAALLTGPTVVVKRYKQMNNVNRPEFQEHMRRLGRLNHPNLLPLVAYYYKRDEKLFITDFVPNGSLAVRLHGYHSIGQESLDWPSRLKIVKGVAKGIEYLYKELPNLIAPHGHLKSSNVLLNESLEPILTDYGLVPVINQDVAHEIMVIYASPEYLQHGRITKKTDVWSLGILILEILTGKLPANFLQQSGKGSELSLANWVDSVVPEEWSSEVFDKDMELTSNNEGEMVKLLKIALECCDMDIDKRLDLKEAGERIQEIQENNHEDDLNSS >RHN75164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39911629:39913992:-1 gene:gene11327 transcript:rna11327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MGTKHWFLSYVVVGLFISTLLIMSKPCLCDIQYIGTISPGMEASPMSFIDEKSKFLVSKDRNFVFGFVTTVNDNTKFLLAIVHMASSIVLWTANRALPVSNVDNFVFDKKGNAYLQRNGTVIWSTNTISKTMELQDTGNLVLLGNDDNNTVIWQSFSYPTDTLMPSQDFKEGMKLTSEPSSNNLTYVLEIKSGDVVLSAGFKTPQTYWTMQNDNRKTINKNGDVVAFANLSDNSWRFYDNNKSLLWQFIVSDIHASWIAVLGKDGVITFSNLNGTGSNSDASLRIPRDPCGTPEPCDPYGICSNNRMCSCPLVLLPSCKPGFASPCDDESKRSVEFLKVDDGLGYFALDFLHPYSNTDLSSCQTSCLGNCSCLAMFFHRSSGNCFLLDSVGSFQKSDDADSSGYVSYIKVARDGGQRSNKHIIVVVIVVIITFLILLFMGVLYYKKKKRLPRENSEEENFLENLTGMPIRFRYKDLEVATNNFSVKLGQGGFGSVYKGLLPDGTELAVKKLEGIGQGKKEFRAEVSIIGSIHHHNLVRLKGFCADGNHRLLVYEYMANNSLDKWIFKNKKSEFLLDWDTRFSIALGTAKGLAYLHQECDSKIVHCDIKPENVLLDDHFIAKVSDFGLAKLMNREESHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIIAGRKNYDPNETSEKFNFPRFAFKMMEEGKMRDIIDSEMKIDDENDDRVHCAINVALWCIQEDMSMRPSMTKVVQMLEGLCTVPKPPKSSNEGKTSSSSDAYLSAVSLSGPR >RHN43666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47605148:47611588:1 gene:gene50277 transcript:rna50277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Tesmin family MGEGEGSDIPPKNAPLDSVKKLARQLDFNAFGGTPVTAPLPEHPQPSPTLPPLPATKLGKPESPKSKSRPNFETKDATPKKQKQCNCKHSRCLKLYCECFASGIYCDGCNCVNCFNNVDNEAARREAVEATLERNPNAFRPKIASSPHGTRDNKEETGEVKVLVKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDQNNNMAYLQQAANAAITGAIGSSGYSSPPVARKRKGSELWPSIRDPSFGKLGQQANPVRGPAAPSSSLSPVPVPRVGPSTLGPSKFMYRSLLADIIQPQHLKELCSVLVLVSGQATKTLTDQKNLIDKHTDDQTETSLASSNQEQLPNQKEADVEKAVADDCSSANQTDKTSPENSSSDGADVPKGRPMSPGTLALMCDEQDTMFMTAAPSTVSMAHACNTSSQLPYGQGAKEIYAEQERIVLTKFRDFLNRVITMGEINETKCSSLARSELETQKDPIINRTENTSTEAAHQQGLGSTCNNVGKAVGNTITSTPMVPCNTVTSTTLIPCNTITSTPLVPCNTITSTPSVPCNTVTSTTPLVPCSLVSENGEIKPKVEQ >RHN78064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11588639:11596474:1 gene:gene1579 transcript:rna1579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-B-type family MPASLQSSGEFPAGLRVLVVDDDVTTLKIIEQMSLRCHYQVTTCSEATVALKILRERKGCFDVVLSDVHMPDMDGYKLLEHVGLEMDLPVIMMSVDDRTSTVMKGIRHGACDFFIKPVRMEELRNIWQHVARKILNENKEHDNSGSIEDNDRNTQGNDDNDNTSSVAGATKGIVKEQKKRSNLKDEDVELENDDPSASKKPRVVWSVELHQQFVSAVNQLGLDKAVPKRILEMMNVPGLTRENVASHLQKFRLYLKRLSVVAHQQNGMLNTVHGTIESKLAATGRYDIQALAGSGHVSPETLAALQAELLGHPATNIMPTVDRTALMHASVQGTKHSLADDHAVACGQPLVKYPSNIVNNFPQSILKVDDSSSIYGAWPPSSTLGSVSSGRLGVQNNNTLVDILQHQQQHQHQQKQQQSQIHDQNRSINVQPSCLVVPAQSSNTFQAVNSVASVNKDCSFSRNAIIDYSQSSQKSSNSSSSSQYPGGETRATSISPQISSFSVGSRNNNIQQIQNSTLTFGAARPLPGLLPLTLRQVPYDIKSSDSLDEVCLRNLGFVGKGTCIPSFENKIQSSANDFSQMKVFMASNGNTVKEELEEEPSLIRYPNASQPVLQNYPSHDHSSAFT >RHN65054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63880836:63881935:1 gene:gene27947 transcript:rna27947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MNKAAVAFGSFRIWSRAHIQIPVPFPPSAGDFTILAGDWFKLGHRRLRRVLENGHNLPFPDCLLINGRGWNGNTFTVNQGKTYGFRISNVGLAASINFIIQGHSLKLVEVEGSHTLQNTYSSLDIHIGQSYSVLVTANQSVKDYYAVVSTLFTRWNLTASGPRPNPQ >RHN66658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15804127:15811571:1 gene:gene14698 transcript:rna14698 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVTHSFGDTMMMHKDVVSADVIFASLRFPTYQIGSNNKIMDDPKVLSMKEVVARETAQLLEQQKRLSVRDLASKFEKGLAAAAKLSEEAKLREAASLEKHVLLKKLRDGLESLKGRVTGRNKDDVHEAISLVEALAVQLTHREGELVQEKAEVKKLTNFLKQASEDAKKLVYEERAFARFEIDNARAAVQRVEEALQEHERMSRTSGNQDLEQLMKEVQEARRIKMLHQPSKVMDMEHELQALRTQLAEKSRHYLRLQKELAREKKGEENVPHLYELEGTETLGSYLQIQPCSNNAPELSECSIQWYRVSPESAKKELISGATKSVYAPEPFDVGRILQVGIISEGLQITLSTTGPIEPAAGLGNYVEALVRKHDTEFNVVVTQTNDSHHSTESIHILNVGKMRMKLCKGKTTIAKEFYSSSMQLCGVRGGGNAAAQALFWQPKQGLSFVLAFESERERNAAIMLARRFAFDCNIVLAGPDHRTSSET >RHN78550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16161814:16162341:-1 gene:gene2133 transcript:rna2133 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSNLHDFFVNYIKNPLKLLCFRKTLSTDSNIENITNVQKSSYTTVSWEDLFWAFSFLTRSCPIVQDVVSLGSFSRDSKSSS >RHN59618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12540848:12541097:1 gene:gene21675 transcript:rna21675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf3 MSAQSEGNYAEALQNYYEAMRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMALICHYVRLSPL >RHN50492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7594083:7595618:-1 gene:gene34777 transcript:rna34777 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFTHWTLSSDHATKWRGTNRTSSSMYTLHEGKLTLYPHK >RHN68359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34852822:34853043:-1 gene:gene16700 transcript:rna16700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MGSNENVSNQKMENEKVKFSVCFRFYPTDEELINHYLVKKVDDNSFCAIAIAEVDMNKCEPWDLPGEFIVFYK >RHN42583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39506909:39507767:1 gene:gene49039 transcript:rna49039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MESSSFDLTVTPILKPIQPLSLENPFLDGFFSIHFHYNLLTISSTSNDPKNLIFNFRTLVRNNFLIPFDILCNYNEQTHMDDVVLNNLFVFSIFRDMSNGILDKLLSGIKECARNMVALNIEECGTLEMNVLLRRVTTLEVEEDGLDQYYQCSISQQNVGLSLKSVDETISNSNDKCSICLEELHNESQSKLFHTKCSHVFHKECIAQLIYGCINRSTPYSCPMCRQEIMCGRSVSCFLLCLYYCGESH >RHN56404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30604894:30611331:1 gene:gene31800 transcript:rna31800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MANMQIVPAYKNNVEAQYVEMMVPLYSYGCEKKIKKSLSSLKGIYSVNVDHCQQKVTVWGICNKFDVLQTVRSKRKDACFWNQQDNVELEEISKQPSSSPISLPTFPHKNFKRSLSLTKVRSLSLKAWKKVFTRSYSF >RHN60844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30870454:30875103:1 gene:gene23230 transcript:rna23230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MENKNPESEKANSKGTLIHDGKYIQYNVLGNLFEVYSNYIPPLQPVGRGAYGIVCCATNSDTNEGVAIKKIGDAFDNRIDAKRTLREIKLLCHMDHDNVIKIKDIIKPADKEKFNDVYIVYELMDTDLHQIIQSNQALTDEHCQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDVWSVGCILMEIIRREPLFPGKDYVQQLALITELLGSPNEEDLGFLRSDNAKKYVKQLPHVDKQPFAERFPDMSPLALDLAEKMLVFDPSKRITVEEALNHPYMSSLHEINEEPVCPSPFVFDFEQATLNEDDIKELIWRESLNFCKEQILE >RHN77993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11108359:11117775:-1 gene:gene1507 transcript:rna1507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MDTCYYIGKQKMQSLTFVVVLLILIFSYNIADGDEQQESCSRRCGVHNISHPFRLKDSPKKCGDNRYNLSCEDNNQLILYHGSFGKYYVQSINYNNFTIQLSYFNILSNNSIPPSDFSPFFTSNIYVPYLEKNNSLSNRLTKLMLHVSCPNQAGYIYRDNCRNSSLYSRYENSFYVDGYFKTLSELGLRDGCRIELMFLTSWSPQDGNNNNISCTDINRMMSYGFEVSWLNSLCKDDWHAEFDQNNHLHCRRPGDDRYFEAVRVGPIKTYYLERKLWVARGKVTLIYIGELIVLFSLFKFVLGALCMIVLLIYKWRRKHLSMYDGVEDFLSSDNSIIPIRYSYRDIKKITEQFKTKLGNGGYGSVFKGQLRSGRLVAVKLLDKAKSSDQDFVNEVATIGRIHHVNVVQLIGFCVEGSKRVLIYEFMPNGSLEKYIFSHTKENYSLSCEQLYSISLGVARGIEYLHHGCNMKILHFDIKPHNILLDENFNPKVSDFGLARLCPTDKSIVSLTAARGTIGYMAPELFYRNVGTISYKADVYSFGMLLMEMASRRKNLNALAEQSSQIYFPFWIYDKFHDGSEVTIENDTDQEMKLAKKMMIVALWCIQTKPDDRPSMDKVLEMLEEEDGDLQIPNKPYFCPQDSPIADVYSSNSWTSDGTSVSGSKGPN >RHN46732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34422516:34424523:-1 gene:gene41254 transcript:rna41254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MGEMNLSSPSKSFRLRSQSLNSLRLRRIFDMFDKNGDSMITVEEISQALNLLGLEAEFKEVDSMIKSYIKPGNVGLTYEDFVGLHESLGDTYFSVAAETDEETQNEDLWEAFKVFDEDGDGYISAKELQVVLGKLGLVEGNLIDNVQRMILSVDTNHDGRVDFHEFKDMMRTTTVSSS >RHN77609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8105823:8106860:-1 gene:gene1079 transcript:rna1079 gene_biotype:protein_coding transcript_biotype:protein_coding MISQRFKSHWKIFFFDLNHHQAKVFTYLKFAINEFHSHQTTNYYTSCRLHLLPPQSKPSIVAGQGGGVTSDTSPPHHL >RHN71328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58269545:58271846:1 gene:gene19996 transcript:rna19996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dehydrin MAEENQNKYEETTATNSETTEIKDRGVFDFLGGKKKDEEHKPQEEAIATDFNHKVTLYEAPSETKVEEKEEGEKKHTSLLEKLHRSDSSSSSSSEEEVDGEKRKKKKKEKKEDTSVAVEKVDGTTEEKKGFLDKIKEKLPGHKKTDDVTTPPAPPVVVAPAETTTTSHDQGDQKKGILEKIKEKIPGYHPKTATDHDHDHDHKDETTSH >RHN66872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20587997:20591235:-1 gene:gene14984 transcript:rna14984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerol-3-phosphate 1-O-acyltransferase MVFLLVLLRLYHVIVKSCYEAAKKLHSHSFNFIDLSSKQLHQPSTFPSILNCDLKGRDSQTLVCDIHDVLLRNHSFFPYFMLVAFEGGSILRAFLLLCSCPMLCFLSYEHKLKVMIFITFCGLKIKDMEMIARVVLPKFYMENLNLKAYEVLASVGCRVFFTCVPRVMVEGFLKEYLNGDDVVATELHTVGCYFTGLISKHGLVDKDNALMDYFGYRRPDLGIGSSCVNDHHFISHCKEAYVVSNEICPSSIMPKEKYPKPLIFHDGRLAFFPTPSSTLYMFMWLPFSIPLSIYRLFLGTIVYYKWGLALVAYSGITINIKGYSITNPKKISITNKGVLYVCTHRTLLDPVFLSMSLRKPLTTVTYSLSKVSEIMSPIKTMSLTRDREQDRETMQRLLSEGDLVVCPEGTTCREPYLLRFSSLFAELADEIVPVAMNVNVSMFYGTTASGLKCLDSFLFLMNPWPSYNIEVLEKVPKELTCAGGKSPFEVANYIQRELGDALGFECTNITRRDKYMMLAGNEGVVQKKK >RHN39539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8022488:8023324:1 gene:gene45570 transcript:rna45570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNNFSRLCPDIILTHILPRLGGETLIMLSSVSSEFRHMICNDNEDLWWNTCTSTWPSLLCIPIQKIISKFFSGYRSFFSDAFPAIHHRNHYTPPPQEYSFICLFHVCLQGEREPLFASIDLNIIKECYPDVPYKVYSKYDSPWGNLNFKYMHVKEEGCEEYLKENLRLSWVVIETHQKRAGSLFCSSVKPVSVEHVSASLETKVVYETMMPGLSEDYTEMVKCKVKVTCHRKGGDDGFYVNNLELQMKDMNGKSVWEKHGTKVLLNVIENGERKKKER >RHN72052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4643184:4647213:1 gene:gene7721 transcript:rna7721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate decarboxylase 1 METSPPSSAPSTVRPFTCDGTMGGHLARRLVEIGVRDVFSVPGDFNLTLLDHLIAEPELNLVGCCNELNAGYAADGYARAKGVGACVVTFTVGGLSILNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCFQAVVNNLEDAHELIDTAISTALKESKPVYISIGCNLPAIPHPTFARDPVPFFLAPRVSNQEGLEAAVEEAAAFLNKAVKPVIVGGPKLRVAKAQKAFMEFAEASGYPIAVMPSGKGLVPENHPHFIGTYWGAVSTSYCGEIVESADAYVFVGPIFNDYSSVGYSLLIKKEKSLIVQPNRVTIGNGLSLGWVFMADFLTALSKKVKKNTAAVENYRRIYVPPGIPLKWEKDEPLRVNVLFKHIQELLSGDTAVIAETGDSWFNCQKLRLPENCGYEFQMQYGSIGWSVGATLGYAQAATNKRVIACIGDGSFQVTAQDISTMIRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTRFVSAIHNGQGKCWTAKVRTEEDLIEAIATATGTEKDSLCFIEVFAHKDDTSKELLEWGSRVAAANSRPPNPQ >RHN61192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33757719:33760208:1 gene:gene23630 transcript:rna23630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MDHEQQDKPSFFAIIKEGFNTKCMKVPPKIVMDLGEEFWKKASIILVCSSGEKWEVKILKKANDIYVRNFGWQKFLKDNSVGLEEFLVFTYIGENLFNVEIYGKNGLEKPCFKKKQEVVAAPIVAKRKRGRPRRNPAGVEEEKEEAAPTIVAKTKMVQRKYPSRVCVRKEKAETLATIVTKKGIPRKNPAPLSVILVD >RHN49582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55787218:55788981:1 gene:gene44428 transcript:rna44428 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPPVRPWFRLTSIRPAPAPTPTPTPTPALAPPPAPAPAPEPRPTMSLPTFRTASAPPSPHNQTQPQEKKTEQTLSSPPHRGLFPNSSSSSSMPSSPIQKTTQYSSSSSPEKTTANTSRVPIPTQSPKTIKQNVRSPMHSPNARSTNSPPSPLTLPPSQFKTREEHSNKIPVEAEPKAVLVQKTVDVPKPWHNDKGELHRENQNHSNGEFHRQTQNHSNSSHHGKHITVKGRESSKEKKFSDSEDSGMRVITIAGENRGAYMELVQSQKKHQPNYLHKKGNTIKVDGGESESSSADEGKINKKDKNNKGRTKSSIPMAAYMNSNVQCVNNSLLYHASCSHHDPGVRLSLSKKPFGEGYHVKENVDGCNI >RHN66621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15175067:15177834:-1 gene:gene14654 transcript:rna14654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MTSDLEYSLGYGYRVYIYSIPLSNFPHLLFYFSFSLSISQTQTNAFLSPPPMADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >RHN58596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3083961:3086081:-1 gene:gene20520 transcript:rna20520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MKNMLSSLPYPKLTPSIINNHNHLLDFFDHLLHHQCFTLQQARQIHTQLILTTTHHSSFLSARLIATYSRFNSISEARKIFTTTPFESLSNLVWNSIIRANVSHGYYNYAVKIYHQMMKFGFLPDGFTLPLIIKSCSKIGSVGLCKIVHCHVLETGFKNHVHVVNELVGMYGKVRRMEDACKVFDGMVVRSVLSWNTLVSGYAFNFDYVGAFRVFKRMELEGLEPNYVTWTSLLSSHARCGLFDETMELFKVMRIKGIEISGEAVAVVLSVCADMDGVQRGKEIHGFVIKGGYEDYLFVKNALIGIYGKKREDLGDAHKIFSDIKNKSLVSWNALISSYADSGLCDDAYEVFLKLEKSNGHSPVRPNVISWSAVISGFASKGRLEKSLELFRQMQLAKVMANCVTISSVLSVCAELAALNLGRELHAYAIRNLMDDNILVGNGLVNMYMKCGVFEEAHLVFDNIKGRDLISWNSLIGGYGMHGLGENAVRTFDEMINAGLRPDKITFVAVLSACSHAGLVAAGRNLFDRMVTEFSIEPTVEHYACMVDLLGRAGLLQEAHDIVRNMPIEPNECVWGALLNSCRMYRDTDLIEEIESRILALKSEITGSFMLLSNIYADSGKREDSARVRVSAKEKGFKKIPGQSWIEVRKKVYTFSAGNVVHLEQDEIFAILNELALQMASVHYSINSCFHQPYNLDESELLLVAN >RHN52650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37383187:37383705:-1 gene:gene37344 transcript:rna37344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MASRGVTHDVSSYNIMINGFCKIKKVDEAMNLFEEMHCKNIIPDVVTYNSLIDGICKSGKISYALKLVDEMNDRGQLPDIITYNSILNALCKNHQVDKAIALLTKLKDQGIRPNIYTHTILIDGALALLSKMKDNNCYPNAVTYRIIIRSLFDKNDNDKAERLCEMIVSGVL >RHN60244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24188373:24189679:-1 gene:gene22513 transcript:rna22513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MADTLKFVHVLIRLIFIFLVIIVCDSSFIFMPCITDKDCPALKKNKGKGRCRKGYCVDGLIG >RHN58694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4116543:4117563:-1 gene:gene20627 transcript:rna20627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MAIGAFKIIFFLLLSCYTLTIVTAQDETGFVGSIDPKLFRRRQNVSHFRFYWHDVVSGDNATAIEIIPPLPKFNTTNFGEVKVIDNALTSGPQLSSKLVGRAQGIYSYTSQTELNFLMIMNFALFEGKYNGSTITILGRNDAYEKVREMPVIGGSGLFRFAKGYAELTTYFLNTTTGDATSEYNIYVSHYV >RHN50644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8775814:8776174:1 gene:gene34954 transcript:rna34954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MMRQNSSLLLVLLILCVASSNSISAKVVDVDIICKEASNPSYCSNLLNSKPGGAKGVDLVDLARYTIDVLNNNSSDTLNLIHNLVRSAENDTIVLLLALRMHK >RHN63663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53026526:53027247:1 gene:gene26390 transcript:rna26390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ZF-HD family MKKRQVVVVVKRNSTSSSRNTTVGYGECQKNHAAYSGGYAVDGCMEFMACSGEEALTCAACGCHRNFHKRKVLQSTD >RHN57360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38363357:38365187:1 gene:gene32889 transcript:rna32889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLDLGDNRFSGPIPYWLGQQLQMLSLRGNQLSGSLPLSLCDLTNIQLLDLSENNLSGLIFKCWKNFSAMSQNVFSTTQNVITMFEDIFSPGYEGYDLFALMMWKGTERLFKNNKLILRSIDLSSNQLTGDLPEEIGNLIALVSLNLSSNNLTGEITSMIGKLTSLEFLDLSRNHFTGLIPHSLTQIDRLSMLNLSNNNLSGRIPIGTQLQSFDASSYEGNADLCGKPLDKKCPRDEVAPQKPETHEESSQEDKKPIYLSVALGFITGFWGLWGSLFLSRNWRHTYVLFLNYIIDTVYVFMVLNVNKFQRRLRGFLEKFV >RHN40378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15788514:15788804:1 gene:gene46525 transcript:rna46525 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRRKRRRQTEQLLKPGLRVLQLRIPNEDFLWNICGIGNLLRVNTGGSFGLREILLALFLRLRILNWVYGEIDGILFHFYGGILCLILLGKISLG >RHN67888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31219309:31221056:1 gene:gene16133 transcript:rna16133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MVSIPTDLAKTYLKELIDGGIARSRYICCFTCISKEYEEEKVSLKVGRETVGQRVKLATDRGDIIQKNVHYWEEQADQLGQEDTKTKKKWLFGLCPNYIWRYKRGKELANKMENIKKLVETGPKLEIGLQSRLPDVERHSSEYYISFKSRELKRKELLESLKDENNYITGLQGMGGIGKTTLAKEVGKALKQSNQFDLVIDATVSFKPNIRKIQDEIAGSLRLELRDISESDRPRMLWKRLTNGDKILLILDDVWEKIDFDGIGIPHSDNHKGCRVLLTTCNLRVCDINVCRRTIQLEILSEEDAWIMFKRYASISDDMSRSFLHKARAISNECKRLPLAISVIASSLRGEHDVAEWDEALKSLQKPILVHGVNDNLVKIYKCYKFGYDNMKDEKAKRLFLLCSVFREDEEISIETLTRLAIGVSLLGEDFDSYEDARRQVVVAKNKLLHSCLLLETNERSVVKMHDLVRDVAQMIANKEIQAVNLSNKKQKSLIEREKNIKYLLCESKLMDVFSGTIDGSKLEILIVDVDKVNRVIDEYSIFIVWKG >RHN42112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35476990:35482030:-1 gene:gene48511 transcript:rna48511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adaptor protein complex, sigma subunit MISAVLVMNTQGKPRLAKFYEFRPVEKQQEIIRNVFAVLCSRPEHVSNFVDAESFFGPDARLVYKHFATLYFVFIFDGSENELAMLDLIQVFVETLDKCFRNVCELDVVFNYSKMHTILDEIIFGGQVLETSSAEVLKAVEEITKLEAASSAINLVPKSVSSWRSR >RHN54874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13131866:13136337:-1 gene:gene29970 transcript:rna29970 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFKTCTSTLNITTTFFPFNDNNLCTANFLTPSFQNQKPKLITQNFKPLILLCASFPSPQASSASMAQVEEQADIEIVKGYTMTQFCDKMIDLFLNEKTKSKEWRKYLVFRDEWKKYRNSFFIRCQRRADMENDPTMKEKFTSLGRRVKKIDDEMEGHYELLKEIQDFPTDINAIVARRRKDFTGEFFRYLSLIADTYDSLDDRDGIARLGAKCLSAVGAYDNTLMNMETLDAAQAKFDDILNSPSIDVACKKIKSLAKAKELDSSLILLISGAWAKAKESTTMKNEVKEIMYQLYKTTKSSLRTIAPKEIRLLKHLLNIIDPEERFSALATAFSPGDEHEAKDPNALYTTPKELHKWIQIMLDAYNLNKEESDLREARQMTDPIVIQRLFILKDTIEKEYMENNTVPKSETEDDSQSEVF >RHN60887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31388032:31390099:-1 gene:gene23280 transcript:rna23280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MISHSFLLAMIVLLLLFLLPLFSVFKRNKKSQPHLPLPPSPPTIPIIGHLHLLKPLIHHAFRDLSERYGPLISLRLGSARFIVVNTPSLAKEFLKTHELVYSHRKMNIAINMVVYDDATFAFAPYGSYWKFIKKLSTTELLGNRTIGQFLPIRTQELDEFIQTLTNKSKARESLNLTQALLKLSNNIISRMMLSIETSGTDNQAEQARALVREVTQIFGEFNVSDFIGFFKNFDLQGFKKRALDIHKRYDALLEKIISDREESRRKTKVIEDGSLNGEERLKDFLDILLNVFEEKDLEVNFTRSHIKSLILDYFTAATDTTAISVEWAISELFNNPRVLKKAQEEVERVVGKERLVCEEDSPNLPYIHAIIKETMRLHPPIPMIMRKGMEDCVVDGKMVPKGSMVCVNIWAMGRDQKIWENALDFKPERFLENKEGNNIDMKGHHYELLPFGSGRRGCPGMPLAMRQLPTVVGALVQCFEWKMLDSEGKILDRGKTIDMDERPGLTAPRANDLICIPISRMNPNTFLQLL >RHN62428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43380779:43387393:1 gene:gene25004 transcript:rna25004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDAMSSDSEWETSSDSSSSEDQEEIDFQYGGQAQNILSSLEESIGKIDDFLSFERTFVHGDVVCSVSDPTGQMGRVTSVDLFVDLENEKGKLLKNVNSDKLSKIRSISEGDYVTKGPWLGRAQRVVDKVTVLFDDGAKSDIIALEREKVLPLTRKFPEDSQCPYYPGQRVKVKSSNASKSAGWLCGTWRDNQDEGTVCAVDASLVCVNWLASVLSGSNLAVDAPPGWQDSKNLTVLSCFSYTNWQLGDWCMVADQEEQTVQHAPTGSLTMEHSMTRGCKSQGRNLINSCIGEFFIIGKIKTKVDILWQNGEHSLGLDPESLLPVNVINTHEFWPHQFVLEKGVSYDPLKPSSKRWGVVQCVDAKEHTVKVQWKTVSMSKPNSLDGDKMEETVSAYELVEHPDYSCFYGDIMFKAAQKQFGYQADKETEKSGNDMNAEAALKNGNQMNYQDDFPDDCYLRCVGTVIGFKDGDVEVKLATGFTTKVAPYEIFRIDKHEGTTVTPAPQEMIERGSQPSDKKGKDLLNGDGRKENYEQNLECSSFFLPRVAFELFSSVKSSIFQTLGGTSISGAFSSLPTFEKDNESDYPDKKDLETCNFCIEPHPTDELQSTEDRTSHPEVIRIHDKNDFSLSLESNNSNQFKQFDVIENCSEDHHFFDEGRGLSTLQVKKGWVKKVQQEWSILEKSLPDSIYVRVFEERMDLMRAVIVGASGTPYHDGLFFFDICFPPEYPNEPPMVHYNSGGLRLNPNLYESGKICLSLLNTWTGAGSEVWNPGTSTILQVLLSLQALVLNEKPYFNEAGYDQQIGRAEGEKNSVSYNENAFLVTAKSMLYLLRKPPKHFEALVEEHFEKRSKHILLACKAYLEGASIGCGKTEHENQKGTSAGFKIMLAKLFPKLAEAFCDKGIDSSQFVELQE >RHN62965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47100845:47105589:-1 gene:gene25606 transcript:rna25606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MWDLNGSPFKRKEDEKSEGCSSLKTSIDGDEDKAKRVGGSVSNSSSSAIVIEEDSEEDNSNKDMMMMMMKKRNSKIFGFSVSQEEENENSLDSENFPVTRQFFPMDQESDDMVVASSCGGNGTSSSSSSFPRAHWVGVKFCQSETLGSGKSVELSSSSSSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYEEDLKQMTNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEVEAARAYDKAAIKCNGKEAVTNFDPSIYDSELNNSDPASNAGDHNLDLSLGNSSAKPINNTQANHASDQSNLQHGGNKPKLVNILPKPYNRPNNIEAYGRDLHGESEALRMLSQTHLHSSSSNEMHRYAPFRDQSQMLQSFAHTHPPNIHFPSSSYGSRVGSDLSLSTSNHHQQQQWQSGPHYLATAAAASSGFPPQIRPPSSSQAWQHINGFHNLMRPS >RHN42596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39594941:39595315:1 gene:gene49053 transcript:rna49053 gene_biotype:protein_coding transcript_biotype:protein_coding MIAILSSCLLGWELGCKQQSAILSTEPGSQVPPCFDWSEDVSKMQFGGSTKEIESSFRTRDQYTYRC >RHN79570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30198908:30200494:-1 gene:gene3382 transcript:rna3382 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLNSKLYLENCYIMQENEKLRKKAKLLNEENQVLLSQLKQKLSKGGSSTQNGNGPSTALNLGPSNPSSNN >RHN47388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39375287:39379807:1 gene:gene41981 transcript:rna41981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MALSNVHMLQSARGFQKCNLSFSSHGYPRRGYRTNHLAFASMHTSDPKVDSLLDSVKWDNKGLAVAIAQNVDTGAILMQGFANREAVATTISSRKATFYSRSRSSLWTKGETSNNFINVHDVFLDCDRDSIIYLGKPDGPTCHTGAETCYYTPVFDLLKEEEVEGNKLALTSLYALESTISQRKAEVVEENGKPSWTKRLLLNDKLLCSKIREEANELCETLENNEDKSRTASEMADVLYHAMVLLALKDVKVEEVLQVLRQRFSKSGIEEKRSRPTQKSVEN >RHN69150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41391128:41391522:1 gene:gene17582 transcript:rna17582 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFDESFIWKNIGWRESWSKRKGFGADWTQKYEDWETKYISHKSEVWHGPCWPWHGRATLQMPFAAFALTPSYSILAHNLPRNILGIYLLRF >RHN79947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33737043:33737318:1 gene:gene3806 transcript:rna3806 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLLKTCQQVCRNIFLPTCYLSVLRARMQLYCWRVQGVLGAAMDSLTIADTRGIIDISLHHRDYDVTVTHPFQNSEYMCIRRGFYRCEIV >RHN48757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50001617:50003639:1 gene:gene43508 transcript:rna43508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MAYPNILFILSLLFPLLTFSSSNQNPNTITLPLSPLFTKSPSSNLFNSLKTAATSSLTRAHHLKTPKSNHNKSKSSPSTNTQIFSKSYGGYSINLNFGTPPQTLSFVLDTGSSLVWSPCTSRYLCSNCNFPNINPTKIPVFIPRNSSTNKILGCTNPKCGYIFGPDIESRCQGCNPNTQNCNNITCPSYLVQYGLGLTAGVLLLDNLNFPGKTVANFLVGCSIVSDRQPSGIAGFGRGEDSLPSQMGLKRFSYCLLSHQFDDSPENSNLVLQVSSTGDRKTNGLSYTPFRNNPSTNNTAFLEYYYVNLRSVVIGGKRVKIPEIGTDGNGGTIVDSGSTFTFMERKIYDLVAKEFEKQLSNFTRAKDIEGESGLSLCYDFTGVKTVPFPELVFQFKGGAKMKLPNDNYFSLVGDGEVACLTVITDGVSAPERSGGPAIILGNYQQQNFNVEYDLENERFGFGPQRCEKSA >RHN53199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42776810:42777363:-1 gene:gene37979 transcript:rna37979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lupeol synthase MKLIINSQMEDGDFPQQEITGVFMRNCTLNYSSYRNIFPIWALGEYRHQVLSAQTPNAAP >RHN79772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32005421:32010258:1 gene:gene3608 transcript:rna3608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MIGDFRDRICTAAEIRYYFHGFVAQGGASSENYVKPNKNCNLTSWVSGCEPGWACSAGKDIDLKKDIKEIPKRTKNCKPCCEGFFCPQGLTCMIPCPLGSYCPLAKLNKQTGLCEPYSYQIPSGETDHDCGSADIWTGVLNNSDIFCSPGSYCPTTTRKVSCDRGYYCRMGSTHQNLCSSFSQCNPNTTTQNMHAYGALLIVGLSTVLIFIYNCSDQVLATRERRKAKSREAAARQVRETVQARERWKLAKDAAKKHKLGLTHQLSRTFSRKKSVKQGEQANPAMSNSGLPPPPALASAAIKGQTKEPSNLTKMLDSFDDPNSNEGFNLKIGDKNIKKQMPKGKNLHTQSQILRYAYGQIEKEKAQQEKNNNLTFSGVISMASEVEEDIKSRPEIEVAFKDVTLTLKGKRKHILRCVTGKIMPGRVSAVMGPSGAGKTTFLSALAGKIRGCTMTGSIFVNGRPESIHCYQKIVGYVPQDDIVHGNLTVEENLRFSARCRLSDDMPKPDKVLIVERVIETLGLQAIRDSLVGTVERRGISGGQRKRVNVGLEMVMEPSLLILDEPTTGLDSASSSLLLKALRREAAEGVNVCMVLHQPSYTLFRMFDDIIFLAKGGLTAYHGPVKKVEEYFAGIGIPVPDRVNPPDHFIDILEGLVKPNEGVTYQQLPVRWMIHNGYPVPPDMLHYANEISASSSSTVNHEIKGADDAADQSFADEFWEDMKSNVQLHKDRIEATFLSTKDLSGRITPGVGRQYRYYLGRYEIL >RHN52968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40740658:40741037:-1 gene:gene37710 transcript:rna37710 gene_biotype:protein_coding transcript_biotype:protein_coding MILNCLKLKVGLMKFERKRMGGHVRGRENEGRYSDFFEGEECRNL >RHN56208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28767664:28769220:-1 gene:gene31573 transcript:rna31573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MYFYLSFVWRIAICCVRARMIPQDLKDLNSGNTTDFAGVILRPTIGTKMHGVSGTVSVYNPIVEKDQTSSAVIWVRSGPPDNSNIITIGWHNDSFKKTGCYNLDCSGFVQADNTITPGQSFNKTSFLNGLVINLPLSIFQDPTTKDWWVSVEKKEIGYFPASLFSNMTYVDEVAWMAITTTPLGTRSPSMGCGEFPMGISNHGSYFKNPEFVNDFGQNQPLEKDDGHIYTSKFVCFGAEYIEDKDVGLSVEFGGPAGCNA >RHN79429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28782814:28783959:1 gene:gene3219 transcript:rna3219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MESEWKANQISPNCPRCGSSNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGNNKTLVRHRSFDSLTFKNSPNSLTTLDNNHSYDPILRTNISSSSSSLVNDRPNIDLALVYANFLNQKPDSHIPDQMRKTNFDPCLENSRLSNVEGGTSSLNLSELELGFKGCLNLNPEQLPSTTVTNFSEFNSMQTLQKDRIDHCSNIHDDGLNVNFELPPLPGEEDSPSHDHVLWSNSEMMINLPFQVTQPPPPFLGPDQIHDADLLIGNWSPFDFPRDA >RHN42265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36869324:36873977:-1 gene:gene48685 transcript:rna48685 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPNSLEDWIKVSYVVFAFFSALFLGAIKGFIVGPIAALILIIGNVGVILGLFPAHVAWTVYTLLKIEIFDAALKVAILIALPALFGIWLGLGIAGSVLVGVGYGFFTPWVSTFEAFRYDNESKKFLHCIVDGTLGTIKGSCTVVRDFADICYHSYPSYLKELRESPCSDQCKTLRLIHVPGCVIVGIVGLIVEVPLFTAIAIVKSPYLLFKGWYRLLHDLISREGPFLETVCVPIAGLTIFVWPLVVIASILLAVVSSIFVGLYASIIVYQERSFHRGLAYIMAMVAEFDEYTNDWLYLREGSFFPKPQYRKKMVSQSSEFSTRGNNTSESRSNTTMEPPAMFMPNLAPSRSVRETIQEVKMVQIWGNMMRDCEIRGKELLDANVLTAADLYEWLRGKNVNEASIVGVGLPCYSLLQTLLFSIKANSSGVLLLDDFEITHFNRPKDKLLDWFFNPVMVLKEQIRVIKLVEGEVRYLEKVVLFGVNKQRLKTWDNGSLLIPDGLRAAQIEGISRRMIGMIRGVSKLPTYRRKFRQVLKALFTHSLEKDASEKALVTHSQDRDVSGKSLVTHYLEKDPSGRSSTRSIVSVPSDENV >RHN67735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29882099:29884503:1 gene:gene15957 transcript:rna15957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ALOG domain-containing protein MDPSSEGAGAPSEQLPSSTTSPPIVVQPEGSSPAPPPAPPSRYESQKRRDWNTFLQYLQNHKPPLTLVRCSGAHVIEFLKYLDQFGKTKVHVSGCPYFGHPNPPAPCACPLKQAWGSLDALIGRLRAAYEENGGRPESNPFGAKAVRIYLREVREGQAKARGIPYEKKKRKRPAVTVAAVSSSGGGNDDGGGGDGGAGDNTVGGSSGATTSTTEFSTATTTTV >RHN43527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46531279:46533823:1 gene:gene50122 transcript:rna50122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MPKDYNGSPKHYNQLESKRNRLTWILGVSGLCILSYVMGAWKNTATPTNQSEAYSKVDCNVGSTASSSSISSSSSSSKLDFASHHSLEISTSGGIKEFPPCDMTYSEYTPCQDPARGRKFDRNMLKYRERHCPTKEELLYCLIPAPPKYKTPFKWPQSRDYAWYDNIPHKELSIEKAVQNWIQVEGDRFRFPGGGTMFPRGADAYIDDINELIPLTTGNIRTAIDTGCGVASWGAYLLKRDIIAMSFAPRDTHEAQVQFALERGVPAMIGIMASQRLPYPARAFDMAHCSRCLIPWNKNDGMYLIEVDRVLRPGGYWILSGPPIRWKKYWRGWERTEEDLKQEQDSIEEVAKRICWKKVVEKDDLSIWQKPKNHLECVQTKQVFKTPHICQSDNPDMAWYQNMEKCITPLPEVTSPSKVAGGELEKWPRRAFAVPPRISSGLIPSITAEKFQKDNELWKDRMSHYKHITPIAQGRYRNIIDMNAYLGGFAAALLKFPVWVMNVVPSNSAHDTLGAIFERGFIGTYHDWCEAFSTYPRTYDLIHAAGVFGIYQDRCNITVILLEMDRILRPEGTVVFREGVELLTKIKSVTDAMKWKSNIMDHESGPFNPEKILVAEKTYWTGGAKENSN >RHN76230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48753511:48755687:-1 gene:gene12523 transcript:rna12523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MTMMGNKSLSICMATLAIAFFIMIMVPKNVSAQNCGCAEGVCCSQYGYCGNGDAYCGTGCKQGPCYAGQTPPSLPNNDANVADILTQDFFNRIIDQADSSCAGKNFYTRAAFLDALNSYNQFGRSGSLDDSKREVAAAFAHFTHETGHFCYTEEIDGPSKDYCDEGNTEWPCAPNKGYYGRGPIQLSWNYNYGPAGRDNGFDGLNSPETVANDPTVSFKTALWYWMNNVHGVINQGFGATIRAINGRLECDGANPSTVQTRVGYYTQYCSELGVAPGDNLTC >RHN69241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42056051:42057604:-1 gene:gene17683 transcript:rna17683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant specific mitochondrial import receptor subunit TOM20 MSYGLLTPDFSEAEGYLDKACEYFQKAVVMDPKNGYYERCLECARQFRKDDDKLIIGLVMFTFIRMLICFIRGTLRKISQTGPKNTCGDPQKWAWAWSGSGIVQSTVTIWFVILIANDTRALESKKEKSSDFKYDGPGWIILAVGIVACAILFSKLSVKDNSF >RHN74159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29470523:29473128:-1 gene:gene10161 transcript:rna10161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MEVTNKYIVIKHHIEDSPKESHFEVKTEAIGLSLKPGSDEVIIKNLYISIDPYLINRMKSYSASHNAISFATPLTPGQAIDALVIGRVVTSGNAKFEKDDLVMGVFTWAEYSVVKEQSIIKKLESFEFPLTYHLGILGFSGLSAYGGFFEICKPRKGETVFVSAASGSVGNIVGQYAKLLGCYVVGCAGSQKKVTLLKEELGFDDAFNYKEETDLNSTLKRYFPDGIDIYFDNVGGEMLEAAVANMKAFGRVSVCGVISEYTDIGKRASPNMMDVVYKRITIRGFLAADYMNVFGDFSAKTLDYLRNGQLRVIEDRSLGVESIPSAFVGLFNGDNVGKKVVVLADE >RHN52696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37821599:37822061:-1 gene:gene37394 transcript:rna37394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MKIGKKEIIEESKKQLWLAGPMIFVCVFQNSLQIISLMFVGHLDHELLLAGASLAISFVNVTGFNVLLNFQSSFN >RHN43099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43173093:43175992:-1 gene:gene49624 transcript:rna49624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MNKPIKLAITTLYMASLFTFSLFLFITLSSATHHHNHNHHQPTPSPSVSPTAGSEIQQACKATRFPQQCESSLANLPPNPTALQLIQSAINLSSTNLVTAQSMVKAILDSSSSSRNRTVAATTCIEILTNSQNRISLSNDALTHGKIKDARAWLTAALVYQYDCWNSLKYANDTHAVGEAMSFIDSLETLTSNALAMAFSYDVYGKDTSFWKPPTTERDGLWQATGSGGGSVSSVGIPAKLTPDVTVCKGGEKGCYKTVQEAVNAAPDNGVDRKRFVIYIKEGVYEETVRVPLEKRNVVFLGDGIGKTVITGSANVGQPGMTTYNSATVAVLGDGFMAKDLTIENTAGPDAHQAVAFRLDSDLSVIENCEFLGNQDTLYAHSLRQFYKSCRIVGNVDFIFGNSAAIFQDCQILVRPRQLKPEKGENNAITAHGRTDPAQSTGFVFQNCLINGTEDYMALYHSNPKVHKNYLGRPWKEYSRTVFIHSILEVLVTPQGWMPWSGDFALKTLYYGEFENSGAGSDLSQRVSWSSKIPAEHVSSYSAENFIQGGEWMQSSHLSAHHGRSHHSTHRRHE >RHN60537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27912159:27914606:1 gene:gene22858 transcript:rna22858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol-forming fatty acyl-CoA reductase MNSQDFLKGKTILVTGAAGFLAKVFVEKILRIQPEIQKLYLLLRASNTDLAENRLRNEVFEIDLFRVLRAKWGENFSSFISKKVVAIAGDVAIENLGIKDEKLKREIFEEIDLLVHFAASTKFDERFDILMAVNTQGALHALNVAKNCKRIKAFVHISTAYVCGDAKDGDSIILRENPFEMGESLKGTSKLDIHEEMNLLERKLAELQAMNVDENTITCAMKDYGMERFINFNFNYYFFGTNHQFLFYYIGLNENEVLRSFYFKNQFFIPLFYFFWNFNQPYHLLDIFFL >RHN64792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61870095:61871540:-1 gene:gene27649 transcript:rna27649 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYREPMNVSERAPISSQVPHSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLEGNGESERDLEAGERNNDTDQKPYEEKILVIMAGQDKPTFLATPSMSSSTSTSTSRSSSFGDNTSTCTCEHDKDQKSIENMNDEESTVKQGGGGGENHVRRTESVETPTTDTS >RHN70880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54706694:54710005:1 gene:gene19511 transcript:rna19511 gene_biotype:protein_coding transcript_biotype:protein_coding MYMKRKIKILKPRENPFLCTIYCVVSINPRCAKIWGVAPICSSMASRYRSFSQPAFSLIKSTINKPKSSSSSFSPFLLKTRTSVTVRSVAELGCVQSLLPLHSAVSSARLTSCLGIDSTSSRSLSQGMLCSANPGV >RHN48363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46993878:46998490:-1 gene:gene43073 transcript:rna43073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ion channel regulatory protein, UNC-93 MASVIIHRDEETPLVVATDESPIQFQQHKSHTRDVHILSIAFLLIFLAYGAAQNLQSTLNTEEDLGTTSLGILYLSFTFFSVFASLVVRILGSKNALIVGTSGYWLYLAANLKPNWYTLVPASVYLGFCASILWVGQGTYLTSTARSHAIDNNFHEGAVIGDFNGEFWGVYTLHQFIGNLITFALLSDGQEGSTNGTTLLFVVFLSVMTFGAILTCFLHKRGDYSKGGYKHLDAGTGQSKSLKSLCRSLTGALSDVKMLLIIPLIAYSGLQHAFVWAEFTKYVVTPEIGVSGVGIAMAVYGAFDGICSLVAGRLTFGLTSITSIVSFGAFVQAVVLILLLLDFSMSSGFIGTLYILFLAALLGIGDGVLMTQLNALLGMLFKHDMEGAFAQLKIWQSATIAMVFFLAPYISFQAVIMVMLTLLCLSFCSFLWLALKVGNASSPSTS >RHN73444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16482815:16486151:1 gene:gene9258 transcript:rna9258 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSSRSSCTSKKIRLVHLSGYVEDFEQPISVNQVINSRNPPTHFVCTSLQLLSSSSKPLKGDTQLQPGNVYFMLPYSILQADVSPVDLASLAKRLTAKAKTSRFDEKKSLKGSSPLSNQDALSSIWSSPSMSPGRVAVAEQYGMTYGGRSTCRGRLWRPLLDTIREKSFNRSNESDLKEFL >RHN62094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40688680:40692327:-1 gene:gene24628 transcript:rna24628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyltransferase, DXD sugar-binding, alpha 1,4-glycosyltransferase MLRSRRRSPYGAYLCAVISAVLLLLSVSLLYSRLSLSNSNPPSNPRTLLSDSISDEDSDLSTTSDPIDELDFIDLDQQQQQQQTQPPPTNPYFFDPITSSIRRSFKTPPIFTSSITTDDFTILSPPQDPSKFAFTSDDIPLDDEVRRKATVITSIEDALLLKSPSLREIWGEWFDKKSVFLRKDKMLKSSFEAFNPMLNPLLQDPDSVGVSSLTRGDKVLQKWWINEFKKVSFSVHKNTNNNGNLVTVAKGGTERRTLKLNDNGDNHIYADGNNWGYFPELPLRLSFNDFMDAFFRKGKCVMRVFMVWNSPPWMFTVRYQRGLESLLFHHPNACVVVFSETIELDFFKDSFVKDGYKIAVVMPNLDQLLEGTPANIFSTVWFEWRKTKFYSTHYSELIRLAALYKYGGIYLDSDIIVLKPISFLNNSVGMEDQAAGSSLNGALMAFGRHSLFIKECLEEFYMTYDDNSLRWNGADLLTRVAQKFVGEENKTIKQLELNKEPSHVFYPINSHDITRYFVAPTTEMDKAQQDVLLEKILHESLTFHFWNSLTSALVPEPDSLVAKLMNYACIRCLELL >RHN44495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5424349:5424684:-1 gene:gene38622 transcript:rna38622 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVRKCYGELEIGRGSFLGKRDMSKDEASLVVMRSCDLTLSVNNHRKGFKLDSKSKKTITLLYVENNARGKIFFPYVNNISKKHNKLSTYGNHHLGILASASADKNSNAE >RHN74050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:25287625:25287810:1 gene:gene9994 transcript:rna9994 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPPGNTPHYCNACEKDVNGFVYHCKSCGFDLHPCCAKLPMVLNDGEMKLYLYRKVSSPL >RHN73862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20773847:20775758:-1 gene:gene9745 transcript:rna9745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casparian strip membrane protein MASRNGEEKVEHGFNDFHETKKKNDWILLSLRVVAFFATAAATLVMALNKQTKSFVIGTVGNTPLTATLSAKFNQTPAFVFFVIANGNASLHNLVMIALDILGPQYDYKGLRLALIAILDMLTMALASAGDGAATFMSALGRNGNSHARWDKICDKFESYCNRGGGALIASFIGFILLLIITVMSISKLLKPNRINHAPSP >RHN51800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25525924:25528399:1 gene:gene36331 transcript:rna36331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MMFGKMWSKVKTKMDFIQWLGLDLSIKVLTYLDDPCDLVRVSAVSRSWYRFVIENGLCKQLCFKMCPEISDVVHSIEVDDMIEPVSNMLEGYRDWESLKRTCFQDGSPIYSAEAIRFRIGHPRHLIELESAIAHGMASSNMVGDTQFVWTYTSPYYRMLQENRLQEFKLPEPALCIGGVLLVEMRKRVKRRGLYYYIGISHVQVVGLPISPAFDVKIRHPSGKYTLKYCSENRKYSAPSSRSDSSNRSCLWSLTSSITRWDVMLWMHCLVLVLALLLLIKKLKESGASILS >RHN74903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37671716:37674158:1 gene:gene11037 transcript:rna11037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MENQLLLPTNYGKKAMEEELIRGRDMANQLLEVLTFDDKSNIREVKGSNIVAEDLVLEVLKSLTNTLLMLNNGKESNDMAVPTTPTTIRDFSFSSNCPKMEEDLDRGYKKFETLNTKNPKGSNKRKSSAPTWEKTASILIDDGHTWRKYGQKMLTNAKYFRNYYRCAHMYDQHCEAIKHVQRIQENPPLYRTTYYDHHTCKSSYHSDIKLESILCYDDSSILLSFDNNISSEQEFPFRPRPPSPQLPLLASTKEEPKEEIHNDRFPRDQLLPSENLQLCDFDVYFDYLRDVSELSSIESF >RHN51229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15232390:15233451:1 gene:gene35629 transcript:rna35629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II MTAILERRDSENLWSRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAGWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAVEAPSING >RHN76532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51022455:51023954:1 gene:gene12875 transcript:rna12875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MTGSNCLFTLHLNQHQQLLVVCFTILLFLSDLVMPYTVEISDSNSSTVPSGSTPLIDGISPKQDNARTDPREQHAVYDIMRATGNDWATDIPDVCRGRWHGIECMPDKDNVYHIVSLSFGALSDDTAFPTCDPTSSTISPSILNLPHLKTLFFYRCFSYNPHPIPSFLGRLGPSLQTLVLRENGHIGRIPNELGNLTCLKVLDLHKNNLNGSIPVSLNRITGLKSLDLSVNKLTGPIPNLTFLNLNVLDLNQNRLTDSIPSTLWECQSLIKLDLSRNRLSGPIPDKLMGLKDLMLMDLSFNCIQGPFPKSLKSLSSLQALMLKGNPMGSTILPNNGFDGMKDLTILVMSNMNLLGPIPESLGKLPNLRVLHLDGNHFNGSIPKSFRDLRSLSELRLNDNGLTGPVPFEREMVWRMKRKLRLNNNSGLCYDASSGLGDSVDSDLGIGLCESSSPGSVRTVQHVSDREKPALLDYVPISSDATLTRSLRLVTFVLFALIFL >RHN49413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54644784:54650750:-1 gene:gene44240 transcript:rna44240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 43kDa postsynaptic protein MAPDASDALAVRQKVQHFLNAARTGNLDLLKKFAEQLDEGKDLSKTVEAIKDANKRGALHFASLEGQTQICSYLIEDLKLRINDKDDDGETALIHAARQGHTATAKYLIDHGADPTIASNLGTTALHHSAGIGDTDLLEHLLSRGVNPDLESDSGTPLVWAAGHAQEAAVTVLLKHGANPNAETDDGITPLISSVAADSLACLELLIQAGAKVNVSAGGATPLHIAADNGSLELINSLLKAGTDPNVSDEDGVKPIQVAAGRGNRGAVEILFPMTSKIDAIPSWTIDGILEHVQSESKKQQDESLNAKENNWSVDATVSQEQNIPEVSPEAKKRAAESKSRGDEAFKRNDYHTAIDSYTQAIDLNPSDGTLFSNRSLCWMKLGQAEQALADAKACRALRPDWSKACYREGAALRLLLRFDEAANAFYEGVTLDPESKELVNAFREAVEAGRKFHGTTKDKS >RHN51175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14813022:14814384:-1 gene:gene35561 transcript:rna35561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSLFRLRQYFEVCGILSDVYVARQLNSLGQVYGFVRFLNVRNREKLAQALNNVWIGDRKVWAREARFDRFAQYDVENRADVNEVRRDRTEREVRPMVITHREGVKNVRVRRLEEEAREGKGEKKILKVGTVEVNVEKKKNELKKKKEEKKREGRC >RHN80659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39840058:39841526:-1 gene:gene4610 transcript:rna4610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MVSTQRTLSLLVLCFLVGTMLVNGQSANNVRATYHLYNPQNINWNYNTASVYCATWDANQPLSWRQKYGWTAFCGPQGPHGRDSCGKCLRVTNTATGAQATVRIVDQCANGGLDLDVNVFNQIDTNGQGYQQGHLTVNYVFVNC >RHN63561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52220850:52223903:-1 gene:gene26275 transcript:rna26275 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAGKIGDYTFKAFTATLGITTIYLTGTFSVNVYKGLAWHKAQSKLDAEDAGEKAS >RHN45718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24965615:24968902:-1 gene:gene40105 transcript:rna40105 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYYYKRSHVPKFGSWDWNDHNNFPYTNCFDSVTQPGSLRYSYSAESEDRDLYGTADFYDNHVVSSTMLLNVPRKRAKVTDKHEKEIKKKNWVSKMDIELKPNPQPNLIPTSLPIEEDLYNISPHLPYAKVKKRRGLCFFSTCFLPACIA >RHN57109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36569312:36569779:1 gene:gene32608 transcript:rna32608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MINELKFDTCTNTHTHTHTTHIRLFIFFPKLLMLLFEKEYGTGVPVSPHGDIYSFGILLLEMLTGKRPTDNMFSESLSLHEFCKMKIPEGILEIVDSHLLLPFAEDDTGIVENKIRNCLVMFAAIGVACSEESPAHRMLIKDAIANLNEIKSMFP >RHN82652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55460885:55462242:-1 gene:gene6829 transcript:rna6829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GRAM domain, PH domain-containing protein MNTSFLHELLNGISISSSYPAGKSSRRYLPDSTGKYCKSITKSNKGKLNSVLTKMNMFGRKDDGFAHGIREHVRLGPKITDTVKGKLRLGARILQVGGVEKVFMELFSVKDGEKLLKASQCYLSTTSGPIAGLLFISTHKVAFCSEKSIKISSPKGELSRVRYKVSIPHEKIQHVNQSQNVKKPSEKYIEIVTVDGFDFWFMGFFNYRKALRYLQQAILQKST >RHN43473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46142759:46146941:1 gene:gene50056 transcript:rna50056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MASITLILVFHVFIIIIISLLQSSKGKQIICNTLSCGDIVIAFPFGLKESNQDPLCSYSTNPTFQLSCNNQRQTILNLPKTDDLIIKNIDYITQTIHVNDPKGCLPKRYLDNNFNLSDSAFKLNPEIYSTYNLTFLRCPSNVTELPLAPISCLRDKEHSNSSSSPVIVSWAPPPLSQTCEVISTALVPLPSMDIPMWPWPNLESDVELVWTKPRCGDCLLDGQVCGFSEEDENRLQVECFPSPSNQGLSRSVKYGIAMGVGIPGLLCLIGLCYSICGKMRRTVPLYEQRTSNLPTITISLEPLPSFAMGLDGATIEKYPKTLIGESGRLLKANDNTCSICLSEYQPKETLRSIPECNHYFHAACIDEWLKMNGTCPICRNSPETYSSTGPSFSSLFLSPNSSPLSSSR >RHN54266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8149720:8152175:1 gene:gene29271 transcript:rna29271 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELDLDPEQQHMMENGFSRINTMQIDPRRARFPCSIVWSPLPVISWFIPFIGHIGICREDGVILDFAGPNFVCVDNFAFGSATRYLQLPKDKCCIPLSQSAYKGEEHYMQDETGGELRTWDDALLKSTQEFQHRSYSLFTCNCHSFVANNLNRLGYLSSGWNVVNLAVFILLNGRWVSKISMLRTILPFVIVFFLGVTLGGFTFLKFWFLFTSVLIGWFILGTYCFKDMIQL >RHN50041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3455207:3461498:1 gene:gene34289 transcript:rna34289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase Do MQVVSACNNMWCMSMLPTTPQKSISSVLSRREVCISSSLCNHDAIPPQQPISISTRTVQDESQDSGNTIKKVIVSPTRRVLMSSLTLYSSYCSSRYLSALALGDTSVTIEEVTPPVFSTEPLFPAEDRIVQLFESNTYSVVNIFDVTLRPQLNVTGVVEIPEGNGSGVVWDEEGHIVTNYHVIGNALSRNPSSGQVVARINILASEGVIKNFEGILIGADRLKDLAVLKVDAPKEILKPINVGESSSLKVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSKTGVTIGGGIQTDAAINPGNSGGPLLNSKGSLIGINAAIFTQTGTSAGVGFAIPSSTVRRIVPQLIQFGKVVRAGLNVDIAPDLIANQLNVRNGALILTVPKNSLAAKLGLNPTTRGFAGNIVLGDIIIAVDNKPVKSKGELLKVLDEYNVGTKVMLLIQRGDEKLELPVVLEEQSS >RHN40314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15240210:15243872:1 gene:gene46457 transcript:rna46457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal peptidase I MVPLRSIIKEACEKSLIVAKFFCVLHVTNKYLIDPVQTIGPSMLPTIDVTPSLYLAERISPRFGKAAQGDIVILRSPRNPRMCITKRLVGLEGDTITYVADPNKDDKQETVVVPKGHVWIEGDNKYKSNDSRNFGPVPYGLIESRLFWKVSPLKDFGSFWNK >RHN70507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51864597:51865083:-1 gene:gene19091 transcript:rna19091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative COG complex component, COG2, oligomeric Golgi complex, subunit 2 MADPILAHNRTVTNLFSDPLWFKPEPFLSPNFDSESYISQLPTFVPFHTLRSQLNNYLSSLNHQLIHLINRDYSDFANLTANIVDVDDVVVPACGAAGEYSAV >RHN46190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29544601:29551675:1 gene:gene40636 transcript:rna40636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tropinone reductase I MAETKLSSFKDQRWSLQGMTALVTGGTRGIGYAIVEELAEFGASVHICARNQEDINKCLEEWKGKGFCVTGSTCDLLFHDQRQKLMETVALVFDGKLNILVNNAGIFTPKPIMDYTDEDITTTIGTNFVSGYHLCQLAHPLLKQSGNGSIVFISSIAGLKAWPFASVYAASKGAMNQCAKNLALEWAKDNIRANVVAPGAVMTILFENAAKLAGRVDNVVEDMVSLTPSGRIGEPKDISGLVAFLCLPAASHITGQIIAADGGFTI >RHN56532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31701154:31704749:1 gene:gene31947 transcript:rna31947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MSCSVAVSNSPVFSPSSSLFCNKSETLTLSLSHLKPSSTTTTTSSSSSSSPSPCSSPSSPFCLRLPKLPLVFTSNKDSGSQNDAVLKRKRPTRLNIPVSEHAFCVPATPSAVARDVVEAEGDGYSVYCKRGRREYMEDRYTAGVNLRGENNLAFFGVFDGHGGAKAAEFAANNLEKNILDEVIMSDKDDVEEAVKRGYLNTDSEFMKKDLHGGSCCVTAFIRNGNLVVSNAGDCRAVISRGGVAEALTSDHRPSREDEKDRIETLGGYVDLCRGVWRIQGSLAVSRGIGDRHLKQWVTAEPETKVIRIEPEHDLLILASDGLWDKVSNQEAVDIARQFCVGNNNQQPLMACKKLAKLSVSRGSLDDTSVMIIKLKHYV >RHN43638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47392840:47394632:-1 gene:gene50247 transcript:rna50247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSRFFLTWRRCFCSVPQHSITSGNDPVTVIATLLSNTTRIRDLNQIYAHILLTRFLESNPASFNWNNIIRSYTRLESPQNALRIYVSMLRAGVLPDRYTLPIVLKAVSQSFAIQLGQQVHSYGIKLGLQSNEYCESGFINLYCKAGDFDSAHKVFDENHEPKLGSWNALISGLSQGGLAMDAIVVFVDMKRHGFEPDGITMVSVMSACGSIGDLYLALQLHKYVFQAKTNEWTVILMSNSLIDMYGKCGRMDLAYEVFATMEDRNVSSWTSMIVGYAMHGHAKEALGCFHCMRESGVKPNYVTFIGVLSACVHGGTVQEGRFYFDMMKNIYGITPQLQHYGCMVDLLGRAGLFDDARRMVEEMPMKPNSVVWGCLMGACEKHGNVDMAEWVAENLQALEPWNEGVYVVLSNIYANKGLWKEVERIRSFMKEGRLAKIPAYSITTNSD >RHN70196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49560683:49563545:1 gene:gene18752 transcript:rna18752 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKKSMLNIVCLLAMSTFLDLLGYLDLCPVLLFPIVFEICRICRYLRPTKRTMLNILCLIVVDSCMHFLGYSMLCRLCAALVILEIRTKISTYFLPTITIILAGALFVVLRSLPVIFLPQHTLDGRDGILDEPYDILAPIKEYYGWGKITIIRDPNYIPNHKFADREAVHIPNHKFADNVVRNPTKIWNLRRYFAIRSFNDINIIKLIAVRFLLKKPQVEQQTVVLKAISPGKQTRKEAILSDLRSVVSHHRDPLATPIRTVEEPVASDSKNDENFAGTSTSPPGVNFKDKSKPNSDSQCAVSETSPITSLEFGRGETSK >RHN47319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38834442:38835343:-1 gene:gene41902 transcript:rna41902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MAVANVEPDLPAGFRFDPTDRQLVECYLTKKILNQPLPTHLFEFDVFQTEPWRLPRENRYSLEHRKYYFFDLRNRRFQNMDATPAGNGEWRMVEWNEEFALSNNQLIVRKNTYVYWRVQGSQAVMTQWWMHEFVISTIFHPIRVMNTIYIDHYHYIKNFEDFI >RHN60118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21007971:21008859:1 gene:gene22348 transcript:rna22348 gene_biotype:protein_coding transcript_biotype:protein_coding MYEAVFQDICFRIPFSAFQVSVFEWMELCSSQLHPDSFAFLVAYELRGTDKDGGQNWVSFRQRKKLFEAFTGRATDFLEKFLLVRPRTEAALKTIMRMVEHPYGDGKTIAPRIPRFHFYWSPDHFRHEPDMFRHNYIGLSEQNKTIYTRIIEFVRSVSPSRVKDEAGKFVLDSRGNQVTVLRVIDTRSMVLSDDPMELLGRNSSFISLLISSLSFLLMNCFCFLCAVKMSQICSLVNKSNQKITGQSSSSRRRENHGEWAADVSFSSRGRYDPS >RHN47770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42410364:42412644:1 gene:gene42409 transcript:rna42409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase transcription factor WD40-like family MHNISSTKQESLVHNIPGSIFSPITLSSIGMRPQLHLTAAVVAVFMTAWLAYSRGVTPSKDVTKTSTFGVFNVWQYENKLPIEYGAVGPESFAFDPHGEGPYTGVSDGHIIKWHHHQNRWEDFAVTSSPHRGDDDDVEECGGPYKEHPKKEHICGRPLGLCFNVASGQLYVADAYMGLVVIEPTGGIARKVISHAVEGQPLAFTNSLDIDQRTGAVYFTSSSSKYERRDYVSLILTGDNSGRLIKYEPKSEQVNVLLNNLTFANGVALSKNGNYILISETTKCRILRYWLETPKAGTLEVFANLPGFPDNIKRSPRGGFWVGINSRREKLIQWMISYPWIGKGLVMLPLDITKTYSYLSKKKGSPGLAIRLSEEGDVLEIVEDHRSGNRSSITEVEERDGVLWVGSLDAPFVIKYNNSCGASIK >RHN72810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10879150:10886432:-1 gene:gene8569 transcript:rna8569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative brain/reproductive organ-expressed protein MGAGTENIDQLWSSDKYNSGLIDRFTLLIPYCLDFIKWDIIFDAESPNVAPDVIFGPEDEHFHPFHMSPPSVEPNNNNNNSSLLSDWNYKDPKCLLNFIQFLRDQYVLYQAKRVGEVDDDRLKFEISTIVSREGLEMHMCSFVDKSEEVKFAVPLLDMNINKMVSGCPWRQSQKIYLQVVYPVGRKYMSAPSAPRLKLVSSSELKALYSIDDVKLPPWLNGMCLAEYLPNLEEYLEKQVLEAVSLIDVRRQFIEALADELGRPVEADPVFCRKATFLSASGVLTFLVHFVIPTQFPKQQPVIMLQSSQHFNAQMAPLKSRPMSDYPWSPRWEPSLMAERIFEFLVDEALNFKRQCSEGQLQL >RHN45634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23980513:23981688:-1 gene:gene40015 transcript:rna40015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNLRPRKSQRPPNSMSSPTIFLPDELIVEVLSFLPVKTLMRLRSCCKSWNSLVSNPLFVKSHLQRSTQNPNFTLGRTLCRVDTSVLPISFDRFIESSCSSKPITLTNDPYYSLKDKDCSNVVGSYNGLICLLGYSFKSDEMWFRFWNPATRTISDKLGHFCSIPYSYDLTFGYDNEKDTYKVVNLYRGAKIFSLGDNTWRNIQSFPVEDHQLSCDGVHLRGIVNYLAIRNYYSHSSYDCKDLTVEQFVIISLDLGTETYKYLLPPRGFVEVPFIKPSICLLMDCLCFSHVVKKTHLVIWKMTDYGVQESWTQLRRIDLQIIDYNLEKKFHSRWLPLHLSKNYDALVLANDSEELPVVYNLIDHSVKRTRIINGERWWHYIKNYSESLVLCE >RHN51778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24787027:24787464:-1 gene:gene36296 transcript:rna36296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MKFREIDAQEEFEEILHKIKQEPFDCSKKDNCRCDDPADIEYDSTRTWVKYKPNIPKTPKGFKRISVLRDDYSKLDSYYITPTGKQLRSRNEIAAYLKDHPQPNGVSALDFDFSSPKVMQDTIPDIIVKQKDFANKKVKIAKDEV >RHN42140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35759660:35761451:1 gene:gene48542 transcript:rna48542 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNSSKMMSDANDCTKMGTHSVYAADLSSYEAACVKDPNLQSFDESIKEHTNRVISSLATGVEVRSLSFNSLREVTDSLLEMNHEVVKVILDCKRDIWGNKDLFALVNDYFDNSLQTLEFCNSLEKCLRRARENQVMVKSVITYFEEEVQNGLEGGTCVKTLQELRNFKDAGDPFTEEFYLLFQSVYTQQATMLKKLQIRKRKLDKKLKSLKSWKRVSNAIFLAAFVSVLIFSVVAASIAAPPVVTALAAALVVPLGSVGKWCNSLFKGYEKAIKGQREVVSSMQLGTYISLKDLDNIRVLTNKLELQLESLLQNADFALKNEDVIKLAIDEIKKNIETFSETLETLSANADKCSRQIRKARTVVIQNIIKRPD >RHN72049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4628940:4630854:1 gene:gene7718 transcript:rna7718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKNGIIFRPFFFSRRFLSSLLSPHQPFSQNHDFIPPSTFFSNTLQHYINSQTPSHGQKIHSHILKTGFVPNTNISIKLLILYIKSHSLRYARQVFDDLHDRTLSAYNYMIGGYLKNGQVDESLDLFHQLSVSGEKPDGFTFSMILKASTNRVSNGMVGDLGRMVHAQILKFDVEKDDVLCTALIDSYVKNGRVGYGRTVFDVMSEKNVISSTSLISGYMNKGFFDDAEYIFRKTLDKDVVVFNAMIEGYSKVSEYAMRSLEVYIDMQRLNFRPNLSTFASIIGACSVLAAFEIGEQVQAQLMKTPFFAVIKLGSALIDMYSKCGRVIDAQRVFDHMLEKNVFSWTSMIDGYGKNGFPDEALELFKKMQIEYSITPNFVTFLSALTACAHAGLVERGWEIFQSMESEYKLKPRMEHYACMVDLLGRAGWLNQAWEFVTRMPERPNSDVWLALLSSCRIHGNIEMAKLAANELFKLNAYGRPGAYVALSNTLADAGKWDNVSELREVMKEKGISKDTACSWV >RHN73891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21230492:21232405:1 gene:gene9782 transcript:rna9782 gene_biotype:protein_coding transcript_biotype:protein_coding MHISFIPGELQDLWDLWGLEILMLMSFTIQVILTVYGSRRKDIPGMGIRLTVWFTYLLSASLAKIIIGKLTIIPESDPDERNIRRELKALFAPLLLVQIGNPDAITAYSIEDNRLGLRQLLTLVLQVAVVIWIIVRSWTHSHLSYLYLPLLVSGLIKYGEVVWTLKSALTKTSGIITVQEIDDQEANMPALFRFLPDDIPNIELILKAYYRFISLKPHRENWLYQPLYDSLPWMSIDEYSPEDIFHITDAELSFMYDVLYTKAPIIYTKAGCILRVVSFSHLVMTLCGFSILFREDFSRHWKACFIVGMLGGAVLLEAYQIAQLPFSDWAIVQMIKHQHRPFMIPCLRILGPRATNWKRWSNTLAQFNLMSFCIHHDIPLKCGRILKFRGIDMMLKKNKNRKRVPFPKELKVLMVEEMKDIDRDRGLKPFNHRGDWSLGRYDCLNDLKWSVKRDFDKSITIWHIATDICYYSDSETNAQYCTKIQMAKSLSDYMMYLLALRPHMLSMTTTGIIFRHACDKLKSLLLQQEESVKDEKEACRILRMERLPHYSNSERKSETVVTSKWHILRDAQRLARNLMVRENRWEIICSVWVEMLCYAAANCNVDYHSEQIRRGGGLITHVWILLAHKTDKYHISD >RHN62498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43874662:43875219:-1 gene:gene25081 transcript:rna25081 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSPPSCNFLAPSTYSVAAVVQRVSIAVVIKAFVVISVANLMSSQRCRIKTPIPSIGNLKQHQFSWEIVVGRKRW >RHN50020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3198497:3200540:-1 gene:gene34267 transcript:rna34267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MEMMMKEENERRKLISMADDDFQVISKRRRREPPSAALTLEDKQSQKQQICQNSTTSTTKRSSRFRGVSRHRWTGRYEAHLWDKLSWNMTQKKKGKQGGTNYLSNITGAYDDEESAARAYDLAALKYWGTMTVTNFPVSDYEEQVEIMQTMTKEEYLATLRRKSSGFSRGASKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGSHAITNFDLSTYMRWLKSSTENISETKVEKLEVPESQAAAFKSNYSPIEGSKTLALHNATYNSDYLNSAQKYEVFDNKTYQMSNTKSSSSPTALGLLFRSTIFRELVQKNSDMSGDETDWEDTKDKQPLTAAASDDELDGIFCDGIGDTSFLKPQWTP >RHN63144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48838736:48839028:-1 gene:gene25811 transcript:rna25811 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNIETLLSYHCLTGPLLKNPVSEYDKAINKLQMKYRTYIVEYDFETVSMLSFSL >RHN72416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7447761:7448090:1 gene:gene8124 transcript:rna8124 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRLFPPIIRFLSLTINASVPGFNLTLFTNNKESTPRMQEELKVIAAHLGDFVQPTLSKADMSSTSLTKEEKLTPVVNRKYKKNQIVKASSSTSAKSKPTLGCLSQPL >RHN39448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7215013:7215925:1 gene:gene45472 transcript:rna45472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MIFIIFLLTLLSHSTTNASVLDFCVADLSSSQTPSGYPCKKSVTINDFVYSNFNSGNTSTNFFKFSITPAFVNQFPAVNGLGLSAAKLDLDVGGIIPMHSHRGASELMIVVQGRITAGFISSDNTVFIKTLSKGQILVLPQGLLHFQYNAGRNKASAYLTFSSENPGSQVLDLALFGNNLSSANLIKSTLLDPAQVKKLKAIFGGSG >RHN50927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11907059:11912183:1 gene:gene35282 transcript:rna35282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative voltage-dependent anion channel MENHITLEIIEQASPPETPSLIKYISSNELEDFDEFDSEFPSPISKESEETYNHQRKPSISVSMPLCYKETQLQSLNNNNNKNVSFSGENVIIRDDLASGIAMSEPPRQSKFKSQPMPKGVAFQQDGSQTRKANRNHNQPGIKMFRDKRFDSFKTWSGGGLERQLSILRGKEPIGNAQDGNNATRSFDRALPVDRYFDALEGPELETLKSSEEIMLPHDKQWPFLLRFPVSSFGICLGVSSQAILWKTLATSPTTEFLHITPKINLILWYISAVLIATIFAVYILKLLFYFEAVRREYYHPIRVNFFFAPWIALLFLALGVPPSVTKNLHQSLWYILMAPILFLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLIEGPIFFFAVGLAHYTVLFVTLYQRLPTNATLPKELHPVFFLFVAAPSVASMAWAKIQGSFDYGSRIAYFIALFLYFSLAVRINFFRGFKFSLAWWAYTFPMTGAAIATIRYSNQVPNIVTKSLCIALALISTFTVIALLLSTILHAFVFRDLFPNDIAIAISDRKRKPHKHWLFRYGSQDSKEIENYLKFVNTDESSLDDSTPQPSSNDTDHNLPK >RHN69031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40470884:40477950:1 gene:gene17457 transcript:rna17457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc/iron permease MAGSILWYTHVTMKNMNIYQYPIEKLRVQPVGLIVAFAVMATLAAECTCDEEDEERDRSKALRYKIAALVSILVASAIGVCLPLLGKVIPALSPEKDIFFIIKAFAAGVILSTGFIHVLPDAFENLTSPCLNEHPWGDFPFTGFVAMCTAMGTLMVDTYATAYFQNHYSKRAPAQVESQTTPDVENEEHTHVHAHASHSHAHGHISFDQSSELLRHRVISQVLELGIIVHSVIIGISLGASESPKTIRPLVAALTFHQFFEGMGLGSCITQANFKSLSITIMGLFFALTTPVGIGIGLGISNVYDENSPTALIFEGIFNAASAGILIYMALVDLLAADFMNPRMQKNGRLQLGSNISLLLGAGCMSLIAKWA >RHN50098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4059056:4076637:-1 gene:gene34351 transcript:rna34351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cell division topological specificity factor MinE, calycin MASSIHCSVSLPSSLPKLSNFNFNFNTTNNKFFSFNPSSNSIKCSASSFSCFSSTSLDETAMSIENLRSFINLNIGKWNGSFYQFDAGGNLLQRVSTKLSVSSYGEDELMSLIQSLYIKQPSSNTSVSGDDGDAEWAEYKIKETNMFTVDKYQQIGFFPSEKAFALRYQTAGMLETVLRQGVLGEDDIGEESPRNLKLPSRRPSLVCENCLYSLQRDMRARAFHILEPKGTVDMLIIFLEERSEGSPPLLDSAGDAENRITPFLGKWKGRSVTNRSGVYGSTISEADTVVLHEMDDNGQLIQDVTCTSDGTNVTTNVHWTGAISDNLVTFDGGYQMILLPGGMYMGCPCDVAKSVAQSKSFHLEFCWLETPDKRQRLVRTYDVEGLAVSSTYFLETKV >RHN80367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37468544:37470528:1 gene:gene4282 transcript:rna4282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L14e MPFKRFVEIGRVALVNYGPDYGKLVVIVDVIDQTRALVDAPDMERVPINFKRLSLTDIKIDIKRVPKKKDLVKAMEAADVKNKWEKSSWGRKLIVKKRRAALNDFDRFKIMLAKIKRAGVVRQELAKLRKTAA >RHN58914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5901219:5904158:1 gene:gene20870 transcript:rna20870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MRITLVSLFSFLICYYSIYITFQITTIASAKCLEDQQSFLLQLKSSLMFKPEISNKLKLWNSSVDGCEWIGVACDSKGFVVGLDLSEESISGGFDNASSLFSLQHLQKLNLAANNFNSVIPSGFNKLVMLSYLNLSYANFVGQIPIEISQLTRLVTLDISSVNSYITGQGLKLEKPNLQKFVQNLTSLRKLYLDGVSIKAQGQEWRNALLPLPNLQVLSMSYCDLSGPLDSSLTRLKNLSVIILDGNNFSSPVPQTFSNFKKLTTLSLASCGLTGKFPKTIFQIGTFSFIDLSFNYNLHGSIPEFLLGGSLQTLRIRNTSFSGAFPYSIGNMGHLSELDLSNCELNGTLPFSLSNLTKLRYMDLSSNSFTGQMPPFGMAKNLTRLNLSHNRLSGEISSSNHFEGLHNLVSVDLRDNFINGSIPSSLFALTLLLNIQLSLNQFSKFDKLINVSTSVLKTLDLSNNDLSGPFPMSIFKLHSLSVLDLSFNRLNGSLQLDELMELRDLSTLDLSYNNISVNVNVSNPNYTSFPNISTLILASCNLKTFPSFLRNQSWLSILDLSHNQIQGIVPNWIWRIQNLQSLNISHNMLTGFDGPLHNLTSNLISLDLHNNQLQGPIPVFSEFSSYLDYSMNKFDSVIPQDIGNYLSFTTFLSFSNNTLHGTIPRSLCNASNLQVLDISINSISGTIPSCLMKMTQTLVVLNMKMNNLIGTIPDVFPPYCALRTLDLQKNNLDGQIPKSLVKCSALEVLNLANNIIIGTFPCLLKNISTIRVIVLRSNKFNGHIGCPNTSGTWQMLQIVDLAFNNFSGKLPGKFFTTWEAMRSDENQADLKVKRVQFEVLQFGQIYYHDSVTVTSKGQQMDLVKILTVFTSIDFSSNHFEGPIPYSIGNFKALYILNISNNRLSGKIPSSIGNLKQLESLDLSNNTLTGEIPVQLESLSFLSYLNLSFNHLVGRSQQVLNFSHFSLLLLKEMMGYMDLH >RHN79361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28042910:28044428:1 gene:gene3141 transcript:rna3141 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVVLRQVQSSNRRQPLLQQNKSSLKAGTRLGEAVGGTAAVCCCAPCAVANIVYLAIYKVPATLCIRALRKRKQHHRRRIQASAETMFPAKRRCTCGCCDDIVGARVHPTCSDDDETYRGVKSDSLGVQEEEEDKDVVELEKEMWNTFYETGFWRSSSQRNKDSLSLSSSQTATPNFQVIIVPTL >RHN68799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38685374:38688390:-1 gene:gene17200 transcript:rna17200 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAKAVTEDVKIDLFEDDDEFEEFEINEEWDDMEEGKEVTQQWEDDWDDDDVSDDFSVQLRRELEKTTDKN >RHN81708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48315361:48317771:-1 gene:gene5789 transcript:rna5789 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRKKISICRYKGGFDDKLYTPREVDSRASKREIKKILHHKEKTLPAHINKQSMENSVKKSNEHSGEEDKERDIQENNNGHMCLAITNCNGETHAKLDEIITHYEGTLNQKISHFLGILFMIFFFLLENFLCCFIRCKLHSLPQYLKITLFYLFYFFLFLNIHFSISTRFL >RHN81359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45201000:45204457:1 gene:gene5382 transcript:rna5382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative OTU domain-containing protein MLGVLCATRSRPWIFSSHHHHHAFRLSHATVAPLTFPARRHHSTACNNLQISTGGGAASIWHAITPCGGDGFRTGGVMLHHDHELKGEGSWNVAWDARPARWLHRSDSAWLLFGVCACLAPPVVLDVDPEAAAPTPAVFPNESSEGREMKDELSDERDDELNADYRVTGVLADGRCLFRAIAHGACLKNGEEAPNESRQRELADELRVKVAEELLNRRKETEWFIEGDFDTYVTRIQQTYVWGGEPELLMASHVLKTPIYVFMRDASSMDLVNIAKYGEEYMNDEEISINVLFHRHGHYELLETL >RHN80637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39667955:39671538:-1 gene:gene4583 transcript:rna4583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MQTHLFLFYCYIIVSLIFTERAQSATNDELSTLLSIKSSLIDSMNHLKDWQPPSNATRWQSRLHCNWTGIGCNTKGFVESLELYNMNLSGIVSNHIQSLSSLSYFNISCNNFASTLPKSLSNLTSLKSFDVSQNYFTGTFPTGFGRAAELKSINASSNEFSGLLPEDIENATLLESFDFRGNYFASPIPKSFKNLQKLKFLGLSGNNFTGKIPEYLGELSSLETLIMGYNAFEGEIPAEFGNMTNLQYLDLAVGTLSGRIPPELGKLKNLTTIYLYRNKFTAKIPPQLGNIMSLAFLDLSDNQITGEIPEELAKLENLQLLNLMSNKLTGPVPKKLGELKKLQVLELWKNSLEGSLPMNLGRNSPLQWLDVSSNSLSGEIPPGLCTTGNLTKLILFNNSFSGPIPSGLSNCSSLVRVRIQNNLISGTIPVGFGSLLSLQRLELAKNNFTGQIPIDITSSTSLSFIDVSWNHLESSLPSEILSIPTLQTFIASHNNLGGTIPDEFQGCPSLSVLDLSNAYISSPIPKGIASCQKLVNLNLRNNHLTGEIPKSITNMPTLSVLDLSNNSLTGRIPENFGSSPALETMNLSYNKLEGPVPSNGILLTMNPNDFVGNAGLCGSILPPCSQSSTVTSQKRSSHISHIVIGFVTGISVILSLAAVYFGGKWLYNKCYMYNSFIYDWFKHNNEDWPWRLVAFQRISFTSSEILTCIKESNVIGMGGAGIVYKAEIHKPQITVAVKKLWRSSPDIENGNDVLREVELLGRLRHRNIVRLLGYVHNERDVIMVYEYMINGNLGTALHGEQSARLLVDWVSRYNIALGVAQGMNYLHHDCHPPVIHRDIKSNNILLDANLEARIADFGLARMMIQKNETVTMVAGSYGYIAPEYGYTLKVDEKIDIYSYGVVLLELLTGKMPLDHTFEEAVDIVEWIQKKRNNKAMLEALDPTIAGQCKHVQEEMLLVLRIALLCTAKLPKERPSMRDIITMLGEAKPRRKSICGNGRQESSIEKGTIFTTSPVASLL >RHN67801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30363914:30367078:-1 gene:gene16037 transcript:rna16037 gene_biotype:protein_coding transcript_biotype:protein_coding MMKYGGNINLTTCIQVYILRFLLSLFHQPFLLIHIFLSFVFL >RHN77966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10875775:10881002:-1 gene:gene1478 transcript:rna1478 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAQVTAFQLGICVKNSKSCVLQKSIVNSFPRIRSISIRDKPLFGLKSLKPLRAGGKGMSENSDENSPWQSITNAMDKFKGQPIEEVLRKQIQKGEYLDNGGSGVKPPGGGGGEGGSGGGSPNGPGGSDDEESYDTRQTIFASGALLSLYIYLIMGKELLRTIFDFLRFIVGLGKTSRIKRILVRLGKLYKSTKRRKITDEHFLEKAILNTPTWWYDPQDFRTAVKNYYASDADE >RHN77382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6471469:6474296:1 gene:gene829 transcript:rna829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MAGSNKSWEEEIYWNHFQFIHFTLFLHSTTNFQQQPALPKTFSDNLKKKLPENVTLKGPSGVVWNIGLTTIDDTVYFTNGWQQFVNDHSLKESDFLFFKYNGESLFEVLIFDGRSFCEKAASYFVGKCGHAQTEQGGIKAKDTNKSVEEIDTAFDAGVESASPEQLMADAVTKTTPVAAPSQSIGKRIKRPVNKFTIVLGLPKAATSHKRAHDLVACNKEHSEASIVRRSWKEDEKKTAQSFTSSFPYFVKIFKTGDINVSRTMKIPYHFSAAHLPDCKTEVTLRNSRGECWTVNSIPYAKGKTVQTNPYAKRRPVHTFCGGWVAFVRDNNIKFGDTCIFELVSDYVMQVHISGVGKEGLDQQNGHVKLNSM >RHN58552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2745622:2747305:-1 gene:gene20474 transcript:rna20474 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPRSEVSFRRSGSSGLVWDDRFLSGELNKLKQEEEDNTTNNSKELRVKTTVQRSHSTGAGRGYRTGKVSPAIEPPSPKVSACGFCSPFGKKGQRSKPGKRRSR >RHN57530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39826870:39829256:1 gene:gene33087 transcript:rna33087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MEHRRNSSWFLLFSTLSCLFLPCFLGSVGAYKNYTVGDSLGWFDNLEKPTVNYQKWVAKKQFSLGDFLIFNTDNNHSLVQTYNFTTYKQCDYDDAQDKDTIQWSSVDPSNTDIHPVTVAVPLLKEGATYFFSSDYDGEQCKNGQHFKINVTHGQGLPKSLQKPSEDSPSPASPISGDDESAPDTNVPSNFNNPKEDSDDEKTSDKDKDKEESSSLSMLKYVKFHNKLYGCLVLLGTFFFF >RHN76397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49932036:49933019:-1 gene:gene12716 transcript:rna12716 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynein ATPase MLEGKAMIEDTDMPTKMQIQAMAYASEALDLYDVIDCKSIAAHIKKEFDARYGGGWQCVVGSSFGCFFTHSKGTFIYFTLETLNFLIFKGV >RHN46540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32600465:32603522:-1 gene:gene41036 transcript:rna41036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGTAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARIQAQRYSFAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNFGFQLYMSDPSGNYGGWKASAIGANNQAAQSILKQDYKDDITREEAVQLALKVLSKTMDSTSLTSEKLELAEVFLSPSGKVKYQVCSPENLTKLLVKSGVTQPATDTA >RHN73198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14279923:14290210:-1 gene:gene8987 transcript:rna8987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MSSSSSAWLTSPSCTLLPIHSSSSTPQFILQWLRFIFLSPCPQRLLLSALDSLFLLSLLAFSAQKLYSRFYSSSNSTSLITKPLLQKNDSDYRITFWFKLAFLLTTLLAISYTVLGILAFTQTNNLSSWKQIEALFRLFQAITNIVIVILMVHEIKLKASTHPLPLRIYWAANFVIASLFTASAIVRMITVGETKLELNLRIDDIFSLVNLPLSVFFFVISIKGSSGIHVIRISDVVATYPSVSTDRTLSPYACSSVLSKTVWYWMNPLLNKGYQTPLKLEDVPLLPLDFRAEKMSELFQNNWPKPEENSKHPVGVTLFRCFWKHIAFTGFLAIIKLCVMYVGPLLIESFVDFTSRKDGTSSEGIVLISILFAAKSLEVLSSHQFNFHSQKLGMLVRSSIITSVYKKGLRLSSSSRQAHGTGQIVNHMAVDAQQLSDLMMQFHPIWLMPLQVSAALFLLYSYVGVSVVASILGIAIVSFFTLYRTKSSNSFQFQIMRSRDSRLKATNELLNNMRVIKFQAWEEYFGNKIQQFREAEHGWIGKFLYYFAVNMGILGTASITVAVLTFGTATFIGTPLKAGTVFTITSIIKILQEPLRTFPQALINISQATISLGRLDEFMMSKEMDDSAVQRDESCGGDVAVEIKDGKFSWDDNDENEALTVEELVIKKGDHAAIVGTVGSGKSSLLASVLGEMFKISGKARVCGTTAYVAQTSWIQNATIKENILFGLPMNMEKYKEALRVCCLEKDLEMMEYGDETEIGERGINLSGGQKQRIQLARAVYQDSDIYLLDDVFSAVDAQTGSFIFKECIMGTLKDKTVLLVTHQVDFLHNVDSIMVMREGRVVQSGKYDELLKAGLDFGALVAAHESSMEIAQTSDDSAQSPKLAHISSREKESAVEKKSSQDKSKSNKTAAKLIEDEERETGRVNLKVYKHYFTEAFGWWGIALMVAMSVACMLSTLVGDYWLATATADDSGIPSSTFITVYAVIAVVVCIVVMLRALLFTYWGLKTSQSFFVGMLQSILHAPMSFFDTTPSGRILSRVSTDILWVDIQIPMFVNFLTTTYLGLFSILIVTCQNSWETVFLLIPLFWLNNWYRKYYLATTRELTRLDSITKAPVIHHFSETISGVMTIRSLRKQNAFSQGNVDRVNASIRMDFHNIGANEWLGFRLDYTGVIFLCIATLFMIFLPSAIVRPEYVGMSLSYGLALSGLLSASIFMSCNVENKMVSVERIKQFTNLPSEAPWKIADKSLPHNWPSHGTIELNNLQVRYRPTTPLVLKGVSLTIEGGEKVGVVGRTGSGKSTLIQVLFRLIEPSAGKIIIDGINISNVGLHDLRSRFGIIPQEPVLFQGTVRTNIDPLGLYSEEEIWKSLERCQLKEAVAAKPEKLDALVVDGGDNWSVGQRQLLCLGRIMLKRSKILFMDEATASVDSQTDAVLQKIIRENFADRTIISIAHRIPTVMDCDRVLVIDEGFAKEYDKPSRLLERHSLFAALVKEYSNRSA >RHN39593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8540523:8546158:1 gene:gene45632 transcript:rna45632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant, Transposase-associated domain-containing protein MDVPEHRTWMYNRRITGRKGFTAEFLQGLKEFLDFACQQPQYLEDGVISCPCMLCKNERFLTPKGVNVHIRQKGFTPGYWYWTSHGEEAPQINLEVDLHSDAMPYSSQQDTGFGDAGLDDQNFVQDEEVPTNVETTEFCDMLNSTQQTLRPGDKNTSKMSAAIAMLSLKSKHNMSQDCFNDVIKFMRESNHTENVTPSNSRGTKRTMQPTKISRKKKCAQTHAPLIIPSDTVQTHANQATQPPPRTKPRTKFNRPRPPKALTRLQLRNQSQQSQPQLFLQPQPTQPQRRLQPQPTQPQAPPQLRRKPQPTQGRSQRQLDLQPQPTQPQLQPQLRMRPQPTQPRPQPQIRLQPQPTQHTSPPPPPPPQSRIQPIMSQTIPTQDLEWQDFAMINETPSSTTSHSSEESSGNRIPILPEADGFDQHRLAVKAIALIIRNNLEEGKPSWKQLSKKQRDSWFDIFKSKFTWPPQHNDLVRRNFEKRGSAKMIQLMQEVRKNLDQKPIWMEECVWAQLKAHWESLKYKRISEINKRNCESMAGASLHTGGSIPHRLHWKRMKEAKGTDPSLDEFYFRTHRKKDQSWVGPLAESAYDKFEKRKSELSSKFVSGENGGDNQLSTKMPSDLDIWVDSVGKKKGRVFGLGSVNKTFFTIDKLNSEDVNALRSQIHALNESLQKQEQEKLEMKQELTETRKQVAALMQHLGFAASSSRPPQDSNEFDSGDDDTDGDHME >RHN71428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:66986:67789:-1 gene:gene7030 transcript:rna7030 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYTQIIGCTIDFALSCWFFFLHLQIIYFASSWSFILLLLLLLLLLQKGISCSN >RHN41872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33750367:33750681:-1 gene:gene48236 transcript:rna48236 gene_biotype:protein_coding transcript_biotype:protein_coding MWLTSQIYLVLPILTRCIFFSVAHSIRTPQLSVLDFEQFWMGDLPGSFPVSVRVRTKRVEKTRVGLWGQSTMLKVVWDVTNGIRADLFQYDVVRGRTKRKLVGM >RHN38933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2877667:2880223:1 gene:gene44910 transcript:rna44910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MWMAQGYLECSIEKHCMEDVGNQFVNIFLMKSFFQDAKLNLDGDIQDFKMHDLMHDLATQVAGTDCCYLDNKSKRFIGRPVHVSVEFDAFLLLESLDASRLRTLIMFSSYDDIKLDREELTIISNFKFLRVLKLRDSFLSKLSGSIEKLKHLRYLNLLSCHELGCLPKSIGNLICLQTIKVSLAEEVILSTKIVSKLINLRHLEVYNWTHRDKKRGGFAKMGLQRYKGKGVIFSERLSSLTNIIEIHLGYCQGFRYLPPLERLPFLRSLQLHCINNLEYIYYEEPILLESFFPSLESLKLWECHELRGWQRMGDDFDDINSSHHLLLPQFHCLSKLAIRGCQMLTHMPTFPNIKKRLSLTECCVEILEATLNIAMSQYSIGFPPLSMLKSIEIGEFSSDVKKLPKNWLQNLTSLENLEFFFLSSQQFEVIETWFKDDFIYLPSLKRINFYNCFHLKALPDWICNLSSLHHIEMINCRDLALLPQGMPRLTNLCTLEFIKCPLLVEECQTETSAIWSKIAHIPNIIMK >RHN50984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12657459:12658535:-1 gene:gene35343 transcript:rna35343 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYGKPLSKSGKEEEVMNICNVVEEGNRPEEEVKSRHSVVEVVSEQVEEEIYTCKLVEEGTYICKRVVVVMGTCMVSWVVVVEICTCKLEEEVICTCKLAVVVMGTYKEEVVEICTYMVEVVNGRVEEETYTCKLVEVVSIQVEEETYTCKLVVVSRQVEGETYTCKLVVVVMDTCMVSWVVVVEICTCKLGEEVTYTCKLVVEEIYTCKLEEEVICTCKLVVGEMGIYMAFLVVVEETCTCTLVEEGIYTCKLVVEEICTCTPVVEVICTCKLAVVEMGIYMAS >RHN76219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48698828:48706336:1 gene:gene12511 transcript:rna12511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Nin-like family MEYPYYSKGGGIGYWQSPGTQFEGPTSLDGGINNLVSEDMPNSFSELMNFDTYAGLCSGPSTTDQIMTNELPSLASALYQLPDGFNPVEPNSGQFYGGNYNNFESSPIFGEKIVCQQMDTLLGFLDNSNDANNSSSRQKFDDPSQHVNAFDMGNYVIARPQALISRPPALSLDERMLKALSFFKESAGGGILAQVWVPIKHDGQVLLSTSEQPYLLDQMLAGYREVSRTFRFSTEGKPGCIPGLPGRVFISKVPEWTSNVGYYNPKEYLRAEHARNHEVRGSIAFPIFDLHSGLPCSAVLELVTTKEKLDFDRELEIICRSLQLVNLRTTASSRLLPECLSTNKRAALTEIVDVLRSVCYAHRLPLALTWIPCFYTDGTRDETTRIQIKEGNSSSREKNILCIEESACYITDRVMEGFVHACIEHPLEEGNGVAGKALQSNHPFFYSDVKTYDISEYPLVHHARKFNLGAAVAIRLRSIYTNNDDYILEFFLPINMKGSSEQQLLLDNLSGTMQKICKSLRTVSGAEISGMESSVVGFGKKNVPSFPSTSTRNSQVSLINEKDGSVQKLSLDTSNLRNNGNKPSCNQENNGSRRRVEKKRNTSEKNVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRHHGISRWPSRKINKVNRSLKKIQTVLDSVQGVEGGLKFDPSSGAFVAGGTTTEEINENKSLLFPERSTTQDSEPMSQNAVSVPSEDENLENKWEGKLKETNTCSIDCSEDSKSFAMDDCPEQAYIGSVLAKCDEWVLNNGGLREEKRKHSTIGQRTSSFAVDEMDTGADGDDDDVVEHNHPTSSSLTDSSNGSGSMIHGSSSGYQNFKNQKPSKGKSTIVDSGSKIVVKATYGEDTIRFKFDPSTGYYKLYEEVAARFKLQDGSFQLKYLDDEEEWVMLVNDSDLQVCLEILNDMGTHNARFLVRDIPCTFGSSGSSSCYLGGSS >RHN70876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54699609:54699859:1 gene:gene19507 transcript:rna19507 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAPWVITREVNLFFFLENKKEGGDFNLQMKVRTALCVSTIPLSKEEWVNKGQVM >RHN68887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39377654:39380678:-1 gene:gene17303 transcript:rna17303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MKKVSLYACLLLNLISLLVIFPYSKASQADKLNEFILSRKSQNPPKTLSWEEGDALKTHSFSAAYVAPPQEELRLADKIVTLPGQPYGVNFDQYSGYVTVDPEAGRELFYYFVESPYNSSTKPLVLWFNGGPGCSSLGYGAFQELGPFRVNSDGKTLYRNPYAWNEVANVLFLESPAGVGFSYSNTTSDYDNSGDKSTAKDAYVFLINWLERFPQYKTRAFYITGESYAGHYVPQLASTILHNNKLYNNTTINLKGISIGNAWIDDATGLRGLFDYLWTHALNSDQTHELIEKYCDFTSENVSSICINATHKAFLEQGKIDSYNIYAPLCHDSSLKNGSTGYVTNDFDPCSDYYGAAYLNTPEVQKALHAKPTNWTHCTHLLTDWKDSPITILPTVKYLIDSGIKLWIYSGDTDSVVPVTSSRYSINTLKLPINAAWRPWYSGKEIGGYVVGYKGLTFVTVRGAGHLVPSWQPERALTLISSFLYGILPPASPSN >RHN62986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47341822:47346213:-1 gene:gene25630 transcript:rna25630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MKTTLSLLLTLLSLTLTLNQCFSLTNDGVLLLSFKYAVLNDPLLVLSNWNYSDQTPCSWNGVSCSIITPNTNNDTPFRVTGLSLPNSQLVSSIPSDLGTIEHLQILDLSNNSINGSLSSNFFQPNSELCFLNFSNNLLTGEVPESLTELRNLQFLNFSDNAFTGKLPNNLSNMQNLTVASFKNNYFTGFLPKDLRTLQILDLSSNLLNGSLTQDFGGDSLRYLNVSYNRFSGEIPREFAEKIPSNATVDLSFNNLTGEIPESPVLLNQETKVFSGNSDLCGEPMKNPCSIPSSPSSNPQGSSPPALAAMPKNFDNDSPQSQTTESSEKKQSGLRKGTIIGIVIGDFVGIGILAMVFVYVYKLKRKKDAENAIKNEVATARSENSSSTLETKGFTRWSCLRKRTEDEESSETQSSSDSDVEISQKNVDAENQKQGENKAGTGSGTGTLVIVDGERELEVETLLKASAYILGATGSSIMYKAVLEDGTSLAVRRIGENGVERFKDFENQVRVIAKLVHPNLVRVRGFYWGHEEKLIIYDFVPNGCLANVRYRKVGSSPSHLPWEIRLKIAKGVARGLTYLHEKKHVHGNLKPTNILLGNDMEPKIGDFGLERIVTGDTSYSKAGGSARIFGSKRSSASRDSFQDLTCGPSPSPSPSSIGGVSPYHAPESLRNLKPHPKWDVYSFGVMFLELLTGKIVVLDDMGQGPAVLVEDKNRALRMVDVAIRADMEGKEDALLAYFKLGYSCVTNVPQKRPQMKEVLQVLEKTPSTISSSYYYSH >RHN77605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8080886:8083945:-1 gene:gene1075 transcript:rna1075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase with an alcohol group as acceptor MSVSVSVTVTVTSTVGPFLPRSHRRSTTAVRSNKVSVGPTRLLTKLKQDCATPLPLLHHVADAMSSEMRAGLSSVDGPGLPMIPTYVHTLPSGNEKGLFYALDLGGTNFRVLRVQLGGKDDRVIDVDFDQVAIPHDLMFATSEELFDFIALGLAKFVDKEDSRFHFPPGRKREIGFTFSFPVKQTSIDSGILIKWTKGFAVSGTVGRDVVACLNEALERQGLDMRVSALVNDTVGTLAGAEYYDNDAKVAVILGTGTNACYIEKLSAIPKLQGHVSSSGKMIISTEWGAFSNGLPLTVFDREMDAASINPGHHIFEKTISGMYLGEIVRRVLVNMAEEEGLFGISVPQKLSMPFILGTPDLSAMQQDNSGDLQAVGSLLYDKAGLESNLSERKTVLEVCDTIVKRGGSLAGAGIVGILQKMEEDSKGLIFGKRSVVAIDGGLYENYPQYRTYLQHSVKELLGTEKSNNVVIEHSKDGSGIGGALLAASNSMY >RHN78383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14607385:14610224:-1 gene:gene1930 transcript:rna1930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TCP family MSNHKETTTITTTTDLVEPTSQNAITVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSSLSEHKPFLQPSPFILGKRLRDDDGDAVSVAAPTAPPGLWRPDFAQLWSFAAAAQTPPEGFSVSQHNSLFHHQQQQQQQQHQQQQQQHHHQQHHQQQQGSMGEASAARLGNYLPGHLNLLASLSGGNGNSGRGDDEDR >RHN57707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41179610:41187202:1 gene:gene33288 transcript:rna33288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MQKEKKSMEMEFFSEYGDANRYKIQEVIGKGSYGTVCSAVDTHTGEKVAIKKVHGIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSIKDFKDIYVVFELLESDLHQVIKANADLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKSVVHQLDLITDLLGTPSMDSISRVRNDKARRYLTSMRKKQPVPFAQKFPNADPLSLRLLERLLAFDPKDRPTAEEALAHPYFEGLAKIEREPSCQPIRQMEFEFEKKRVTKGEIRDLIFHEILEYHPQLNGTERTNFLYPSAVDQFGKQFAHLEETGDKSDPVVPLDRKHASLPRSTIVHSNMTPQKEQSNIASSKNRQISEEYNTNYAESSGLRGLQVVPLETPGKVVRPVVKYEHGSIVSDSYDSRTSMRDL >RHN49920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2286947:2292000:-1 gene:gene34152 transcript:rna34152 gene_biotype:protein_coding transcript_biotype:protein_coding MFICFTFRASGYCQVNFGETFLCHFPIAKNQSTFGTNLNSEQGSIFISQCT >RHN70123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48928922:48931435:1 gene:gene18675 transcript:rna18675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ALS1 MAATTTTTPSRSPFHSHPPFPKRTTTLTFPLSPILNKPKTTHSLIGISCSLKPFTAPPSTTTTVDEPFTSRFSSTQPRKGSDILVEALEREGVTNVFAYPGGASMEIHQALTRSKTIRNILPRHEQGGVFAAEGYARSSGLPGVCIATSGPGATNLVSGLADALMDSVPLIAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLILDVEDIPRVVKEAFFLATSGRPGPVLIDVPKDVQQQLAVPNWSEPIKLTGYLSRLPKIPGEAQLEQVLRLLLESEKPVLYVGGGCLNSSDELKRFVELTGVPVASTLMGLGSYPIGGEHSLSMLGMHGTVYANYAVDNSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKIPHLSICADMKVALEGLNRVLESKGIKGKLDFEAWRQELNVQKLKFPLGFKTFEDAISPQYAIQVLDELTNGDAIVSTGVGQHQMWSAQFYKYKRPRQWLTSGGLGAMGFGLPAAIGAAVANPDAIVVDIDGDGSFMMNVQELATIRVENLPVKILLLNNQHLGMVVQWEDRFYKANRAHTYLGDPSKEDEIFPNMLGFADACGIPAARVTKKEELREAIQKMLETPGPYLLDVIVPHQEHVLPMIPSNGSFKDVITEGDGRRSY >RHN50956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12236315:12239422:-1 gene:gene35312 transcript:rna35312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II MPSPLLDSEFDDTLVSGLDRSWKKPPGVAAKLIDCKSSKGFYFIEYTLQSPGEGRKHLYSAIGMLTDGWYNRLYTATGQVCVYRENWDDRC >RHN52732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38241339:38243874:1 gene:gene37434 transcript:rna37434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyllase MALRDKPVLSTTIGTDVFESGNIKWKQFNVDTSPKPLLVFTPTVEGTYPVILFYHGFAIWNSYYHKLLGHITSHGFIVVAPQLFTIGLPMPGPCEVKFAGKVANWITKGLQPKLKENTKENVVAKLDNLVLAGHSKGGKTAFAVALGHAETNLKFSALIGIDPVAGPSKCKITRTLPHILTGQAQSFDLNMPVIVIGTGLGPEPSNCSPLPCAPDGVNHEEFFYECKPPCAHFVVKDYGHMDMLDDETHDIRGSLLKCLCKNGTGPKDLMIRTLGGLVVSFLKAYLYNQPEDFEVILEDPNLAPAKLEEPVFYP >RHN71853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3082311:3087117:-1 gene:gene7493 transcript:rna7493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADPH:quinone reductase MSVREEKEIKATKMKAIVITKPGEPEVLQLQEVQDPQIKDDEVLIKVHATALNRADTLQRKGAYPPPQGASPYPGLECSGIIEFVGKNVSKWKIGDQVCALLAGGGYAEKVAVAEGQVLPVPPGISLKDAASFPEVACTVWSTIFMTSRLSKGETLLIHGGSSGIGTFAIQIAKYLGSRVFVTAGSEEKLAFCKSIGADVGINYKTEDFVARVKEETGGQGVDVILDCMGASYYQRNLASLNFDGRLFIIGFQGGVSTELDLRALFGKRLTVQAAGLRSRSPENKAVIVAEVEKNVWPAIAEGKVKPVVYKSFPLSEAAEAHRLMESSQHIGKILLVA >RHN47088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37049009:37051334:1 gene:gene41643 transcript:rna41643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MAIKAPCSLLMLLGIVFLASICVSSRSDQDQENPFIFNSNRFQTLFENENGHIRLLQRFDKRSKIFENLQNYRLLEYHSKPHTLFLPQHNDADFILVVVSGKAILTVLNPNNRNSFNLERGDTIKLPAGTLGYLANRDDNKDLRVLDLAIPVNRPGQFQSFSLSESENQQSFLSGFSKNILEAAFNSNYEEIERVLIEENEQEPQHRRGLRKDERRQQSQEANVIVKVSREQIEELSKNAKSSSRRSESSESEPINLRNQKPIYSNKFGNFFEITPEKNPQLKDLDILVNYAEIREGSLLLPHFNSRATVIVAVEEGKGEFELVGQRNENQQEQREYEEDEQQQERSQQVQRYRARLSPGDVYVIPAGHPIVVTASSDLSLLGFGINAENNQRNFLAGEEDNVISQIERPVKEVAFPGSAQDVESLLKNQRQSYFANAQPQQREREEGRSQRQREPISSILGAF >RHN80992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42440158:42440901:-1 gene:gene4980 transcript:rna4980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II protein D1 MIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLSGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIVVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGI >RHN66975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22368575:22368892:1 gene:gene15102 transcript:rna15102 gene_biotype:protein_coding transcript_biotype:protein_coding MMKILYHFKKFHLFSLNQISLHKPLCRKVNENHYAETHDCSNHTTNFIDCSLLAKTYSIFNLNEGSFKSEQLLHQLVLILEEEKASGITIVSHSDHKANIACYVV >RHN50189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4938620:4953137:-1 gene:gene34452 transcript:rna34452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone-7-O-beta-glucoside 6''-O-malonyltransferase MESSTSPIKVHQVLSIAPQNETFPTTFPLTFFDTLWVRLPPVERLFFYELPNVSTTSFFDSILPNLKHSLELTLQHFLLLVGNIIWPQDSSHPIINYVPSDSIPLIVAESNENFNILCSNLCEVEKRKNLIPSLEISHEKASIISLQVTFFPNHGFCIGITTHHAAVDGKSSTLFMKAWSYFCSNLEKNTPSLFLPQNLTPFFDRSIIKDPLGINEIHSKKWMNFGGETNNRSLKVWQTISATKGEAIKGLFELSLLDIQKLKKYAQSKVENKKVSTFAVTCAYLLSLGVKVDQQKPNKAFLVFAVDCRSRLDPPINENYFGNCIVSRLVVHETEALLEDGGFISALKGIIDVLNDLENGVLNGLENWMSMMQSKTSRTDETYKIFSITGSPRFEVYSFDFGWGKPKKVDVTSVDRPGAFYLSGNRNNDGGIEIGLTLNKQQMEDFARLFVQGLESLCFDPMYHHRHHHKLFIKSTNCQASLPHQFLPSLMAQSHKVHQVFSISPKNETSPTTFPFTFFDTLWVRFPPVERLFFYEHTNLSTNFFFDSILPNLKHSLELTLNHFLLLVGNITWPQDSPHPIINYVPSDSILLIVAESNENFNLLCSNLCEVEKKQHLIPSLEISHEKASIISLQVTFFPNHGFCIGITTHHAAVDGKSSTLFMKAWSYFCSNLEKNTPSLSLPQDLTPFFDRSIIRDPIGINEIYSKGWLNFGGETNNRSLKVWETINTIKGEATKGIFQLSPLDIQKLKKHAQSKIEKNNKVIKLSTFSVTCAYLLACTIKVDQPKSNKVPFIFSVDCRPRLDPPINANYFGNCVVSKLVLGETEEFLKDDGFISALEGISDVLKGLENGVLKGLENWMSIMQSTMSETDRMFSIAGSPRFDVYSFDFGWGKPKKVDVTSIDKTGAFSLSENRNNDGGIEIGLALNKQQMEVFAQLFVQGLESL >RHN63502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51806728:51812656:-1 gene:gene26204 transcript:rna26204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative U3 small nucleolar ribonucleoprotein complex, subunit Mpp10 MANTNDAGVEALRRLQQIDPPSLLAPNPSVSETARSASQYLFTTLRPFSPKSPLDELLVDGYDAEQIWHQIDLQSQPLLSTLRRRLNQFVKNPEEIAQFKVPLDVGKKLEKKKRVELEEEESDDFDEELDDDDDDFEGVEKKKAKGGSEGEDDFEEEDDEDEEGSEDEDDEEDEKEKVKGGGIEDKFLKIDELTEYLEKEEDNYEKGEERDEADEDSEEDDELEKAGEFEMDDEDDDDDDEEDEEAEDMGNVRYEDFFGGKNEKGSKRKDQLLEVSGDEDDMESTKQKKRTASTYEKQREKIQSKIVLMEKANIEPKTWTMQGEVTASKRPINSAFEVDLDFEHNVRPTPVITEEVTASIEEMIQKKIVEGLFNDVQRAPKLPSKAPREVKQLDDNKSGQGLADLYEQEYVQKTDPTSAPLSFKDELKNEASTLFKKICLKLDALSHFNFAPKPVIEDMSFQTNVPALAMEEIAPVAVSDAAMLAPEEVFDGKGDVKEEAELTQAERKRRRANKKRKFKAEAVNKLEKKARLEKKADNAISCQVDG >RHN74467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33767555:33773060:1 gene:gene10549 transcript:rna10549 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASASVGSFAPSRFQVVAARTLPKLSRQMAPKPQIPSCERVENQVMKRMRKEGINTSKKQV >RHN79143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24278718:24279730:1 gene:gene2869 transcript:rna2869 gene_biotype:protein_coding transcript_biotype:protein_coding MPCNALSFKPLRAHALECFVIQLLRAYAMECFVIQLLRAYSLQCLSFKLLGLMPRNA >RHN67234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25066945:25067384:1 gene:gene15400 transcript:rna15400 gene_biotype:protein_coding transcript_biotype:protein_coding MCKKGFLFAAMLTVFYLLFWFLFVRGTTLVDVFDWPLNQQYLWLMYFVLMQQAINEDVVQDDIVEEEDVDDEFLEDEFLEDNLSDEFQEDDIDNEFQEDDLDDEFQEDDLDDKIQENDIGDEFEVDDLADELQDKLE >RHN77105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4132605:4138616:1 gene:gene526 transcript:rna526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative magnesium transporter NIPA MWESILLTVAATAGNNIGKILQKKGTIILPPLSFKLKVIRAYALNKTWSIGFLMDIFGALLMLRALSLAPVSVIQPVSGCGLAILSIFSHFYLQEVMNVVDWVGITLAGFGTIGVGAGGEEQQEMVALSIFHIPWLAFVVSILFILLNGWLRIYKRQRREQEMMEYDVVEEIIYGLESGILFGMSSVISKMGFLFLEQGFPKILVPICLLISVSCSGIGFYYQTRGLKHGRAIIVSTCAAVASILTGVLAGMLALGERLPSAPKARLALLLGWLLIITGVILLVGSTRLLRFITSRQKRSNMEKNYGPRRSTTSRVREPSPNSAVIQASTLNHLLSSSSKEKA >RHN80617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39467218:39471072:-1 gene:gene4562 transcript:rna4562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSYATSFQNKGFNFHYFNHHNNKGSCVFSLREKIYTLHQIPFRDYRCCSSWKGLRCRTVLSDRVDEVDHEDWGFESNTGKRVESSELVHLVTSLNSSTLSAEGQFVRNGELTSNKILQSLCSRGKLTAAARLVEVMARMSQIPHFPSCTNLIRGLIRIGQVDKGCKIMNMMVMSGGVPDTITFNAVIGSLCKRGHLKSALEFLEGMSLSGCLPDAKTYNTIIRCIFDKGDPNLAVSFWKDQLRKGFPPYLITYALLVELVCKHCGASRALEVLEDMAREGCCPDINMYNSLVNFSSKQGNYKDTALVISNLLSHGMQPNVVTYNILIHSLSLHGYSDVVDDILKIMNETSISPTLVTYNILLNSLCKSGFLDRSISLYIKMVSENCSPDIVTYNTLLNALCKEGFIDESIQLLHSLSGTNCSPGLVTYNIVINGLARMRSIKSAKEMYGEMVEKGIDPDYITHRTLVWGLCQVYQFEEAVEIFKVMHRIGQKIKGYAYKCVILGLCEQKKLDSAIQALDLMVKAQCKPDGKIYYTLLKSVANEGMVNEANDLHQRLIELKILKDGCLIVGAHT >RHN60412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26447334:26447865:1 gene:gene22722 transcript:rna22722 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIYLQGSCIYLSFFSFIISIIWFYLKFLVLLPQSQDIARTTALYMKFLIPGLFAYSILQNMLRFLQKKICSNASGYPFSYPNIDSCGPVTTSISLWISLILVGFYVLYAKKFKNIWRGISMESFQYLFTNLKLAFAMVCLEYWAFEFLVGLMPDCYM >RHN74611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35129805:35132993:-1 gene:gene10706 transcript:rna10706 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLAITTMIVPMMIVLLKVQSVFVTVAIVHNITFMLCLVSKIEEGKIGCGWKVRKPKIVPQFGSREQLKRKESNVEPCHGNLSVGRERFGNNHFCVKSLKHPQLILFFYLLFTIHFF >RHN81080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43176144:43180287:1 gene:gene5077 transcript:rna5077 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYLRSIFTTFILLLFSLLVIANAADYGPTPNVEKPKFEVDNKPYSTKPNYDVSKPKIGYEYNPTLEIEKPKADIDYKVNFTEPNYKVRKPKINDKYGMVPKIENFELETDSNQHLEKSNDENPKPETNYGYGPLPKIEKPISEAVYKLNHTKSNNEVPKPKTHFAYTPTPKNGKPKSDAVYTSNTTNSYYEVPKPKFFYGYVPTPEKIEKPKSEVVYTPNTTNPDYDVPKPKTYYGCGPTLKIEKPKSEAVYKSNHTKSEYKLPKPKQNYGYIPAIEKSKLEPDYNLNPTKPYYEVLKTKESYKVQLPTSIGVQGIVFCKSGSNYYPIQGAVARVTCRCVNELGNETNPISVFSHATNGKGYYFATLSHAKLGSKLKINECKAYLESSPLNICKVPTNVNHGISGAPLSSYRLLENNFRLYSLPPFFYTSQAKPILNSFIIHKPRRATNYLGPSNYSYTP >RHN47308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38704972:38715159:1 gene:gene41887 transcript:rna41887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diacylglycerol acyltransferase, alpha/Beta hydrolase MLAAGVCFFPTVSSSLFHHVPLSKAMKVKSGGIMSIVPRFAMSTERVPVKEEKQRRSGWKEYFEQAKELIETDDGPPRWFSPLECSSQWDNSPLMLFLPGIDGVGLALISHHHKLGRIFDLWCLHIPVADRTPFTDLVKLVEKTVRSEYKRSPNRPIYLIGESLGGCLALAVAARNRDIDLVLILANPATSFSRSLMQLLSPLLDALPDSFSPALPNILSLTAGDPLRMVLDNAVKGLPLLNAAGEPIEDFTTFSSSLPVLADILPKETLLWKLKMLKSASAYANSGLHAIKAQTLILCSGNDRLLPSQQEGERLRQLLPSCELRKFDNSGHFLFLEGSIDLLTVIKGTSYYRRGKYHDYASDFIPPTPDEAKKIIESYSYSLFNIVTGSVMLSTLEDGKIVKGLAGIPSEGPVLLVGNHMLLALDVAPFIIRFFTERDILVRGTAHPMFFKRQKSGRLPEVSSFDSLRVMGAYPVGVSNLFNLLASKSHVLLYPGGLREGFHRKGEEYKLFWPEQSEFVRMAARFGAKIVPFGSVGEDDLGQVVIDYDDLVKIPYFRSEIESLTNEVPQLRADVDGEVANQQVYLPGILPKVPGRFYYYFGKPIETEGRKQELKDKKKSQELYFEVKAEVERCIAYLKEKRESDPYRSILSRLSYQAAHGPTSDIPTFEI >RHN50787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10504334:10505590:1 gene:gene35115 transcript:rna35115 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ERN2 MEIQFDEPKKSLRPKKVNKFKGRNKKSETRDKFVGVRQRPSGRYVAEIKDTTQNIRMWLGTFETAEEAARAYDEAATLLRGSKTRTNFVTHVSYDSPLASRIRHLLNNRKKGTKQQDMNGISSTTSHADTTNDTTSDGSTSSTTNCIGTASGAINSTSASGVTSTSTNISTSASGVASTSTDISTNSSNTNVNDKSESLLSSSTTMQKPNLFEDAYRPDMSNLTNEYESSSYKSNVSWDFGPIFDNFPFDQWLDMTNNDGLLCDMVDKGVSEFERMKVERQISASLYAINGVQEYMKNVQDCNEAQWNLSPLC >RHN55774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23551267:23552207:-1 gene:gene31024 transcript:rna31024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MSAKYTPISAVSGGRKNLKMCVRVAHIWLIREKKVPASIIFMNMLLVDEKGGRIHATARKDLVAKFRSMVQEGGTYQLENAIVGFNESPYKVTSHKHKLSMMHNSTFTKVHLPAIPMNVFEFKPFNEILSSTADEVSTGKTSILNVCDIRETEKDGRKSRVIDLTLKDLENNRLHCSLWGEHADKIVTFFGNHDNDTPTILILQFCKTRMK >RHN73962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22230221:22230613:-1 gene:gene9865 transcript:rna9865 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYSFVLVLFLAIISLTNGRNYSPPPTARQHTQKPPVFKPPIRKPITVPSAPHIHPPQRSRPPPMM >RHN46005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27675641:27676068:1 gene:gene40439 transcript:rna40439 gene_biotype:protein_coding transcript_biotype:protein_coding MFYPEFGNSLRSQYLIPLCCFLFSGISYFEVNRLHITMSRA >RHN46018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27782337:27786269:-1 gene:gene40454 transcript:rna40454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L7 MAEEEQPKDLNYIPEVILKKRKHSEAWALSKKAQLQKKSFQLRKSKDFIKKPEDFIFEYRNREIDLIRMKRRVKWRRGDKSKPSNKPIIVIRIQGKQDMHATTRKHLFSLGLRRIFSAVFVKPTDGVMAKLARVEPYVTYGYANLKTIKELIYKKGHAKIDKRKVPLTDNNLIEQELGKFGVVCIEDIVHQIDNVGPHFKEVVRFMWPFELNKPADGLKGLKNRYKDGGDSGDREDLINELINKMN >RHN51337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16396749:16397894:1 gene:gene35761 transcript:rna35761 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGLAFPVKVEYEKHPAYCSHCKVLRHTILQCKRLNYEKTQYVKEIHQTKFQSQHAKSNIDGTKNMKNKKHESEGALEEHQVQLEKEKFFCSTNAHTTTEFANGNARINKSALLHNSVDLLTVENETTRGLAVTNAHTTPQFDNGNTGIGNSALLQNFFELLSSDSEANHGEADMTIYASTAEQLVDTRMESLDAPLELASNQMGILDVPLKTACLLTDTCFKAPCSSETRPLNNSVTSVSAPKAFDPALVANWAATPVLTPACFPIPITSNYEFLGMDKRPPTTPYVQIFHSAAANKSIQVLKKFWGDEVDEDNSESDFASDNTDGSNKYFEENLVKACSSKASKQQKKQKSPRQKQDNSKEGIKTRFKTHVLSHSSA >RHN41757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32674740:32682856:1 gene:gene48110 transcript:rna48110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative brevis radix (BRX) domain, transcription factor BREVIS RADIX domain-containing protein MFTCIACTKTDERDEDGRESGTPSTKEAVKSLTTQIKDMALKFSGAYKQCKPCTGGSSSYNKKGHRPYPDFDTISEGVPYPYIGGASSSSTPAWDFTSSNYPGPRYAGDRTPRGRDSASVCDVVLEDDDEPKEWMAQVEPGVHITFVSLPNGGNDLKRIRFSREMFNKWQAQKWWGENYDRIMELYNVQRFNRQALNTPSRSEADEQRDSTYSRLTSAMESPMASVKDWTPRSHYKPSGAFDQGGGNHFQGGSSMEPSRATTSSRDEPSISNASEMESEWVEQDEPGVYITIRQLADGTRELRRVRFSRERFGEVNAKTWWEDNRERIQAQYL >RHN41802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33122041:33123853:1 gene:gene48163 transcript:rna48163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diacylglycerol O-acyltransferase MPIPNIRFLVSSAVRLLLSSFIFFTKSKNLFTSDSFINEKYGQAFYIPYLRQIWTWLGFSYVTKKNLISLLVAGESCIIVPGGMRETLFMEHGCENVYLKERKGFVRIAMEMGHPLVPVFCFGQTNIYKWWKASGKLIQNLASSMKIFPLIFWGKFGSPVPFKNPLYVVVGKPIQVEKIPEPTSEQVAKVHSEFVEALQELFERHKTQAGCTNLELKIV >RHN55535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19293390:19299660:1 gene:gene30733 transcript:rna30733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cobalamin (vitamin B12) biosynthesis CobW MEHDEDEEPPLAVEIQQHNNDESISQQSSVGVTLITGYLGAGKSTLVNHILNSQHGKRIAVILNEFGEEIGVERALINEGESGAVVEEWVELANGCICCTVKHSLVQALEQLVQRKERLDHILLETTGLANPAPLASILWLDEQLESDVKLDSIVTVVDAKNVRFQLKEHRGSSSFPEAYFQIAFADIVILNKVDLVSAEGSGALEELEEEIHNINTLVEIIHSVRCQVDLSKILNRHAYDTAHAAHLEALLEESRSLSTKKLHDSGVRTICICETGTIDLDKTRIWLEEILWEKKYDMDVYRCKGVLNVQNSDELHTLQAVRELYEIVPARKWKKEENRMNKIVFIGHNLKEDVLINSLKALATC >RHN80038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34545137:34546832:-1 gene:gene3914 transcript:rna3914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAKENLQVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGAEKPGSLPPNVAAAVNGVAFVGTLSGQLFFGWLGDKLGRKKVYGMTLAVMVVCSIGSGLSFGHEPKTVLGTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGFGILAGGVFAIIVSIAFKSKFDAPAYMIDPLGSTVPQADYVWRIILMFGAIPAAMTYYSRSKMPETARYTALVAKNMEQAAADMSKVMNMDIQAETKNVAAEEKKQFGLFSKEFMSRHGLHLLGTASTWFLLDIAFYSQNLFQKDIFSAIGWIPSAKTMNALEEVYKIARAQTLIALCSTVPGYWFTVAFIDRIGRFTIQLMGFFFMTVFMFALAIPYDHWTHKENRIGFVVLYSLTFFFANFGPNATTFVVPAEIFPARFRSTCHGISSATGKLGAMVGAFGFLYLAQNQDPKKADAGYPAGIGVRNSLILLGVVNILGFCFTFLVPETKGKSLEEMSGEDIDEIVVVTE >RHN63289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50093932:50102096:-1 gene:gene25973 transcript:rna25973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TPX2 central domain-containing protein MTMMMMMMEEDEETEIPHVFIAHEIDLEYEFDAARFFDFTKPESSSEALQAELWFQNAPTYPPSPFVTKLAVREDFDYDDVECTENVDDNDKQHGPTVSTEMEISDTVNGHTRGSKATGNSLNSNFKPAVPKSSSLMKPTATQRARQIRPAKNVGSRYRKPLTQNEMNLSTSSGVESQAAKRQKLEDGHLRKVTDAKQQTNFVHKKPMRAVNVEQNYACSKLKLTIPREPDLKTAHRAQRIRPKIVGEAEHMTVAAPRFKARPLNRRILDAPSLPLPKRSTPRLPEFREFHLKTLERAIQHTSATSASHHCNDSDKGWDQHTSVEHKIKDARRPTAMGAPKHDGLGFNHIFKAQPLNKKILSNKEHAGVLHTNKETADQMEFNSHAEKGIQHNLPIELFSKLSLTSEGQPNNGSHFKLPQQSRMCRKEKTFTFGTKQIHHGNGGCHSLLSARRSLGIR >RHN41382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29672255:29672671:-1 gene:gene47700 transcript:rna47700 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGISKESQNESLQNMTMVEIKASNECYEKSNSTGSSNLWKFRQNMNLRSNSDHKDSLVLLNASDPKNSRKPKVETIVNKKRKDEKHKNGLSAYEKLYVSNKTRKDSNKRRSFLPYKRQLLGLFTNMNGLSRNLHPF >RHN76703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:535959:539506:1 gene:gene69 transcript:rna69 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase transcription regulator PHD family MMDMNMNIQLNILSNCVASVNDPELIVTLCSISHFSLTHTSKPSSHIPNLMASLCRRRTRAPQKKTSIFNNDDIVCQKCNSGKSPTKLLLCDNCNKGYHLFCLTSVPKSSWFCPSCSHNTNTKYVRKKRKRGSRWVSSKKKWNLLPFVPSADSMRRLEQMASLVNALAATKAEFSNALTYMPGMAPRNANSTALEDGGGGIQVLSKEGTKALNLCTDMMERGECPPLMVVYDPLEGYTVEADKPIEALTIIAEYVGDVDYLKNREDDEVNNSMMTLLYASDPSQSLIICPDKRSNIARFISGINNHTREGKKKQNVKSARFNVNGEFRVLLIAKRYIPKGERLYYDYNGSENAYPTKHFV >RHN43828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48814718:48819226:1 gene:gene50458 transcript:rna50458 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAINSNSFKGFDFRNNGLVVSHLQYADDTLRIGEASVDNLWTLKALLRGFEMASGLKVNFAKSCLIGVNVGREFMDAACNFMNCREASLPFQYLGLPVGANPRRLSTWEPLLDVLKKGLNSLGNKYVSLGGRVVLLNAVLNAIPIFYLAFFKLPVKVWKRVVRIQREFLWGGVNGGKKVCWVKWATVCLTRDKGGLGIRDMRLVNISLLAKWRWRLVQPEQPLWKDVLRLVGANWFNREMVRKVGIGETVRFWLDHWVGNEALCLTFPRLFSISSQKEAMVGEVWVDGDWNLTWRRSLFVWEEDLVHILLDELEGREVSEPMDSWWWKLEEGGIFSVSSSYSLLVKLQMPLEPLENTKAIVFGRVWHPLKWWFSLGNRSLIVSPLRITY >RHN67918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31419616:31420657:1 gene:gene16174 transcript:rna16174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAT dimerization domain, ribonuclease H-like domain-containing protein MPLSQEFDVLAFWKERSRRSPNLARMACDILSIPITTVASESAFSIGARVVNRYRSSMKDDSVQALLCARSWLHGFEELYDDNNDVQEDGTHGSGQASNSTVDVVNLEED >RHN57805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41814258:41820819:-1 gene:gene33393 transcript:rna33393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MGQIVRRKKKGRPSKADLARRSGQSPSTTQSDLRRSRRRRNVRYPIIDFDDYLDEDEEEEEDEDERRREKKKLKLVEKLNQGVDEEEDEDDEEDLKTSRGRSRVGHAPEFKKRKNGRKSVDRVDDDEEDEENEEDEREEEEEIVEGDNENAEEHEDDEEEGEADRGEVKGIKVDDSKGLHSVTGTPLKALSGIPLPLPDKRTLELILDKLQKKDTYGVYAEPVDPEELPDYHDVIDNPMDFATVRKKLANGSYTTLEQFESDVFLICSNAMQYNSSDTIYHKQARSIQELARKKFEKLRINLERSQSELKSEQKTGSNSLGKKLAKRPLGYTSQEPVGSDFCSGATLATTGDVLPISHPISHPMQGILCERPGNIDGLLGSSFFIDANQEKAEDFISGKGLLSKMGRKSTVQEYERRATYNMSNLPVTRSDSVFTTFESELKQLVTVGLQAEYSYARSLARYAATLGPTAWRIASQKIQQALPSGCKYGRGWVGEYEPLPTPVLMLDNRVQKEQPSLATKLLSTTKLTEVGKNGKNVESTFEHPVNQPMFEGKQPSVRPGCGLTSEGKPSLFEGKQPSVRPSCGITSEAKPSFFGSAGVRPNASINLTHQQSNASINLTHQQPNASINLIHQQPNVQTRNIGKSENKGLKQVELNSLPASDLNNASLVSKLTSSAPAAISKPREMIPSNINILTSMPFKQPDANGVVIGELPNGKVRNNSFNRRMTAPSSESTSTQTARSAPFVTHGQEQSLSDPVQLMKMLAEKAQKQQASSSSNHSPAETPPVTPSVPPGWREDLSNASAAAARAWMSVGAAGFKQGPESSSSPKNQISAESLYNPTREYQQHLSRIRAEFPAGGMPFQAEKNNFPFQALVPQHMHAVGVSQFSNRPMVFPQVAASDLARFQMQPPWQAVRPHSQPRQKQETLPPDLNVDFQSPGSPAKQSSGVLVDSQQPDLALQL >RHN76556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51204720:51205112:-1 gene:gene12900 transcript:rna12900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MRHKSNPPVPRIDNLRFWGEQWVKHGTCSVSMLDQYEYFSLALKLYNGINLREMLRKESVIPRGTLVARQAIFDAIRKHMKCKPQIRCQEIQNQYYLYEIRFCLTASKDPKFIDCNTEFVGCSINPEVYF >RHN70156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49169204:49173086:-1 gene:gene18711 transcript:rna18711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MGNNNIPGESETENLPLIQPTPSQPQLLQQNSNSLIQQTCLESKKLWHIAAPSIFSRLTMFSITVVSQSFAGHLNDLDLAAISIACTLLIAITFGFLLGMASALETLCGQAYGARQYHMLGVYLQRSWVVLFFSSILLLPMFVFATPVLKFIGQPVAVAEQAGLVAIWLIPFHLSFPFQFTLQRFLQCQLKTAIIAWVSGGALVIHVIVSWVFVYKMRVGIVGIALTIDFSWWLSVLGMLVYTLFGGCPNSWNGFSVEAFVGLWDFFKLSLASGVMLALENFYYRMLLIMSGYMYNSDVAIDALSVCVTIYGWESMIPLGFLAATGYGASSERVWRRQCKRGEIRDGGFSGVAIGSGRQAVVAYINIGSYYLVGIPLGVLLGWLLPSGIVGMWTGMMSGTVVQTSILAIITLRYNWEREVRNVQIHVKDDATSSQ >RHN46436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31697399:31699294:1 gene:gene40920 transcript:rna40920 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNGWDELPNNILNNKRPRYRHNELQGRGNSQALQTSIGPAPRLPYVLPNSDSRPVPADFPPEDADLLTQFSARHTGFLLSEHIHTPNMRRQAYEYFAKFLRCMASPVNGLIA >RHN49976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2811080:2811756:1 gene:gene34222 transcript:rna34222 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRCLLWKVRVKHLTTSKDLPEDAFLLGALLFADHQTLTPLNSSSISSLSSMLRTSGDWLSSTTSASSRLARLLEFAPRYVWMIV >RHN58868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5568776:5569692:-1 gene:gene20823 transcript:rna20823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MKIIYFLVSILALASSLAFAYDPSPLQDFCVAIKDPKDGVFVNGKFCKDPALVKAEDFFEHVEAGNASNALGSQVTPVTVDQLFGLNTLGISLARVDFAPKGLNPPHIHPRGTEILIVLEGTLYVGFVTSNQDNNRLFTKVLNKGDVFVFPIGLIHFQLNVGYGNAVAIAGLSSQNPGVITVANALFKSDPLISDEVLTKAFQVDKSIIDYLQKQSWYDNN >RHN74875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37368625:37370683:-1 gene:gene11005 transcript:rna11005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MLQAHKKLTVDVELLKSKDLQTSLFTLRQIKAATNNFDISNKIGEGGFGPVFKGCLPNETLIAVKQLSSKSKQGNREFLNEIGMISALQHPYLVKLYGCCVEGDQLLLIYEYLENNSLARALFGSEEHQIKLDWSTRKKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDANLDPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADVYSFGIVALEIASGKSNTMYRSKEEAFSLLEWAHMLKEKGDLMELVDGRLGLDLNKKEAMVMINVALLCTNVTSNLRPSMSSVVSMLEGRTVVPEFVPDSSKVMDGNKMEEMRQYYYQIEDKTMSKSQTQSQSLLSDGWTASPSSAADLYPIQSDSSYWEERN >RHN73466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16713228:16715073:-1 gene:gene9281 transcript:rna9281 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNQNQGNVQGDEKNKEKSSMTWHKIVCDGSEKKRRVRRCRCTITRG >RHN45124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11982938:11985354:-1 gene:gene39334 transcript:rna39334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MLALSASFAVYKKLSNLKKEKNNHDQISSSITKSSLNYKYETLEKATDYFNSSRKIGQGGSGSVFKGILPNGNVVAVKRLVFNNRQWVDEFFNEVDLISGIEHKNLVKLLGCSIEGPESLLVYEHLPNKSLDQFIFEKSRTQILNWKQRFNIICGTAEGLAYLHQGSIKRIIHRDIKSSNVLLDDNLSPKIADFGLARCFATDKTHLSTGIAGTLGYMAPEYLIRGQLTDKADVYSFGVLVLEIVCGRRNNVIREDSGSLLQNVWKHYRSNTLVETIDSSLGDDIPEAEPSRVLHIGLLCTQASASLRPSMTQVVKMLSNSDLDVPTPNQPPFFSSAILDSESSIRSYSTNSFVFNALKKIGVSYSYSESTCSHNSDEPSRSEEPTIQA >RHN76448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50341499:50341697:-1 gene:gene12775 transcript:rna12775 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHSIFISFSTKKHQYNKFFSIHHSFILSLYSTLHLVYCLGSIHNNIVLKRKIRNTQACVKAVS >RHN53393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1437059:1438592:1 gene:gene28295 transcript:rna28295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MPLSSLFCYIRMEALDSVDDLWGFLSDIGEDDYDKSRKAFPSVDLDDTNHSFSEFAVEDLEWLSNKDAFPAVETFVDFSCIQPDISQNQKIAPIVENSTSSSNSNNSSNSITLLSGYNHVKFPVRARSKSRSKPRLGISDTWNHQFAWKQPNNKTSKEQAKQTSTIGRQCHHCGADNTPLWRTGPGGPKTLCNACGVRYRSGRLVPEYRPAKSPTFCNNVHSNSHRKVVEIILSKPHLGISDTWNRQFTWKQPSNNTSKEQSKKTSTIGRKCHHCGADNTPQWRVGPDGPKTLCNACGVRYRSGRLVPEYRPANSPTFCSNVHSNSHRKVVEIRKQKRIRIG >RHN53991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6007405:6013387:1 gene:gene28966 transcript:rna28966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MDDMAAYYSQTQPPNLLTYQYYPPQQPPPPPQYQPIPVIPPPPGAPLPPPHHPHPHPQYIPQQQQVYDSYVPSPLASTNDVRTLFIAGLPEDVKPREIYNLFREFPGYESSHLRSPNNSSQAFAFAVFSNQQSAIMALHALNGMIFDLEKGSTLYIDLAKSNSRAKRARIDDDRASSDKKARGSASSWPTPDSGVSSIHMPGMGNPAFNTNMIGYPPAQSHGIADGNAMHDGLFLNLKKCSNPYIPTNTTPCATLFVANLGPSCNEQELIQVFSRFPGFLKLKMQSTYGAPVSFVDFKDIPSATVALNSLQGTILYSSPAGEGMRLEYAKSRMGMRRKPK >RHN59032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6854445:6855101:1 gene:gene21015 transcript:rna21015 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSWRNIQCFPVPPIASLDNNGVYVTGTINWLAFHDYRCLDGLCWNITVEQYVILSLVSPLRHTLNCCCLNVFNKVPCYQPKLVVLMDFLCFCLDYEETHFVIIWQMKDFGVHESWIQLFKISYNNFLSIMELKWFNLSPLYLSGNGDTLILTNDVDNEAFLYNCIDNTVKKIVITNDLVWWQATHHIECYCYYKVHFCYAYAFPDLQLYFFSCFL >RHN41537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30879038:30881496:1 gene:gene47871 transcript:rna47871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MATKHVILPRFLSNERIKSLLSSCKTMQQAHQIYAHIIVTGRHNNLHLSTTLFTFYASSSSSQSLHHSHTLFTQITNPDIFLWNAIIKAYSQIHSPPQHPFSLFKTMLNSSVLPDSFTFPFLLKACANVLISAPQFGFQVHCHVLRNGFGSDVFVNNALLNFYCGFGDVVNAYKVFDESFVRDCVSFNTMINGFARKGDVSGCFRVFGEMRGVCVRPDEYTFVALLSGCSVLEDYRIGRQVHGLVYRELGCFGGNVLLVNKLVDMYAKCGRLVMAETVLSVVKPGKSVVAAWTSLVSAYALRGEVKVARRLFDQMGERDVVSWTAMISGYSHAGCFQEALELFVKLEGLGMKPDEVAVVAALSACARLGALELGRRIHRQYAGENWTCSINRGFTSAVVDMYAKCGSIDIALDVFRKTSDDKKTTFLYNSIISGLAHHGRGEYAKNLFEEMGLLGLKPDNITFVAVLSACGHCGLVDFGKKLFESMFTVYGVSPEMEHYGCMVDLLGRAGHLDEAHRLILKMPFKANAVIWRALLSACKVHGDVALARVASYELVELQHDHGAGYVMLSNMLSDTDQHDEAASLRKAIDNVGIQKPPGWSYVEMNRSLHKFLAGDKSHPEAKTTELMLRDINI >RHN60594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28582151:28582357:1 gene:gene22927 transcript:rna22927 gene_biotype:protein_coding transcript_biotype:protein_coding MELYKENATSIISNAAYLLVAGSGNFAQNYFINPILQKLYTPYQFSDVLMQEYYNFIQARPSQPCIFS >RHN48596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48698870:48704914:1 gene:gene43330 transcript:rna43330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MVLESYSSSSMKLLLFMVPLIIVAGLVSVLGPNPSNWTSIPNPSGDDVTTKTMEKAQVVVAAAVDFHNKEVKAISDDSLFNLSSTPPLSIQAIPQILDKDKESLNASQILPNITTSTSMNESQILLQKPNLPRKFSILDRTEAGLLQARAAIRKARNENRTQDIDYVPTGPMYHNPNSFHRSYLEMEKQFKVFVYEEGEPPVFHNGPCKSIYSMEGNFIHAIEMNDRFRTRDPEKAHVFFLPFSVAKMVQFVYVRDSHDFSPIRKTITDYINVVSEKYPFWNRSLGADHFMLSCHDWGPETSKSVPNLYKNSIRALCNANTSEGFKPAKDVSIPETNLQTGTIHGIVGGPSPSKRSVLAFFAGGVHGPVRPVLLEHWEHKDEDLQVHKYLPKGVSYYDMLRKSKFCLCPSGYEVASPRVVEAIYTGCVPVLISDHYVPPFSDVLNWKSFSVEVSVNDIPNLKKILTSISPRQYIRMQRRVGQVRRHFEVHSPPTRFDVFHMILHSIWLRRLNFRLHDDQ >RHN64929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63081876:63082689:-1 gene:gene27810 transcript:rna27810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MFDLVISDVSMPDMDGFKLLEQVGLEMDLPFIMLSVNDDIEKVMKSVIHGACNYLVKPIRMEELKSIWQHVVRKKIESKDQNQGIISDGVYGQDTSSENIANKNKMHGRKRKEQTEEEEAEQDNDEKCSTRKKPRLVWDHELHRKFVSAVNHVGLDKASPKKILDLMNVEGLTRENVSSHLQVQIIYNFPFCKEISD >RHN63992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55754255:55760393:1 gene:gene26764 transcript:rna26764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MESRDLTSSSPSSTTNRDSPSAEVDDGVLALTVALAKDAALHYQSGKFAECVDVMQHLLLNKPTDPKVLHNTAIAEFFRDGCSDPKKLLEVIYSIKRKYDELSLTYVDQGELVNNVGNKVALGSKGSNASAPQFSGVNSTDTMHPDELDSSVATLNIAIIWFHLHDYAKTVSVLEPLFQKIDPIKESTALHICLLLLDASLACHDASKSADVLTYLERAFGVGSANQVDNGNTTQQQSANLTTKSVPVTISESAADPSSSDLGSSANASENNLSRTFSEDGLDYEAMILDMGSQNLTRPTVPPSNYLSRTLVDRFSTLDLKLKLQLCKVQFLILTRNLKIAKREVKLAMNIARGRDSSMALILKSQLEYARGNHRKAIKLLMASSNRTDTEFSSIFNNNLGCIYYQLGKYQTSSFFFSKALTNCSSLRKEQQKKLATFSQDKSLLIIYNCGVQHLACGKPILAARCFQKASLVFYKQPLLWLRLSECCLMALEKGLIKSCRVPSEKMEVGVCVVGLEKWRQLVVEDQIPGNGHMESSKGDDCVPGEDGRLKLSMSLARQCLLNALHLLDSYSTNRLKSGLPSNSSVEDDTSEMLPSKNLSRKNSHGADSKAFSVAVAVGQVNSNGDTKEQKGGASQELFQNSLSYYEDVCRRDNQLVKQAVLANLAYVELELDNPVKALAAAKSLFELPECSRIYIFLGHVYAAEALCLLNRPKERKLLITYHIICLEETVLNCHLVKMTVRNCK >RHN75983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46752067:46753834:1 gene:gene12251 transcript:rna12251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LysM family MCKTKMAINASTPKSTTPRSKRTTQTPTTPSSSSSPKPTRASTTITRATTKTKTSSFSDNPSTSYAGSTGFHLSTDTSISSRTSLTSLRNSLPENPNIYDFSEICSATNNFLSKRYSSSTPCWRCTLRNSDVIIFQRKFRRKLQTQQLQELLSTICRSHHVSIVKLLGVSISGEHIYLVYEFVNGANLSDCLRNARNVHYTVLSTWISRMQVATDLAHGIDYIHNKTGLNFNFVHNHIKSSAIVVTEPEFNARVCHFGAAQLCGEAVEIDSKNLGEITEEEDRSVRSKEFEGVRGYMSPEFQATGVATQMSDVYAFGVVMLELLSGEEPLKFRFDEKRREFVRISVIESAKEAVAAVDGGGVEGKLRMWVDRRLKDSFPVDVAEKLTRVALDCVHLDPDKRPNMGRVAGKISTLYLKSKNWSDNMKLPDMSFSLGPR >RHN40792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21203623:21205627:-1 gene:gene47002 transcript:rna47002 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFGIAWSSGGFLLVLEFLFYCSRSKYEAYMNSGLVWHFNLLICPFLCYNSFLFSCRLWLYKRIRIFSPKW >RHN64986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63470594:63474812:1 gene:gene27875 transcript:rna27875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate oxidase MKSYYNVVSSLFLVTILLLFFTSVKCEDPYRFFTWKITYGDIYPLGVKQQGILINGQFPGPQIDAVTNENLIISVYNYLTEPFLISWNGIQHRRNSWQDGVSGTNCPIPPGKNFTYTLQVKDQIGTYFYFPSLGMHKAAGAFGGIRIWSRPRIPVPFPPPAGDFTLLAGDWSKLGHRRLRRVLENGHNLPFPDGLLINGRGWNGNTFTVDQGKTYRFRISNVGLATSINFRIQGHSLKLVEVEGSHTLQNTYSSLDIHLGQSYSVLVMANQPVKDYYIVVSTRFTRRVLTTTSILHYSYSRIGVSGPVPPGPTLDVVSSVFQARTIRWNLTASGPRPNPQGSYHYGLIKPTRTIMLANSAPYINGKQRYAVNSVSYIAPDTPLKLADYFNIPGVFYVGGISTSPTGGNAYLQTAVMGANFHEYVEIVFQNWENSVQSWHIDGYSFFVVGFGSGQWTPNSRGRYNLRDTVARCTTQVYPRSWTAIYMALDNVGMWNIRSENWERQYLGQQFYLRVYTPSKSLRDEYPVPKNALLCGRASGRVTRPF >RHN55600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19913975:19914688:1 gene:gene30802 transcript:rna30802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MSSGKKTLGRQKIEMKKMSNESNLQVTFSKRRSGLFKKASELCTLCGAYVALIIFSPGEKVFSFGHPNVETVIDRYLSLVPTQNDDITQFIEAYRNASVRELNDILTHMKEALDIDKNRANELSQLRKNNEAHFWWTCPFDRMNMVQLGSFKKALEDLQKLVAHYANKVEIQGTSTQPVPFLVGNGSSSNMQFEHQPNLQQDSIFLAQFLQNPMFQPHLFDFNNMGGEGGHGPHGFF >RHN57948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42697149:42698426:-1 gene:gene33540 transcript:rna33540 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQPKIEPGSSSSTNHVILVEGVENDNLQDKTIPDLIEVLRGAYLTEVFDRVEGVLVSRDVGLRDQTQRLQQNVDMERLKLQEELEMEKLARIKAEEELKKREEIFQKGKKVQERYEALLKKVKTDLSDRDTVVVLRKRNIELRELFEEGKITIGELTKKNNELKCEVQKLKEKRVEDGNELDMLRKKKVELDNEVLELNKKSAEDGNAIDMLKTKSGELECEVEKLKEKMVEDGNANVLKRKNCELESKVLELEKLKEKWLDDSTALNELRSKVGVLEDEKNALAGIEIKNSELKETVNTNLAIISELRNENRKLADEKCKGEILLESLNTKFRTLHERVARLEDGSNLSMSVDASGGGNDEGDGEDVGGNEVGNEIVEKSAPLQRNGVGHHSHGVVASTQPLNKGSKDASLESEVKGASSGI >RHN55293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16861614:16864191:1 gene:gene30446 transcript:rna30446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CBS domain-containing protein MSSVQNMAHEQEVRTSTQLSKCDRYFETIQSRKKLPQTLQETLTDSFAKIPVSSFPGVPGGKVVEILADTPVGEAVKILSESNILAAPVKDPDAGIGSDWRDRYLGIIDYSAIILWVMESAELAAVALSAGTATAAGVGAGTVGALGAIALGATGPAAIAGLTAAAVGAAVVGGVAADKTMAKDAPQAANNLGEDFYKVILQEEPFKSTTVRSILKSYRWAPFVPVAKNSAMLTVLLLLSKYRLRNVPVIEPGKADIVNFITQSAVIQGLEGCRGRDWFDCIAARPMADLGLPFMSADKVISIQSNELILEAFKIMRDNQIGGLPVVEGPAKTIVGNLSIRDIRYLLLKPEIFSNFRNLTVMDFMKKIVSASYESGKVTRPITCKPDATLQSVIHTLASQSIHRIYTVNGQDQVVGVITLRDVISCFITEPDYHFDDYYGFAVKEMLNQ >RHN78523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15940942:15941304:-1 gene:gene2100 transcript:rna2100 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSVTPFRPPDGRLAEIQQEIQTAETEKLQQENSLGLLWEHPPALDPEVVGRVMQRIRDRIRALEDRKEALLQEQQSLLVEGAISNRRGNSHGIAFNEKNHLEEACAKCNKFKAATFV >RHN43969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:409021:412432:-1 gene:gene38012 transcript:rna38012 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pirin, rmlC-like jelly roll MSGICSAFNTPRFVLNKFLAKSQREGDGAVVRRGIGRSELKNLDPFLMLDHFSVSPPGGFPDHPHRGFETVTYMLEGGITHQDFAGHKGTIRTGDVQWMTAGRGIIHSEMPAEAKNNGLQLWINLASNDKMIEPNYQELLSENIPSGEKDGVEVRVIAGESMGVNSPVYTRTPTMFLDFTMMPGTQVHQTIPELWNSFAYIIEGEGVFGLQNSSPIVAHHIIVFTQGDGLSVWNNNSSKPLRFVLIGGQPLNEPIAQYGPFVMNTQSEIEKTIEDYQYGRNGFEMRLNYRSQ >RHN61297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34616664:34618968:1 gene:gene23745 transcript:rna23745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MLHCLNTSGNLVGGIISDMTVLERQRARKKFQHEHEQDQQFFMVGCDSALGEVVANSMKPGDLGFENVEETVKKRKADHKVDMKSKDKRIKVSVEEGESKITEQIKGNKNTKLKNRENCDDVGSKENSKGSEIQNHKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKIAGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDFNIDELFAKEVFTQNFQMMQSEMSNPAYLQFNSAQQQVSCCGGLINNMGILPPEIGVRRNINAPASASLPEIFLDPSCFTHILPSSTWEGDFQNLHSVDFDQGRSTSFPSQPFTGMIEASNLKMEM >RHN57978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42904545:42906050:1 gene:gene33575 transcript:rna33575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSSIKPNSMDLLFNHSSTLVTLNLADTGLSGNLKNNILCLPGIQELDMSQNFNLQGKLPELSCSASLSNLHLSNCQFQGPIPLYFSNLTHLTSLILSYNNLNNSIPSSLFKLRRLTHLHLSFNSFSGQIPDVFGFQKLTNLYLNGNLLNGAIPPSLFSFPSLEDLDLSNNRLIGHIIAISSYSLEELYLFGNKLEGNIPESIFKLINLTRLDLSSNNFSGVVDFQYFSELQNLVSLSLSLNNQLSLNFESIVNYNFSQLIELDLSSLSLTRFSKLSGKLSNLKYFDLSNNKINGRVPNWSLKMMRSSGFLNLSQNLYTSIEEISRNNYQLGGLDLSYNLLRGEIFVSICNMSSLGFLNLANNKLTGTIPQCLANLSYLEVLDLQMNKFYGTLPSNFSKDSELHTLNLYGNKLEGHLPNSLSNCMDLNVLNLGNNKIEGSFPEWLPTLSHLKVLVLSNNKLHGPISNLKIKHPFPSLLINC >RHN61777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38281515:38287283:-1 gene:gene24272 transcript:rna24272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prefoldin MAGPRRKGTVTPLESHFSPEEVQKATKHIQDSIAVKNNELHQLRAFVDDNSNLISLVQKLPEQLSHDIMVPFGKAAFFPGRLIHTNEFMVLLGEGYYADRTSKQTVEILQRRGKSLDSQVDSLQLMINNLNSFIKVADSEVEEGLVEIREEYVEDEDNDHSDEEESESDLPVEDATSSGKSTAKEMDYAAFLSMMDELEKKEELAEKNGDYSDEDEETTDDFNDSPYQRPVVNNPKNPEGSNQAIPLNHQENIADQLNFESLAVQSQVRGKIAQNVKPIDPSVKPPILPREKTSQATSASKIEAQHQTSQPSFDSRKAFTGSIVEHAESLPKTSREQSSNSQVSSSQPSKPVSRFKMQRK >RHN48316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46573539:46580129:-1 gene:gene43019 transcript:rna43019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-pyruvate monooxygenase MDMDLDEETILLYENVLKTARAKAVENPNDDDVDNLLDCAGALLTLHNVKDFTTSKEMLEEAVTKFKKVTELNPDLHRPFWALGKTLTAQAILFSTRAEAKDHYELAYDYFLKAVEKNPKNKLYRISLQEAAKKAPGRGPFTSAGFKQSAGTADPSSAGTENVPGTCPFSSAGTKRSIGTAGLSSSAGTEDPSNLPDVDPLDGDAKVCESIDPPASRFISYLENYANKFEINPQFNECVQSAKYDETSGLWRVKTNEVEYICRWLVVATGENAECVTPEIEGLSEFKGEVVYACDYKSGKNFEGKKVLVVGCGNSGMELSLDLSNHHALPSMVVRSSVHVLPREIFGISTFELAVMMLKWLPLWIVDKLLLILTWFILGDMEKYGIKRPSMGPLQLKNTVGKTPVLDIGALEKIRSGDINVVPGIKRINKNGEVELVNGEKLDIDAVVLATGYRSNVPSWLQEGEFFSKNGYPKMPFPHGWKGNSGLYAVGFTKRGLSGASSDAVKIAQDIGKVWKQETKQKKQRTTACHRRCISQF >RHN82720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55880400:55881599:1 gene:gene6902 transcript:rna6902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MDENHFSFLYINSGVSGSGATVFFIILIIMVICHLKRSTSGQQSIFRKKRKLVDHNVEVFMQSYESSMPRRYSYREIKRITKSFRDKLGQGGYGVVYKASLPDGRQVAVKVINELKGDGEEFINEVASISRTSHVNIVSLLGYCYEVNKRALIYEFMRQGSLDQFIYKSGFSEAVCDFNWNTLFQIAIGIAKGLEYLHQGCSSRILHLDIKPQNIILDEDFCPKISDFGLAKICQRKDSVVSILGTRGTIGYMAPEVYSRAFGGVSYKSDIYSYGMLILEMIGGRKNYDTGGSCTSEMYFPDWIYKDLEQGNTLSNCLTISEEENDMVIKITLVSLWCIQTKPSDRPQMNKVIEMLEGPLSSVPYPPKPVLCYPETPPLEMSDMSSSNLDETNSITMSK >RHN49269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53694512:53702027:1 gene:gene44083 transcript:rna44083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CBS domain, immunoglobulin E-set MYPSLTDSVGGSSSLSSSSSGPILIPKRFVWPYGGTRVYLIGSFTRWSEHIPMSPMEGCPSVFQVICSLMPGYHQFKFNVDGQWRYDEQQPFVNGNYGVVNTIYLVREPDILPVILSAETSSRSHMEVDNDVFGHAEANPRMSPSDLEVSRRRISKFLSEHTAYDLLPESGKVIALDVNLPVKQAFHVLYEQDVSMAPLWDFCKSQFVGVLSAMDFILILKELGTHGSHLTEEQLETHTIAAWKEGKSKQRRALDNNEGSNPHCFVHAGPKECLKDVALKVLQNKVSTVPIISLEDGSFPQLLHLASLSGILKCICRHFEHSAGSLPILQLPIASIPLGTWVPNVGDPNGQPLIRLRPNASLGDALSMFVQAKVSSIPIVDENDSLLDIYSRSDITALAKDKAYARISLDETNIHQALILGQDANSPYGLNNGHRCHMCLRSDSLHKVMERLAKPGVRRLVIVEAGSKRVEGIISLSDVFRFLLG >RHN42836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41378432:41381092:-1 gene:gene49329 transcript:rna49329 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVATYFGMSLAAFVFWQSMDKVHVWIALHQDEKQERLEKEAEIRRVREQLIREQASQKDSSY >RHN73353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15602451:15603668:-1 gene:gene9155 transcript:rna9155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MLDDKDIELLDMNGNTAFFIAAAAGNIEIVDLMLKINPILPIIRGTEGYTPIQYAALQGRYKMTWHLYDKTINRFENKDWNSLFFACIYTGIYDLAFKMARDKKELSFARDVNKETALHLLAQDQMPLDSSCHYPEHDHNHIMTNPGLKNHMVFQFVKFLWTTILDRHYSSKELNEIINEPSQLIFDAAEVGNFWFLSELISAIQA >RHN82409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53500840:53502613:-1 gene:gene6572 transcript:rna6572 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDIEAGFSHAHGDNLYPSMIESPELRWGFIRKVYIIVSIQLLLTAGVACFFMFFPPARDFVRNRLYCVIILIVAIIFTIILLFALSKYYKKHPVNLFLLGLYTLCMSVAVGFACVFAKAPVVLEAAFLTGVVVASLTFYTFWAVKRGKDFSFLAPFLFASLLVLMMFALIQILIPLGPIGKTVYAGLGALLMCGFIVYDTCDLIKRYSYDEYIWAAIAIYGDIVNLFLYILTLLQDF >RHN69599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44782094:44785969:-1 gene:gene18088 transcript:rna18088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyketide cyclase/dehydrase, START-like domain-containing protein MEKMNGTENNGVFNSTEMEYIRRHHNQQPGENQCSSALVKHIRAPVPLVWSLVRRFDQPQKYKPFVSRCVVRGNLEIGSLREVDVKSGLPATTSTERLEVLDDNEHILSIRIIGGDHRLRNYSSIMSLHPEIIDGRPGTLVIESFVVDVPEGNTKDETCYFVEALIKCNLKSLSDVSEGHAVQDLTEPLDRMHELLISG >RHN45052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11191481:11191951:1 gene:gene39248 transcript:rna39248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MADSYPITFKFFILLVLTLAFGASNIEPKPFINCPNYPIDITIINDIYPDPQSVPTEFTLHCKSKDDDIGFHSISYSQQYVFSFQPSYVFWINTLFFCSFTWQGSPYRHYIDIYSQKRDGCNSLQWKMNRTGGSKWGQWYPWKSIEIMDVNSTSKL >RHN54299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8431164:8435625:1 gene:gene29310 transcript:rna29310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VII-3 family MHTHTLLIILLLCAPFLSYAVTVTVTEIQILTSFKLNLHDPLGALDGWDPSSPEAPCDWRGVACNNHRVTELRLPRLQLAGKLSEHLGELRMLRKLSLRSNFFNGTIPRTLSKCKLLRFLFLQDNQFSGDIPPEIGNLTGLMILNVAQNHLTGTVPSSLPVGLKYLDVSSNAFSGEIPVTVGNLSLLQLVNLSYNQFSGEIPARFGELQKLQFLWLDHNFLGGTLPSALANCSSLVHLSAEGNSLSGVIPSAISALPMLQVMSLSHNNLTGSIPASVFCNVSVHAPSLRIVQLGFNGFTDFVGVETNTCFSVLQVLDIQHNSIRGTFPLWLTNVTTLSVLDLSSNALSGEIPRQIGNLAGLMELKVANNSFNGVIPVELMKCKSLSVVDFEGNKFAGEVPTFFGNVKGLKVLSLGGNQFIGSVPASFGNLSLLETLSLRSNRLNGTMPEMIMSLSNLTTLDLSDNKFNGEIYDSIGNLNRLTVLNLSGNDFSGKISSSLGNLFRLTTLDLSKQNLSGELPFELSGLPNLQVIALQENRLSGVVPEGFSSLMSLQSVNLSSNAFSGQIPENYGFLRSLVVLSLSHNRITGTIPSEIGNSSAIEVLELGSNSLSGQIPTDLSRLTHLKVLDLGGNKLTGDMPGDISKCLSLTTLLVDHNHLGGVVPGSLSNLSKLAMLDLSANNLSGEIPSNFSMMPDLVYFNVSGNNLEGKIPQTMGSRFNNPSLFADNQGLCGKPLESKCEGTDNRDKKRLIVLVIIIAIGAFLLVLFCCFYIIGLWRWRKKLKEKVSGEKKKSPARASSGASGGRGSSENGGPKLVMFNTKVTLAETIEATRQFDEENVLSRTRYGLVFKACYNDGMVLSIRRLPDGSLDENMFRKEAESLGKIKHRNLTVLRGYYAGPPDMRLLAYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFIHQSTMVHGDVKPQNVLFDADFEAHLSDFGLERLTVPASASGEAASTSTSVGTLGYVSPEAILTSEITKESDVYSFGIVLLELLTGKRPVMFTQDEDIVKWVKKQLQRGQITELLEPGLLELDPESSEWEEFLLGVKVGLLCTAPDPLDRPTMSDIVFMLEGCRVGPDIPSSADPTSQHSPA >RHN39353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6309712:6314252:-1 gene:gene45367 transcript:rna45367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 9S-lipoxygenase MFTNPFHRSQKVKGTVILMHRNVLDINALTAGLNVTGGFKVLGNLTCSIIDTYASILCSSVALRLISATSADESGKGKVGKRSFLEGFVTSAPILGAGQSAYKVHFEWDSEMGIPGAFYIENFMLGEFFLVSLTLEDIPNHGTINFVCNSWIYNCRKYKTERIFFANKTYLPSETPPPLVYYRQEELNTLRGDGTGERKEWERIYDYDVYNDVGDPDKKASLARPVIGGSNTLPYPRRGRTGRKPAKKDPKSERRSEYIYLPRDESFGHLKSSDFLVYILKSVSQNVIPQLQSAITLQFNKPEFNSFDDVRSFYDGGIKLPTSTLSKLSPIPFFKELFRTDGESALKFPPPKVIKVNQSGWMTDEEFTREMIAGVNPHIIKRIQEFPPKSKLDRQLYGDNTSTITKEQLQQNMGGITVEQAIQTNKLYILDYHDSLYPYLRKINAADTKAYATRTFLFLQNDGTLKPLAIELSSPHPQADSFGPVSDIYLPASEGVEASIWLLAKAYVVVNDSCHHQLISHWLNTHAVVEPFIIATNRHLSVVHPIHKLLLPHYRDTMNINALARNVLVNAEGVIEKTFLMGSYSLELSAVLYKDWNFKEQGLPNDLLKRGVAVKDPSSPHGLRLLIEDYPYAADGLEIWAVIKSWVEEYVNFYYKSDANIGQDSELQAFWKELVEVGHGDLKNAKWWVKMQTRTELIDSCTTLIWIASALHAAVNFGQYPYGGYILNRPTKSRRLMPKKGSPEYDELSKNYQKAFLRTITPKDDTLTDLTIIEVLSRHASDEQYLGQRIEGDLWTSDSQPLEAFKRFGTKLAEIEQKLTQRNNDETLRNRYGPVKMPYTLLYPSSEEGLTCRGIPNSISI >RHN72964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12188116:12191602:-1 gene:gene8733 transcript:rna8733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MGSLWIVFGAIVGVLFILRTLLKSVNWLLYEAKLGAKQYSLPPGDMGWPIVGNMWSFLRAFKSNDPDSFMASFVKRFGKTGIYKVFMFGNPSVVVTTPEACKRVLTDDEKFVPGWPQSAVELIGEKSFIKMPFEEHKRLRRLTSSSINGYEALSVYLKKIEEVVISSLEKWTHMGEIEFLTQMRKLTFKIIIHIFLGSESDHVMEALEREYTVLNLGVRAMRINVPGFAFHKALKARKNLVAIFQSIVDKRKNEKREKLLPGQKAKDMMDALVDVVDENGRKLGDDEIIDIMLMYLNAGHESSGHVTMWATYFLQRHPEFFKKAKEEQEEILRRRPSTQKGLKLEDVRKMDYLSKVIDETLRLITFSLVVFREATSDVNINGYLIPKGWRVLVWFRSVHLDPEIYPKPFEFNPDRWESEVHRAGEFLPFGVGTRLCPGNDLAKLEISVFLHHFLLNYELEQINPKSPVRFLPHTRPMDNCLARIKKRSSV >RHN65399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1803060:1809871:-1 gene:gene13232 transcript:rna13232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan 1,3-beta-glucosidase MEYRNYYLSFLLALFLSCPYSSLLAQNPPYKAVNLGNWLLAEGWMKPSLFEGIVNKDLLDGTQVQLMSTKFQKYLAADNGGGAGIVANRDSASGWETFPLWRVNDTYFNFRVFNKQFMGINNQGDNKIVAVSNSPSNQETFQIIRNSGDPLKIRIKASNGLYWQVRSETLVTADYGQGTSWEESDPSVFRMKIVRTLEGEYQLTNGYGPDKAPQVLRDHWNSYITEDDFTFMSQNGLNAVRIPVGWWIAQDPNPPKPFVGGSLAALDNAFTWAQIHGMKVIVDLHAVEGSQNGNDHSGTRDGFIEWGESYIPQTVSVIDFLAKRYGNRPSLGGIELMNEPQGVNLDSLKKYYKEAYDAVRKYNPNAYVIMSNPLDADSKVLLSFVTGFNKVVLDVHYYNLYSDKFTNMNVQQNIDYINNERASDLSGVSSTNALSFVGEWTDEFLVQGASMQDYQRYGQAQLDVYSRATFGWAYWAYKCQYNHWSLKWMIENGYIKL >RHN56443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30913674:30914789:-1 gene:gene31848 transcript:rna31848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSGVFLPDELIAEVLSFLPVQSLMRLRCVCKSWKTLISDKSFVKLHLQRPSRKKHIAVIETEAGYNVVTFPLNHLLENPSVTIATNSYYRLEYKDCSRVVGSCNGLLCLLGYSYLRNHDETVFWFHIWNPATRIISKKLGTCHQPCRPGKLTFSFGYDISTRTYNAVVLCSREVKVFRFGDNIWRKIVSFTPYNLLDTLGCSYVNQGVHLSGTVNWISIYLKDVTVEKFVIISLDLATETYRKLLPPPGAVNLVSRYTEPTIAVLLDRLCFSHHFKETHFVIWQMIEFGVEQSWTQFLKISFQNLQVDNFSEYYLFPFCLSENGETLIFASYVGHKAILYNLKTNRVKKAIGSAITWCYSKDYVESLASIC >RHN66286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10370196:10374181:1 gene:gene14236 transcript:rna14236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MLSFAFQLFKTLYCPFIHMLSRDTCFKILLLATHFILPDLLLQDQQKMAATMIGGAFLSATVQTLVEKLASTEFRDYIKNTKLNVSLLRQLQATMLNLQAVLDDAEEKQISNPHVKQWLDNLKDVVFDAEDLLNEISYDSLRCKVENAKAQNKTNQVWNFLSSPFNSFYKEINSQMKIMCDSLQLYAQNKDILGLQTKSARVSRRTPSSSGVNESVVVGRKGDKETIMNMLLSQRDTTHNNIGVVAILGMGGLGKTTLAQLVYNDEEVQQHFDMRAWACVSEDFDILRVTKSLLESVTSITWDSNNLDVLRVALKKNSREKRFLFVLDDLWNDNYNDWGELVSPFIDGKPGSMVIITTRQQKVAEVAHTFPIHKLDLLSNEDCWSLLSKHALGSDEFHHSSNTALEEIGRKIARKCGGLPIAAKTIGGLLRSKVDISEWTSILNSDIWNLSNDNILPALHLSYQYLPSHLKRCFAYCSIFPKDCPLDRKELVLLWMAEGFLDCSQRGKKMEELGDDCFAELLSRSLIQQLSDDDRGEKFVMHDLVNDLATFVSGKSCCRLECGDIPENVRHFSYNQENYDIFMKFEKLHNFKCLRSFLFICLMTWRDNYLSFKVVNDLLPSQKRLRVLSLSRYKNIIKLPDSIGNLVQLRYLDISFTRIKSLPDTICNLYNLQTLNLSRCNSLTELPVHIGNLVGLRHLDISGTNINELPVEIGGLENLQTLTLFLVGKRHIGLSIKELRKFPNLQGKLTIKNLDNVVDARDAHDANLKSKEQIEELELIWGKHSEDSQEVKVVLDMLQPPINLKVLKIDLYGGTSFPSWLGSSSFYNIVSLSISNCENCVTLPSLGQLPSLKDVEIRGMEMLETIGPEFYYAQIEEGSNSSFQPFPSLERIKFDNMLNWNEWIPFEGINAFPQLKAIELRNCPELRGYLPTNLPSIEKIVISGCSHLLETPSTLHWLSSIKKMNINGLGESSQLSLLESDSPCMMQDVVIEKCVKLLVVPKLILRSTCLTHLRLDSLSSLTAFPSSGLPTSLQSLHIRSCENLSFLPPETWSNYTSLVSLQLWWSCDTLTSFPLDGFPALQTLTILDCRSLDSIYISERSSPLSSSLESLIIESHDSIELFEVKLKMDMLTALEMLNLKCAELSFCEGVCLPPKLQSIAISTQKTAPPVTEWGHQYLTALSYLRIDKGDDIFNTLMKESLLPISLVSLNIRDLSEMKSFDGNGLRHLSSLQYLDFSFCPQLESLPENCLPSSLKSLILFQCEKLESLPEDSLPDSLERLNIWGCPLLEERYKRKEHCSKIAHIPVIWINHQVRI >RHN44452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4889000:4890646:-1 gene:gene38573 transcript:rna38573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MANSINKNIYTKISILSLFLLLFPLVANSYNPDYNLAINCGSLTDNTALDKRIWVGDNINNKNLFTFIEPKTTNPSFNVQANSLSNIQVPYTHARVSLSNFTYSFSSITNSTVFLRLHFYPTSYQNFEPSSAIFSVKVNDLTLLKNFNPLLWLYFDDEKITKEYCIQIKPNEKLNITFIPNSINQSNPCYAFINGIEVVSMPSFLYYTNLNDPNYYLKPVDSEYTEYRIHKDKALEMVYRVNVGQNQVPPSDDTGMFRNWGNDFPLYLEKEYPSSVSSDFTHNLTYKNNVIPNYIAPEVVYLTARSYGMYETKDYNVTWNFEVDSAFTYMVRLHFCEFDWHINYKGDRVFQIFIDNTLVEEKADVIGWSGARMVPVHKDYVVSMEGLISQIERVYLSIKLQRLPQPMPTVYRDVILNGIEIFKISDKYNNLAGLNPNKHIILSSQKQKSKKSTVVIVGVSSLLLTFLAVGIIVLVRRTKRFESPIKMIESLWKTKNEGSSTLPSYLCRYFTIAEIRAATKNFDDENIIGVGGLGMCTKVSLMDPHPLR >RHN39001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3435680:3442259:1 gene:gene44985 transcript:rna44985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cu(2+)-exporting ATPase MEGNGIDDVKIPLLQSTEEDNVSVKTVTFQISDIKCASCVNSIESALKDVNGVQSIAVSVIDGRAAVKFVPKLITAKRIKESMEESGFRVNEVHDHDQDISVCRVRIKGMACTSCSESVEKALQMIDGVKRAIVGLALEEAKVHYDPNLANPEKIIESIEDAGFGAELISSGNDANKVHLKVEGIDSEEDANVLVSYLELVAGVNRVEIDFSERIVTVSYVPDITGPRTLIQCVQEASRGSKVYRATLYSPSGRRERDKVNEIHMYRDQFLLSCLFSVPVFVFAMVLPMLPPYGNWLNYKIHNMLTLGLFLRWILCTPVQFIIGKRFYAGSYHALRRKSANMDVLVALGTNAAYFYSLYIVIKALTSDTFQGQDFFETSSMLISFILLGKYLEIVAKGKTSDALGKLTQLVPDKAYLVEIDTDANIISETEIDTQLIQKNDIIKIVPGAKIPVDGIVIKGQSYANESMITGEAIPIAKSPGDKVISGTINENGCVLVKATHVGSDTALSQIVQLVEAAQLAKAPVQKLADDISRVFVPIVVVAALTTWLGWFIPGKAGFYPKHWIPKGMDAFELALQFAISVLVVACPCALGLATPTAVMVASGIGASQGVLIKGGDALEKAHKVKTIVFDKTGTLTIGKPEVVSAVLLSEFSMEVLCDMAISVEANSEHPIAKAVVAHAKKLRKNFGSCPEEVPDVVDFEVHMGAGVSGKVGDRTVLVGNKRLMHACNVKISSEAEKYISENEILARTCVLVSINGKIAGAFSVSDPVKPEAKRVISFLHSMGITSVIVTGDNHATAIAIANEVGIDQVFAETDPVGKADKVKELQMRGMSVAMVGDGINDSPALVAADVGMAIGAGTDVAIEAADIVLIKSNLEDVITAIDLSRKTMSRIRLNYIWALGYNILGMPIAAGVLYPFTGIRLPPWLAGACMAASSLSVVSSSLLLQFYKKPFHVESI >RHN58799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4999030:5000533:1 gene:gene20746 transcript:rna20746 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVNCLFSKLNYFNQIRLSDIWFSLIISLKFLISHPMSLSLKFFITLICMFVGLIALSLFILFNNFFYLMF >RHN72447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7725102:7727679:1 gene:gene8159 transcript:rna8159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MSKEEILKIQKSVLKVNIHCDGCKQKVKKILQKIDGVFATEIDAEQGKVTVSGNVDPNVLIKKLAKSGKHAELWGAPKANNNNNNQNNIPNQMKNMHIDNGKGGGGNNNNKGQKGPGNNQNQPKGGSQQGQNPQQQQLQQQLQQLQQLQHIKGFGDLKVPQFKDMNMKMPPPNQNTNMKGVKFNLPEEYDDFSDDELDEFDDDEYSDEEFDDEMEHPLNKMKLPMGGNGPAHMMMNAQKGVGSGGGNGKKGGAGPVPVQVHGLGGGKKGGGGGGGNNQSQNQGGGNKNNGGKNGGGMPEGKNGNKNVGGGGGGNGGIPNNNVGKKVNGMGESGVQGMINNGLPNMGGGHPNVGHMVSNNMSGMPMGGGGAMGNNMAMMSQMGGNMPAVQGLPAAAMNGGGGGGGGVGGGYGGPEMMMGGNPYQQQQYMAAMMNQQRAIPAGGNDRFQPMIYARPPMAVNYMYPPPYSYPPPPQHPHDPYSNFFNDENTSSCSVM >RHN75198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40120791:40123228:1 gene:gene11368 transcript:rna11368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, ELK MQIVLWVTIPSLLEQGSIARVITVLLIMFLFQYLPKIYHSVCFVRRNLTNGFIFGTVWWGFAINMIAYFVASHAAGSCWYLLGLQRAAKCLEEQCETTPGCGLRTLCCKEPIYYGNYNMLKKLDRTRLVWSQNTEARSTCLASADNYEFGVYEWSVQLVTNNSRIEKILLPIFWGLMTLSTFGNLQSTTERMEVVFNTIILTSGLLLVTMLIGNIKVFLHATTSKKQAMKLKMTNMEWWMNKRRLPQGLRQRVRNYERQCWAAMRGVDECQLIKNLPEGLRRDIKYHLCLGLVRQVPLFQHMDELVLEYICDRVKSLVFTKGETLTREGDPVRRMLFVVRGHLQSSQFLRDGVKSCCMLGPGNFSGDELLSWCLRRPFIERLPTSSSTLVTLTTVEVFGLEAEDVKYVTQNFRYTFAKENVRRSVRYYSPGWRTWAAVAIQLAWRRYRHRLTLSSLSFIRPRRPLSRSTSLEEDRLRLYTALLTSPKPNQDGFDSS >RHN78144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12248734:12253223:-1 gene:gene1666 transcript:rna1666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MPPPNPNPTLLATKAITYLTRHPQNLPSLATHFTPDAATHLLLTSQLTKPLLLKFFYWAHPHIFFTPHIKILTLHILTRFHLFKTAQTLAHNLITTNTTTSSTLFHHIKETYHTTNSSSAVFDLLIKSYSQLNLIDNAIHTLHLATRHGFSPGVLSYNSILDSIIRSGPHSLLSIQQANRVFCDMVRNNVSPNIYTYNVMIRGMVSVGRFDSGLHFMNEMETKGCLPNVVTYNTMISGYCKENKLDEAFGLLKIMGGKRVEANLISYNAVINGLCGQGRMNETMEVIEEMSLKGLSPDCVTYNTLVNGFCKDGNFHQALVLLHEMSGKGLSPNVVTYTTLINGMCKVKNLSRAMEILSLMRDRGLSPNERTYTTLVDGFCRQGLMNEAYKVLSEMVDSGFVPSVVTYNALVNGFCCLGRVEEAVGVLKDMVERGLFPDVVSYSTVISLFCRNGELGKAFQMKLEMVEKRILPDAVTYSSLIQGLCCQRKLSEAFDLFQEMLGRGLSPDEVTYTTLMNGYCVEGELSKALDLHDEMMQKGFLPDVVTYSVLINGLNKKARTREAKKLLLKLFYDESVPNDVTYETLIENCSGNEFKSVVGLVKGFCMKGLMDEADRVFETMHQRNFKPDGTVYNLIIHGHCRHGNVRKAYNMYTEMVHCGFVSHMVTVIALIKALSKEGMNDELSSVMQNILNSCTLNDAELSKALVRINFKEGHMDVVLNLLTEMANNGLLPDGGDYSCASASAL >RHN72129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5195727:5198246:1 gene:gene7804 transcript:rna7804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MTLSLLHKTKTKTSISNILSTTLLLDSTHQHGPNTTQFHSLHQRRRTKTGQQMWRLPSGSSLSLSRMFHSSLNCSFDRSYCQIPLFLSHPSSFLVLQKKLLNPHLGGSFYGLPFSSFQLKFMFLDNYRPFCSVSGVESDSEDSGSCSDPNEVDRVCKVIHELFDLDRNMEAVLDECGVVLSHDLVVDVLHRFKHARKPAFRFFCWVGKRRDFEHDSRTYNTMMDILGKTRQFETMVALLEEMGEKGFLTMDTFSIAIKAFASAKERKKAVGVFDLMKKYKFKVGVHSVNFLLDSLGATKLVKEAEVVYEKLRDRFVPNLQTYTILLNGWCRVRNLLEAGRVWNEMIDKGFNPDIVAHNIMLEGLLRCQKKSDGIKLFEVMKAKGPLPNVRSYTILIQDLCKQMMMREAVEYFNEMVDRGCRSDVALYTCLITGFGRQKKMDVVYDLLKEMRERGCPPDGRTYNALIKLMTSQHMPDDAVRVYKKMIQSGVEPTIHTYTMIMKSYFVTKNYEMGRSVWDEMRHKGCCPDDNSYTVFIGGLIRQGRPDEACKYIDEMMQKGMKAPQLDYNKFGADFSKYGNPAILEELARKMNFAGKFEVSNVLASWVDMMKKNSKRREATESCR >RHN68173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33368217:33371387:-1 gene:gene16486 transcript:rna16486 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DELLA1 MKREHQESFGGGVISNNNKTNTNHLNSSKNINFGECSSMQNTNTKQNMWREEKETNGGGMDELLAALGYKVRSSDMADVAQKLEQLEMVMGSAQEEGINHLSSDTVHYDPTDLYSWVQTMLTELNPDSSQINDPLASLGSSSEILNNTFNDDSEYDLSAIPGMAAYPPQEENTAAKRMKTWSEPESEPAVVMSPPPAVENTRPVVLVDTQETGVRLVHTLMACAEAIQQKNLKLAEALVKHISLLASLQTGAMRKVASYFAQALARRIYGNPEETIDSSFSEILHMHFYESSPYLKFAHFTANQAILEAFAGAGRVHVIDFGLKQGMQWPALMQALALRPGGPPTFRLTGIGPPQADNTDALQQVGWKLAQLAQTIGVQFEFRGFVCNSIADLDPNMLEIRPGEAVAVNSVFELHTMLARPGSVEKVLNTVKKINPKIVTIVEQEANHNGPVFVDRFTEALHYYSSLFDSLEGSNSSSNNSNSNSTGLGSPSQDLLMSEIYLGKQICNVVAYEGVDRVERHETLTQWRSRMGSAGFEPVHLGSNAFKQASTLLALFAGGDGYRVEENNGCLMLGWHTRSLIATSAWKLPQNESK >RHN56332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29930532:29935476:-1 gene:gene31716 transcript:rna31716 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Lung seven transmembrane receptor MSYTDHGGDRRHRRRNRPASISAMFLYLTFHSIITLVGASIHDYQNETFIRRANSFFFHGGSEGLYASKPIEFNHSLDNFPTGKSFIRFESINFRRTKESAAKASSMQQKTGLVEAIIIKVRDRNNIGGVYLNSDAICCTPELAKEGSCKLGEVIIRENPDEPNGPKRLQTFFEGQNEETNMVIQTVDINSTGMYYLYFMFCDPELKDTLISGRTVWRNPDGYLPGKMMPLMTFYGLMSLAYLFLGLVWFLWFVKYWKDVIQLHYHITAVIGLGMCEMALWYFEYANFNSTGSRPMVITVWAVTFTAVKKTVSRLLLLVVSMGYGVVRPTLGGLTSKVLLLGVVYFVASEALELVEHLGNINDFSGKTRLFLVLPVALLDACFILWIFSSLSKTLEKLQIRKSTGKLELYRKFTNSLAVTVLLSVLWIGYELYFNASDPLGELWRRAWAIPAFWILLAYALLIVICILWAPSRNPTRYSYSEETGDDFDEEAVAVVGSGVKMSGEMSTMLERKDRKASSTSLATDHHVFGVIEDLEEDKRE >RHN45475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21290446:21295902:1 gene:gene39820 transcript:rna39820 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSQYIIRFQITSRTMLQWMRGLILEVNVNFIMARSTQEVAEGLLKLKGCLIGLIDGDDESDLLLRTNLLKLRTCLIEFNTTNGE >RHN50392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6747320:6751040:-1 gene:gene34670 transcript:rna34670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ascorbate ferrireductase (transmembrane) MATPPVVKFPIFATVRVIGVVIAILLLTWVVHFRGGLALVSDDKSLIFNVHPVLMVIGLVLINGEGMLAYKTVSGTKSFRKSVHLASQFLALILSLIGLWAAWKFHNDKGIDNFYSLHSWLGLACLFLFFIQLAAGFATFWYPGGSRNSRVALMPWHVFFGIYIYALAIATTATGLLEKATFLQTGNVISRYSNESLLVNCLGILIVALGGFVILGLVTPTYNKADDLRGNE >RHN54526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10243868:10245258:1 gene:gene29590 transcript:rna29590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MEAIVAPPPPAPPTRRRNADTTSTTSPPSNHQPHLLRLPLRRNPKPKNLSLIHPSSQPITPPKKSKRRRKCDTTSHILPLMDALHFPITIDIYTSLVKECTLSTDPETAIELHTQIITRGIELPLTLLNRILIMFVSCGLLENARRVFDVMSVRDFHSWATLFVSYYENGEYENAIDVFVSMLCQLDVMGFSFPPWIWSCLLKACACTMNVPLGMQVHGCLLKLGACDHVLISSSLIRFYGRFKCLEDANMVFNRVSRHNTLTWTAKIVSSCRERHFSEALGDFKKMGRVGVKKDSFTFSSVLKACGRMQNRGSCGEQVHADAIKLGLDSDSYVQCSLIAMYGRSGLLRDAELVFEMTRNERNVDSLNAMLMGYIQNGLYIEAVKFVYQMKAAGVQPHEPLLEKLRIACGSSNFSSMN >RHN46995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36347034:36349491:-1 gene:gene41540 transcript:rna41540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKIFNPFSLLVFLSFTTISISSHLFSPLDNYLINTGSTLSTTFNNRLFTPEHSSFSTSPHSISITNQNPFPNSPSIYHTARVFTKSTKYTFPVKTKGTHFVRFHFHAFNSSNLDLGHAKFQILVNGYVVLSNFTRFLSDCVKNPRVVEYLIWVDYEKVEIVFVPEKDLNFGFVNAIEVVSAPNDLVLETAQFLSEEGLKSFDDLNKQAFEVVYRVTVGGPKVTPFNDSLSRTWVTDDEFLKSSDGSERFYFGGRINYRVGGASREVGPDNIYNTARLIKSRNDYVPNVNMTWVFPVVGGYKYLVRLHFCDIASISMRLLYFNVYVNGYLALEDFDLSLVTNALASPFYADFVVDGDSSVGALNVSIGPSKSSLAHVVDGMLNAVEVMKLNNTHKSLDGNVCANFVLNSHRSSGNTGILLTLAAAACIVLSLSIVIRRRIVESRETVSWSRLPVNLSEDSVKG >RHN82361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53162500:53168419:-1 gene:gene6523 transcript:rna6523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MPEIQLGVHTIRSHGARVARIHMHDWLILLLLVVIDAVLNIIEPFHRFVGEDMMTDLRYPLKDNTIPFWAVPIIAILLPLAVFLVYYFIRNDVYDFHHALLGLLFSVLITAVITDAIKDGIGRPRPDFFWRCFPNGTGVFDKVTSDVLCTGDKSVIKEGHKSFPSGHTSWSFAGLVYLSWYLSGKVRVFDRRGHIAKLCLVLLPVLLAALIAVSRVDDYWHHWQDVFAGGLIGTTVSSFCYLQFFPPPYDIDGWGPHAYFQMLAESRNVAQPPANNEINLAQSAELQTVSLYIPSQNDGDARGNSWDSSPMLGGGLSQNARMH >RHN69063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40710161:40710923:-1 gene:gene17491 transcript:rna17491 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVLRYDLCCIGWWVLLAASVCSCRVCCGQPRSMFAQPADVGGWASGMCSVLFSVAVMDIALPAVRPVQLPLCLEFCLAGISIVSVPIFVSNGAARVLFWLGGISLVGL >RHN76131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47902933:47903476:-1 gene:gene12412 transcript:rna12412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MCPGISLGLANIELPLAALLHHFNWELPNGMKPDDLDKTESLGAATARRNGLYLIPTPH >RHN79945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33732953:33733892:-1 gene:gene3804 transcript:rna3804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MSTEVIAKRWGKPNVFRNDREPMFGKGLVMAEGSEWVHHRHVIAPLFSPLNLKAMVSIMVDSTKQMIDRWITQIDSGNPEMDVEREIVATAGEIIAKTSCGMKDENARKIGEKLHTLQMKLFKTTRYVGVPYIKCIEMKKTLETKKLGKEIDKLLLYVIETRKESKVKQQGREDLLDLLLQENQVDGKYGKILTTKQLVDECKTFFIGGHETTALAISWTLMLLAMHKDWQNQLRDEIREVVGDKDVDINVLAGLKKVMLVLLI >RHN56393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30543311:30543526:-1 gene:gene31785 transcript:rna31785 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTERIESMKEWFKSSQALLSMLLVQIFATGMQLLSRVILVQGTYIFALIAYRHIVAAICVAPFALYFER >RHN57614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40527322:40528565:1 gene:gene33190 transcript:rna33190 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIKYTQIAKILGAVKPFESWFLITTMNHFMCSNVESRILNSFIMMQKQGKCDFLPRDNHIYSLNL >RHN48647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49196019:49200097:-1 gene:gene43386 transcript:rna43386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MFFIWVGIEIPKIEVRYENLSVEGDVYVGSRALPTLLNVTINTLESVLGLFRLAPSKKREIQILKHVSGIVKPSRMTLLLGPPGSGKTTLLLALAGKLDRDLRASGKITYCGHELNEFVATKTCAYISQHDIHYRENTVRETLDFSSCCLGVGTRYELLMELSRREKDAGIKPDPEIDAFMKAIALSGQKTSFVTDYVLKMLGLDICADIMVGGEMKRGISGGQKKRLTTGEMLVGPAKVLFMDEISTGLDSSTTFEICKFMRQMVHIMDVTVVISLLQPAPETFELFDDIILLSEGQIVYQGPRENVLEFFEYTGFRCPERKCVADFLQEVTSKKDQQQYWFRRDEPYRYVSVPEFFEFFHSFHIGEEIAAEIKVPYNKSQTHPAALVKEKYGISSWKVFKACFSKEWLLMKRNAFVYVFKTTQIAIMSIITFTVFFRTKMPVGTVQDGQKFHGALFFTMINVMFNGMAELSMTVYRLPVFYKQRDIMFYPAWAFALPIWILRIPLSFMESAIWIVLTYFTIGFAPSASRFFRQFLALFGIHQMALSLFRFVAAVGRTPVVSNSLSMLIFVVVFVLGGFIIAKDDIKPWMIWGYYISPIMYGQNAIAINEFLDKRWSKPNTDTRIDAPTVGKVLLKARGLFTEDYWYWICIGALIGFSLLFNLLFILSLTYLNRKSYLFSDFIFLSVTE >RHN58444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1781370:1781975:-1 gene:gene20359 transcript:rna20359 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTRRRNSLSSCSIQTRSKTRRLATYFDFYFLDECWEFVFKFLINDVNSHGNNRLYFMCLSLVSKQFLLITNRIRLSLIIRNSTRPFLHCLLKRFTSLTSLNLTPSSGDLNKLLYQISTFPLKLTTLVLSVQATIPANGLRSFSQNITTLKLCKMNFKVPKLEVLNLLFTRVDDETLYIITKNCHIILQLLLVYCECVT >RHN48722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49734250:49739022:1 gene:gene43469 transcript:rna43469 gene_biotype:protein_coding transcript_biotype:protein_coding MGRELKVRVVCRKLYDYVCYDLKEIAFPSSLPDPPNIKKRPKLTWEQRIWVLKKATRLYAASWVRDIGPDLRPNDYKTDEMNDESNAQKKTAKDKELSIVEELAIAARGGMETLRPALQRLYMTRASAYRDAMKSFIEGYLEGVQQVREKKENSKTEEDADVSKKST >RHN58992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6453902:6457662:-1 gene:gene20970 transcript:rna20970 gene_biotype:protein_coding transcript_biotype:protein_coding MQIWLLKTARLSRICEVRVTVSTSTLISKMASLRLTRHSLTYWLMNCCS >RHN39938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11826908:11830906:1 gene:gene46022 transcript:rna46022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MASEKFNFGFLIFFLCYGILISTQCLGNICVPKEHVALFVFGDSFFDVGNNNYINTTTDLLANYPPYGETFFKYPSGRFSDGRVIPDFIAEYAKLPLIQPYLFPGSQLYINGVNFASAGAGALVETHQGLVTDLKTQLTYLKNVKKVLRQRLGDEETTTLLAKAVYLINIGGNDYFVENSSLYTHEKYVSMVVGNLTTVIKRIHEIGGRKFGILNQPSFGCFPIIKALVNGTKSGSCIEEYSALAKVHNTKLSVELHNLTKQIKGFKYSYFDLYHLSFEVISNPSKFGLKEGGVACCGSGPYNGYHSCGGKREVKDYDLCDNPSEYLLFDSTHPTEAGSRIISQYMWSGNQTITGPYNLKTLFEE >RHN39166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4632343:4635167:1 gene:gene45167 transcript:rna45167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MKHFLLVLFSVLTTILVLIQAQDQSGFISIDCGLPAHLNYSALDTGINYISDAKFIDTGVTKRITPTNNNIKQELEYLRSFPSGVRNCYKINVTSGTKYLIRATFLYGSYDGLDKPPQFDLHFGPNVVATVRFSNHTSHFTYREIIYTPSQDYIQPCFVNTGNGTPFISVIELRTLNNTAYVTYPANSVLSFWKRSDVGSITNLQYRYKDDVYDRIWFPWDLPSDLRRLSTSLNKTDLNQSSYKPPEIVMSTAVTPVNASAPIQFQWDANNVNDRFYLYMHFNEVEELAENETREFNITVNDKFLYGPVTPYTTIFSTKPLTGAPRYHVSLSKKDNSTLPPILNAFEVYKQRDFSISETQQDDVDTMTNIKNAYGVARNWQGDPCAPVNYMWEGLNCSSDGNNIPRITSLNLSSSGLTGEISSSISKLTMLQYLDLSNNSLNGPLPDFLMQLRSLKILNVGKNKLTGLVPSELLERSKTGSLSLSVDDNPDLCMTESCKKKNIIVPLVASFSALVVIIFISFGFWIFRRQKGTSQIT >RHN42350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37597062:37597729:-1 gene:gene48781 transcript:rna48781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S17e MTLDFHTNKKILEEVALIPSKRLRNKIAGFSTLLMKRIQKGPVRGISLKLQEEKSERRMDFVPDVSAIRTDHIEVDKETLDMLAALGMSKIPGVFQVDHVPVQQIPFVRGASAGRRVYTLHPPCACFVSKWICLSINRV >RHN57798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41778628:41783077:1 gene:gene33386 transcript:rna33386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDNKGKGAKALSTSLQNLNLNLNSQSNFKSNKSSITTTITRPQFPGFLTKTKPPSLVNLCIGLIGRHLEDIIEDLDEIAIGLPAEIKLAVAAIARRRKFLNDDVLIALADASWEILDVSGSDVSDLGLVKAAEVCRSVKALDISRCTKITATGISELVKHCHSLETLRCGGCPRSDNTARRCLSIFKPKLEYVAEDSWEELDTKEMANGAQSLRWLVWPNIDNNSLEDFSTECPRIVVNPKPSPFGFMGTQVPFEAFQNIILDDAVVKDIDPKTWTMHGIAKRPISSPSSSTELSVAEKFRLAFEERDNRLAPKRAKNARQHQRRAARDMLLMSTSAKAVVLASQASKSLHSRNL >RHN54918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13533204:13537405:-1 gene:gene30017 transcript:rna30017 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGTDGRGYDLARNLETHGVWRKWLGDSNYTNFVPFLSSPSSWDSFMKTDSSKSTLHIHLQLRVRALLFDKAASSISLSSNPNVSKLNPNFLHLHPDDVYFTLDNNNAPSSSNSKVGSRYVDSELPETWYNQVIENYKANKKLVMWDRELSPKRSPAEMASYIMRSSNRKKRRVVFNEEQHQVMDQSNGGNLVDGDDDEFVFPEITYAWNSVPESAIPVTDRVENNNNQKERIVSVLDTLPLVMTRNAEYVNGKHGGGLYRGKLVSEGNEIVLGREQAVKLSQKVVARVLLGAGFEAAMEGPTEYLSEVMSKRIVKIGTNLKVLADSYNQQCSAIDLLKMLLKTVGFSNFAPLVDVVKDDSRNIIQQGQQRPHGIQSQLQQQQQNSLRLPQQVQMQRQMHTQMQQMINPQNLAFQQQQQLHLERLRSQQSTPRPAMDVNKDRQLVKVKLENTSDLPSDSNAFNSIHHQMQFRHQQAAMSNFLPQSNTQFRQMGSPQIPSQNNISMVRAPPVKVEGFSELFGGDSSSKHDSEENRLTSPSSK >RHN66191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9606399:9607188:1 gene:gene14127 transcript:rna14127 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQLRQLYLRPFWKRHSMVQLQFANFHWDNLYLKGLRSNVHIPI >RHN41120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27191700:27195955:-1 gene:gene47410 transcript:rna47410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MKMGLGGDNGKVIESLDVCKSKGWKKKKKQDGVMEDEETETGCWLSFRFIGSCISSRSKVDSSVSGTSTNYAESKSTIDTSRDQPSVPVVSSTTTTTSNAESNSSTSKVEDEIKVASRLRKFSFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNYLGDLVHQNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSRSDVYSFGVVLLEMITGRRSMDKNRPNGEHNLVEWARPHLGERRRFYRLIDPRLEGHFSIKGAQKAAHLAAHCLSRDPKARPLMSEVVEALKPLPNLKDMASSSYYFQTMQADRFSASPNTRNGRTQGAFTRNGQQQRSLSISHGTHASPFHHQYPQNSPKPTGKAQS >RHN65639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3985787:3990522:-1 gene:gene13503 transcript:rna13503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAFVGEAFLSASLEVLLDRIIPDELLYFSRNKELDTSLLKKLKITLLSLQAVMNDAEEKQITNPAVKQWLDELRDALYDADDLLDEINTESLRCKLEAESQIQQPFSDQVLNFLSSPFKSFFRVVNSEIQDVFQRLEQFSLQKDILGLKQGVCGKVWHGIPTSSVVDESAIYGRDDDRKKLKEFLLSKDGGRNIGVISIVGMGGIGKTTLAKLLYNDLEVGENFDLKAWAYISKDFDVCRVTKILLECVSSKPVVTDNLNNLQVELQQSLRKKRYLLVLDDVWDGSYDEWNKLKAVFEAGEVGSKIVITTRDESVALAMQTHLPVHYLRSLRSEDCWSLLAHHAFGPNNCKEQSKLEVIGKEIAKRCGGLPLAAEAVGGLLRTKLSEKNWNKVLKSNIWDLPNIKVLPALLLSYHYLPAPLKRCFAYCSIFPKNSGLDKKMVVLLWMAEDLVHQYKGEKTIEEVGEEYFDELVSRSLIRRQMVNAKESFMMHDLINELATTVSSAFCIRLEDPKPCESLERARHLSYIRGNYDCFNKFNMFHESKCLRTLLALPLRHWWSSKYPNLRSHYLSSKLLFDLLPAMKRLRVLSLSHYNNITELPNSFVNLIHLRYLDLSNTKIEKLPDVICKLYNLQTLLLSKCSSLTELPEDIGNLVNLRHLDLSDTKLKVMPIQIAKLQNLQTLSSFVVSRQSNGLKIGELRKFPHLQGKLSISKLQNVTDLSDAVHANLEKKEEIDELTLEWDRDTTEDSQMERLVLEQLQPSTNLKKLTIQFFGGTSFPNWLGDSSFRNMMYLRISGCDHCWSLPPLGELLSLKELFISGLISVKMVGTEFYGSISSLSFQPFPSLEILCFEDMPEWKEWNMIGGTTIEFPSLRRLFLCDCPKLKGNIPQNLPSLVELELSKCPLLRSQEVDSSISSSIRRPSHPEWMMIELNSLKQLTISSIVSLSSFPLELLPRTLKSLTFLSCENLEFLPHESSPIDTSLEKLQIFNSCNSMTSFYLGCFPVLKSLFILGCKNLKSISVAEDDASHSHSFLQSLSIYACPNLESFPFHGLTTPNLNSFMVSSCPKLKSLPEPIHSLSSLYQLIVYGLPKLQTFAQESLPSNLRILEVSNCGSLSTSAITKWGLKYLTCLAELRIRGKWLQHLTSLENLEISDCRRLESLPEEGLPSSLSVLTIKRCLLLQANCQSNGGKEWPKISHIPCIIIDKKVII >RHN68770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38384882:38385529:1 gene:gene17164 transcript:rna17164 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHEPLGEPVRKLMMNKNHFFYFFFFLLLIFHSKNGKRMNKMNAFSVILLITQNKTSPERKDINFEMGLIKISVLCTSMINYSYHEVYMHHKIGTRSAQI >RHN68113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32947231:32951184:-1 gene:gene16413 transcript:rna16413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase N-1 MSLLSDLINLDLSETTEKIIAEYIWIGGSGLDLRSKARTLPGPVTDPSQLPKWNYDGSSTGQAPGEDSEVIIYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPTNKRHAAAKVFSHPDVVAEVPWYGIEQEYTLLQKDINWPLGWPVGGFPGPQGPYYCGAGADKAFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDEIWVARYILERITEIADVVLSFDPKPIKGDWNGAGAHTNYSTKSMREDGGYEVILKAIEKLGKKHKEHIAAYGEGNERRLTGRHETADINTFLWGVANRGASIRVGRDTEKAGKGYFEDRRPSSNMDPYVVTSMIADTTILWKP >RHN82342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52966856:52969897:1 gene:gene6502 transcript:rna6502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin-D1-binding protein MQCCKDTSKPRVIAPSSPIANRHREAKMPARAEKERLNLVLGSHLATIHETLQVLDQTPSSSSSFVNKVTWEDVIKMAEQVSKQATTVGMIWTGDKPESKAIEETMTSYFNNLQGFLLVSHGSKVGAGPTLSSSIHESVKQVVDSSFRLMKETVSFYGSHREGQKQLVPQLVGTVWEACSALKKTPTSNITAIGRGMTKVAVSVKDVLREMKELKPVSSDDPADTESEPCDDNSSEGELGNDLSPEEMKVAERTIVVVSDTLSVLKGLIRSITGLIKMEKPDDNSGFVDSLEKLLQLCQELGQQIDEIGACLYPPQEISSIEAALEKIRSIIEVMQAEIGGLQGASDAFLEACNGLRSSMHELASELSSFSTADIEAGVENITLSEK >RHN60179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22565907:22566413:-1 gene:gene22427 transcript:rna22427 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIRSLVNKANQKVVGQGSSSRKRNEQRDRTAEAWFPIRVTDELEEEEEVPLKRKRIAALDNGKQVQTQVVVPSKGVPPTGESLFQLPKVWSQSDRFGSQSSLYLGDSELKGIRDLGPAGRSREVTEGVVGAMRALEVVVFLNNSSMEEVVRSDMPLLVSGMGRPRK >RHN50934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11967119:11967717:1 gene:gene35290 transcript:rna35290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MNDLVFKASEHKFKLIWTGGTTADKVNVHQIPDVALKFKPLAEIAAGRWRPDMLIHVIGYVHEVGYCQMNEGTSKKLQVNFLIKDLSDMPLNCTFWEEYAAKFIKFSNERNEAGPIFVMLNYAKVKEENPIGSSINFIYF >RHN80713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40358251:40362453:1 gene:gene4670 transcript:rna4670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein adipocyte-associated 1 MVEKSVLSITNEDSSSSSGVLTKGFTDWVFECHGFWHNAVLIIASFLFVLYLAFQARKSFYKLTNGRSYIIISYYASLWLVSILNLAWCFSQAWECTPGKELTWNLLSLFTSSGMLFLEVSLLAFLLQGNNASGVEALTRTFGISGIIVGFDVLLKAIYLFAFGVPLFIDTDHGTPRLKWNLWVIHKLVLTVVYGLILFMYHSRWRERLPARPAFYKYVIIMFILNAIALFACGLTGNGAGFGFWFYHVTIVSYHAFYLPLLYITFLADFFQEEDFHMENVYYSEMKDAGFFESDWE >RHN50780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10466573:10467399:-1 gene:gene35108 transcript:rna35108 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLPVTNTTTHFTLPHTLFIVSVPLPEIVKFKLSPFVSAYGVSPTTTTAYEKSLDFT >RHN68305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34458345:34461524:-1 gene:gene16640 transcript:rna16640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Yip1 domain-containing protein MEEDSRTSSSNTSHLLGSVPAVIVDQKNASNNQVPYANMQTFPPNSGGGGGGGQQGYQTLGTPTDAFGQQPANNWQGFFSVSSYTQYFNVDTDVVVNRLISSLNPVGDDFFAKIDANPDLYGLIWISTTLVFVLALLGNFATYLMEKHTNNRTSWSFDVSYVNTAAWSIYGYVIVVPLAYYFFLQYMGSNANLVRFWCLWGYSLTIFILSSFLLLIPVEILRWIIIILTGGASASFVSLNNYAIFKTQ >RHN49009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51737587:51738781:-1 gene:gene43788 transcript:rna43788 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHISFHATTKIIYYDGSVQEFDQPITVAELMLDHPKHVVVEFHSAMNQKKPTPLPADKNLEMNKKYVMVPMKPGKPVGLSAEDCSRILSIANSALNTNNYLMSSQGFVPWLVRFLKKKKVEIGEVETSLQINEEERFNFCEFLPEMMEERAEYLNLSRQLSGKGWKPSLDTIKEKKVKRKISGWLFLTSFIGAKI >RHN40915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24276834:24280652:-1 gene:gene47160 transcript:rna47160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aromatic-amino-acid transaminase MESGVGTMNHECKATSTITIKGILSLLMESVGENNDDNSKRVISLGMGDPTLSTCFPNAKVAEEAVADALCSGNFHGYAPTAGLLQARNAIAKYLSDDLPYELSSDDVFITCGCTQAIDVSVALLSRPGANILLPRPGFPIYELCAAFRQVEVRHYDLLPEKGWEVDLDAIETLVDQNTVALVIINPGNPCGNVYTYHHLEKIAETAKRLGTIVIADEVYGHLAFGDNPFVPMGVFGSTVPVITLGSLSKRWIVPGWRLGWFVTNDPSGTFRKPKVVERIKKYFDLLGGPATFIQAAVPRIITQTEEVFFRKTIDKLRHTADICCQEMEDIPCISFPCKPQGSMAMMVELNLSLLEDISDDIDFCFKLAKEESVIILPGTAVGLKDWIRITFAADPSALRDGMQRIKSFSQRHARKQ >RHN64162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57026250:57026906:1 gene:gene26949 transcript:rna26949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MEKKTLPYLPHELIIQILQWLPVKSLIRFKCVCKSWFSLISDQHFAAAIHTHKILLMSTSHLKSLSIDFEASLNDGRAYASQNLKFMLRGSYFDLKIIGSCRGFVLLHCSFTIYLWNPSTGFHKRIPLSPYGCNSFVNYFYGFGYDHSRDDYLMVSISHYANLVGIISHLEIFSLRDNTWKQMEGTHFPYTNVSYGDPQKKQGRSLMGLFISWLFIMI >RHN48746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49880932:49882617:1 gene:gene43496 transcript:rna43496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase MQRHQQPITEMQKGRITDLSKRLDEGLFKAALTKDDYMNLDTLESRLSNFLRQATMHNHNKQSPQLVSSSPMGTMIPTPGMSYGPNSSMAVAPSIDASMISSSGCNSIVPTSFNSPNMLPAGGMLGSSKVHKDVCTKVLQLSTETPKDSDMDTVSGSIYSTDQLEEFVSKLAAHSHLNSLVSTCSSSALSQNSNAQKISEIMQKLKPLVSKDLDSIMSTTGVVEEISSLVKDLNEIKDHLSFADFGTFSAVQMAIGRFENIKLALSNYHGVHQEQQEVCGCIQELIQHRTNVNGKHEELNQRKQQVSNRIIVLRHDLQEAEKELQTILADKKTNTASGKHIESKINEEYSKGVNLMTKMSSIETEYHSALSKKASLAEHWAYVQACFFPKSV >RHN82542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54632188:54636339:-1 gene:gene6713 transcript:rna6713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MNKANRFFTIAASHFESLLRKYSASNSLSETKKLHALIITYGLFSSSQLSSKLATTYAQCHHASYASQLFDKLPKRNLFSWNTMMRMYVQMGRPHDALNMFVEMLHSGRAMPDHFTYPIVIKACSELLFVDMGVGVHGQTAKCGFDLNSFVQNSLLAMYMNVGEKEAARLVFELMQERTVVSWNTLINGLFRNNCAEDALRVYSRMVDEGVGVDCATVVSVLQACGVLKNVELGREVRALTLEKGYWGNVVVRNALLDMYVKCGEMEEARLLLNGMEEKDVVTWTTLINGYVVNGDARSALMLCRSMQLEGVKPNLVSVASLLSACGDLVSLKHGKCLHAWAIRQNIESEVVMETALIDMYAKCNEGNLSYKVFMKTSKKRTAPWNAVLSGFVHNRLARNAVQLFKEMLLENVQPDSPTFNSLLPAYAILADLKQAMNMHCYLVKLGFLCKLEVASMLVDIYSKCGTLGYAHQIFDMIPLKDKDIIIWTAIIDAYGKHGYGEMAVSLFNQMVQSGEKPNEVTFTSVLHACSHAGLVDQGLSLFNLMLKKYQVIPSVDHYTCIVDLLGRAGRLNDAYNLIRTMPITHNHAVWGALLGACVIHENVELGEIAARWTFELEPENTGNYVLLAKLYAAVGRWRDAERVRNMVNEVGLRKTPANSLVEVSNM >RHN70739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53656130:53667021:-1 gene:gene19353 transcript:rna19353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MSRPTTRSKNKRQKQGDDGVCTNETWRKIHETGVVTEDDVNQLYMIWKPVCSGCRVNTKDNPNCFCGLVPPPNGSRKSGLWEKMSDFVESLGPDPNNDLRDSADSPAGLTNLGATCYANGILQCLYMNKLFREGIFSAEPDVLRQQPVLDQLARLFAQLQASKMAYIDSSPFVKTLELDNGVQQDSHEFLTLLLSLLERCLSHSKVPKARTVVQDLFRGSVSHVTTCSQCGRDSEASSKMEDFYELELNVKGLKSLDESLDDYLAVEELHGDNQYFCDSCNTRVDATRSIKLCTLPDVLNFQLKRCVFLPKTTTKKKITSAFSFPAQLDMQHRLPELSQFDLVYDLSAVLIHKGTGVNSGHYIAHIKDKNTGQWWEFDDEHVTNLGNHPFGEGSSSSTTKSIAIDAIHSDCSEARIAESNGNGFHTTHSQSSLIETFSSCDAYMLMYHLRHTKGIKENGGIVCGASHKEIEGVVATAQDDASLPSHLYDEICNVNASYLDACQQYSHRKELELSRITDRRHEVRSILAEAPVPPLERPFYWISSDWLRQWADNIIPTSIDNTSIQCSHGKVPVSKVPSIKRLSVKAWDKLFSKYGGIPTLSHDDHCRDCLICGAQTVVSADTYRGRRESLKSLARDILDGNCLDGKYFISRPWLQQWWKRKVLDAPSEADAGLTAAINCPHGLLMPEQAPGAKRVLIPETFWLFLYEDAISVKPDDPVGGPALPSDSLECSQCTVELSQAACLEDSLRVVKQKQRQNHEKLFQAKSMPLSVNCKYFLVASSWISKWRNYISPPFKNLDKPETLDGVIDSLICEKHSRLIERPPELVFRRGAIIQRESSAGGLTIISENDWICFCEEWGGSETKGISATIDYINDSDNLLTGSCDEMLICEDQSHTEDKMNNENGTGQILIKTCPEVCESCIGEKESCELMHKLNYCNEDICVILVRGKEVPKSILEASKGLVETDRRISKRSRKTKNGSSVSLKVSASTSLYQLKMMIWESFGVVKENQILHKGDRIIDMDDECATLADANIFARDQIIVRDSEIHENRDIADELCCDKMDVQHTEEGFRGTLLTANISSQVV >RHN80703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40235624:40239780:1 gene:gene4660 transcript:rna4660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MHWIFKSFEAVLNRSWQPVELIKIESGKTIVNFIHAQNIHSHLLSDIRLRSREATPADCSSFVRPGIDISVLSSFEYNKKSRQSSPISTDARVNSVHRKPHKSDQCSCRFKVNFYNDQDSVGTEIRTLKKEVSVIGIKQISILQRLQRNTCEGSLRENDSSKFNLYMWDSSEDCSSLPQSRLLFGKFLSDLSRFVTASSLKKVSYVIRSVQNKIVYEVMGNDPNISGPMNVLNFKSCDDGKSGPKVNKENQLTDAKGKNAVDVLNHEPYNESPLSCDEEGGYNVSSGHVNNFCTKKFKRRRLCKELMDLITLWEAIQSKEGVQKKTTNSIDDHEEQDHKGGRMLNADACEEVIDAYMDNFDSLPTEEDPTISEERQFEQEKENVSEKGDEVENPDDLADMWEEMETALTSSYLLDGNEGANGDEVLADTNKECKHDYRLDEQIGIYCRTCGFVKTEIRYISEPIVERLKWYEQKKQRSEDTEQVDEDVNNDTFSTDATDLGEPISKENDSVWELIPELKENMHAHQKKAFEFLWKNIAGSMEQSLMEEKSNTSGGCVISHAPGAGKTFLIISFLVSYLKLFPEKRPLVLAPKTTLYTWQKEFEKWNIPMPVYLIHSSQTQRHSMTPKSVVLPGVSNSNGVKHDFDCLQKIKSWNSHPSVLVMGYSSFLALMRTEDKKNSHRKRTAKALRESPGLLILDEGHNPRSTTSKLRKCLMDLPAALRILLSGTLFQNNFGEYFNTLCLARPKFIHEVLEELDSKYRRGKLEEEVPHLLEARARKFFLENIEKKINSNIDAEKMKGIDVLRKITNGFIDVYDGGSSSDTLPGLQIYTLLVNASDEQHEIVQKLQKKMVGSTGYSLEVELLITLGSIHPWLIKTAESCAAKFFSEEELERLEQNKFALRKGSKVRFVLSLISRVMRKEKVLIFCHNLAPVRFLIELFENHFRWKNGKEILQLTGEQDFFERTNVIDKFEDRCGDSKILLASINACAEGISLTAASRVIFLDSEWNPSKTKQAIARAFRPGQEKMVYVYHLLMTGSMEEDKYRRTTWKEWVSCMIFSEELVEDPSKWQAEKIEDDILREMVEEDKSKLIHMIMKNEKTSTS >RHN63432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51256296:51256952:-1 gene:gene26130 transcript:rna26130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MNNDYANFIDHIFVSIQDMFIAGTDTISTLLEWSMTELLRHPNIMKKLQEEVKRVANGRTHITEEDLSHMKYLNAVVKETLRLHPSIPLLVPRESRQDIKLNGHHIKAGTRVFINAWAIARDPTHWDQPEEFKPERFLNCSIDVKGKDFQVIPFGAGRRGCPGAVYATAVNDLVLANLVHQFNWELPGAAEGLDMSESFGFTVHRKIPLMAIATPNKN >RHN47120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37276186:37276676:-1 gene:gene41677 transcript:rna41677 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIQIEIHPNPSRFIIWIRLLVICGRHNRVCLYRFRFLISFSHQAIVVLSCACVVHVSLIFCYSIVFVHCGGGYGGRGGGGYGSGCKPVQGGGVVMAMVCSLSNFIFN >RHN46729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34391193:34394325:1 gene:gene41251 transcript:rna41251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MAANSENILSLSLLSTSEVLGGADSPTVAVCSLCRKALSPDDEITDLAAGGVCGDCKFLLLEDFGNHTLALSSHRRLRGRLRHGSSESFENLASLEEDQHVDGDNTTWSLQYASANTTPTGSRSWRHVLSDTESDGFDNWRSLYGENESNSSFRPYRVPHSETDSFSFSAYGGESDISMDRQSFVGNETFNLPDEGDEFDSDTDIDPMHAGLGQWNSDDTEEEEEEELPEVDEAEAARLQILLNSSPSESESRINWEQRFNATESEGIFSRIIRETWLALDDADLPQGANFGDFLDTRRFNDLLDHLAENDSSRRGAPPAAASFVNNLPRVFISKEHKKHDELVCAICKDVLALGTEVNQLPCSHLYHSHCILPWLKTRNSCPLCRYELPTDDKDYEEGKQNVDGRNVIYDMQQLHVMDDSFSDVSDGEEAVEDDGTTTHDTVNSSAASGGSGRWLFLAAAPIVSLVGMVIVLWLGSNSQIEETRHSSTRYLSVQNQHPVHVSGSQNQRESRSRRWWCPF >RHN65972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7168944:7184369:-1 gene:gene13880 transcript:rna13880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAGKAFLSYVFQVIHERLSSSYFRDYFDDGLVKIFEITLDSINEVLDDAEVKQYQNRDVKNWLDDLKHEVYEVDQLLDVISTDAQPKGRMQHFLSLFSNRGFEARIEALIQKVEFLAEKQDRLGLQASNKDGVTPQIFPNAFWVDDDCTIYGREHEKEEIIEFLLSDSDSDADNRVPIISIVGLIGIGNTTLAQLVYNDHKMMEHVELKAWVHDSESFDLVGLTKSILRSFCSPPKSKNLEILQRQLLLLLMGKKYLLVLDCVYKRNGEFLEQLLFPFNHGSSQGKIILTTYDKEVASIMRSTRLLDLKQLEESGCRSLFVSHAFHDRNASQHPNLEIIGKKIVDKCGGLPLTVTEMGNLLRRRFSKREWVKIMETDLWCLAEVGFNMIPILRMSYLNLSSNLKHCFAYCSIFPKGYEFEKGELIKLWMAEGLLKCCGRDKSEEELGNEFFNDLVSISFFQRSVIMPRWAGKHYFVMHDLVNDLAKSVSGEFRFRIESENVQDIPKRTRHIWCCLDLEDGDRKLKQIHKIKGLHSLMVEAQGYGDKRYKIGIDVQRNLYSRLQYLRMLSFHGCSLSELADEIRNLKLLRYLDLSYTEITSLPISVCMIYNLQTLLLEECWKLTELPLDFGKLVNLRHLNLKGTHIKKMPTKIGGLNNLEMLTDFVVGEKCGSDIKQLAELNYIQGRLQISGLKNVIDPADAVAANLKDKKHLEELSLSYDEWRDMNLSVTEAQISILEALQPNRNLMRLTIKDYGGSSFPYWLGDYHLPNLVSLELLGCKLRSQLPPLGQFPSLKKLFISGCDGIEIIGTEFYGYNSSNVSFKSLETLRFEHMSEWKEWLCLECFPLLQELCIKHCPKLKSSLPQHLPSLQKLEIIDCQELAASIPMAANISELELKRCDDILINELPATLKRVILCGTQVIRSSLEQILFNCAILEELEVEDFFGPNLEWSSLDMCSCNSLRALTITGWHSSSFPFTLQLFTNLHSLALYECPWLESFFGRQLPSNLGSLRIERCPNLTASREEWGLFQLNSLKQLCVSDDLNILESFPEESLLPSTIKSLELTNCSNLKIINYKGLLHLTSLESLYIEDCPCLERLPEEDLPSSLSTLSIHDCPLLKKLYQMEQGERWHRICHIPSVTIS >RHN45423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20567526:20569314:1 gene:gene39758 transcript:rna39758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative viral movement protein MICVQSHHLSLLMAAFYFVPAGNTIDDSEKEYSIVHFQNSNNYGIKKISTSEIYKQTLFSIFNFLKPYKITTIEENLSVEKYKDVYLLSRDDIRSHRSNYNFLHIGLVQFSIVNSYTISQETLNVSISLRDSKFQKFEDSVLVRLDSDLCKGDIKFNWFPNFSTRLSDLANSNALVVTIDAPDCQSLKVRYRVCYKLWKKSIKLGYLFENPMVEVDTEKTNVVIPKSNNNINNQS >RHN54589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10622617:10624176:1 gene:gene29654 transcript:rna29654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSWYVYLHLLHLFTIWFGPNRTLTMALGNQTDHLALLQFKQLISSDPYGILDSWNSSTHFCKWNGIICGPKHQRVTNLKLQGYKLHGSISPYIGNLSQMRYLNLGNNSFNGNIPQELGRLSKLRYLLLLNNSLVGEFPINLTKCYELKTIDLEGNKFIGKLPSQIGSLQKLQNFFIERNNLSGKIPPSIGNLSSLAILSIGYNNLMGNIPQEMCFLKQLWAIAMDVNKLSGTFPSCLYNMTSLQVISVAVNSFSGSLPPNMFHTLPNLQYFTVGSNQFLGPIPTSISNASSLTLFEIGDNHFVGQVPSLGKLKDLYLLNLEMNILGDNSTIDLEFLKSLTNCSKLQSLSLTNNNFGGSLQNSIGNLSTTLSQLKIGVNQISGQIPIELGNLISLRQLTWKIIIWKE >RHN82356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53137676:53141310:-1 gene:gene6518 transcript:rna6518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator Znf-B family MEKICEFCTALRPLVYCNADAAYLCLSCDAKVHWANELSGRHLRTLVCNSCCCDLAYVQCLDHKMLICRDCDQKLHDRSSPHRKRSVKSFIGCPSAKEFATLWGFEFKEIENSVSQKDQFASISSVSTDVNVIKHHFRNSVASTTSGDKHDKGSSSQLGQILYSDQERQTILQQIVDLKRFQLIEERDHSTKINGLQVDEKFNQQAQKSKYFGINLLGEDNSIGELNPETFSSAFSQLDNLSSSSVMDLPLHGELFWTAKSSLQSNQLWPQNIQDLGICEELVCRDDFNIPDVDLTFQNYEELFGGDQDPIRVMFGGKDVSYSSLEKDLSVDNSDIDNPSTMEV >RHN43020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42585536:42597683:-1 gene:gene49540 transcript:rna49540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA(Met) cytidine acetyltransferase MRKKVDERIRTLIENGVKSRHRSMFVIIGDKSRDQIVNLHYMLSKAQIKSRPTVLWCYKEKLELSSHSKKRAKQMKKMTTQGLLDPEKADAFSLFMIGGGLTHCLYKHSERVLGNTFGMCVLQDFEALTPNLLARTIETVEGGGLIVLLLRSLSSLTSLYTMVMDVHDRFRTESHSQATGRFNERFLLSIASCKACVVMDDELNVLPISSHIRSIKPVPVEEDSEGLSEAYQELKKLKEELNGDFPVGPLIRKCSTLDQGKAVITFLDAILDKKLRSTVALLAARGRGKSAALGLSIAGAIAAGYSNIFVTAPSPENLKTLFEFICKGFDVLEYKEHEDFDVKSVGESKNATVVRINVYKHHRQTIQYILPHEHEKLSQVELLVVDEAAAIPLPTVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLVQQLQEKSQMPAKSTEGAGCRFKKIELSESIRYAPGDPIESWLNTLLCLDVSNAIPNISRLPPASECDLYYVNRDTLFSYHKDSELFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVIQVCLEGQISRQSAIQSLSHGHQPCGDQIPWKFCEQFRDTVFPSLSGARIVRIATHPSAMRLGYGSQAVELLTRYYEGQLTSISENDVDDKVHTPQITVTEAAEKVSLLEENVKPRTDLPHLLVHLRERRPEKLHYLGVSFGLTVDLFRFWKKHKFAPFYIGQIPNNVTGEHSCMVLKPLNNDEIEVDESNQFGFFGPFYQDFRQRFAKLLASTFRGMEYKLAMSIIDPKINFTEQEPIKTTADKFLGSVKENLSPHDMKRLEAYVDNLADFHLILDLVPALSHLYFQEKIPVTLSHAQACVLLCTGLQNQNISHIEGQMGLERQQILSLFIKVMKKFYKYLHGLAFKEIESTMPRLKDIVMEPLSVSVDEDLNDGAKQFEDDMKAKSDSLFTPELLQRYAFEDGESSFDNALQNTGGKIPTGGLVSVKSNRKLKSENENGSHKSDKKRRMDNHSHKSSKKERRRS >RHN43437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45889813:45890025:-1 gene:gene50013 transcript:rna50013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MAEKMHLSILIFTMIGCIVFVSVNSATTHIVGDNLGWSTPPYHGFFDDWTKNRTFSVGDTLRMCHLNSII >RHN41231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28452940:28453631:-1 gene:gene47531 transcript:rna47531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MTMSSSSSGGGAFRLRWDVFLSFRGEDTRECFTKKLYESLHKQGVRAFMDDEGLDRGDHIATTLLEAIDDSAASIVIISPNYADSHWCLDELNRICDLERLIIPVFYKVDPSHVRKQLGPFQDGFNYLEKRFANEKDKILKWRDSMLKIGGLAGFVFNSS >RHN79824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32602375:32603284:-1 gene:gene3666 transcript:rna3666 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSAKPISSPGRMEKFPPPLMRFLKSNAANKSRGRTRSKSNSMFLILRKKNTTSIETKEPSSPKVTCMGQVRVKRSSKQPTTKKGRPSSGDGAPSKCRCPFWWVPHTLFCCHFVRKDSVCCFGFRRKSKASKVSEASMKNGSKESYIEEEEEEEEEENNRVFKAKVLVSDSNDSSTFCSTPPKNALLLTRCKSAPYSSSSLASRFWGSPLRNEETEQPSEEKQSESVSVSKLRFFKELEDSARERITESEKVCEMKRKEETEEGESIAFPLVLTRCKSERARKS >RHN55143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15503815:15504592:-1 gene:gene30277 transcript:rna30277 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQQTHIQPAVIIMSSSSLLLFSHCHHHYGIFYLPPTAPKPSSISNKVNTKTITRKKKDREKG >RHN42623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39774949:39776494:1 gene:gene49084 transcript:rna49084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MASSGGTYSSGTSSLQNSGSEGDHNHVQVNITDQKKRKRMQSNRESARRSRMKKQQHMEDLSNQIEQLKKENIQISTNVGVTTQMYLNVESENAILRVQMAELSHRLQSLNDIIHYIESSNSLFQETDQLFNDCGFSDTWNTFPVNQQPIMASSDHMLMY >RHN42037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34984944:34987113:1 gene:gene48425 transcript:rna48425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MFMSEVNHQSIKTNGINIHVAEQGTGPLVLLLHGFPEIWYSWRHQLNYLAQNGYHAVAPDLRGYGDSDSPINSDSYTLHHIVGDLIGLLDHFGEHKAYVVGSDWGANIGWHLSLFRPDRVKGFVALGVPYFPRSPTDKTVETIRKVYGDGAHVCQFQEPGRAERAFARYDCLTVMKKFLLITWTHFITAPPGMEIVDFLPTPSVLPSWITEEELMVFADKFQESGFTGAFNYYRAMDLSWELLAPWQGSKITVPTKFIAGDKDVGFQNGGTKDFVEGDIFKSLVPNLEVVILDGHHHIHQEKAQIVSEEILSFIRKLSLD >RHN49658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:120413:120823:1 gene:gene33861 transcript:rna33861 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYVVKGPMNMFVACMQSGRILSKFWGDEQDTDADSTFDLESELEAHKLIYEFPDASHYLAPSSEPAKKSKRGRPKKQKSPKDKLAITARFSLELFLIVLIRVYTCLCVIIYHWVHFYGFTCWKGNLVIHSEDYF >RHN59038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6937966:6951512:1 gene:gene21021 transcript:rna21021 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P5CS3 MEVLQNGFAKFATITKPIEVPLTNGNGTINHLNLITETEDLSNMDPSRAFVNKVKRLIVKVGTAVVTRSDGRLALGRLGALCEQLKDLNLQGYEVILVTSGAVGLGRQRLRYRKLANSSFSDLQKPQGDLDGKACAAVGQSSLMALYDTMFSQLDVTSSQLLVNDGFFRDSGFRKQLSDTITSLLESKVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYSGPPSDPNSKLIHTYIKEKHQREVTFGDKSRLGRGGMTAKVNAAVCAAHAGIPVIITSGYATDNIIRVLQGEKIGTVFHRDAHLWKNIKEESAHEMAVAARNSSRRLQALKSEERRKILLAVADALEKNQNMIMLENQADVAVAVAAGYDKSLISRLTLKPEKISSLAKSVRVLADMEEPIGQILKRTELADELVLEKISCPLGVLLIIFESRPDALVQIAALAIRSGNGLLLKGGKEARRSNAVLHKVITSAIPDTVSGKLIGLVTSRDEIPDLLKLDDVIDLVVPRGSNKLVSQIKESTRIPVLGHADGICHVYVDKSANIEMAKQIVRDAKTDYPAACNAMETLLVHKDLCNGGLNELILELQREGVQIYGGPKASAVLNISEASSLHHEYSSLACTIEIVEDVFVAIDHINKHGSAHTECIVTEDSEVAETFLSQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTKKWILRGNGQVVDGDRGVSYTYKEQLIEA >RHN67071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23447647:23458304:1 gene:gene15210 transcript:rna15210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ataxin 2, SM domain-containing protein MGYRKKNLLKEDHTISSNCNKSLSEAMLAITMSIIGLPVDVHVKDGSVYSGIFFTASTESNFGVVLKQARMTKKGRGHSNVGNETLVDTLVVLSDDLVQVVAKGITLPADGVGGNITGDYEEAVTHEVCSAESRSIDAEQVNQSRQAGDKNSNGKPDDCKQKFEFNKNKDEKIQSLHSGHEIDACLGRVEADKANDQGSERSTSPDSTSTHSTLSEDLNEVSHNSPAKFIEKSAPRGTDCTRNAKEFKLNPAAKIFSPSFVHPNSATSTVPTTANMVYVPNSSPPANMVYLPNSSPPANMVYLPNSSPPLPVATIQQEAGFNNFASRPSVPVKASQYGNLTVGNPGSGSQFTQPIVGQLTRTQPLQYAAHYTPVLSEPAYLQTTSSPAVMVGRSTQLVYVQQVSHDMVHGVTAPFSTRPPLNHVQFQKHQGGTHGQAIPVVIPPSVITSLQQQPYEVQSHIPILQPGFSAPRAISVPGPNGFYGTKFS >RHN57576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40228601:40228937:-1 gene:gene33145 transcript:rna33145 gene_biotype:protein_coding transcript_biotype:protein_coding MHQAHRMMLSVTLYMIWKERNDRRFWNCYLYFQQLLNQIKMIVYIRGLQFKKVQPLVIRL >RHN39801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10612628:10615483:1 gene:gene45869 transcript:rna45869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate synthase, glyoxysomal MDLGTYGFPTPTAKNIISNYDAPEGVEVRGRYDAEFAKILTKDALKFVADLQREFRNHIKYALECRKEAKRRYNEGALPGFDPATRYIREGDWICAPVPPAVSDRKVEITGPVERKMIINALNSGAKVFMADFEDALSPSWENLMKGQVNLKDAVAGTITFHDKGRNKVYKLNDEIAKLFVRPRGWHLPEAHILIDGEPATGCLVDFGLYFYHNHSTFRRSQGGGFGPFFYLPKMEHSREAKIWNKVFEKAEKMTGIERGSIRATVLIETLPAVFQMDEILYELRDHSVGLNCGRWDYIFSYVKTFHAHPDRLLPDRVQVGMSQHFMKSYSDLLIRTCHRRGVHAMGGMAAQIPIRDDPVANEAALELVRKDKLREVKAGHDGTWAAHPGLIPSCMEIFNNNMGNAPNQITTMKREDAAKLTEEDLLQIPRGVRTMEGLRLNTRVGIQYVAAWLTGSGSVPLYNLMEDAATAEISRVQNWQWLKYGVELDGDGLGVKVSKELFGRVVEEEMDRIEKEVGKDKFKKGMYKDACKIFTRQCTSPTLDDFLTLDAYNYIVVVHPVELSKL >RHN60482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27250945:27256232:1 gene:gene22797 transcript:rna22797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain, thiamine diphosphate-binding protein MPRGKAKSETEPEKPVESDEKVDLEEENDPEEEMEEEVEYEEVEEEEEVEEIEEEVEEEEEDPDEEEEEEEEEEEEEEVEEVEEDDAMQNLDDDEKKKHAELLSLPPHKSEVYVGGIPLDAKSEDLKEFCERIGEVVQVRIMKGKDASENKGFAFVTYRNVELASKAIEELNNTEFKGRKIKCSTSQAKNRLFIGNIPRSWGEKDLKKVVTDIGPGVTAVELVKDMKNISNNRGFAFIDYHNNQCAEYGRQKMMSPSFKLGDNSPTVSWADPKNSDSSASSQVKAVYVKNLPKNVTQEQLKKLFEHHGKITKVVLPPPKSGQEKNRIGFVHFAERSNAMKALKNTERYELDGQNLECSLAKPQADQKAVVSNTQTQGLLPSYPPPVGYGLVGNPYGALGAGYGAPGLAQPLMYGPGQTPGGMAMMPMLLADGRIGYVLQQPGLQPHAPHTPHTPHTPHTPHSHQRGGRSGGGGGGSGSGNRSTGSSSKGRHNNDSGQGRRYRPY >RHN52414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35107249:35110474:-1 gene:gene37089 transcript:rna37089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAMQSPSSSTSISYDYKYQVFLSFRGSDTRYGFTGNLYKALTDKGINTFIDDNGLQRGNEITPSLLKAIEESRIFIPVFSINYASSSFCLDELDHIIHCYKTKGRPVLPVFFGVDPSHVRHHKGSYGEALAEHEKRFQNDPKNMERLQGWKDALSQAANLSGYHDSPPGYEYKLIGKIVKYISNKISRQPLNVATYPVGLQSRVQQVKSLLDEGSDHGVHMVGIYGIGGLGKSTLAKAIYNFIADQFECSCFLENVKESSASNNLKNLQQELLLKTLQLEIKLGSVSEGIPKIKERLHGKKILLILDDVDKLDQLDALAGGLDWFGPGSRVIITTRDKHLLDCHGIEKTYAVEELNGTEALELLRWKAFKNEKVPSSYEDILKRAVVYASGLPLAIEVVGSNLFGKSIAECESTLDKYGRIPHKDIQKILRLSYDALEEEEQSVFLDIACCIKGCRLEKVKQILHAHYGYSIESHIGVLVDKSLINISWCCFSGIKVTLHELIEVMGKEVVRQESPKEPGERSRLWSQDDIVHVLKENTGTGKTEMICMNLHSMESVIDKKGKAFKKMTRLKTLIIENGHCSKGLKYLRSSLKALKWEGCLSKSLSSSILSKKFQDMTILILDHCEYLTHIPDVSGLSNLEKLSFEYCKNLITIHNSIGHLNKLERLSAFGCRTLKRFPPLGLASLKELKLSCCYSLKSFPKLLCKMTNIDKIWFWYTSIRELPSSFQNLSELDELSVREFGMLRFPKHNDRMYSIVSPS >RHN48290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46355453:46361022:-1 gene:gene42992 transcript:rna42992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tyrosine decarboxylase MDAEQLREQGHMMVDFIADYYKTIENFPVLSQVQPGYLGKLLPDSAPTHPESLQHVLNDVQEKILPGVTHWQSPNYFAYFPSNSSIAGFLGEMLSAGLSIVGFSWISSPAATELETIVLDWLAKALLLPHDFFSTGQGGGVIQGTASEAVLVVLVAARDKILRTVGRSALPKLVTYASDQTHSSLQKACQIAGLNPELCRLLKTDSSTNFALSPDVLSEAISNDIASGLTPFFLCATVGTTSSTAVDPLPALAKVTKPNNIWLHVDAAYAGSACICPEYRHFIDGVEEADSFNMNAHKWFLTNFDCSVLWVKDRSALIQSLSTNPEFLKNKASQENTVIDYKDWQIPLGRRFRSLKLWMVMRLYGLEGLRTHIRSHIALAVYFEELVVQDTRFKVVAPRTFSLVCFRLLPPQNSEDNGNKLNHDLLDAVNSTGDVFITHTVLSGEYILRLAVGAPLTEVRHVHAAWQILQEKATALLESL >RHN76615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51677703:51681184:1 gene:gene12966 transcript:rna12966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ent-kaurene oxidase MDTLQTLSFGAFSLFFFLFLFLFRFRTRITHKLPHVPAVPGLPLIGNLLQLKEKKPHKTFTKMAHKYGPIFSIKAGASTIIVLNTAQLAKQAMVTRFPSISTRKLSTALTILTSNKCMVATSDYNDFHKMVKKHILANVLGANAQKRHRFHREVMMENMFRQFSEHAKSRPDSAVDFRKIFVSELFALALKQALGSDVESIYVEELASTLSREDLYNILVVEFMEGAIEVDWRDFFPYLKWIPNKSLEMKIQKVDLGRKYIMKALINEQKKRLASGKEVNCFYDYLISEAKEVSEEQMTMLLWEPIIETSDTTLVTTEWAMYELAKDKNRQDRLYEEILNVCGHEKVTDDQLSKLPYLGAVFHETLRKHSPVPIVPLRYVHEDTELGGYHIPAGSEIAINIYGCNMDSDKWENPQEWIPERFLDEKYDSSDLYKTMAFGGGKRICAGSLQAMLIACTAIGRFVQEFEWELGQGEEENVDTMGLTTHRLHPLLVKLKPRNHVE >RHN65056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63904966:63909696:1 gene:gene27949 transcript:rna27949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sodium/sulfate symporter MTGEHSETSVSDESNNNLNLKAPLLPLHRTQSNPFNLKSFFTLNNFYVLLGPLLSLFICLFVKLDTPNNNSRNMLAVIAWVFSWWVTSALPLPVTSMCPLFLFPLFGIASADTVAHSYMDDVITLVLGSFILALAVERYNVHRRLALTVTSVFCGEPLNPALLLLGLCGTSFFVSMWMHNVAAAVMLMPVATGLLQRLPPPSEQSELVNKFSRAVVLTVVYAVPIGGMSTLTGTGVNLILIGMWKSLVPGAKPISFNTWFFFAFPVAIVFLVCFWCILCLIYLRKGSASALSPYLSKGHLKRELEALGPISFAEKMVLSVFGLLIILWMTRRITDDIPGWGTFFHGLVGDGSVSVMVAVLLFIIPNRKQEGERLMDWNECKKLPWNLILLLGAGFALADGVQSSGLADVLSKALDFLEDAPYLAIAPAVSLISSIITEFITSNDATATLIIPLLYHIARTMHVHPLLLMIPGAIATEFAFLLPTSTPSNVVGFATGHIEIQDTLKVGLPLKVAGIAVLSVFMPSLGAFVFGTNGVQWMPNKISPWLTN >RHN38738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1668005:1669868:-1 gene:gene44703 transcript:rna44703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxolaurate decarboxylase MFKQGRHVVLILLFFILSFFIGANGKHFVLVHGAFHGAWCWYKVATMLKLAGHNVTTIDLAACGISPIQVQEIHSISQYYEPFMTFMESLPPKEKVILVGHSFGGIPLSVAMEKFPKKISVAVFITALVLSENLNFTSFNQENSTRQGESQLFFSNGINNPPTASLWGPKIMSSNLYQLSPHEDLTLGLSLVRPHPIFNDKKLLLKETRVTKHRNGRVPKAFIISKEDNLLTEDFQIWMIENTRPYVEVKVIKDSDHMVMFSKPEKLTSHILKVARKY >RHN64525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59780665:59797623:-1 gene:gene27348 transcript:rna27348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol O-acetyltransferase MAVTTLTTSFLPAKPFPSRQFRLYKRRRLKIKASFPVPPPSPFENLFNTLISQCSSVNSIDFIAPSLGFASGSALFFSRFKSSQNSDVGEWILFASPTPFNRFVLLRCPSISFKDNERLIKDEKHYGRIRVNKREKDLEEELKYQRVCLSASDGGVVSLDWPVELDLEEERGLDSTLLIVPGTPQGSMDDNIRVFVIDALKRGFFPVVMNPRGCASSPITTPRLFTAADSDDICTAITYINKARPWTTLMGVGWGYGANMLTKYLAEVGERTPLTAATCIDNPFDLDEATRTFPYHHVTDQKLTRGLINILQTNKALFQGKAKGFDVGKALLAKSVRDFEEAISMVSYGFVDIEDFYTKASTRNMIKDIKIPVLFIQSDNGMVPAFSVPRNLIAENPFTSLLLCSCLSSSVMDTDTSALSWCQLVTVEWLAAVELGLLKGRHPLLTDIDLTIIPSKGLTLVEDARTDKNPKVGKLLELARSDAYNGYSIDPSEDLLEGSKNDAGLHFGPQQDVQQNFEQGDMSLQVKDGPLQQTSSSGRALVGEEDAASVDSEHGHVMQTAQVVTNMLDVTMPGTLTEEQKKKVLAAVGRGETLMNALEDAVPEDVRGKLKDAVAGILQARGSDLKFDRILNTAQSPNSSPGQKNQEKSPGASSAEVSENQSSSNQMKNTSSSIDGSDNVPSGMSEPAEGTKTEVIRVDEHSTSSAQSQESNNGVGSSRKETGESRDNSDTNEDLKGKIVLDMDHSKKELETGSKSYTPDRPDGAGGSEAEAVAEHKSQKGGIAKTDEEETDIPKVDQKSEDFSSDQSKTASTDAKEEPTSPISSENQTVEREGNVDENKDDKNMQQVSPQTNSSNSDSAAPGISVSQAFEALTGMDDSTQIAVNSVFGVIENMLSQLEKSSDNEDEVKDGKSVEHKLEEQQKSNTQSNDSNTSGKLEEQQKSNTQSNDSNTSGKLEEQQKSNEAEVKDEQTVEHKLEEQQKGQSNDSNTSGKLEEQQKSNEAKVKDEKTVEHKLEEQQKGNSQSNDPNTSGNPSLDDHHDGISLRNDSCDTEEQLKSLSTINGSSVCDSQNYNSDDHPVKKPSNTNSQLIVERSLDDEWDGHRQVSSMPEFIVAGSYGHGNSPYKKYLHKHLVSEIPTKSLDLDTTTALFLDYFPQGQWKLYEQPQKMESSSADTEIYKEVGSKMKDRASAKSFDEEECIEPPYVILDTEKQQGPVKEFNTTDTENRMIHTDDDRSEKSIQFVKNKVLDSLKMEVGRKLNAAEVIEMKPKLTEDLEHVANAVSLAVVTSKGQQLLYFESQGRDFEGAVGKFGSLDGEYIIRAISSSVQQTSCLRKVIPVGVIVGSILASLRKYFNIAPRQENGHGKSLALGDGRKPGEKNYVIVDATEADQVPDEKTSFDHPIKSEFVESELEDSSKNTVMVGAVTAAIGASALLMQQQDSQGGNVNHKNQPEGLEEEVSDNQNNIITSLAEKAMSVAGPVVPTKEDGGVDQDRLVAMLADLGQRGGLLRLVGKFALLWGGIRGAMSLTDKLISFFHFSERPLFQRIFGFAGMILVLWSPVAIPLLPTIVQGWTTNTPSKIAEAACIIGLYIAIMILVMIWGKRIRGYENAFEQYGLDLTSKRLIEFLKSLIGGVMFIFSIHVVNAFLGCASFSWPHIPPSLDVMAWLKLCGQMGLLIVQGTVMASAISFVEELLFRSWLPQEIEADLGYHRGIIISGLAFSFLQRSLQSIPGLLLLSLSLSGARQRNGGSLSIPIGLRAGMLASTFILQKGGFLTYNYKGNIPLWMIGSHPFQPFSGLVGLVFSLSLAIILYPRPTSQKIESKE >RHN69549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44330593:44335121:1 gene:gene18031 transcript:rna18031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase 1 MSSTAGQVIKCKAAVAWEAGKPLVMEEVEVAPPQAGEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTHLKPGDHALPVFTGECGDCPHCKSEESNMCDLLRINTDRGVMINDNQSRFSIKGQPIHHFVGTSTFSEYTVVHAGCVAKINPDAPLDKVCILSCGICTGLGATINVAKPKPGSSVAIFGLGAVGLAAAEGARISGASRIIGVDLVSSRFELAKKFGVNEFVNPKDHDKPVQQVIAEMTNGGVDRAVECTGSIQAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPMNLLNERTLKGTFYGNYKPRTDLPNVVEKYMKGELELEKFITHTIPFSEINKAFDYMLKGESIRCIIRMEE >RHN40774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20944359:20945010:-1 gene:gene46980 transcript:rna46980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MVDYAWSHAVIYDETYKTIRRSCDFNSSDSWKNEECTNGVDEVLKQYNEIDIYSLYTSVCFATFIFKLMFVPLLIVQKVEKKGQENKRAIILLHRTDTY >RHN76417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50068440:50079849:-1 gene:gene12740 transcript:rna12740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MPTYKIRGIDVDFPYEAYDSQLVYMDKVMQSLQEESNALLESPTGTGKTLCLLCATLAWRKSLGSFSTGMSIKTGDNGKTEVSSSQSEPGASKFPTIVYASRTHSQIRQVIQELKRTSYRPKMTVLGSREQFCIHDEVKLLRGRTQTNACRFACRRRAKQKRRCNHFNQVLDYLKQNPNLGEEPVDIEDLVNIGKTSGPCPYHLAKELHKAVDIIFAPYNYLIDRGKRKALQISWSNSILIFDEAHNLESICADAASFDLPSWLLTACISEAQSCVDLLIERRNKSNDKSQNPDDFAILKALLLKLEKRIAEVHIESKELGFTKPGPYIFELLADLNITHKTAPKLKSIVTDASTLLEEDSQEKSTSNICRLETMKDILDIIFRDGRTSHAKYYRVHVKEAEAWAANGSLGSKVSRTLSWWCFNPGIALEEFAKDGVRSIILTSGTLSPLDSFAEELKLDFPIRLENPHVIGPNQIWAGVVPVGPLGRTFNSSYRTRDTMEYKQELGNAIVNLTRIVPDGLLVFFPSYYLLEQSIGCWKSLSNDGSSIWERICKNKKPVIEPRESSMFTSSIKDYLTKLNDTTASGAVFFAVCRGKVSEGLDFADHAGRAVVVTGLPYATVTDPKVRLKREYLDQQSGAQGGSFKVLTGDEWYNQQASRAVNQAVGRVIRHRHDYGAIIFCDERFTQPHRQSQVSKWIQPHIKCYSRFGEVVFTLTRFFRDGRTRGAAKLSLLEAENGGNLGEIPSSEHSMEKFHMEKLLSPLPTPVDPNCTLKASSLLDTKKGHTSFMRGVLPANRSSLSSDHRMFVGSESSSDTREVVLHKRRTVLSQEHDGFDLADSCQLGEKSKNMLIAPCITKKRRFIAGEYDLKQHFGNSNEQSSSASQNAQGDVDPQCKDNVTSQSRNLEFLRQKDNLPADSTPTSDGTQGSAFLAQVRDKLSAAEYIDFVGYMKALKTKTLKISEVLLSISRLFSGPERLPLLKRFKDYIPAKYHSLYEQYVEGKVD >RHN74430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33154344:33159534:-1 gene:gene10503 transcript:rna10503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myrcene synthase MVFPILGNLPFSFAKNILPPLGKKYSSLATCNFNTFSSKTQCKGSYIPSSSTRRSANFQPSIWTYDYIQSLSSEYKEVMYRKQCSILKEKVRMMLNKTENELTQLELIDVLQRLGVSYHFNNEIRDILDNIYNKQTFKLKKNLHATAREFRLLRQHGYDLSTDVIVCFEDEICNFKNGHFVDVEGMLSLYEASFHLFEDEPILDEARDVTSKFLKEFLDKNGDKNISLQISHALELPLHWGIPRWEARWFIDIYERQQNKNHVLLEFAQLDFNILQSLYQEDLKYTSRWWKRTTLGDNLSFARDRLVENFVWTVGTNFKPEFDYFRKVLTKVNSLITIIDDVYDVYGTLEELELFTKAIDRWDLNAMDSLPNYMKMCFHALYDLVNELAFETLKKCGYHITPYLKKAWADLCKSYLIEAKWYHSGYTPSLGEYLENAWISISAPAILTHAYFVIPHSFKIEDLVCLEENSDIIRLSAIILRLANDLGTYKRENETGDIPKSIQCYMNETGASEVEACEYVKSMMFIEWKKMNKEAHGSSFSQCFIDTAVNLARMALFMYHHGDGHTIQAPEIKNRITSLVFQPIPNIFTKH >RHN57674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40940066:40941029:1 gene:gene33253 transcript:rna33253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MLSIRDYRYKVHVKPTQILIDERDGSIFGAIFEWDRSAALSEFKPFKPVGAPRAVLALRGTLVRFPTMRRDFEDDFRFVAWESLKDSVRFKVAMDAVKSVYDTYGSRNVCIAGHSLGAEFGLQVGKELAKERINVETHLFNPPSVSLALSRGNIGEKAEYVWNRIKTVLPSSSEAHVSNDVDETCVMRLKRMIPRLSCLMDAGFGKRKWILHLYVNSNDWIRYFYVHSNGTRENMGEVESMDPTNQQNEAKLFVVSKENQKFLEAHSMKQWWSSDGNIELRHDIRNSKLPRVA >RHN51579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20161022:20166058:1 gene:gene36043 transcript:rna36043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MSCPVSVFVSVFHSLRLYDPNKTKSETLRTHEKGVGANNKILHLLNQWVKTQTIIHSPKPLNLHKEHSISTPTEKERITKNSTRSKMFLRAMARPLMARLKTTTGIVGLDVVPNAREVLINLYSKTLNEIKKVPEDEGYRKAVESFTSHRLKVCQEEEDWEKIENRLGCGQVEELIEEAQDELKLIGYMIEWDPWGIPDDHEIEMVENDAPIPKHVPQHRPPRLPKEFQDTLDALMADPSKMEKIEELVAKTRKDGAAAGNSNEEPKKP >RHN41322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29106695:29107055:1 gene:gene47630 transcript:rna47630 gene_biotype:protein_coding transcript_biotype:protein_coding MACKDLSKLKMKLKRRRRREVAVGRKMKKLQRIIPGGDGLKADQLFLRTAEHILQLRLQLNALQALTKIFNV >RHN48314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46536825:46539286:1 gene:gene43016 transcript:rna43016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase-associated protein Rtf1 MADLENDLLEAAGINKHSLPPSSKNQFFDSGVDDSEDDSDDDASQARHKKRGRDDDDDDDASDDDGGSSDREVDRSDESDVGDDLYKDEDDRKKLSEMTELQREMILSDRAAKKDDKDILSKIASKREKGNVSRRETPPLPSSRMMSSTRSYDRSAAKDGALNELRAKRLKQRDPETQRELKEGSKSAGSGVLSKIGKPNFSSSSHSESESRSRSDEDVEGLSEGEGIIDSNDDKIMPGFEKPSFEDIQDITIPRSKLTKWFMEPFFEELIVGCFVRVGIGRSKTGPIYRLCMVKNVDASDPDRLYKLDNKTTYKYLNVVWGNETSAARWQMAMVSDSPPLEEEFKQWVKEVERSGGRMLKRLDVVEKKQAMLKINNFVYSAATVKQMLEEKKSISRRPLNVAAEKDRLKRELEIAESKNDAAGVEKIQTKLQELEESRKSREKDAKALRLSEMNRKNRVENFKNASDLKPVNKALKAGEAGYDPFSRRWTRSRNYYNSKPAEEATAGNNTSGGAVGDGGSNGIGAGMAATTEALEAAAGAGKLVDTRAPVDEGTESNVLHDFELPISLAMLQKFGGAKGVQAGFMAKKQRIEEVVGFRVPENDGRRHQLTLTVSDYKRRRGLL >RHN60760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30238820:30239212:-1 gene:gene23118 transcript:rna23118 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRIFFFVIILCMFLFSSTFFLFFGISICEIELLLILVELSHCDFTLISDYSVQALFLSAYTEFTFTVFLTQGFTFCTNRVLKMKRTGNSV >RHN77210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5096562:5098446:1 gene:gene638 transcript:rna638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MLSYEMDNPLMGFETSFKGCFSSVLDTEKMDLKLIIEELDSIDDVPYVFICPISLEPMQEPVTLCTGQTYDRSNILKWFSLGHKTCPTTMQELWDDSITPNNTLKQLIFTWFSHKYLGMKKKLEDVQGRVLETLETLKKVKGQSRVKALKDLRKLVVSHVCARKTLLENGGVGLVCSLLGSFTSHVVGCEAIGIIVNLDLSLELKRKHLMHPGKVSLVVDIMNEGTIETKMNCAKLIEMLLMELEGNETMVEIVSSLSLLVGLLRLVRDKKHPNGVLIGLTLLKKVLCCHESIRSSMISIGAIPQLIEILPNLNNECLEIALYILEVLSTLEEGKLALKECPNIIPNVVKMLMRVSENCTQFALSILWAIYKLAPEECASKAVEAGLASKLLLVIQSGCNPVLKQKSSEFLKMCSVNYSTSIFISKCMLTTTIQ >RHN65966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7120871:7130975:-1 gene:gene13874 transcript:rna13874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative restriction endonuclease type II MENRTRVRCPENEELATFMKNKWKEMAESPKGLSENIEMALSKAHFNVCNSKNPIRTIKDFSTVKGVGKMMLRLMQGFFGTASGGTEPDDSTKKGKKTKGTKRYMPQRNSVAYALLITLYRGTSNGNEFMRKQELIDAAEASGLSRAPIASEKGKGKAGHFGSSPQDWYSGWSCMKTLISKGLVVKSSCPAKYMLTEEGKEAACDCLKRSGMAESLDKSASVEISVHMDKQNSLDIEVSAYDLESEVTSPLNRQKKPLDVPLDSLERFTNMGYSKEQIISAFKEVSRSHPNKDVSSLWPAVLCQLREEQVYGSQPESQILMNANTVVNDPKGPIGKQSRTLSSSFGGNVANLCSPDIPPFSMRACSSAGNTIQKPNKDEIKSKMNILSVPPLSFGERFEDAYEVILILDDREQFATKGSRSRKIIENICSNFKIQIEVRRLPVGDGIWIARHKTLGSEYVLDFIVERKKIDDLRSSIRDNRYKDQKLRLVRCGLKKLIYLVEGDPNSSEAAESIKTACFTTEILEGFDVQRTSGLGDTLRKYGHLTQAIYQYYKSDFFEDNNKCSAICPPYDEFVRRCQDLEKMTVSDVFAIQLMQVPQVTEEIAMAVLDLYPTLMSLARAYSLLDGNSRTQEQMLQRQSNNVINGSASRNIFQLVWGS >RHN70705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53335519:53336226:-1 gene:gene19316 transcript:rna19316 gene_biotype:protein_coding transcript_biotype:protein_coding MLISQYYLIKILIPFNFFPVCSLFYETLKKSFFQVVTKHGSWQVLSTKISSFW >RHN71241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57546445:57551183:1 gene:gene19897 transcript:rna19897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MMSSSWDGSNDPGSQSDDSFLFERMHIEPIYDAFVCPLTKQVMRDPVTLENGQTYEREAIEKWFKECRESGRKLVCPLTLRELKSTEMNPSMALRNTIEEWTTRNEAAQLDMARRSLNTGSPEKETLQALRYVQHICQRSRSNKHVVRSAGLIPMIVDMLKSSSRKVRCRALETLRIVVEGDDENKELLAEGDTVRTVVKFLSHELSKEREEAVSLLYELSKSETLCEKIGSINGSILILVGMTSSKSEDLSTVEKADKTLENLEKYENNVRQMAENGRLQPLLTHLLEGPPETKLSMAGILGELVLDNDVKVLVARTVGSSLIDIMKSGNMQSREAALKALNQISSCEPSAKVLIEAGILSPLVNDLFAVGPHLLPTRLKEVSATILASVVNSGEDFDSIPLGPDHQTLVSEDIVHKLLHLISNTGPAIECKLLQVLVGLASSPTTVLSLVSAIKSSGATISLVQFIEAPQKDLRLASIKLLQNLSPHMGPELADALRGSVGQLSSLVKVISENIGITEEQAAAVGLLADLPERDLGLTRQLLDEGAFFMAISKVIAIRQGEIRGTRFVTPFLEGLMKIVARITYVLADEPDAVALCRDQNLAALFIELLQTNGLDNVQMVSAIALENLSLESKNLTKLPEMPEPAFCASFFSCFSKPPVITGLCRIHRGKCSLKETFCLYEGQAVLKLVALLDHTNVNVVEAALAALCTVIDDGVDIEQGVMVLCEAEGVKPILDVLLEKRTDNLRRRAVWAVERLLRTDDIAYEVSGDQNVSTALVDAFQHGDYRTRQIAERALKHVDKIPNFSGIFPNMG >RHN63066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48068493:48069376:1 gene:gene25721 transcript:rna25721 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTKTCFSKDKKVKNSSCTCKKHDGVGVAAWLCQSVAATFFASLELCCCVYIETRDGPEDDSIVQLNLIPCAEKEDYSAEILKYN >RHN79478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29405988:29408836:-1 gene:gene3273 transcript:rna3273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructokinase MGKGKRRSKTAAAVVDQSLPQHQKVPSKTVADVSDCPIYQQLIKLGFYLKREKVVDCLRQLGDSINGLNDLEKAEICFQKFLFSNLNSCGSGILPPTHNRNDLMLPTTSITTLDGPFILQVDEFTNLIAPRKGRMLTLSMTDGVNKVFGVENYFRPIEDIQPSSAPLGLKVKFSNVTVSKGCFRMLPENTIVLGGGLVDDDVQAGNKDEVSKPYTYSFHTQTFLENKGSFAVNLRNKEADFPITEGCSKTGGLVVCFDELLVDYICIHEPSGCCWRDLGGAIANVAVGISKLGVGPDESGYTLVDVLKANNVDTSGMLVDSNASTQLHYVLLRADGERECLLFSNPSANMLLYSEVDRKLIKKAKIFHYGSIGLIDEHCKASYLAALSFAKTCDCILSYDPKFRLELWPSAEAARKGIMSIWNLADVIKISKDEITLLIDAGDPCDDDDVIKKLFHPTLKLLIVTSGSEGCRYYTNDFKGKVRGLNVEPVDTTGAGDAFVSGILYYIASDPSIFKDEKRLRKALYFASVCGAIMVTRRGAISALPTKDDVLQHQLL >RHN81771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48765605:48771564:1 gene:gene5858 transcript:rna5858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferric-chelate reductase (NADH) MMMKSHTFLRIIFLFMFLGWLTVWILLPTKVYKNTWTPKLEIKLNSTYFREQGVNILLFTFPIMFIGALGCIYLHLHREKTTQKLPSTSTGALKKCLCFLRRPFLVMPTIGIVSAMELIFAIMFVALLIWSLYNYLSISFAHLHMHKEGEKVWEAKFRSVFLRLGYIGNICWAFLFFPVTRGSSILRLVGLTSESSIKYHIWLGQLSMVLFAAHTIGFFIYWGITNQMVEALEWSKTYVSNVAGEIASLIALAMWITSIPQIRRKMYEVFFYTHHLYILYILFYAIHVGVEYMCMIAPGIFLFLIDRHLRFLQSRQHARLLSARLLPCDALELNFSKDPSLYYNPTSLVFINVPKVSKLQWHPFTVSSSCNLETNCLSVTIKNVGSWSNKLYQELSSSSLDHLNVSVEGPYGPHSAQFLRHEQIAMVSGGSGITPFISIIRDLIFQSQQQEFQPPKLLLVCIFKNYVDLAMLDLMLPVSGSTTQISQLPLQIEAYITREKEEPSRDTQKQIQTIWFKTNLSDSPISAVLGPNNWLWLGAIITSSFIMFLLLLGIVTRYYIYPIENNTGEVYNWTSKVMWYMFLLCACVCICSSVVFLWCKRQNTIENKQIMNVEVPTPTRSPGSWIYGSERELESLPHQSLLQATNVHFGARPDLKKILFECKDKDVGVMVCGPRKLRHEVAKICASGLADNLHFESISFNW >RHN52372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34383754:34387278:1 gene:gene37037 transcript:rna37037 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLISNHTILFDLKLEENVEMDKWIPVELRFKTCDSESHIYLSSRMGIHVKGNTEENVIFTNPYRESKSDEYLNTSLSENKRKRKKFSQMGIEVLKEKGNMEEDVIFTNPYRETKSNEIKSLKVSETENVESLSLEDSAQIGIHVLKEKGKTEDDVIFRRKRKKFCPTFKKHLALVSGMGIHALKEKSNTKEDAIFTSPCRETKSDEDKSLEISETKTVQRQNLEVSETETVQMQSLEVSETEQLGRCDIHCMSLLFERIASLFAR >RHN48609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48805048:48808031:1 gene:gene43347 transcript:rna43347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MQRVNKETMVFPSQDTLLIILLLLSFPVFLFLLIRRAKISATKRRLPPGPPGWPIFGNMFQLGEMPHRTLTNLRQKYGPVLWLKIGAVNTMAILSAKEATIFFKNHDHNFSDRTVIETMRAHNYDKSSLALAPYGPYWRLMRRLVTVDMLVMKRINDTASIRRRCVNDMLTWIAKEARELEAGRGLHVSRFVFFMSFNLFGNLMLSRDMFDMKSESGSEFFTAVMGLLEWTGHANVSDLFPWLRWLDPQGLRRKMDRDMGKAIEIASTFVKERLDLEVERDEKSRDFLDVLLEFQRNENQDAVNISDKDLNVFILEMFLAGSETTSSTIEWAMTELLCNRDCMLKLKTELSSVIGTKDVEESDIDKLPYLQGVVKETLRLHPPIPLLVPRKAVQDTEFMGYFVPKDTQVFVNTWAIGRDPDVWDEPLVFKPERFCDINNKSDYRGQHYEFIPFGAGRRMCAGIPLAHRILHLVLGSLLHRFDWELDCNITPLTMDMKDRLGITMRKFQPLLAVPKLVGS >RHN41786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32958962:32960509:1 gene:gene48146 transcript:rna48146 gene_biotype:protein_coding transcript_biotype:protein_coding MENHPPRCKYTVIFFFIIVSLFLGLISFILCIAAEIKRNKENDLRWNGKLCYLPTSQAFGLSIATLFCLSFAHIIGNYVLLRNSYSRWKNISKFKMPTTAKVLFLISWLSFGVVVILLIAATSMSRRQLYGKGWLNGECFLVKGGTYSGSAILILVTIGSLNGSAFSTLKSSQAHQDHKIHKVDYTKQPHFLC >RHN67695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29418165:29419947:-1 gene:gene15912 transcript:rna15912 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSVSTTETNDQGSLNHDSFKKVSSNIEEQFSKDDDIIVSKSKPSPSITSSVVYQFPPVPCKEDPSLKVEDLSYLLVKSELEQLISKNHLDCGNLSLLTDFFVKHPSVRLKDTSLSNRYKGCAYNLLAELLKFLKTHSILEGLGSCHSEFVELLQDAHSFGFDKDWLDGVERRALFPDIQVSQDALQKLLDSKQQVTKDVEVLRLKIGILSQHVEELNQQLTSSEAFLESIIQQEVVLRAPIGY >RHN69835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46662347:46663715:-1 gene:gene18356 transcript:rna18356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MSRERERFDEIGKKIKREGDHDVSNSSNQMGRRHMLGPPGTLNTITPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHKVFGASNVSKMLMEVAECSRADAANSLVYEANVRLRDPVYGCMGAISALQQQVQSLQAELNLLRGEILKYKLREAANINMNMNMNMNNMIPSSHQLAMLPSNSSVAVSIAAPPPPPPPLPPPPISSTTSNSSSSIYFQQRDPNYNTISSDDNISYFG >RHN44220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2518652:2519219:-1 gene:gene38312 transcript:rna38312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MAAIAMKISFILLVLAITIPCLEGRIGEFDDYLKAQAEMARQIAFKSYVPNPENITTEINIHVHLYFL >RHN46189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29521278:29528995:1 gene:gene40635 transcript:rna40635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tropinone reductase I MVDTKLNFKDQKWSLHGMTALVTGGTRGIGYAIVEELAEFGASVHICARNQDDINKCLEEWKGKGFCVTGSTCDLLFHDQRQKLMETVASIFDGKLNILVNNAGTITPKTMLEHTAEDVTNTMGINFESSYHLCQLAHPLLKESGYGSIVSISSILGLRPLPLCSIYAASKGAINQCTKNIALEYGKDNIRANVVAPGAVMTTLLESILEHPDAPKVMEVALSQTPINRVAQPRDISALVAFLCLPAASYITGQIIAADGGFTS >RHN68103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32868574:32877756:1 gene:gene16401 transcript:rna16401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nicastrin MALTFIFLFFIPHLLSSISAQSTSMESVPDLQSTMYTDVDGFPCVRLLSLSGTIGCSNPGRDKVVAPIVRFENVDDVTELSSILVSMDQFPTLFNRLSADPSFASKVGGVLVESVTDSQKKLNGFSPDQKFPLAEFAPYHNISYEWNPIGSGIMWKSYGFPVFLLTESGTKTLQEFVLKGEDKKKAYTSNVAEFDLVMQTMKSGTHDSESCLNEGTCLPLGGYSVWSALPPINNSSSKPSKPIILTVASMDSASFFRDRSLGADSPISGLIALLAAADALSHLDGIGDLSKQLVFVVFTGEAWGYLGSRRFLVELDEHSDAVQGLNHSLIEKVLEIGSVGKGLSQGAKNFFAHAERDSSATNQIMVALKHAQESLLSEDIRITSASASNPGIPPSSLMTFWNKNPGISGVVLEDFDSSFVNEFYHSYLDDLSNVNSSAVVAAASLVARTLYILASETNDVQNSTLAAINVNVSLVEQLVGCLLGCDPGLSCELVKKYISPASTCASNYVGVILDEPSSTPNPGYINDVPRFIWNFLADITSISKENSSSGCQQGCSGSDEVCIKAETDGKGVCVLSTTRYVPAYSTRLSFESGVWSVLPPNSSDKMGVVDPVWTESNWNTVGIRIYTVQIAAYDRVVLFGGMTLTLLAYLGIAVTRTFVAKATKRD >RHN61105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32998191:32998849:1 gene:gene23530 transcript:rna23530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >RHN52265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33259883:33260206:-1 gene:gene36918 transcript:rna36918 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKTHFVGNFRPRYLTIKNIIKYSLQTQNYIICGCSALKGGIPTSNSNKMTPTDHQSAVYAAKFEQIFCRCYVSNKIHKNT >RHN54163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7474246:7476158:1 gene:gene29155 transcript:rna29155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MNHQDQMMLMSQLFPACEYTQIISQQGEKKPKPRRKRSKKNKGGENSANDMNKKRKLSDEQVNILEENFGNEHKLESEKKDRLAMELGLDPRQVAVWFQNRRARWKNKKLEEEYFSLKKNHESTILEKCLLETKMLKLREQLSEAEKEIQRLREPNSSSSTSSISQFMEVVDPQFHDEFEVNHIYNDDVFFMPDATYYFNGQEWINV >RHN79943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33716675:33717139:-1 gene:gene3802 transcript:rna3802 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSFNAMKKMGISRINPNDLSHHLRQKKAKFIAPHEKQNPRFEEEPDHSSHIPLQRENVTTCDPKTSEYAFFKKLKKDASLRFSSGPGKKDDGSLSSKKPEFDDCSKG >RHN60564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28243777:28247527:1 gene:gene22890 transcript:rna22890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MEPLSEFWGVEVKVGQSVKVDPNDLCEGYIHISQVALGEVKKDKASEPVVLYLKVDDQKFVLGTLIKDTIPQIAMDIFLDDESELSHNSKNATVYFSGYKAFRIDNADDFDSDSSDNDPELAPLRKRVESKAQAAKEAAKSGKPIAETGALAKKVKIVDPKTGNIVDPEKYEEISEDEPDSDFYVDDHSISDEIDTDNDENVPEALAKKVAASAINAEINTDNYDTDLSDTDTTDSDGDEETPAKKVEAPQASVLTYIAKNATHENIDTDTSDSDTTDSSDEETPAKKVDQGKNRERSNEALSQTLVLTKKAKIATPVKTDDKKYVHIATPHPMKKGGKTSQNAAKDQTSNSSKSASFKAGQQNNKSKQGRQ >RHN67355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26393106:26397179:-1 gene:gene15536 transcript:rna15536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative helitron helicase-like domain-containing protein MDPYHMFGKKDIPTRKECKETTAFLQQRSPKKQKTVHQKSSQNQKETQPKLFHTQKTSRFEKYFQMLNQKIHLNQNSYWNTNSNSQMYTPPYTSQQPYQRIKTVAGINTVSVNLMSKFGDMNTNVASSSSNLNLPTATSLNTSSIDTHVNYDSSEGSSDDSYSSLDEEETHTNNKVMGYDILTEGYYDHGDPLIECQYCGAKMWYQERMKKNTHSANPKYQLCCGNGKVQLPLLKSPPPLLQHLLFDRDSSDSKNFQQQIRVYNMMFAFTSPGAKLDNKINNGRGPPIIKIQGQPCHRIGSLLPMPGQSPKFAQLYIYDTENEIQNRLQGFRNNNNIDPDIVYKLSEMLYQHNTHTKSFRMVRERLNQGNANNLKLRLISERFTDGRINNQPTVSEVAALIVGDVDTASKRDIIIETQRGKLQRIDEFHASYLAYQYPLIFPYGEDGYRRGVAHRDRESSKSNKRNWLTIREWLAFRIQSRTEEGQTLLCSRKLYQQFLVDGFAMLESERLEWLRKNQSKLRVSKYRSLNETDHQIQTQGSNKGKRVILPATHVGSRRYMDQLYFDGMAICSYVGFPDLFITFTCNPNWPEIQRVLGSMNLKAHDRPDIISRVFKMKLDELLSDLTKKNMLGKVLAYIYTIEFQKRGLPHAHILIFLHPSNKLPTPGVIDKIISAEIPNQEHEKELYNLVKNHMIHGPCGLSHKSSPCMKDGKCTKYYPKKYQNSTIVDKEGYPVYRRRDNGNTIVKNGVSLNNKHIVPYNPTLLMKYQAHINVEWCSKSASIKYLFKHINKGYGRITAVVDPTQDRDSTQSGNIDEIKQYFDCRYVSPGEACWRIFSFPIHGRKPAVERLFFHIPGEQPVYFNDYEHIDDVLLKPSVTESMFTSWMQANKIYPQAKNLTYAQFVSKFAYDKRKRSWKPRMKGYTIGRLIWVPPSTGELFYLRMMLTAVKGPVSYEDIKTVAHIQYKTFREACFAMGFLEDDRKHIETIKEANVWGSGKYLRKLFSTMLLSCTINRPDHVWNQTWKLLSDGILYDQRKLTANQDLQLTSEEIQSLSLLEIEKHLQTNRRSLKEYPSMPYPKGYVASQLGNRLIYEECGYDQSIQQQQFQQLFSSLTGYQIYVYCTNKHSLYELSNLDVPSFKN >RHN78481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15481028:15486040:1 gene:gene2051 transcript:rna2051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acylaminoacyl-peptidase MIPVVLCADDDGFPGLYFSSILSDPWLSDGHTLIIPSVWHSSQVLLSVNVLSGQIKRITPADSNFSWSLLTLHGNNIFAVSSSPVDVPQVKYGTFVEKEGGNSEWRWSDVSNPIYKCSDKVRSLLSSLTFSIMKISVKDASENPTKGSCKPFESIFVSSKTKKSDACDPLIVVLHGGPHAVSLSSFSKSHAFLSSLGYSLLIVNYRGSLGFGEEALQSLPGKIGSQDVNDVLSAIDHVIDLGLASPSKIAVLGGSHGGFLTTHLIGQAPEKFVAAAARNPVCNLALMVGTTDIPDWCFLESYGTKGRDRITEAPSAEDLTLFYSKSPIAHLSKVKTTTVFLLGAQDLRVPISTGLQYARALKEKGVPVKVILFPNDVHGIERPQSDFESFLSIAAWFNKYCK >RHN52638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37284204:37291083:-1 gene:gene37332 transcript:rna37332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MGGGGTLVHAIRRFFNRTISINSHSHHHNNANHPLHSNNDSNNNYNDPSSLNLLKVPTRSLFIPSSMDRLKKGAGEVEFFTEYGEASRYQVQEVVGKGSYGVVVSAVDTISGEKVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFKDVYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYTHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLSGKPLFPGKNVVHQLDLMTDLLGTPPTESISKIRNEKARRYLSSMRKKQPVPFTKKFPNVDPLALNLLERLLAFDPKDRPTAEEALSDPYFHGLSNVDREPSTQAISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYLSGGDQTSFMYPSGVDRFKRQFAHLEDNYGKGERGSPLLRQHASLPRERVLAPKDENNQNNDCEKPAGLNLHSPPGATNSGDADAQNGPSNMTRCLLKSASISGSKCIDVKKSKDPEEEAIPEANDEAVDELTEKVAAALHA >RHN57484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39475946:39479169:-1 gene:gene33031 transcript:rna33031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MVNYISSRPMIFQLIIFISCCFCLGIAIDCGGNHVRNAIIVDQQGKGEFKKIQPAIDSIKNKNDHWVKIHINPGKYVENVNIPYDKPCIILEGSDRKTTKITYGDGKATTTFFSFPPNVILSGITFENTFGNEGPAIAAIINGDKSAVFDCGFLGYQDTLFDATGRHYFKNCYIQGEVDFIFGEAQSYFEECVINATQDSSKPPGFITAQRRNSSTEPSGFVFRGGEVTGIGKVNLGRAYGPYSRVIFWETYLSSVVLSGGWDPWKYGGHEKNFIYAEVDCTGPGSNTQGRVPWEKKPNEININDYSLSSFINEDRWLSNIPPIHKNHV >RHN43107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43264236:43267522:1 gene:gene49632 transcript:rna49632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MAIPKLVPGFRFSPTGVELIKYFLKRKVLGKKFHNDVIAELDIYKYAPWDLPELSYLQNGDLEWYFFCPIEKKYRSGPRMKRATEIGFWKATGQDRAVQQNNQTVGMIKTLIFHTGKSPRGDRTDWVMHEYRLEDKDLADKGTVQDSYVICKVFQKEGPGPRNGAQYGRPFNEEDWSDDEVGIPFAESAALVPSLPVTSNSSVLNDQNLQTSGYIGSISMPCQSELVPSPDPTNSCQTGLTPSPDPANPCQTGFMPYPDPVNSCQTGGFMPSPNPANSCQTGLTPSPDPSNSCQTGFMPYPDPVNSYQTGFMPASDPANSCQTEFMPSPDHASSCQIGLMPLPDPANDSYPDNQAVNNDDDDILAMLDIFKGNGVLPAPDPANDSYPDNQAVNNDDDILAMLDIFEENGILPEENIADGALLTDFFDGLEDVDCSAELGSDGQNADFSTYGLASTGNVVGHDDFDFMELIDLDSDTFWQTQPESWSRNK >RHN57660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40867332:40867618:1 gene:gene33238 transcript:rna33238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MKQSNKGHVPVLVSKGGEDMERIWVSIKVIHHPKIVEFLEQSAKEYGYQQGVLRIICDVDDFKDILANIS >RHN64253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57677227:57685483:1 gene:gene27049 transcript:rna27049 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAMASALPLHAAASQKCSRGDGVTLPSLVTVFYSILSANWFIYVLCFDLFHNLLL >RHN39993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12098178:12101130:-1 gene:gene46080 transcript:rna46080 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLDHDDFNFLSSSTICFLWWFIGEDIVLFFYVNFDSSVISLIKKVRNSNITGCSEGGWYKHGSACYALDYDLTVNMQLCNGEYMYPKKVIAATCHGKKPLIVGALASPPYSVLLKFGDENWKVIPDMSANFGDICLFKGRPYAVDKIIVYFMTQCICYSSLFTTDSVTNFYCNVRENLDTHCLDASSIQAVNDLSTI >RHN40965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25059567:25063044:1 gene:gene47216 transcript:rna47216 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKKNVIFIDHWAFLEHFEAPMWADLIFEANSGYENITDDDWFNESHPFHQLSARELKSKFAHSGEEAVTSPALPSSVSKSRGKHYINKNWGKGVNLNVLLDKQQGLSKGRCLKQGSSFGHEVKVKSTSNVSRTNGLMSEKSGLTFEHNTRGKATSKASCNLVGSSSSMDKKNYERSTRSMVTSENMMPKKDYKGHKKVSCDEKSKSSSVRSVSFGRSAVTVEASRVVQDQHKYMEVSSKPCDQKSGSSSVNSINLGKTHVTPKAEMDVGNIKSRGRTLSSGKSSVGSCSNPSYEVKFVSKQQRQKITDKKVVGSMNLADKNRCNVGNKSKTSSIKVEGKETGSTKGSNISVAKSLVKSRSVSSSTMLPGKVNKKNSTVGKKEAFDKGKENATRKLTVNKNCNEKGVLARGILKSQKAREHNCQHKDDKAGSAALTILGKVNDQREAKNPGNPARRIYLR >RHN81154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43697213:43697915:1 gene:gene5158 transcript:rna5158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MEKVMRLATEKGVVIFTKSSCCLCYAVNILFQEIGVFPVVHEIDKDPEGKEMEKAITKLGCNAPVPAVFIGGRLVGSTNEVMSLHLSGSLVPLLRPYRI >RHN74939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37985904:37987176:1 gene:gene11074 transcript:rna11074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MSLSGHLQHNNTHIELSKSTMSNKLFFSHNLVLGVITTKSTTLKFNNHFSFPFSLKCSSITTSQSESITQPFAVSYLINNFDFSTESALKAFNLKQVRFNTSDNPDSVITFFQNHGFSNSDIRIFIKKAPWLLSSQPHKRLLPKFQFFISNGASLSDIVPLLTANPHILQSSLDKRIIPLFQLLNRFSKTNKDTIVYLIRHSRSFIVYPLNLLEANINLMVDFGVYDSAIARLLRTRKSISCSNDLIKSLEDVKGLGFDPSTIAFGTALVTKQCMSNILWDKKVDVFKKWGWSDEDVIRVFRSQPSLMLTSIDKINLLISFWVNQMGWDPLALTKSPLMFSFSLHKRIIPRASVLQFLLMKGLRKKKASLVRPFAYSEDMFLNKRVFSFKEESDYLLKLYYEKMKLANAMENNGMPSTKCVMY >RHN70841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54397614:54397829:-1 gene:gene19468 transcript:rna19468 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNGNAGRILESCRLASSNYPIQRKTIVVAGRCIHSVPSDDLLAESNF >RHN64524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59776685:59776845:-1 gene:gene27347 transcript:rna27347 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKKAWLKIELMGAKHPPFKLPSYISNHAKEKDIDNFFF >RHN79802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32330058:32331260:-1 gene:gene3643 transcript:rna3643 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDCGNLEALPLSLIFEKLVERIDHIYFSVVCKNWYSIAKFNYQNRQVKNNVLPMLMIPTKRRCKTKRGLYGISFDKIYNFQLSVPHNKRLCGSSHGWLAKMDITISSRLATITLLNPFNNIASIILPTIYMKNMLAKNMLGGGRSVPERNVHKVILSSNPTTNPRDYVVVAIYGGRACLAFMKAGENCWTHIDPYHHCFTDVIFYRGLVYAVGGWNHIVSFDICNSRDSFDPEKINVVSPLAFDTDYAHRAYLVESLEGDLWLVRKFIGYGDDDIDEDINPPSCGTERFEVYKLELDLKSGKLIHMLRLDSLGDNVLFLGDSDSISMSASYFANYLQKDSIYYTDDFYEEDPISYPNGPFDMKIFNVKDGGFSQHCRYQHWFTRMPPSLWVIPPFRWD >RHN77758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9220809:9228273:1 gene:gene1245 transcript:rna1245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MWWVLVVLFSFTLLLHRGSSSIIDQEHTCPPSYCGKISKISSPFRLKDDPKHCGDSSYELACENNITSLYLYSAKYYVQSINYNDFTIRLVDPGVQQSNCSSLPLYSLSRSNFCDTYNHEDDDNCNDPYHAFLARSRRIVSAAGSHELPFKHIVYLNCTHQVTDNHKYVNTAPCLNWNSKGYSIYAMAGDLVAQDFQVGCHVKSVTLTSWSGLQRNQLLTYDVIHKALVYGFEVSWFSCNKVCKKSEMCTVMDSSDNSSASFLDCTPLCKNFIGYFINDDCGIWSQMTSYAQNAKYTIEGILAGLREIIKGSNSDYDGIAEYKVGMTTGHYILPSLLSARILFGITFFIALLIYKWRKRHWSMYECIEIYLQQNNLMPIGYSYREIKKMARGFKDKLGEGGFGTVFQGNQRSGPCVAIKMLGKSKGNGQDFISEVMTIGRIHHVNVVKLLGFCIEGSKRALIYEFMSNGSLDKFIFSKEGSKELCCSQIHDISIGVARGIAYLHHGCEMKILHFDIKPHNILLDENFIPKISDFGLAKLYPIENSIVTMTAARGTIGYMAPELFYKNIGGVSYKADVYSFGMLLMEMASKRKNLNAHAEYSSQLYFPLWIYDQFAQEGEIEIEDVTEEENKILKKMIIVALWCIQLKPADRPSMNKVVEMLEGDVESLEMPPKPIIYPHETVVDDPRTNLDQTISSDDYSSSYDYVEREPNSLIENIA >RHN64141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56873184:56874611:-1 gene:gene26927 transcript:rna26927 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLFYMDLPYNSYWCCELWVFGEFLSFSTMNFSNNTVMIWTMKEYKVNSSWTKTHAVSVDGTPNESFSPFCCTKNGDIIGTDQETGLVRYNDKGQLLEHRPYSSEFEAVVYTESLLSLPGESEQA >RHN57316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38080435:38081076:1 gene:gene32841 transcript:rna32841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MTFLHLPLHLSLAGETNRFDEQEQKPKENEIQKTISTPNKTKTETKLENEKEEVDKKETPFLVAAKNGIVELVNEFLDKIPSAIHDTNSRKENVLHVAVKSRQPVIVETLRMRMIKHSKPELWNNLILAMDKEENTILHLAAKALGDGKPWQIAGSALQMMWDIKWFQVHTIITTIFTIIFKILLTYFEVSFLTLIFLKPLAHVGLTYFRFIY >RHN40315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15244519:15248776:1 gene:gene46458 transcript:rna46458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal peptidase I MVPFSSIIKEVWKDVFFVVKAYCVLHVTENYLITLVKTEGASMLPTIDSTPSMFLAERISPRFGKVAHGDIVRLRSPQNPRESYGKRVIGLEGDSITYIADRGNGYKHETVVVPKGHVWVEGDNKFSSYDSRSFGPVPYGLIESKIFWRVWPRKDFGSFWNK >RHN52509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36239631:36246585:1 gene:gene37197 transcript:rna37197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MVFRILHKCTNPTLSSHHPCSIQINLSTIVLFKKTFPLFSFMAGSPSSSSITNDGYEYDVFLSFRGFETRRDFTGNIWNALHNRGIRTFRDDLEIYKGNNIEKSLYEAIEKSKAAIIVLSPSYATSSFCLDELCHILKCIHGRGRFVWPIFYDVDPSIVRWSEEGTYGEAMAEHKASNWYSEDKLQEWKNALNQLANFSGTVYKWKTGYHGSEYMFINKIVRDVSRVIQPFSLSIPDYIVGLEDQIQDVLRLLNVGSVDKVYMVGIHGTGGIGKTTLSLAVYNSIVDQFDGSCYLEDVRGNKEKHGLIHLQNILLSKIFGENKIAVTSVNEGIKELRVRLKQKKVLLLLDNVDKLDQLRAIVGEPEWFGNGSRVIITTRDTQVLKSHGVEKTHEVKLLLRDEAYDFLRWKTFGTNEVSPSFEDVFNRALNYTSRLPLAIEIIGSHLFSKKTTEQWISALDRYEKIPKQEIFEILKVSFDDLVQEEKDVFLDIACFFKGEQLEDVEIILHAHYGDEKKDHINVLIEKSLIKISQPNFLTLHDLIEDMGKEIVRLESPDQPGERSRLWSAKDIAEVLEENTGTSKIGMMMCSDSDEDIVVNWDGEAFKNMTKLRTLFIQSVYFSESPKHLPNSLRVLRLWEYPSEECLPVDFYPRQLTLCKLNFTFNRPQEVFFKKYFKNMRMLQFHFCDSLRSIPDVSGLQNLEDFSCLRCNDLITFDDSIGLLGKLKILSVVSCPKIETIPPLKLVSLEELYLSELHSIMSLSPMLDESLDKLKILKVNCCRSINYIPPLKLPSLEELYLSILWGIKSLSPMLDESLNKLKILKVDNCIHLMYIPPLKLPSLEELNLKALFNIESLSPMLNESLDKLKILKVLGCRHLKYIPPLKLPSLEELNLQGLSRIESLSPMLNETLDKLKILTVLGCRCLKYIPPLKLPSLEELYLIELWSIKSLPPMLDESLDKLKILRFIYCQEIETVPPLKLASLEELYLSGLNSIKSLSPMLDETLNKLKILSVFGCRKIETIPPLKYDSLEELYLSDLDSIKSLSPMLDESLDKLKILRVLDCPKIETIPSLKLASLEELCLSELHSIKSLSPMLDESLDKLKVLNIQGCYELWSFPRVMLPSLEELDLSYCEYLETFPPMVSGSMAKLKILRLKDCKKLRKIPAINVDSLDEFDHSGCESLEFSTSD >RHN55211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16140932:16146079:1 gene:gene30356 transcript:rna30356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MPSHADLDRQIESLMECKPLPEADVKALCDQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKFFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAAQFNHTNGLSLISRAHQLVMEGYNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >RHN51565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19963819:19968781:1 gene:gene36028 transcript:rna36028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADVLLGTVIQNLGSFVREELSTFLGVEELTQKLCGNLTAIRAVLQDAEEKQLTSRVVKDWLQKLTDVAYVLDDILDDCTITSKAHGDNKWITRFHPKKILARRDIGKRMKEVAKKIDVIAEERIKFGLQAVVMEDRQRGDDKWRQTFSVITEPKVYGRDRDREQVVEFLLSHAVDSEELSVYSIVGVGGQGKTTLAQVVFNDERVDTHFNLKIWVCVSEDFSMMKVLQSIIESTDGKNPDLSSLESMQKKVKNILQNKRYLLVLDDVWNEDQEKWNQFKYFLQRGNGTKGASVLVTTRLDIVASIMGTYPAHHLLGLSDDAIWYLFKQKAFETNREERAELVAIGKELVRKCVGSPLAAKVLGSLLRFKTEEHQWLSVKESKFWSLSEDNPIMSVLRLSYFNLKLSLRLCFTFCAVFPKDFEMVKEELIHLWLANGFISSVGNLEVEHVGQEVWNELYARSFFQEVKTDKKGEVTFKMHDLIHDLAQSITGEECMAFDDKSLTNLSGRVHHISFSFINLYKPFNYNTIPFKKVESLRTFLEFYVKLGESAPLPSIPPLRALRTRSSQLSTLKSLTHLRYLEICKSWIKTLPESVCRLQNLQILKLVGCPLLSSLPKKLTQLQDLRHLVIKYCNSLDSMPSNISKLTCLKTLSTFIVESKAGFGLAQLHDLQLGGKLHIRGLENVSSEWDAKEANLIGKKELNRLYLSWGSHANSQGIDTDVERVLEALEPHTGLKGFGIEGYVGIHLPHWMRNASILEGLVDITFYNCNNCQRLPPLGKLPCLTTLYVCGIRDLKYIDDDIYESTSKRAFISLKNLTLCGLPNLERMLKAEGVEMLPQLSYFNITNVPKLALPSLPSIELLDVGEIKYRFSPQDIVVDLFPERIVCSMHNLKFLIIVNFHKLKVLPDDLHFLSVLEELHISRCDELESFSMYAFKGLISLRVLTIDECPELISLSEGMGDLASLERLVIQNCEQLVLPSNMNKLTSLRQVAISGYLANNRILEGLEVIPSLQNLTLSFFDYLPESLGAMTSLQRVEIIFCPNLKSLPNSFQNLINLHTLLIFRCSMLVKRCKKGTGKDWQKIAHVPELELIAEDTYYMRNWKEEDRDILRHRYQAIDIFFRNQFKFIVDAL >RHN41129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27282429:27284661:1 gene:gene47419 transcript:rna47419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MEEEKLNEKSRSSMTILLQSQKLPYSSELIDSLLVHNQSSKPSFQGSNSMVNVGDMEVKSSSFFQASEKEENNDDDDENYEVCLQQPGKKRRLTNEQVHFLESNFEIENKLEPERKVQLAKELSLQPRQVAIWFQNRRARFKTKQLEKDYGSLKASYDMLKVDYDNLLQENNKLKEEVISLKKRLVPREKQENNLVAKSLDDIISQHKTDVVDSECLQNTDGNQSLVVEPNQSEFSQDEEDILSQNILNVPFIPKVADIGCYDEPHESCCSFQFPFEDQTFYFWSY >RHN66905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21262345:21262548:1 gene:gene15023 transcript:rna15023 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLNLKFVKGIDSKQYLTYGNFYDVTLKSMDGEKVNVYQAAIWERPRLNFQQLSEIKLVGEAPLLI >RHN55586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19806748:19807745:-1 gene:gene30788 transcript:rna30788 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQGPDIEKFIHFLSICILAFMNEGSSSLSNSFVNEQWSWGLSIPLSLYFKFALECHAHFGIFVGIGVPSLVYWGATRSPLDWYWETVIKFNVEILKTGFFNIVIGLLHLVVSKLLNRLIEWIHDRKIKLKGLDFLFPVTNSELENSTLYEPPLLRPVSSQEIVLKLVEALISLFFNGNVLLLSWCFSGQIYTHDVGKAISWVSLGSSMFVWIKALLKLMKMPQIPLEEKAHKVDKNQNCSIDDKKESKDQELVTCSLEC >RHN70609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52710070:52714019:-1 gene:gene19210 transcript:rna19210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbohydrate-binding-like, carboxypeptidase, regulatory domain-containing protein MASFTKSLFLFLFIQFCFSILPSSFAQSPTPGSSDGYTIYGRVKIPSFGTKEFSLPAKVSNVKVILNGGQRVSFLRPDGYFSFHNVPAGTHLIEVAAKGYFFSPVRVDVSARNPGKIQAALTENRRGLSEFVLEPLKEEQYYEIREPFSIMSIVKSPMGLMMGFMLIVVFLMPKLMENMDPEEMKRAQEEMRNQGVPSLASLLPGAARSN >RHN64878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62637091:62641015:-1 gene:gene27746 transcript:rna27746 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKMKGVSASMNPPPDVYMDHRSMMRHQSLLQDYEDLNKETEAMRRKLEAVKQKKLILSAEVRFLRQRYKYLLKHPLPKPQPNQEVLKPQKLKIKEPKIAKGRNYNRKESTLRPHAASKLNPKERVFNGRAVEDTLQKTSHVFDLNQNGRSLNKKDASFHSSAAPVLDSNHKDRVQSGKEAAKKSVTPFFDLNQISREEEELEGDNQSMWIEEQKKNAQRVVNEEQINDIKLSVCRNIGEGSSRAGKRKITWQDQVALRV >RHN52303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33670285:33671076:-1 gene:gene36963 transcript:rna36963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNTTHDRSSSHLESMEKKRYVGSEDGKVNTYISDDIAVSILSKLPLKSFKRFQCIRKSWSLLFENHHFKNMFRTNFFSNSHCCSYYDGASLLLKVIEHNKEALYSFSGERFKNKIKLEFTNPFLENDSIHVFGFGSINGTFCLHEYQEGDYEKITLWNPATETFNLLPPGEIESAITDEAKALVEVWFYSCLHGFGYDHVISDYKVIRDVQVLIQPSFQYSDDLEEITTLGWLEEINAWEIYSLRSNSWRKLDIEMPSSWELY >RHN46493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32248494:32255544:-1 gene:gene40984 transcript:rna40984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, toll-like receptor MDSNSTSNQSDRSRTWFFRSLHALCGRQSFSDLNILDEEGTTTTDLNILNEEGTTEIACSSNEDEEGTTAAACSWNDVDRTQSYRYRYDVFISFRGIDTRKTFADHLYAHLTRKGIFTFMDDQQLEKGESISLQLRQAIQQSRLSIVVFSEDYAGSTWCLDEMTVIADCLTKLKQIVLPVFYYVDPSHVRKQNGKYENAFVLHTETFKHVDPQKVDRWKRAMTCLAGLAGWDVTDKSEYKAIEIIVQAVIEKLGHKFSGFADDLIGIQTRVEELEFMLELSSDDDGCRVLGIWGMDGIGKTTLANVLYDTISHQYQFDACCFVEDVSKIYRDGGAIAVQKRILDQTIKEKNLEGYSPSEISGIISNRLYKLKLLLVLDNVDQSVQLQELHINPISLCAGSRIIITTRDKHILIEYEADIVYEAELLNDNDAHELLCRKAFKSDYSSSDYEELIPEVLKYAQGLPLAIRVMGSFLYKRKTAQWRAALEGWQNNPDSGIMKVLRSSFEGLELREKEIFLHVACFFDGEREDYVRRILHACGLQPNIGIPLLVEKSLITIRNQEIHMHEMLQELGKQIVREQHPDEPRLWSRLWLYSDFCHAMTTQSEGIKAKAIILNQKEEVSKFNHYRDEDLSTMKNLKVLILYHTNFSGSPIFLSNSLYYLLWSGYPFMSLPSNFNPYQLVELNMPDSNIEQLWIGMQHLPNLKRMDLSNSKNLKMTPCFDGILNLERLDLTGCINLSHVDPSIGLLTELVFLSLQNCSSLVSLDFGNSAQLWSLKVLRLSDCTQLENTPDFSGLSFLQYLDMDRCASLSTIHESIGALANLRFLSLKDCTNLVGIPDSFKKMASLRTLDLCRCSKFTELGCTSTSPLHLQSLIYLDLSFCSISIIPDAIGELMCLERLNLQGNNFTSIPSSLSWLHNLSYLNLSHCHKLWSLPSLPIPTESGPSDSVGRYFKTTSGSRNHRSGLYIFDSPNCMKSISLLGNIYFNYFPITWVLRLLKEPLLFRCGFDFVVPWHEETNGSDGKRAFCSDNISDLFDYQFIGGSIVSINNSFMDADWLGFLFFVTFELKNHHVLSSSPHPSHSLPPLPHPFYLSFESEYTEERFDMSLDLERNTVDGKNYLWTIFISREHCHFVETGVQITFKARQGLIIKEWGLRVVTKIDIEGSKMRTSVHLPLQFIDNAEGSSIVNDNNFETKIQLPYNWLVSNEDSIENDRTKGKETDLFNLGLFTERPQ >RHN75433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42308345:42314810:-1 gene:gene11643 transcript:rna11643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MIAEKPSWLRHEGMQIFSIDVQPSGLRFATGGGDHKVRIWNMKSLGADMESLDTSERLLATLRDHFGSVNCVRWAKHGRFVASGSDDQAILIHERKPGSGTTEFGSGEPPDIENWKVVMTLRGHSADVVDLNWSPDDSSLASGSLDNTIHIWNMTNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWSKSLGSTFFRRLGWSPCGHFITTTHGFKKPRHSAPVLERGEWSATFDFLGHNAPIIVVRFNHFLFKKNSSNTQEGKSEPVGWSNGGSKTGPKEPQPYNIIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVGAFHFEAKELGQSLNDAELDELKRSRYGDVSGRKINLAESPAQLLLEAASTKQTSAKKAVSNVQQKKTTAKACVSAGNTTKNSKPQVKDVKKIGGPVGNEPNMVTTTGLISGPVKQKEYRRPDGRKRIIPEVVGGPVQPESISSAAQQFDFPIVSSEHIKSSDKAISTNDDIRASTLGGSHVRHSDLKERSGVTARVTISDGLIIEKVSDTSGDGGINVQQMGNSMTSNSLAACSSTLSIRVFDKKGGVGTSPVLLEARPREHTVNDIAGLANTSMMKETEIVCTRGDQTLWSDRISGKVTVLAGNVNFWAVGCEDGCLQIYTKCGRRAMPTMMMGSSATFVDCDECWSLMLVTRKGSLYLWDLLNRTCLLQDSLTSLVASSPNPSTKNEGTIKVISAKLSKSGSPLVVLATRHAFLFDMNVKCWLRVADDSFPASNLSSSWSLGSIQSGELAALQVDLRKYLARKPGWTRMTDDGVQTRAHLEAQLASSLALGSANEYRQCLLSYVRFLAREADESRLREVCEGFLGPPTGMAEEPSSDSNNLAWDPFVLGMRKHKLLREDILPSMASNRKVQRLLNEFMDLLSEFEIVDPNQDQMALVLPKSSSPATKLIENSSVAIDKEDGAQAKTLD >RHN71943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3824335:3826450:1 gene:gene7604 transcript:rna7604 gene_biotype:protein_coding transcript_biotype:protein_coding MRFMSICSWVAKTTRFLWIHPLNSLESEEQSSNTSVGDVQFEFFDVGEVIKSGQNASDLKQCHSLEMDLDDDEENDRSSITKKNQIFWESQHLDLQTNIDNTSSLETKIRSATKEAIEEIESCGTVCGCTRKKIAITSCRDCLMREVFTRLHKTGFNIAICKTKWRTSSDIPSGEHTFLDVIDNTNPEKGEVRVMIEMNFQAEFEMAKGSDEYNNLVKKVPEVFVGEVGRMSKLIKILCMAAKKCMKDKKLHMGPWRRHKYMEAKWLGPCERYPSTKSPLSIGSFSERIITKQKSKVSMLTIELFDKLPTLHCPAVDEVV >RHN50381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6618070:6618573:-1 gene:gene34659 transcript:rna34659 gene_biotype:protein_coding transcript_biotype:protein_coding MESGCDLSLVNNASYDQLINKMIPSKGLQASQISVGINSMLTLEEDVIIIDDDDDDDEEEKDLERHGITDNNNSMEMDNRANSNMHVPIPIEVAHKVSELKYDQEKHSKSSNGINVVVSLIDSFTRDQIKQHITSMRKKYFQVITPPSGVFNYLDTWMLYMHVIVKL >RHN60761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30244097:30245414:1 gene:gene23119 transcript:rna23119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MHQSIHFKDHPSIFNSFLQNMVMMKHPFLSFTLLLIVFFSSTTTLAQKSPAPAPSTDTAPTDIIRILKKAGGFTTLIRLLQTTQVSTQINSQLLNSNGGLTLFAPNDNSFSSLKPGFLNSLSDEQKNKLIQFHLLPTFVSMSNFDTLSNPVRTQAGDDPYRLGLNVTSSGNQVNMTTGIVNVTVGGTVYTDHQLAVYQVDKVLLPRDFFVAKPPAPAPAPEKTKSSKKKSSDSDGGVAGDDDSGAVNVKQRRLMLVPVAVATIIVAIYSW >RHN74702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36011241:36014522:-1 gene:gene10809 transcript:rna10809 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMEQRRQDPPTAANRRRPLWRPMSTQNAATGGDNSAKAVVAEQISQTVQSTSNLLHLMQHSSPAQAKLVKLPKNLLAKVSTVKNTQQVLEQLPRVISSLDAHMENGLQNVPQLKTVVQLLANMESSQLSSLSRTHVLEKEHEPGNQSQGTD >RHN62833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46122030:46124861:-1 gene:gene25452 transcript:rna25452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MWCVPRDFVFSLAILVLLFGTVPCLGSFVKTENKIKSAVFLSPKFELGPGSVINKYYYDIDFPRGHVAIKSFHAEVVDEDGNPIPLHETYLHHWVVERYHQSKHVTHTRNDGQKMPENSDNIMVRNSGICQESINKQYFGLGSETRGTATDIPDPFGIEIGNPAEIPEGYEEIWMLNVHAIDTRGVEDKLGCTECKCELYNVTVDEYGRSIRSDYKGGFLCCYDSKQCKLKEGFERPKRSLYLRYTVKWVDWDDFIVPAKIYILDVTDTLKLSDNSKGMNSEHDCKVEYQVESCTTNHKDGNGCVHVKRISLPFQTGGYVIYGVAHQHSGGIGSTLYGQDERVICSSIPRYGNGNEAGNETGYIVGMSTCYPKPGSIKIIDGETLTLVSIYNNSQEHNGVMGLFYLLVAEQLPDQHFRHSTRSSFFMDVM >RHN44160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2097779:2098528:-1 gene:gene38245 transcript:rna38245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRTKNMAETLKFVYVLILFISLFLVLIVCDSAFVANTETCITDKDCPNGRNYIGRCRKGHCQQRLVR >RHN47513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40252563:40253219:-1 gene:gene42125 transcript:rna42125 gene_biotype:protein_coding transcript_biotype:protein_coding MASLILLFSELVRNHEWNATALLAAYPPSSSTSSCASAAERKSLKDEEALKDDESVLESRVCVDFVWP >RHN66742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18095146:18099895:-1 gene:gene14816 transcript:rna14816 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) transcription factor C2H2 family MEGVETGSHERNELVRARHDVSETGSKPLKNLNGQICQICGDTVGLTATGEVFVACHECSFPLCYPCYEYEWKNVIQSCPQCKTRFKSHKDDPRVKGGDDEDDVDDLRNEVKYGQGNNAKAGWQWDEDADLSSSSGHDSQLQNPHLTNGQLMSGDIPCATSDTQSVQTTSGPLGQSENVHSRAYVDPKQPGLESDEEIRRVPDMGGESAGTSASRKGTGSTAGPERVQGAGEGQKKRGRSPADKESKRLKRLLRNRVSAQQARERKKAYLSDLETRVNDLEKKNSELKEKLSTLQNENQMLRQILKNTTASRRGSNGGSNTAE >RHN73067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13179954:13180307:-1 gene:gene8845 transcript:rna8845 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIISSDDGDGVIKTFKWITRSAMKEFVESDEETMTKLAQEGVVVMHEIDDIFKLSKCVEIACFINKRSFLVSNNQYEVLSLKCKIFYVSKHGNASEKLSSDHYKKLMEILGSSEC >RHN58221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44743716:44762280:-1 gene:gene33841 transcript:rna33841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Sec7 domain, mon2, dimerization and cyclophilin-binding domain-containing protein MSASQSLGGPSRCGRVVGPSLDKIIKNVAWRKHSQLVSSCKSTIDKLESLPECDDSDSKSPLVGIPSSDAEYVLQPLFLALDSAYAKVVEPALDCTFKLLSLGLVRGEIINSHLFFNIIDAICKSGGLGEEAIELGVLRVLLSAVRSPCVLIRGDCLVQIVRTCYNVYLGGVNGTNQICAKSVLAQIVTIVFTRVEEDSMDVSVKRVSVNELLEFTDKNLNEGNSIHFCQNFINEVIEATQGGVPLNLELPNASPPVSMSKQVDDTEPGPDDGSSSSKIREDGFLLFKNLCKLSMKFSSQQHPDDRILLRGKILSLELLNVVMDNGSSIWRNNERFLNGIKQYLCLSLLKNSALSAMAIFQLQCSIFMNLLSKFRSGLKKEIGMFFPMLILRVLENVLQPSFLQKMTVLNLLDKLSQDPQIIIDIFVNYDCDVDASNIFERIVNGLLKTALGPPTGSTTSLSPAQDITFRHESVKCLVSIIKSMGAWMDQQIRPGDLNLVKSPESNSLGESQLTLNGEEGNTSDLELHPDINSEFSDAATLEQRRAYKAELQKGISLFNRKPSKGIEFLISNKKIGSSPEEVALFLKNTGGLDEAKIGDYLGEREDFSLKVMHAYVDSFNFKGMDFGEAIRFFLQGFRLPGEAQKIDRIMEKFAERFCKCNPSSFSSADTAYVLAYSVIMLNTDAHNNMVKDKMTKADFIRNNRGIDDGKDLPEEYLGALYDKIVRNEIKMKADSSAPQSKQENSFNRLLGLDGILNLVNWKQNEEKAVGANGLLIRHIQEQFKSNSRKSESAYHVVTDVAILRFMVEVCWGPMLAAFSVTLDQSDDRVATSQSLQGFRHAVHVTAVMGMQTQRDAFVTSVAKFTYLHCAGDMKQKNVDAVKAIISIAIEDGDHLQEAWEHILTCLSRIEHLQLLGEGAPSDATFFTSSNFETEEKTPKTLGFSSFKKGTLQNPAMAAVVRGSSYDSTSVGVNPSALVTPEQINSFISNLNLLDQIGNFELNHVFAHSQRLNGEAIVAFVKALCKVSISELQSLTDPRVFGLTKIVEIAHYNMNRIRLVWSRIWNVLSDFFVSVGLSENLSVAIFAMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSNSTEIRELTVRCISQMVLSRVSNVKSGWKSVFMVFTAAAADERKNIVLLAFETMEKIVREFFPYITETETTTFTDCVGCLLTFTNSRFNSDVSLNAIAFLRFCAVRLADGGLVCNKKSSADVSSVVLTNGVSDVQALTDNDDHVSFWIPLLSGLSKLTSDPRSAIRKSSLEVLFNILKDHGHLFSRTFWNSIFCSVIFPVYNSVCGKRDMNILDVHCSPSVSVHTEGSTWDSETSPVAAECLIDLFVTFFDMVRSQLPGVVSVLTGFIRSPVQGPASTGVAGLVRLTSDLGNRLSEEEWKEIFLCLKDAATSTVPGFTKVLRTMSNIEVRKFSQSSDHDLTNDEFDDDNLQTATYVVSRTKNHIAMQLLILQVTTDLYRKHQQSLSADSIKVLIELYSSIALHARQLNRESVLLKKLQKACSILELSSPPVVHFENESFQNHLNFLQNLHDDQYFVHDEIDLEQELVTVCENVLDIYLNCAGPVSTFHKSDTQPVQRRKLPLSSAKKEEIAARTSLVISALQGLAGLEKDSFRRYIPRFFQLLVDLVRSEHTSGEVQLALSNMFRSSVGPIIME >RHN42697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40292090:40295116:-1 gene:gene49177 transcript:rna49177 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGDWDGYEKASLGNMYISDMDANVKDQITNALKVRDQGENIIGLCGPDERVRLSVKTAIRRAERDQLFQKIVTVTATVTKKPDITMIQTQIGDAIGLNFNDKMNVAESTCCMCFGNSKTVTTAERAHLICAKMKELQTVLIVMYDLHGRLDLGEIGVPFGEDHNGCKILLTSTSVEVLSNQMKAHKLIQLSET >RHN64995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63512688:63514104:-1 gene:gene27884 transcript:rna27884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative petal formation-expressed MASMHTSTSSFSFLSSKRGNVNASIHFPRPNSLLPKNRIPTAKLVEGLTFKDSVPSLLLEKNVINRTHNLHHDLSNSSINNSNTVQLYAILDSVSDRIEMHKNIGEQRQNWNNLLLNNINMITLTATTLTCIAAATGADGAQLLALKLSSTLLFSAATGMLLIMNKIQPSQLAEEQRNATRLFKQLWTQIQTKINLGNLITEEDVESSLEKVLALDKAYPLPLLGAMLEKFPAKYEPSVWWPAKRKEGNAEESKKRKNSKNGWSEELEMEMREVIEVVKSKDIEDYERLGNIALKINKSMAIAGPLLTGIAAIGSAFVGNDDGFWSAMVPVMAGSMACAINGFEHGGQVGMVFEMYRNCGGFFKMFGESIESTLEEKDLEKRENGEIFEMKMALMLGRSVSELRQLASKSAACCMEGISIDEFASKLF >RHN46610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33241731:33245830:1 gene:gene41117 transcript:rna41117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MASILKFVYIMIIYLSVLLVVIEGYPFQECKVDADCPTVCTLPGCPDICSFPDVPTCIDNNCFCT >RHN73411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16128640:16140245:-1 gene:gene9217 transcript:rna9217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo-like helical, pumilio, RNA binding domain-containing protein MATESPIRISEAGGKWPSHMEASAYGTPPRNMGAEDLGVLLKGHRFRGSGKDAAPSRSGSAPPSMEGSFLAIENLLPLQNTQDASLTGLSRAVKNCESEEQLRADPAYLAYYNSNVNLNPRLPPPLTSWENRHTGHRVGSSGNNWGLSSIDHRSKSSLHLPQATLSTHKEESEDDSPQQQAHENELVNTSGVWRRQDAASLAPQPKNMVDLIQEDFPRTMSPVYNMSLSASHRLGDKPIELEAGSRSSHDAHDTAVESAKSTAGADDIRVSSSVDVHTPVASSSTFEPTASMGFSNLDAATVASQLNTLSVSNLPNSENLSYEEKLMTSYQNNMMQRQVFAQQSYPYEVPSANSQSVNPAYVGREQFPHNSSKLPDVQPLLQSSGFTPPLYATAAAYMASVNPFYNNMQASGPYTPQYVGGYTLNPTAVQPYISAYPPHGAVPFVVDGATSSSYAPLTPGVSTGGNISHGAEMVHANKYLGQFGFPVQPSFGDPIYMQYNQQPFVEGYGISGHFDPQAPRASVVQINPYDSQKRPGTGAYLDDKKLHEQRTGASMNSRRGGLPVPNYFGHVPNTGFVMQYPGSPLPSPVLSGYPDGSPGLSGGRNEIKPSPASGRNGGMVTGWYGPRSFDSGQDPKIVNFLEELKSGKGRRFELSDIIGHIVEFSSDQHGSRFIQQKLESCGAEEKALVFKEVLPHASKLMTDVFGNYVIQKFFEYGNPEQRKELAEKLAGQILPLSLQMYGCRVIQKALEVIEHEQKAQLVRELDGNIMRCVRDQNGNHVIQKCIESIPTNKIGFILSAFRGQVANLSMHPYGCRVIQRILEHCTDEVQCQFIVDEILESVCSLAQDQYGNYVTQHVLERGRPQERSQIISKLSGHVVQLSQHKFASNVVEKCLEYGDASEREVLIVEIIAHDEQNDNLLTMMKDQFANYVIQKVIDICSENQRARLLSHIRAHANALKKYTYGKHIVARMEHQFGENQAPSS >RHN57243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37510465:37510821:-1 gene:gene32758 transcript:rna32758 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLFSVSIHFNGRNPQMFKLQYINITLKCLKDQLDEINQGLNPGDTRRVEYIWYERPTLDDRRITLSRLELKNVDDVRSMFSIFWHHIMFPLINVFVTLLRSPEDLLNSLILPEDRD >RHN52906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40253391:40254428:-1 gene:gene37642 transcript:rna37642 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSITTTKKFFKNTLKNFKSLFSSDYQKLPKSDSPHNHLSYSLAANHVLDMNINNTSGSYMKLSNASPSEKKNWANKREVFDNKNNEKKLTIQRGKQKDSSFTSKDMKERKYCMVKEKLKELEMLDISNVDYAMDIEEVLYYYSRLTCPAYVEIVDRFFMEMYSDQCERF >RHN71756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2460804:2461326:1 gene:gene7390 transcript:rna7390 gene_biotype:protein_coding transcript_biotype:protein_coding MNMILAIFFICSTLSCMNISLAQNSPQDFLEVHNQARDEVGVGPLYWEQTLEAYAQNYANKRIKNCELEHSMGPYGENLAEGYGEVNGTDSVKFWLSEKPNYDYNSNSCVNDECGHYTQIIWRDSVHLGCAKSKCKNGWVFVICSYSPPGNVEGERPY >RHN76407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50005290:50009269:-1 gene:gene12728 transcript:rna12728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MITLIRAFAALIYRGFGITGIASWLFNYPTDVIKTRLQAQTSSSLKYKGILDCTMKIIKEEGSIVLWWGLGATLVRAFVMNSANLLCTPRAYVTQPSLINCSEANPFITTKTHSLPSHQTHTLHLCITFRFCLFSRKKRMEFWTENFVMNNVGREFVAGGFGGTAGIISSYPMDTIRVMQQQSGNASAISIFRNLLAKEGPTALYRGMAAPLASVGFQNAMIFQSYTVFTRMCSLSTSSNGPPSLANVALGGLGAGALQSLLISPVELIKIRLQLQKNIGPLSENKKSAPMNLAKNIWKNEGLCGIYRGFGITVLRDAPALALYFGTYEYTREKLHPGCRESCQESVSTMFIAGGLAGIASWLFNYPTDVIKTRLQAQTSSSLKYKGILDCTLKIIKEEGSIVLWRGLGATLVRAFVMNSAIFPAYQVALRCLDNN >RHN63972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55513686:55516281:-1 gene:gene26738 transcript:rna26738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ANTH domain-containing protein MAPSTIRKAIGAMKDQTSISIAKVAGNLAPDLEVLVVKATSHEEVPADDKYVREILNLTSYSKGYVNACLISISKRLTKTRDWIVAVKSLMLVHRLLVDGHPSFEYEIVHATRSGMRVFNMSDFRDEAHSSSWDHAGFVRVYAMYLDQKVEFLVYNKKLKGVVDSGDGEFGSVKRNEEKSDVTPVREMKAERVLDRLKHLLQILDSVLGCKPHGAAKNNRLVLVAIYQIVRDSFKLYVEICDVLGVLMDRFMEMEYAHCVQAFEFYVSASKMMDELAGFYGWCKDTGIARSSEYPEVQKITDSLLGTLEGFLKEMSDRTKSPEKKLEVKVITLKEQEPEAYANEVKALPAPEVKNLTPRPQQPPVALQPKETPRVQQETGELVNLREDGVSADEQGNKFALALFSGASTVKTEGLWEEFGSSKVTSAWETPAAEIGKADWELALVETSSNLSKQKADLGGGFDSLILNGMYDQGAVRQHVSTTEVSGGSASSVALPGVGKSAIPILALPAPDGTMQAVGTQDPFAASLSVPPPSYVQIAEMERKQHLLVQEQQLWQQYGRDGMQGQLGLTRVGAGSQPMMSYGMPQYGGIGQPGGYYNAPF >RHN57212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37320255:37322694:-1 gene:gene32722 transcript:rna32722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MVSADNNGKDEIEECNNNGSKANEFTSIDISSSRRAMVTDENPGRKFPSTLSAIPNRINFFKIGSASAKFKRLATQMDQASQSVPSPSSHSLRERFKHMFSKKLDWDSMKKMTIEWIRNPMNMALFAWILCVAVSGGILFLVMTGMLNAVIPKKSARNAWFEVNNQILNALFTLMCLYKHPKLFYHLVLLCRWNSKDIAKLRKEYCKNGTYKPHEWKHIMVVVILGHVNCFAQYALCGLNLGYKRSERPAIGVGICITFAIGAPAIAGLYTILSPLGKDYDSGSDEESQVEIAAAQKKEQMRLKSFERKYSFATKNQLRTVENRPKWSGGILDIWDDISQAYLSLFCTFCAFGWNMERLGFGNMYVHIATFMLFCMAPFGFSSWLLLILRMTLLGNV >RHN66868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20547886:20557358:1 gene:gene14979 transcript:rna14979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GBF-interacting protein MGSESVVNRNSGGGGGVKAVKEVVTTAKNVVAAVKEIVNCTEQEIYDVLRECDMDPNLAVEKLLSQDTFREVRSKREKRKEMKEATETRPKSKSTGYKGSKISIVNDPGVVQSGFQHAAYKEHGKAVDKQEVGSVGASVTSSTAHYVAKNTKVDSLSTDNGRQSLVSGVSMSDTAQVSQASQPWSVGVSKGHLSMADIVRMGTASQDTISHNDCNSLGVSLSGNLESNLSLPYQNNSELQGFHDKWPVIEQPITGDAQTLNMSSSPKANGPFEHPNLHVTEVSLHKNCNLGAAQVSQEEIASGDNAISAKIESASISNNARPGSHSNSNLKNTPTSNFCSSYEHHEGVSSVVSDLQQLSMKDSNLEEDTVVLPNHLQALGAECSHLSFGTYKGVNNSASSEIFAPNKLSRSRLEMKSAAVDDSLAQFPHASSLNHGDEQFGFDVLKGTSGDQNYNPPAPWEELVKHTVSEKNLGHEYRTTASISDPSLRKSDWVTPSLPLKQPGLQRGNNSSFPGELHNNSNSQDLLAFLLAQSQRARHINAEPSRNNFPLSMSEDMEPSTFGLHNRSAPAQSFTMQPNNHFQQLPDMKAYQSVPKSQSYFANIDSQRAFSDTTAYNISPANMNYNNLLQNRNEFHMSRLPQSIASNAHGYGNLDSSVYYPEGFLSNPSVSNMNSSSNFSELLPSQYNGGHNFSSIQPHDSFSHLDYGTKSRSSFLPEKTQYTFMDQPNQASVSQYASPEYSDFYPSWSQIPEQHNQSGGVQDLLPRQLNQFWQQNY >RHN66670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16020823:16029342:-1 gene:gene14711 transcript:rna14711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb/SANT-like domain-containing protein MHPKVYQTRSSTAIDRGAADRGKYIVWTSEMDNCLTDVLAEQVEKGNKVDNILKPAAFAAALKALNEKFGMHMTKGHIKNRLKTWRKQFGVLKELISHRGFVWNKTQKMVVANDSVWNDYIKEHPDAKIFRAKSIENYDKLCIILESDQSIARISDNVTEIDVNFTVDDEEPDLVILSETQTDGNLSKHLRWTEEMDHWLGKILVDQVRKGLKIDNVFQTEAYDKAVSAMNAKFGHHLTKFHIKNRLKTWKKQYEIAKEILCHAGFKWDETKKMIIANDSTWIDYIRTHPDARTYRARVLENYEQFCTIFGHFNEPLHRNDSVPCDEPFEFESVCPVNYDSNLKDVMKHMRWTSDMDSCLSEILVQQIKLGNRSRFDHKLRPAALEAAVLAINEKFKLYMSKDHIKNRLKTWKKQYDILKELLGKSGFEWDENRKMVIADDSVWNEYIKINPDVRILKGRVIRNYEELCIIIGHIDPPGMITARAKMGMLTDDNVVEAQETNYHGTDNTTEKGKSVTWTDEMDLCLTELLVKQVMLGNKLEKNFKTSAYIATLAVLNERFDLNLTIENIKSRLRTWKKQYVLMKEMLSRGGFEWDEGRKMVVATDSTWNEYIKKHRDARNLRDKRIENYNELGLIVGNEEASGNWSEDTEMFDVNLAPNFVENADVNLTPNFEEHTGVNLTSHFEEHAETPTPMSANEEEMSHDNASDEVEGSSEQTGARPSSSHSKQPSKRRRTDDVMLQMMNVMATDIGRIADALTERNKTACLEEVVEKVQNIPDFDDDLIIEACEYLCFDEKRGLMFLNLKERLRKKWLLKCLRG >RHN82338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52922505:52923609:-1 gene:gene6498 transcript:rna6498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MATIADRQHFPNHSNFTVNINGTNITVTVTASASVVQEWINTTVSIGADLLRRYRLEVALSMDPAANTLHLCVGVRCLIFQLSRADCIPPNLRSFVYSSHCRFGGFWNRGHRQLLLSKYGLVMNYDPMDLRLLKDGLENLTTEGIIYECLGFRVDLKEEIRTSDWNQEKLSDDQVLYACLESYCALNCGVKLNLWLLGF >RHN67925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31512265:31516853:1 gene:gene16183 transcript:rna16183 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTCFPKLNTIFVVKCNKLKYVFPISIFRELPHLVALVIREADELEEIFVSESDDHKVEIPNLKLVVFENLPSLSHDQGIQFQAVKHRFILNCQKLSLTSASTLDFEYDISDLFIGKFGYAYGYGWELVQYWRILYRQLKWKHRSPDPQIHELLMKQLHQFGEIDTAVKPSQVSEISVQEGTTTSNAKRRTTSLQEYGDGEMAISFPPISITRPLTTQEVHVNNLQETSNTIDDAVIKVTSIVEEQFSKDVEFRVPESKLSPIIPSPQAFQSPPMLSGGDPSQIDEELEDLDDQMDMSYFSLLLQRPMIKKVCSNIEEQFPKVDDIIVFKSKPSPSITTSVASQFPPVPSKGVPSQKVEDFSSSLLVKRELEQLLSKKHLDYENVSLLTDFFVKHPSVRLKDTSLSNRYKGYAYNCLAELLKFLQTHSVLDVLGSSHSEFVELLQDVRKFGFDKEWLDDIEKRVLLPGLQVSQDALQKLLDSKHILTQHVEDLKHQLCHDPKIHICDRRTN >RHN70704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53332591:53339461:1 gene:gene19315 transcript:rna19315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MLAKGSELGGNIWRELPRNSSSVVSNTPQKRCFDKLCSQHVSNPAGFSTDWRYHPEMAYNRHWIRKRIFEIIQNEQFAPMLELLLLTTAASKEEYMNLETLNQRMQALVGLSKSDAAGYYDVPEGRLSDPFIVHGLPCVPDDGSPTPLLADMCVLPNLPVLYGGSNLDANTRSSSNYFPLKHANNVEMNLSKQRDCLGFIQNNESTSFPTSMGKSIQFEDLHSTFPKLVSADISEQSNLSPGTSSCLELEDTRRNKSQELDFQNSFTHAMLQPTKRQKMTEFAFDVSWVNDASSDQSTYEMAQSCSFETLSEVQQQLETIESGMIPSKGLESGMIYSRNSMEINSRPTLRKELKDIDDDEEDIQGRTGFIQEGVNAKKELIIVHVGEEDIQGRTGFIQDGINDKKEIIESKDDQEKQTKSPNTIVEAVSLIDLFTRDQIEEHISSLRKESVQITSKEEAGIDANTCQLCQRKKLYFAPVPIFCSCCGVRIRRTYFCRKEEEFDAQGCICSVCYKTSKGGKITFNGAFVSKTNLEKKNNDEVFEEPWVECNKCKRWQHQICALYNNKRDLDSSAEYICPVCRLKEIENGIHVPLPKAANFGAKDLPRTMLSDHLEKRLFERLVEERANWEKVEGNENLDKVSAAESLSIREVLSVDKQLKVNKLFLDIIPEENYPAEFSYRSRVILLFQQIEGVDICIFGMYAQEFGSECGNPNQRCVHISYLDSVKYFRPERRTKSGEALRTFVYHEILIGYLDFCKKRGFSTCYIWACAPSRKGDDYILYCHPEEQKTPKNDKLRRWYLSMIKKATEENIIVGLTNVYDHFFVPTEKGNSKVTTSRLPYFDGDYWCGYVMEAARTIEKESGGDYEKMLKKQVPNRALKTMGHANPSKDTAKDILVMQKVGQKILPTRENFIIAHLQYSCIHCHEVIVSGKRWFCTECKKFQECERCHSSDAHISKNGEVHALCQAVVDDIPSNTMHNDIILESGLFENRNSLLSFCQKYQFQFDILRRAKYSSMMILYHLNNPTLVTVGKCSICCAHNIFQKCWKCEICPECAICSACYKDRGANCHEHKLTQNELTLTQNYSTPLCQFGNRESNEKTMLKLLDVLKHASQCRATNAEPCSYPNCSQIKKLFSHARRCEIRVNRGCQHCKKIWFILTAHSRNCKDSECRIPRCRDLKKHIESKAMHSESWRGTAVIESDAAVVDQ >RHN63022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47679433:47685144:1 gene:gene25670 transcript:rna25670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative procollagen-proline dioxygenase MRIKTVKSSNWSLRTNKLTFPYVFLICIFFFLAGFFGSTLFSHSQDGDGYGLRPRPRLLDSTKETEYNLMTAGEFGDDSITSIPFQVLSWKPRALYFPNFATAEQCENIVSVAKAGLKPSSLALRKGETTENTKGIRTSSGVFLSASRDKTKTLEAIEEKIARATMIPRSHGEAFNILRYEVGQRYNSHYDAFNPDEYGPQKSQRVASFLLYLTDVEEGGETMFPFENGLNMDGTYGYEDCVGLRVKPRQGDGLLFYSLLPNGTIDQTSLHGSCPVIKGEKWVATKWIRNLDQEDDD >RHN51472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18332841:18334150:-1 gene:gene35910 transcript:rna35910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Hemopexin-like domain-containing protein MANSIDAAFRSSVTNECYIFVKDKYVVVNYAPGGKKKDIISGPTSIADGFPMFARTLFQYQIDCSFDIGNNVTYFFAGDQCAKTAYTPHSPAKARILEGPSPIIKMFPCLKGTIFEDGIDATMRTFNPAYVYLFKGDKMGTLMFGNNTIENIYKICERYYFQPFLGTVFEQGIDAAFNSNINNEVYIFKGRYYARYDMLKREYINGIIKLIGDDWLALQGIL >RHN80128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35370397:35372415:1 gene:gene4015 transcript:rna4015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAGKGGKGLVAAKTTAANKDKDKKKPTSRSSRAGIQFPVGRIHRQLKQRVQANGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTAKE >RHN70274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50169594:50173211:1 gene:gene18838 transcript:rna18838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative farnesol dehydrogenase (NAD(+)) MKKVVVTGASGYLGGKLCNSLHRQGYSVKVIVRPTSNLSALPPSTEIVYGDITDFSSLLSAFSDCSVVFHLAALVEPWLPDPSKFITVNVEGLKNVLEAVKQTKTVEKLVYTSSFFALGPTDGAIADENQVHHERFFCTEYEKSKVATDKIALQAASEGVPIVLLYPGVIYGPGKVTAGNVVAKMLVERFSGRLPGYIGKGNDKFSFSHVDDVVEGHIAAMKKGQIGERYLLTGENASFNQVFDMAAVITNTSKPMVSIPLCVIEAYGWLLVLISRITGKLPFISPPTVHVLRHRWEYSCEKAKMELDYKPRSLREGLAEVLIWLKNLGLVKY >RHN68649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37445077:37454489:-1 gene:gene17027 transcript:rna17027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-lactamase transcription factor MYB family MATLTSLPPLPHSLLSLRSKPTRLSVSASALSASGNDGSTSRVPQKRRRRIEGPRKSMEDSVQRRMEQFYEGNDGPPLRVLPIGGLGEIGMNCMLVGNHDRYILIDAGIMFPDYDDLGVQKIIPDTTFIRKWSHKIEALVITHGHEDHIGALPWVIPALDSNTPIFASSFTMELIKKRLKEHGIFLPSRLKIFRTKNKFVAGPFEIEPIRVTHSIPDCCGLVLRCSDGTILHTGDWKIDETPLDGKVFDREGLEELSKEGVTLMMSDSTNVLSPGRTTSESVVADSLLRHISASKGRVITTQFASNLHRIGSVKAAADLTGRKLVFVGMSLRTYLEAAWKDGKAPFDPSTLVKAEDIDAYAPKDLLIVTTGSQAEPRAALNLASFGSSHAFKLTKEDIVLYSAKVIPGNESRVMEMMNRISEIGSTIVMGRNENLHTSGHAYRGELEEVLRIVKPQHFLPVHGEYLFLKEHESLGKSTGIRHTAVIKNGEMLGVSHLRNRRVLSNGFISLGKENLQLKYSDGDKAFGTSGELFLDERMRIALDGIIVVSMEIFRPKNLESLAGNTLKGKIRITTRCLWLDKGKLLDALYKAAHAALSSCPVKSPLPHMERTVSEVLRKMVRKYSGKRPEVIAIAIENPGAVFADEINTKLSGKSQVGPGISTFRRSVDEHRKENQSTALQIRDDGIDIEGLLVEIETITTAAEGDLSDSGESDEFWKPFIASSVEKSIKANNGYVSRKEHKSNTKQDDSEDIDEAKSEEMSDSEPESSKSEKKNKWKTEEVKKLIDLRSDLRDRFKVVKGRMALWEEISQSLLADGISRSPGQCKSLWTSLALKYEEIKNGKDSRKNWQYLEDMERILSSDETPATN >RHN51764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24644530:24644928:1 gene:gene36282 transcript:rna36282 gene_biotype:protein_coding transcript_biotype:protein_coding MALTWIDALLQLNVDEMHMEVDSHTQQTIIINTMQTNKMLMLILHTFFFCFIITSSIQSNETLVSSSGAFETEFFNFVISQLQYFSMSNVSCHFVHASHLLKVFSSADDLYKASDNKHRLWCRPTKYIGLFK >RHN66018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7698025:7700902:-1 gene:gene13928 transcript:rna13928 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKFEHPSVDVLSIKYLSVLVNVDLIRLFSKEEVKQVAWCNRDNFKNPRSDGIDFGFIKEIWGDIKQDFMIFLSKFHRNGILAKCMNYTFIGLIPKVENPQRLGGFRPISVVGCLYKVLANVLVNESPTDDFL >RHN57788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41732694:41733917:1 gene:gene33375 transcript:rna33375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTSNSCSSDIVSGCYIHDDVAFSILSKLPIKSLKRFECVRKSWSRLTEDSSFMTMYSKNLIISQPYEGGTALLIYINSEPERFHFLSGERFENSVSFISPVNSAVEINGFASVNGILCFHYGLFEKSISLWNPITEESKLIPSSRTLLPPIVHKFKAADSFLHHTMIHGFGYDSIADDYKVICLETFEPLFRNDELSKKHSFLLQHKSLQPFWQIYSLTSNSWKKLHVNMPRASISDGNFQVYMDGVCHWLSMPHWFCYPLKLYVGTCMVSFDLNNETFLVTPVPSYVILTRTQLLVLNDSIALISFPDHTQTFHISILGEVGVKESWIKLFTVKKPCACVGIPMGVGMNGEIVFANKDNELLLFDLNTKKIVELGIKRRGEWCLDQIKVYKKSLIPIKRNLLFSYR >RHN58435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1705422:1706154:1 gene:gene20350 transcript:rna20350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MIVLQKYLFDCIYFTLLQFGTDLPQLRKRSRSSSSSWGRSYTNTARPLNEIKKATDQERKRALDAAEALQINLQSSNPSLIKSMVWSHVYRGFWLGLPRRFCEEHLQKVDYKMVLEDEKGSEHDAVYLRKKTALSGGWRTFALKHKLDDGDAVVFELVEAARFKVLYVANFHPALF >RHN63669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53063805:53066674:-1 gene:gene26396 transcript:rna26396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geranylgeranyl diphosphate reductase MSSIALKSFLGLRISSPETPQFSYRPKPITHRRKFTVVAAATSPKIDGRNLRVAVIGGGPAGGAAAETLAKGGIETFLIERKMDNCKPCGGAIPLCMVGEFDLPLDIIDRRVTKMKMISPSNVAVDIGRTLKSHEYIGMVRREVLDDYLRNRAKENGANIINGLFLKMDIPKEKNAPYVLHYSSYDGKTGGVGEKTTLEVDVVIGADGANSRVAKSIDAGDYEYAIAFQERIKIPDDKMAYYEDLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKGDIKKFQLATRKRAEDKILGGKIIRVEAHPIPEHPRPRRLSGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVQGSANGKRMVEEGDLRKYLEKWDKTYWPTYKVLDILQKVFYRSNPAREAFVEMCADEYVQKMTFDSYLYKTVVPGSPLEDIKLAINTIGSLVRANALRREMDKLNV >RHN44773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8335730:8338386:1 gene:gene38934 transcript:rna38934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MINGLCKARRLADARRVLNEFCDFGFEPNAITYTTVMKCCFRCGRLEQGLEILSEMRRKGFTFDGFAYCTVVAALVKTGRIEEADEIAEKMMSNGLVPDLASYNTMINLFCRQGRFDEALRLVDEIEKQGMKCDQYTHTIIIHGLCKDGNFEGAEKHLDYMNTLGFGFNLVAFNSILDCLGKAGDIDKAVKVFDSMEVKDSFTYTSLLHNLCRAKKFRIASKLLVASIEDGFQILRATQRAVIDGLTTSGLVYEARKVKLKIQRARLVH >RHN79274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26913866:26916300:-1 gene:gene3042 transcript:rna3042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator C3H-WRC/GRF family MRIRKAYSTLSDLNMINRSPVVVQLNDATTTSPKLSCTHDLATALLSLSDHRQPSDQRLPPIGKPTNGCDDSLSQHKKQEILVEDGRGQVKEGGQKGNDTRNGSILSAQTSTEVFSPSRPSSHKQDWRWNDGDKAFPLKKRRGNFATNSNDNAKKMKTNTKKKCYDSNEWDEEEDHREEEVVMVRETKQKKVRGGALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRNRSIVAEKNSIENPISQTEPPSLEKLTKFDGEDKDEKKPLIIAKKRMKIGMVKARSMSSLLGQTSSDLVVAENNK >RHN49870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1836191:1837433:1 gene:gene34095 transcript:rna34095 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFSPQAIFGKLIGNTVCAWSVRLKGAVYVTSFKPLQIVISAGLGVIFLGDTLHVGSIIGATIISIGLYAVLWGKATEEIEEDVGSLESPSNENAPLLQSSRTQTFENKTNGNV >RHN52595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36970703:36971041:1 gene:gene37289 transcript:rna37289 gene_biotype:protein_coding transcript_biotype:protein_coding MKKESTPSLMTRKKPNHHFVHLSMSSITQDLPLSCSQKTMQILHFAWKYFHISLTPFGGGTAIHLLFRFFTT >RHN41961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34364582:34366612:-1 gene:gene48341 transcript:rna48341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiosulfate sulfurtransferase MGSIGIESSKTEVVTVDVLATKSLIKTTHVYLDVRTVEEFQKGHVDSEKIINIAYMFNTPEGRVKNPEFLKEVSSLCNKEDHLIVGCQSGVRSVYATADLLAEGFKDVYNMGGGYLEWVKKEFPVKIHVTE >RHN40288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14903063:14905242:-1 gene:gene46426 transcript:rna46426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MATAVIKVAALSGSIRKVSYHSGLIRAAIELSKGATEGIEIEFIDISTLPMYNTDLENEGTYPPLVEAFRHKILQADSVLFASPEYNYSVTAPLKNAIDWASRPPNVWAGKAAAIISTGGDFGGGRSHYHLRQVGIYIDLHFINKPEFFIRAFEPPAKFNTDGDLIDEEAKNKLKQVLLSLQAFTLRLQGKN >RHN45716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24953651:24953896:1 gene:gene40103 transcript:rna40103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family MVIFITAVVEIMLSLITSCNGVTLVDYFFKSMHYSVAESSNMVTNFLGTAYLLSIIWGFISDSYITRFTTFLVSGTLQLMV >RHN72310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6672878:6678724:1 gene:gene8008 transcript:rna8008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MGGCFPCFGSSNKEDTNAVKEVSKKESFKEASLPQSHHPTRVSSDKSKSKSVSDSKKEKEAPVPKDGATAHIAAQTFTFRELAAATKNFRPECLLGEGGFGRVYKGCLESTKQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPEKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRGHGEHNLVAWARPLFKDRRKFPKMADPLLQGRYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQAFDPNAANQSNRVGPSTPRLRDDRRSMADSVDSPDRARLGSPSTRRNSPDLRKRDGRDPSELSRIDTGGGSGRKWGVDDLERHDSQRDSPVNTGRARETPRNRDLDRERAVAEARVWGENWREKKRGNAVGSFDGTNE >RHN68632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37307081:37307446:-1 gene:gene17008 transcript:rna17008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MRAFHKVELHIDFSVVASSLALREGGSVDCWSLLQNIIRLLELEWEIKIRHSYREENKYVDVLANKACDGGYSLMLYEHCPAKINLLFLADSISISTPHFVKQFFFFRATTLLLSKKKKKY >RHN63295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50150215:50155458:1 gene:gene25980 transcript:rna25980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MEASGGVSGGVTVVGSDAPSEYHVAPRTDNQTPTTGSAVQLLAAVQAGAPPQQPPYTAVLTAAPAVTTVPEKKKRGRPRKYAADGSVTAALSPKPISSSAPLPPVIDFTAEKRAKVKPVSSVSKANFELENIGEWVPCSVGSNFTPHIITVNAGEDVTMKVISFSQQGPRAVCILSANGVIKSVTLRQPDSSGGTLTYEGLFEILSLSGSFMPNESGGGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQVVVGSFMAGNQHEQKPRNQKHDVVSTVSSPAVVPIPTLDPIPILSSVTSFRGDNWSAVPASDAKDKPATDINVSLPGG >RHN40155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13705501:13711326:-1 gene:gene46269 transcript:rna46269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MSINSGCSGSGCSGGANGGACGPCGACKFLRRKCIPGCIFAPYFDSEQGASHFAAVHKVFGASNVSKLLQNVPVHKRLDAVITICYEAQARLRDPIFGCVAHIFTLQQQVMSLQAELSYLQGHLATYEVPQPPTPSPPQALPMVSLANLPLAPSSAMPDTYDLSSLYEVPQTSSWVMQQRTNEPRQYLGSDTSSTSNNGGDLQALARELLHRYGSIPHVACSNAPSSHSLSK >RHN82023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50641418:50642779:1 gene:gene6139 transcript:rna6139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MEKNGKVLMNKYEFGKKLGQGNFAKVYHARDLRTGDNVAVKVIDKEKVLKVGMRVQIMREISIMRKVKHPNVLRLYEVLATKTKIYLVLEYAKGGDLSEKIDKVQINENQARKYFQQLISALDFCHNKGVYHRDLKPENLLLDENGVLKIADFGLGTFVESHRRNIMLQTLCGTPDYVAPEVVLRKGYYGEKADVWSSGLILFALLARGLPFYDLSERKMHRNIIHGKYNYPSWFSIDLKRLLTKILNPNLSARISTTKIMENPWFRKGLHLKTVKVERDVPDAAADFESDKSIELYENESVVVDPNQESVQPKYLNAFHILSLSARLDLSVLFERNDDEIEDIKFTSVSSASSIISTIEDIAHILKMKIVKNNGEMLKLERLQDLPKGPLTISAEIFEFAPSFFLVELKKSCGDALDYHKILNEHIRPALKDIVWIWHSEQHHNISKMVSST >RHN39131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4336093:4336629:1 gene:gene45130 transcript:rna45130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MAESNSKQRKALEEEDRISNLPDDVLNHILSCLLTKTAVTTGCLSHRWRHLWQHLRVLDFYDDSLYSDNPIELKKFVFLVTGVLTLLPNPRGIRKMRLHCAHSVINDDNFHDHSLDTWVCPVIGPYLEELDLDLYIDDENAPDFKLPLSLFTCPNLVSLRHVFPFFIACFYFLNNKCQ >RHN45947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27154094:27155253:-1 gene:gene40377 transcript:rna40377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MTEARWLNENHIPTTEEYMRISKKSGAYPLLILTSYIGMGDIATKEIFNWVSNEPRIVNAAATLCRLMDEIVSSEFEQKRGHVCSLLDCYMKQFDMSREAAIQECKNRMTIVWKDINEECLRPTEVPMPFMTRVLNLSRFMDVIYKNKDNYTDSDGLMKTCIKEVLVDPVPI >RHN47738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42112417:42122266:-1 gene:gene42371 transcript:rna42371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MNKYALMMNPLARSVEELLPHSISSTNWCFILLRTALVISTVCAAFVIPFFGLVMALIGSLLSVLVAIVLPALCFLKIVGKKATSTQVVLSVVIAAWGVVCASLGTYSSLLKILQIS >RHN55125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15356316:15368199:1 gene:gene30256 transcript:rna30256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEAVVEVVLDNLSILIRKELGLFLGFDQDLKRLDSSLKTIKATLEDAEEQQFTNNERGSAIKVWLLNLKDAAYILDDILDECATEALEMEYKASKFGLSHKVQSFLFSSFHPKHVAFRYKLAKKMRRIRERLDQIAFEKSGFHLTEMVRERRGGVLEWRQTTSIINQTLVHGRDEDKDKIVDFLIGDAAKLENLSVYPIVGLGGLGKTVLAKLIFNHESIVNHFELRIWVYVSEEFNLKRIVKSILETATKKSCKDLDLETLQIKLQKVLRTKRYLLILDDVWNDKQEKWYDLKSLLVCGGKGSSVLVTTRLAKVGQIMGTMPLHDLSRLSDKDCWKLFKQRAFGPNEVEQEELVVIGKEIVNKCGGVPLAAIALGSLLRFKREEKEWLYVKKSKLWSLQGENSVMPALRLSYFNLPIKLRQCFSFCALFPKGETISKKMIIELWICNGFISSNQMLEAEDVGHEVCNELYWRSLFQHTETGEFGQSAVFKMHDFVHDLAESVAREVCCITDYNDLPTMSESIRHLLVYKPKSFEETDSLHLHHVNSLKTYMEWNFDVFDAGQLSPQVLECYSLRVLLMNGLNNLSTSIGRLKYLRYLDISGGHFDTLPKSICKLCNLEVLNLDHCYFLQKLPDSLTRLKALRQLSLIDCDSLTSLPPHIGKLTSLKTLSKYIVGNEKGFKLEELGQLNLKGELHIKNLERVKSVTDAKKANMSRKKLNQLWLSWERNEASQLEENIEQILEALQPYTQQLHSFGVGGYTGARFPQWISSPSLKDLSSLELVDCKNCLNFPELQRLPSLKYLRISNMIHITYLFEVSYDGEGLMALKSLFLEKLPSLIKLSREETKNMFPSLKALEITECPNLLGLPWLPSLSGLYINGKYNQELPSSIHKLGNLESLHFSNNEDLIYFSEGVLQNMASSVKTLGFHHHSELKIVPAQLIHLHALEELYIDNCRNINSLSNEVLQELHSLKVLDILGCHKFNMSLGFQYLTCLKTLAIGSCSEVEGFHKALQHMTTLRSLTLSDLPNLESFPEGFENLTLLRELMIYMCPKLASLPTNIQHLSGLEKLSIYSCPELEKRCQKEIGKDWPKIAHVEYIDIQNEEVMYGGHGGGYFDGDAGFLWSSL >RHN61290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34512366:34513261:1 gene:gene23735 transcript:rna23735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MALNIYMLLEIITMNLYRYRLELQVNDSTESTIFVLFAEVAEQLAQLKLDDLTPDLENTGRDSDLPKQLQHIIGSKHIFQVKLSSYFERRGVQSFTAHKILKPVVKVFSYIMFPFFYSSLLETIIY >RHN80672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39949198:39955477:-1 gene:gene4627 transcript:rna4627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase NEK family MEQYEVLEQIGKGAFGSALLVRHKHEKKKYVLKKIRLARQTERSRRSAHQEMELISKLRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKTNGVLFPEEKLCKWLVQLLMALDYLHMNHILHRDVKCSNIFLTKDRDIRLGDFGLAKMLTSDDLTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAGHKPAFKAFDIQALIIKISKSIVAPLPTKYSSSFRSLVKSMLRKNPELRPSASELLGHPHLQPYVLKIHLKLNSPRRNTLPAHWQESKYTKKTRFLVPEDTVRDKRYSYSNDRTLNPSVSGADQDSVCSTLEIGCTPDHLNQRLAELCTGDSRDMKLVHKPVVSRTSSIAKAPKFTSSKVSGTNRKSMESSNNRKVVKQTLPVSHSITKSAHTNRRASFPLPTRGGIREPPSRKSVGLLSHVSSPDISVNSPRIDRIAEFPLSSYDDCLFPVNKMSTSAQGSSGFPSHSTVIDKCTIEVCDRASVKPSSTDDWQGIKRSMLKEIHEDKSGSSDQNATAGASSYTSSDLQRRQFDTSSFQQRAEALEGLLEFSARLLQQARYDELGVLLKPFGPEKVSPRETAIWLSKSFKGNTNNTEESP >RHN63177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49099351:49106211:-1 gene:gene25851 transcript:rna25851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (S)-ureidoglycine aminohydrolase MRTIFLLLLISGLFRYSLAQEEGFCSAPSVLSKESKSKPLYSKIYDSTLSPLNLQNLPGFTRSVYKRDHALISPESHVYGPLPDWINTSGAFLISPEMGSHFIMYLAKLKENSRSGLPLHDVERFIFVLHGAVTVTSAHGESHILKVDSYAYFPPNFEHSIESDAPAIIVVFERRYAHLSNHVTEPLVNSTDKQPLLETPGEIFELRKLLPMSLAYDFNIHIMDFQPGEFLNVKEVHYNQHGLLLLEGEGIYRLGDSWYPVQAGDVIWMAPFVPQWYAALGKTPTRYLLYKDVNRSPL >RHN69782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46240367:46245713:-1 gene:gene18296 transcript:rna18296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADPH:quinone reductase MEALLCRKLGDPTVSVEDENSPIIISKNHPIPQLDSPTSVRVRIKATSLNFANYLQILGKYQEKPHLPFIPGSDFSGIVDSVGSNVTNFRVGDPVCSFAALGSYAQYLVVDQTQLFRVPEGCDLVAAGALAVAFGTSHVGLVHRAQLKSGQVLLVLGAAGGVGLAAVQIGKACGAIVIAVARGAEKVQLLKSMGVDHVVDLGNENVTESVKEFLKVKRLKGIDVLYDPVGGKLMKESLRLLKWGANILIIGFASGEIPVIPANIALVKNWTVHGLYWGSYKIHRPAVLEDSLKELLSWLAKGLISVHISHSYGLSEANLAFTAIRDRKAIGKVMIVFDEKITRSKL >RHN41342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29298181:29299440:1 gene:gene47652 transcript:rna47652 gene_biotype:protein_coding transcript_biotype:protein_coding MESENNLKKKQKLEVSDILKAAIIIYVKNFNFMIFTFLTSLPLFCIMVYFEIQLQETIVETFHIVNSKDVDVHHSYTGSILDYFMNNYYYLKLIQLGLIYIFPLHVLEFGTAIITVDLASKLGSQQEKKMTLKVMFEKSLDSSKLRGSFLTFIYVVFLTTTHQLGLLWIVINCYFYLKDLSFVVFALICSMLFAKLLKMYLEWSSIWNTSLVISILEGIYGIDALVLSLNFSRGCQRKGLFLMLIFFAWGQFLRFFCYYVGGYEQGNGIFIQVGLFCMVIPLKWVVFMIYFHDCKERYLEKKMDEELGKDVRGVSE >RHN59634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12564564:12565847:1 gene:gene21700 transcript:rna21700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MNPNFRMTDPFNPVHIMSFSGARGNASQVHQLVGMRGLMSDPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTSDAGYLTRRLVEVVQHIVVRRTDCGTIRGISVNTRNGMIPERILIQTLIGRVVADDIYIGSRCIVVRNQDIGIGLINRFITFQTQPIFIRTPFTCRNTSWICRLCYGRSPIHGTCPSNGKIKFNEDLVHPTRTRHGYPAFICNIDLYVTVESDDIIHNVIIPPKSFLLVQNDQYVKSEQVIAEIRAGTSTFNLKERVRKHIYSDSEGEMHWSTDVYHASEFLYSNVHILPKTSHLWILSGKSCRSDTIHFLLRKDQDQINIDSLSTGKRNISNLLVSNDEVKLKLLSLKTFGTKEKGLAIIQY >RHN69533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44190140:44190590:-1 gene:gene18013 transcript:rna18013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cathepsin propeptide inhibitor domain (I29) MKEAFVHGDANFFELFESWCEKYGKTYSEEEKRYSFKVFKDNYPVKAPDKSYLCLAFLNEYGAIDHPNGSSHPLDFMAPVTIVNPLNPIFHRAPCICIMTETL >RHN50896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11561164:11563225:1 gene:gene35246 transcript:rna35246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone 7-O-glucosyltransferase MNDTIVLYPALGSGHLMSMIELGKLILTHHPLFSIKILILTPPTKNTNTNKDTLSPQEQYIVNVSATFPSINFHYIPPISFSTTLPTHLLTLEVSHKSNHHVHNILNSISKTTNFKGIILDFLTYSASQVTTNLEIPTYFYYTSGATILSTFLYFPTIHQKATKPIKDLHMPLKIPGLPKNFSTDDYPDEAKDSESKGCKILLDSAKTMRECAGIIVNTFDAIEEKAIKGLNEGVCVPDGTTPSIFCIGPLITSSYGGDENGCLIWLDSQPSQSVVFLSFGSMGRFSKAQLNEIAIGLEKSEQRFLWIVRSESNLEELSLDDLLPEGFLERTKEKGMVVRNWAPQDAILSHESVGGFVTHCGWNSVLEAICEGVPMIAWPLYAEQRLNKMILVEEMKVALELNGSKKGFVSGNELGERVKELMESEKGKEIRETILKMKTSAKEAKGGGGSSLVDLKRLGDSWREHASLTSLSPNSPLVFA >RHN78331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14196595:14197038:-1 gene:gene1873 transcript:rna1873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alkane 1-monooxygenase MEDDTFPNGIKLIKETKVIYAIFSMGRMESIRRKDCSEFKPERRLTKDIYFMSEAYYKFTIFNGGSRLCLGKDFAYYQTKYVAASIILFCYDVKVVLINWIFHNCIVYSSFMSNFSSFFSVCLCICFFNWNKEFTMNSLFLLLKSSH >RHN61799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38460278:38462775:1 gene:gene24296 transcript:rna24296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MTQCSDPQNNVVITVDGRKDSLIRTCLTCGHHIKGQDQGGGINDLPGLPAGVKFDPTDQEILEHLEAKAKSDIHKLHPLIDEFIPTLEGENGICYTHPEKLPGVSKDGLIRHFFHRPSKAYTTGTRKRRKVHSDHEDGSETRWHKTGKTRPVYNNSKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKEGVLVVSKVFYQTQPRQCGGNSLIMKDSILGKTMKGQSGNEVMNGKNSTNGSFVEYYHSNFISFDQGNQHRSSNAQVISHFQGAPFNP >RHN52693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37803254:37805105:-1 gene:gene37391 transcript:rna37391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MFLHNSTRISNELGAGCPNAAYLAVIVTLFMAFTCGILEFSFIMSAWKLWGKAFSNVHEVVTYVTSMIPIVATSAFVDSFQTPLQGVARGCGWQKLGAFVNLGAYYLVGIPISVVLAFVFNMKGQGLLLGLLTALIVQVMCFLIVTLRTNWEKEANKAAIRVAGSGVQVNALSLDQNAATP >RHN49457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54938909:54939427:-1 gene:gene44290 transcript:rna44290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MENDILEALLSVTLLAFATTTCSLLITCFIVHTYRRFLSNSSSSLSERENLRYDVDTMMVEEEEAPQGLHEFVINLIPSFIYNTTTKSEQETCSVCLTEFKDNDHVRTLPLCSHIFHHDCIDVWLRSNTNCPLCRSLICCHLCCLLTSIANKDGRVDFPVLPPYSFASERSL >RHN78171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12452488:12453243:1 gene:gene1696 transcript:rna1696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MAASSPQSSTTISNHQQELPLKEIPGTYGLPFIGPILDRHDFFYNQGRDKFFSTRIQKYNSTVFKTNMPPGPFISSNPRVIALLDASSFPILFDNKKVEKYNVFDGTFMPSIDFTGGYRVCPFLDTTEPNHALIKGLLLNVLLSGKYSFIPLFKTILSDGFNEIEEGLSGKSGKADFNSIMSVASFNFMFRLFCDNNDPSETILGDQGPKMLDTWILFQLAPIETLKPPKLFNYLEDLLLRTVPFPACLTR >RHN81728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48503161:48514426:1 gene:gene5813 transcript:rna5813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative timeless protein MDTDGLSLICSGLGAIEEDDEGKRIGYSKGEYCLDNLKDLLRFLRRDDPQSRDVFKLVCKWNIVSKDLVPIIEHYHQDRSMLLNAVKVLVFLTMPIEPGSTEVSQQLEYLWDLKSAVTNGDVVTVIVSILEKPLENLELNKFTEDDWKLVQLVFTLFRNILAVQEIPLHLKSAGSASHFLSLRDKFLDLLFRENVMDIILVVSQNVGSSNVYLRQDNLLLLEIFHYIFMGQDPELIIRGYSNGSKADEDSQASLDSLQSIMEEEKKKRIISRLGNIGRHSQFNATFARVTMDGSKAVVKGNPNSSHNMHLKSQNVTRGRAKTIAWDHPKLPSTTDTILELLRGFVDQFLSVGYNVLMRSVREDIEKEHPAVQKTDVVFFFQVAEFVTSFQFYKHSTSKIKEERHAFDDNDADASDYSGKICGPIEASLNESMFQLVISKWRQAYDGLKETNDYMFLSAAGSLLKNMIRMLDLVLKLLPEDSKEPQTARILLYKLFYDQTEEGMTQFLLNLIKTFDTHKQCKSALADLVEIICKVVKLMDHLQSRGTLRVSKKARKLKKKISSARESENKPTGDQSCIQKEASISIDNQLAENQLLQKESIPNANSTEQEDTPHDNEHQNLEKDVNSQVRLKPMENRNLDDNGDNNVKRDVNFEIGVESVRNTNLDDNKNEDVEEDVNSQVGMKPMENTNPEHPNEDMLDDTGDFSEDEQINAVSEVDFNVSTLVSAFANHSIIQKLCWLLKFYKSNSLAINHYIISMLRRISDDLELHPMLYQLSLLTIFYDILAEQKSRPCEEYASIVDFLNSLVRKMLKKMKKQPLLFVEVLFWKTRRECHYINAEYLLDELGHLKNETKNWNDTQGDGEIGSSPGKPWTRRSLADALGDDEADVVISHDSRYQNNGEKLDDIEGIASTSGSKNDRDDNNGEPWLEDEYQTAPRRKKKLILDAELEIQIKDLYEKFKDDRNCSRRIAEVLDPDGKISTAQISSKLKKLGLTIASRKKKGDADETFSTSPNQLEGGGLAGGVNHTSVEGSLLIQHRQKRKRVSAFNEDQEARIKVLFEDFKDHRRCSYMIANALDKDGKFTPAQVSRKLKQLGLCVPQKSFRGKNHQKGEDLMDGSNDRMHESDEDTLISLVKRKKGKNRKESSEQLREQTNEDKLSKDDSDDEMLASILKKKGKNRNISSEQLQEQTGEDKLSKDDSDDEILGSILKKKVKNRKESRKQLQEQTGEDKLSKDDLDEEILGSILKKKRNGSVSSDYLHESTNEDELSRDDSEDEMLQSAPNENQGGFVNSHQVENMQVDPDLEDSEDEVAVDTLPDNAVSRRKLRMVVDLEDDD >RHN64817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62160639:62161161:-1 gene:gene27681 transcript:rna27681 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRQWPKGGLNVKGRKKRAKVCLAKNANVGKTSLQKKLRELQGTVPGSLQGLDTNNLFHSIEKYIHLLEAKVTILRCLSNLYGV >RHN74440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33359288:33360200:1 gene:gene10514 transcript:rna10514 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFSYWDDCVDPQDLEAMWNVPEVSAEWLKVGEERGRKVHLSRDPDGQPYLTQTEMRVLHITLC >RHN45502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21611462:21615638:-1 gene:gene39853 transcript:rna39853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MHFRIIPSNRSLLSISIFTSHSPSSSSPLRCFCSVQTQKINNEVEQQQQPRSRNNSKTAKTIANLINYKPWSDELLSSFTTSLSKTTVFQTLRHIKVPTKAFLFFNWIHEKGFSHNPQTYFIMLEILGREKNLNIARNFLYSIEKRSNGEVKLEDRFFNSLIRSYGEAGLFKESVKLFENMKLIGVSPGVVTFNSVLLVLLKRGRTNMAKEVYDEMLKTYGVKPDTYTYNILIRGFCKNSMVDEGFYFFKEMTSFDCDPDVVTYNTLVDGLCRAGKIKVAHNLVNGMSKKCKDLSPDVVTYTTLIRGYCRKQEVDEALDILEEMNGRGLKPNIVTYNTLIKGLCEAQKWDKMKEILEQMKGDGGSIPDACTFNTLINSHCCAGNLDEAFKVFENMKKLEVSADSASYSVLIRTLCQKGDYGKAEMLFDELFEKEILLSSYGPKPLAASYKCMFQYLCENGKTKKAERVLRQLMKRGTQDPLSYQIVILGHCKEGSYENGYGLLVWMLRRDFLPDIDIYDYLIDGFLRKDKPLLAKETLEKMLKSSYKPQTSTWHSILNRLLEQGCVHESAGVIVMMLERNIRQNINFSTKCLQLLFDRGLQDKAFKITELIYKNGFCVKMDEVVQFLCNKRRALEACKLLLFSLKNNENIDIGLCNIVILDLCKLNKASEAFSLCYELVDKGLHQDLICLNDLVAALEAGGRTEEAAFISKRVPRPELRQI >RHN59983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15989998:15990369:-1 gene:gene22160 transcript:rna22160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MRLHFIVFLLVVLLTSTIVRNQALSSQWNPIKHLNDKHVIDIATYAVAEIDVPSHKDYKLKSISSGETKTLIDEVGTFYHLKIGAGYKDHVDFYDVIVLENLKYKFKSLIYDELKPRHN >RHN58829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5279941:5280597:-1 gene:gene20782 transcript:rna20782 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSAGGSSSNMDIDTPAYEIKGRTMSIEEWELIIQAENPVDFASLTHHGCDLVRFYKKQKLMGYFSLLNGPTYEVLVRQFWVRASVFDKVAAKQEEAQMILVDPTLEGKTREEMGLLAFTGTEIRSNVMGIPVTINEQVIAQAMRRDASGTYDGEEIPNPRTSPWKEIVNNTIYGSKDAKPYSTLSMEKKMLLKIQNENIFPKGGGNDQPSPRHT >RHN64057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56241474:56242189:-1 gene:gene26835 transcript:rna26835 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWVLNPTAHTSLDEILPCIEIESTHETFLQSKSVIYSLANSINGFISIILNGNSMSHNQSAPSMPLLCNPFNSDFTVRDCAAGEVAFENATEAWKNYTCQVSPLDNYGTTGCMAPYLYTQLATAVEVAYGLYHYGPFLVDLMDCTFVRKMFVDINNNYCPGLERCTKYIYWGSNVVSVAAVLSLVFWIVYERQLHHRNYIKQS >RHN80606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39363189:39364721:-1 gene:gene4548 transcript:rna4548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MVAFEELPEGCIAAILSCTTPADAGRFSVVSKTFRCAADSDAVWNHFLRYDSQFIDFIISHSPPSIANTPTKKALFLTLSDRPIIIDNAQKSLQLDRKSGKKCYMLAARSLAIAWGDDDRYCNWIAVPDSRFPKVAKLLHVRWLEIHGKINTNALSPNTQYAAYLVFKMIAAFGFENHPLDLLVRVEGSHRSTKIVCLDTTLVRRNKELICLRLSGASMSHSKVVGLRRPSVRRDGWLEIEMGEFFNLCLGGVEVHISVIEIDGDLPKGNFFLEGIEVRPKEDN >RHN70342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50760980:50763870:1 gene:gene18912 transcript:rna18912 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNRFIPNDSVDNGDSPLSPRSQWFSYIASLTQNQNQNNNHNQNPFMSQIENPNQTLEQAFSRLSVANPNPNQSFGYGVANNLNDYDYSGLGVSVNPYYNYNYGIQLSNPSQPDFNRPVRNNNNIAYGRGISSPSPRLSSYDVNQIRYNHLNGGIGGGGYGNDMFYGSEELMRNFQCALRNESSVPTWVNDGVNVNNVNVNGMSAHWFDEFRGRVYSLAKDTHGSKILQEVMENLGPEGVSYFFLELINHMCELMVDPIGYEVITKMVEVCNQDQKTQIVLLVTHHGAQFIRICLSLHGSRSVEKLLEKVTTREQRGLIMSALTPGAIVLSKDINGHRVVFNCLKNFPHADTEKFLGVIARNSLSLSRDKTGCCVLQYCVSHAQGATKNLLIHEIILHAPLLAEDCYGNYVIQHLISLKIPTVSGNLHHQLQQQFVSLSCNKYGSNVVEKFLHDSGVDISSCIIIELLNDPNVTRLLTDPYGNFVISTALNKFKGARFIKNALEELVEANSQMMRSNMFGKKVLDKFEGKNRRNNI >RHN41546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30959570:30965701:1 gene:gene47880 transcript:rna47880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MGGTPGEKEEKVSLDLSEEILLSMEVGMSFKDYNGRISSMDFHRASSYLVTASDDESIRLYDVAAGTSLKTINSKKYGVDLVCFTSHPTTVIYSSKNGWDESLRLLSLHDNKYLRYFKGHHDRVVSLSLCPRKDCFISGSLDRTVLLWDQRAEKCQGLLHVQGRPAISYDDQGLVFAIAFGGYIRMFDARMYEKGPFELFSVGGDVSDANVVKFSNDGRLLLVTTTDGHIHVLDSFRGTLLFAYNVTPVSCNSTLEASFSPDGMFVISSSGDGSIYAWSVRSGKEVASWRSATSDIGPPVVKWAPGSLMFATGSSELSFWVPDLSKIGAFVGKK >RHN40238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14518903:14521081:-1 gene:gene46361 transcript:rna46361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative annexin MATLIAPMNHSPKEDADVLWKAVKGWGTDESAIIAIMGQRNAVQRQQIRQAYQDIYQEDLIKRLESELSGNFEKAMYRWILDPADRYAVLANVAIKSINKDYHVIVEIASVLQPQELLAVRHAYHNRYKNSLEEDLLVGLVSSFRYDGVEINPILAKHEADILHEAVKNKKGNIEEVIRILITRSKTQLKATFNRYRDDHGFSISKKLLNEASDDFLKAVHVAIRCIDDHKKYYEKVLRGALKRIGTDEDGLTRVVITRAEKDLKDIKELYYKRNSVHLEDTVAKEISGDYKKFLLTLLGKGH >RHN79356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27986853:27998557:1 gene:gene3135 transcript:rna3135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pigment precursor permease, P-loop containing nucleoside triphosphate hydrolase MERGNTMGISRTQSDQLAESMVAALKSPQSSDHSTNGALEGSGGLSRKSSRRITAASPGRGGSKNSHIRKTRSAQLKIDFDELGSGAALSRASSASLGLSFGFTGFTMPPDQIADTKPFSDDDMIPEDIEAGPRARIKFQTEPTLPIYLKFTDVTYKVVLKGMTSSEEKDILYGISGSVNPGEVLALMGPSGSGKTSLLNLLGGRISQPTIGGSITYNDQSYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAARLRLPKTLTKEQKEQRALDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSILFLDEPTSGLDSTTALKIVQMLQDIAEAGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEVMNYFQSIGCSPLISMNPAEFLLDLANGNINDVSVPSELEDKVQIGNAAVETYHGKPSPADVHEYLVEAYESRVAETEKKKIMVSVPLDEDLKAKVVSAKRQWGARWDEQFSILFWRGIKERRHDYFSWLRITQVLSTAIILGLLWWQSDAKNPKDLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRLSAAPFFLTILTVFLCIIAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGFFVQKVPIFISWIRYMSFNYHTYKLLLKVQYEHLTPIVNGVKIDGGLTEVVALIAMVFGYRFLAYLSLRRMKLQ >RHN67145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24311980:24319420:-1 gene:gene15294 transcript:rna15294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Rcd1-like family MNNNSSFGSIPPSPASSNSSSMASSEQLVLELSNPELRENALLELSKKREQFQDLAPLLWNSFGTIAALLQEIVSIYPVLSPPNLTPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSSCLREDPTTRKWLQQLIHNVGGNRVPALQGGGGFDHLMVN >RHN77536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7526183:7532127:-1 gene:gene1001 transcript:rna1001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MDAAVVTGVTIGVSLSTSRRLTRFSKKPNRLHSSAVSATSKWAERLISDFQFLGDTSSSSSTTTSATVTLTPSYPPPIERHVSLPLDLYKILGAETHFLGDGIRRAYEAKFSKPPQYAFSNEALISRRQILQAACETLADPASRREYNQSLVDDEDEDEESSILTEIPFDKVPGALCVLQEAGETELVLRIGGGLLRERLPKMFKQDVVLAMALAYVDVSRDAMALSPPDFIVACEMLERALKLLQEEGASSLAPDLQTQIDETLEEITPRCVLELLALPLDDEHRARREEGLQGVRNILWAVGGGGAAAIAGSFTREDFMNEAFLHMKAAEQVELFVATPSNIPAESFEAYGVALALVAQAFVGKKPHLIQDADNLFHQLQQTKVTNMRNAPSVYTPMEMEKREVDFALERGLCALLVGELDQCRSWLGLDSDSSPYRNPSIIDFIMENAKGDEDSDLPGLCKLLETWLMEVVFPRFRDTKETNFKLGDYYDDPTVLRYLERLEGAGHSPLAAAAAIAKIGAEATAVIGHVQASVIKALKRVFPVRSDNKILTYEVNGEKDHSSLSENEDPLRLSDQNPPVNVEVSGIKNTAEINDGNFITDEIKNASVKIMCAGVAIGLITLAGLKILPSKNGSPVLHKVTGSAIASDTINLGPVGDEELGEQLPKMSAMVAEALVRKWQYIKSQAFGPDHCLGRLQEVLDGEMLKIWTDRAAEIAELGWSYDYNLEDLNIDSVTISQNGRRAVVETTLKESTHLTAVGHPQHATSNSRTYTTRYEMSFSDSGWKIIEGAVLES >RHN71666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1769522:1770459:1 gene:gene7290 transcript:rna7290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphate-induced protein MMLNYKFAMICCPLFVMLVAMLHPSLGEQVEPQPLILKYHNGPLLKGRITVNLLWYGSFNPIQRSIIVDFINSLTTSPKAPLPSVASWWKTIENYKRGGSSTIVVGKQIMHQRYALGKNLKGTHLVSLASVFKYAPNAVNIIFTAEEVTVEGFCGRCGTHGSIRSVRRRNRIPYIWVGNSETQCPGQCAWPFHQPIYGPQTPPLVAPNGDIGVDGIIINLATLLAGTVTNPFNTGYFQGPPTAPLEAVSACTGVFGSGAYPGYPGRVIWNRATGASYNAHGVNGRKYLLPAMWDPQTKGCRTLL >RHN76382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49826168:49829693:1 gene:gene12699 transcript:rna12699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MDKELQLCSVDAADEEEMVSQQKPQRSKGGLVTMPFIIGNEALAKMASVGLLPNMILYLMGSYRLHLGISTQILLLSSAASNFTPVIGAFIADSYLGRFLGVGIGSSISFLGMSLLWLTAMIPAARPSACNHPSEGCESATPGQLAMLFSALILIAIGNGGITCSLAFGADQVNRKDNPNNYRVLEIFFSWYYAFITIAVIIALTGIVYIQDHLGWRIGFGVPAALMLISTVLFFLASPLYVKITQRTSLLTGFAQVSVAAYKNRKLSLPPKTSPEFYHHKKDSDLVIPTDKLRFLNKACVIKDHEQDIASDGSAINRWSLCTVDQVEELKAIIKVIPLWSTAITMSINIGGSFGLLQAKSLDRHIISSSNFEVPAGSFSVILIVAILIWIIIYDRVLIPLASKIRGKPVIISPKKRMGIGLFFNFLHLITAAIFETVRRKEAIKEGYLNDTHGVLKMSAMWLAPQLCLAGIAEMFNVIGQNEFYYKEFPKSMSSVAASLSGLAMGVGNLVSSLVLSIIESTTPSGGNEGWVSDNINKGHFDKYYWVIVGINALNLLYYLVCSWAYGPTVDEVSNVSKENGSKVEESTEFKHMNPHFDDKVSGETSSKEKELTEFKNGAQVEKVFKNSEQRDLKEEDL >RHN51304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16004186:16010253:1 gene:gene35720 transcript:rna35720 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSAASSLDEVDRTMYASFSTAANSLSQLYSHAMNHQKLSFHAGERNALEKLYQWIWKQEEGGSRVATVDVVNYIQNELDYCGEEPSMSPRAPLQNQQPQPMMPVTSSGFPVTSGSSGQTIAGAGQGLRSDYCENQPKNSVFSNALSSPVRRNLQLYQIGEGGNRSFLHNQSSESNAVSSNNDSAMDMHAD >RHN74829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36975316:36976071:1 gene:gene10953 transcript:rna10953 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLIDVNLINANICNSPNFNKGVFLFSLMKEQVINPVMPHDGFGSSQV >RHN68985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40079795:40082146:1 gene:gene17411 transcript:rna17411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor and/or regulators TTF-type(Zn) family MKKFFPVLSRDKASSSTTDIAPNSTNEGVKVVDYKLLETDPGIRPPISSYHPDIQDEVRKAYLKIRRHQPPSNFVYPWSDFRGTRRRFNKNWFNLYDWLEYSESKNLAFCLPCFLFKNVSNYGGDHFVGDGFGDWKNPRKLANHATSNNSHVDCVHMGYALMNPNQSIKAAFVNQTKQMNVEYCVRVKTSLLATKYLLRCGLAFRGSDEADDSLYKGPFLELLDTLKENNSDVATILDSAPGNSLMTCPKIQKDLASACACEITREIVCDIADDVFCVLIDESGDVSGREQMAVVLRYVDGDGLVKERFLGITSVKETSAKSLKDALETMLSINGLSFSSIRGQGYDGASNMRGRFGGLKTLIQNENPSAHYVHCFAHQLQLALVACAKTHKPVSGFFGKVNMLVNFIRASNKRQEMLRDKQLAQFAKLIEEGEIETGSGLNQDSSIARAGDTRWGSHFRTLTSLMTLYGAIVEVIVEVGNDPSFDKFGETVLLLDVLQSFDFIFMLYMMVEILGITNDLSLALQRRDQDLLNAISLVNDTKKQLQEMRNEGWEELISRVVTICTKHEIDVPDMDAPYMEGKKPRRVPPVSSVSNLHHYKNDCLFSVLDLQLQELNARFDEENTELLQCVSCLSPAKSFSAFDVNKLLRMAELYPNDFVDVSEVELRRQLHNYVRNVKSDPKFAKLKGLSDLCAILVETNKCKTFALVFKLLKLALLLPVATASVERVFSAMKIVKSHLRNKMGDQWLNDRLVTFIERDVLFTISTDVILAHFQQMDGRRFSL >RHN52804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39029588:39036202:-1 gene:gene37517 transcript:rna37517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MDTVTESQRTLYPYVTGSSVVAIKYKDGILMAADMGGSYGSTLRYKSVERLKPIGKHSLLGASGEISDFQEIMRYLDELILIDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKKGQKYLGTVSMIGVNYEDNHVATGLGNHLARPILRDEWNENLTFEEGVKLLEKCMRVLLYRDRSAVNKIQISKITEEGATVYPPFSLKTYWEFSAFKNPTVGAEGSW >RHN73578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17809832:17813712:-1 gene:gene9403 transcript:rna9403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase STE-STE7 family MKKGCLAPNLKLNVPSSNQASFAKFLTQSGTFKEGNLLVNKDGVRIVSQSEVETQPPIKPIDNQISLAEIDTIKVIGKGNGGIVQLVQHKWTNQFFALKQIQMNLEDATCKQIAKELKINQSALCPYVVVCYQSIYDNGTISIILEYMDGGSLEDLLNKVKTIPEPFLAAICKQVLKGLMYLHHEKHIIHRDLKPSNILINHRGEVKITDFGVSAIMESTSGQANTFIGTYNYMSPERIKASDSEQGYNYKSDIWSLGLMLLKCATGKFPYTPPDNSEGWENLFLLIEAIVEKPSPSAPPDECSPEFCSFISACLQKNPRDRPSTRNLLRHPFVNMYDDLHVDLSDYFSNAGSTLATISN >RHN67352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26361979:26364336:-1 gene:gene15532 transcript:rna15532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MALEVIYLSYLFSFVLLALVLQKVGKKLKKTDSTYNLPKGPIKLPIIGNLHNLLSSQPHQKLRDLARKYGPLMHLQLGQISMVVISSSDCAREVMKTHDINFAIRPPSLASVIISYNSTGIASASCGNYWRQLRKICTLELLSLKRVNSYQPIREEELSNLVKWIASKEGSPINLTQAVISIIYTIVSKAAFGKKFKDQDKFISAVKELVKVAAGFNLADFFPSITWLQYFTGLRRNLEREHLQIDQIMENIITEHKEAKSKGSYDQAETDQDLVDVLIKYEDGSNKEFFLTKDNIKAIILDIFGAGGETSASTIDWAMVEMVKDPRIMKKAQDEVREVFKMKGKVDENCINELNYLKLVVKETLRLHPPAPLLLPRECSQACDINGYHIPIKTKVIINAWAIARDSNYWTEPERFYPERFIESDIDYKGSNFEYIPFGAGRRICPGSIFGLRNIELALAMLLYHFDWKLPSGITGEEMDMTEEFGLTVRRKDDLLLCPFVYHPLQVT >RHN62524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44083324:44089169:1 gene:gene25112 transcript:rna25112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MNMVECKRKKESQGEKNNNMDSNSDFPDEVLERVLGMMKSRKDRSSVSLVCKEWYNAERWSRRNVFIGNCYAVSPEILTRRFPNIRSVTMKGKPRFSDFNLVPANWGADIHSWLVVFADKYPFLEELRLKRMAVSDESLEFLAFSFPNFKALSLLSCDGFSTDGLAAVATNCKNLTELDIQENGVDDKSGNWLSCFPESFTSLEILNFANLSNDVNFDALEKLVARCNSLKTLKVNKSVTLEQLQRLLVRAPQLCELGTGSFSQELTGQQYSELERAFNNCRSLHTLSGLWVASAQYHQVLYPVCTNLTFLNFSYAPLDSEGLSKLLVRCPNLRRLWVLDTVEDKGLEAVGSYCPLLEELRVFPGDPFEEGAAHGVTESGFIAVSEGCRKLHYVLYFCRQMTNAAVATVVENCPDFTHFRLCIMTPGQPDYQTGEPMDEAFGAVVKTCTKLQRLAVSGSLTDLTFEYIGKYAKNLETLSVAFAGSSDWAMQCVLVGCPKLRKLEIRDSPFGNAALLSGFDKYESMRSLWMSDCKVTMNGCRLLAQERPRLNVEVMQEEGGDDSQAGKLYVYRSVAGPRRDAPPFVLTL >RHN80784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40848326:40850085:1 gene:gene4750 transcript:rna4750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PsbP family protein MAVQLRLQTKFGAWNRNCIGVTRCSSDREEKLKVKEAVVWSPAEELAKKFERRLLVGIGSASLVALGANFGGITSFFLGLSPQNGRKLKLDVLYPIGGYTRYIDTREGFEFIYPVNWVGDQTLLYRAAKRREMELSLDPPPLNLRPRSNVNEPVVAFGPPGSNGELNVSVIVSPVALDFSIEAFGSPEEVGEAVIRTITGSGQRPDVKGTLVKSSLREDTVRNAKYYELEFRVESPSFRRHNVCVCCARGGRLFTLNAQAPESTWPGVKPDFYTIADSFNLTT >RHN51377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16968852:16971373:1 gene:gene35807 transcript:rna35807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MTDVLLGIVIENLISFVREELSTFLGVGELTQKLCGNLTAIRAVLQDAEEKQITSRAVKDWLQKLADAAHVLDDILDDCSTTSKAHGDNKWIARFHPKKILAQRAIGKRMKEVAKKIDEIAEGRIKYGLQVKVTEEHQRGDDEWRQTTSVITEPKVYGRDHDIEKIVEVLVSHAIDSEELSVYSIVGVGGLGKTTLAQVVFNDERVNTHFDLKIWVCVSDDFSMMKILESIIKSTVGKNPELSSLESMQKKVREILLNKRYLLVLDDVWNEDQEKWNKFKHLLQRGNAAKGASVLVTTRLDIVASIMGTYPTHHLVDLSDDDIWCLFKQHAFAANREERPELVAIGKELVRKCVGSPLAAKVLGSLLRFQREEYQWLAVKESNFWKLSEDNPVMSTLRLSYFNLKLSLRPCFSFCAIFPKDFEMLKEQLIYLWLANGFISPRGNIEVENVGNEVWNELYARSFFQEIKTDEKGNVTFKMHDLFHDLAQSIMGEECVASGVASLTNLSSRVHHISCSFGKFDKPFNYNTIPFKKAESLRTFLELDIPVKNLCSFPSTTPLRALRTSSLNLSTLKSLTHLRYLELFESEIKTLPESVCKLQNLQILKLDICDDLSSLPNHLTQLQSLRHLVIKNCNSLVSMPSKISKLTCLKTLSTFIVGSKMGFGLAELRDLQLGGKLHIKGLENVSSEWDAKEANLIGKKELNRLYLSWGSDANSKGIDTNVERVLEVLEPPTGLKGFGVKDYVGIHFPHWMRNTSILERLVDVILYNCKNCQ >RHN39128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4306569:4306883:1 gene:gene45126 transcript:rna45126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MHYILCNSCHGVICCRQEKPPLKRRNTQPSVPSCLVSQLAFIQFKGYQGLPDELLFVEYILRNGFALKTMVISDISVDITKKNAIFKRLSNVPRASGNCQLIFD >RHN81193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43967799:43968121:1 gene:gene5200 transcript:rna5200 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFTVTILRRKMKQRCLMIMSLNKLTLFPSLTLQSCSKPHAIT >RHN75797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45136170:45136613:-1 gene:gene12048 transcript:rna12048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MLLSTIVSLALFLILMFAIHMIYSRFIFNREALRRAANGEVRLTATQAHTQLTEPPNTCLDPKLITTLPTFLFKQKQQEESNNVSNNIVECAVCLSLLQDEEMMRLLPNCKHSFHVGCIDKWLASHSTCPICRTKVEPRLEPEPHFV >RHN66537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13509332:13511010:-1 gene:gene14541 transcript:rna14541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MLILGGFVQFIWLIPFEFEFEFNHIYRYWPCPRAIYLRVAHFGKNLSDNRRMCGGPEKSKSLSDNVEDMKNLTVTTNDSLSSLLEHASKNDFEQFKIALDSDASLLLEVGAWCVRQNGHNQIVLEDRTALMVAASCGSIDILKLILSCSEAGVNFTCGTDKTTALHCAASSAGADIYCVDANGNRPMDVIVLPIVVPHKLEVVKRSLEQLLSNSAADESVDDGSLPLSSFEKGSPSSPVAPKLTDTAVSSTPEKKKYPIDPSIPDIRNSIYATDEFRMYSVKVLLCHRAYTHNWTECPFYHPNENARRRDPRKFTYSCVPCADFKTRDCKRGDMCEYAHGVFETWLHPDQYRTRLCKEGTNCNRKVCFFAHVAEELRPSHASIRSAASAPIVMDMAASTSLYRGSLSAISSMYPPPFAQPLSPYANGLFDGQQHMLNDLSCFSQPCPGTISLGRSVNSWSKWGSRTGKPDWSVNGNDFGRSLRYTTFEHGNNVEEPDISWVQSLVN >RHN48485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47846766:47846918:-1 gene:gene43205 transcript:rna43205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-V family MLLLHFYRYVAPEYANSSLLNEKSDVYSFGVLFLEAITERDPVDYSRPAA >RHN49836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1579474:1580554:-1 gene:gene34060 transcript:rna34060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MFTLDDTNKRSLVVGIIFNFLNVIMYVSPLAVMENVIKTKSVKYMPFLPSLAIFLNGLCWTTYALINPFDIYLLVSNGIGAISGFVQLILYVYFWCKGENKNDDANHDSDSAV >RHN52490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36102339:36111705:1 gene:gene37175 transcript:rna37175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative post-transcriptional gene silencing PAZ-Argonaute family protein MVRKRRTDGPSGAEGSEGQQRTSPPQQQGAVTGGAGYQGGGGPQGGRGYAPQGGRGDYGGGRGDYGGGRGDYGGGRGDYGGGRGAYGGGGRGGRGMPQQQYGGPPRGGPPQQQQQYGGPPEYQGRGRGPSQQPGGRGYGGGRGGYSGGVGAGAGHDVVSSYGGPPRQPSYPELHQATPVSSAPYQVPVLPPAASHSEASSSQPPEVTEVEQDLGQLTIQSDETPAPPPPPQSKSSLRFPLRPGKGSYGRKTLVKANHFFAELPKKDLHQYDVTITPEVTSRGVNRAVMEQLVRLYRDSHLGKRLPAYDGRKSLYTAGPLPFISKDFRITLVDEDDGSGGQRRDREFKVVIKLAARADLHHLGLFLEGRQTDAPQEALQVLDIVLRELPTSRYCPVGRSFYSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVTQLLNRDVSARPLSDADRVKIKKALRGIKVEVTHRGNMRRKYRIAGLTSQATRELTFPVDERGTMKSVVEYFFETYGFVIQHTQWPCLQVGNPQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPLDRERDIMQTVHHNAYHEDPYAKEFGIKISDRLAQVEARILPAPWLKYNDTGREKDCLPQVGQWNMMNKKMFNGGSVKYWLCVNFSRTVQDSVARGFCYELAQMCYVSGMEFNAEPVVPALTARPDQVEKVLKNRYHDAKSKMPKDKELDLLIVILPDNNGSLYGDLKRICETDLGVVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKQWQDPVRGTLTGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLFELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFASNHQDKSSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFSADGLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMTSGAVSRGGAGAAVGRSTRAPGANAAVRPLPALKDNVKKVMFYC >RHN39890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11428779:11436557:-1 gene:gene45972 transcript:rna45972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IXb family MALLSSMNSRREPVKEVNPSGVKNTIYVAVAKDVKDSKLNVIWAIQNSGGKSICILHVHVPAAKIPMMGAKFPASALTEQEVKAYREIESQNMQKTVDVYLAICQRMGVTATKLHIEMDCIEKGIIELISRYNIQNLVMGAASDKYHSRRMTDLRSKKAIYVCEQSPASCHIQFICKGYLIQTRDCSLENFRVEATSPLVQQMQNSEVGHSPHLISRSISHDQELHHHRVRSISSASESGRSMASSVSSSERFSSFETVLTPNLTSDGNESLLDLKMSYLSSIKEENLCHSSPPGVLDRGMDESVYDQLEQAIAEAVKARWDAYQETVKRRKAEKDVIDTIRKTKDTIILYEEEVKLRKELEEALQKAKEEIDNMKSKLDKVNKELQLALNHKSSKENQISEASRTHSLQLLSEFSFSEIEEATCNFNQSLKIGEGGYGKIFKGILRHTDVAIKVLSPNSTQGPSEFQQEVRWFDLHFDTLLVLSYQVEVLSKLKHPNLITLIGVNQESKTLIYEYLPNGSLEDHLSRNGNNNAPPLTWQTRIRIATELCSALIFLHSNKPHSIVHGDLKPSNILLDANLVTKLSDFGICRVLSCQNDSSSNNSTTQFWITSFAKGTFAYMDPEFLGTGELTSKSDVYSFGIVLLRLITGKPALGIKNEVLYALNNAGGNVKSVLDPLAGDWPIVEAEKLVHFALRCCDMNKKSRPELCSEGWRVLEPMKVSCSGTNNFGLKSCLDKQLNRTT >RHN73615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18193407:18193934:-1 gene:gene9448 transcript:rna9448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MSSGKKGRGRQKIEMKKMSDESNLQVTFSKCRSGLFKKAGEICTLCGADAALVVFSPSGKLFSFGHPNLDTVIYCYLSLVPPQNNGTMQFIEANRNANVRELNAQLTQINNMMHAEKKIGDELSNLRKEMGAKLIHTNKFLKARQRIKLLFERRYDGQRKKIIKVTWPCALMVAF >RHN60631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28989783:28993228:-1 gene:gene22976 transcript:rna22976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAHIIMFVYALIYALIIFSSLFVRDGIPCLSDDECPEMSHYSFKCNNKICEYDLGEMSDDDYYLEMSRE >RHN52574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36738918:36739723:1 gene:gene37267 transcript:rna37267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKGTMLAFLLLFALSSQPLLGSAEASPDQVIDTSGKKLRADTNYYIIPAKPFTTCGFVSCFNSGGIALETVGESCPLDVVVVKHNQGLPLRFTPVNNKKGVVRVSTDLNIKFSNDAYDSRCPNHSLVWKIDPFSKEETFVTTNGVLGNPGSNTIHNWFQIEKYEDAYKLVYCPNVCPSCKHVCKDIGIYVYKYREMRLALTNVPFKVKFQKA >RHN65558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3204111:3209046:-1 gene:gene13405 transcript:rna13405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MKKKLDTRFPAARIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYEITLQRGAKTMNALHLKHCVQSYNVFDFLRDIVSRVPDYSHGHGHSEAGADDRAVPKRRKAAGDDCNDSDEEAKRSKMLELGHTGSTGRGRGRGRGRGRGRGARTAERETLHQQVESEPCTSIQQTSKDVPNTSMAIDNGSEPKELSKENIAAHEESTQSLRNIDLNANLHENEEKNNTSTDIPTQAPLPEPAATTDMQHEEIPGWSLSDVDKMAIDTLQLAANLGNRLDEDEEDYDEEEE >RHN40438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16313115:16320367:1 gene:gene46587 transcript:rna46587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAGRGSFNYDVFFSFRGEDTRLGFTGNLYSALSQRGIRSFFDDKEIRKGKEITPSLVKAIKESKVAIVVLSQNYAFSSFCLDELSIILECCEENKGQLVLPIFFNIDPSDVRHCRGKYGQALENLEERFKGDKEKPNKWRVALHKASNIAGFTFKNGNEYECDFIWRIFQDVSLKIKRKLLHVANYPVGLESRVDEVISRLKVGSDDVVHMVGVHGIGGIGKSTIARAVYNSIRHHFEGFCCFLENVRENSHKYGICHLQQMVLSDILGYEDFKLRYVSDGMAMIEQRLCSKKVLLVLDDVDKIEQLQAIAGAPNWFGLGSRVIITTRDHGLLKHHEVKSTYEVEELNDKEARELLCWNAFKTDKVDSRYIAILNRAVGYASRLPLALEVIGSNLHGQKEDVCTHTLDRYDRFPDKKIKDILKVSYDSLDEDERSVFLDIACFFNGHKLADVEDILSAHYHLSVEYSIKELIGKSLIKIDSGLVTLHDLIQKMGREIVRLESPHEPGKRSRLWFCEDVVQVLNENKGSSKTHILILDFPKDEAHMKGPKSEEVNWDGEAFKDMKNLKTLIIRNGSFSSGPTHLPNSLRVLKWQGYPLLSLPCYFHPKKLSILELPDSCLKPCEPIQAFSHLTILDFSNSESVTEIPDVSGVRNLEKLSFRCCEILTKVHDSVGRLGKLKILDASGCKNLKNFPPIILTSLEQLNLSHCSILESFPEILGKMEKITEIQIRGSPIKEYPFSIQNLIRLRKLELQMCGMVQLPSSIFMLPELSLMHVSKCEGLALSEQDKGNKMVLKSSNVDHLVLSNCNISNDFLPIGLSFFFNVKELNLSGNNFITLHAWIKECHLLRNLKMDDCSLLREIRGIPRNIEKISVKGCISLRFLDLTVLPECNAECCFLKELILDDCACLREITGLPPNLNSFSAKGCTSLTLQSISMLCNQEWVEAGNKKLFFPGKKIPKWFTHHTRGGSTSFWFCNKFPAISLCLVIGRGDEKHIKVKFSPRVCINGHKQSLGCQKIYEFMIATDHVLLLQFEDNEDIVFTDNGWNHVEVSYADHITNNEDSIREVAKFSGIHVLYEQTCGPRDVQFHPPQTLISVNFDSNSMERPRQRVKIVTERPQKNQAMVLSSSILTLTQPPPADAEKGLARQPILLPMSPLEDVVGETSKSVREDGEVYDITIQNGIERCHENDAVQLESVSSSELHSEESPFNTRGSDSDDPFDRVGRKHFISGLEVISPGAKSDESSSNTKGSHSDYVINLVDRKSSVNGHVTLPSRADSLGSIKEAINALELLMVKDLSEVSSDPATQFGLHQLLDVLSRRTVEVQEALAEFKRKAVTSCQEFQSTVESVNKLKSNEKHLERIQQETITSKDRRNDLKNSIKEISLVIKAENKRKKELEAEIDSLKEQLDAKGRDLEQLLLNLKNKEATLSAYSTNCASLNDQAQKLLEEADVLLAAKDEGEAAEVKQNRLKSTWSSDITLQISKIKHNIFGSVHNEC >RHN61927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39409167:39410456:1 gene:gene24441 transcript:rna24441 gene_biotype:protein_coding transcript_biotype:protein_coding MQDANVMPDSQTFRYLVGICETEDDINKYYEELMQSGIRPYYMSLIRDAAACWELEKAKQV >RHN48139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45338868:45339892:1 gene:gene42825 transcript:rna42825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MTSFISKFYPVFMFLCLVIFLIFSWPWEVEEKVCGRPNRTWSGPCIDSDCDEACLDLEFAAIYGSCGGTSYDCFCFFKC >RHN45973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27446768:27447425:1 gene:gene40403 transcript:rna40403 gene_biotype:protein_coding transcript_biotype:protein_coding MYDILMQELDMSNLFNGFELGFLHHLKVEPSQLRPLSWALVKVFQFWYGTKSNILRFICSLRWSKFTGTQGMASKLGVPSPKEKVQNQSQACGGGSSGTSVSPNEVVHAPLFPIFGAQEHHGSISVVYTYNTDTSSLKSILIGLISATNVLHMIYLQ >RHN42344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37544495:37551179:1 gene:gene48775 transcript:rna48775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP-dependent DNA helicase Rep, P-loop containing nucleoside triphosphate hydrolase MIGSTSISMKKKKSTINQSDGNFINTIFNWSLPDILNKDLYKTKVDSIGLSFDSAGKYFQSFLYPLLNETRTELCSCMEVLSTLPYAKVVTLNRLKSPLFGRSLYEVTTDNWKNRSLGHGKELYKTSPSDLFILVDFKPETVNDLQRDGSIRSFALSAQVLNEENDNDTELKSNFKFIASKDIDIDGMGQKSLFIIFLTNITPIRRMWISLHMDGNSKLIQKILCASDAVEENCDHCPPKTDAFKDHEAYNKLLSEFNESQKKEIGACLSSIGCNHKSTVDLICSSPGTEKTKILVTLLCALFKMNRRTLVCAPSTVAIKEVASGGLSMVRQLFQFCYLGDMLLFGNHEQLNVGEEIQEIYLDYRVKQLMSCFNPSNGWKYCFTSMIHFLENCFTHYQMSILNQKTKEQVQTNDNNSNTAKDDSLSDSDVRTHQSFVEFFIEKFQAIALPLKKYIHILRTHIARSFIMEHNLDVLADLNVSLDSFEALVSDGNIVSERLEELFYPLETRDSSSESDVVSADERSFLENITKCISLLKSLQVSLGKQKLPDIVTEKSIREFCLQTASLMLSTASDSFMLHSLDIKPLDIVVIDEAAQLKECESIIPLLLPEINHAVLIGDEHQQPSIVSLEADFGRSLFHRLRLQGRHPNHLLNEQHRMHYIKDVNLPFQISDEQNDIILSERSSFVLGRSGTGKTTILTAKLLKREKAPFFRADQEEEAACLRQMFITMSPKLCHHVIYKLKRFRCGESKFFEDETAAYESSLAQFENVPDSLVGLSVDSYPLVLTFRKFLMMFDGSVGGVSFFERFNDLFAGDALKENIWDREVTFERFDLSYWSTFNYGDKKNLNSSRVFAEIMSYIKGGMDTMGTNLSQDAYLALSENQGSSLSKKQRKIIYHIYQQYEKMKILRREFDLADIVADIHLRLKSNIYEGDAMHYVYMDEVQDFTLSQIALLKHICQNVEEGFTFCGDTAQTITKGVYFRFQDIKSFFYSTFHNGTNPVKTKTLQLTQNFRSHDGVLKLSHTAINLLYHFFPDSIDILKPETSLLCGEAPAVLDCGNSKDAIATIFGKSVGFGAEQVILVRDDSARKEILASVKEKAIVLTIWECKGLEFKDVFLYNFFGSSPLKDDWRVIKGYKEKQDALEPTETSSFPTYKDPKHNILCSELKQLYVAITRTRDRLWICESPSTESHAKPMFEFWKTRDLIQVKKLDDSFIQSMTVASNPKEWRARGMELYNHKIYEMATVCFERAGDNSWEEKSKAAGDRVKSNSSSSEPKEENVVPREAQDIGMAESSAQCLVDLEDHERAGDSKKRFDKETYCLGGYLPPDAEMGPMMKWVQETHFYDCRELPKGTVGFGNQIATHAKLSPHGKTQAKAMQQLVDNLLPIVALLKQPFCPKNKHYCNSMKILKENSIGWKFLNSFTESLPQKGYDDFYSAFEDLEEKLVHLTDTTMLGFLLDQMLMDTMAWSGVVVSTKMNLVGWICSKEPNYFHMLAQSSHPPLKLMWVCDLLERLVIKFFNPNDHSRHKFLTILLLHVFFKKDSKLVQKEKGKELPFDDYFKENSILAADMNSEVIILKLRENSLILPPMEHVVSVDMTSCPTPTIEKILEEIYPEAVKEVAEKAAEESTKKVAKESEIHKGKEKKNKSNSKKKGN >RHN46822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35141444:35143502:-1 gene:gene41353 transcript:rna41353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MEEGIPAEDRFSALPDQVLTHITSFLPMEDIFKISNLSFRLSNFPIHKFTFTIGSHYLTKEDVERFAHFVGMNLLACFDGVFNLEITFTHNCYMENFTPYIKIWCNHLVQRGVRELSLIQRFIPDVDYYPDKAHYLLIPRLPVTVLQCKTLTILKLRWFTTTIIGSGSIFSRVQLDFPVLKILHVEFLEFDTDRDFALILAASPLLEDYLVSHVYSTERAFYRRFRKKNAPLHEEFRDLRLSHLVKADMTGLYIHIPMQSFPNMKFLRLQLSKVYHPLPSEFPVFQYLTHLVINFDWHEMTIEVLKHCPNLQMLDLYQIIDRQSTHLPPPPLYLPQVNECELPEAWVDPASVPPCIGSHLISCSIRDFGAMDLKRDISFSKFILNNAGVLNTMSIWSSSAINKVLIEDEIFSSQRASATCQISVY >RHN42374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37853169:37858358:1 gene:gene48809 transcript:rna48809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDRHHHADSLNSGVVKKRKQEDEQDALVPGHGSSMSVGESSGSGDMCKNTTSMSPNSIGSDSEISFHNDDEDMIDDDALEDPDDGSDYYDDEEIYEDEYSFLQDQFDNVDLPPGVEASVPWLKDVASSECKRAGSHANSESSSKAEVDESTDAVMQKFRQFKQFDTVDSIPDHYYDKIGASAAETQRPKNWTKKIQEEWKILEENLPDKIFVRVCESRMELIRAVIVGPLGTPYHDGLFFFDCFFPAKYPAVPPQVHYHSGGLRLNPNLYNSGKVCLSLLGTWHGKNCENWNPAKSTMLQVLVSIQALILNEKPFFNEPGYAESYRADEGQRRSKDYNDNTFVLSLKTMMYTLRKPPKLFEEFVAGHFRLRARNILTACRSYAEGGPVGEVLHNAAQSSRNASVSAKNQKEFQSAVNRMMNTLIAFFTKNGSTDCEEFRSPEIYNITPAAATANLEVFNIENNNITQV >RHN49056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52134969:52136702:1 gene:gene43843 transcript:rna43843 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVEFQKRGLPHAHILVWLSSENKLKTGIDIDKIISAELPDNTLYRRLYDVVSSYMMHESCGGARPFSPCMDRGRCTKYYPKDFKGTTTIDDEGYPTYKRRDFGIHVDKQGVQLDNRYVVSYNPHLLIRYGGHVNVEYCNKSNSIKYLLKYVNKDPDKTLMQLSVDGEKRDKSKPVDEIKEYYDCRYVSPCKAVWRIFAFDIHHKWPPVLKLTFHLHNEQSVLYDDYHDIKDVVMYNEEALTMFLAWFEANRQYVGGRDLTYAEFPTRFTYEKKDKWWQPRKAGYQIGRLPYMPPGIGELYYMRILLTVQKGCMGYRCIKTINGHTYDTFQEACSTLGLLDDDKEFIDGITENAELGSGHQLRWLFEHLLTTSTMKSPDVVWDATWQLLSDDVLFERRKHLNIPGIVYCTYYEIYAPLFVLFTI >RHN44198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2357356:2359173:-1 gene:gene38288 transcript:rna38288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVFVRSLALLLKFLFLYSLFTFTFTTCFPQIQPKCHQYESHALLQFKEGFVINNLASDDLLGYPKTSSWNSSTDCCSWDGIKCHEHTDHVIHIDLSSSQLYGTMDANSSLFRLVHLRVLDLSDNDFNYSQIPSKIGELSQLKHLNLSLSFFSGEIPPQVSQLSKLLSLDLGFRATDNLLQLKLSSLKSIIQNSTKLETLHLSHVTISSTLPDTLTNLTSLKALSLYNSELYGEFPVGVFHLPNLELLDLRYNPNLNGSLPEFQSSSLTRLALDHTGFSGALPVSIGKLNSLVILSIPECHFFGNIPTSLGNLTQLRGIYLDNNKFRGDPSASLANITQLSMLSVAWNEFTIETISWVGKLSSLTSLDISSVNIGSDIPLSFANLTQLELLGATNSNIKGEIPSWIMNLANLAYLSLRSNFLHGKLELDTFLNLKKLVFLDLSFNKLSLYSGKSSSHRTDSQIRVLQLASCNLVEIPTFIRDMPDLEFLMLSNNNMTLLPNWLWKKASLISLLVSHNSLTGEIPPSICNLKSLVTLDLSINNLSGNIPSCLGNFSQSLENIMLKGNKLSGLIPQTYMIGSSLQMIDFNNNNLQGERFTRVEGIVFK >RHN65671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4257400:4257570:-1 gene:gene13539 transcript:rna13539 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIICAVGYFLLFFFCVLIFLLLHVAHVYITDLWHLASVVSVLQHVYGFVALKKS >RHN48436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47465494:47467931:1 gene:gene43152 transcript:rna43152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MTIHSVFRRMYSYVKPSLQPAIFSNLSKELPTNSYIIFLCKQHHYKEALEAFDFHLKNSNSHFEPSTYTSLVLACANFRSLDYAKKIHDHVLKSNYQPSIILQNHMINMYGKCGSMKDARKVFDTMQLPNVVSWTSMISGYSQNGQANDAIIMYIQMTRSGQFPDQLTFGSVIKACYIAGDIDLGRQLHAHVIKSWFGHHLTSQNALISMYTNFGQIEHASNVFTRIPTKDLISWGTMITGYIQLGYRVEALYLFRDLLRQGTYQPNEFIFGSVFSACSSLLELEYGKQVHGMCVKFGLRRNVFAGCSLCDMYAKFGFLPSAKMAFCQIKNPDIVSWNAIIAAFADNGDANEAIDFFRQMIHIGLTPDSITYISLLCTCGSPVRLNQGRQIHSYIVKIGFDKEITVCNSLLTMYTKCSHLHDALNVFRDISRNANLVSWNAILSACLQKKQEGETFRLYKEMHFSGNKPDSITITTLLGTCAELTSLGVGNQVHCYSIKSGLILDVSVCNGLIDMYAKCGSLKHARDVFDSTQNLDIVSWSSLIVGYAQCGLGHEALNLFRIMTNLGVQPNEVTYLGALSACSHIGLVEEGWRLYKSMETEHGIPPTREHFSCIVDLLARAGCLHEAETFIQKSGLDADITAWKTLLAACKTHNNVDIAERGAGNILKLDPSNSAAMVMLCNIHASAGNWEEVAKLRKLMKQMGVQKVPGQSWIEVKDKFHIFFSEDSSHPQRNLIYTMLEELWSQVLDDGYDPCQRLDVSIW >RHN64469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59352035:59362254:1 gene:gene27288 transcript:rna27288 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKTPTSTTTTTPRSVLKHHQQQQHSDNKSLQTVPQTRLRVRASSKAKESPKTPPEIVNRVSTISSTRAKSVPPDMKNNSKAKRSIFMNKVVKSIEEEVESSHKGSKEGEVAKVVVVAPPRRRRIEEDDPDVKEKKELLEKLEVSENLIKSLQSEIKALKDELNQVKGLNIDLESQNIKLNQNLASAEAKIVAFGTSSSTRKKEPIGERQSPKFKDIQKIIADKLEMSKVKKEANPEVIFVKSSIPAPIPNHAAIREITSLGRKSPPNHCLMPPPPPPPPPIPSRPLAKLANTQKAPAVVQLFHSLKNQDTKKDLKGSINHQKPITNSAHNSIVGEIQNRSAHLLAIREDIQTKGEFINGLINKVVDASYVDIEDVLKFVDWLDGELSTLADERAVLKHFKWPERKADTMREAAVEYRELKMLEQEISSYKDDPDIPCVASLKKIASLLDKSERSIQKLIVLRNSVIRSYQMYNIPTAWMLDSGISSKIKQSSMTLVKMYMKRLTMELESIRNSDRESNQDSLLLQGVHFAYRAHQFAGGLDSETLCAFEEIRQRVPGHLAGSRELLACIASS >RHN39994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12110133:12111988:-1 gene:gene46081 transcript:rna46081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Homeodomain-LIKE family MSHRNTKCCQKDILPFVCYLGKQKLMRMLLKNFVTRYNRFSTKFTHEQRKKMLDFAITLGWNIKNNDENVVEQFCNEI >RHN71975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4106919:4110194:-1 gene:gene7640 transcript:rna7640 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAARCFLRSAASRANLAAGAKPRPTCSMFRIPKQTSISNRISRLPVEMSSGVESLLPYHTATASALLTSMLSVSRHSYGWTPEDCNDDV >RHN78210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12841915:12847779:1 gene:gene1744 transcript:rna1744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MNPHNPNQTFPSAPPGIPFPMLTPKQEPRDETIQEIQYPNLENLPNQNLNLNLSLNLDFVSQALEQPTTTTAGAGTVDAARMAEIFRRSFTEGLQRQIQNNDAVDENPNANARAIVPVSASESNYNNAPPAGEVVNVRKHKELVRMTDVGLPDQRQFRDVVRRTRMVYDSVRVLAMAEEEGNFNVRRVRSDLKASATMRSRGLWLNRDKRIVGAIPGICIGDVFLYRMELCVVGLHGQPQAGIDYLPGSMSSNGEPIATSVIVSGGYEDDVDEGDVIIYSGHGGQDKNSRQVFHQKLEGGNLAMERSMHYGIEVRVIRGVRYEGTSSTSGKVYVYDGLYRIIECWFDVGKSGFGVFKFKLWRIDGQAKMGSLILKEAFLLRRDPLCYKPMCVISLDISKGMERVGIRLFNDIDRCNDPMCFEYLPRATFPHFVFHESGNATGCQCEGFCGEGCFCFIKNGNDFPYSQSGLLLKGKPVIFECGPSCSCPPHCRNRVTQKGLKHRLEVFRSRETGWGVRSLDLIQAGAFICEYTGVVLTREQSEIMTMSGDSLIYPNRFSNRWTEWGNLSLIQDGYVPPSYPSIPPLDFSLDVSRMRNVACYISHSSTPNVMVQFVLYDHNNLMFPHIMLFAMENIPPLREFSLDYGVADDELTGKLVICN >RHN79835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32679910:32683259:-1 gene:gene3678 transcript:rna3678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MAMNSEQIDLNADTVLFDREKDVLGFKSLRSNVGVGVSFDETLISDVGGNNDGFVDMLSGTNVVGFHKDSGCGKEGFCDEKVNGFEDGGMFDVVDGGCDGKGGKFSCGLVKNDDEKSVIQCSDGGAESDKGLVFGLNDGVQIKQEGEDVEKVALSNELQGVKDDVTEGDHKVKKVALPNLSAAVEDEGVIKAADCFVTKDDPEDSSVSDVATYQLQDGIPYVEGMDVNKEEYFNVKDLLSKNCHGRETSCELKQPVFLSDAQVNVIQNQTTCINMSGAAISENIQYDCCGFDLVGLNSCKNAQEDSVPRESESSEANYRVSDLVWGKVRSHPWWPGQIYDPLVASEKAKKQRKENCYLIAYFGDQTFAWNDTSMIKPFHKHFSEMEKQSDMENFRHAVDCALEEASRRVEFVLSCPCMPGETYPELKTKVIANNAASFEPMELVNFVKSLAQSPLTEFDRLDFVSACARLSAFYRSKGYSQLPEFAMLDRLFENDMEILLVREHEQCDDQINEQHISWNTKQTGKKKKLLSDLMSEENVWTPNGECIPKKKAGGSSISRRGRKRKAAYDTSYDYFHHSQIADDISTSRRGRKPKAAYNTPNDCFNNSQIAANNSTSRRGRKLKTAYNTSNGCFNNSQIAANNSTSRRGRKRKVPYNTSDGCLNNCQTGNLAQLQNVSIGEMQSQICLAATDPAGESCSSDMIYFFAEFKKFTGCNDSVFLELGLSLEQEHGGETEVVTSIEAAATASMSTPTPMVLCNDSYWTDRIIQSISDEETLLKNQNEREELLPAAEISPNLGLMHQESNGNLGSEPSNYVENVNESSPTSLTLKFTNLDSVPSTADLNKIFGRFGPLVESKTELLERTNRARVVFKRRCDAETAFSSAGKYSIFGPSLQSYRLRILPRTPKKGTGKRGRKSKKEKSSVDAPTV >RHN51688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22804567:22805595:1 gene:gene36184 transcript:rna36184 gene_biotype:protein_coding transcript_biotype:protein_coding MINVEDENAWNEYVKSHEEAKRFRFKVIPNWDDIVDICAKDRASGVQVEHAFDADDVMSKEANVNENSSDVYIDLEEPNSATKKKVQFTRANKGKYREGMVNSMKEVAESLKDFVQVSRKRMEGNAQALVQEVLTEMEMITARNWANGMTECKKTGNLEQVKHIEINKTHIT >RHN76495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50770380:50771008:-1 gene:gene12834 transcript:rna12834 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWFPFLSNFPKSTTKPTSRNPRRRWLNRRRNFSGTVTTSSTVGTTSLNSIDWSKLW >RHN51042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13326944:13327998:1 gene:gene35412 transcript:rna35412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MAALLNGSIKQVLDCVTTDILGLIVGYSRFHQDIGYSDKVWNINFDSQAEQRDSNGSETNNSVEEINTELHWLYLLKLSIKRLLMLLFSLPSNQPAKEPKTAHWVICQWFSYYEYTPSIFDPKCNTKIFLHNLKGEHWIVNSVTKTKIHTSHSLCGGWTDFVCGNSIKVGDVCILKLIQECEFRVRIITKVRKDWLAPAYEEALNADLPTIPLNTTQHMGGIKKVIHVAFTHLALSVGDITSGNGDSFYVCKNVGDDRRLLYTGSCAEILNMKNSQELKKEFLWAEVSLVELKLVPMHKSLINVEILQLFFNMVVVNLMMHAVNSMTWDPGGWSLVH >RHN55833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24570429:24577055:1 gene:gene31103 transcript:rna31103 gene_biotype:protein_coding transcript_biotype:protein_coding MMQNGVNMDEIGGQSHETQNGWHRSSPIWEGSLYGRKQGEPIFITKLEGYRRSSASETLAANWPPEMHIVRIISQDHMNNKKYVGEADFLVFRARNTHGFLGLLQEKKLCAVIQLQSQTLLLSVSDKACRLMGVLFPGDKLVSKSQLSSLQQQQHQQMQSQHTTSAQSNYISVWEGSLLGLRHGQPKFISKLEAYRSSSSSETLVANWSPEMQIVQLIPQDHMNNLQQYVGNADFLVFRAMNPHGFLGQLQEKKLCAVIQLPSQTLLLSVSDIACCRLIGMLFSRDMFVFNQQQQMQQMQQMQQQHQLSQLQLQLSQLQLQHQQLLQQPQMDGHFQMVSQGHVSSQGATNIEEGNLMS >RHN54475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9891094:9892010:-1 gene:gene29525 transcript:rna29525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane transport protein MIDVLTHLLAELISPPAISVFFGFLFGAVAWLRNLIIGDNAPFRVIQSTLELLGNGTIPCITLLLGGNLTAGLKSSSVKPLTLICIIITRLFVLPVIGLFIVKAAANYGFLPVDPLFQYTLVMQYAMPPAMSISTMAQVFDVGNEECSVILLWAYSAAAIALTAWSTFLLWLLSY >RHN61842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38788796:38790176:-1 gene:gene24343 transcript:rna24343 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQMFRGGVYHETETFLEVREVHIQKHGKNLDKNFAAAVNDIKESNKDKGGGDTDNKKQHIGEPHSGRYNESEEAKGVGGYLNKFEIKGVVEAAAKGLRDREEKETTMDHNRCQKAMDDTNANAQERVKQEARNNEEESEAKRVSQNKEYKEGPSEKTATKSFEETQGQDHEGIEKSSVVRPLPQMANESNTVESFQGVQERDKAKKQGVIGETKIQHAEKMNKTDESLQSQEGGKRNKVYATYADAVKANDSTKSIQEQELNENIAEKSFEGNNEQPQDGVVKMEKVTDGGSTVLGAVGETVAEIGENMIQPAKKVMEKSEEGKEGGVLGAIGETVAEIAQTTKVLALGEGETESKQSIESNAK >RHN62616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44714450:44722475:-1 gene:gene25211 transcript:rna25211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MAQKSEDEPKPATTTSSSSTPNPVPSSVEPNMLPKSTMIPATSWFTPKRLLAIFCVINMLNYLDRGAIASNGVNGHRGTCTDGICKSGTGIQGDFNLTNFQDGVLSSAFMVGLLIASPIFASLSKSVNPFRLIGVGLSVWTVATLCCGLSFNFWSITVCRMLVGVGEASFISLAAPFIDDNAPASQKTVWLSIFYMCIPGGYAIGYVYGGVVGSHFGWRYAFWVEAVLMLPFAILGFVMKPLQLKGFVPAESKKVLAVETVPLGVQDGEASNRNNESHEPSKPKHANRILNQLSLFLKDMKELLSDKVFVVNVLGYIAYNFVIGAYSYWGPKAGYSIYNMTNADMIFGGITIVCGILGTLAGGLVLDYMTNTLSNAFKLLSLTTLVGGAFCFGAFAFKSMYGFLALFAIGELLVFATQGPVNFVCLHCVKPSLRPLSMAMSTVAIHIFGDVPSAPLVGVVQDHINNWRTTALILTTIFFPAAAIWFIGIFLNSKDKFNEESEHQVSRVEGTTTAPLLEEKTAEPKSQEC >RHN42422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38230122:38233236:-1 gene:gene48860 transcript:rna48860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MNKSRSTSALFGHPCRRSVQDRPSYRRTRSIFSDSSSGLSHSEPVDSDDYSDVFGGPPKSLLVHKCSSSGSLYEGIFRMPEFTPPVEKCDLSLPVFRIPVKNEGFFNDIFGSDDDRKSRERSGSLSKANSSSALSSEELSPCRPTMGEEVPLSPLASKLRPTSAPLKWNSSTMVSEEYPSKQGVSFFSCNDHSFGVQHEDNEYKTNFKSSPQGFSKLVSSPATTSLGSDSYQGAKVFADDCELNSPSESKCSVYDHVLSEQITEQDDDGESDDDYSDDDDVMSSYVIEINSSLRREDCEASDIDEAIAWAKEKFQSRSPDEKSRMRYDGNEQTVKMQGSPDASEYHDYGIGKVQPPKKQQTQTEKLDEDIRMWSSGKETDIRLLLSTLHHILWPESGWHTIPLVNLMEKSQVKRTYQKARLCLHPDKLQQKGATLLHKYVAEQAFSILQDAWAAFISEDVSF >RHN68074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32595966:32602126:1 gene:gene16367 transcript:rna16367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MTMEEVSLPGTLPFDRALEQAIVSIKKGAYLLKCGGRGKPKLCPFRLSPDERNLIWCSGQQEKHLRLSGVTKIVQGEGNIRSQRQNETEKECHSFSLIYANGEHSLDLICKDKAQAATWFVGLKAVISRCQQPKAFSSLRSCKGVQSCVSSPSGILRRKKNLGLLDDTSQFTQVHSVCASPTLSLSERCFSDGLSYKSEFYSSVSSLSSIHGMTDNSVPSSPYINPDIHSNIKTSRFEKEYKKELSSNRSIMPPASALVGNNVLKDVMVWGGGIGCLVGIVNERFVQNSIYSLVPKLLESTAMLDVQNVALGGNHAAIVTKQGEVYCWGQGKCGRLGQRIDMDISSPKIVDTLSDIRVKNVACGEYHTCALTDSGEVYAWGNDVSCSDLVDEGRIRSQWLTHKLSLPVEGISISSIACGEWHTAIVSSCGRLFTYGDGTFGVLGHGSYHSFSSPKEVESLKGLCVRSVACGTWHTAAIIEISAERFKYNTSTGKLFTWGDADEGRLGHADNVNKLVPTCVSQLVDYDFVQVSCGRMMTLALTNMGKVFAMGSAKYGQLGNPHVKDRAVVVEGMLKQEYVKMISCGSYHVAVLTSSGSVYTWGKGENGELGLGDTENRYTPCFVEALRDRQVDTITCGPSFTVAICLHKPISISDQSSCNGCRLPFGFTRKKHNCYNCGLLFCRSCSSKKVMNASLAPVKSKAFRVCDSCFNRKQGSSEHPAMDSSKSRNYNNQQIQRHHQNMTGDVTEDRGETNVTNGPMLSLSQTCYRKNMPSGRKVWKSQQDLEDSSSKLGNVIQCGQGQVPYSAQFRINCTENSVVHETETTKSDKLLMEEVQRLRAEAKRLEKQCELKNQEIQECQQKVEESWSVAKDEAAKCKAAKEVIKALALRLHTISGKDNHGLEQKAGLQELLPNLAPIHTDTNSPRNANMDSLSNSPIIFSSALKSKFGRSILLKKDNNLTKAESQQENALKVEWVEQYENGVYITLTKSPSGEKGLKRVRFSRKRFSQKEAERWWEENQTKVHHKYEIETR >RHN59323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9573015:9573475:1 gene:gene21334 transcript:rna21334 gene_biotype:protein_coding transcript_biotype:protein_coding MAFISISIATRSFQNACDEGEWFCYASVGCIEQDNHIGEEDSYREGDDDDDGGYDYAPAA >RHN61658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37235374:37242197:-1 gene:gene24145 transcript:rna24145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isochorismate synthase MATTATTNKWLTNFINTNGCNILVPHVSKRQRQQHYHHQQSMYLIHRQHRPICKGCYLSMNGCKEGENNKRTREPVRTIETRTLPPVASAAMAMYSLKMAISELKESSPFCTSSGIVRVEVPIEEQVEAIDWLHSQNHLLLPRCYFSGREQKSCPGNLVSIAGVGSAVSFSQSHPFSYWDWISIRRFLSERCPLIRAYGGIRFNAKAKVSSEWMAFGSFYFMIPQVEFNELEGGSMLNITVAWDNDLCWSWEKAIVALQETLCKVSTSNVKFPKQAPPTLILSSHNIPSKEDWNLAVNRALQMIEQHDSSLTKVVLARSTRVVPTVNIDPLTWLACLKVEGGNAYQFFLQPPNAPAFIGNTPEQLFHRKRLHITSEALAGTRARGPSLALDRQIELDLLTSPKDDIEFTIVRESIRRKLEAVCEKVIIEPKKMIRKLPRIQHLFAQLTGRLRNEEDEFEILSSLHPSPAVCGFPTEVAQVLIAETEVFDRGMYAGPVGWFGGGESEFAVGIRSALVEQELGALIYAGTGIVEGSNPYLEWDELELKTSQFTKLLKLDLPLRQKVESK >RHN69580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44579779:44586705:-1 gene:gene18063 transcript:rna18063 gene_biotype:protein_coding transcript_biotype:protein_coding MCNRKRGGEVQEEEWLNNALNQTQTKELTTKKKERREPPGEMLRSALQSQKFHCGSRKERCVIQTI >RHN66666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16003807:16007912:1 gene:gene14706 transcript:rna14706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Smr domain, tetratricopeptide-like helical domain-containing protein MCVNKTTEEKGSRFTCTEANLYTNATSRVEGASVSETPASGTSSHKVESVDKSGSVQQVRSTSLPSSKSNSVAYACVADDSQNHVTESSPLDGEQIYRAGIAAVNFISDLVNSKLPLSDGMGILSYSKNCMVEPIANIRSSNVKKIRKEDFISVHPRPPVSTERGPSNNTSSYHGSKGRGDKSKIGKGFQHAASSGTGKSEVAPSIPFNNYDSRPLPQRTRTHANRFVTKFDSNMQTSNSQMLGSFKESFNRYPRDLKTSTGTTSSKAHYAKTNRRGVEVVKDILQQLKWGPATEEALYNLNFFIDAYQGNQVLKQLEDHSVALSFFYWLKRQPSFRHDGHTYTTMVGILGRAREFGAINNLLEQMVKDGCQPNVVTYNRLIHSYGRANYLKEALNVFNQMQEKRCEPDRVTYCTLIDIHAKAGYLDVAMSMYERMQQVGLSPDTFTYSVMINCLGKSGNLAAADRLFGEMVDQGCVPNIVTYNIMIALQAKARNYEAALKLYRDMQNAGFRPDKVTYSIVMEVLGHCGYLEEAEAVFVEMKQRNWVPDEPVYGLLVDLWGKAGNVEKAWEWYGAMLSAGLLPNVPTCNSLLSAFLRVHRLPDAYNLLQSMVALGLSPSLQTYTLLLSCCTDAQSQYDMGFCCELMKVSGHPAHVFLQSMPAAGPDGQNVRDHASKFLDLMHSEDREGKRGLVDAVVDFLHKSGLKEEAGSVWEVAAQRNVYPDAVKEKSRCYWLINLHVMSDGTAVTALSRTLAWFRKEMLVSGVSPNRIDIVTGWGRRSRVTGSSLVRQSVHELLRLFSFPFFTENGNSGCFVGCGEPLSEWLHHSYVERMHLL >RHN75190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40057265:40057474:-1 gene:gene11359 transcript:rna11359 gene_biotype:protein_coding transcript_biotype:protein_coding MLCAYKPDVLASTMKALDSLGLDVHRANISCFNGFSLDVFKAEVYICFSPLYFIKFLIQLPCHLFYNFH >RHN48452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47573588:47575364:-1 gene:gene43169 transcript:rna43169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MDKNPHSEIQLPPGFRFHPSDEELIVHYLRNKVTSSPLPASFIAEINLYKYNPWELPSKALFGEEEWYFFTPRDRKYPKGLRPNRAAGVGYWKATGTDKPILTSCGLKSIGVKKALVFYKGRPPKGSKTEWIMHEYRLHDSMISNSNHSGSMRLDEWVLCRVRQKMGSPRSSWEDSNELSYESTSQFQQMTVNSNPEPVKNYVQNEYPMLPYILASKSALPNSIGMTSDNVNIQASSYDDDLNVIGAQFLSATTEGLFNKPLKRKAVEDNVAMDFYDVLNKSLSREVDGRKQSLEKDLSKGYNFYSFDQWTSIIQPQELNSLTFTGYT >RHN73105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13499208:13503626:-1 gene:gene8885 transcript:rna8885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-B-type family MKLNNNNNKISMSTSTSPSNSGNLVSDQFPAGLRVLVVDDDPTCLMILERMLRFCLYEVTKCKRAEVALSLLRENKNGFDIVLSDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKNVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKRKNSWKDLRQSGGLDERDREKKASTDANYSSSTNEGKSSKKRRDEEDEADDRDDCSTSKKPRVVWSIELHQQFMAVVNQLGLDKAVPKKIMEMMNVPGLTRENVASHLQKYRLYLRRLSGVSQQQSNLNNSFMSAQESIFGGTSVNEIDLQTLSASGQFSAQSLAKLQAAGLYSPENSKLRFGDGQLQHMSSSKPVNFLHGIPINIEPKQFANFHQSAQSFGSANMRVNASAAKRSPWLTQMAQSQPRGQVLNENTNSHATMFPSSLMQPTGPNGISVNSFPLRCTSGISSSSTKGAFHAEATSGIKGLGGFSYDVYNELHRKSNDWGLANTGLTYDGSQHSNTLQGNIDVSPSILGHRGFSSIQQTRQNRDGMSQGDHQNVGQHLNTPFVDNSLRGKSERIPGTNIEINPFSDQSQEDLMSVFLNQHKGIGQVDNEFDFDGYSIDNIPVYNRSC >RHN50848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11105699:11108837:1 gene:gene35187 transcript:rna35187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MDRSLIPSFLYSTTSSTHKRITEDVSSVYHVAAESPKFMIPSPNESGRRNIKLFSRDYYLACAVGGSICCGFTHMAVTPLDLVKCNMQIDPAKYKSISSGFGVMLKEQGFKGFFRGWAPTFLGYSAQGAFKYGLYEYFKKSYSDVVGPENATKYKTLVYLAGSASAEVIADVALCPFEAIKVRVQTQPGFARGLSDGLPKLIRSEGVSGLYKGVVPLWGRQVPYTMMKFASYENIVEMIYKHAIPTPKDECTKTLQLGVSVVGGYLAGILCAVVSHPADNLVSFLNSSKGATAADAVKKLGLWGMCTRGLPLRILMVGTLTGAQWGIYDAFKVSVGLPTTGGVAPAPAPAPASAS >RHN48034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44432371:44432979:1 gene:gene42703 transcript:rna42703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSSITKKMITLKSSNGETFEVSEAVALQSQTIKGMMEENCGNNGIPILNVKSKILAKVTEYCKMHVEASLDAEFVNVDHSDYLKVKVAEYCKKHVDVNDLKAWGVIGKTLAKGIDYCEKQVDADTANSNDLKAWDAKFMKKTDMKTLCDLMLAANYLNIKGLLDLTCRAVPDHARREKEQILFFKFAVALFVLCFLFVGRLD >RHN60621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28948152:28948801:-1 gene:gene22962 transcript:rna22962 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSNISHKNHFFLFQQYHNNNMEQSNIQLSINTTKTQKIIKIDNTPR >RHN64520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59736551:59746716:1 gene:gene27343 transcript:rna27343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SAC domain-containing protein MASSDNFEPSSLSHQSTKPPSNLCMQKFRLYETRSNFYMIGRDKSRTYWRVLKIDRLDPSELNVREDSTTYTERECSDLLRRIHEGNKATGGLKFVTTCYGIVGFIKFLGPYYMLLITKRRQIGAICGHAVYAISKTEMIPLPNSSVRSNIINSKNENRYKKLLCTVDLTKDFFFSYSYQIMRSLQRNMCDTETGHVLYETMFVWNEFLTRGIRNHLQNTTWTVALVYGFFKQDTLEISGREFILTLIARRSRHYAGTRYLRRGVNEKGRVANDVETEQIVFEDVPEGLPIKISSVIQNRGSIPLFWSQETSRLNIKPDIILSKKDQSYQATKLHFENLVKRYGNPIIILNLIKTHEKKPREAILRQEFANAIDFINKDLSEENRLRFLHWDLHKHFQSKATNVLLLLGKVAAYALTLTGFFYCQVSSTLRPEDCLKWPSTDDVDKGSFSPTVHVEYDNEDANDLERKPSDEINVSNENHSAKPPRLQNGVLRTNCIDCLDRTNVAQYAYGLAAIGHQLHSLGIIEHPKIDLDDPVANDLMQFYERMGDTLAHQYGGSAAHNKIFSARRGQWRAATQSQEFFRTLQRYYSNAWMDAEKQDAINVFLGHFQPQQDKPALWELGSDQHYDTGRIGDDDARSFFKRSFSDGNILRDSSTPMPASNAKDEKFSNPGLPDRSGEGSKAFCESSPEISTTETESDISFSRYTPSMPRRQLFVDMQKERCAESHHIYYSEHGDSFSCSNFVDLDWLSSSANSCEEDPYERSSITNSPVGGLSSENVVNGEIVGETTASTSDWGSTSLKEREPTESEVSYSEARSNNQNEFPDTFVEWVTYGQTLCH >RHN48057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44619903:44621572:-1 gene:gene42728 transcript:rna42728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MKEGRILSVKVKKKHLKNGKNVSMGFGFVEFDSTETATSVCNDLQGTVLDGHALILLLCHVKNDGKVYKRKLRKIRVQLRCM >RHN63566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52267800:52273978:1 gene:gene26280 transcript:rna26280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Smr domain, tetratricopeptide-like helical domain-containing protein MSHSISISNSLHLPNPSPFIPTLLDPNLQLSFPLFSKPKAQRKLQFKAHAKPRELILGNPTVTVETGKYTYDVETLINRLSSLPPRGSIARCLDSFKNKLSLNDFAVVFKEFAQRGDWQRSLRLFKYMQRQIWCKPNEHIYTIIITLLGREGLLDKCREVFDEMPSQGVARSVFAYTAVINAYGRNGQFQTSVELLESMKQERVSPSILTYNTVINACARGGLDWEGLLGLFAEMRHEGIQPDVITYNTLLSACAHRGLGDEAEMVFRTMNEGGVVPDINTYSYLVHTFGKLNKLEKVSELLREMESGGSLPDVSSYNVLLEAYADMGFIKESIGVFRQMQEAGCVPNSATYSILLNLYGKHGRYDDVRDLFLEMKVSNTDPDAGTYNILIQVFGEGGYFKEVVTLFHDMVDENIEPNMETYEGLIFACGKGGLFEDAKKILLHMNEKGIVPSSKAYTGVIEAYGQAALYEEALVAFNTMNEVGSTPTVETYNSLVCSFSRGGLYKEVEAILFRMSESGLPRDVHSFNGVIEALRQAGQYEEAVKAHVEMEKANCDPNESTFEAVLSIYCSAGLVDESEEQFQEIKASGILPSVMCYCMMLTLYTKNDRSNDAYKLIDEMITTRVSDVHQVIGQMIKGDFDDEFNWQIVEYIFDKLNSEGCGFGMKFYNALLETLWWMCQRERAARVLNEASKRGLFPELFRKNKLLWSVDVHRMSEGAALTALSIWLNDMQEMFMTGEDLPELAAVVVARGKMEESTDAQESPIAKAAFSFLQDNVASSFTYPAWNKGRIVCQQLQLRRILSGTRSSSSTKKMNKLVSLSNSPSFTAAGALTSKSDVQSSRANGVDSRTNSTRTELLTSAV >RHN76580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51404085:51408035:-1 gene:gene12929 transcript:rna12929 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLKEAKQKEEKKQTEFGLAMSRAKRWDQMNGIIILHIKKPSTHATQTKKKRKKPETFHFSHSFLFSISNLPQMCDYDWVNLAMANDSIVANLLLHFNNPPPPPSLQLHWTIRQPRSRSIPKSESSIRASPTTPLTCSWSATTSASEESNLPTKLITKTSSRSKVIANPKETVITRNPKRKKTLAELKEEESLLLKERINLKNELASLRLSVEKERATNESLKRMKLDLESQQNSSTSNASLKVQEIGKQEPKFVLPDLNLLVEEGLSY >RHN56025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26948113:26948846:1 gene:gene31341 transcript:rna31341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MNFIYHEVIKSFSIYYRQKEKNMTSFLKFVYIMVLFLSLFLIATDVKAFLKCDSDLDCPPKMCYSHLSFVPLCVDNHCDCIQWKFKNNIPKAFP >RHN65579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3404723:3407029:1 gene:gene13433 transcript:rna13433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Transposase-associated domain-containing protein MDRSWMKASRLSDEYENGVTDFLQFAERNLPNSNGMYPCPCVKCGNRSPKQTPEEIRNHLICEGISQNYTTWIWHGESLNKQSVSHVKK >RHN60883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31369544:31370505:1 gene:gene23276 transcript:rna23276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MLLVHPYKYHTNNESHLIKTKNQMAIKFSFTSFSFKIIVIHLSLFSITLKYAKTEEYPPPLTFYMHDILGGSNPSERIMNGIIVNTQQTTNIPFSKPNNKILPNKGSIPIFDTSISTNGSPSTKTIIKNIDKNKVVIDTNSNSLPYVTSNQLPLGVTIQKLLFGRITVIDDEITKGYELNSDVIGKVQGFHLVSSLDGSSQTMAFTALFGDESHDDDDDAINFFGVHRMATHESYIAVVGGTGKYENARGYAKIETLQLPYQHRSTNNGMETLFQITVYL >RHN75263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40738356:40739615:-1 gene:gene11436 transcript:rna11436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MVSPSLSTNLPSHLSLKLLKEALDVRNFKRARQLFDNIPQPDPTTSSTLISALTTHGLLNEAINICTSLRERGIKLDIPVFMAVAKACAASRDALNVKELHNDATRCGAMFNVFVGNALIHAYGKCKCVEGERRVFDDMVVRDVGLNVFHEMGWNGVKLDPVTVSSILPACADLKDLKSGKAIHGFAVRNGMVENVFVCNALVNLYAKCLCVREAHAIFDLMPHRDVVSWSGVLTYFTNKEYEKGLSLFSQMCRDGVETNEVTWSIVIGGCMENR >RHN49864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1789370:1791092:1 gene:gene34089 transcript:rna34089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MTRKRSFYMDFLPPVVIIGNECVDMALLTLFKAATLQGMNNHVFVAYAYAVATSFLLPITFFRRRSRVVHPLSFSIICKIVLLGAIGSSCQIMGYIAINYSSPTLSAAIGNLVPAFTFMLAVIFRTCEALL >RHN49343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54121051:54126665:-1 gene:gene44162 transcript:rna44162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MECNKDDALKAKQIAEDRMKSGDFVGALKFAKKAQRLFPEIQNITQILTACEVHCAAQNKLSMSDMDWYGILLTDKFTDEATIKKQYKKLALLLHPDKNKSAGAEAAFKLIVDANRVLSDQTKRSLYNAKISRLVGITAPQGPPYQADRNNYNTSFYSHSHTQNSSQTFWTLCQHCDTKYEYYRTVENSTLHCQQCSKLFKAYDIGFWGAPSGHTSSSFNSHKDPPNHVPPKEASKSNGGKPYGKGPADKFVPSCPVPMAKCSAGGDASSKVRNSKDSNGAAGVTKAGAGTSNGTTSKAKQSQTPTKIGSKRARQSASADSRYDNMDGNSNGMKDSDVQKSGVDPSGLDSGVHSRRSSKIKQQASFTETAGDGEFKNASKRQRQDKTTKVDKRKVPANGGLFNNNTSPTSFTADVAAQNGEMRNKENAQPEKTVSRNKMKTEQLNPQRKETSNPDIICCPDPEFSDFEKVRKKDCFAVGQYWAVYDNTDCMPRFYARIKKVHSPFGLEYTWLEPNPVRKDEIDWHDAGLPVACGKYRLGHSQISRDIVMFSHEVHCIKGSGRGSYLVYPMKGETWAIFRHWDIGWSSEPEKNSEYQFEFVEVLSDFDESDGVKVSYLSKVKGFVSLFQQTVQNGISLCCIPPTELYRFSHRVPSFVMTGKEREGVPSGSYELDPAGLPMSVFQVGDHGDMKDNEKLNNVRSSFQEPSKCKVEHEKLNESVHKAKLRESNGTERVPQISRRVSPRSNTKSMGNGQASTSHYMAREDDKNSSHRDCSQPEGSEAAACQTNENFETSKKPRKRNYHGDVLTVRRSPRDLSKKNDVGGAGDCATDNLTDNHSNPNNNIKETVFSQLAGSAIAHLKKDLRVVGAYYDFNKEKPREMFQCGQIWAIYGDRDNFPDVYVQIKKIESSTNFRLHVSELEPCSSPKGLKQTISCGSFKTKKAKLLILSPSTFSHQVKVEPTGNRIYEIYPKKGEIWALYKEQNYELISSNQGRGRSECHIVEVLADSDKSIQVVVLVRHSRSQPIFKPPIIRRSKTSIIEILREDVGRFSHQIPVFKHNGEDDVQLRGCWVADPSSIPGFSHT >RHN82777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56285484:56288980:-1 gene:gene6963 transcript:rna6963 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQSQMMMNLNKMNQSQMMNPQMMNPPPQVINNQSQQQQQQPQLLSHQPQMKMMSQNQQQLPPPPPPMMTQNRGGGGYNNKPGRSNNNNNWKGKKVSDKRKDIRRMDKPNQTISMGIPNNIVGYQPPTLHELQSQNRLKARKFYPKKKFNARFAPYAPRNTTSFIIRAKKSGGIASLVSPCPVTPAVLPTPILSPSREILGDMAKEEWGVDGYGSMKGLIRLRDVHDDEDEEDGGSGSSESDVEEHVEVERRLDQDLSRFEMIYPNYGGGDYNNVLENRVDDQDSHIAQLEEENLTLRERLFLMERELGDLRRRMLFLERQNQAVVEDVNEEVVENGSDNESEGGSDVPVMGIENNVDMVDSMLESGRNENIEANVAVKLDNDGVSEAEGVDDVFMEESVPSEVVSNQDGIKSNELGGGFMFDDVKEEKDKQKNELATQQCLTDEGFAKENELVNRKESGENEMIDRNDELKTHEEANESIDGLVSETDECKNQIVGKSSETARDDDLSVAQ >RHN80714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40363212:40363628:-1 gene:gene4672 transcript:rna4672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acyl carrier protein (ACP) MLMLKCLVLVQAKPQTVQKVCKIVKKQLGLPDYSIVTGSSKFAMLGVDTVEILMELEEEFGISVELEEDIAESISTVQEAAESLEEKCPGCETCW >RHN60269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24443659:24443937:1 gene:gene22553 transcript:rna22553 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQIGEWLRSSLLTPNFHQTNNHDRTRQTQILLRPPSSAVVAILSTSLVRVFPW >RHN59349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9772405:9773339:-1 gene:gene21367 transcript:rna21367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MNFTIHYKEIKSFTIIWMQIGKNMVETLKFVYVILLFLSIFLFNKSPFSQIMFSDCKTDKDCPQFRRANIRCRKGQCVKL >RHN44866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9242276:9243007:1 gene:gene39033 transcript:rna39033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling SNF2 family MFWIVLAKEQSGIDTQVFLSWAINTFLALKGSQQTKFAHGNYMAKTLREIPGILILDEAYNPRSTKSRLKKCLMELPIELRKLLSGTLFQNNFCEYFNTPCLARPKFVHEVLRELDFKYLRRGYGENKAQHFLEARPRRFFMENIARKINSHNDEEKMQGLPVLQKITSSFIDVYESGNSSDTPPGLQIYTLVRNTYDEQHEVIYKLQKKMAECTSYSLEVEILITLRSIHPWLIKIATTCAY >RHN39724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9999566:10005865:1 gene:gene45783 transcript:rna45783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transport protein particle (TRAPP) component MAPVAPRSGDAIFANIEHVNAELFTLTYGAIVRQLLTDLEEVDEVNKQLDQMGYNIGIRLIDEFLAKSNVSSCVDFRETAEVIAKVGLKMFIGVTASVTNWNAEGTCCSIVLEDNPLVDFVELPDTYQGLHYCNILSGVIRGALDMVSMKAEVTWLRDALRGDDVFELQVKLLKQVPEEYPYKDDE >RHN41865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33687761:33688333:1 gene:gene48229 transcript:rna48229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MASSLHNYVINVVLFLAIIQFKTTSSSSHHHHHHHNNLKSIHFSLYQHETINKTGYIIVNGIKGNAGVTQTTTPFGTLFVFQDPLTLTSNRSSKLVGIAEGTSITSSLDGLRSISIAKLTLRLKNHKGSVSIVGGTNNVKASDHPIVGGTEDFMFVQGYVTSSPVDLKGLTVVYKIEFHIYWPPYAIQSS >RHN68033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32277685:32282960:-1 gene:gene16319 transcript:rna16319 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSRKHVTSIISLSLLVLLSLTCNLNSVSASSGGVAGGSFFKSSSSSSSKSFTTYSNSPSVRVQHHTRSHHARPKSTNGEGGSAFLLMVGIMVVIYVVCRCTENNDTPVTVLKLQVGMLDEMGCTLQRDLARIAEAANTSSKEGVRCLLKETIQTLDKHHGYCIAGYSSVDLKRSEEGGETCYNQLSIEEREKFDGETLVNLSNNNKTRIRSQSYDRFSNEYSTFDVKKNAEETEKLEKEKLRSGFDNKYIVVTILVATRGSHELPNMKAAEDLKEALQKLKSHLYWRDLLAGEVLWTPQKEDETLSDGKLLKDYPQLAKSMKYE >RHN51587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20306880:20312996:-1 gene:gene36052 transcript:rna36052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA reductase MSPSEFTLSSSAEEVIPSEFTLSSTAEEVTHGIDGSGLAAIVTGTTHGIGIETARVLALRGVHVIMGVRNINAGKIVREEILKEIPKAKVDVMELDLSSMASVRKFASEFNSSSLPLNILINNAGICAPPFTLSKDNIELQFATNHLGHFLLTNLLLDTMKKTANESKKEGRIINVSSDGHGYTYDEGILFDNINDESSYQRWRAYGQSKLANILHANELARNFKEDGVGITANSLHPGCIGTNIVSREVGQTMPLDLRKSLGKIVVKTIQQGAATTCYVALNPKVKGISGKFFCDSNVAEPSSLGKDTDLAKKLWDFSMNLIK >RHN79228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26253208:26257713:1 gene:gene2976 transcript:rna2976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative G-patch domain-containing protein MMAESTTSNRQSDDDNDDYMADLSKFLPSDDAIIHPSIPSKRISDKKDPSINSSKSQLKALNWQERRKIERERKQIQEDEQTLAKVEAPIPQSNIGFKLLKQMGYTPGSALGKQGSGRAEPVGIEIRRSRAGIGLEDPHKEKKKREEITIDRKRKNEQALMEEFGSRQKSRWQSRRVIVNFNKAKATLDQLENREIVEPTKNEDDAEGEDQEEEEITEEDLLDVLMKLRDEFNYCLFCGCKYESSSALLDNCPGINEDDH >RHN75658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44127497:44128649:1 gene:gene11892 transcript:rna11892 gene_biotype:protein_coding transcript_biotype:protein_coding MNRATATSKAWMVAASVGVVEALKDQAGICRWNYALRQAQQHLKNRAKSMSQAKNFSSSSFLATKFKDEKKSKQAEESLRTVMYLSCWGPN >RHN69172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41500169:41514713:1 gene:gene17606 transcript:rna17606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA repair protein Rad50 MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNARSGHSFIHDPKVAGETETKGQIKLRFKTAAGRDVVCIRSFQLTQKASKMEYKAIESVLQTINPHSGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTYKLKLENLQTLKDAAYTLRENITHDQEKAESLKDQIQQLDGSIKDLDAKIDHVDKTLKHLLELKGQISSKTTERSTLFRSQQKQYSDLEEEFEEPDEELMESKTKFDEKIANSQTQISKLDREKSDINTKFPVLKKTIDNSIWEISKLQTEAEAHMSLRSERDSCIKNIFDRYNLGSLPKPPFSAEDALNLTNRVKSRLGDLEKDLEDKKNANNTELKMAWDCYLNANESWQNTEAKIQTKREHKAGIIKRIEEKKNELESFELEISNIDVSHLDERERELQIRLEGKIKQRDEREFELKKSEIENKALNVEQKIRALNREMLTMATDSKEREKLSLMKGDLETKKKQHKKIIDDQKDKIRRVLKGRIPSDKDLKKEITQALRTVVAEFDDLNAKYREADKEVNVLQMKVQEADNNLSKHQKDMSSRKKFIESKLQSLDQQGSGIDSYLKVLESAKEKRDVQKSKYNIADGMRQMFDPFERVARAHHFCPCCERSFSAEEEDSFVKKQRGKAASSAEHLKILAVESSSADSDYQQLEKLRIWYEEYVKLSKETIPNAEKELQQVKEELDHKSQALDDVLGILAQVKTDKELVEPVVKYVEHADRIFLEIQTLQKKVEDLESELGCGGPEVRTLEEIQLELVALQGTKDNLHTELTNLMDEQRNMDTDISGIQSRLQNARADKMNAAMKLQDVKRLEEELERLTEEMTQVDLDEKSLTEAFGPLSKRKDKIRADYNELKLRLDHEFENLVEKKRIYQQEAEAVFGMTSKIKEYSNSKKGDRLKELQEKKSLLESQLQSCETRMQEIIDELDKRKDLLRNTDLLRRKIEDNLNYRKTKAEVDELEHEIEILEENMLKIGVSDTIQTEHQKLSQDRQRLLSESNRCKGTIDVYQSNISKNKIDLKQAQYKDIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDCISIHSDSEGGGTRSYSYKVLMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAAILRIMEDRKSQENFQLIVITHDERFAQLIGQRQHAERYYRVAKDDHQHSIIESQEIFD >RHN67677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29214608:29216788:-1 gene:gene15888 transcript:rna15888 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSVRNRRLAWDPLKRHRSMASAAFLPSELIVEIISWLPVKYLMQFRILASNAKPVLMFCGT >RHN47167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37622387:37622750:-1 gene:gene41732 transcript:rna41732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-acetate beta-glucosyltransferase MPLDSDQGIDAKFVADVWKVGIRTLFDEKQIVRKDTLKNCICEIMDMMRKAKRL >RHN53410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1510615:1510797:1 gene:gene28313 transcript:rna28313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone synthase 2 MVTVSEIRRAQRAEGPTTILAIGTANPTNCIEQSTYPDLYFRVTNNEHKTELKKKFQRIC >RHN65560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3218233:3221803:-1 gene:gene13407 transcript:rna13407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAETAVSFVLQQSFPFVVEEGRLLTGFRRDLKEIKNELESIQTLLKDADKKAAEEGERANKEVKAWTKQLREESFRIEDVIDYYNMNVAQRVNHSGLIALLQNIFHMIPTLKSLYHVGSEIRDIKLSVAGIKRRSTDFDFQSERGTKAARFGDPEIASYFNEDVVGFESPRDELVRFLVEGTSERMLVSVVGMGGLGKTTLAKYVFDKHEVKNHFDCRFYILASQSNTTKELLIDMITKFYEHSNEPIPKGLKEMHDITLTTHVRAYLESKRYLMWFDDVRKEEFAEGIEYALVSNNNGSRIIVTTRMMHAAEYFRESFPGHVYKLQPLTPNKAWELFCNKAFRGECPEHLEKMSKDMVQKCGGLPLAIVIIGRLLSTKAKLKSEWKKVSQNLKELDGNVHFTRLTMILSRSYEDLPCHLKLCMLYFGIYPENHTINCKRLTRQWIAEGFVMCVEGKTLEEVAEEYLKELIYTSLVQVSSVGFDGKVKSCRVHDLLHHIILRKMKDESFCHIMYEDQEQVTVGITRRFSIATFSNNVLRNNSNSGIRAIFVFDSREMSKYFIDSLSVKFKFVKVLDFGHSLLNYVPENLGNLLNLKYLNLSHTKVMVLPRSIGNLPNLETLDLRQTQVVELPMEINKLTKLRLLSVYNKKYEEHCSMLNFTTGAQMQKGIGCLKSLQNLYFLEAGCGGVDLIQELKKLSKLRKLGIRGVRRTYGNAVCTAVQEMINLESLNITAITEEEILDLDFVSAPPYLKVLNLKARLTKFPDWIPKLEYIVKLVLGFCKFDHDPLDSLKNLPNLMRLILWDDAFAGESLHFQEGGFPMLKELDLTRLNRLSSVSIDRDALLGLEHFRCINIPELKVLPQELQNLKNLQFLGFADMPVELVDSIDREKDGACHWIINHIPLVLIREKVGSSFHEYEWRHIPTQLNV >RHN77075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3809720:3810518:-1 gene:gene486 transcript:rna486 gene_biotype:protein_coding transcript_biotype:protein_coding description:17.9 kDa class II heat shock protein MDFRLMGLDAPVLHALHQMMDLSDDNMEKTSSHNAPTRSYVRDAKAMAATPADIKEDQNSYVFVIDMPGLKSGDIKVQVEDDNVLVISGERKREEEKEGGAKYLRMERRVGKFMRKFVLPENANTDAVSAVCQDGVLSVTVQKLPPPQPKKPRTIQVQVA >RHN80960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42230902:42231240:1 gene:gene4946 transcript:rna4946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MPSSSDTNKRLKKGTLWSKEEDEILKAYVEKHGTGNWKEVSKNTGLAHCGNSCRFRWYNTLRPDLRKGPFSKEEEEKFFELFSKFGEFKWSKMALEVYFCSCFTSSFSFSFI >RHN72563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8635006:8636178:-1 gene:gene8289 transcript:rna8289 gene_biotype:protein_coding transcript_biotype:protein_coding MYCLTCIKHWYPNMSIGDIAERCPFCRKNCNCNVCLCSRGMIKTSNRNITDCEKVHHYRYMINLLLPSLKQIFEEQCQEKEIEAKIQGTSYSEIEIPQTPFHDKERIYCDHCATSIVDLYRSCPKCSFEICLSCCKEIRNGSITPRFEMMFQYKNRGDEYMHGGDPLPITCDTSNLEGNVEIFTKWNVNSDGSVECAPKELGGCVVV >RHN71292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57984053:57986192:-1 gene:gene19953 transcript:rna19953 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRKLTDKISFYGPNQVKKKTGEQLHQFLQESGEKFLLEDGQLIGSVNELLGKGYAVVVDVPSEERAQFSTSPSFLDEEAQLSGTVGYTVVVDVPSEERAQFSTSPSFLDEEAQLSGTVGYTVFDDVPSKERVKFSTSPSFLDESGTISDLLETEEKKGDTIGVEVPSATEETSVVSRIKKFHLHSPRRLVVSPLKAYKENKIIKRRKAKRWSEVEESTLRKAVEL >RHN54754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11886316:11887044:-1 gene:gene29836 transcript:rna29836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKRDEASMFSTSSSGRSILHVAAIAGHEEIVKKLVKEGKDKLVKMKDNRGYTALALVAELTGNTKVAKCMVEKKGGQVVDQDLLSMKTNNGEIPVLLAAAKGHKEMTSYLVPKTRVEEMTDKDFHNAVLLLTRCINAEIFDAALSLLQRFPQLPLTHKSESDGVQPLYALARMPSVFPSGNKYGFIRRFIYKSKSIIWFFLIGVLTITINAK >RHN39694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9660359:9661036:-1 gene:gene45743 transcript:rna45743 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTACNDLSEDLRLVLLPLENTSIPVQTWTITELAKHFITTRSFIDNVKTITLISSNIVCDTVITLAIQRGFWAQNSKCTPTTMMKFCSFLKSKEGSQILDDFQKKAELWNVMKRRMAEIEAVIAYHRGQIVLLEKKLENEIAEVESCYLPASQYVPLDEQELLKRCYDMYVAETIKSKLKVKELDQELIEFIKFQYEKDVRMAHMMDFMADEMRRLVLNVWTG >RHN73957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22106153:22110980:-1 gene:gene9859 transcript:rna9859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MGSWIQILSIYYLAWFSFFVVNTVSDESGYDEDVKKGNVVIDGSSVIGNIDDDFICATLDWWPPQKCDYGTCSWGLASLLNLDLNNKIFLNAVKAFSPLKLRLGGSLQDLLVYGTDDYNKPCTPFVRNASEMFGFSQGCLPMPRWDELNHFFQKAGAKIIFGLNALYGKSVHRGHTEGPWNYTNAESFIQYTVGKNYDIHGWELGNELSGGGVGTSVSVDQYAYDVIALRDVVYNAYKDVEPKPLVIAPGGFFDATWFKDFISKSNKSVDVVTHHIYSLGPGVDTHLVEKILNPSYLDRDKTTFKGLKNVLESTGTSATAWVGESGGAYNSGHHLVSDAFVYSFWYLDQLGMSASYDTKTYCRQSLIGGNYGLLNTTNFLPNPDYYSALLWHRLMGRGVLSTTFSGTNKIRAYAHCAKQSKGITILLINLDNSTTVEATFSDGKSSLHRKMPYYSRMMKLPLQSSIEKSREEYHLTPQGGNIHSQVMLLNGNVLSVNSDGDIPPLKPLYVSSSKPIRIAPFSIVFSHIPDAVVSACG >RHN48025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44366458:44367993:1 gene:gene42694 transcript:rna42694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BSD domain-containing protein MNFFKTVFSDDPDPSGSETNTASSSDSLNVPFNPTAAWKNIGNLIKTVGEKSESVIEIYRRDLHEFGTGLQKEIEVAHDSLETVGHVIDQFGNTVIKGTAQIISQSKDAILESESESKKKKRVKKQSSLNSKLYSRFDAQIHAIQGDSDTYTEEPFDLERYNKWKLEFSLEGKKDEMEKLLRENVEMESVYRRLVPNVVDRETFWLRYYYKVYKVKKAEDVRAKLVKRMSREEDEELSWDVEDEEYEDDDFDDKRLNNVEEVDDFQGEESKVEKRDSLLQNKMMEESKVDENLEVVQEKGDRSQVDKVKDSAAVADKKVTMEKNAGDGKTSQVVSENSKQEGEKELEWDEIEDLGSNEEKKAMGLGSGSPSKIDLRKRLSSAAEEEDLSWDIEDDDEPAKA >RHN62252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42075049:42075653:-1 gene:gene24806 transcript:rna24806 gene_biotype:protein_coding transcript_biotype:protein_coding MILASSTLQIRRHGYSGDLNIVICVGICTLPFYAINMDVLSLFTDSSFLFLANLHYMIISMCSINLN >RHN78246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13085276:13085671:-1 gene:gene1780 transcript:rna1780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plastoquinol--plastocyanin reductase MKFSYTVLGGVLWFTYLNKVYDWFEERLEIQVIADDITSKYVPPHVNIFYCLGGITLACFLVQVATSFAMTFYYHPTVTEAFAFVQYIMVVANFGWLIRSVHRSSANMMVLMMILHIFRVYLTGGLNDGLN >RHN61582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36592683:36599356:1 gene:gene24060 transcript:rna24060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MATLQFTFSDSTTTLCRPLSQLPSSKSTIIHSPRPRSFVVKASLEPSRTRRQFIAETTAISVLLPSQLAKSEETLSEWERVYLPIDPGVVLLDIAFVPQDPNHGFLLGTRQTLLETKDGGRTWAPRSIPSAEDEDFNYRFNSISFKGKEGWIVGKPAILLYTPDAGESWERIPLSAELPGDMVYIKATNEKSAEMVTDEGAIYVTSNRGYNWKAAVQESVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYMTWEPGQAYWQPHNRAVARRIQNMGWRADGGLWLLVRGGSLFLSKGTGITEEFEEIPVQSRGFGILDVGYRSTDEAWAAGGSGILLRTNNGGKSWIRDKAADNIAANLYSVKFIDDKKGFVLGNDGVLLRYLG >RHN44972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10385001:10389555:-1 gene:gene39162 transcript:rna39162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MEKIRIVFMAMVMAFTIFSSGECQLVENFYVSSCPNVELVVAQAVTNKFTQTITTGQATLRLFLHDCFVEGCDASVMIASPNGDAEKDAKENLSLPGDGFDTVIKAKQAVESVCPGVVSCADILAIATRDVIALLGGPSFSVELGRRDGLNSKASNVEANLPKPTFNLNQLNTIFSKHGLSEKDMIALSGAHTVGFSHCDQFTNRLYSSQVDPTLDPTYAQQLMSGCPRNVDPNIVLALDTQTEHTFDNLYYKNLVNGKGLLSSDQVLFTDDASRSTVVEFANDGSKFFEAFVVAIKKLGRVGVKTGKEGEIRRDCSKFN >RHN65250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:562651:563181:-1 gene:gene13070 transcript:rna13070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MKKLSVGDALSLLAAVKRRFGYEKREKYGSFLQIMKDFKAERIDARDVKLRVYELLDGHEDLISKFNIFLPAEYEIKLPLDRDDDHVDQQEGRMLETKDASAFLEKVKDMFDGKNEEKYHEFLEIVKDFKIGRIDISVTAARGNELFQGHTDLILGFNAFLAKKYRITPLVQLDTG >RHN55921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25451524:25453258:1 gene:gene31211 transcript:rna31211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKGFYNFKSFKNYLINLTRALILYIIKRSNHIVFFTCKKEESMSQILTFVYAMILFISIFLVAAEVDWIYHLCDTDTDCPEHWSKFFIYKCVNHVCDSISKVTTDSKEYKNFP >RHN63450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51391742:51394310:1 gene:gene26149 transcript:rna26149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKALESVARNLRFINSLLTIRVTTTRSLHQVSHFSLYTPPPLFIPSPFHDSRFHQTHYVSSKPNSIVELIQTNAWSQGFEKELENCYPSLTHETVVYILKQLDNDPLKASCFFNWVSKKNWFLPSSSLCNLILRILANKDTIEQFWIHLRMMRKKGFYLDRITYRSILEGFRREKMNRDFACLKTFFKNMVEENARQVVFNKVVSVILGSEWGDEVVNELVELKIQFSDSFVIRVLKELRSCPLKGYNFFYWVGKQSGYQHNAVTYNAVARVLARMDSIEEFWSILAEMKSVNYELEIDTYMKITMQFVKNQMMKDAVKLYELMMDGSYEPSVHDCCFLLNAIATSDSPNSDLVFRVAKKYESAGYTLTKEIYDGIHRCLTSVGKFDKAENIVKTMRNGGHEPDNTTYSQLVFGLCKMKRVEEACKVLEEMESSGCIPDNKTWSIFIQGHCAANALDKALLCLSKMIEKDCNPDAAAIDALVDSFLSQEKIDNAYKFLVEMVEICASPRQCTYEKLIQNLLGIGKFEDALDLLCLMRKHKYSPFNKPIVQYVSKFGTVEDAEKFLKAWRKGSPRSHSAYLHVLESFIGEGRLSEVKDLLCKFPSQIKRHKKINEFFSFSGDSDVASAT >RHN65162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64617502:64628330:-1 gene:gene28069 transcript:rna28069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynamin GTPase MEEISELVELSESMRQVSALLADEDIDESKRTSTFLNVVGLGNVGAGKSAVLNTLIGHPILPTGQNGATRAPINIELNRDTSLTSNSIFLHINNKSQQVSASSLLHSLQDRLSKVSSGTKRPDPIYLKLRTSTAPPFNLIDLPGLDQRIVNDKIISEYLEHNDAILLVVVPAAQAPEISSSRALRVAKEYDSESTRTVGVITKIDQAATEPKSLAAVQALLLNQGPPKTSDIPWVALIGQSVSIASAHSHSAAPDTSLETAWRAETETLKSILTGAPQTKLGRIALVDSLAAQIRNRMKLRLPTLLTGLQGKSQIVQEELVKLGGQMVSTSEGTRALGLELCREFEEKFLQHLTGGEGNGWKVVASFEGNFPNRIKQLPIDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLVDLVSAAANATPGLGRYPPFKREIVAIASSALESFKNESKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKNRSSKKALDAEQSILNRATSPQMGQHSGGNLKSMKEKSGQQDKDTQEVSSLKVAGPDGEITAGYLFKKSDKGWTKRWFVLNEKSGKLGYTKKQEERHFRGTITLEECNIDEISDEDEAPAKSSKDKKSNGLDSGKASNLIFKITSKVPYKTIMKAQSTVLLKAESMVDKAEWINKLRKVAQAKGGQAIGEPSFPMRQSLSDGSLDTMARKPADPEEELRWMSQEVRGYVEAVLNSLGANVPKAVVLCQVEKAKEDMLNQLYSSISAVSSAKIEELLQEDQNVKRRRERAQKQSSLLSKLTRQLSVHDNRAAATSNWSDRGSAAESSPRSSGPASGDDWRSAFDAAANGPSDSSSRYGSGGHSRRYSDPSQNGDLSSGSNSSSRRTPNRLPPAPPQSSSRY >RHN40068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12974575:12977124:1 gene:gene46164 transcript:rna46164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MMSSSNNLVTTIIGFGLSATFIVFVCTRLICGRFRERHVGSRTIYEMESRPDIERPEYHGNEPEPAFVAAIPTLHFNEEAFNHLQCTQCVICLGEYKEKEILRIIPYCGHTFHLSCIDMWLRKQSTCPVCRLTLHNVCEEKHVRPVTFTIRQSLDESNSTSEGNERQGEVNAREIESS >RHN74032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23887761:23890951:-1 gene:gene9961 transcript:rna9961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (carboxymethyluridine(34)-5-O)-methyltransferase MLEFLITNANNRHKRRRNFSSPTQIIATNSFRGNEVLVADAVNLPYRTGFGDAAISIAVLHHLSTENRRRKAIEELVRVVKKGGLVLITVWAVEQEDEALVKKWTPLAEKYVEEWQGPGSPRATRVSSSLSLESIPESEESGLGGEEMKVCDKSEISGDLKEEKNVKNQQEYFVPWHLPYHRAEVSGASAHALATGLATKDDVKGAVVYNRYYHVFSEGELESLTNGITNARVVDQFFDKSNWCIILEKTS >RHN43390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45412055:45415903:1 gene:gene49957 transcript:rna49957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MATTTTTTKTTITNSLKPQNQQQQPIVKPKRRKCRETTISTSATQPEPEPQLPDSPSTYTVRYSPGRFSPIMDFTHSSSSPISNGHSTSGSGHDPFPSSFTKFNSALTAGLLNPMSPPPDKTRSSPTLFEMMVNEPDIQQRTNQIPINNATSSMPKPQIIAQDRETLMMNRISELLSSRSPGNHFNDSSSSDIKLTLSSKDGFSVSMNVHRQILVAHSRFFSVKLSDRWTQSQQRSALPYLVEIADCDDVEVYVETLRLMYCKDLRKKLMKEDVCKVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEDKVASLLSELRLESVGAVEVLKRVSTEVANGNEEGNDNEEVLLKLIRVVLEGKDEKARREMKGLVSKMLHENSSQNDLRKESLYSACDDCLQLLRHHFLRAADSDLQDVSQIARQADNLHWILDILIDRQIAEDFLKMWASESELSEAHSKVPAVHRFEVSRVTARLFVGIGKGQLLATKEARCLLLKTWLVPFYDDFGWMRRASKGLDRHIIEDGLSNTILTLPLSWQQEILLAWFNRFLNSGEDCPNIQRGFEVWWRRAFWKRNGEQDRTRQLRITSASVEHT >RHN65043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63818831:63819433:1 gene:gene27936 transcript:rna27936 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKLCTLSGSCVKDCIKPKQNITRLTKTFKRKPEKNATTIDKNLALEEEWILSFPELKQSRKRVHPCSTRFCGGSLISELAQDSLCLLEKTKNCREKEELADEGLTSNCSLITNNLFGKSQKKRVNFKLPHTVIFYKPEEPYCKEEEEEEEASLKDYYNINSEEYSFSSLYSFETAEEPSLRLAVDILPYVFVSSKVSN >RHN54750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11864109:11864726:-1 gene:gene29832 transcript:rna29832 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIAASGIVLEPLTKDNYDNWSCLVRNYLAGHDLWGVVSSVSTIGVGSKEEVEAWNRDNAKALHIIQLACGSENLAHIRDFHTAKDAWNYFSASYGSELKAYSDIEQGT >RHN39856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11099963:11103281:1 gene:gene45934 transcript:rna45934 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSQDPHPNRSFFPFGNPFRTKSHKGGSHMSPQLLAVLHGFEATLAERLRKLMPKSKDEILSLAWMTLAMKSLCETHNDIRTLITDLELPVSVWDDKWVDVYFDISTKLLDICNIFSSELSRLNQGNLPLKCALHNLGPASSKSFVRACSLLDDWRRHINAKNPRIEKCSTILDGLVGSLDLPKVKNSAKGKALMQAMYGVKVETAFVCSVFSAAFSGSSKKLLDLDVPDMHSWAPAFISLQNLVNEEIRVRLSGGKFSVLIELEAVDAVVKELYPTIQGGVNTEDKVEQESHLKTVEELGVAAEKLSQGMDLLAKGVDGFFQAVLTSRDTLLSSLRFGKTVNDRVVGRNLDQQVVY >RHN75734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44633911:44639013:-1 gene:gene11974 transcript:rna11974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannan endo-1,4-beta-mannosidase MFAGNGLFYPILGFASFVVFIYMSFGGLRFSFEEGREMGFVMRNGTQFVLDGNVFYVNGWNSYWLMDHSVDFTSRFKVRQMLKTGANMGLTVCRTWAFNDGHYNALQISPGHFDEQVFQALDYVIAEARQNGIRLLLCLVNNLQPYGGKSQYVKWAWQEGVGLSASNDSFFYDPSIRSYFKNYIKTVLTRKNSFTGIEYRNDPTIFGWELINEPRCMTDRSGDTLQEWIEEMSAFVKLIDKNHMLTVGNEGFYGPNDPKDLTVNPNYWASRLGSDYIRNSKISDIDFTSVHIYPDQWFHEDEKAIETQLKFVHKWMLSHIDDGDEVLKKPVLFSEYGLSKKNQNFTVSDREKMYDTILNIVYKSAKRNKSGAGALVWQFLVGGMEEYSDDYGIVPAESRSTQSLFIKQSCRMGKTKGWINQQDVNFKALC >RHN46037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27988726:27990451:1 gene:gene40477 transcript:rna40477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MVYTSLPPYMDPANWHQQQPNHQVANTSVNTPLLIPPPQPPPSNLTPSQPHGGSIRPGSMADRARMANIPMQEPLQKCPRCDSTNTKFCYFNNYSLSQPRHFCKACRRYWTKGGALRSVPVGGGCRRNKRSKSSSNNTSKSPASSDRQTSSTNNSPAVLSSPTPPPMRFMAPLHHLGDHLSEIGLNYGFSGPMGGVGDLNFHIGSSLGGGVGNGGGSASILPVSNFEQWRMPQTHQFPFLSTLEASSSHGLLYPFEGGGNGGGANDQGHAYGGVSKVLSNNSSVKMEENQSRQFLGMINNNNNNNNNNPNSEQFWSSSAGGGANNSPWTDLSTAYNSSSATTNSNYRT >RHN43826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48784608:48789097:-1 gene:gene50456 transcript:rna50456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription elognation factor Eaf MANKSKEEPKTAPEPDRWYNLTLGSSFKDDSSNKYCTLRYEFKPASVDKTKPGLLRKTKENRVSVEFHNNQIGKPKVTFEGNSEEYKENDAVLFFDGQTLRLERLDRAVKQLRHLRKPGESSAAVAGSVTAPSGPALDLRSSPIGKPVKPASFGSGRSSNQAIPVEVERIDIGEPENTGIKFDSKRSSDHLAELPTVSATSPAAINGVEEHREIDINDLFGSGTPEDDNDIEERDNVEEKDNVGFDMNVPLTDDEIADVDDSGDEVDKGPNAAEALRAHMNAEGREEQTSTSSSSSGSGSSSASGSGSSSSSDSDGSDEDSVNSI >RHN71747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2398376:2401416:1 gene:gene7380 transcript:rna7380 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLIFVIAFLLFNSSLASETNPPYPKAFSDFKESIVKGLGFNADDFKVTGFDPREAQVGHSVEYQFDVEIDDKVVPFKLLEDTKRWDYVDLPIFRVEEENGLVEKRVDGNGLPVLAPFQLAGPMEIWVQDAKDMRLSLPHDVDAGVLKKVVLADGAVVTVKGARSVSLRHPLTLPLPLNRSQNGFAAGLLTLAEHLRHASRGQDAPLLSLRIVGPTSLEAPSSASTSSNNRLKLKRLAPGLVELSSQSKSKLVDTSLSTVDLQEEAPTLLTPTQFTALWPLASLNGSNANLLGFERLLSSVLGPKANEKGSFRLLKADVSAQTFVKIGFQAEKKLKEGDGISFEGFPEWRTKPDTVRLHFEVLAKVDGDKVIPERVMQVNPVVTEDSVAPNMLTNNGTMSKMPLVQPPPIPFAL >RHN38580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:426187:429285:1 gene:gene44535 transcript:rna44535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MRGGLNMEYYLPIIVMLLIQLIFAGQTLGTRMALLEGMSPRIFVVYRSAFATIVLAPLAYLSGRNSGSYSLNLRSFSLIFMTSLIGITLNQNLYFEGLYLSSSSVASAISNLLPAVTFVIAALVGMEKVNIRSIRTIAKIVGTIICVSGAVSIALLKGPKLLNADKILSKSIMATTLLGSDENWLLGCLSLLGCTVAWGIFLILQVPAYASHPNYLSLCAWMCFMATLQSALVTLFLEPDFNGWKIKSLLQFGSALYAGVMGSAVIYCLQAWCISRRGPLFSAMFTPVFTIICTVLAAILLHEEIYIGSLIGAIGVIIGLYIVLWGKAEEVVDIKENIVPKSMEVKIDLEEPLLPDDST >RHN52059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31110737:31111983:-1 gene:gene36676 transcript:rna36676 gene_biotype:protein_coding transcript_biotype:protein_coding MLCKRDGTTTQPLYAASTTATSTSQANGVEVYDAIHNIDLTVREKNNFGSQTLRYDL >RHN75246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40575448:40577672:-1 gene:gene11419 transcript:rna11419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MLYNHFIPCDQLQEINVYSMKKQAQMQKEDVRKIFQSSSSNISQQLNFIDSLQRLGISYHFELEIDEALEQIHNTFTNNKEITTEEGSLHFLALAFRLLRQEGLRISSGINRGSFDEKVSEDVQGMWSLYETAHLKIHGEDILDEALDFTYAHLNSKTSNQMSTFLDAKVRQCLKNPLHKGVPRLETRCYISSYEEDPSHSKFFLNFAKLDFNMLQKMHQKELASITKSLMQGIEFEAYFWPLAMSYELKYATSRKIGGKLVVWDFSLIQPLPECMKVVFNTIVELWDEIEITLVETGKSNLVLQYIKQAFYKLAQSYLVETKWGNEGIIPTYDEYKANGLISSTIPLSIISFVGLGEFSNEELLDWLSSEPTIVNAVSAIGRLADDVSSHKFEQQRVHVAAAVECCMKQYNMSQEEAYKHINKDIEDFWMDINEEFLKLDYIPNPVLECILNVARITEFTYENYEDKYTNGELLKDYVVALLIDPISTGR >RHN47634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41142843:41145238:-1 gene:gene42256 transcript:rna42256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, Zinc finger, RING/FYVE/PHD-type MLLTLTSVLDSASTSVGKRMHRSSMEMDSFFGKISKYSGSVPSRKRKRNFGFPLNRRSESYEDCIVCFDGDDFELCDRMGCPNPYHPSCVKREKVLVQAKENLNSGTGEDKISALPDSLLYYILSFVSIKDAATTSILSKRWRPLWLSQLFLNLDDEPFPDSPTFCNFVYSLMAMRDITLPILSFHLQCWNDYDCRDIYNFLYIAIQRGVENLNIDFSHSLFSQMTLPSFVFSSKTLSILKLKQITLNEVPFVNLPSLKALYLDVVTFTYYELILKLLSGCPILQYLGTNNLVVELPYSERPVISLSNLIRANICDIHIEFDWLQNVERLRATVLMEKLPYTFQRIAMFHNLTYMELIINYQHFPRAWMFNGMIKLLEYCPKLQSLIIEEGFTFHKLYDEDWEEPKIILKCLSSHLRICSLRNFKGMKCGLHFAKFIMKNSRVLSVMTIQSPEFTDTNAKHRMLMELSSCPKSSTCKLLFE >RHN68041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32330134:32335211:1 gene:gene16328 transcript:rna16328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MMLQLKLVFITLVCWNILISYDCVLGDSQTSIVLNSSCSTFNASNLRSFYANINGTFLDLKNQISNESKHFATSQQAIGEVIVFSMFQCRNYLSKNDCVGCFNIASTKIRSCSAANGARVIYDGCFLRYESERFYDQTTQQGNGVICGNKTAKEGTAFGTIGHKVLMDIQLATPKIKGFFAATKTQVTPGGNSSVYAVAQCAETVTESGCLACLAVGYSNLQTCLPNSDGRAYDAGCFMRYSETPFFADNQTIDITAYLKGGSSNKWAIIGGVVGGVVGLVVIILAILGWRQYRKPKRAPRGNILGATDLKGPVNYKYSDLKAATKNFNDENKLGEGGFGDVYKGTLKNGNVVAVKKLVLGKSSKIDNDFESEVKLISNVHHRNLVRLLGCCSKSQERILVYEYMANSSLDKFLFGNKQGSLNWKQRCNIILGTARGLAYLHEEFHISIIHRDIKSSNILLDDNLHPKIADFGLARLLPGDRSHLSTGVAGTLGYTAPEYAIHGQLSEKADTYSYGIVVLEIISGQKSTEMKVDDDGEFLLQKTWKLHERGMHLELVDKVLDPNDYDGEEVKKMIEIALLCTQASAGMRPTMSEVVVLLQTRSLVEHLQPTMPVFVETNLRSREGHSTSTGSSTSNATASFSLSAR >RHN53317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:911088:911862:-1 gene:gene28210 transcript:rna28210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MDSFEAMRCNRKWNISIAYNMMNPLSQAETLLSMSGFSNNNNKLRRLPHVFSRILQLPLRSDADVSIEEEPTCFRFVAETDSSLGHVETHTLHIHPGVTKIVVRASHSLHFSLDDLHPDIWRFRLPESVVPELATAVFVDGELIVTVPKAFDDENTPEPIGGGGATLVFVQ >RHN58787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4910553:4911492:1 gene:gene20733 transcript:rna20733 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSRGIKAYHQWGDAANTTHHQVSLSSPQTKDGSSMSPRVTSLQMHNTTVVNLAVAGILHPLNIGGSQSFTPPPCCHNISLVLLIMYRVYLFPFAYRY >RHN81105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43368170:43375968:1 gene:gene5103 transcript:rna5103 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:85P MLHELLLALLGYTGDLIIDRRDNNLSANTPISDECTFKLAPDISFIDPSDRELIERIITLGFYYRELERFSAKSRNLNWIRSENANPLENKEKPSVYRRALANGIVEILAVYSSSILHIEQLLLSETMPILATVTQGLNKFFSLLPPLYELILKIERGDIRGGELLNLLHKKCHCGVPELQTCIQRLLWHGHQVMYNQLASWMVYGILEDRHGEFFISRQEGRDVENSSSHQEISEKLSRLSTADASLSDWHMGFHISLDMLPEYIPMRVAESILFAGKAVRVLRNPSPSFLSQDDVYPQEPKRFPKIHGFEGRFNFQREPIINTGMRVEDLLPQSEADKIENMLLDLKESSEFHKRSFECAVDSIQAIAASHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLMVPFQLASLKTIGEEDKYFSKVSLRMPSYGITVKPSLLNVPKATSAAADGISGASISNASSEMSVDGWDGIALEYSIEWPLHLFFTQEVLSRYLKVFQYLLRLKRTQMELEKLWASVMHQYHSIFAKNKKSDQDKSPITQQRDQRFRSMWRVREHMAFLIRNLQFYIQVDVIESQWNILQSHIQDSHDFTELVGFHQEYLSALISQTFLDIGSVSRILDGIMKLCLQFCWNIENQDNFSNTSELEHIAEEFNKKSNSLYTILRSSRLAGSQRTPFLRRFLLRLNLNSFFESTAKEVMNVVRPRPTFPGLNQR >RHN56543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31759867:31760557:1 gene:gene31959 transcript:rna31959 gene_biotype:protein_coding transcript_biotype:protein_coding MPTISILYSLFSLFDGLIACLLPSFEINFSGQNTFSPLPVCMTKIHSIPL >RHN46711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34253656:34258293:1 gene:gene41231 transcript:rna41231 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDKKRRKNKKKNNKQNKNNVDIRVGGTVIRDHNLVNYNGKYEHASLIETVDDSIVDRETGNGDQNLASNARDEHALNSIMDRETGNRDHNHLVNDAKDEHANISEAVVNSNGDGESSTRDQNQVNNGKEDSTEFLSTTHGQSVSEDWDWDAEVPNKDLNVHLPNGKKCDISDETIRNLKEENDMLIQRETISEKTIQILTEEIDTNIRKEVLSLKIIKELNEENHMHIQKEVALEENIRKLKKELDMHAKNETVLQLSSETPKDIPEIIFPTSDRVEPSPKQMSIQQGTVSLPSQSSILTEGNQLKDDEVDISQTATEIETGNMQLSVENDILPQTSRPMALVVEDNLIVEAEIVSTTEPDDKEDTASDAKETKEADTDTVGRSIYSNNQLEELVSRLAAPVHLSSPISALSSSALSQNPNVQNISEVMQKLKVLVGKDLDSIMSTTGVVGEISSLLKNLDEIKDHLSPVDFGTFSVVQMVVGRFESDFPNIKLALSTYHDVHQEQQGVLGCLQELIQRRTDVNDKHEELNQRKQQITNRINGLQHDLQEAEKELATVLTEMDINAASGKDIESKINEECPKGASLMTKMSSVEPVYHFALAKKASLAEDWANIQACFLSKSI >RHN52405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34922899:34926102:-1 gene:gene37077 transcript:rna37077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAMQLPFYSSSFSYAFSYDVFLICKGTDTRYGFTGNLLKALIDKGIRTFHDDDDSDLQRRDKVTPIIIEESRILIPIFSANYASSSSCLDTLVHIIHCYKTKGCLVLPVFFGVEPTDVRHHTGRYGKALAEHENRFQNDTKNMERLQQWKVALSLAANLPSYHDDSHGYEYELIGKIVKYISNKISRQSLHVATYPVGLQSRVQQVKSLLDEGPDDGVHMVGIYGIGGSGKSTLARAIYNFVADQFEGLCFLEQVRENSASNSLKRFQEMLLSKTLQLKIKLADVSEGISIIKERLCRKKILLILDDVDNMKQLNALAGGVDWFGPGSRVIITTRDKHLLACHEIEKTYAVKGLNVTEALELLRWMAFKNDKVPSSYEKILNRVVAYASGLPVVIEIVGSNLFGKNIEECKNTLDWYEKIPNKEIQRILKVSYDSLEEEEQSVFLDIACCFKGCKWEKVKEILHAHYGHCINHHVEVLVEKCLIDHFEYDSHVSLHNLIENMGKELVRLESPFEPGKRSRLWFEKDIFEVLEENTGTSKIEMIYMNLHSMESVIDKNGKAFKKMTHLKTFITENGYHIQSLKYLPRSLRVMKGCILRSPSSSSLNKKLENMKVLIFDNCQDLIYTPDVSWLPNLEKFSFARCHNLVTIHNSLRYLNRLEILNAEGCEKLESFPPLQSPSLQNLELSNCKSLKSFPELLCKMTNIKSILLKETSIGEFPFSFQNLSELRHLTISGDNLKINLLRILRLDECKCFEEDRGIPSNLEKFSGFQCKSRHRSKGHTISFWFRKKIPFRTIIIILLCLSMAINILFLTKHFVVWETCA >RHN69119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41179521:41182796:1 gene:gene17548 transcript:rna17548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MKLQKWLTSLFLLTLATINNVLGAFVGVNIGTHVTDLPSASNIVAILKSHQITHVRLYDANAHMLQALSNTGIELLVGVTDEEILRIGESPSVAATWISKNVAAYMPHTNITTIAVGSEVLTSIPNVARVLVPAMNHLHSALVASNLHFRVKISTPQSMDLIPKPFPPSTATFNSSWNSTIHQILQFLKNTNSSYMLNAHPYYGYTKGDGIFPIEYALLRSLPSTKKIVDPNTLFRYDSMFDAMVDATYYSIQALNFNDIRIIVTETGWPHLGGSNEPDASLENAETYNNNLIRRVLNDSGPPSQPKMAINTYIYELFDEDKRTGPISERHWGLFYTTNGSSVYPLSFSSSNKVFGNSSKSFCVAKDGADAEKMEAGLDWACGQGRANCAAIQAGRPCYFPNDVKSHASYAYNDYYQKMNSVGGTCDFDDTAMITTEDPSHGSCIYAGSSNLSTGGDGSFSSIAFGPVSPNIGAGLKLQLTSLHYAFSSTSLLLALML >RHN49667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:183453:185567:1 gene:gene33870 transcript:rna33870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSTVAPYRNIRKQNLSDPLGLFALLSLDLLLEILYRTPIKSLLTLNCVSKPFNSFISDPKFANDHLRLSKIHRRHHNLLISPWAFFSEGNFSLLDSRLTSVFNNNNSTTIVPDMKLNFPLNPSNIRAIIADSCDGIICLQTIDDRFDCGDPLLWNPCTTKFNILPSLDFEKSLQIAYTIGYDAQFTHTYKVVAVSSYISRGIQNDVYKTQVKVHTLGTNSWRRIPDFPSQLMGIPEGNVGKFVSGSVHWAIEDQNNRFLKSQDPDDHSSWSWHILSLHLGNESYREISQPDYGLPLHNFSLGVSRDCLCVLAHTETFLDIWRMNDYGNKDSWTKLFTLPFAEFVGLDGVCITRLYISEEDHQVFVYFINKVYVYNYKTGAVKNPKIQGLPCISFNSNIYLESSFNCDVYVESLISP >RHN68975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40020482:40022897:-1 gene:gene17401 transcript:rna17401 gene_biotype:protein_coding transcript_biotype:protein_coding MITSKQILESLRLLRNAVGLDEAGPRELVAVQPGSPHGQPGSPHGHFQLLTNQEAGEVRDVQAVTFKESELLGSFNKENILNDPVIFKQVECGEGCAPRACLGGGVWDSLQVAGRGAARVPRASFIMGPVAFKAHCYGVDQQCCLGDRGCRINQRTSFLQILGVFMMKAWEWVQMLRRGL >RHN72494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8067115:8071117:-1 gene:gene8213 transcript:rna8213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MGSAVETLCGQAYGANKYEMLGIYMQRATIVLTITAIPLTIVYIFCKPILLLIGESEPLASSAATYVYGLIPQIFAYAVNFPIQKFLQAQSIVRPSTYISVATLVLHVLLSWVAVFKLGLGLIGSSLVLSFCWWVIVVAQFVYIVKSRKCERTWNGFSVEAFYKLWDFVKLSSASAVMLCLEIWYFQVLVLITGLLDNPELALDSVAVCMTIAGLLLQIGVGFNAAASVRVSNELGSGNPKAAAFSVVIVNMVSFIIAVIEAIVVLALRHVISYVFTEGETVANAVSDLCPYLAVTLILNGIQPVLSGVAVGCGWQAIVAYINVGCYYGVGVPVGCVLGFKFNLGVKGIWSGMIGGTVLQTLILLWITFRTDWIKEVNTAKKRLDKWEN >RHN39526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7901779:7902289:-1 gene:gene45556 transcript:rna45556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MAKLGKLTKLKSAIKRWPSLTKLSRNNSSISSSSKQQEQELHAVYVGKSRRQYLVNSNVVQHPVFQELVDRSSCDDGVVVVSCEVVLFEHLLWMLESVEGETQLGSMAELVEFYNCGAC >RHN74464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33635305:33635704:1 gene:gene10543 transcript:rna10543 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFVDDDVDQYMKDSVEIAKKNPDTTNDTTSTQRVQPIATQQEDFVPETQLKQPPLWLSLRIDDQ >RHN44887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9468852:9476216:1 gene:gene39055 transcript:rna39055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane-anchored protein MPDDELVELKFRIYDGSDIGPFSYSPSSTVSMLKERIFAEWPKDKKIIPRAASDIKLINAGKILENNKTVGQCRVPFGELPTGVITMHVVVQPSLAKAKTEKKVDDVPRKHFCGCSIL >RHN64176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57093049:57093421:-1 gene:gene26963 transcript:rna26963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MEGVEVERPLKLHFIPYLAPGHMIPLGDIAALFASRGQQVTIITTPSNAHFFTNKSPSFADPLFLRLHTIDFPSKQQPP >RHN63281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50039811:50044252:-1 gene:gene25963 transcript:rna25963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MKGRLLKLSLMVLMNLVLYMASTAESTITKGSNQNSINFIKSSCRATRYPDVCVQTLLGYANMINENEQKLAIVALTVSISRTQSSASFMKKFSKVKGIKPREYSAVQDCKANMDSSVDRLNKSVKELGLLGKAKGEDLVWHINNVQTWVSAALTDQNTCVDNFSSPHMDQNLKAAIGAKVVGVSQVTSNALALVNNFASKHR >RHN76620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51712870:51713534:-1 gene:gene12971 transcript:rna12971 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLICTTEILYGCATTALTKLAPVPLGSEGEVKVTAPVLRSVTFMKPSDPLACPLD >RHN61172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33637925:33642470:-1 gene:gene23608 transcript:rna23608 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVPGVLLKLMQHMNTDIKVGGEHRSSLLQVVSIVPALAGGDLFTNQGFYVKVSDSSHATYVSLPDEHDDLILSDKIQLGQFVFVDRFEAASPVPILRGVRPVPGRHACVGTPEDIVATNSLGFLSNASEVKVKRNGVCSKSSPMKVLENHQEQVDKKSMVLGRCKSQTAKDSVVDFVKKEKLTRLKSLNSRAIVPSSPTSCYSLPTSFEKFSNGVKQQGNINGVDRLIAKVGVVETGKGVRGVSPLGKRMAVGNSIRNLVQGIELGAKALRKSWEGNMEVKNNKETSKSRVSSKFDSKPEFRSTTPRKSTSSEKFTSKDYESKTQTPTKSSKEENKIQKSIKKVNGDGIMEEQEKATKPRNSFGKKSSEAGLPGNFVKVSINSKKVTEASVQWTSLPSSIAKLGREVMKHRDAAQMAATEAMQEAAAADSLLQCLSVYAELSNSAKEHNPQHTIDQFLTLHTSLSSAKMISDSLSKTIPDGSSPDNERITTEEAQKLKSDRQKLAASWVQAALSTNLSSFSVYNREPQSSKLPVSTTSSSQNQKSVLGSKPILVLENSREDASMKANGKTRPAVANSKQALQGTPRKQGDAISNPKKQLGQQPLQEWIRGNDLDAAVNLADMLQLRSRDWFLLFVEKFLDSDGDIGLSNNGQIAGILTQLKSVNDWLDEIGSSKNEGELCQIPTETIDRLRKKIYEYLLTHVESAAAALTCDSQSQSTEIKGKR >RHN61675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37392159:37395998:1 gene:gene24163 transcript:rna24163 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPLLALIVASLFIPNGVLSIPSTTVPAFLWSSHYNLVSENGLKESVNYQVISLKDLAKSVLSEAGWSNFLCKGKKFQDPLDLALLFVGGELQSSDLSLNKHADSALSYLLKDSFVRSNTSMAFPYVSASEDVNLEDSLVSGFAEACGDDLGIGNVAFLGSCSMGNGNREETAALQSVQAYLTKRKEESHKGKTDLVVFCNGPQASKNVDSTKSEGEVLSELISSVEESGAKYAVLYVSDISRSIQYPSYRDLQRFLAESTTGNGSTNSTACDGVCQLKSSLLEGLLVGIVLLIILISGLCCMMGIDSPTRFEAPQE >RHN47981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44023808:44025541:1 gene:gene42645 transcript:rna42645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MASTNVDWKPEVGMRFDNMEEAKQFWLAYGLRVGFGIRVRFTNKKKDGSVTSCRLVCCKEGLKNKGNKNAYEGKYERADIRTNCLARITLSRCKNGKLVIHEFKDEHNHDLQNPETTHMLRSHRKITEVQAYEIDMANDSGLRQKSTFQLMSTHAGHRANVGFTEKDVRNYIIAKRKRSMAYGEIGCLSQYFQRQLLENPSFFHAYQMDAKEQITNVFWCDARMILDYGYFGDVVSLDTTYCTNHANRPLAFFFLSGFNHYRGSIIFGAALMYDETIESFKWLFDTFLQAHNNKMLVFFIMLVFFFSYI >RHN77033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3393723:3399809:-1 gene:gene440 transcript:rna440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monogalactosyldiacylglycerol synthase MYNPATRVTKEPNAVLELGSRIGRFTLDTSSFLNLTSEACSPASLLSNYLYFNNLTRVASSSKRTVSLSLNNSGGGYKFRNILHDFNRAVRVHCERMPIGFASLRVGDGGDGGGGVGGGEGEGNDVGGNGNGVGVEDEGLGLKSGEGKKAKKVLILMSDTGGHRASAEAIKAAFYQEYGDDYQVFITDLWSEHTPWPFNQIPKSYSFLVKHGPLWRMTYYGTAPRLVHQSNFAATSTFIAREVAKGLMKYQPDIIISVHPLMQHVALRILRSRGLLDKIVFTTVITDLSTCHPTWFHKLVTRCYCPTTDVAKRAMKAGLQQTQIKIYGLPVRPSFIKPVRPKDELRRDLGMDEDLPAVLLMGGGEGMGPIEATAWALGDLLNDEGVPTGQILVICGRNKKLANKLSSIDWKIPVKVKGFVTKIEECMGACDCIITKAGPGTIAEAMIRGLPIILNDYIAGLEAGNVPYVVENGCGKYSKLPKEIAKIVADWFGPKADELKEMSQNALKLARPDSVFRIVHDMHELVKQRSLLREYSCTA >RHN50485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7521272:7531586:1 gene:gene34768 transcript:rna34768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase for other substituted phosphate groups MVLIERMGYIGSHGVAALHRYKYSGVDHSYVAKYVLQPFWTRFVHFFPLWMPPNMITLMGFMMLLLSALLGYIYSPQLDTAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFEALAFGSTSMCGRSTFWWWLISAVTFYGATWEHYFTNTLILPVVNGPTEGLMIIYLSHFFTAVVGAEWWAQQFGKSLPFLNWLPFISDVPTYSAILCLMIAFGVIPTVALNVINVYKVVKSRNGSMPLALAMLYPFVVLVGGVLMWDYLSPSDIIANYPHLVVMGTGLTFGYLVGRMILSHLCDEPKGLKTGMCMSLMYLPLAIANALASRLNDGVPLVNERLVLLGYCAFTASLYLHFATSVIHEITNALGIYCFRITRKEA >RHN72592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8968567:8973171:-1 gene:gene8321 transcript:rna8321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquinol oxidase (non-electrogenic) MASTAMFSSSLFPITPLNKLSSSRNSLIFRPLSFRPPLFRIRSSLLQDKEDKVITQNTFPSKTSPLDSVTENSTNDDDTSSTSAWEKGVIKVEQSVNIFLTDSVIKILDALYRDRNYARFFVLETIARVPYFAFMSILHMYESFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNAWWFDRFLAQHIAIFYYFMTALMYLISPRMAYHFSECVESHAFETYDKFIKEQGEELKKMPAPEVAVNYYTGGDLYLFDEFQTSRVPNTRRPTIDNLYDVFLNIRDDEAEHCKTMRACQTYGNLRSPHSYADAEDDDESVCTIEAGCEGIVDCIKKSVTSNPAKVK >RHN39438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7114636:7116851:-1 gene:gene45460 transcript:rna45460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MSSSSSSNPQWIHDVFLNFRGEDTRTSLVSHMDAALTNAGINTYIDQQLHKGTELGPELLRAIEGSHISILVFSKRYTESSWCLNELKKVMECHRTHGQVVVPIFYDVDPSVVRQQKGAFGEILKAMQTKYTPTQERKGWSICCRGGRVHSLKLLICLVGMSPIAAELVQQIVEDLLAKLDNASLSIIEFPVGLESRMHKVIEFIATQPSKVCMIGIWGMGRSGKTTTAKAIYNQIHRKFLNRSFIENVREVCEKENRGTIHLQQQLLSDILNTKNKIHSPALGTTKIEKRFQGKKLLVVLDDVTTVEQLKALCGNPRLFGPGSVFIVTTRDARLLNLVKVDYVCTMKEMEEKDPLELFSWHAFRQPSPIKNFSELSRTVVAYCGGLPLALEVIGSYLYGRTKQEWESVLLKLERIPNDQVQEKLRISYDGLKDDMAKDIFLDICCFFIGKDRAYVTEILNGCGLYADIGITVLVERSLVKIEKNNKLGMHDLLRDMGREIVRQSSAKNPGKRSRLWFHEDVHDVLTKNTGTINVEGLFFKFAKNRQSSLLY >RHN61384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35325288:35329729:1 gene:gene23845 transcript:rna23845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MEDTEAASSFKMNNHMEQLTIPQFNASSQSQMRTVTRNHHHNQRGGGIPPSHPHQIPPISPYSHMNNQIPVSRPQMPSHSTSPTPSHTRSLSQPSFFSLDSLPPLSPCTFRESSSTSDHADVSMEDRDVTSHSPLPPFAARNPSLPPRKSHRRSNSDIPFGFSTVLQSSPPLIPLRGREGVKPNSSVVKRETNWEHGNVEEKKSLSPEGEVVDDLFSAYMNLDNIDAINDDKNAATDDSRASGTKTNGGDSSDNEAESSVNESGDSMQRREGNKRSAGGDIAPTTRHYRSVSMDSFIGKLNFNDESLKMPPSPGGLMSPGNSGDGNNAAFSLEFGNGEFSGPELKKIMANEKLAEIAMADPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSVGLTNQNSELKFRLQSMEQQAKLRDALNEALTAEVQRLKIVTAELNGESLPSNCMIPQHSVNPMMFQQQHQHQQHQQQQQQNGNANSKNELKQ >RHN40549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17511572:17512647:-1 gene:gene46711 transcript:rna46711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLLNSEVIWLKGNGLKGGLPTLTSNVNILGISDNYLFGSLAPLLCNKKMNSKSNLQYLNIFNNSLSQVTDCWKNWKSLVHVDIGRNNLTGVIPHSMGSLLNIFSLHLDHNNFHGEIPLSLKNCKKMMILNLGENKFSRSIPNWIGHDVKALRLRSNEFRGEHLGIQFLLTLSLQIKGNELYYKDYAHVIDLSNNHLFGKIPLEVCKLATLQSLNLSHNQLMGTIPKEIGNMKQLESLNFSNNTLSGEIPKSMSALTFLEALNLSFNNLEGQIPLGTQLQSFNDISYMGNPKTLWSSTDQEMQLRQSMCW >RHN52740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38324386:38329143:-1 gene:gene37443 transcript:rna37443 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGDFGEGLDQESLLVTPYYTPYQKQGLQKSGPQPVNLDFSPSSGGVTSTASQKEGSESISSSSSSDSETDSCIKSVIHYHGTAVNTDDEGTTLGKLKEDLADTERQSQFVGEGENKSYDELLKKFIKNEEELRVSNLKLKLSEEEIIKLKNQNEKSEGQLDSVQKELTLNMDELEHKKGQVLELQKQKAELETHVPNLVEQLEVANEHLKISNDEVARLRKELESNLAETRQLQDQLEVAQENVTKLEWQLDSGRKQIRELEDRITWFKTNETNLEVEVQKLKDEMHDVQAQFSFEKDQLHSDIASLSEIKTQLTSTLEEWESRSHSLANKLRRCEAENLEKEELYATQKMVMQGEISSLKEELHQRRHDVEAVNKEFDGHKQKFDMLMTEIDEANANIDNLKAEISFRDDQIANMDRELIQLRAQESELISRSETRLNLVNELKLKVEELEKEVTRQNVVISDRDEEKREAIRQLCFSLDHFKSGYKEILQAFTGHRRHTVIAS >RHN81942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49982694:49986797:1 gene:gene6042 transcript:rna6042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator SOH1 family MDRALSPASVRPKSIYKDPDDGRQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLQYWQRPEYIKFIMYPHCLYFLELLQNANFRNAMAHPTNKELTHRQQFYFWKNYRNNRLKHILPRSLAEPSAALPAPASTQPQPPVPALPPVPATSVAVTTSSSQAPSPMPYGIPPGSGIAKNDMRNTSADRRKRK >RHN44221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2526929:2532081:1 gene:gene38313 transcript:rna38313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldose 1-epimerase MTKIFVLLLCLLIVAFGFVNGSDEKEKVGIFELKKGGLSLKVTNWGASIVSLVLPDKNGKLADIVLGYDSIKEYTNDSTYFGATVGRVANRIGGAQFNLNGKHYKLVANEGNNTLHGGSRGFSDVIWKVKRYQKEGPSPSVTFTYHSFDGEEGFPGDLLATVSYILTGKNQLVIIMKAKALNKPTPVNLANHAYWNIGGQNSGNILNEVIQIFGSKTTIVDDKLIPTGKFASVKGTAYDFLKPQIIGSRISQLAQHKGYDINYVLDGEKGKKIKLAAKVHDKKSGRILELYTNAPGLQFYTGNYIKDVKGKSGYVYKAHAGLCLESQAFPDSVNHPNFPSTIVTPEKPYKHYMLFKFSTKSQ >RHN67880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31145113:31147601:1 gene:gene16125 transcript:rna16125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MLSQGMSYIPSFLWLPSQSFCLFFINILFGFMIIKTIKYYIVENPNKPKLPPGPKPWPIVGNLPEMLANKPATSWIHKKMEELNTEIACIRLGNVNVIPVTCPSIAREFLRKHDADFASRPITMASDIISNGYVTSILVPYGEQWKKMKKVLVKDLFSSRRHQWLQDKRNEEADNLMFYVYNKCNNGGLVNVRIATQHYCGNVYRKLFFNTRYFGKGMKDGGPGLEEVEHVDAAFVMLNCVFAFSASDYIPCLRLLDLDGHKGKVKNAKRIINNYHDSLIEERIKQWNDGSKNVEDDLLDVLITLKDANNKPLLTTKEIKAQIMELMLAFVDNPSNAVEWTLAEMLNQPELFEKAMEELDNIVGKDRMVQESDIPKLNFLKTCAREAFRLHPITDFNAPHVSIKDTMVGDYFIPKGSHVLLGRSGLGRNPKVWTEPYKFKPERHLKNDGSNIALTEPELKFITFSIGRRGCPGIMLGSTMTIMLLARLLHGFTWCLPPNTTRIKFVESNGVMVLDEPLTVVAKPRLAAELYGF >RHN47302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38627068:38635516:-1 gene:gene41881 transcript:rna41881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phenylalanine--tRNA ligase MMISSVHATLSLFRQSNNSLRSLKFCLPFSSSAASLSANNLSRKKSRQQPVLSALEYGGVKITRQDVVKDDDPTNNVPDNIFSKLGMQLHRRDQHPLGIIKNAIYDYFDTNYSNKFNKFDDLSPIVSLKQNFDDVLVPEDHVSRSYNDTYYIDPQTVLRCHTSAHQAELLRDGQTHFLVTGDVYRRDSIDSTHYPVFHQMEGFRVFVPDEWEASGMDGTSFAAEDLKKCLGGLARHLFGDVEMRWVDTYFPFTNPSFELEIYFKEKWLEVLGCGVTEQEILKRNGKSNNVAWAFGLGLERLAMVLFDIPDIRLFWSDDERFTSQFSKGQLGIKFKPFSKYPPCYKDISFWINESFTENNLCEVVRGVAGDLAEEVQLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINDLQWKVRDLIQNKLEVVIR >RHN42745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40613091:40614082:1 gene:gene49229 transcript:rna49229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rossmann-like alpha/beta/alpha sandwich protein MASGNGSNRNPQAINQSRKVMVVADPTRESAGALQYALCHAVMEQDELILLHVIENTSSWRNTLSTFLKMPSLGTSTTASLNDIGGGGGGGGGGGGAAAGGGAGGGSAAEGETVVDFLEEMKNACKAAQPKLKVRTMKVEIDNGKDRANTILLHTLDQRVDVVVIGQKRTLSSTLLGYKRPTGGSLKGVKMFDTAEFLIQNTPGTCTCVAVQRKAQNGGYVLNTKTHRNFWLLA >RHN68467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35823784:35830329:1 gene:gene16828 transcript:rna16828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heme-binding uptake, Tiki superfamily, ChaN MKPNTQASFSTGPPHVTYFHRVTVSRAVPSHTHLPPPNQKLRQFRTAKRRRVNLSITAAKKTEHHDGNNGVERTECSPARSNRRGVLMAPFLAAGASFLLSAATTRAAEEEKLKENTAPVEATVVKPEEVKKIEEEVITSRIYDATAIGEPLAIGKEKGKVWEKLMNARVVYLGEAEQVPVRDDKELELEIVKNLHKRCVEVDKRLSLAIEAFPSNLQEPLNQYMDKKMDGETLKSYTTHWPPERWQEYEPILSYCRENGIRLVACGTPLKILRTVQAEGISGLTKAERKLYAPPAGSGFISGFSSMSRRYSADSTPNLSFPFGPSSYLSAQARVVDEYTMSQTILQNMLDGGATGMLIVVTGASHVTYGARGTGVPARISRKLQKKNQVVILLDPERQFIRSEGEVPVADFLWYSAARPCSRNCFDRVEIARVMNAAGQRRDALPQDLQKGIDLGLVSPEVLQNFFDLEKYPLLSELTHRFQGFRERLLADPKFLQRLAIEEGISVTTTLLAQYQKRKENFFQELDYVITDTVRGSVVDFFTVWLPAPTLSFLSYADETIAPDNISSLIGLLGSIPDNAFQKNPVGTNWNLNHRIASVVFGGLKLAGVGFISSIGAVASSNSLFAVRKFLNPAIITNKQIARSPILKTAVVYALFLGISANLRYQIIAGVVEHRISDQFASQTFLVNMLSFVSRTINSYWGTQQWIDLARSTGLQVRKTESPEPPTSDSPNQAAILCDSTGLQVRETESPEPPSSDSPNQTAILCNEAEEASIDDIKSE >RHN59556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11924883:11925023:1 gene:gene21600 transcript:rna21600 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNESASGPSISGRNHNAAINYDYNTTNEKYNFSIKPPSINGDAC >RHN61111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33034572:33034865:1 gene:gene23540 transcript:rna23540 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVSLALLHRQSWVVLHQREVVYLHVLRRLNQSRVQERVWL >RHN41364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29507274:29507751:-1 gene:gene47679 transcript:rna47679 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVIYALLADTGNLRFRDLAGKNSNDGGSNGWIDVINLMVLYSLGNLSLYPIFYTTN >RHN78787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18409640:18415417:1 gene:gene2439 transcript:rna2439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide diphosphatase MAAIKSAASGLVLPRPVTFVTGNAKKLEEVRAILGHSIPFQSLKLDLPELQGEPEDISKEKARLAAIQVKGPVLVEDTCLCFNALKGLPGPYIKWFLQKIGHEGLNNLLMAYDDKSAYALCVFSFAIGPDSEPITFSGKTLGKIVPPRGPNDFGWDPVFQPDGYDQTYAEMSKEEKNKISHRSKSLALVKSHFAEAGYTFQI >RHN65552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3154689:3155305:-1 gene:gene13399 transcript:rna13399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MFAKWIHGSRIYAIEGLFSVKSDVFSFGVLLLEIISGKRNNKFYLSEHGQSLLTYAWNFWSADKGLELMDPLITNSYVPSEVLKCIHIGLLCVQDNAADRPKMSSVVHMLGSDNIALPSLICPSFSVGRATNTIEEGYSSNASTSVTVNEITLSEVFPR >RHN47303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38650102:38652447:1 gene:gene41882 transcript:rna41882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MLCFVSTLLSLFASYLSKKVWKKNMGALYYLISNFCTPSTKSKRKPMQTVEIKVKMDCDGCERRVRNAVATMKGVKSVEINRKQSKVTVNGFVDPNMVLKRVRSTGKKRAEFWPYVPQHVVTFPHASGVYDKRAPAGHVKNVQTFPASIDTEEKLMSYFSEDNVNACSIM >RHN72036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4553892:4556488:-1 gene:gene7704 transcript:rna7704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L2 MALQLLRNRTSASTFNLFTNALRRFSTAEVSNGIPQEARERMMYSDINSQIGSCMPLSSMRIGTIIHNIELNPGQGGKLVRAAGTNAKILKEPTSAYVLIQLPSGVKKLIDTRCRATVGTVSNPTHGDRKLRKAGHSRWLNRRPVVRGVAMNPVDHPHGGGEGKSKSSGGWGKVPALLGVSQPRVVSRLDLLSAESRLVGIY >RHN80280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36781987:36784473:-1 gene:gene4185 transcript:rna4185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HR-like lesion-inducer MGFFSFMGRVLFASLFILSAWQMFNEFDATGGPFSKELIPKLTVVRKNLSSKLGVAIPDFNATYVRQFVATIIFLKGVGGILFVFGSTFGSFLLLSHLALTTPILYDFYNYRPTKPEYGLLLNEFIQNAALFGALLFFIGMKNSIPRKQLRKKTPKAKAV >RHN41578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31203910:31207684:-1 gene:gene47912 transcript:rna47912 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNPFSSWNMWGGGGRRENEKEQVSEWGVSLKECEVVKENKKVSSPSHRKFGRKREEKKEERTIDREYDVVFVPSDGGDWCFLSGSESDDSDWSIGWLEPLGSDFESNDDDDDDDSGGDSFAVLVPCYSPGCKEIEGSSNVLLNAIKNLPNEFSSDGKNYMEQWLATLQNFGA >RHN58220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44734332:44740053:-1 gene:gene33840 transcript:rna33840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MENEMVEFDIGLGGEYEEEARIEMMDEDEEEEYEEEEEEEEDDDDGSGAVYFPEAGDLEPSEGMEFESEEAAKAFYNSYARRVGFSTRVSSSRRSRRDGAIIQRQFVCAKEGFRNLNEKRTKDREIKRPRTVTRVGCKASLSVKMHDSSAKWIVSGFVREHNHELVPPDQVHCLRSHRQISGSAKTLIDTLQAAGMGPRRIMSALIKEYGGISKVGFTEVDCRNYMRNNRHKSLQGDIQLVLDYLRQMHAQNPNFFFAVQGDLDDEDHPITNVFWADPKARLNYTFFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPVLFGCAFLINETEASFVWLFNTWLTAMSGRPPLSITTDHDSVIQSAIMQVFPDTRHRFCKWHIFKQCQEKLSHIFLQFPNFEAEFHKCVNLTDSIDEFESCWSTLLDRYDLRDNEWLQAIHSACRQWVPVYLRDTFFAEMSITQRSDSMNSYFDGYVNASTSLNQFFKLYEKTLESRNEKEVRADYDTMNTLPVLRTPSPMERQASELYTRKIFTRFQEELVGTLTFMASKAEDDGEVITYHVAKFGEDHKAYNVRFNVLEMKATCSCQMFEFSGLLCRHILAVFRVTNVLTLPSHYILKRWTKNAKSNVSLQEHSSHAYTYYLESHTVRYNTLRHEAFKFVDKGASSPETYDVAKDALQEAAKRVAQVMRKEGRTPISNGKVRSHLLNDENHAIYSSGCQEESLGEHMNEDDMDKHITKLTDELECANRKCEMYRSNLLSVLKAVEDHKLELSVKVENIKISMKDGL >RHN75448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42390043:42390258:1 gene:gene11659 transcript:rna11659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MKLRNPFPPRRSSFHIWQGYEDKIVPSELQRFVSWKMPWIQYHEIPDGGHLIICYKGNFEGTFTLIMKSCI >RHN72202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5738741:5739400:-1 gene:gene7888 transcript:rna7888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-Xb-1 family MAEMETLEKINHKNLVPLLGYCKVGEERLLVYEYMEYGSLEEMLHRRIKTCDRRILTWEERKTIARGAAKGLCFLHHNCIPHIIHKDMKSSNVLLDNEMVSRVLDFEMARLISALDTHLSVSTLAETPGYVPPEYYQSFRCIAKGEVYSFGVVMLELLNGKRSGDKEDFGDTNLVGWPKIKVREGKQMEVINTDLLLETQGGTNEAELKEVIGTYRQLI >RHN67744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29923605:29925903:1 gene:gene15967 transcript:rna15967 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEYILPFLSAQDLQILENVLIERCDGLKYIFEQHVELGSVTHLQLNYLPNFIGIFRECYHSISSCVKGSSSTSNCGSKAQTEMKPIKCSIFSWTHVCCHGNKFRHKLGSTATSTIPLVDRDQPQQDHSESNSYCSDIWECPQCLSRKSKILRNIEQLQYLHVPKIKLIFMPSTLLELLIVRSCDELKHIIIDTGDHGSDGNSWGNVFPKLRSLTVDNCVQLEYIFEHDIHDHQNHTEIHLQLPALENCHLLNLPSLVALCPKQYHTTLSPLKELVFSECPQVAIKSIADFITRHSTTRSMDGTIIKELNGNIEHFLAMEKMVVKSSKVESIFCPDEVNEQHLTLSLTYLQLQDLPVMTCLFVGPKNSFALQNLHLLKIVGVKNWK >RHN69309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42469179:42475483:1 gene:gene17758 transcript:rna17758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane insertase OXA1/ALB3/YidC, membrane insertase YidC/Oxa1 MSALLPCTPTILSAPFGNRSRTNHLPLRPHSHALPGSTKRFLRGSLSVTRFGFHPGFLPEPEDAEFVLRELFNRAEGFLYTIADAAVSSSDIAITTTTAKQNNDWFSGITNYMEIILKVLKDGLSTLHVPYAYGFAIIMLTILVKVATFPLTRKQVESAMAMRSLQPQVKAIQKQYARDQERIQLETARLYTLANINPLAGCLPVLLTTPVWIGLYRAFSNVADEGLLNEGFFWIPSLSGPTTIAARQNGSAISWLFPFVDGHPPLGWPDTLAYLVLPVLLVVSQYISLQIMQSSQATGPNAKSSQVLNKVLPLVIGYFALSVPSGLSLYWFTNNILSTLQQIWLQKLGGAKNPLRQVLDDNVKNVDLMQVQKSVSNLNSTKIEEARKDSKLTSEGPRPGDKFKQLMEQEAKKKQQREEEKRKAEEAAAKANNHEQTVEGGNQVVNDLVKNSQSVADDTDPSISGVINGNGKDLEGNQNSTSTSDTANDEGSAHLNAVNKKNLEKESREVLSTRVTTNKQAHGEDSDRVSKD >RHN51606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20840528:20844324:-1 gene:gene36079 transcript:rna36079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MPSEKSTSLKIEKKEIVYIKPLISVSPCTLSLSILDRKDINNNLCQTVHVYRRSSLENHDFDSGSNSYVIFKEALSKALCFYYPLAGRLEKPSENENLRVVFDANNAEFGVPFLEATANCSLDSLNYLDNADTEVAKQLVFDPPSPQDKCYPLVVMVTQFICGGITIGMGLSHAVCDGFGASQFFNAIVEIAKGRNEPTVKPIWEREIFDHLVATKKPIQCLMDKESSTFSPLLQPETVIKQYCFKVKAEEIQRLKMRLVEGNDKESTHITTFESLAAYVWRARARALRLHNNGKTMLTLLVSMRRNVMEIPLPKGYYGNSIVDGNIILNVAELIENPLNEIVQRIKTAKNDAFTKDYIKNYIMNALDTNHEDEFIMESSGAVTVLTEWKHLGFQGSIDFGGFEAVNFVIAPCNMFQLVGTCIFMSPCKLDDQDPSMKGGARIFITLPVDAMPKFKEEMEALNVS >RHN47991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44093006:44095662:-1 gene:gene42656 transcript:rna42656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MNSSSLASFILTPPLPLPCKFNHPRFQIKPQPIFHHPLNSISLCSKSNFSTKSSSNINNPVSIPEENASYVAVEEFIDKDWSVLDYTGPNIDRIISSGKIDENSRVLVSSGSEEFVDCLVGGSKFKSMLVLHDSLLILALLIEKYDNIKCWQGEVTIVPEKWSPFDVVFLYFLPALPFKLEDVLGSLAPKCSPGGRVIISHPQGREILKQQRQQYPDVVVSDLPDKTHLQSVAAANSFNVAEFVDEPGFYLAVLIKSRT >RHN73533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17446486:17448340:-1 gene:gene9356 transcript:rna9356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleosome assembly protein (NAP) MGGFKFSLLNEFTNEGSRADHVDILEELRMIQALTQQRYKALQYLFASPIVEKRLEVLKEIQKEHDDLKAKFFEERAALEAKYQLLYQPLYTKGVPYFWLVALQNNDEIADEITERDEDALKYLKDIKYTRTTEPQGFKLEFFFDSNPYFSNTILTKTYHMVDEDEFIMEKAIGTEIEWLPGKSLTEKKDPNTAKQTIETEKFDSFFNFFNSLEIPKDGMGVDEEADEELQNEMEHDYDIGLEI >RHN47346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39033367:39037516:-1 gene:gene41936 transcript:rna41936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MIPFVSRTLSSSTTINKCIRMHSTTTTKTTEWTKTANLRSMFGKYVDKTSVYSWNSIIADFARSGDSLQALYAFSSMRKLSLHPNRSTFPCTIKSCSSLYDLCAGKQIHQQAFVFGYGSDIFVASALIDMYSKCGYLNDARKLFDEIPERNVVSWTSMISGYVQNERAREAVFLFKEFLLVDETDYDEIVGVGVGVDSVLLGCVISACARVCVKSVTECVHGLAVKKGFEGCLAVGNTLMDAYAKCGEISVSRKVFDGMEETDVCSWNSLIAVYAQNGLSVEAFSLFSDMVKRGEVRYNAVTLSAVLLACAHSGALQIGKCIHDQVVKMELEDNLVVGTSIVDMYCKCGRVEMARKAFDRLKRKNVKSWTVMVAGYGMHGHGKEAMKVFYEMIRCGIKPNYITFVSVLAACSHAGLLKEGWHWFNKMKCEFDVEPGIEHYSCMVDLLGRAGYLKEAYGLIQEMKVKPDFIVWGSLLGACRIHKNVELGEISARKLFKLDPSNCGYYVLLSNIYADAGRWDDVERMRILMKNHGLLKTPGYSIVEHKGRVHVFLVGDKEHPQHEKIYEYLDELNVKLQEVGYMPNVTSVLYDVDVEEKGMVLRVHSEKLAVAFGIMNSVPGSVIQIIKNLRICGDCHFAIKLISKIVNREIVIRDSKRFHHFKDGLCSCGDYW >RHN78021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11303475:11305486:1 gene:gene1535 transcript:rna1535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L15, bacterial-type MKSWNSSYIFKVYLLIFSNLGIFHFVTRVTVRAKEAVEAAGGSVRKVYYNKLGFRALLKPEWFEKKGRLLPKAARPPPKQKDKVDSIGRLPAPKKPIPFLVEGSNDLPLGSYPSLQDSCCTIGPFITCTTICCSP >RHN80587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39223308:39232502:-1 gene:gene4527 transcript:rna4527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator SAP family MHSCYSYGSTYIPFKLNRLTPRTGPVRAALSSPEKRTRKKKQVKDDDTLLENSLRFSFMEELMNRARNRDSTGVSQVMYDMIAAGLSPGPRSFHGLVVSYALNGNEQAAMDSLRRELGAGLRPIHETFVALVRLFGSKGHSTRGLEILGAMENLNYDIRHAWIILIEELVRNKHLEDANKVFLKGAKGGLRATDELYDLLIEEDCKAGDHSNALEISYEMEAAGRMATTFHFNCLLSVQATCGIPEIAFTTFENMEYGEDYMKPDTETYNWVIQAYTRADSYDRVQDVAELLGMMVEDHKRVQPNVKTHALLVECFTKYCVVREAIRHFRALKNFEGGTKILHMDGNHGDPLSLYLRALCREGRIIDMLEALEAMANDNQQIPPRAMILSRKYRTLVSSWIEPLQEEAELGYEIDYIARYVEEGGLTGERKRWVPRSGKTPLDPDADGFIYSNPMETSFKQRCLEEKKVYHKKLLKKLRYEGIVALGDGASESDYVRVIEWLKKIIKGPEQNALKPKAASKMLVNELKEELEAQGLPIDGTRNVLYQRVQKARRINQSRGRPLWVPPIEVEEEEVDEELEALISRIKLEEGNTEYWKRRFLGEGLNGDNGNAMDEGESESPDVQDYIDVVGDDAKEAEDDEADEDEEEEVEQIEEEIAQVENQDVERIKEKEVESKKPLQMIGVQLLKDSNEPSATSKKSSRRRSRRNMVDDDADDDWFPLDIFEAFKEMRNRRVFDVSDMYTLADAWGWTWEKELKNRPPHRWSQEWEVDLAIKVMQKVIQLGGTPTIGDCAVILRAAISAPLPSAFLTILQTTHGLGYKFGRPLYDEVISLCLDLGELDAAVAVVADLETTGILVSDQTLDRVISAKQGIDNPSNDGMDAGL >RHN64021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55975280:55981194:1 gene:gene26795 transcript:rna26795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MYLYNLTLQRPTGIVCAINGNFSGSDDGITQEIVVARGKVLELLRPDKFGRIQSILSVQVFGTIRSLSQFRLTGAQKDFIVVGSDSGRIVILDYNKQKNVFDKIHQETFGKSGCRRIVPGQYLAIDPKGCYWKWSDQVDNGANMLVTVPGGADGPSGVLVCAENFVIYKNQGHPDVRAVIPRRADLPAERGVLIVSAAMHKTKNLKPEEFKLFFLLQTEYGDIFKVTLTDASEFGNHALYQFKGIGDDDNDVVASSASLMETEEGFQPVFFLPRRLKNLVRIDPVESLMSIMDMKVSNLFEEETPQIFTLCGRGPRSSLRIMRTGLAVSEMAVSKLPGIPSAVWTVKKNVMDEFDSYIVVSFTNATLVLSIGETVEEVSNSGFLDTAPSLAVSLIGDDSIMQVHPNGIRHIREDGRTNEWQTSGKRTIAKVGSNRLQVVIALNGGELVYFEVDVTGQLMEVEKHEMSGDVACLDIAPVPKGRLRSRFLAVGSYDNTIRILSLDPDDCMQTLSIQSLSSAPESLLFLEVQASVGGEDGADHPASLFLSAGLQSGVLSRTVVDTVTGLLSDARSRFLGLKAPKLFPIILGRKCAMLCLSSRPWLGYIHQGHFLLTPLSYETLEYAASFSSDQCLEGVVSVSGEALRIFTVERLGETFNQTVIPLRYTPRKLVLQPKRKLLVVIESDQGALTAKEREAARKECFEAAQTGENATGSEDQMENDGEDEDQGDSLSDEHYGYPKSESDKWVSCIRVLDPRTGNTTCLLELQENEAAFSICTVNFHDKEYGTLLAVGTAKGLQFTPKRSLTVGFIHIYRFLDDGRSLELLHKTQVEGVPLALCQFQGRLLAGIGPVLRLYDLGKRRLLRKCENKSFPISIVSIHAYRDRIYVGDIQESFHYCKYRRDENQLYIFADDSVPRWLTASYHIDFDTMAGADKFGNIFFARLPQDVSDEVEEDPTSGKIKWEQGKLNGALNKVEEIVQFHVGDVITSLQKAALVPGGGECIVYGTVMGCVGALHAFTSRDDVDFFSHLEMHMRQDNPPLCGRDHMAYRSAYFPVKDVLDGDLCEQFPTLPMDLQRKIADELDRTPGEILKKLEELRNKII >RHN40971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25196005:25199267:1 gene:gene47227 transcript:rna47227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MAPRFWTCFGNKGSRDSAADGGSMTSDVTTEEQRRGGPVMVEMFSSQGCATSPAAELVLSRLGRGDFQLEMPVVVLAFHVDYWDYMGWKDPYGSSQWTVRQKAYVEALGLDTLLTPQVVIQGVAHCLGNDDTAIVDAIQNALRYPAPTFQATFTKPTQDSLQVSLTGALRSKVDSNGVNVMVALYENGLVTDCPRGENKGRVLSNDFVVRKLEKLCTEKDISAKKTITGTVNFPLWGGFNSSKCGVAVFVQSPSHQIFGSQSFHLPDDI >RHN80555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39037277:39041599:1 gene:gene4494 transcript:rna4494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MHCIDQTVYAISLLILSSPDLISEIRQNMAESLLFGLAESFIVKLASSAVKQASLALGVYQDLQEIRDTISFIKAVLLDAEKKQHQNQELREWMRQIKRIFSKAEDIIDDFECEALQKQVVNTSGSRRKKVRRFLSSSNPIVYRLKIAHRIQHIKESLNKVAEIRDKFGLHIKESDDRVVQRRETHSHVNDSDVIGREQDKQKIVELLFDDSDDKNLSVVAIVGLGGMGKTTLAKVVFNDKSVVDSFPLKMWICVSDDFDVKNLLVKIIGSAPDQNHQENIKNFSLEQLKNRLRDILAGQKFLLVLDDVWNEDRVKWEEFRGLIPAGAQGSKVLVTSRSDTVANMMGTYTSYNLQGLSKEDSLSVFVKWAFKEGEESKHPELMEIGKDIVLKCGGLPLALRTLGSSLFLKVDIQEWKFVRDNEIWNLPQKDEDILPAIKLSYDQLPSYLKQCFACFSLFEKDFHFNSFHTIVLWEALGFLQSPNKGDTLKDIGNKFLKELRSRSFLQDFIDYGYACKFKLHDLVHDLALYVSRDEFQLLNSHSDNISENALHLSFTKNYLFGKTPLPRGLRTILFPVGVNNEAFLNTLVSRCTCLRVLQINNSGYESLPSSIGKLKHLRYLNLEDNEKLKSVPDSVCKLQNLINLDLSGCIKLQELPNGIGNLISLQQLHITTLQSKFPDNEIAKLTFLEILTLVDCDNLESLFEGIEELPSLKFLDIYSCKSLRSVPLHVIPNLESLSIGNCYRLNLSMGHDNQIAKLRLKLLALESLPQLLAFPEWLQGSVNTLQSLVIVDCDHLKDLPEWLSTMIYLKTLSIQDCPTLLSLPDGVHHLRNLEYLKIKGCPELCRRYETKVGQDWPKISHIKQVIIESPELED >RHN54159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7428644:7436067:-1 gene:gene29151 transcript:rna29151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xaa-Pro aminopeptidase MADTLSALRSLMSSHSPPLHALVVPSEDYHQSEYVSARDKRRAFVSGFTGSAGLALITKDEALLWTDGRYFLQAEQQLSDQWKLMRLAEDPAVDIWMADNLPKDAAIGVDPWCISIDTAQRWERAFAKKQQKLVQTTKNLVDEVWTTRPPAEINAAVVQPLKFAGRSVTDKLKDLRKKLAQEHARGIVLTALDEVAWLYNIRGKDVAYCPVVHAFAIVTSNSAFIYVDKRKVSIEVKTHLEENGIEIKEYTEVSLDAAFLATNELDSVSTAKASLAEVTKQSENSETNKSVNGKHQTGEKCSNLIWADPASCCYALYSKLNPDAVVLQQSPLALPKALKNPVELDGLRKAHVRDGAAVVQYLVWLDNKMQDIYGASGYFLEENTVKKEKPLKSLKLTEVTVSDKLEEFRASKEHFRGLSFPTISSVGPNAAIIHYSPQAETCAELDPDKIYLFDSGAQYLDGTTDITRTVHFGRPSDHEKACYTAVLKGHIALGNARFPNGTNGHQLDILSRIPLWNYGLDYRHGTGHGIGSYLNVHEGPHLISFRIRNVPLQASMTVTDEPGYYEDGAFGIRLENVLVINEADTKFNFGDKGYLSFEHITWAPYQTKLIDLNLLNPEEKNWLNSYHSKCRDILAPHLDEAGNAWLKKATEPVAV >RHN48272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46222497:46224225:1 gene:gene42972 transcript:rna42972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MKTITALNYDASSTRWLHIKQENKLFKNKLEFLFFSFFFFFFFFNRIHTAYKLLIKVSENLTKKNVTFFPISQMGILRTKQTLSFLILLNFSSLVFTTDNTNVVYKGCSEQKLGQQSSVNLQTLLSSLVAASGQKTFAATTTGDGQNAVTGVYQCRGDLSASDCYLCISKIPSKISKLCGDVAAARIQLNGCYLRYEVVGFRQVPQTQLLYKVCGSKKVNDGVGFEAKRDSAFGMVENGVKSGNLFYTGSYSSLYVLGQCESSLGNDDCGNCVKSAEEQAKLECGDSISAQIYLFSCFISYSFYPNGVSTTSSSSGSGGNPHTERTVALAVGGVAAFGFLIVCLMFLKSVLKKRNHGKY >RHN82074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51094453:51098327:1 gene:gene6193 transcript:rna6193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MQLDLESLSEATSGAIGSLVSTTVLYPLDTCKTKYQAEVQASHQRKYRRISDVLWEAISKRQVLSLYQGLGTKNVQSFVSSFIYFYGYSYFKRLYLEKSGNKNIGTAANLIAATMSGVCTILITQPLDTASSRMQTSEFGKSKGLWQTLSEGTWSDAFDGLAISILLTTNPSIQYTAFDQLKHRLLKGKMSKKTDAKSSPESLSAFSAFMLGAVSKCAATCLTYPAIRCKVTIQAADSYDNKSTEAEKKAQRTISGALYTIWKREGLLGFFNGLQAQILKTVLSSALLLMVKEKITKSTWILMLIIGRYFSTANPPKLKES >RHN73731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19424618:19429315:-1 gene:gene9587 transcript:rna9587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA replication complex GINS protein SLD5 MGSRSAEGEQSSLADDYDALISTTDVELLKRAWRNEKAAPEILRFESDLINRVKGQIELMEETVEEKLSVGSDPLSVSLYQMDLDRTLFLLRSYLRIRILKIEKYMFHIRKTQELWNRLSRDEKLFTERCTDDLKEHLESSVLSKLPENYQSYERQSVISEEDDMVPEPRLDTFVLCRSKEYLTGIQLEDGPVDDRSKLFEMEPDVLYFICYKSIKPLVESGKIDLL >RHN66044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7922193:7923152:-1 gene:gene13955 transcript:rna13955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MCRLHCVSYDSSNKILKSDPFDKDLKSYSLDSIFTNIISNVTKFDYAPNNFKLCFYSIVGSCNGILCIASEYIDLVILWNPSIRKVKELPIFERPRNISIQMMTFGFGYDSFSDNYKVIIVFRCSIRESSGNLVNKTKVKVHTLGTNYWKIIPEFPFGGVPIERTGKFVSGTINWLTSIEFYRESPYFIVSYDLGKESYQEVLLPDCRGADAFHLRLCVLRDCLCILAGHDVWSMKEYGNKESWTKLFTISYLHDSTTYYTCTEVIYIFEDDQVLLKVEGGWKSKLVLYNSRNGTFQFTKFDPTPKVCIESLISPCSYR >RHN49402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54541605:54546589:1 gene:gene44227 transcript:rna44227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MSDGGAFQLQILDGTNLRDLDLSSTVFDRAFTGAQLLDIAHSRASLSLFDLPLPDPLKTSALNRLRSSNSDADVVFSLETEYQPAKASEILKLYIAAIADELKDNPIVISILDGSTLRLLFEDEDDFAMLAENLFTDLDVEDKGKISKSQIRSALVQMGVDMGVPPFSEYPQLNDLLRKHGADGEEELGQAQFAQLLQSVIQDLEVELSKKNFVFVQNIQIINGFKIRQLLANEKELSSFVEKALQEKANAKDGLESTEIIRSFLERDAKELGLPLSEAGDAAALFYDSVFADVAKEKDGVELDKEELAKLLKDILEKMAEQLELSPVYQEFA >RHN65827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5665573:5669369:-1 gene:gene13718 transcript:rna13718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H dehydrogenase (quinone), Non-specific protein-tyrosine kinase MTGVIKIACLSGSLRKGSFHTGLIRHAIHLNIFGDVDVDDMEFVYVDISNLPLLNTDLEEEGTFPLEVEDFRDYILGADSFLFASPEYNYSISAPLKNALDWGSRPPNVWAGKTAAIVSVGGAFGGGKAQHHLRQIGVFLDIHFINKPEFHLDVFKHPDKFDDDGDLVDEEIKNRFKEVLVSLKEFTLQLQGSRLLAPVEPETQIEPSPIEVPTLLSLDELKEKTDNFGPNTWIGEGRYGSVYYATLNDGKAVALKKLDVSTEPESNDEFLTQVFMVSTLKNENLVELHGYCVEENLRVLAYEYAIMGSLHDILHGKNGVQGAQPWPTLNWMQRARIAVDTARGLEYLHEKVQPSIIHKDIRSSNVLIFEDYKAKVADFNLSNTKGPFRNAMEQLTEKSDVYDFAVILLELLTGRKPVDHTLPRGEQNLVTWATTRLSEDKVQECVDPKLEGEYPAVAAAKVAAIAALCLQDEADFRPNMSIVVKALQPLLRPPAPEPET >RHN54529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10274591:10276740:1 gene:gene29593 transcript:rna29593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MVPKPIIITSKQPPTTSSIPPFNISSIKTKEKPQTSQSQTLKTTTTTPPTKTLPTPPTITTTHNLKKPQTSSLPALLFNTFDDIINTFIDPPIKPSVDPRHVLSQNFAPVLDELPPTQCKVIKGTLPPSLNGVYIRNGPNPQFLPRGPYHLFDGDGMLHAITISNGKATLCSRYVQTYKYKIENEAGYQLIPNVFSGFNSLIASAARGSVTAARVISGQYNPSNGIGLANTSLALFGNKLFALGESDLPYEINLTPNGDIQTIGRYDFNGKLSMSMTAHPKIDGDTGETFAFRYGPMPPFLTYFRFDANGVKHNDVPVFSMTRPSFLHDFAITKKYAVFTDIQLGMNPLDMISGGSPVGSDPSKVSRIGILPRYASDESKMKWFDVPGFNIMHAINSWDGEDDEETVTLIAPNVLSIEHTMERLELVHAMIEKVKINIKTGIVSRQPLSARNLDFAVINGDYMGKKNRFVYAAIGNPMPKISGVVKIDVLKGEEVGCRLYGEGCYGGEPFFVAREDGEEEDDGYLVSYVHDEKKGESKFLVMDAKSPEFEIVAEVKLPRRVPYGFHGLFVKESDITKLSVS >RHN71565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1054478:1055780:1 gene:gene7178 transcript:rna7178 gene_biotype:protein_coding transcript_biotype:protein_coding MVKERDRRERVRNKNAEFFICGNHVPNVTIIYGGIIVYATYGALGLRR >RHN50699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9573865:9578684:-1 gene:gene35021 transcript:rna35021 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVFGNSTSLCLGNQHCLQLVQMVMRSDAKTASITLGVISVIVWMIAEIPQLITNYREKSSHGLSVTFLLTWIIGDLFNLFGCLLEPATLPTQLYTAVLYTLITLTLCLQATYYGHIYPRLKHKRQFKIDPPIDDGESNNGVENGNAADQRTAIGLSSPIPFPAQKSHVETQSYYQSARYLSKSHTPKSELAQRMPSSLILDPIEEPLLVPSVFTKSAPSLKIKNTLCLVSTLTFLGALNLLHSPDTRIHSDVAKPRKEFVIYVGRKLLQVSGHKLSDQGVEAYHSIGTYLGWAMAVIYMGGRLPQICLNIRRGNFEGVNPLMFLFALIGNTTYVASILVSSMDWSKLGPNLPWLVESGGCSILDSFILMQFLYYRYRTSKSLEGKFKHQVVA >RHN51682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22667372:22670703:-1 gene:gene36176 transcript:rna36176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MRKEGNVKGAKNALAMMIKGSIKPDVVTYNSLMDGYCLVNEVNKAKHVLSTIARMGVAPDAQSYNIMINGFCRISHAWKLVDEMHVNGQPPDIFTYSSLIDALCKNNHLDKAIALVKKIKDQGIQPNMYTYNILIDGLCKGGRLKNAQDVFQDLLTKGYSLNIRTYNILINGLCKEGLFDKAEALLSKMEDNDINPNVVTYETIIRSLFYKDYNEKAEKLLREMVARGLL >RHN79897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33333093:33334166:1 gene:gene3752 transcript:rna3752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAPKAEKKPAEKKPAEKSPAEKKPKAEKKISKEGGDKKKKRVKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >RHN43774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48392325:48392897:1 gene:gene50396 transcript:rna50396 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMFRWSARITFTAWPRFVFPINVHQKKEAKRNHREKRFEKILGNSDESLAKSVEAGDCEKKHHYRFCCCGIT >RHN53627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2925752:2927995:1 gene:gene28554 transcript:rna28554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MATLPVEQTGESATAESQRSIPTPFLTKTYQLVDDSSVDDLVSWNEDGTSFIVWKPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDGFRRGEKNLLRDIQRRKILPAAGTAMATAVAAANTVTVAMAAPVRMVSPATSGDEQVVSSNSSPIAVNNGATVQRSTSCTTAPELVEENERLKKENMQLSNELSQLKGLCNNILAMMSNYNSGFSRQLESSTSAATARGVVVTEGKILDLLPLRNVSLAEENVVVNLGGAAGGLPCETMSLMEPEVQASQVPKLFGVSIGLKRCRTENEVEPEREEREQHQQMQVQMQTQSSQETDRGSDVKSEPRDGDSDDQERRWKLMK >RHN48185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45634380:45638367:1 gene:gene42873 transcript:rna42873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HR-like lesion-inducer MAFASFLGRVLFASVFILSAYQEFNDYGVDGGLAAKAIRPKFDAFIHKVDSQVGFNLPEIDIKFLVAGAIALKGIGGILFILGSSFGAVLLLLHQLIATPILYDFYNYGNEDGEYIQLFIKFTQNMALFGALLFFIGMKNSIPRRQPKKKVPKTKTY >RHN60204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23484691:23485946:-1 gene:gene22462 transcript:rna22462 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFSKSKLSQCFRPVVDLDDILESKVVAHHHSKNKHTVLKVIKAMVLETILNRRAHHKTCYGFDCFGVSKNIYSMYKKVTKATQSSLTTLSSDSPKLSQPKKMSTKGKHEKETSRGSAILEKQKKFEFYAICLFLISLVFTVFFGKLFGIFLTSIWIFLFSLWNSNYSCQKMLLYGPKYMDVKGRYRK >RHN41935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34216089:34218713:1 gene:gene48311 transcript:rna48311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MAINIMVTLFLSLILLFSIVSTGNSLSYNYYEKTCPDVEFIVAKTVKAATASDKTVPAALLRMHFHDCFIRGCDASVLLNSKGSNKAEKDGPPNASLHAFFIIDNAKKALEAACPGVVSCADILAFAARDAVFLSGGPSWDIPKGRKDGRISKASETIQLPSPSFNISQLQKSFSQRGLSMEDLVALSGGHTLGFSHCSSFRNRIHNFDATHDVDPSLNPSFASKLKSICPIINQVKNAGTTLDASSTTFDNTYYKLILQRKGIFSSDQVLIDTPYTKDLVSKFATSQDEFYKAFVKSMVKMSSINGGQEIRKDCRVVN >RHN56574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31994255:31994566:-1 gene:gene32000 transcript:rna32000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIQLLKFVYAMILFISIVFLIRTQLSDIYEECETDDYCPKYRDLLYVFKCIDKRCELVEAHA >RHN64759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61609829:61617730:1 gene:gene27614 transcript:rna27614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acid--thiol ligase MSPSPSPPQQEQKQEFIFRSKLPDIEIPTHLPLHSYCFQNLSQFNNRPCLINGDTGETLTYSDVHLTVRKIAAGLNTLGIQQGDVIMIVLRNSPQFALTFLGASFRGAVITTANPFYTSSELAKQATATKSKLIITQSVYLNKINDFAKLIDIKIVCIDSSPEEDENVVDFSVLTNADENELPEVKINPNDVVALPFSSGTSGLPKGVMLTHENLVTTISQLVDGENPHQYTNYEDVLLCVLPMFHIYALNSILLCGIRSGAAVLIVEKFEITKVLELIEKYKVTVASFVPPIVLALVKSGESMRYDLSSIRVMITGAAPMGMELEQAVKDRLPRTVLGQGYGMTEAGPLSISLAFAKEPFKTKPGACGTVVRNAEMKIVDTETGASLPRNKAGEICIRGTKVMKGYLNDPEATKRTIDKEGWLHTGDIGLIDDDDELFIVDRLKELIKYKGYQVAPAELEALLIAHPNISDAAVVPLKDEAAGEVPVAFVVRSNGSKISEDEIKQYISQQVVFYKRINRVYFTETIPKAASGKILRKELTARLNEGLVVATN >RHN52502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36173461:36175445:1 gene:gene37189 transcript:rna37189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose synthase MNEYKLQGQFRWIAAQTDRYRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVEGVSGFHIDPHNGDESINKISEFFEKCKTNP >RHN56431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30819546:30820475:1 gene:gene31832 transcript:rna31832 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRAFRSQSVSTQGAYNLAQKTIEEPVPSKVAQSTVTCLYQANVAGFWRNVSVLWCKNLMNHTLHVTVDSVGGDSQFSCKIDVKPWPFWSKKGYKTFEVEGNHVEVYWDLRSAKFTGSPEPSSDYYVALVLDEEVVLLLGDYKKKAYKRTKSRPALVEAMLLVKKENVFGKKSFSTKARFDEKRKENEIVVDSLTGNSTNDPEMWISIDGIVLIHVKNLQWKFRGNQTVMVNKQPVQVFWDVHDWLFSGSGSGPGLFIFKPGPVEDESEKEGSAVQSCESDDGSLGYHSTLNNATFEFCLVLYAYKIE >RHN76851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1794701:1795853:-1 gene:gene233 transcript:rna233 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAAGTPVPSNDRVSVTCRYPSDPTVVLGVLSTVFLIVSTVVGYMSLFYPYKGKIVPQGAMLKHFCFSAFFNVALFTTGLAAMFLIWPTITEQLHLTRNVHRDINYTCPTAKTGLFGGGAFLSLDSSLLWLIALMLANNVREDYFEELEGNKGGSHVDVEVDVM >RHN40105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13334143:13334463:-1 gene:gene46208 transcript:rna46208 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCLTIVACFSFTKKCKEISFLPFVLLLKNLQKFIKNHEVFDEMVRKIR >RHN81566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47092369:47095365:1 gene:gene5632 transcript:rna5632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MTSNQITERSPSMDMESCVPPGFRFHPTEEELVGYYLNRKINSLKIDLDVIVEVDLYKIEPWDIQDRCKLGYEQQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAVTSKNRIIGMRKTLVFYKGRAPNGRKTDWIMHEYRHQTSEHGPPQEEGWVVCRAFKKPSPSHRPGYEPWYSNQQQPQYFRDDQNYATTRPLSITDILHENRLLLQNPAAEGTSFSHPFSNDQQFLSNQNTLVMDNKQLIELPQLDSPTTSFAIKENTHHNGLTIEEYCSEERSNNINNNNGQVIDWKSLDNLFTSTNQFTDTANYFSNQNSMPLMIPHSQHELQSHQNQANHILGCFPDS >RHN81172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43825510:43828824:-1 gene:gene5178 transcript:rna5178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rho GTPase activation protein MTGLVMVTRGGGCGGGSKRTRPTQTEEDEQQNQLSLVALLLAAIRKSMVACRVDRPDHEVISTVHQMEIGWPTDVQHITHVTFDRFNGFLGLPVEFEVEIPGRVPSASVSVFGVSAESMQCSYDSKGNSVPTILMLMQDRLYSQGGLKAEGIFRINPENSKEEHVRNQLNSGIVPDDIDVHCLAGLIKAWFRELPSGVLDGLSPEQVLECNTEEESIELVKQLKPTESALLSWAIDLMADVVQEEEFNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLIMKTLREREETATGGYSPMSFRTSFRRSEDEYDSQRETATGGYSPMSFRSSYRPSEDEYDSQREIDASSELRRTKSDFDDHAHYRNSSQEELEAESLSEIEDCFLKKLDEHTKEFSEEPESYLQEYVSSKNCCDYSVEPAVPITDSEIVKSCLSFDREKFNADTTIPLRLGWTDTEDVEMIDKFTDSVSPVPRLTSS >RHN77192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4843220:4850463:1 gene:gene618 transcript:rna618 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGCKDVNVWKEALSTYTSQVQSLSLSKNKPNLVSLNQFYCNELPSLIHQRNPNPFITTQELSKLMQWKLTRGKWRPRLLDFVSALDEDVVKRASEKAFESLPDISKAISELSALKGVGPATASAVLAAFAPNLTPFMSDEAMQAALGNSKDYTLKQYLIFANKLQEKAKELSSEDTSFTPSDVERALWSCAIRKLSAPKSNKDPEKTNPSKTSKRKRNT >RHN75653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44107744:44108116:1 gene:gene11887 transcript:rna11887 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVLKSKLKGLKGDIKEWNKAEYWNMEMRLVSLREDIEELDAKSEMGILTMQEVGDRMLKFAELWRLWKSKEAMLLQRSKSKWLKEGDANSKYFHKCIKSRAPRNGIKTLNVGNKWVYEVGD >RHN77736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9052546:9054795:-1 gene:gene1223 transcript:rna1223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MSLMAECHSILIIFFLLLIKSSTANDHCVEASCGHNQPLIRFPFQLVKGTQDRCVNPDFCLYCNENKKTMFFLPTTWGPMEFLVVEIYYKLEWILVIDPEYCIPKKFLKIHNSSFLPYRFHSESQTTIAFFNCSSVGKQHLRNQDQSFQKLQNMITCPIYISRSSDSVLTWDLASCTKMLDVNTHTAVENLRKNWLSFSWQKPNCSVCAANGKKCRWNNGTEGGTECFDCVNKRKTIHIPKSLIFAATGSIVLGLVTIIFIKIYLYFRKKEEDQVRVDKFLEDYRAQQPTRFSFADIKRITNGFKEKLGEGAHGKVFKGKLSNEILVAVKILNNTEGDGKEFISEVGIMGKIHHINVVRLLGFCAEGIHRVLVYNLFSKGSLQSFIFPPDNEDHFMGWEMLQKIGIGIARGIEYLHQGCSHSILHFDINPHNVLLDDTLTPKISDFGLAKLCSMNCSVVSMTAARGTLGYMAPEVLSRNFGNVSLKSDIYSYGMLLLEMVAGRKNIDIRSLETFHDLLEGDIHIHIDDECDYKIAKKLAIVGLWCIQWHPGNRPSIKSVIQMLETGEDNQLTVPPNPFHPTTSITTSESHFPRQIFQMEVIQE >RHN69983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47759700:47764544:-1 gene:gene18519 transcript:rna18519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MEVEHSIEAVPSHKDSKLYDDDDRLKRTGTVWTTSSHIITAVVGSGVLSLAWAIAQLGWVIGPSVMIFFSLITWYTSSLLAECYRIGDPHYGKRNYTFMEAVHTILGGFNDTLCGIVQYTNLYGTAIGYTIAGAISMMAIKRSDCLHSSGGKDSCHISSNPYMIAFGVIQIFFSQIPDFDKMWWLSIVAAIMSFTYSFIGLGLAIAKVAENGSFKGSLTGVSIGTVTKAQKVWGTFQALGNIAFAYSYSQILIEIQDTIKNPPSEVKTMKQATKISIGVTTAFYMLCGCMGYAAFGDTAPGNLLTGIFNPYWLIDIANAAIVIHLVGAYQVYAQPFFAFVEKIVIKRWPKINKEYRIPIPGFHPYNLNLFRLIWRTIFVITTTVIAMLIPFFNDVLGLLGAVGFWPLTVYFPVEMYIKQKKIPKWSYKWISMQILSVVCLVVSVVAVVGSVASIQLDLKKYKPFTM >RHN43497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46328213:46329089:1 gene:gene50087 transcript:rna50087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiolase MQMPSAACMQKNYVPTCIAARKCKTIKAMASPTVAAPKREKDPKKRVVITGMGLVSVFGSNIDTFYNKLLEGESGISLIDRFDASSFPVHFGGQIRDFSSKGYIDGKNDRRLDDCLKYCLVAGKRALEDANLGNEVLNNMDKTRIGVLVGSGMGSLTTISDAVSGYIEKGHRKISPFFVPHTIPNMGSALLAIETGLMGPNYSISTACATANYCFYAASNHIRRG >RHN63030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47745463:47748754:-1 gene:gene25679 transcript:rna25679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcriptional coactivator Hfi1/Transcriptional adapter 1 MPAARYFPPINTLEQKLQIQRRLGGVRSCKYFNLLSSFLSLKIAKTDFDRICIATIGRENITLHNHFLKSILKKASLPKTALPRQNSVQAPLNVKTPNGCNSLQPLCKNLPQSPRKCRTPNLRDRRFRGRPPLAPLRKNNNIGFENSTPKTQEPQIKSTPKIQEPQINSTPKIQELQSNSTPKIQEQPRVTDPQCAGNTLHASVECGKEVGRDSEMTIIKSPKIQERPRYTDPQCAGNTLHASVECGEVDRDSEMTIIESPKIQEQQRDTDPLSAGKRFPVSVEYGKEVDRDSERLAIIRSPIRAPLALPTYGNRAQRLAHNGLPSGIVTNTCESISYLPDTHSLMKRLEHNLETEGCNISADAANVLNKALDVYLKRLIKPCLDLAASKPVNKFSGHIQPGMNYLPQNRSVQQLIGSASASISDFRAAMELNPAILGEDWSLHFEKVCFRASEE >RHN64920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62987502:62990802:1 gene:gene27799 transcript:rna27799 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKSLGTMRKVYTVCLSNLRKLNILVLLTHKSVCSGWKFGHDAINKLHVSSNLNIWI >RHN73025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12833762:12834865:1 gene:gene8799 transcript:rna8799 gene_biotype:protein_coding transcript_biotype:protein_coding MATHYTSRVTISIVVLLASLITNVVATKETTLSDVDLLEFPLNLEYLEAEFFLFGSFGHGLDAVAPELADGGPSPIGAKVAKLKDRKIKQIIFEFGLQEVGHLRAIKSTVKGFSRPLLNLSKSTFAKVIDNAFGKPLHPPFDPYANDINFLLASYLIPYVGLTGYVGTNPHLQNAASRQLVAGLLGVEAGQDAVIRTLLFERRELKVKPYGVSVGEFTERISTLRDILGHGGVKDVGVDEASGSIGSTILAGDESSLSYSRTPQEILRIIYGSGNESVPGCFYPKGGNGRIVRSFLHTS >RHN48911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51080698:51087335:1 gene:gene43677 transcript:rna43677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spermatogenesis-associated protein MLHQRSISVLNRFFYHNQKHFPTSTKFRTPFKFSRVTLPKVLSMATSSHSDQHKFTNRLASEQSPYLLQHAHNPVDWYPWGEEAFAEARRRDAPIFLSIGYSTCHWCHVMEVESFEDEGIAKLLNDWFVSIKVDREERPDVDKVYMTYVQALYGGGGWPLTVFLSPDLKPLMGGTYFPPEDKYGRPGFKTILRKVKEAWENKRDMLVKSGTFAIEQLSEALSSSSNSDKLPDGVSEDALRLCSEQLSENYDSEYGGFGSAPKFPRPVEINLMLYKSKKLEDTGKLDGANKSQKMVFFTLQCMAKGGVHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQLANVYLDAFSITKDTFYSSLSRDILDYLRRDMIGPEGEIFSAEDADSAENEGDTRKKEGAFYVWTSKEVEDLLGEHAALFEEHYYIKQMGNCDLSEMSDPHNEFKGKNVLIERKDSSEMASKYGMSIETYQEILGECRRKLFEVRLKRPKPHLDDKVIVSWNGLVISSFARASKILKGEAEGIKFNFPVVGTEPKEYLRIADKAASFIKNQLYNTETHRLQHSFRNSPSKAPGFLDDYAFLISGLLDLYEFGGEINWLLWAIELQETQDTLFLDKDGGGYFNNTGEDSSVLLRVKEDHDGAEPSGNSVSALNLIRLASLVSGSKAEHYKRNAEHLLAVFEKRLKDTAMAVPLMCCAADMLRVPSRKQVVLVGERTSEEFESMLGAAHALYDPNRTVIHIDPNNKEEMDFWEVNNSNIALMAKNNYSGSKVVALVCQNFTCSAPVTDHSSLEALLSQKPSS >RHN53737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3700587:3701132:1 gene:gene28677 transcript:rna28677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MTPKNCSYAIFLTLNILFFAIVSANGNCPTPPKPKPTPPKPKPCPTPSNGKCPTPPKPKPTPPKPKPCPTPPNGKCPTPPKPKPTPKPTPSRKSCPRDTIKLGGCSSVLNGMFNFSMGVPNSQCCGFLDGLVDYDFAICICTALKANIMGIIVNIPISFTQLINFCSRQAPSGFECLPDVH >RHN49874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1864417:1870684:1 gene:gene34099 transcript:rna34099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heme peroxidase MWSIITNPIKTIISNIIHHAIHKDFHAAVAKMSIIDAFLFLIVHSIDKLGIWHRLPVFLGLIYLAIRRHLHQEYNLINVGTTPVGVRSNPTDFPYRTADGRYNDPFNENAGSQGSFFGRNILPVDQKKKLLKPDPMVVATKLLERRTYKDTGKQFNVIAASWIQFMIHDWIDHMEDTQQIELIAPREVVNQCPLKSFKFFKTKEIPTGFYEVQTGHANIRTPWWDGSVIYGSNQEVLDKVRTFKDGKIKISKEGELLHNEDGTAISGDIRNSWAGVTTLQTLFVLEHNAVCDSLKEYPDLEDEDLYRYARLVTSAVIAKIHTIDWTVELLKTDTLLGGMRANWYGLLGKKFKDTFGHSGNSILSGFVGMKRAENHGVPYSLTEEFASVYRMHPLLPDFLHLRDTSASPGPNKSPPLIKEIPMNDLIGLQGEKTLIEIGVQKQLVSMGHQACGALELWNYPSWLRNLVPHNMDGTERSDHVDLAALEIYRDRERGVARYNQFRRGLFMIPISKWEDLTDDKEVIKVLEEIYGDDVEELDVLVGLMAEKKIKGFAISETAFLIFLLMASRRLEGDRFFTSNYNEETYTEKGLKWVNTTETLKDVIDRHYPKMTNKWLNASSAFSVWDAPPNKHNPIPIYLRVPS >RHN48518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48152774:48154804:-1 gene:gene43242 transcript:rna43242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRSPCCDKANVKKGPWSPEEDAKLKEYIEKHGTGGNWITLPKKVGLTRCGKSCRLRWLNYLRPNIKHGEFSDSEDKIICTLFASIGSRWSIIASKLEGRTDNDVKNYWNTKLKKKIMSMNHSVEMKPQQVTLLSILQNSTKSSPSLSFTNSSFSYSSTSSSLLSGNSSTSAAQESYISPSKNNSKNQINHVIDQGLVEECGDATFVEQNNIDSVDYIYSEVEDSEKLMFSNAGSVNGLWGENPLDYGIDEIKQLISTSASTSSCNNFLFDE >RHN60027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17085455:17089412:-1 gene:gene22219 transcript:rna22219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rhodanese-like domain-containing protein MDMKKDHQDVVTLDVHATKDLLDSSGYNYLDVRTVEEFNKSHVENAINVPYLFSTEEGRVKNPDFVNQVEAIYKSEDHLIVACNAGGRSSRAWVDLHNSGFKHIVNMGGGYSAWVDAGFAGDDKPAEELKTSCKFRP >RHN82609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55163049:55168257:1 gene:gene6784 transcript:rna6784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAEEENNHTVTYIVKIKVTLTLPDSDEPIPDHDHQETQPTANVGAETVPLEDGDVAQAVGVGAETASLKDDERDDVVEAAEESIPDREKQAMNVGAKTVVSLLKDDEGSVEGDDIAARDSFSAKAAAAIMKCGRKKKKRGRKSFAEIEKRKRDEEALLGNRTKEEAGEGDNDAGGSSSFQPVRKRRGRKRKVEKETTKNEVPQVLKKLKIRESNAKWIEEGSLMCHQCQRNDKGRVVRCTKCKRRRYCIPCLNNWYPKLKESDIAKACPVCCDNCNCKACLRSFKLIDEMKRKAETINEEEVEFSKYLLKGLLPHLRRLDAEQMIEKEREAKRRGLSLSKLNIKPADYSKDERVFCDNCKTSIFDYHRSCSKCSFDLCLLCCCELRGGKLLGGADPIKLGYDFRGRDYLHGGNEEKHVKESLSHAEDESTTREWSRSGWHANVDGSIPCPKADNECDHGFLELRRILPPNCISELVCKANKLAETIKLQDVEETRDNRCSCSKPVRHADDIHNNKRKAAFHEDTGDKFLYCPRAVDLHHGDLRHFQWHWSKGEPVIVSNVLECTSGLSWEPLVMWRAFRQITNSKYDVVLDVKAVNCLDWCEGDINIHQFFTGYTNGRSDWLKWPEVLKLKDWPPSDLFQELLPRHHAEFISSLPYKEYTNPFSGSLNLAVKLPDYCVKPDMGPRTYIAYGFAQNLGRGDSVTKLHCDVSDAVNVLTHIAKVELKPEEISVIKKLTRKHLEQDKRELHGDGEAVDMFHQLSDTNDDDLMVGEDPLEGALWDIFRREDVPKLKEYLEKHFREFRHVNCIPLKQVIDPIHDQTIYLTMEHKMKLKKEYGIEPWTFIQKLGDAVFIPAGLPHQVRNLKVKFMDKTYSTIDTVFVVPN >RHN61204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33821311:33821652:1 gene:gene23642 transcript:rna23642 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLVDIWTNEMSKLREKEKEKCQIHSAISTNFTQHKFILIQPL >RHN68271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34141228:34144796:1 gene:gene16605 transcript:rna16605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor/ chromatin remodeling BED-type(Zn) family MEQNLELVPITSQKHDPAWKHCQLFKNGEKVQLKCNYCLKFFKGGGIHRFKEHLACQKGNASMCSSVPADVRQHMQQSLDGVVVKKRKRQKIEEEIMNVNPLATVLNGGNNQMDVNHHGVHVQPIGVHDPMEQNPVSCQMFHSPGEGMSRNVERRKKIRATKNQNQNPAAVVYNTNYEPEPVVAPMEKNTLFPTKVDHQIHMAIGRFLYDIGAPFDAVNSIYFEQMVEAIASGGSGFQRPSHHELRGWVLKNSVEDVKNDVDRCKMTWGRTGSSILVDQWTTEAGRILISFLAYCPEGVVFLKSLDATERLISEDFLYGLMKEVVEEVGVGQVVQVITSAEKWYADAGRMLTETYPSLYWSPSAAHCIDLILEDFGNIEWISTVIEQAKSITRFVYNYSAILNMVKRYTLGNDIVDPSFSRFTTDFTTLKRMVVLKHNLQAMVTSQEWMDCPYSKEAAGLEMLDILSNQTFWSSCEMIVRLTHPLLRVLRTASSEMRPAMGYTYEGIYRAKEAIKKALIKREDYMVFWNIIHQRWDSLWHHPLHAAGFYLNPKFFYSIQGDVPNEIRSGMLDCIERLVPDTRVQDKISKELNLYKSAAGDFGRKMAIRARDNLLPSEWWSTYGGGCPNLSRLAIRILSQTSSVMFCKRNQIPFEQIINTRNYIERQHFTDLVFVHYNLRLRQMFMNKEQESSDPLSFDNICNVEDWIGPRDLYFGEYGNSDWMALDSSSINTMPLRSLNDEPEEMCEGFDDDEIFISLKDDEDVNSGDKFENH >RHN38889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2653402:2660558:1 gene:gene44864 transcript:rna44864 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-hydroxyisobutyryl-CoA hydrolase MASPAKLDKDQVLVERKSSARVLTLNRPKQLNALSFYMVSKLLEIFHDDEGNPDVKLIVLKGNGRAFCAGGDVAAVANDARGGDWRFGAIFFDTEYKMNYLMATYSKPQVSILNGIVMGGGAGASMHGRFRVVTEKTVFAMPETALGLFPDVGASYYLSRLAGFFGEYVGLTGARLDGAEMLACGLATHFVPSSKLSSLEESLCKVETSDPAIVSAIIDKYSEQPSLKKDSVYHRMDIINKCFSRKTVEDILYSLETEAMSKADSWISATVETLKKASPTSLKVFLRLIREGRLLGVGQCLVYEYRIVCHILQGHHSKDFFEGCRAILIDKDRKPKWEPSKLELVKDSDVDRYFSKLDAEGWKDLEFPKRFNNLPPHAISKL >RHN80968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42304325:42316889:-1 gene:gene4955 transcript:rna4955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA MAASSLCSSFTSTTSHSQHRLHHRKTLNLPGSSFLSREFHLNSASVSKTRRSRSRRSGSVAVASLGGLLGGIFKGNDTGEATRKQYAATVNVINGLEANISKLSDSELRDKTFELRERAQKRESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAILPAYLNALVGKGVHVVTVNDYLARRDCEWVGQVPRFLGMKVGLIQQNMTSEQRKENYLCDITYVTNSELGFDFLRDNLATEINFYPFNITLNSKLWNVQSVEELVIRGFNYCVIDEVDSILIDEARTPLIISGPAEKPSDKYYKAAKIAEAFERDIHYTVDEKQKSVLISEQGYEDAEEILAVKDLYDPREQWASFVLNAIKAKELFLRDVNYIIRGKEVLIVDEFTGRVMQGRRWSDGLHQAVEAKEGLPIQNETVTLASISYQNFFLQFPKLCGMTGTASTEITEFESIYKLKVTIVPTNKPMIRKDESDVVFRATRGKWRAVVVEISRMHKTGRPVLVGTTSVEQSDSLSEQLKEAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREILMPRVVKLTEGDFVSVKKPPPAKTWKVNDKLFPCQLSNKNTELAEEAVQLAVKAWGKRSLTELEAEERLSYSCEKGPAQDEVIAALRNAFLEISKEYKIFTEEERKKVVAAGGLIVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMKAFRVEDLPIESQMLTKALDEAQKKVENYFFDIRKQLFEYDEVLNSQRDRVYTERRRALQSDNLQSLLIEYAELTIDDILEANIGSDAPKDSWDLDKLIAKIQQYCYLLNDLTPDLLRNECPDYEGLRSYLRLRGKEAYLQKRDITEQQAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLEMMAQIRRNVIYSIYQFKPVLLKQDQDKAENQKSGKRNARTRNDTNPDPVGTVEPSTSASS >RHN79251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26644752:26645383:-1 gene:gene3011 transcript:rna3011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTETLKFVCVMFLFLSIFLVTIVTDCSSPIRVTDVSRPCTTDKDCSKVEYGYKLRCRKGRCVHIPR >RHN73443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16473244:16479517:-1 gene:gene9257 transcript:rna9257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLFSSTKPITFSLQTLLKHGLTPTPNSITTFFNFLFNLRKFNLIINLFHQFTFNKIQIPHKTHKILTWALLNSHSFNQAEQFMMQNPHTPFGAWDMLIHGLCSTRENPERILSVLRHCLVKNRLFISKIVFCCVIQRFCNVGHVGKAIEVVELMNEYRKDYPFDDFVCSSVVSAFSRAGKPELSLWFFDNFMGSRPNLVTYTAVVNALCKLGRVDEVCGLVRKMEEDGLDLDVVLYSVWVCGYVEEKVLVEVFRKMREMVEKGICHDFVSYTILIDGFSKLGDVEKSFTFLAKMIKEGIIPNKVTYTAIMSAYCKKGRIEEAFGLFVRMKDMGIELDEFVFVVLIDGFGRVGDFDRVFQLLVEMEKRGIGPNVVTYNAVVNGLSKYGRTQEADEFSKNVTADVVTYSTLLHGYTEEDNVLGILQTKKRLEEAGISMDVVMCNVLIRALFMMQAYEDVYALYKGMPEMDLVPNSITYCTMIDGYCKVGKINEALEVFDDFRKTSISSYACYNSIINGLCKKGMVEMAIEALLELDHKGLMLDTGTHRLLMKTIFKENSSKVVLDLVCRMESLELDIYNAICNDSIFLLCKRGLLDDAYQLWMAMKKKGLPVTCKSYHSLLRRLLCVVGNREQILPLLNCFLKEYGLVEPKVQKVLAQYICLKDVDSALRFLGKTSYNSSAVTFPVSILKVLIKEGRALDAYKLLMGVQDDLPVMYVDYGVVIHGLCKGGYLNKALDLCTLIEKKGVNLNIVIYNSIINGLCHDGCLIEAFRLFDSLEKLNLMTSEITYATLIYALCREGYLQDAEHVFKKMVLNGFQPKTQVYNSLLVATSKIGQLEKAFELLNDMEKQYIKFDNFTVSSVINCYCQKGDMEGALEFYYKFKGKDISPDFLGFLYMIRGLCTKGRMEETRSVLREMLQSKNVAEMINIVNSRVDTESICDFIAALCDQGRIQEAVKVLNLIASEFFPAQRSSTCNNQGSDKSHKSYESVDIGSKSSTSLLSYCESGLDFESCDTRDKRNHMTNNDSHLKKSRLRNFDFYYSRIAALCTKGDLQDANELAKKMVSDMTESMN >RHN73963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22238763:22241295:-1 gene:gene9866 transcript:rna9866 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIRTRKNEAKYGRMRSNVQVSCYKVILEPSHMKVQVRVMMLMRMGEYDLCPLHYFLCHILINLM >RHN57364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38387144:38388352:1 gene:gene32894 transcript:rna32894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MNEKKWRRLHKEFSIPPHSDTNNIGAKFEAGILYIKLPKLINQQNIVPTPTKPITQQEPQKPQQPATIPQKPNTAPQVSDQKKQVVHQEPNKEIEEVSQRKGKGKAEELKEDIDDVNNKVASKVYEKSH >RHN51760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24619732:24624786:1 gene:gene36278 transcript:rna36278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S54, rhomboid MSGRDLERDGGNKNNNNHAYAVPPTSSGYDPETSWTSWLVPMFVVANLVVFVVAMYINNCPSKNLGFDGACVLKFLGRFSFQPLKENPLLGPSSETLTKMGALKWDAVVNHHQGWRLVSCIWLHAGIIHLAANMISLVFIGIRLEQQFGFVRIGIVYLVSGFGGSILSALFIRKSISVGASGALFGLLGAMLSELITNWSIYTNKVAALMTLLFIIVINLVIGMLPHVDNFAHIGGFLTGFLLGFIFLPRPQFGWLAQRHVPAGVRLKSKYKVYQYVLWVVSLVLLIAGLCIGLVMLLRGESGYDHCHWCHYLTCVPTSKWKCDDS >RHN52704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37895236:37895776:1 gene:gene37402 transcript:rna37402 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMIVDAYRIDEYFVSKKVIISKLYLCSINLCRLMYKSS >RHN44688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7390966:7391848:1 gene:gene38838 transcript:rna38838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative perakine reductase MIINILWKRLGSKINACLFLPSAKSENYNDFNHEVLGNTFKKANYGDLHQWHSEISKCGRFPFGGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDVIPTCRELGIGIVAYSPLGRGFFSSGTKLLDNLQQDDYRKVCGKIRLQKFLRITYSFC >RHN80667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39908935:39912842:1 gene:gene4621 transcript:rna4621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-mannose 3,5-epimerase MGSTEKTNYGEYTYENLEREPYWPSEKLKISITGAGGFIASHLARRLKKEGHYIIASDWKKNEHMTEDMFCDEFHLVDLRVMDNCLTVTKGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMIEAARINGIKRFFYASSACIYPEFKQLETTNVSLKESDAWPAEPQDAYGLEKLATEEICKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAITSTDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLGFEDKKTPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYVWIKEQLEKEKAQGLDTSGYGSSKVVSTQAPVQLGSLRAADGKEGSS >RHN53881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4982013:4986296:1 gene:gene28841 transcript:rna28841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MRFLLLLFFLFHFHYHHVLSASAPISEYRALLSFRQSITDSTPPSLSSWNTNTTHCTWFGVTCNTRRHVTAVNLTGLDLSGTLSDELSHLPFLTNLSLADNKFSGQIPPSLSAVTNLRLLNLSNNVFNGTFPSELSLLKNLEVLDLYNNNMTGTLPLAVTELPNLRHLHLGGNYLTGQIPPEYGSWQHLQYLAVSGNELDGTIPPEIGNLTSLRELYIGYFNEYTGGIPPQIGNLTELIRLDAAYCGLSGEIPHEIGKLQNLDTLFLQVNALSGSLTWELGNLKSLKSMDLSNNMLTGEIPTSFGELKNLTLLNLFRNKLHGAIPEFIGDMPALEVIQLWENNFTGNIPMSLGTNGKLSLLDISSNKLTGTLPPYLCSGNMLQTLITLGNFLFGPIPESLGGCESLTRIRMGENFFNGSIPKGLFGLPKLSQVELQDNYLSGNFPETHSVSVNLGQITLSNNQLSGPLPPSIGNFSGVQKLLLDGNMFEGKIPSQIGRLQQLSKIDFSHNRFSGPIAPEISKCKLLTFVDLSRNELSGIIPNEITHMKILNYFNISRNHLVGSIPGSIASMQSLTSVDFSYNNLSGLVPGTGQFSYFNYTSFLGNPDLCGPYLGACKDGVLDGPNQLHHVKGHLSSTVKLLLVIGLLACSIVFAIAAIIKARSLKKASEARAWKLTSFQRLEFTADDVLDSLKEDNIIGKGGAGIVYKGAMPNGELVAVKRLPVMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLYWDTRYKIAVEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSNYEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRKMTDSNKEGVLKVLDPRLSSVPLQEVMHVFYVAILCVEEQAVERPTMREVVQILTELPKSTESKLGDSTITESSLSSSNALESPTAASKDHQHPPQSPPPDLLSI >RHN47503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40192100:40192619:1 gene:gene42114 transcript:rna42114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MGVRQSFSFFNYDPSRHNVFKVNGTFFQRCTFPPQNEALSTGKDIIPLKTEETKWYVCGIADNCSARHMKFIITVLAESAPAPSLPPSSDAHSVVSSVFGVVMATMVAIAVIFA >RHN59210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8461834:8463274:1 gene:gene21209 transcript:rna21209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperone DnaJ MNFVCLLLAILGAIIEVPSFTTGSVMIKVHPGTQPGQKVVMKGKGIRKGKNTFKYGDQYIHFNVSIPTKLAERQRELIQDFVKEGQEESDKWKAASAPS >RHN72988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12379760:12380206:-1 gene:gene8757 transcript:rna8757 gene_biotype:protein_coding transcript_biotype:protein_coding MEASMIRKCLVMMLMVMFVVAKVKCITPSQEFELSKNKIPSLCELKCDALCIGHKIIGTFDKCVEDCKKTRCSDQNQILN >RHN38585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:464776:465694:-1 gene:gene44540 transcript:rna44540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MEKHINMESDADDTSSTPSFSSSTSSNSDTKEESKKRQRSTEVENNSTHPTYRGVRKRNWGKFVCEIREPKKKSRIWLGTYPTAEMAARAHDVAALAIKGHSAYLNFPELVQQLPKPISTSTKDIQAAAAKAANTVFVAEPIQEEHFSSSSSTFTNNDDATLFDLPDLFPDDGNNGLCSYSSKNSSWYLCAVDSGFRLEEPSFWEKY >RHN73396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15945923:15946486:-1 gene:gene9200 transcript:rna9200 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIFECVVHHAVDFSCFMDPDYEGPVETLDCDPDFFSYFALLSTLKSCGYVSFKSLWYHDLVIEDEFIPLNSDSGCIRMQSIALQYDRVHLYVVHPMSQPDVVALDPLIEYPCMAPPVPPISPVVNETNEGPTDVGPTAEDKNAESGVSGPNCGVNEDGPELDLNDLGPMLDENDLFGEHENGPEV >RHN73584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17878276:17883620:1 gene:gene9410 transcript:rna9410 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLMLGENNNAADFPSELDIFYGKHMLFKVEVADGNLIHNWRSYDVKRISDDGDMTKRFMVPHNIKVEGVDSSKPAVNQKATDVISR >RHN51832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26267924:26271383:1 gene:gene36372 transcript:rna36372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MAERRAKGLCFKCGGKYHPTLHKCPEKSLRVLILGEGEGVNEEGEIVSLETQEVLEEEEEEIESECKVIGVLGSMGEYNTMKIGGKLENIDVVVLVDSGATHNFISAKLTSALGLTITPMAARKIKLGDGHEVLSQGVCKGREGTKEGSVFLTHSQKEDKKGWKKSGGCLNLNNLSLAKHVETLQ >RHN81004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42536513:42540118:-1 gene:gene4992 transcript:rna4992 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLLSSGSPSSLQAYKLHTQTHTVRKRGLLKPHRAVNQQSQTSVRTSWPRVSLSLFGAGFVLGPLLDGLHSRVELVAYKSGAIDIGPLHTNIWVPPLLGLFYCSVGLLQLYLDERVLNKVQDGSLTKTISSLILVALFIELSAELYKAGIADNIVAYILFAAAEFLWFSLNGTWPGFTLSCIVGFACPLAEIPLMKFLHLWYYPQANIEIFGQGLVTWTLTCYFVYTLFLINLSRWFRTVYVAQTEESDA >RHN74040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:24268499:24279015:1 gene:gene9978 transcript:rna9978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase transcription regulator SET family MNENYKTSRSRPLLLPPIFPFFQLIVVHLKPFSLLGFQIPTITMLDSGNLAPSPPLSAPCSVTDAAPAAPNAASGSSDNGSSAYARVLKRTRGSLRKTDKHKSLWDRLTDPQSLPFLVAASKMVECCFCHHALCPEDELLCSVRGCAARYHKECAKQAVGVSNLKKFKCPQHVCFICKQKLNLLRCVRCTVAFHTKCAPWPEAVIRLEDHPGQAVCWRHSDWRLDKKEQPDTSTSDISEVFCRLPLPFANEEFKIDFTWKDMDNKMEPPPYTHIRRNMYLVKKKRSDVDDDVGCTNCSSTCSNDCVCRVQCISCSKACRCSENCSNRPFRREKQIRIVKTEFCGWGVEAVETIEKGEFIIEYIGEVIDDALCEQRLWDMKYKGVQNFYMCEIRKDFTIDATFKGNTSRFLNHSCDPNCVLEKWQVDGETRIGVFAGRSIEVGEPLTYDYRFVQFGAEVKCCCGSPKCQGFLGSKKKIGKVINLCWGAKRKRTSCPRAANAADLPKYYM >RHN53647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3058019:3065052:1 gene:gene28576 transcript:rna28576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PH domain-containing protein MMRGSKRLAVSEPNRADNNETQFRNKRIMEGSLFDVHRAEPSQQLMMATPSLDMKRAESSQQHVRALNTQFASWVQTQLKNHPDELWEDGVRDYLDHATGIMEKFSDVVNWLKANATKAENSTPGAGAGVSFAGKKFLPEVTNKENKNFGEKSGSPPVSTTTTTSFASPWSPGIFSNSQNQNQNQNLFTFGGNQSSAPSNSNHNASDDADAENEEEEPSSPSVKKSEEKGVSVVHEVKCKLYVKSSDPTDKDVWKDRGMGNLSIKCKEGVAKATKESKPTIVVRNEVGKILLNALLYPGIKTNPQKNSLVAIFHTAGNADGGGDDIVVARTFLIRMKTEDDRNKLASTIQEYAPAS >RHN53523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2264524:2265476:-1 gene:gene28438 transcript:rna28438 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNIIASPNIIVTFFLLFLCTFSSSTRTSFDSSEINNVNVDDIDQTNHDSSFPMSVKNPLDQNSIFLPSENLPEPDSKHATLVQYDEPIEETIEYHEPKIVAPTKTITTAESSKESKPLPLTVFRFGPIDRTRIPRRPSQLSRRPIHHRCHHTHQHYKPWNNRHLPRRPNNVVITKINNDVEGREFETVARRWTPVDWMRYDNNINDPIMFSRERSKAMENSELLKKIYERYNQRRQEQAEAKTKENGSTFVKRIRKFLNGV >RHN59727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12716998:12717224:-1 gene:gene21832 transcript:rna21832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase Clp MPIGVPKVPFQLPEEDEASWVDLYNRLFQERLLFLGQRSHEYKSTCWSNGIFSLEEDRDLYLFIILRAER >RHN71618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1411687:1412424:1 gene:gene7236 transcript:rna7236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MDRLGSLPDDILTRILSSVPTKQAVATSILSKRWIHLWRYVPVLDFTETNMEDLESVRRFKEFVSSFLLLRKAAGNHSINTFILGIQRYSSPIHAPGDNYLDMMRRTTYHNTLIRKLTLAPSLPISILTCTTLVVLKLRWFWFFKDANSHYNFPSLKTLHLKDIYLHHQHEFTFLLDACPLLEDLQLSNIHFDPSARFSSLYRKQQLRCSSLKRLNKADITDHGCYFMVKSLSNVEFLRIQLCKV >RHN43135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43476479:43476901:1 gene:gene49666 transcript:rna49666 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSDPSSGRAFIWLVTCLLFISLLAGGVCLGAYMLLPESETSSWIPVAGVVFVCLPWAFWFLTCLYRIFSRCFGLRVGAGIANGGGGGGGNWGGSTTPRNGDVEVATQSPKGVGAAQLNRVSSVASHESELPLAKSMRS >RHN54623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10857962:10862508:1 gene:gene29692 transcript:rna29692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MAGQSRKWMILIATIWIQAFTGTNFDFSSYSSTMKSVLKISQVQLNYLATASDMGKVFGWSSGLALMYLPISLVMFIAASMGLVGYGLQFLLINNLITLPYFLVFFLCLLSGCSICWFNTVCFVLCIKNFPVNRSLALSLTVSFNGVSAALYTLAANSINPSSDQLYLLLNALVPLLTSIAALLPILRQPPLSDTHSPHAARQNSVIFLTLNILAVFTGIYLLIFASSTSDEATSRLYFGGALILLISPLCIPGVIYARDWFHRAVHPSLRVENSSFILVHVNDLELHKELLTRQNSARSNGDAQGLLGENGYGTQRAKSSDVNCDKFFGQDQLEMLGEEHSAAVLVKRLDFWLYYIAYFCGGTIGLVYSNNLGQIAQSLGHSYRTSTLVTLYSSFSFFGRLLSAMPDYIRNKFYFARTGWLTIALLPTPIAFILLASSESAMALNTGTALIGLSSGFIFAAAVAVTSELFGPDSLSVNHNILITNIPIGSLLYGFMAAIVYDANAISAPGNGNIIMSDSLVCMGRQCYFWTFVWWGCISVIGLISSLLLFLRTRHAYDCFERHRISAQPTVS >RHN70032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48201603:48215645:1 gene:gene18572 transcript:rna18572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MSKSNTTNTTPPKPTWVLPYVTENLREVYTLGRKLGQGQFGITYHCIHNSTGRTYACKSISKKKLLCKEDYDDVWREIQIMHHLSENPNVVRIHGTYEDSFSVHLVMELCEGGELFDRIVQKGHYSERQAAKLIRTIVEVVEACHSLGVMHRDLKPENFLFDSVDEDALLKTIDFGLSVFYKPGEIFSDVVGSPYYVAPEVLHKHYGPEADVWSAGVILYILLSGVPPFWAETDQGIFRQIFQGKLDFRSEPWPGISDSAKDLIRKMLDRNPKTRFTAHQVLCHPWIVDDNIAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFRMLDADNSGTITLEELKEGLKRVGSELMESEIKDLMDAADIDNNGTLDYGEFIAATVHLNKLEREENLLSAFSYFDKDCSGYITIDEIQAACKEFGLDDVHIDEMVKEIDQDNDGQIDYGEFAAMMRKGNGGIGRRTMTSTLNFRDALGIIGLKGNGGIGRRTMSSTLDSRDALEIIGNGSN >RHN61244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34124862:34125383:-1 gene:gene23685 transcript:rna23685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MMGAMRANMVSHTTTTTNDTPMVLIPSPARASTKKRRGRPLGSNNRPKPPINIKEDTNTHMEIVCIEIPTGRNIMGALVKYARRRQVNMTVLRGNGFVSHVTLLHPESRSPVIPMEGPFEMTSLFGMYSGHVPSQSINDPPCSSFSIFESRILIKLEETRTITSKCFWVRINL >RHN65537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3035963:3038366:-1 gene:gene13384 transcript:rna13384 gene_biotype:protein_coding transcript_biotype:protein_coding MYTPIIQSSTHQSSLTTVPPLSTKHRQPPSDQVRLVVPFVGIQALPVFYIQKIKAFKYKTMSANGDARLQSVVQRPNQDGHAASPSPAPSPRRGGSPTSSHPAVHIQDQAPFGLQQPDEVADNSTPSASWLSYLLQTLKAQSTMVEEQNQRIVELERSKKQLLHLHNASSLPRNIRGGSPRRSRSRSPRRSNSVRSPSRGRSPPRRRGRRS >RHN62382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43043450:43045150:1 gene:gene24951 transcript:rna24951 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGFLLDVIISKGTPILQLLASKNQTLLVWWDSFLVLNFCLNIVYGIRTLHLKGDRLSSESLHKYLHTTTETEHQMEGGFLLDVIISKGTPILQLLASKNQTLLVWWDSFLVLNFCLNIVYGI >RHN71207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57224988:57228652:-1 gene:gene19860 transcript:rna19860 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNASRLDRLPAVSLCRDRFKFIDESLRQSYSLADAHVAHMYSLRTLGPTLLHFFKQFEDFSGETKPSLSKSPPPPRSKSSSSSDSDAEHVPLHSESEPEPEDTEENSQLCTHSYRRYDYLHHDTVLSSVPDNVTFMTYVKPIYDSYSPPPPSNTTGNFSGLNPPSPPPPSNSAWEFLDFFEPYEKFKVRYSHGGDGYTDTSITDEKEKEKEKVNGHVTQKSECVKSNGVNVSETENGEGKNKEANLKEESSILEKKLPELEECSNSPKMESVKGFSETVKEIQILFERASDSGNVILEMLDVGKLRYHSKIELNPVSCKIMHVFTPSNPLMVKCVESPCGRQAECQGVYKDKGISYENLCSTLSKLCMWEKKLYHEVKAEEKLRTLHEKKCKQLRRMNKKDADAQKINSIKAFISILVTKMKVSIQVVDKISNSISKLTEEELWPQISRFILMFLGMWKDMQECYKRQYQEIVETKALDVSSFNRKPCNANIDAAIKLKTELQKWNLSFLDWIQAQKFHVKALNDWLVRCLMYESEELQNDSTPFGAPPVFVVLNKWSKAMDNISEKNVVEAVNGFILRVNELLKNNILELQKNSTLDKEIEKKVKILDSQEQKLDKMVKARERKMAPIAKEPREVGDAIHNNDDIVDSLSLQSGLKQIFVAMEKFSATTASLYEELSQQIKQDHVLGESNKNH >RHN80184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35934627:35941541:-1 gene:gene4081 transcript:rna4081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosome recycling factor MATSFSSTTPLRSIFQNLPIVPKALLSAHSSFTIKRSSTYVTLKLSGSGRHSLSKGLLTLHTRKGFVRAATIEEIEAEKASIENDVKSRMERTLDNVKTNFSSIRTGRATPSMLDKIEVEYYGSPVSLKTIAQISTPDASSLLVSPYDKSSLKAIEKAIVNSDVGMTPNNDGEVIRLSIPQLTSDRRKELTKIVSKQVEEGKVALRNIRRDALKAYEKLEKDKKLSEDNVKDLSSDLQKLTDDYIKKVDALYKQKEKELLTV >RHN39483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7441212:7441753:-1 gene:gene45508 transcript:rna45508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M76, ATP23 MHIYKAMKDCERKVQKSLESPTVKLLREHMEKAGCPVKDTFFKVIYCRNKYGGSYAPGGGGISVIIHELIHAFDDCGAANVNWRNCAHHACSEIRANRLSGDCHFKRELLRGILKIRGHEPECIKKRVLKSLSSNPFCAASTTSKDSMEAVWNICYNDTAPFDKAP >RHN68786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38593570:38594390:1 gene:gene17184 transcript:rna17184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbonic anhydrase MAAPYDFIDDWVKIGLSFKVKVLKEHECCDFKEQCKFCEMVS >RHN80240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36400378:36403861:1 gene:gene4141 transcript:rna4141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MAELHSPNKTPTEDEFQYPLRSSPSFEIYNNNDPEQVLKRTVMSLESIGTSDFTFERSKMDLIQEEEDNENDWSTEIQNLGVVDDVQPSTPPMFLATGLGVDGGDVVSDNNFIISDDMFVPNLQESENLQEYYKIMVHDYPSHPLILKKYAHFLQGKGELQDAEEYFHRATLADPNDGEILMHYAKLVWENHHDRDRASVYFERAAKASPQDSDVLAAYASFLWETEDDENESENHTTQNDMEKQETKPINTANEENGAEKLATANYSEDSNDADYLKKMINENPNNPLFLKKYAQFLFQSNRDLEAAEDYYSRAISADPSDGETISEYAKLQWQLHHDQEKALSLFEQAVKATPGDSNVLAAYTCFLWETEDEES >RHN50265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5654919:5658564:1 gene:gene34536 transcript:rna34536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSNHGQRILNLLASTTTFRSLDATKRLHALTITTPPIPNQSIFINNNIITSYISYNNFILARKLFDVMPQRTLVSYNALIKAYSRSGDVNEAWRLVNELMVCGFGPNQYTLTGLLCCEGLKLFQGYQLFGLSVKNGVFDVDAFVGSALLGFFGRCGCLDEAFSVFDDMKCKSLVTWNTMLSLLSCNGFVEDVKVLFCELLRLGVFLSEGSFVAVLSGIGGCEEDLSYGEQVHCLMTKSGFDCYVNAVNSLIGVYVRCRALCSAERLFEQVPVQNVVSWNMIIDSMVKNGRSQMALEVYLNMLRRGLVPSQATFVGVIESCIGLRNLSCGECVHAKVIRSGFESDVVVGTALVDFYAKFEKLISAHYCFDQIEEKNVVSWNALMLGYSNVCSSTSILLLREMFRSGCFPNEFSFSAVLKSSSVLDLRQLHGLVIRMGYENHEYVLSSLVVAYERNGLINEVLSFVQEFNNPLHVIPSNIIAGIYNRTGLYNETMKLLSLQERPDVVSWNIAISACARSNNYNEVFELFKRMHSAHVHPDKYTFVTGLCACTKICSLDLGSSFHGLIVKTNSCDTFVGNVLIDMYGKCGKIESSVKVFEEITDRNVITWTALISALGLNSYARDAVKIFNNMVLIGFKPDTLALRAVLSSCRYGGLVSEGMEIFKQMGTIYGIQPEHDHYHCIIDLLAKNGQIKEAEEVMARMPFPPNANIWRSFLEGYKRQEILQFDLYNT >RHN39442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7147928:7151774:1 gene:gene45464 transcript:rna45464 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ATG12 MAAAESPTSVRKVVVHLRATGDAPILKQSKFKIAGTDKFAKVIDFLRRQLHRESLFVYVNSAFSPNPDELVIDLYNNFGFDGKLVVNYACSMAWG >RHN70632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52868299:52869254:1 gene:gene19239 transcript:rna19239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MLEELYAFRLEFYTPEEESLSYQEYESLDLRKLTIACMPSTFCHFPLKALHNVKELYIEINQVYRGFMRFPLFFHNLTNLGLYSINSNWHLLAQVLNHCPSLQNVELSEGTANGIREDVHENWEDHPIFVPQSLSLQLKTCKLLNFLGEEGELLLARYILKNARVLQTMKIHCSDDPKIGRELLLCPRAGPLLHVKLLLNVNKLCKYQFFY >RHN69927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47371552:47372811:1 gene:gene18460 transcript:rna18460 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEASKWKRRGRDGGGELCFASQCCFTCSSGEPMALAAISCQRIHNQWRLSLPHIFLRVC >RHN43168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43689941:43696166:1 gene:gene49702 transcript:rna49702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MGLFKSKRVVYKPVKDVNLGPDSTEFYLQANVKAPRMTGILVKIVAWLLECRIIGAFLLYILKGNNLIHKYITNADIEEPPLYVPLHHFEDHKEQEVKSLDLALTPPDKVQVAIDCLPTTLQRPINGTKPSFNRWTIMDYFRAYSSGDITPHMVAERFIAAVDESSKPTLQMGFFINYNVEDILRQANESTLRYQKGEPISVLDGVPVAIKDEIDCLPYPTTGGTKWLHKQRPCTDDACCIKRLRLCGAVLVGKTNMHELGAGTSGINPHYGATRNPHNASRIAGGSSSGSAAVVSAGLCPVALGVDGGGSVRMPAALCGIVGLKPTFSRIPHSGVIPLNWTVGMVGILAGTVEDSLITYAAISGEIPSRQPSSIPAKINLPLLPLTKSISKIKLAKYGKWFDDCSDDVRICCSGALNKLQGHYGWKIVDVTIPEIEVMRLAHYSTIGSECNTSLDYFQDKNLADFGWDARVALKIYGSFSSMEYIKAQKIRNRQLQFHKKIFSEADIIVSPTTGVTAYPIQDDALKTGELDYVNGAALIRYSIAGNFLGLPAVTVPVGYDKLGLPIGLQFIGRPWAEATLIHLAFAMQTICLSEYRKPAIFYDLLRTN >RHN55287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16824658:16829293:-1 gene:gene30439 transcript:rna30439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein translocase complex, SecE/Sec61-gamma subunit MVLQAKTSFTLTLSPHFTPSPPSTLSPQFFNFKLKPSLPSLTLTRRCTLPRAVEEKDQPTSNPEPEPEPKPELNESELASELKKAMQERKEQEGNNFWNGVVSEIGEIEWPEFGKVLGTTGVVLSVIFGSSAVLLTLNAILAELSDKVFAGKGVQDFFT >RHN52344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34172268:34173502:-1 gene:gene37008 transcript:rna37008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine decarboxylase MYRMECEKVETLSSGEIDCDDFKAKLLRHQDKPAIINVNIGTTVKGAVDDLDLVIQKLEEAGFSQDRFYIHVDGALFGLMMPFVKRAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINGLSRNVEYLASRDATIDHG >RHN66955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22163741:22164576:-1 gene:gene15080 transcript:rna15080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MDATVKLGTLDNGTLVDKGRYQRLVGKLIYLSHTRPDISFVVCTMSQFMNSPTEEHMDAIYRIVKYLEMTPGLSTDTNWAGSVTD >RHN43299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44779183:44779983:-1 gene:gene49847 transcript:rna49847 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVIIAVVLFVLLSPGLLFQIPGRGKVIEFGNMQTSGASILVHAIIYFGLITILLIAVGVHIYTG >RHN43786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48472962:48475450:-1 gene:gene50410 transcript:rna50410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MVCLVSRSGRELQRYNNMGGRQVVGCIPYRYKEDIDGNRSNELEVLVVSSQKSQRLMFPKGGWELDESVEEAACRESLEEAGVTGLVECELGQWNFISKRYGIYYEGYMFPLFVKEQLDQWPEKNVRRRIWMTVAQAREVCQHWWMKEALDILVQRLVSSQTTK >RHN69400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43152906:43153413:-1 gene:gene17865 transcript:rna17865 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLFMVPGNIWMLYDFVVCEFLVNLWLIGNLWINIHELFIR >RHN66428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12215009:12215379:1 gene:gene14413 transcript:rna14413 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L2 MAIHLYKTSIPSTRTRNRLIYGQHHCGKGRNARGIITAGHRGGGHKRLYRKIDFRRNEKDIYGRIVTIEYDPNRNAHICLIHYGDGEKRYILHPRGAIIGDTIVYGTEVPIKMGNALPLSAV >RHN56721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33183949:33187482:1 gene:gene32160 transcript:rna32160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MENTSKVLMQRYELGRLLGQGTFGKVYYGRSTITNQGVAIKMIDKDKVIKNDQADVIKREISVMRLVKHPNIIELFEVMATKGKIYFVIEYAKGGELFKQVAKGKLKDDVAHKYFKQLISAVDFCHSRGVYHRDIKPENILLDENENLKVSDFGLSAFTESKRQDGLLHTTCGTPAYVAPEVIKRKGYDGSKADIWSCGVVLFVLLAGYVPFNDSNLMEMYRKISKAEFKCPNWFPKNVKKLLGKMLDPNPDTRISIDKIKHCSWFKHGSNGRPKKREEEKKTISSLATNSAAPTCQIEGESVSNTDTKLTIVTNHLDQMDETEKQESDLPLSINAFDIISLSSGFNLCGFFEDSFQKREARFTSKQPASTIISRLEEIAKRLKMKIKKRAAGLLKLEGVHEGRKGILSFDAEIFEVTPFFHLVEVKKSNGDTLEYQKILNDNIRPALQDIVWAWQGEVQEQPQQLETQQQAQP >RHN57667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40904421:40905745:-1 gene:gene33246 transcript:rna33246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MALPDGINIRYCNTIFSQSHSHLFPYMETMIEETEVVATTRKKVRKYISDDITSCILSKLPLKSSKRFKCVCKPWSLLFQNSYFIIMYRNNLTSTSSNNHYDDTYLVLHGEPTDEYHIPCEFYLLSGERFKNKVKIDWPPPFQENDRHIYILGSVNINGIFVSKEKLMAFLYYGTQLLGNSRSFLPTVFSVYCLLGSHGMFFKDLVMIVQQMTIKWFNLSSYETFWEIYSLRSNCWRKLDVCIPNCYLYTFKRGIGLYTNGVCHWCARTDDSDNFEECLVSFDFSNEVLITTPTPSYLDVSPRCVEYKAKRLVLLNESIALISTYLETYTFYISILGELDVRESWTNVFTVEHIPFIEYPIGVGKNCNIVFFQKTDGKLAWVDLSTKMIEEDLGVKAWRFGSHFSYRKVQKKLSSNRRNE >RHN39767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10353625:10360728:1 gene:gene45829 transcript:rna45829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MASESMASEDVKSGESAVTKIVNLAEEAKLAREGVVKAKPNYAFGSICKSLVAGGVAGGVSRTAVAPLERMKILLQVQNPHNIKYSGTIQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILHLYRQQTGNEDAQLTPVLRLGAGACAGIIAMSATYPMDMVRGRITVQTEKSPYQYRGMFHALSTVLREEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDYLIKTKPFGLAQDSELSVTTRLACGAAAGTIGQTVAYPLDVIRRRMQMTGWHNAASVITGDGVGKVPLEYTGMVDAFRKTVRHEGFGALYKGLVPNSVKVVPSIALAFVSYEMVKDILGVEIRISD >RHN60763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30251339:30252944:1 gene:gene23121 transcript:rna23121 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLKIFGQSGCFCESFKENYAPFVVFMSGIGVLRVTDRYASSTGMKVDVLTRMRTSAIVRVEALVSDLVSRTLRFRNLGNDLQDRVLLQCVTLGMTRTISFSSHSSLFVCLGLSLLTRILPLPRLYESVFELSPSSGGLKVNEIKEHPDNILFKEAGAVTGIFCNLYVLADEENKNIVENLIWEYYRDIYFGHRKVVMDLKGKEDELLTNFEKTAESAFLMVVVFALSVTKHKLSSTFAQEIQTEISLKILVSLSCVEYFRHVRLPEYMETIRKVTAIVKKNENACTFFVNSIPSYGDLTNGPDQKTKYFWSKDEVQTARVLLYLRVIPTLIECLRGPVFGDMVAPTMFLYMEHPNGKVARASHSVFTAFISMGKESEKIDSLIEGEARFLSLSIIILPNTLVFNM >RHN69374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42989483:42994727:1 gene:gene17831 transcript:rna17831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative asparagine synthase (glutamine-hydrolyzing) MCGILAVLGVVDNSQAKRARIIELSRRLRHRGPDWSGLHCHQDCYLAHQRLAIVDPTSGDQPLYNYDKTVIVTVNGEIYNHKKLRQKLKSHQFRTGSDCEVIAHLYEEYGEEFVDMLDGMFSFVLLDTRDKSFIAARDAIGITPLYLGWGHDGSIWFASEMKALIDDCEQFISFPPGHIYSSKQGGLRRWYNPPWFTEKIPSTRYDPTVLREAFERAVVKRMMTDVPFGVLLSGGLDSSLVAAVANRYLADTDAARQWGSQLHTFCIGIQGSPDLKAAKEVANYLGTCHHELHFTVQEGIDALEEVIYHIETYDVTTVRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKEELHQETCRKIKALHLYDCLRANKSTSAWGIEARVPFLDKEFINTAMDIDPEWKMIKPDIERIEKWVLRNAFDDDENPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANEQVTDAMLKHANFVYPENTPTTKEGYHYRTIFEKLFPKGAARSTVPGGPSVACSTAKAVEWDAAWSKNLDPSGRAALGVHAAAYKDAVDIKIEEPNNGSL >RHN69333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42696537:42697737:1 gene:gene17784 transcript:rna17784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Fe-S metabolism associated domain, SufE MLYPFPFPTSTLLTLPPSPSPSHFSSKLNAAITSKQIHLKLSVNPPPFNNTLTSSHGVTDKLNNLTIEFSSLSEPIDRVKRLLHYASLLPPLDRSDRVPENRVTGCSTDVWVVAHIDKGRRMRFQADSDSEISKGFCWCLVWMFDGAEPEEVLNVEKDVLVGMNVGLNNVKARSRINTWHNVFFAMQKATQDLI >RHN80558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39062340:39064482:-1 gene:gene4498 transcript:rna4498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MVVVITEEEGVEFHDLPEGCIENVLSFTTPRDVARLSLVSSTFRSAAESDSVWDKFLPSDYHSIISKSDAEIDNSLSVLPKKDLYVNLTQKPLLIENGKKSFQLDKVDGKKCYMLSARSLFIVWGDTPRYWRWIPDPDSRFPEAAELVSVCWFEIRGWISTIMLSPKTLYGAYLVFKSSAAGAFGFEYQPCEASIDIAGGDTVERNVFLDAGRGRRLRYQIVPRSRTTGILTRLRSPVEAPVEPTESVADLRKYPKERADGWLEMELGEFFNEGGDDKQVDIGVCEVKGGGWKGGLVVQGIEIRPKTKSC >RHN75648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44077690:44081109:-1 gene:gene11882 transcript:rna11882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein Thf1 MAALTSLSFSATSQCSQRKSTLSSTRFLASSSDMFGIRTDSSYHCVGVRVGNSASKMVIQCMSSVTDVPSVSETKLNFLKAYKRPIPSIYNNVLQELIVQHHLMRYKTSYQYDSVFALGFVTVYDKLMEGYSSEEERDTIFKAYINALKEDPEQYRIDAKKLEDWAKAQNSISLVEFSSREGEVEGVLKDIAKRAGEKGEFSYSRFFAVGLFRLLELANATEPTILDKLCAALNIDKRSVDRDLDVYRMLLSKLVQAKELQREFIDREKKKREERVEPQKANGAITN >RHN69179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41552371:41555696:-1 gene:gene17613 transcript:rna17613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MGTVLQHAVVSEKVNNQHGCCKSGPGYASPLEAMSGPRETLIYVTAVYTGTGIEKPDYLATVDLDPNSPTYSKVIHRLPVPYVGDELHHTGWNSCSSCHGDPSAQRRFLIVPGIVSGRVYVIDTKTNPRAPSLHKVVEPEEISTKTGLAYPHTSHCLASGEIMISCIGDKDGNAEGNGFLLLDSEFNVKGRWEKPGHSPLFGYDFWYQPRHNTMISTSWGAPKAFLQGFNLQHVADGLYGRHLHVYNWPGGEIKQTLDLGDKGLLPLEIRFLHDPAKDTGFVGSALTSNMIRFFKTQDETWSHEIVISVEPLKVQNWFLPEMPGLITDFLISLDDRFLYFVNWLHGDIRQYNIEDVKNPKLTGQVWAGGLIQKGSPVVAVKDDGETWQSDVPEIQGKKLRGGPQMIQLSLDGKRLYVTNSLFSAWDKQFYPKLVEQGSHILQIDVDTENGGLKINPNFFVDFGAEPDGPSLAHEMRYPGGDCTSDIWI >RHN43676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47660790:47661689:1 gene:gene50290 transcript:rna50290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant PDR ABC transporter associated MIWCHYASPMMYGMTAISINEFLDKRWSAVTPNIDPRIDEPTVGKAFLKARGIFTEDYWYWISIRALIGFSLLFNVCFILALTYLDPFRSSKSIIVEQEDNRESTTKSSSVEKTTGDMTESSASNVESFEGNNKFCLKIIPKVPNVYKNNKLFLKFKISIKLVPTVMY >RHN72457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7837314:7838793:-1 gene:gene8170 transcript:rna8170 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLKVKLSCREKLRDDHCSWMLLMFWLSIFHFSTQTQGGRSSVNLSNTMG >RHN54934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13681388:13688942:1 gene:gene30036 transcript:rna30036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mechanosensitive ion channel MscS, LSM domain-containing protein MALPGSLQLSHGLGLCRNLNSNKDRRVKGRCKLQLDNLPLQLMKQDYRGLQHLHHINRSTHRLSRKSRSFKCHCFLVPGERIALSSVKVATTVLTRCCNVLQNSPVIVKLIPAVGIIIFAVWGVGPLTFQTRKLFFQKSDNSWKKSTTHYIVTSYLRPLLLWTGAVLICRAFEPVILPTEASQAVKERLLNFVKSLATVVAFAYCLSSVIQQAQKIVTENVDASETRNMGFQFAGKAVYSAVWIAAFSLFMELLGFSTQKWVTAGGFGTVLLTLAGREIFTNFLSSAMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGEDREAVHIPNHKFTVNVVRNLTQKTHWRIKTHLAISHLDVNKINNIVADMRKVLAKNPQVEQQRLHRRVFLDNINPENQALMILISCFVKTSHFEEYLCVKETILLDLLRVISHHRARLATPVRTLQKIYADADLDNIPYADTTFNGAGPVVSNRPLLVIDSPYKNNADDKTKSRSTRATVDQDDKTTVRTKLDSKTEDKVEPIGAPDTKVRGTQDESEVDAKVMASNSDVGENKPLKSNLNKANMEVPEMSSTSNSKVTGLEVDNSTMKDIHVKQSKVQTVKNTKPNVDSDNLVSSSTNNADKANGNMATNQQGERKPAPPRPVLEENIVLGVALDGSKRTLPINDEIDTVTTQETKEMAASQGGNGSPKASDGNVK >RHN71495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:533802:534779:-1 gene:gene7102 transcript:rna7102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-1 MATTPALYGTAVSTSFLRRQPMPVSISTTTKAFPSGFGLKSKTGKRGDLAVAMATYKVKLVTPEGTQEFDCPSDVYILDHAEEVGIDLPYSCRAGSCSSCAGKVAAGAVDQSDGSFLDDDQIEEGWVLTCVAYPTSDVTIETHKEEELTA >RHN73545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17536760:17536963:1 gene:gene9369 transcript:rna9369 gene_biotype:protein_coding transcript_biotype:protein_coding MMEYEEALRGVGSRIGSTPPRCEHKCGGCIPCNPTQKPTNKHLIGVQYANYEPEGWKCMCGTSYFNP >RHN72300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6596774:6601960:-1 gene:gene7998 transcript:rna7998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP-binding protein Obg/CgtA MVTSISPWFSPISISCSNTRNRRYRVPTRTKSKPPPNPKLNTHKSSPEPPLLSAGDATTYTRLPLREDFSSTFSPSSSEIKLSESTPIHQLLHKLDNDDDEEVVVEEEEEINGDFEGIGESLSEDNEELEFMDEEIEEGEEFWSEETEEEVKEKGLPAVMRCFDRAKIFAKAGDGGNGSMAFRREKYVPFGGPSGGDGGRGGNVYVEVDKAMNSLLPFRNGIHFRAGRGSHGQGRMQIGAKGDDVVVKVPPGTVIREAGREEVILEMVYPGQKALLLPGGRGGRGNAAFKSGSNKAPKIAENGEQGHEMWLELELKLVADVGIVGAPNAGKSTLLSVVSAAKPEVANYPFTTLLPNLGVVSFDYDSTMVVADLPGLLEGAHRGFGLGHEFLRHTERCSALIHVVDGSSPQPDLEFDAVRLELKLFNPELAEKPFIVAYNKMDLPEAYENWESFKEKLQSHGITPFCMSAVKREGTHEVICAAYELWRKNKEDREEYEDGRDMVDMNHIAHAVQKQRSASISDFEIFHDSSSNVWSVVGSGLHRFVQMTNWRYVDSEKRFQNVLEACGVFKSLVKRGVKEGDKVIVGEMEMIWHDSSNKAGASKMKMSTDSIKWPEWK >RHN57119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36636183:36638731:-1 gene:gene32619 transcript:rna32619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PH domain-containing protein MAASLWRYATGSTTNPVDYSGVEFWSNPERTGWLTKQGEYIKTWRRRWFVLKQGKLFWFKESTITRASIPRGVIPVATCLTVKGAEDILHKPYAFELSTRADTMYFIADSDKEKEDWINSIGRSIVLHSRSVTDSEIIDYDNGK >RHN69160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41474830:41475108:1 gene:gene17593 transcript:rna17593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPVIRRSSLSASQSSSKQVEVPKGHLAVYVGEKMRRFMIPVSFLNEPLFQELLSQSEEEFGYCHPMGGLTIPCKEDMFLYTTSVLNRL >RHN51029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13145534:13146064:-1 gene:gene35392 transcript:rna35392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MFSNAGIQGSLLGVLEFDLNEFKKTIDINVIGTAAIIKHAARAMVAKNVRGSIICTGSVAASTGGTGPSGYTASKHALLGLVRAACSELGGYGIRVNSVSPFGAATPFACAPIKIEPEVVEASICSKGNLKGVVLKAKHIAEAALFLASDEAVYISGHNLVVDGGFSVVHNIEQTV >RHN41873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33759678:33763689:1 gene:gene48237 transcript:rna48237 gene_biotype:protein_coding transcript_biotype:protein_coding description:G2/mitotic-specific cyclin-2 MVNTSEENNSNAVMPRKFQGGMNQVGRGGGRIVGQNRRALGGINQNFVHDRPYPCVVHKRVLSEKHEICEKKQADLGHRPITRRFAAQIAGSQQSYAEKTKNSNPLNSNEFGNSIAVDDELKSPEDQPEPMTLEHTEPMHSDPLEMEEVEMEDIEGEMILDIDSCDANNSLAVVEYIEDLHAYYRKIEYLGCVSPAYMDEQLDLNERMRAILVDWLIEVHDKFDLMQETLFLTVNLIDRFLAKQNVVRKKLQLVGLVAMLLACKYEEVSVPVVSDLIHIADRAYTRKDILEMEKSMLNTLQYNMSLPTAYVFMRRFLKAAQADKKLELVAFFLVELSLVEYEMLKFPPSLVAAAAVYTAQCTVSGFKHWNKTCEWHTNYSEDQLLECSMLMVGFHQKAGAGKLTGVHRKYGSAKFSFTAKCEPACFLLENKNQP >RHN71260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57670528:57672723:-1 gene:gene19917 transcript:rna19917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MMLAMEEVLCELSDHEKKNEQGLPPGFRFHPTDEELITFYLASKVFKNTYFNNVKFAEVDLNRCEPWELPEMAKMGEREWYLFSLRDRKYPTGLRTNRATSAGYWKATGKDKEVYSCSSRSLLGMKKTLVFYKGRAPRGEKTKWVMHEYRLHSHHFSPSNTCKVTLDSVCHIHVHAPCSTSYLYPLQFCLFLGSLMRPYRYPNSFLFLLINLTLFTFLHKFISIWEEWVICRIFHKSGEKRNSLLQIQGHLGGNPNLSPQKSCLPPTPLLLATSFTQLDQNDQLLSHSHNIFPLPTFQPSFSMINHTTRNNNNSPSSELLFKSQQNYTMKQTIPKTEATFYDQQKSIDDALNIRWIIDNNNNYENSLFPVEMEMEMEMDGAAHDLIAFSGAATNAEFRDISIINSRGGGGVVGPMGIDSWPHAQLV >RHN57074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36257627:36263264:-1 gene:gene32568 transcript:rna32568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MAVYYKFKSAKDYYSIPMDGPFISVGTLKQSIFVSKRFGRGTDFDLLVTNAQTNEEYLDEEMLISKNTSVLIRRVPGLPRVPIVIEQKQGVENKVVETEPKNSTLPADYTSSMQYPENLDWHEFGNDVYSIPDQLPVQSSTMIPDAPLTNKADEDSKIKALVDTPALDWQQQGSYFGAGRGFRRGMGGGRIGGGRGFGLERKTPPEGYVCHRCKVSGHFIQHCPTNGDATFDIKKLRQPTGIPRSMLMVNPQGSYALQNGSVAVLKPNEDAFNKEMEGLSSTRSVRDLPPELHCPVCNNVMKDAVLTSKCCFKSFCDKCIRDYIMSKSACVCLATNILADDLIPNKTLRDAINRILESGNSSTENAGSTSQVQDMESSRCPQPKIPSPTSSATSKGEPNIQEIAAEKKEVSATQQVSEQVKIPRAAVVSEATHESMNVKEPVSQGSAELVEEEVQEKLVPTDGGKKKKKKKVCMPANDLQWNAPLDLGGENYMMTPIGLLPFSYNSYWNGMQPCMDGFMGPYAAPMMGYGIGPFDMSFAGGPPQDPFGMQGYVMPVHPPHRDLSKDREFGRDVSNVGDVSSLKPKTVCTFYCLNFQLSMWNFMRLLFSVGFLPNVCPETSSMQKNIIIVDNPICYFFVFNYSSLWKLFLLASFIDYSIYLSSYIVWLFPKWLRERFRRVSPILWSTATAWVKENVHDEILDNCIAAYDNGVVLL >RHN55419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18121733:18123708:1 gene:gene30597 transcript:rna30597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MINNSTITLFGRTIFITHNIDVSTNDSSSESEFSPLPHEDLSHHSLHSSMSSSSPLEVNSSTEHDAKRYKETSRKELIEDEASFQTTEDSESPTSSSLIENPKTPSSETETSQANSTKIDEQTDMSQEKSPKKPDQVVPCPRCKSTDTKFCYYNNYNVKQPRHFCKNCQRYWTSGGTTRNMLIGAGRRKNKVSSSFNSDASHYHQMSTVLTFGSDSPIMSSSSLDKKGNVGSLEKTFDKSYQSFPQQIPWNPAMCYPVPIYPNIAFCGGCLIPSWSVQSISTQFDEPNKLTLGKHSRDGRLSKGFASNPAALSRSLLFHEMI >RHN57421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39034064:39038122:1 gene:gene32964 transcript:rna32964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MTRTSCSSKFITLLLIISFLHSGLTLTSDGLILLSLMTHWTFIPPFIKSTWNASDSTPCSWVGVQCDYNHHNVISLNLTSRGIFGQLGTEILNLHHLQTLVLFGNGFSGKVPSELSNCSLLEYLDLSENRFSGKIPSSLNKLQLLRFMSLSSNLLIGEIPDSLFKIPSLEEVNLHSNLLSGPIPTNIGNLTHLLRLYLYGNQLSGTIPSSLGNCSKLEDLELSFNRLRGKIPVSVWRISSLVNILVHNNSLSGELPFEMTKLKYLKNISLFDNQFSGVIPQSLGINSRIVKLDGMNNKFSGNIPPNLCFGKHLSVLNMGINQLQGGIPSDLGRCETLMRLIINENNFTGSLPDFESNLNLNYMDLSKNNISGPVPSSLGNCKNLTYSNLSRNNFAGLISTELGKLVSLVILDLSHNNLEGPLPLQLSNCSKMDQFDVGFNFLNGTLPSSLRSWRNITTLILRENYFTGGIPEFLAEFTNLRELHLGGNLFGGKIPRSMGTLHNLFYGLNLSGNGLTGGIPSEIGLLGLLQSLDISLNNLTGSIDALGGLVSLIEVNISFNLFNGSVPTGLMRLLNSSPSSFMGNPFLCVSCLNCIITSNVNPCVYKSTDHKGISYVQIVMIVLGSSILISAVMVIIFRMYLHRNELKGASYLEQQSFNKIGDEPSDSNVGTPLENELFDYHELVLEATENLNDQYIIGRGAHGIVYKAIINEQACAVKKFEFGLNRQKWRSIMDNEIEVLRGLRHQNLIKCWSHWIGNDYGLIIYKFIENGSLYEILHEMKPPPPLRWSVRFNIAVGIAQGLAYLHYDCDPPILHRDIKPKNILVDDNLVPVIADFSTALCKKLLENSHSYSETRKLLSLRVVGTPGYIAPENAYKVVPGRKSDVYSYGVVLLELITRKKILLPSLNNDAEEIHIVTWARSLFMETSKIEKIVDPFLSSAFPNSAVLAKQVNAVLSLALQCTEKDPRRRPTMKDVIDFYNNYLFKLRCDEVQYGIGLSIKLMGNGKLLAQKVVGVLNLVLPKITYAWPILIFRPSITGPILTKPFNWFFLSRWGQYRHLQKSLYSQRKSHYFINTNQTEATVERSE >RHN69126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41219310:41221878:1 gene:gene17555 transcript:rna17555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFSLSFYATLVGSSSSSCSFPCSSSKTTIFNKTTPPTTNTTISTTSTSATSKHVWTRRIPHPPFSNTNKQQQQQQRHTRTQQQQKQQSPYMDRSVDMNELLTSIAQTQNIEQLYSILSPYNGRQLSIRFMISILSREPDWQRSLAILDWMNEIAQYSPSLNVYNVVIRNVLRAKQWQLAHGLFDEMLQKGLSPDKYTYSTLITHFSKQGLFDSSFFWLQQMERDNVSGDLVLYSNLIELSRKLCDYSKAISIFNALKGSGNIVPDLIACNMMISVYGKAKLFREARLLLQEMRDNGVNPSTASYSTLLAIYVDNQKFVEAVSLFSEMNEVECPIDLTTCNIMIDVYGQLQMIKEADCFFWGMRKMGIEPNVVSYNTVLRVYGEAGLFGEAVHLFSLMQMKGVPQNVVTYNTMISIYGKSLEHDKATNLIQEMQSRGIQPNAITYSTIISIWEKAGKLDRAAMLFHKLRTSGVKIDEILYQTMIVAYQKAGLVAHAKRLLNELKQPDNVSRETAITILARAGKVDEAMWVFRQAFDAGEVKDISVFGCIIDIFSRERKYAHVVEVFEKMREVGHFPDSNVIALVLNAFGKLRKFERADALYKQMYEEGCVFPDEVHFQMLGLYGARMDFNMVESLFEKLDSHPNINKKELHFVVANIYERADRFNDASRIMNRMNHKAIRSHGNA >RHN45141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12251882:12253844:-1 gene:gene39357 transcript:rna39357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLPPKLPSFINHRIPTTVSLSTSSSSWLQTTSSLQNQNPPTLAILADKSTTIQHLKQIHAQMIITSRINDQFATSRLFSSFALSPFGNLEHASRIFSSLHKPNSFMWNTLIRAHQQQQPHISLSLYIQMRRHGVIPGKHTFPFLLKACSSLSNVLPHCKQVHAHVVKFGLCFDCHVGNGLVRGYSVSGDLVDARYVFDEIPMKNLSLWTTMICGYAQNCCYNEALDLFERMVVVGFEPNGATLASVLSVCARSGCLELGERIHEFMRVKGVEVGVILGTALVYMYAKNGDILTARKLFDEMPERNVVTWNAMICGLASHGHVEDALGLFECMKEEEIVVPNAVTFVGVLSACCHAGLIDVGREVFCSMKVVHGIEPTIEHYGCMVDLLGRGGKLLEAEEVIKGMPWKPDVVILGALLAASKNNGNTEVAERVVKQILTLDPHNHGVHVSLSNMYAEAGQWQEVSRLRKMMKEEKLKKAPGWSLVTT >RHN70497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51816038:51819929:1 gene:gene19079 transcript:rna19079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mechanosensitive ion channel MscS, LSM domain-containing protein MMEKKREVVVAISNVGELESHDQDHDHGLKVFESYEKELASKSTPLNCASPDIRFTPSPNTPPQVPATNENLTTRKTLRRSVYSKPKSRFVEQSYPIDETLLEENVTCPNSQEQSAVTSPYRNSYSPRTPLRASPGSSSGEDPDEVIYRKVEFSKSQHKRLATKVLIELFMFVCVVGVLLASLTIEELKRIHIWSLGLWRWCMLVMVTFFGLLVTKWFMHIVVFLIEMNFLLKKKVLYFVHGLKQCVQVFIWISLVLLTWVLFINHEVQRSKLAARFLNDVTWTLVSLLIGAFLWVIKTLLLMILASNFHVKSFFDRIQESIFHQYVLQMLSGPPLMEEAEKIGRSQGVGRFSFGSTTVKGCTKKEVIDMAQLHNMKQEKVSAWTMKILVDAVMNSRLSTISNSLDESFYDVKNERTGKEITNEMEATAAAYYVFKNVAASPCCKDIDENELRRFMIKDEVPFVFPLLAQADTGLITKKSLADWVLKVYQERKALAHALCDTKTAVKQLNKLVTGVLVVVSIVVWLLLMEIATTKVLVFLSSQLVLAGFMFGNTCKNIFEAIIFVFVMHPFDVGDRCVVDGVELLVEEMNILTTVFLKLNNEKVYYPNSVLATKPISNYYRSPNMAEGIEFSVDFTTPAEKIGALKEKITRYAERNPQYWHPNFSLVVIEIENVNKIKMGLYVTHTMNFQEFGEKVNRKSELVMEVKRIFEELNIRYSLLPQGVHLRHIEPDTSSLK >RHN70065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48418366:48419458:1 gene:gene18608 transcript:rna18608 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIEHIFTVTALLSVVLFSHSAAEPVPAAWPEQFHSVLFMNRSGSLQKLDLWYDWPNGRNFNIIQDQLNDVVDYDLEWTNGTSFMYTLHPSDRHCKVVHVEVGILRPNWLDGATYLGQEQVDNFLCNVWEKVDFIVYYEDVLTRRPVKWIFYTGYTAHVMTFEVGAVLEDAQWQAPEYCFNQTENMLESATVRGDSFGSLMRGKVDAAAA >RHN78484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15531311:15533332:-1 gene:gene2055 transcript:rna2055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MERRPQSPPSPPPSPPSRIILGKYQLTKFLGRGNFAKVYQARSLIDGSTVAVKVIDKSKTVDASMEPRIVREIDAMRRLQNHPNILKIHEVLATKTKIYLIVDFAGGGELFLKLARRRKFPEALARRYFQQLVSALCFCHRNGIAHRDLKPQNLLLDAEGNLKVSDFGLSALPEQLKNGLLHTACGTPAYTAPEILGRIGYDGSKADAWSCGVILYVLLAGHLPFDDSNIPAMCKRITRRDYQFPEWISKPARYLIYQLLDPNPKTRIRLENVFGNNWFKKSLREEPEEKLFDDKYSFDGYKKLELGMNAFDIISLSSGLNLSGLFETTTLRSEKRFTSSEEVGVVEEKVKEIGVRLGFRVEIGKNGAIGLGKGKVTMVVENGGLEFEDVHWNDWKIGLQDVVLSWHNNHESNNLPMKFC >RHN51537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19532637:19533786:1 gene:gene35994 transcript:rna35994 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMSEIVGLHKFVCHRPIISFDDFVKSKDESDFVVVACYLGIDEGVDKWIDNQLSSFVPRLRVSVGDFDAEAPFLLRDHIVERFALRTCKMLIDMSEASSLYPTEMDSLFADPMIFEVAKHLTDSSGGAASYVVANLRITFWMPLMLVHMTILLLILKRFLERMNLIMMVVWTIRLPILTLKLVPRPGLMSVTKRRYLMSWIRLMKSVWIFVRGCQGQEMCNVVRFVFETFGFIFVYVVGSWAACIPYFVFFFYHPLVVSFNL >RHN58715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4246486:4247310:1 gene:gene20649 transcript:rna20649 gene_biotype:protein_coding transcript_biotype:protein_coding MANKIVALLLLVCLVGVQSVNFEDCMIYCNRTMLLFPKSFAESMCKLRCETIGWSDGWDSATLMDVEGGSRKNDPLESPTGAPVPRPTIN >RHN76566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51265224:51269858:-1 gene:gene12914 transcript:rna12914 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQMQLFHLQPWDNFQCNSAVPYTKKKTQGSRIKGNKSHLKAMSENNHEITVKSDRSDCSCVQSVESLVMWTLPYDLKKLKPWLRDYMSGLCYEGYLHIQHIMEEEHEHISKRILWRPKMVSPMELDELRAIFL >RHN39689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9612205:9613947:1 gene:gene45738 transcript:rna45738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRAPCCAKVGLHKGPWTTKEDALLTKYVQAHGEGQWKSLPKKAGLLRCGKSCRLRWMNYLRPDIKRGNITQEEDDLIIRLHSLLGNRWSLIAGRLPGRTDNEIKNYWNTHLLKKMQRNQQTCDVTTDHDEQEQPKKKNNNKKKKRNNKEKKNDKKKGTNNDDKEEEEKIQVYLPKAIRIKALNLPRTDSGSFTYESNSSNGSQQKEGTQQVTNNNNNNNNVVCEVGEKGENDGFGHDYDYDLVNVERLEMECESYFDTCGDHGTLDRLYDEYLQLLNMDVCNYEFDSSFVESFFDSNNN >RHN59512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11573448:11575455:1 gene:gene21553 transcript:rna21553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MMGGSYVEDDWELASSGSASEVQISANEARTVVLVGRTGNGKSATGNSILGKKVFKSRASSSGVTSSCEMQTAELSDGHIINVIDTPGLFEVSAGSEFIGKEIVKCIDFAKDGIHAILVVLSVRSRFSEEEENALCSLQTLFGSKIFDYMIVVFTGGDVLENDGDTLDDYLRGECPKSLKQILSLCGNRRVLFDNKTWDQKKRSGQVRQLLSFVSLIVSQNGGQPYTHELFAELKVEKGAMKLDSDQRMVDSLELEGYSEGKISELKKHMKQAYEDQLKHITEMVLFVSIFFYIHRRFISMLFNSIFLT >RHN53025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41317109:41319461:-1 gene:gene37770 transcript:rna37770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MFRDKMKRRSRSENEESEDRLSDLPDCILIYILSFLKTENAVQTCILSTRWKHLWKRIPKLLLRSSTLFCLEDEKFLTFVSKILTLRDNSAALHILDFECDTDHESELVPKILNYVRSHNTQIQQLGISITRDSDLLMPCVSSCLALTSLMLSVYHIRHVVYPETIIFPKSLNLPALTSLGLVGFTFCGDENGCAEPFSAFTKLNNLLIKDCIIKDAQILRISSKTLDSLSMSYNLFDFNKIELAAPNLCTFTFCGTLDQKIYASGFSSVKEVNNGTPDQKIYGSGFSSVKEVNIDAKMFSEFDKSPMILLCWLLDLANLESLTVTSKTLQILSLVPNLLEVKLPSLSNLKSMEIKLEPLEDHTKLLYLVKEAMLKKAAAKSRKEASKLRKAFKAGLRPPSVPDGIVDFLLQNSPSAKVNITSDY >RHN47720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41942580:41947124:1 gene:gene42351 transcript:rna42351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MPHLIRENLFISNIVDAAEILQTTTTTTVPHNITHILSVLSSASISFFSEWRSSFSVSVKEISKLHVSDVPTAAKSALSPDKLLYSLEYAGEDFKLVRMAVPLRDTESENLLDYLQVCVDFIERSRKEGSVLVHCFAGVSRSAAVITAYLMKSENLSLEDALASLKQSCEFVCPNDGFLEQLKMFEEMGFKVDQSSLVYKRFRLKILGENHFSGSRIDSSKLGADPGMPVETSSEVGEATKVENNRSPAYRCKKCRRIVALQEHVVDHIPGEGETSFGWHKRKSGNPFNKSNESECSSIFIEPLRWMKDVEEGALEGKLSCAHCDARLGYFNWAGIQCSCGSWITPAFQLHKSRVDISPV >RHN47510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40229905:40235646:-1 gene:gene42121 transcript:rna42121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5-methyltetrahydropteroyltriglutamate--homocysteine S-methyltransferase MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLKKVSADLRASIWKQMSDVGIKYIPSNTFTYYDHVLDTTATLGAVPPRYGWTGGEIGFDTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPEVNFVYSSHKAVEEYKEAKALGVDTVPVLVGPVSYLLLSKAAKGVDKSFDLLSLLPKVLAVYKEVIADLKAAGAQFIQFDEPTLVLDLEAHKLQAFTDAYAALTPSFEGLHVLVETYFADIPAEAYKTLTSLSGVAAYGFDLVRGTKTLDLIKAGFPSGKWIFAGVVDGRNIWANDLEASLSTLEALAGIVGKERIVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALANALAGNKDEAIFSASSAAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREFKAKKISEEEYIKAIKEEIRSVVELQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSALAQSFTKRPMKGMLTGPVTILNWSFVRVDQPRSETCYQIALAIKDEVEDLEKGGIGVIQIDEAALREGLPLRKSEHAHYLDWAVHSFRITNVGVQDSTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVVYGAGIGPGVYDIHSPRIPPTDEIADRINKMLAVLEKNVLWVNPDCGLKTRKYTEVKPALLNMVAAAKQIRNELAK >RHN54845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12833639:12835190:-1 gene:gene29938 transcript:rna29938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MFKQGLQDEFGTLYTWKDDPNSDCFKWMGVECNNQTGYVQSLDLQGSETNYLSGEINPSITELQHLKYPDPSYLYISSQIPRFILNICTAETFASDNNNLSGDFSDFIIHNNYSYCIGNVSSLQVLSLSNNKISAIIGEIPSSIRSLTKLEMLIMSKNFFKGVITEYHFTNLSKLEYLYLSYNSLTMKVSDDWVPPFQLQELDLSYCNMDSRFPNWLQKQNNLSFMYLSNVGSLPPTPSWFWGKLQTLVSMTISNNNLTGRIPNLEINLTNYPEIDLSSNQLEGAIPSFLLQTAALHLSNSKISDLASFYAKKVSLTFWEGVDQFYKNPDMFLKSIDLSSNHLTGKIPTEIGYLFGLISLNLSRNNLCGEIISNIGNFKSLEFLDLSRNHLSGRIPSSLAHIDRLTWLDLSNNQLYGEIPIGTQLQTFDTSSFDGNFNLCGEPLDRKCHGEDPAEHPVLTIKSGDENSIFLEALYMSMGIGFFTGFIGLVGSILLLPS >RHN51388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17095738:17099209:-1 gene:gene35818 transcript:rna35818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEALLGIVIENLGSFVREEIASFLGVGELTQRLSGNLTAIRAVLKDAEKKQITNDLVRNWLQKLGDAAYVLDDILDECSITSKAHGGNKCITSFHPMKILARRNIGKRMKEVAKRIDDIAEERIKFGFQLVGVTEEQQRGDDEWRQTISTVTEPKVYGRDKDKEQIVEFLLNASDSEELSVCSIVGVGGQGKTTLAQMVFNDERVKTHFDLKIWVCVSDDFSLLKILESIIENTIGKNLDLLSLESRKKKVQDILQNKRYLLVLDDVWSEDQEKWNKLKSLLQLGKKGASILVTTRLEIVASIMGTKVHPLAQLSDDDIWSLFKQHAFGANREGRADLVEIGQKLVRKCVGSPLAAKVLGSLLRFKSDEHQWISVVESEFWNLADDNHVMSALRLSYFNLKLSLRPCFTFCAVFPKDFEMDKEELIKLWMANGLVISRGNLQMEHVGNEVWNELYQRSFFQEVESDLVGNITFKMHDLVHDLAQSIMGEECVSCDVSKLTNLPIRVHHISLCDNKSKDDYMIPFQKVDSLRTFLEYTRPCKNLDAFLSSTPLRALCISSYQLSSLKNLIHLRYLVLYGSDITTLPASFCKLQKLQTLKLLSCYFLSSFPKQFTKLQDLRHLIIKSCPSLKSTPFKIGELTSLQTLNYFIVGLETGFGLAELHNLQLGGKLYIKGLENVSIEEDARKANLIGKKDLNRLYLSWDHSKVSGVHAERVLEALEPHSGLKHIGVDGYMGTQFPRWMRNTSILRGLVSIILYDCKNCRQLPPFGKLPCLDILYVSGMRDIKYIDDDLYEPATEKAFTSLKKLTLKGLPNLERVLEVEGVEMLPQLLNLDIRNVPKLTLPPLASVKSLFAKGGNEELLKSIVNNSNLKSLSISEFSKLIELPGTFEFGTLSALESLTIHCCNEIESLSEHLLQGLRSLRTLAIHECGRFKSLSDGMRHLTCLETLEIYNCPQLVFPHNMNSLTSLRRLVLSDCNENILDGIEGIPSLQSLSLYYFPSLTSLPDCLGAITSLQTLHIQGFPKLSSLPDNFQQLQNLQKLRICGCPKLEKRCKRGIGEDWHKIAHIPDLPSFEETTKPTICDNILSAWKKQFWDRMVERSHFDWMVENEHREIDV >RHN69901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47202697:47204494:1 gene:gene18433 transcript:rna18433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDLSNKQKYYNGKANGESILRHPPLTLPINLSLYIPFHFHITHLNSPLSPLNSISLFVLFHSLFPLSSTTMVRERVVDLCITVPTFFKCPISLDVMKSPVSLCTGVTYDRSSIQRWLDDGNNTCPATMQILPTKDFVPNRTLHSLIQIWTDSVHHRVEPVVSPSVLSNQQLLQTITDLASSGLNRTLNRFGLIMKVIHFAQDSDQNRTFLAKLDGFIPLMVSFLDNVENVDKSIEFLEQTLTVLGLILEKIKVREGLKNLVLKGKNKKCLDSMVLVLQKGSNNSRIATARVLKFIAIDAESELLIAENEALLTELLKLSSPENDSKLIENCLSCLIAISKPKRNKQKLVKIGVMKILSKLLKESNTSVTVVEKALKLVETASSTSEGRREMCEDAAFVAAILNKIRNVSNVATEHAVTTLWSVCYLFRDQKAQEAVTMANGLTKILLLIQSNCSPQVRQMCTDLLKIFRVNSKSCLSSYDTKTSHIMPF >RHN78095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11855654:11860640:1 gene:gene1611 transcript:rna1611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Extensin domain-containing protein MTVKASSPKRGHNWPPMAMALAIVLISTSVVSAAADSYIYSSPPPPYEYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYEHKAPAYEYKSPPPPTPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYIHKDPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPIPKTPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPIPHTPYYYKSPPPPSPSPPPPYYYKSPPPPSPIPHTPYYYKSPPPPKVLPPPYYYNSPPPPVAYPHPHPYHHALIVRVVGKVYSFRCYDWEYPAKSHNKKHLQGAVVEVTCKAGSKIVKAYGKTKNNGKYAITVKDFDYVKYGSTVCKAALYAPPKGSPFNIPTKLNQGTKLYLKSKDKYEVVLKAKPFAYASKKHFKECEKPKPSPTPYYYKSPPPPSPVYKYNSPPPPVHYYSPPYTYKSPPPPVKAAPTPYYYKSPPPPAPVYKYNSPPPPVHYYSPPYTYKSPPPPVKAAPTPYYYKSPPPPAPVYKYNSPPPPVHYYSPPYTYKSPPPPVKVAPTPYYYKSPPPPAPVYKYNSPPPPVHYYSPPYTYKSPPPPVKAAPTPYYYKSPPPPAPVYKYNSPPPPVHYYSPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKEIVHPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKEISHPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYHYQSPPPPSPISHPPYYYKSPPPPSPSPPPPYHYVSPPPPVKSPPPPTYIYASPPPPHYN >RHN81543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46867031:46868090:-1 gene:gene5605 transcript:rna5605 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYTTIFLFFLITSMAAASSGGRKLDMASGGSPTGASGSGHGPNWDYNWGWGSAPGSGWGYGSGSGHSPSGFGRGYGYGFGTGSGSGSGSGYGYGGGGHGGGRTNNRSPSESKGKTNQP >RHN61517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36097853:36098026:1 gene:gene23990 transcript:rna23990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aconitate hydratase MQRGVEKKVFNSYGSRRGNDEVMSRGTFANIRIVNKLLNDEVGPKTAHSNRGEALCF >RHN75948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46384977:46391564:1 gene:gene12212 transcript:rna12212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar fusion protein Mon1 MDQSLSSSSSSPIQFNLPPLSLSLFDGEPSSSEQQNEVFHGSTPSKHSSEPSSPTSSGYAAERGSASSTATSVSHVDEDSISHEIQEMNIHHPPPSVSNDSHDSCFPDERHLDEDDASISWRKRKKHFFVLSHSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVKLVKAGKHQVVFLVKGPIYLVCITCTEEPYESLRRQLDLIYGQMIVILTKSVNKCFEKNPKFDMTPLLGGTDTVFSSLIHSFSWNPATFLHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMCRHKVISLFGAQKASLHPDDMLLLANFVMSTESFRTSEAFSPVCLPRYNPLAFLYAYIHYFDDDTYLILLTNNSDTFYHLKDCRIRIESVLLKSNVLAEVQRSLLDGGMHVDDLPPLSRSGSSPHLGQDRLQPDSPDRLRESNSVVGGVAGLWHFVYRSKYLDQYVCSEFSPPINTPQQQKRLFRAYQKLFASMHDKGIGPHKTQFKRDENYVLLCWVAQDFELYAAFDPLADKASAIKTCNRVCQWVKDVENELFLLGGSPFSW >RHN73181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14157641:14159209:1 gene:gene8969 transcript:rna8969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MFKFFNSWRHRRTLINYLKGFTTPLTSQSLNPNPLFHHFCTNTSDSTSLAVSYLINNFGFDPQSASKLCSTYHLRFKTTQKPESFLTFFRNYGFSNSQLRHMIAKMPELLTCKPSKTVLPKFQFFLSRGASTSDIVNIVTKSPRVLYVSLNNQIVPAYELVYRFLQSDKEILEKLNYSANLLCDCTVQNNITMLIENGVSDSNIKTLLREQTRIFKRRDMLKSVKELKDLGFNPSKTTFGVALHAKTTVNNTLWKEKVDAFKKWGWSEEDSLEAFRKKPYCMLTSIDKIHLVMNFWVNQLGWDAMAIAKTPYILSLSLEKRIIPRAAVVQFLLNKGLTDKNASLTYPFVVTDKLFLNMFIKRFENEASYLLKLYEEKCNLG >RHN64531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59842973:59846097:1 gene:gene27354 transcript:rna27354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxiredoxin MLSLCSSSSMAKASLTLPTNSFPTFIHPQNISTSLTSAPFNSQFFGLKLSHSSISKTTSSPPSSFKRGFIFAKVSKGSKPPAFTLKDQDGKTVSLSKYKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVVGISGDDSSSHKAFAKKYKLPFTLLSDEGNKVRKEWGVPGDFFGSLPGRETYVLDKNGVVQLVYNNQFQPEKHIDETLKLLQSL >RHN76972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2845760:2850463:1 gene:gene371 transcript:rna371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-X family MDSHKKKVMAFVAASTGLGAIILCLLSFWIFYIKYSSKSKKKNLQNSDGEKGLGLVPFLSKFSSVKMVGKKGCVPIIDYKQLEKATGNFKESNIIGEGGFGCVYKARLDDNLDVAIKKLNCECQYAEREFENEVDLLSKIQHPNVISLLGCSSNEDSRFIVYELMQNGSLETQLHGPSHGSALTWHMRMKIALDTARGLKYLHEHCYPAVIHRDLKSSNILLDANFNAKLSDFGLAITDGSQNKNNIKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVVLLELLLGRKPVEKLTPSQCQSIVTWAMPQLTDRSKLPNIVDNVIKNTMDPKHLYQVAAVAVLCVQPEPCYRPLIADVLHSLIPLVPVELGGTLRVSQVTQQPKNSS >RHN59600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12373531:12373923:1 gene:gene21651 transcript:rna21651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MYTTYVCSPSVSTHTKAYLTLNSFEKGGDGGGPSECDKQYHSDDTPVVALSTGWFNHKSRCLNNITISANGKSVVAMVVDECDSRKGCDEQHDYQPPCPNNIVDASKAVWKALNVPKEQWGGLDITWSDA >RHN53572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2628783:2629302:1 gene:gene28493 transcript:rna28493 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRMGRVASAEVVNNRNRFRGKKSLPKRGQIKSKIAASAFHSIVSVISRASTSSGLLSPRKN >RHN39068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3901923:3902651:-1 gene:gene45060 transcript:rna45060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin activation peptide MALILFLVLSLCITCCRVDARSKTLIDEQNQFTLSKSIMHSMDNNFDCVDIYKQPALQHPLLKNHKIQLFPTFAKNVVRSRSSYGKTTDDCPLGKVPIYNRTRSHQTITNSSSKLHIDDFRRYSQSSQRYHVSGYF >RHN82336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52907995:52908195:1 gene:gene6496 transcript:rna6496 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDITIFSKLNRMSLSRKKSCSSRPKSLGEEHCDIVVVVRLYDPTSGLVLIDECDIKSLNLRSLR >RHN45619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23794339:23796739:-1 gene:gene39997 transcript:rna39997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAKNQEGCAIGIDLGTTYSCVAVWHEEHGRVEIIHNDQGNKTTPSFVAFAKDERFIGDSAKNQAAMNPENTVFDAKRLIGRKFSDPVVQKDIMSWPFKVIADMNDKPMISLKYKGREKHFCAEEISSMVLTKMREIAEEYLESSVMNAVITVPAYFNDSQRKATIDAGVIAGLNVLKVINEPTAAAIAYGLGKRTNCVEERNIFVFDLGGGTFDVSLVTIKDKVFEVKATGGDTHLGGEDFDNRMVYYFVEEIKRKKKLKIVGNPKAMRRLRTACEKAKRTLSFASVTNVEVDALFKGVDFSSSITRAKFEEINMDLFDECMEIVEKCLSDAKIDKSRVDDVVLVGGSSRIPKVQQLLQNFFKGKELCKSINPDEAVAYGAAVQAALLSGSVKNVPNLVLIDVAPLSLGIDLIHDVMSVVIPRNTSIPVKITKKYITAADNQKRVAIDVYEGERPRASDNHLLGSFTLSGLPPAAARGHSFDVCFDVDQNGILTVSAMDKSTGSKNEITITNDKGRLSAEQIKRLVKEAEAYSIDDKKFLRKAKVMNALDDCVYKLENVLKKKDINLKLSSKENKKINAAIKKATKLLDENDHQNESDVLQDHLNELESMYERIMGKSC >RHN45144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12263599:12266096:1 gene:gene39360 transcript:rna39360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfite oxidase MSPPHYSVAWKKNHIVLVLCVLLHPENELDFPSVGFQCAGNRRTAMSKTKTVKGVGWGVSAIGNAVWGGSKLSDVLELVGIPKLTSTTKFGGKYVEFVSIDRCKEENGGPYKASITLSQATNPEADVLLACEMNGEPLNRDHGYPLRVVVPGVIGARSVKWLEAINIIAEECQGFFVQKDYKMFPPSVNWDNINWSSRRPQMDFPVQCVICSVEDMTTIPPGKVKISGYAASGGGRGIERVDVSVDGGKSWMEASRFQKSGIPYTADDDDNSDKWAWVLFEVTAEIQQSTEIIAKAVDSAGNVQPEKVEDIWNLRGILNTSWHRIQLQVIDSNP >RHN73715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19277348:19280240:1 gene:gene9569 transcript:rna9569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MEYGGGSKVTGIRQIVRLKEILQKWQNVTISSKTSNRKLEITDGSRSPMINKRITNVISCDSDEESCQSPEPPPDVPKGFLAVYVGPELRRFIIPTSYLSHSLFKMLLEKAAEEFGFDQSGGLTIPCEIETFKYLLKCIETQEKEQHDESLSGNLETEETLEE >RHN62233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41893529:41893716:-1 gene:gene24787 transcript:rna24787 gene_biotype:protein_coding transcript_biotype:protein_coding MMCLVLLKDSKALVVVSFTCFRFLISSVELSVGLYFASISA >RHN47359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39141283:39150509:-1 gene:gene41951 transcript:rna41951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-mannosidase MITKWKYPSFILPHRFTKDSIFSNSNVFLFLGCEEFTPLVIFHHPMEKKLIFVVTLLGFLFYGGESKFIEYNTSQGVVSGKLNVHLVAHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSMVHALLADKNRKFIYVEIAFFQRWWDDQSEAVKNIVKQLVSSGQLEFINGGMCMHDEAVVHYIDMIDQTTLGHRFLKEEFDLTPRIGWQIDPFGHSAVQAYLLGAEVGFDSLFFGRIDYQDRNKRKKEKSLEVIWQGSKSLGSSAQIFAGAFPENYEPPSGFYFEVNDDSQIVQDNMNLFDYNVQDRVNDFVAAALSQANITRTNHIMWTMGTDFKYQYAHTWYRQLDKLIHYVNKDGRVNALYSTPSIYTDAKYAANESWPIKTDDFFPYSDRANGFWTGYFTSRPALKRYVRLMSGYYLAARQLEYFRGRKKSGPNTDSLADALAIAQHHDAVTGTEKQHVANDYAKRLAIGYKEAEELVSSSLACLVESTSVTGCHNPVIKFQQCPLLNITYCPASEVELVQGKSLVIVVYNSLGWKRNEVIRIPVIDGDVTVHDSKGVEIESQILPLAEVFVDLRNYYVKAYLGQNPSKTPKYWLAFSVSVPPFGFSTYTVSTAKKTGSTRSSVYTLQSHEKSSIGQGNLKLTFSTDQQKHTNYVNARNMVEEQVEVSYLYYSGYNGTDQKDPQNAGAYIFRPNGTHLINHERQVPVTVLHGPILDEVHQRINPWIYQITRQYKEKEHVEVEFIVGPIPIEDGVGKEVSTRISTTMETNKTFYTDSNGRDFIKRVRDYRTDWDLEVHQPVAGNYYPINLGIYVEDDKTEFSVLVDRAIGGSSLGDGQIELMLHRRLLLDDSRGVAEALNETDCVADNCKGLTVQGKYYYRIDPLGEGAKWRRTFGQEIYSPLLLAFSEKDDKDDDWTNTHVTTFSGFDSSYTLPENIVIITLQELDHGTVLLRLAHLYEIEEDKDLSVVTSVELKKLFPGKKIKEVKEMSLSANQERTEMEKKRLVWKVEGSSGNEGVSRGGSVDPKERTVELAPMEIRTFTIYFDSSNHLFDAL >RHN49725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:649751:650110:-1 gene:gene33932 transcript:rna33932 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNVGSGSSGSKRSHEDSVGSSARPMGREAAKKKGKMKSKGETLEKVEKEWVQFKELKEQEIEQLKELNLVKKQKNKLLQEKTQAKKMKMYLKLRDEEHLDDRKKELLENLERELFEN >RHN79892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33283115:33284677:-1 gene:gene3747 transcript:rna3747 gene_biotype:protein_coding transcript_biotype:protein_coding MEINQISIYCCICISICFIFPFFFQETDYAQCLLKPTTSFAYLVLQYIAKLRISEYFIHLIFQRSTNNKLSIQSISISFSFSDLDFVLFHFHFHFHPLYFVITYHTSLSTFSFQ >RHN51842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26499409:26501043:1 gene:gene36382 transcript:rna36382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSSCCARFRSSTNPISLFPFLRIRLYSHSSFNSNNLDNVVSSFNHMLHMNPTPSIIEFNKILGSLVKSNNNHYPTAISLFHQLEFHGITPDIVTFNILINCYCHLWEMDFAFSLFGKILKVGFQPNTITFNTLINGLCVNGKVKEALHFHDHVISLGFHLDQVSYGTLINGLCKLGKTTKALQVLRKIDGKLVNTNVVMYSTIIDSLCKEKLVTEAYELYSQMIVKKVSPDVVTLSSLIYGFCIVGQLKEAFCLFHEMLLTNIHPDVYTFNILVDALCKEGKIKEAKNVIAVMMKEGVEPDAVTYNTLMDGYCLANEVNKAKNVFNVIGKRRMTPNVCSYNIIINGLCKIKMVDEALNLFNDMCCRGIAPDKVIYSSLIDALCKSGRISHAWELLDQMHDRGQPADVITYNSFLHALCKNHQVDKAIALVKKIKDQGIQPNINTYNILIDGLCKEGRLENAQVIFQDLLIKGYKVTLWTYTIMINGLCLEGLFDEAVTLLSKMEDNGCIPDAVTYETIIRALFKNDENDKAEKILREMIARGLL >RHN75313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41145547:41147773:-1 gene:gene11494 transcript:rna11494 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSRHRSGLYIFDCPKFTKKSIEYTWLRRLLQNTHHFRRSFDIVVPWDWKNIDFPSSCCIPEWFNHQFDGGAIVRIVDSAVDVKWFGFAFSVAFEVNNCPANSGSPQDSFSSALPHPFYLSFESEHTEERFDMPLSLELNKIDGSKHLWLIYIFQQHCHFLKTGAHIT >RHN39356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6356553:6361486:-1 gene:gene45370 transcript:rna45370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 9S-lipoxygenase MYSGFKSMLKRGQKVKGTVVLMQTNVFDINAINGATKNTTNLVKTGIKAVGGVAGTLIDTAGAFVGRSVALRLISATTADGSGKGKVGEKTFIEGFVTSMPILGAGQSAYDIHFEWNSDMGVPGAFYIESNMTREFFLVSLTLEDIPNHGTINFVCNSWIYSADKYKTKRIFFANKTYLPSETPAPLVYYREEELKTLRGDGTGQRKEHDRIYDYDVYNDLGDPDKYAHLARPVIGGSDTLPYPRRGRTGRKPAKKDPKSERRDDFVYVPRDEAFGHLKSSDFLVYILKSASQSFIPQLQSAITLQLNKPEFNSFDDVRSFYDGGIKLPTSILSKLSPIPFFKELFRTDGESALKFPPPKVIQVNQSAWMTDEEFAREMIAGVNPHIIKRIQEFPPKSKLNTDYGDNTSTITEEQLELNMDGVTVEEAIQNNRLYILDHHDSIYPYLRKINAADTTKAYATRTIIFLQNDGTLKPLAIELSSPHPQADSFGPVSDVYLPAKEGVESSIWLLAKAYVIVNDSSVHQLVSHWLNTHAVVEPFIIATNRHLSVVHPIHKLLLPHYRDTMNINALARSVLVNAEGIIESTFLLGSHSIELSSVLYKDWVFPDQGLPNDLLKRGVAVEDPTSPHGIRLLIEDYPYAADGLEIWDAIKSWVEEYVNFYYKSDVAVAQDSELQAFWREVVEVGHGDLKNATWWFKMQTCTELIEACTILIWIASAHHAAVNFGQYPYGGYILNRPTKSRRHMPKKGSAEYDELSKNFQKAYLRTITPKNDTLTDLTIIEVLSRHASDEQYLGQRNEGEAWTFDSQPLEAFKRFGRKLAEIEAKLIKRNNDESLRNRYGPVKMPYTLLYPSSEEGLTGRGIPNSISI >RHN64757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61601342:61602816:-1 gene:gene27612 transcript:rna27612 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHNQKQNKSLLSRLRTAVQKVKLLISSTILNHTWNAAKMLRGASLSNRQLSFNDRPGLMMCSSEETDSEGSVSPSPCLQRTISFPSDEDDIDKRSEIFIANFRRQLLLERQISLQLRYCKEQNSSGLISP >RHN73937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21834450:21835109:1 gene:gene9837 transcript:rna9837 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKMQESDETSFTQNTVDPLNSPVSLPPVLSTGTNQFGEVEKLDDVMAEPRELTGQLGELEEELNNFVAKPNQEWLAKLDGIGEMDFTQNTAHTQSMAKEIFYPSNSNQFEGMNDGFSQWQPIYDPLKSTVRLPSVLSANQLDEPGEWTDQEWFTEFLNVDDQAASKLDDDGDDDIMKWTSQDDGDELIKLISQDDVLAWKDDSFLSQNEEQYATLKE >RHN58103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43765016:43769549:1 gene:gene33712 transcript:rna33712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MNKGQSPEPLDFFIWTVEDVGLWLETINLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPACLSTVFLKVAKSNRQSRVVSLKLEP >RHN69560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44411377:44414780:-1 gene:gene18043 transcript:rna18043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MRKCWFVGVLFVVAYGSLLVEGYPIEDLVVKLPGQPKVKFSQYAGYVDIDIKHGRSLFYYFVEADHLPHKKPLTLWLNGGPGCSSIGGGAFTELGPFYPSGDGRGLRKNSKSWNTVSNILFVESPAGVGWSYSNTTSDYNIGDASTANDMLLFMLKWYEKFPSYKSRKLFLTGESYAGHYIPQLANAILDYNAHSSSFKFNIKGVAIGNPLLKLDRDRQATYEYLWSHGMISDEIVLAIRNDCNFDASYDNLSKSCKEAINVTRKIVSQYVDNYDVILDVCYPAIAEQEIRLKKMATKISLSVDVCIDYESFNYLNLPEVQKALHANRTNLPYPWGMCSDVLNYSNTDPDVDMLPILKRIVQNHIPIWVYSGDQDSVVPLLGSRTLIRELAHDMKFKITDSYRVWFHKGQAGGWVTEYENLLTFATVRGAGHMVPYGQPSRALHLFSSFVHGKRLPNTTKPSIGE >RHN78155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12371071:12378532:1 gene:gene1679 transcript:rna1679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MPLLPSFHKLIFPTTLQSKQLRIPDNFLRKHGDQLSTVATLTIPGGSVWRLGLKKVDNSICFVDGWQDFVQRYSIGIGYLLVFIYEGRSNFIIHIFRTAELNYDSTMKSRTEGPFYEAYNYVFEGIEDIDSFDFLDSAPSNPTGALQGKDSTGCMDQLIPADNHIQKRQENKDTKKTSRKKRGSRKSDPSAQEASTENDEEAERKRDTKKTSRKKWKSRKSDLSAQEASTENDEEAERKRDTKKTSRKKRKSDLSAQEASTENDEEAERKRDTKKTSRKKRKSDLSAQEASTENVAYESASARVRHNVSDEERERAMNEANAFEPTNPYCRVSLRPSYLYRGCIMYLPTKFRANLKGVSGFIPLQTSDGEKQWRVRCLDNEGRIKLSQGCYCILWVGGTLRVRTPRVFIGGSVIDHKPLRVQTPRVFIGGGVIDHKPLQTIGESDGTASNHN >RHN81961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50134059:50141858:-1 gene:gene6067 transcript:rna6067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dymeclin MGSVSSTPRKRGEFSPETAEYLIGTFVGDESFPFSSEFWQKLLELPLNVQWPAERVQQACELLAKNNCQTRHLAKLLFHLACYLQESMSTSGVPPLVYEKAANAVYIGSVFLKHLIESGMQLYLSFDGDEAVLKDVLGDKTIENLVMRNVLNFIASVEVSPNTFLLHLELLNFLIITMSTQLLCGSSPGPNDVNPFLDAAMAQDSDLVGLVVRKLLLNFITRPRVPFNRATYPIFYDGSQSSVLQRVGSAAANIVLLPFSYLVSSSGEGSRNPIADSSLHVLLILIHYHKCAVSEDYSAIENNKSSASDSLIKENPQFSDNSYCKALEQAIDCELDRVDIEGNAHSGRHIKLPFASLFDTLGMCLADEAAVLLLYSLLQGNSAFLEYVLVRTDIDTLLMPILEALYNAQSRTPNQIYMLLIICLILSQDSSFNASIHKLILTGVPWYKERLLHQTNLGSLMVIILIRTVQYNLSKLRDVYLHTTCLATLANMAPHVHRLSAYASQRLVSLFDMLSRKYNKLADRRDNKLHIAKDNSIEGNSFVEDVSTEMQIYTDFLRLVLEIINAILTYALPRNPEVVYAVMHRQEVFQPYKSHPRFHELLENIYTVLDFFNTRMDAQRVDGDWSVSEVLQVIIVNCRSWRGDGMKMFTQLRFMYEQERHPEEFFIPYVWQLVLSHCGFTFNAEAITLFPVDLHSEKLENGVEGSTFQNGDFDKPEYQLDP >RHN42581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39489582:39491920:1 gene:gene49037 transcript:rna49037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MWHREGFSRLRRFSTAVRRCSEDEGDWFYASEWWGSGSNDGQTVLRSTSGKGNGIVSVIAHPSSKPNRMHWSKMERWLQQRCEEVHPGYGDEGNLRVLGYQWRGLRFNDVTRQSTAKVMLTYRENKPELVYLMQQPHCLAVPYVKSMVSAGLSAIASSNFDIIGVLQGKKNMRILCIGHGGGSLPLFLASKIQGAIIHIVEIDPLVISASIRAMGFPAFSLMTKSGHREVAKPDIINEVMWKGTHERICLHEADAEEFITNNTNLYDMIFVDAYDGDDVFPHKLWNPDSPFLKSLKTRLHPKHGTVVVNLHSDSDVLNQDGSVPSEFEQVLPMGKYVSQVCRAYKDVLLGTRSSSEEKRGSGIAFTVAVPWTCNTSLVVCRGFGRDNQCFNRDSVVSTLISKSLELEHVMDLPFSCLEYIKGGFILAD >RHN55138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15461968:15463507:1 gene:gene30272 transcript:rna30272 gene_biotype:protein_coding transcript_biotype:protein_coding MYAARLLSMYKRNPSALSDQPPSGPNSGYLVILDEEAQTYSFFGLWKDIGIGNLPFPQNKHLTISDFEGRYTRENVMFLPVLNQPLSSNRYYVIRRKGKNQGQANLNSKEEDISTDVCCYFSHDIKTCPLEPFNHYQQIEINKKRFGFHAVSVASDGIPCGLLREKVWTIFGTTPTNYHLSEALASNDSLRSKLPDFNFPLSNDRSESVVVGKWYCPFMFVKEGMTLKEQMEISLFYELTLEQRWEKIVSKENENGEKNDVLVDVAIQTEVAKVERKDAIWDENRLVDGVLWFKSVEEKSVGLSLEVVEGMKWEQERFGWNAGNGRQVRVTKVEEFDGTNKWKKFSCYVLVESFVLKRMDRRLVLTYDFRHSHQIKSKWD >RHN41728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32453316:32454150:1 gene:gene48080 transcript:rna48080 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLTFCNCFKVISACKEVAHISSRKYNRCLLPFSVFYVAILTPARRVGEEKISDRSGAKF >RHN78629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16907995:16909219:1 gene:gene2256 transcript:rna2256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MASPRTTHYDVVLRIIWYIKGTLFYGLHYSATSSLILRAYSDVDWAGDPSYRRSTTSFCIFLGDSLISWHSKKQTLAARSSTEDEYRALANITSEILWLRWLLEDLETSQSSPTDLYCDNRIAIQIAHNDVFHERTKHIEIDCHFIRQHLLRGELHLISIGTLDQPADLFTKPHSPGRFRTLVSKLKLVAVLPT >RHN59375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10036718:10040154:-1 gene:gene21401 transcript:rna21401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SPX domain-containing protein MKFGKRLKQQVQDTLPEWRDKFLSYKELKKLLRLISAAPTSLLNGSIGYGKSEAEFMYLLNNEIDKFNGFFMEQEEDFIIRHKEVQQRIKRVVDLWGPNGSQPSEAEYKEEMEKIRKAIVDFHGEMVLLVNYSNINYTGLAKILKKYDKRTGGLLRLPFIQKVLEQPFFTTDLISKLVKECETIIDAVFPVEEEAERAKEAKEAIVVAGEGIFRNTVAALLTMQEMRKGSSTQSAFSLPPLSLPDSDLIQSFQLNAAVPIV >RHN80715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40376852:40381953:1 gene:gene4673 transcript:rna4673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major sperm protein (MSP) MNKTPILEIEPRELKFIFELKKQSSCTVQVTNNTYHHVAFKVKTTSPKKYSVRPNVGVLAPKATSEFIVTMQAQRVAPEDLVCKDKFLVQSTLVDAEITTEDVTSSLFVKDGLRYIEENKLKVALISPPDSPELVPVNGDSKNGFHHEKVQIHGKDEIEIQSPEPMVLKKPEHNKVHIPEHTKVCNVEIEEDMELKVEYGMGLDAIKDVGEPREEAGLKVSRVEEMNSVKGVEELKPQKKIEVQVSKDLDSITVKNAEELKPGKEAEMKVSKDLGSITVKKAEELKPGKEADLNVLKDHLTTVNNGKALTPEKEIESKVSTDTVIKTVKNVEELKPEKEAESRVSKGIEELKLLEAIEEMKLKLDGLESKLNESGVTISKLTEERRLSNQEAKILQEKLADLINTGPRKVQVGFPLLYVCMVALICVFLGYRLHS >RHN56041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27101871:27104366:-1 gene:gene31363 transcript:rna31363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerol-3-phosphate 1-O-acyltransferase MKNLSLANKTSKLSNKTLVFDFEGTLLRSTSLFPYFMLVSFEAGGILRSIILFLSYPLVWLVGEYQLGLKIMVFLTFFGIRKDKLRVGMAVLPKFYLEDVGWEGFEAVMCCERKVASSKLPRIMVEGFLKDYLGVEAIVARDIKSYNGYFLGLFEKHKTTTSYDVKATSKENSIGIIGSHIEYIDQKLFPHYKKVCFMLSSDERRNWRALPKKLFPKPLIFHDGRLAFMPTITSSLVMFMWLPLGLFLSIFRMTFGVSLPFNASSPILAFTGATSTISRPKTSTENEKNQKNMLYVCNHRTLLDPLYISHTINKPLSAVTYSMSIVNEIISPIKTTRLTRDRVRDRDSMEKMLCEGNLVVCPEGTTCREPYLLRFSPLFAELTDDIVPVAVDVKVSMFYGTTASGYKCLDPVFHYMNPNPVYFVKILERIPTSQTCQKGGKSAVEVANFVQNEIGKSLGFGCTNLTRKDKYMILAGNEGVSSNNKQSLS >RHN59528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11682429:11682805:-1 gene:gene21570 transcript:rna21570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1 component, dimerization MFSLISTSNYLDIKSLLDLTLMTAAGNIKDNTLAEIHKIFNIKNDYTTGEEEEVFYMLCLILFFLKCGSVMNLVGERLERQWAKHLGPAKRETPHSYPKP >RHN50214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5207606:5216785:-1 gene:gene34479 transcript:rna34479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MGKEKQSESSSSMPNLEPDPEAISEFVRIHDVFLSFRGEDTRSSFVSHLYAALQNAGIKVFRDDNELQRGDHISTSLLRAIEESKISLIVFSKNYANSRWCLDEVKKIMKCYRTIGQKVVPLFYHLEPSEVRHQTGEFGISFQNLLNKISIEKELVQSWREALRQAASIKGSVIDNRGNESEDIKDIVNSISQLLNKTDLFISNNPVGIEYRVQDVINRLDFQSKGVQLLGMWGMGGIGKTTITKAIYNKIGRNFDGRSFLANIREDGVKIAGQVCLQEQLLFDICKERTIKISNIELGKNILKDKLCHKRVLIVLDDVNTLDKPNTLCGSREWFGSGSVIIITTRDLDLISGRVDKIYKMTTMNENESIELFSWNAFKKASPTRDFIGFTKNVVEYCGGLPLALEVLGSYLFDKTKSKWVLVLEKLKRIPNDQVQKKLRISYDDLKDDDEQEIFLDIACFLIGMDRNDVILVLNDCGLHAEIGISVLVERSLVSVDDKNMLGMHGLLRDMGREIVREESPRRPEKRSRLCDQEDVIDVLSKQTGKQSVIGLSLKLPKANAKCFSTKAFEKMKSLRLLQLAEVKLDGDFEYVSRDLRLLSWNGLSHIPTNFYGENLVSIELENINVKRLWKNTVRMEKLKILNLSHSRCLTRSPDFSNMPNLEKLVLKDCPMLSRVSSSIGNLKKIVLINLEDCISLCELPRSIYKLKSLKTFILSGCSMIDKLEEDLEQMTSLTTLIANNTAITRVPLSVLRSKSIEFVSLHGYEGFSSIVFPLIILSWMSTGTNDLPFPFQITSSVLSSLVSLDVPSNRIHELSSFSNQLPRLKSLWVDCISEDQLSIDSTTILNALYARISMEFESAANTSQESNPTSILIQMGINCHVTNILKEILQVEVSWNLVEVNWNLLPGDSHPNWLTYSSEGSSVTFEVPEVEGRNLMSMMCIVYTSTPDAITSGPYRNFDTEIMTVYGFSNVLVKNYTKATIQLYNCQTFYLFKKEWQRVISSIEPGNKVEVVFVFKNDFIVKKTAIYLVYDAQNLNHIACSRDESEWSEESFSTEEEPTDDFNQNRKKKNRVE >RHN44545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5954138:5956783:-1 gene:gene38674 transcript:rna38674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MLHRTLSRVLHLPILNSQTTPPYAIHSISSNQMNPTKKLTFSHIFQKCSNLKAINPGKQAHAQITVTGFVPTVFVSNCLLQFYCKCLNLNYAFNVFDKMPQRDVISWNTMIFGYAGVGNMEFAQFLFDSMPERDVVSWNSMLSCYLQNGFHRKSIEIFTKMRLLEIQHDYATFAVVLKACTGIEDYGLGLQVHCLAIQMGFDSDVVTGTALVDMYSTCKKLDHAFNIFCEMPERNSVCWSAVIAGYVRNDRFTEGLKLYKVMLDEGMGVSQATFASAFRSCAGLSAFELGTQLHAYALKTNFGYDNIVGTATLDMYAKCDRMVDARKVFNTFPNPTRQSHNALIVGYARQDQVLEALEIFRSLQKSYLDFDEISLSGALTACSAIKGYLEGIQLHGLAVKCGLDFNICVANTILDMYAKCGALMEACLIFDDMEIKDAVSWNAIIAAHEQNEHVEETLALFVSMLRSTMEPDDYTFGSVVKACAGKKALNYGMEVHGRVIKSGMGLDWFVGSAIIDMYCKCGMLVEAEKIHERLEERTTVSWNSIISGFSSEKQGENALSYFSRMLQVGVIPDNFTYATVLDICANLATVELGKQIHGQILKLQLHSDVYIASTIVDMYSKCGNMQDSRIMFEKAPKRDYVTWSAMICAYAYHGLGEDAIKLFEEMQLQNVKPNHTIFISVLRACAHMGFVDKGLHYFREMRSHYGLDPQMEHYSCMVDLLGRSGQVNEALELIESMPFEADDVIWRTLLGICRLQGNVEVAEKAANSLLQLDPQDSSAYVLLSNVYAIAGMWGEVAKIRSFMKNYKLKKEPGCSWIQVRDEVHAFLVGDKAHPRSEEIYQQTHLLVDEMKWDGYVPEIDGFLLDEEVDEQDSYEGHKITV >RHN75035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38883463:38884332:1 gene:gene11183 transcript:rna11183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eukaryotic translation initiation factor 3 subunit C domain-containing protein MKQKLKKNNKQYEGLITKCRENPESYGEHSDEEYESHDDIIDADPSITWDTVNKKFKELVAARGRNKTGRFEQVEQLTYLTKLAKTPAQKLQILFSVVFAQFDVNPSLIGGHLPINVWKKCVQNMLVILDILVQHPNIKVDDSVEQEENEIKKGANYNGPIRVSGNLVAFIEKIYAELQDEPKFVVLAQNVQEYLERIGDFKVSSKVALMRVELISYKLQEVYDTMRTLAELENSRNLMDGLVSLIYKYGDERTHHMDVSTQILFNRAMSR >RHN82245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52286327:52287409:1 gene:gene6390 transcript:rna6390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MISHSFSLAMILLLFLFIFQLLSYLKRNKKLHARLPPHPPSPPAIPIIGHLHLLKPLIHHAFRHLSDQYGPLISLRLGSSQFIIVNTPSLAKEFLKTHELTYSHRKMSIANVVAYDDATFAFAPYGTYWKFIKKLSITKLLGNRTMTQFLPIRTQELHEFIQTLANKSKAEESVNLTQALIKLSNNIISRMMLSIDCSGTDNQAEQVRALVREVTQIFGEFNVSDFIGIFKNFDLQGFKKRALDIHKRYDALLDKIISDREELRREAKLIDGGGENGEEERLKDFLDILLDVYSEKDREVNFTRNHIKSLILVTSHDTQDSNFTRHQLFLVTCKLLIRRAAFSSRRGEISQNNNIKHIIQ >RHN51358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16623060:16623410:-1 gene:gene35784 transcript:rna35784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MLGFCANGFCRALVYDFFPHGSLQKCISPQNNKDDFLGWDKLQQIALGIADGIEYLHQGCDQRILHFDINTNNVLLDDNFTPKIIDFGLAKMCSKNQSRLIVFISFFASWWLAFAL >RHN46618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33332469:33333437:1 gene:gene41129 transcript:rna41129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIEIIKFVYIMIFFISIFFVVSESLFIPECNRTEDCPNVCLYPKVSLCIWWYCTCVTVKHRSVRLGHLHSSSSRSNPSFVLFSISFSTIFEDITWSY >RHN52160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32117671:32127079:-1 gene:gene36790 transcript:rna36790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MGSASLLNSSDPGVKDSTSKEDPVVSNTEEEDMAGGKQKKRSLSESNEQPAPTRKMPKRSASAASKNLKEKSFSKSDKSDLIETKKDQVVEEEFLAVRMTAGQEDGRPNRRLTDFILHDESGAAQQLEMLELNDLFITGLILPLEASTDKKKEQGVRCEGFGRIESWDISGYEEGAPVIWISTDIADYDCLKPAASYKKYYDQFFEKARACIEVYKKLTKSAGGDPDISLDELLAGLARTMSGSKYFSGAASLKEFIISQGDFIYKQLIGLDMTLKANDKGFTEIPALAALRDESKKQTNFANAQVMPSNATLRIGSGTGDEEKKDQMAVDEEDEDAKLARLLQEEEYWKSKKQRKNPRSSSSSNKFYIKINEDEIANDYPLPAYYKTSLQETDEFIVFDNDYDVYDTEDLPRSMLHNWALYNSDSRLISLELLPMKPCSEIDVTIFGSGVMTSDDGSGFNLDTEAGQSSSASGEQATDGIPIYLSAIKEWMIEFGSSMVFISIRTDMAWYRLGKPSKQYSPWYDTVLKTARIAISIITLLKEQSRVSRLTFGDVIKKVSEFSQDNKSYISSDPLAVERYVVVHGQIILQLFAEFPDEKIRKCPFVAGLTSKMEARHHTKWLMKKKKVLPRSEPNLNPRAAMAPVVSKRKAMQATTTKLINKIWGEYYSNHLPEDSKEVIASEVKDDDDEAEEQEQEENDDEDAEVETVLLEGMQKPHSVSKQTKAFSDDGEIRWEGGPEGKTSSGLLLYKQAIIHGEVVSIGTSVSVEVDESDELPDIYYVEYMFESKGGKKMFHGRMMQHGCQTVLGNAANEREVFLTNECRDLGLQNVKQINVASIRKTPWGHQHRKNTIIADKIDRERAEESKKKGLPTEYYCKSLYWPERGAFFSLPFDTLGLGSGVCQSCQLQEAEEEKDVFKINSSKSSFVLEGTEYSLNDYVYVSPFEFEEKIEQGTHKSGRNVGLRAYVICQVLEIIAKKETKQAEIKSTDIKVRRFFRPEDVSSEKAYCSDVQEVYYSDEACTISVQSIEGKCEVRKKIDVPEGCAPGIFHNIFFCELLYDPATGALKKLPAHIKVKYSTGHTADAAARKKKGKCKEGDNISEPDPERKTSNEKRLATLDIFAGCGGLSEGLHQSGVSSTKWAIEYEEPAGNAFKANHPEALVFINNCNVILRAIMEKCGDIDDCITTTEAAELASKLDEKDLSNLPLPGQVDFINGGPPCQGFSGMNRFNTSTWSKVQCEMILAFLSFADYFRPRYFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAFGVSQSRKRAFIWAASPEDVLPEWPEPMHVFSAPELKITLSENVQYAAVRSTANGAPLRAITVRDTIGELPVVGNGASRTNMEYQSDPVSWFQKKIRGNMAVLTDHISKEMNELNLIRCQKIPKRPGCDWRDLPEEKIKLSSGQLVDLIPWCLPNTAKRHNQWKGLFGRLDWQGNFPTSITDPQPMGKVGMCFHPDQDRILTVRECARSQGFPDRYQFYGNIIHKHRQIGNAVPPPLAFALGTKLKEAVDSKSSK >RHN49061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52156455:52157711:1 gene:gene43848 transcript:rna43848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MSRKRLEGKVAIVTGGASGIGAETAKTFVENGAFVVIADINDELGHQVATSIGLDKVSYHHCDVRDEKQVEETVAFALEKYGTLDIMFSNAGIEGGMSSSILEFDLNEFDNTMAINVRGSLAAIKHAARFMVERKIRGSIICTASVAASVAGNRGHDYVTSKHGLLGLVRSTCGELGAYGIRVNSISPYGVATPLACRALNMEMSKVEANMKDSANLKGITLKATHIAEAALFLASEESAYISGHNLVVDGGFSVINSCVPTTIKK >RHN72616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9178599:9179234:1 gene:gene8359 transcript:rna8359 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLFAWEEELESDCCALLLPIVLQADVSEVDLTTSRLSKIQCCYCLQLFDIKRPIST >RHN63942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55234984:55235930:1 gene:gene26703 transcript:rna26703 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGKFKLPPPDKPGRFIFPDSLGSLVMITGLDEKGNEVESSEFEVESGEELESIKPGALGFHKESDGGDEAMDEEKDESDQRIRKKMNKEVVATSVSLLKSISLDEKRSDLEYELSRKEINLEKLRRIASTGIPDGGGLRATAWKVITPSCSFNWFEFSTLVLTLHINVIV >RHN47590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40833753:40840756:-1 gene:gene42208 transcript:rna42208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase MQVEGRSPDQESIGSGTKRSSVSSGGRSRNRKEFFYKFVDSDGLNEKLVHWFESVTEKYTLKQQAFDVPFELIELQKFDYALEGISFQQLTRMPNAVHASTSEAVEATACLAIEDFLHAGIKGLWEAFWSQDEPMPFSAACLYNANMKFYQAENAIANGRLGGLCGTGILLNNSRHPHGKWDHLLELTLLRTDIRGLAVGSDCQPSLPVLGEALFYAIRMLLARSLSRLSFFPDPSTVFVLLVDSQYGGVVKVEGDVSKLNFDVNNVYECAAEWVQNHSRISVSPIYRIWNKLGNANWGDIGALQVLFATFHCIMQYAGMPKHSVEDLAADHSSRLQTRRIERQLGDTTRVNGNGPFQYQQRSVSPEIVEVQDDYVKVDSKESMKLEEGSMLWLEDSDGQKGYQIQEVIKTGELTYYIASYVEDPGTDLFLYVGSHPSQQEPAWEDMNLWYQVQRQTKVLTIMKQKGLSSKYLPQLIAFGRIIHPGHCRRPSSGGNCDHPWCGTPVLVISPIGETVAEMVEAGRFGSDDAIKCCHDCLSALSTATSAGLRHGDIRPENVICVRSGVRQPYFVLIGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYYSCGGVLPDLDSVEGALQWRETSWSRRSIQQKLGDISTVLKAFADYVDSLCGTPYPINYDIWLRRLRRNIHEDDHGKEIDSTC >RHN48245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46071374:46072921:1 gene:gene42940 transcript:rna42940 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQICSYLFTERRIEKRNDGHVFLRVGILLWSAFAYSRNILR >RHN76080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47525853:47526419:1 gene:gene12356 transcript:rna12356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MWKSRAPSKVVAFAWRALLNRVPTKLNLALRKVLGPEDSKLCVLCNRLDESSTRLFLHCEVASLVWSKLMWWLENFFIIPPNLFVHWECWNGGVRIKNVKKGLNLIWHATIWVLWKTRNDKIFKDYNLEFPAVLWPSAVCASRCWFPGLQGADFGLAAAAVWCC >RHN76095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47629218:47629472:-1 gene:gene12372 transcript:rna12372 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLKAKTDKKGYFYIGAPKNITSCITRHCNVVLDSASNGLKPSNLHGGFTGALLKPVKQSVSKSVSLKLYIVGPFVFEPKCHN >RHN65499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2718014:2719126:1 gene:gene13343 transcript:rna13343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAPTIEKEVSSKYIPDDIVFSILSKLSVKSIKRFSCACKSWSSLFENPNFINKFRNNLLSKSHPLYDDACLILNHFSGPDYHWNVYLLSGDRFENKVKLDLPPSLPIENGFDYIRILGYAINGTLCIFDYHTNTRVALWNPATQEVKAIPPSHGVIPKVTTQFQLHGFGYDHVRDDYKVIQHVNYFTFNDDPCDGLGHERFWEIYSLKSNSWKKINFDMPTRYQDFDTDVYLNGMCHWWGGTTAEAYMVSFNWCNEDYFITPSPLEDLPGCFDVSLVVLNGFVAMISNYRETNSFQISILGELGVKESWIKLFDVEPLSCIDSPIGAWKKGNIFFRKENGQLALFDLTTGVTEEIGVKGERFWCQMVMYN >RHN68384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35026847:35029713:-1 gene:gene16728 transcript:rna16728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein MATTATAATMSHFFGTSLCKPTSNMGRVRAFFNIGTKLKPAPKKKEVKKVRKQESDGLVWFPGAQPPEWLDGTMIGDRGFDPLGLAKPVEYLQFDLDSLDQNLAKNLAGDIIGTRVESTDVNPTPFQPYTEVFGIQRFRECEVIHGRWAMLGALGALAVEAFTGVAWQDAGKVELVEGSSYFGFSLPFSISTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGKFFDPLGLASDPEEKERLQLAEIKHSRLAMVVFLIFAIQAAVTGKGPIGFIATFNQ >RHN69576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44534144:44536329:1 gene:gene18059 transcript:rna18059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NTF2-like domain-containing protein MSSLPQSQISFRLEACTSSNKNTTNSTRPCITRLYNNKTHFINLVAKPMLLPNIKKQLKIGKWKVLSSDDGSGDVAPEFLPASPSPVHIVHEFYEAFNKKDTETLKQLLSPNCVYQDLLFYTAYEGQESIIKFWQSVMDAMGPNIHVFVEDVKESNHVMVTAFMHLVWKEKKLPFTNGCRFFTFEEVEGKFLISKITCMEEFPLKPGELVLKLLKGIGQILDSYPLAAAAMLDSHASKDGGNDMHLDFDIFGRKH >RHN81835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49239025:49240849:-1 gene:gene5927 transcript:rna5927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGKAPCCEKHGVKRGAWTPEEDEALVDYITKHGHGSWRTLPKHAGLLRCGKSCRLRWINYLRPGIKRGSFTNEEETTIIQLHAMLGNRWAAIAAQLPGRTDNEIKNYWNTHLKKRVRQSLGEKHPCLLPDRNVQSNSPSTRHMVQWESARVEAETRLSLESTLLNSGSTTKTYPDYFLQLWHSDVGDKFRAGVVCQSIVSLEESCSDVSLQVKNNRTQSLKVSTPKLEDVNLIQEQNASSYKLKLEDDISGSDSGNYEFLDTSDSALKHLLRMPDEIGFLGHTDNFLNLLDGRCD >RHN63601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52600948:52601674:-1 gene:gene26324 transcript:rna26324 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVESTKHTHQPIKPLKMIIIQVFTQQPWCFSKCSSHLIDNRHKNSAKKISHSQ >RHN66631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15366859:15372003:-1 gene:gene14666 transcript:rna14666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CRK7-CDK9 family MAAAGQLNVIDSPSRGSRSVDCFEKLEQIGEGTYGQVYMAKEIETGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSPGPEKDDQGRPDGNKYKGGIYMVFDYMDHDLTGLADRPGMRFTVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNEHNANLTNRVITLWYRPPELLLGTTRYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEVNWPGVQKTPWYNQFKPTRPMKRRLREVFRHFDRHALELLEKMLTLDPAQRISAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQNEENAKRLKMQHPQQHTRLPPIQQGGHHAQMRQGPSHPSHGSQPAVAAGPSHHYGKPRPSGGPGRYPPGGNPSGGYNHPNRGGQGGGAGYGSGTYPPQGRGAPYGSGAMPAGPGGGPRGGGGSGYGVGGPNYPQGGPPHGGSAAGRGSNMMGGNRNQQYGWQQ >RHN45401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19622643:19626261:1 gene:gene39721 transcript:rna39721 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amygdalin beta-glucosidase MHATGVLKQYYFRATFVDGDKFSTLIEHYKRYKEDVQHLKHLGVNSYRMTISWSRVMPDGTLKGGINKEGINFYNNLINDLLENDIEPFVTIMHFDYPLALHQKLRGFLNRTIVNHYKDYCNLLFKTYGDRVKHWTTFNEPQVTAIHNYMHGYDNDDREPCQDTGMCSEAYTVLHNFLICHATAAKLYRKKFQATQRGEIGIVLQAPNYVPYSSKSEDVDAANRLMDFSFGWVLDPIYYGDYPQIMRKLVGNRLPKFTKKEENMIKGSLDFVGVNYYYSVFARHESNRSNMFPLDNFDALAATNGKLIFLDQYSGMSVYPKGLYDLLIYMKEKYQNPNIYITENGISSPNMTNQLKDENRIAYIATHINTTKAAIEYVIFLILFIL >RHN56115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27891684:27892995:1 gene:gene31458 transcript:rna31458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRDKNMTKTFDFVYATIIFSFLFFAEKNVVAYIIECKTDNDCPISQLKIYAWKCVKNGCHLFDVIPMMYE >RHN61313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34720384:34724223:-1 gene:gene23763 transcript:rna23763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S25 MAPKKAAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQGTYDKLLTEAPKYKLITPSVLSDRLRINGSLARRAIRDLMAKGLIRLVSAHSSQQIYTRATNT >RHN43887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49226410:49227172:1 gene:gene50522 transcript:rna50522 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVLRYDLCCIGWWMLLAASVCSCRVCCGQPRSMFAQPADVGGVGDLHGGWASGVCSVLFSVAVMDIALPAVRPVQFPLCLEFCLAGISIVSVPIFVSNGAARVLFWLGGISVVGL >RHN53554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2488227:2488514:1 gene:gene28473 transcript:rna28473 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYQWYQSRPLPVRCGSRTNQADAGGHVTPPLGGHVTPEADEGGEWSDSWHASRKN >RHN42387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37924562:37927303:1 gene:gene48823 transcript:rna48823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative starch synthase MMDFVFSESENGGVFDNKFGMDYHIPVFGSIVKEPPLHIIHIAVEMAPIAKVGGLGDVVTSLSRAVQDLNHNVDIILPKYDCLNLSNVKDFQFHKSYFWSGTEIKVWHGKVEGLSVYFLEPQNGYKLSILISFLQT >RHN38676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1224212:1224706:1 gene:gene44636 transcript:rna44636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase II transcription factor SIII, subunit A MIVTSSYNDSKAIDNVKYLGDVSHVDHHMLERILPHCTLDQLMHIEKSTQGMDLSPITDQLWKKFFEKQFGINCTNEVVKKMKETLGCSCMRLKSKKWLGLRMKQLIDSRNATRKKMQENKAGKSKHAPNFHQVKEDFVEMMDLDQRHNFSFECQICQFSFNVV >RHN82591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55000881:55001523:-1 gene:gene6766 transcript:rna6766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MFIKVRINIVFIGHVDYGKSNTAGHLIYKLGGIDKNDIERLEKEATEMNMRSFTYAWVLDKFKAERERGITIDISLSKFEQSSTIALSLMPPDMGISLRT >RHN58388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1349186:1351030:-1 gene:gene20295 transcript:rna20295 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMLLATFLLIISRALAISNEQDFNSKQPHELNGSLDGNGNIEVSTRLNHRVAMMESNEHKHELSVTIRKGGGGKGGGGGGGRGAGGRGRGIGRGVGAGAGAGIIGAGVIGGSTAYHGSHRSNSSAPSLSAGPYVCVSTFLLCLSFWL >RHN47406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39480444:39483899:1 gene:gene42000 transcript:rna42000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase 1 MSSSFWSLCLILASLVSSSLCAPPRKPVDVPFGRNYYPTWAFDHIKYFNGGSEIQLHLDKYTGTGFQSKGSYLFGHFSMNIKMVPGDSAGTVTAFYLSSQNAEHDEIDFEFLGNRTGQPYILQTNVFTGGQGNKEQRIFLWFDPTKEFHRYSILWNMYQIVFFVDDVPIRVFKNSKDLGVKFPFDQPMKIYNSLWNADDWATRGGLEKTDWSKAPFIAGYKSFHIDGCESSVNAKFCATQGKRWWDQPEFRDLDAAQWRRLRWVRQKYTIYNYCTDRKRLPQIPPECKRDRDI >RHN62588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44534335:44534799:-1 gene:gene25180 transcript:rna25180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSYIPKERMILLSMKRRRIYEVKNDKKAEHREIEDRLSSLPDGVLLHILSFLDATYADQTCVLSTRWKYLWKLIPTLNLHSERFYTVKQFSKFASKILTLRLRKKSAALDALDFDCRGNIEPRILKKILNYVSSDNTHLQKLEIYGYGGILVSL >RHN58645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3618100:3620801:1 gene:gene20573 transcript:rna20573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MAPPLILPKLILLILVLCLLFQHKNHNKNTNSNANTFNSINIVPIHSFSSFPVSLSAINNSHVSESSSSDSSSNFLLEYDFYRDSCPHAEHIVRSTLHLLYKTNPALVPALIRLVFHDCFIQLEEACPGVVSCADILVLAARDSVVLAGGPFYPLNPGRRDGSNSFADIATDELPSPYADLTQTRASFKSRGFDEREMVTLLGAHSIGVIPCKFFENCLYNFSGTNEPDPSLDTQFLNVLRSKCNETDALSTSASAYSSHASPSSLVEEQQEITTDSGESLSNFGTLYYRRLLQGKGILYEDQQLMEGEKTRYWVQYASNRTLFHQDFALAMMKLSDLRVLTKPMGQIRCSCSKV >RHN66291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10389203:10390231:1 gene:gene14241 transcript:rna14241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDEEEYHCSARPNMPSTVFDTNNISSHPGDKYFRIEFDYKKIWVQTDTNHQSLENIERYTLRKVSADKLMEETTIPSWLSHIKFPKEYFALMVEEILRCARDMVNGTTYNNLKFLCIRVDFSLTIPLEDDSCDEGDYGDEENLCLEEDKEEIEVGEEDNGLALPITSAIESETYEELDYEVYFEDDYRGYQWNNGLDEFEDEEEMEVEEDDEYEVYCEDDYGGYQWEEVEEEMEVEEEDDYYEVNYEDDYGGYQWNVGLEEYEDEEEMEVEEVDNRFVPAAKSFIEGLKMVEVEEVEKCAICFEDFNVGVRIPCSHMFHMTCICDWLVIGNSCPLCRFQLPT >RHN44396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4209461:4220729:1 gene:gene38514 transcript:rna38514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative KH domain containing protein MTTEDNSVRVSSSNEASATNEVSQTRQRKKRKWDQPAESLVSVGMAVPGVLPLSNAMPLGGVAFPGVTPVISSALLTNPLAAAALLQQQSTAAAQKLNQQKIQDELVIAREIVINDAESSLRYKLTRRQTQEEIQRCTGTIVITRGKYRPPNSPLDGEKPLYLHISAGAHIKDTAERILVVDRAAAMIEEMLRQGQNSQSISSALPSALANGVKVLSTCVFLGFDADPSWNIVARIRGPNDQYINHIMNETGATVILRGRGSGNDEGSNGEDGQQPMHLFLSSNNAKSLEDAKFLAENLLDTISMECGASRISSCKVYSAVPPPQPVYTAVPPPQQVYTAVPSPQQVYSGPSLSKQISTAISPVQQGYSAVPPPQQLLAGVQCSEASTDVTTTSMSLTAVSTPVPTANMIGYAPPLVSGGTSYIGYGGIYPQATPLQQVALALRHSPPVASTVAPATSAPNRESKSTTNSNHEKEDKRTAQRRKFQEQPVVSKGAKHNQGSELLKPNERYENLVVRNISTMPAPKKLVQPSSNGIPAPPPRTTPPPPPKRAMPPPPPPPKFYDSIEVKVQDKSNSLRKTKSDAIPDTLVKLMEYGDDDDDDDLDDSNAEMPPRTAQAIGAQKPFWAL >RHN58968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6296895:6300928:-1 gene:gene20938 transcript:rna20938 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSTKLSLVLLLSSFLIHASLAEMVCEDLPKEVCTFSVASSGKRCLLETQKGVNGEIEYQCRTSEVIVERISEYIETDQCVEACGVDRSSVGISSDAFFEPQFTGKLCSPACYKKCPNIVDLFFNMAAGEGVFLPELCEKHKTNPRRAMVELVSSGAAFGPASSVSEDIVLAPAAAPSSL >RHN57431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39104053:39114339:-1 gene:gene32976 transcript:rna32976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MISVTHALETVLNAAKRLPPISVSLHEALGKVLAQNVHAVDPLPPYPASVKDGYAVVAADGPGEYPVIAESRAGDDAVGVTVTPGTVAYVTTGGPIPDGADAVVQVEDTEKVNSASDEIDRVRILVKTNQGNDIRPVGVDIEKDAVVLTSGEILGASEIGLLAAVGATTVKVYPTPTIAVLSTGDELVEPSTGHLSRGQIRDSNRAMLLAAAVQHHCKVVDLGIAKDDEESQGRILDKAFASGINILITSGGVSMGDKDFIRPLLEKRGKLHFDKVSIKPGKPFTFAEIDSQSTESKILAFGLPGNPVSSSVCFHLFVVPAIRQLAGWTNPHHLRVQARLQQPIKTDQFRPEYHRATVIWTDNDGTGSPGFVAKSTGHQRSSRLLSMKSANAFLEFPATGSVVSAGTPVAAIIISNLTPVDFAKNHISSDSNFAPPGIKSNKITTDSSGSADLRVAILTVSDTVAAGAGPDRSGPRAVSVINSSSERLGGAKVVATSVVPDNVAKIQDILRRWSDNEQMDLIITLGGTGFTSRDVTPEATKELIEKETPGLLYVMMQESLKVTPSAMLSRSAAGIRGSTLIINMPGNPNAVAECTEALLPALKHGLKQLRGDKREKHPRHIPHAEAVPTDVWERSYMSATGAGGSEVSCSCCK >RHN79044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21910088:21914029:-1 gene:gene2736 transcript:rna2736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, eukaryotic translation initiation factor 3 subunit E MAEYDLTPRMAPNLDRHLVFPLLEFLQERQLYDDNHILKAKIELLNNTNMVDYAMDIFKTLYQTEDVPQDMVERRADVVARLKSLEDAAAPLVAFLQNPAAVQELRADKHYNLQMLNDKYQIGPAQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSLNALWGKLAAEVLMQNWDIALEELNRLKEIIDSKNFSSPLNQVQSRIWLMHWSLFIFFNHDNGRTQIIDLFNQDKYLNAIQTSAPHLLRYLATAFIVNKRRRPQFKDFIKVIQQEQNSYKDPITEFLACVYVNYDFDGAQKKMRECEEVILNDPFLGKRVEESNFSTVPLRDEFLENARLFIFETYCRIHQRIDMGVLAEKLNLNYEEAERWIVNLIRGSKLDAKIDSETGTVIMEPNHPNVYEQLIDHTKALNGRTYKLVTQLLEQAQAQAAR >RHN65736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4843169:4844486:-1 gene:gene13616 transcript:rna13616 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYKHESIHARGESIQAKSETYLNRFIHVVRRFMPNLECSEKDFKVH >RHN45309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16436363:16437328:1 gene:gene39574 transcript:rna39574 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTTKLTLKLLIDTKNERVLFAEASKPVIDFLFNMLCLPIGNVVKLLSKNEMVGSLGNLYQSVENLNHNYMQSDHQTKDILLNPSAPIWSIEIFHLFPPLQKALRTSSGVSDCILTAELDDEDQEEDEEYDEDDDDEEEEETEENDEEAIGGETLLYVCPKKCSYDVTCDKTTLCSRCKKPMNRETRCVGKKFDEEYLSINNGFVKDVVTFMVMDDLSIQPMPTLSGITILNKFNIKEMGTLQEMGSKLLKASLQSKMVLTSVFIKKEY >RHN54838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12780151:12781641:-1 gene:gene29931 transcript:rna29931 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSCTQEQGKVIVQDKNSGLGLGNVVCKTGKGWTCVITRTTGPDAGKVFVKCGENCTCTLDGGAVTPEIETSTDNGSETFCKCGEGWSCSIFRTEGPDADSGKGFADCTQQYNCDCKC >RHN50512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7779984:7787390:-1 gene:gene34806 transcript:rna34806 gene_biotype:protein_coding transcript_biotype:protein_coding MLFWKNRYDRIGFRIAFSKPNRTKPNRKSKFLYLFIILLVLYIALIYCLLVFNLIYCINLIYIITTSSISNIINHFHCVMLTFLINLCHILYQTYYFIVYE >RHN52689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37786161:37787090:-1 gene:gene37387 transcript:rna37387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MFSCNSTRISNELGAGCPNAAYLAVIVTLFMSFACGVLEFAFIMSLWKVWGKAFSHVHEVVTYVNSMTPVVAISAFVDSFQTPLQGVARGCGWQKLGAFVNLGAYYLVGIPISVVFAFVFHMKGQGLYLGLVIALVVQVVCYLLVTLCTNWEKEVCPFPYTLNTFFFRFKYAFGP >RHN62481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43753956:43755160:-1 gene:gene25062 transcript:rna25062 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRARGGVVGFGSHDLVKRVRFLGVVKILVCSPSSKGRKKIEEAFGVVFLLLLPLIITNLCNLKMKMPLCFTQFLSLYNVLWGYFSHPTFLNYTSIH >RHN67711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29591194:29595341:-1 gene:gene15930 transcript:rna15930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SAGA complex, Sgf11 subunit protein MSVPDEENLPSQSQLSSHFFSDLLDSIIVDVASECHRVAKLGLDANLDEEDEELKLSAQARVRVADPSNSNETNGKYVVDIFGQNHPAVATEIFECMNCGRTIAAGKFAPHLEKCMGKGRKARLKVTRSSSGAQNQNRYSRGNSVSTYSNHTNNNSSATRLANGNHSFASGEHSNGTSPP >RHN54935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13689095:13694344:-1 gene:gene30037 transcript:rna30037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MEPSFLNKGATLVVSLSLFLFIHHVSSSSMYSEMNPIKPRHSRLLRSAVQRETPTSQLSEIWAPLENQGWKSCDESGNRPTLPEKSEGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLELNPVWKDSSSFEDIFDVDHFIDVLKDDVSIVKELPEEYSWSSREYYALAIRDTRIKAAPVHATANWYLENVLPVLQSYGVAAISPFSHRLSFDNVPMDIQHLRCKVNFQALDFVPHIRALGDSLISRLRNPQRSSEEMNSNYLQEVTNVDDNKNAGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVLNSQFTDEELRSQGRCPMTPEEVGLLLAALGFDNSTRLYLASHKVYGGAARISTLKQLFPLMEDKKSLTLPFERALIKGKASLLAALDYYISIHSDIFISASPGNMHNALVGHRTYLNLKTIRPNMALMGQLFLNKTMEWSEFEHAVVEGHESRQGQLRLRKPKQSIYTYPVPDCMCQG >RHN77876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10159615:10163401:-1 gene:gene1378 transcript:rna1378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MSLIISPELADASYGNAKLYSLKGADVTPGLSSHDFAPDKHRSMYMNDSYSSESYEKYFLDSPTEELIEPSSSGISGNSVHADGDSSYQLRANSGGSMITDNPFDTYVSSTRQRDAYQSNFESDFLESESPDCFEFDGDMRLKIQELERALLGDNNDECDDDEENMFRTVQSMEIDPDFAECANPIQNKSHHDSPKESLSSDSNLSSTSSTKEISQNFPRTPKQLLYECASALSEGNEVKASAMIDDLRQLVSIQGEPSDRIAAYMVEGLAARLASSGKCIYKALKCKEPPSSDRLAAMQILFEVCPCFKFGFIAANGAISEAIKDDKKVHIIDFDINQGSQYITLIQTLASRPGKPPYVRLTGVDDPESVQRSVGGLSIIGQRLEKLAEVLGLPFEFRAVASRSSIVTPSMLNCRPGEALVVNFAFQLHHMPDESVSTVNERDQLLRMVKSLNPKLVTVVEQDVNTNTSPFLPRFVEAYNYYSAVFESLDATLPRESQDRVNVERQCLARDIVNVIACEGEDRIERYEVAGKWRARMKMAGFTSSPMSTNVKEAIRELIRQYCDKYKIIEEMGGLHFGWEDKNLIVASAWK >RHN67387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26715567:26716424:1 gene:gene15572 transcript:rna15572 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFWKFWIFFYFLFANGGGFVLVVAIVMIKVDSCLETGNADIQKKREKLEGVRKDQNAVFVVHDNICVLIFDVEICF >RHN69236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42027211:42033835:-1 gene:gene17678 transcript:rna17678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HORMA domain-containing protein MRHPSHLSVVPRVVAQKLKEAEITEQDSLLLTRNLLRIAIFNISYIRGLFPEKYFNDKSVPALGVYDALQKKYLKTLLFCVCEAVDGPMIEEYAFSFSYSDSEKQEVSMNINRTGSKKQGGAFKCNSTTDITPQQMRSSACKMIRTLVQLMRTLEKMPEERTILMKLLYYDDVTPSDYEPPFFRGCSEEEANHPWVKNPLKMEVGNVNSKHFVLALKVKSVLDPCEDDNEGIQDDMSDDEMQNNDYYDTDSEVDHTQGQGDQYIVAPIEKQQEQEDNGEIDEDNTQDPVEDEQQLVRIKEWLSCCHLDTVDLTDVLSNFPDISVIMDNLVKEGELSKIGNDTYAIKKDKKSEYEFTIVKEEFDGQNPPVLDRALQGEDLIYMKALYHTLPMAYVSVTKLQSSFQGEISQTAARKIIEKMTRDGFVEPKGSKRLGKRVIHSELTERKYVEVQQALGAAEAMDVDHCEPNSKSKKAGYRMSGSNRDISTCGVLHSIGSDLTRMKVTSELNDTDSMSGPKMPKAKEPGTTPTSRPVASRESFAHGKENSTANGNGIANEGDAADTIICSRSSQDKRPRKASAVKDPIHQSVKRQRSQAP >RHN72958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12126573:12130878:1 gene:gene8727 transcript:rna8727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative folate-biopterin transporter, major facilitator superfamily domain-containing protein MVSSKGVANSKIDDDDGGGDKLMRKVLGLGFSVQGFRCFPWLVVSFFLKDGLNVNPSTLQILLSSANLPMVGKPLYGLVSDSVYISGQHRVPYIAFGAFLQALSWLAIAISPSSISVFTISIYLLLSNLGASITEVGNDAIVAEMGKQPPSSTKHSQQPSSSGNLQSFVWIASSVGGVAGNLLGGIFISRLSPQSMFMFFGLLVALQFFITISIRESSLGLPKNPSIGIKKQLSELSAALRKPKIAYSISWFALSYAVVPLLNGTMFFYQTQYLKIDSSVLGISKVFGQATMLLWGVIYNRYLKSISPRKLISAIQATIAFLMISDVLFVRGFYRQMGVPDTLYVVIFSGFLEVLFFFKFLPFTVLLAQLCPQGCEGSIMAFLMSAVALAFIVSGYLGVALASYIKITGSDFSGLSLGLVIQASCTLLPIFWSSCIPEYVKTKDKRKD >RHN79971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33977629:33981844:-1 gene:gene3835 transcript:rna3835 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVLEISSDEEEDVKEESKNTDFEWIQELLFNSDDESDGGSDDVVFIHENKAPEGKSKSSTLSVKDVKDDVDDDDCVVLEGDPENGVTSVDEEDSTEGSDDLVVVGEKGQVACRDYPHARHLCATFPFSSTPHERHCGQCHCYVCDSLAPCLKWGTGILSSDHCHANDKTEVWKIKRKDFKRSLSSPLPASINYGTSLGMVRSQSNEIFPRDIVQLSPISVLRTQSARSTAMHTPSLNCIPQNQVSRPKATYSHISVNSGMQNQITRPINTHVSKVPNLTIPNGANHGRYLESRSALSRDRNRLHSVPKQSLGVRSHAIQRERGTGASSLGPQLLRSPTMSKGAVGSMGRTLAANHPSRGSSGFNNHVNAVQQPASFHSATRFSSPMNLSMFSQSSLGGVSFNGVKPHTRTPEPQYGQASSQSNVSQNFHQTYIQGNDAPYGACQNSNQHGNELQVKSQDEIASGNMTQSGITSQDTSQSKPQEESSNISAGEFSAFDPSWTENISQSTEPLIEFPPVQSSRSTDQPPNVDNSITQFIENVEPVNESSRIPGSIDDFENWLPGKETGPMTTDDVLPFELNVPSPDPSPFDEDPSMLLSSWW >RHN46358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30980809:30981525:-1 gene:gene40840 transcript:rna40840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MDHHNLYDITIDSNYTIQTLLTSTPDLVDDWFIVNRILSFSSPIIGLHIERSPSNSAATLTLCINNFCLVYQIFHSPYLNASLSNYLSNPNNRFVGVGAKEDVKELLKNHDLHLANYVNLHSLAAYVLDDQEMLRGEINTLAERVLRKTVKKPHWVSMSRWDNPWLSAIQVKFATVDAFVSFEIGRRLYSHPCLRKPSSKNISNGFIFRFLKFGTASKLVLLLVLACVIARYNGSFLS >RHN66082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8418420:8419166:1 gene:gene13996 transcript:rna13996 gene_biotype:protein_coding transcript_biotype:protein_coding MEHESGNGSSINPRTNPSTNLTQSEPFISEEKAELSYDHIHFISNATTTNSTTPHTESSTFLPTNDQTNSSMMQKGVNSHLEANNANPFGDFHPSFPNNSETSSLSSSTSQNPFLLLDDHDTTTNIKHVNTTQSENLHDQVPFESSLHQPSTNESSLGNPFQVPTTSTIIDSNKIVEHHDHGNEWLHQDHWTLPSHDETTQPLHASSSSQELDSLFTLELTQKQELDSATDKKHEGTIILINTFSVRG >RHN76270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49048470:49049372:1 gene:gene12565 transcript:rna12565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MNNNNNNIISRNGNGTTQACAACKYQRRKCGTSCILAPYFPHDRQKQFLNAHKLFGVGKITNMIKNVPPHLRDHTMSSIIFQSDMRAMDPVGGCYRFIQQLQSQYEFYQAELHLTRQQISICKATQSQQHQQQFAAVSNHHYNDMHVINHNNNEDNDAALNIINHFNQQHFVDDQLNMNMLPQQQLQLQQQYVVDDVCINPNYVPLQEDLNSWANNINIPLSPLTLEGNNKEEDGEEDQERVGDDQGNDQKPVFDLINEMNSLDTNSSSIDPGHQVCYLVGDLFYFMFSQFRFVNLSFFG >RHN73824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20401067:20402004:1 gene:gene9698 transcript:rna9698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MIYHGAYAGIVGYDLSVQAKQYSMSYIWIESGSGTQLNSIKVGVGADGFKRTGCYNANCPGFVQVNNNKEYTLGTVMRPTNSIGSTEKVASFIKIKQVNLQLLSIH >RHN39423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6994286:7003534:1 gene:gene45444 transcript:rna45444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family METVVEIEDYDDGKLGDSGIDRSSHALSSPKRISNPVVYKLVRVEGDGRLVPATDDEVMEVEDFLEYENSEMRVVADTGQSMECISIERSSSGKLRLECSEGVSQLGTAEADLGKLNAKFQYIEQMLQKVKQEEKLRLSSRSPVHSHVQIDSQCSADKFPVRNEKVLSETPFQEAHSIASSLNYSLSNQSGSIDQCSRPSGGVIESGSSASAVNSALKHDFSMTDGEICLDKLSIKELHELFKVTFGRETTVKDKQWLRRRIAMSLTNSCDVSATTFTVKDNKITRKCEEECSRNVNAAAVISVENMAVQRDIKYNDSNAVGGCEVDNNQVASETRLENLNIEHELEDEDQLREQRAAKRMRKPTKRYIEELSENESREQIPRSSSSNKSTGHMQASPTSYAGPARNAYPETRTFTTRLDSLGGSGFQIPCVTRVRRSRPRKNITSLMKFHPTGTGEAAKLGNKVVSNHGSDADSEIEDKFIKPSSMHVKVHQTSTSESTKEKKQYPVMIGTTDPRQELRPKKIDSSSHATEGNIPTAKGGMRRKHHRAWTLVEVLKLVDGVSRCGPGRWSEIKRLSFSSYSHRTSVDLKDKWRNLLKASFAPTSADEGMNSRKHGSAPIPEPILVRVRELAEINSQVPSNSSSSKFTANGATNGARSMHGDRVGYL >RHN82791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56449477:56452295:1 gene:gene6978 transcript:rna6978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain, KAT8 regulatory NSL complex subunit 2 MNPLPDNPNQQNADKSNPSSPLSTATCLTRPEVLTRRLNNFRRLSNIYKDEYWHLMAELRNAHSEYVAIGLNPFQDEVEEPQPQPEPAVCAFEGCQTRPMPLTPFCFAHILSDPRQVLYKPCEFVIASAQAGHAGSVTCRKPILSTRVPAYCDVHMQLGQMHLTRALQGAGLNIFSASQVQPQLHHLIAEFVRQIQAKRRKVRTEEKCKSVVKKEDGTAEG >RHN70494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51797515:51799002:1 gene:gene19076 transcript:rna19076 gene_biotype:protein_coding transcript_biotype:protein_coding MYKFENTHIEKRFHNNFENHSFSSTLLDQIYRSIDEGDRKVSDMKFYTETTFQKQSKTNAKFNRVFEEQQPYLRGVCKEKEKITTQIDRKLHLDHEIHDQDVMFFSSTSSSSDSSGLLSSSSETESMYKAKSRGGSCFAPSRPKPVKTTVPPERRIIANDEDTLIKSKSRALKIYNNLKKVKQPISPGGKLTSFLNSLFINTKKTKTVSSYEDSNAERKGKPGQASTTCSSASSYSRSCLSKNSSKSRDKLHNGDKRTVRFYPVSVIVDEDNRACGHKYLNKGVTKKNEEVVDKSKKEEEVAREFLREYHLNHKILRDFSMKKNEEVDDDVSSCSSSDLFELDHLDVMGNDRYCEDLPVFETTHVSTNRAIRIM >RHN63540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52058557:52060921:-1 gene:gene26249 transcript:rna26249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LIM family MASFAGTAQKCNTCEKKVYWVEQLTADNKVFHKSCFRCHHCKGTLKLSNYCSFESVLYCKPHFDQLFKMTGSLYKSFEGITRIYRVERSTDQVQAYNKVSRFFAGTQEKCVGCKKTVYPIEKVAVDGESYHKNCFRCTHGGCIISPSNYVAHEHRLYCRHHHTQIFKQKGNFSQFDKIEQV >RHN42165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35993820:35995153:-1 gene:gene48571 transcript:rna48571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase MVMFPCVQNTHFEELLCVENRQEDILSDDSLQDIVGEDSSSEDQMNPSGHKRFEQITADKFQGETSQNNRDEVDEPVAVWFKWEGKWNAGIKCAMADWPLSIVKAKPTHVDEQSKCFVIFSPETRSFSWVDMLLVQSIDKFPQPIAYKTYQEGLQMVQDLGIARQFIMQKLAVEMLYMVDQLHLNALKEDYRNAMVWKQFAMEASRCQHYSDLGRMVQRLQKSIMQQYIKDNWKVHSSESWDERCQSSNSAEIIEQLQEVIYNFGI >RHN43034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42720434:42720961:1 gene:gene49554 transcript:rna49554 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVVSSKYTPPRNRNRSRHVERLKDEYSNGGSSLKPIIRHNKNYSSKHSEVETKKRVVIVKTTTTSVDSAGGGEGERNDDKIQGAAVGDIFDDKSEEEKRKMKIASYEVKVGEGEWPKWLVDNISEDVLATLIRKTADSYEKLGKVSTNTIYTRYIFIIKFYMNQCFKNQTEWN >RHN81626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47633384:47634307:-1 gene:gene5697 transcript:rna5697 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQKKSSVNMNQRPSGFVSMASLTVQLVFGVLTFCYLEITGLGDNFVEKNLIVMRLLLVFTSFNFLIVIFSTLLEHPPIVIESYVPSFICLWLCTVIPVSLFISAVTAIVLSIVWVISFYIARCSVTEQTINYVGVDRNKFYLCMFLLSYGLMSLAVAVCNNVADTHSSRHIYINVSDRILFVDCICMLHIIRPVILQIPPACLMQTVIFLVTSCVVCVSSMVLISVVEAVIVFILWMVAISTAVVQRWDHFTYQMKAVGNAICNDLVQMKAVGNAICNDLVLHMKAARKDDEATRDIEANNFNLC >RHN52465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35599328:35607793:1 gene:gene37148 transcript:rna37148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, ERG MNHFDKDEIPILSETRGQRSDDPQDSTFRRNISRTRSASISIPMASLDPYERQPNLVGHTGPLRTTRKTPVSQMSGPLYVSPATGNTFQNNIMVAGNKVGENKTEKFSTFDGTDKNEHLLRSGQLGMCNDPYCTTCPTYFKVAQQRKPRASTIFDPKFHNSLYGDAKGYGRKFFSFCNSCIPGVMNPHAKVVQQWNKVLAIFCLVAIFVDPLFFFLLYVEEKNKCIRINHAMATTLVVLRSITDVVYLLNILLQFRLAYVSPESRVVGAGDLVDHPKKIAVNYFKSYFLFDLFVVSPLPQIMILFILPTSLGISGANYAKNLLRLGILIQYIPRLFRFLPLLIGQSPTGFIFESAWANFIINLLIFMLSGHVVGSCWYLFGLQRVNQCLRDACHNAKLLGCMELIDCNSKSSNLSVTWSQDQGAKDCFNSSSGAFAYGIYNAAVLLTIETRVVNKYVYALFWGFQQISTLAGNQEPSYFVWEVLFTMSIIGLGLLLFALLIGNIQNFLQALGRRRVEMQLRGRDVEQWMNHRRLPEDLKRRVRQAERYSWAATRGVPEKMVLENLPEDLQTDIRRHLFKFVNKVRIFAHMAEDEPILDAIRERLIQTTYIKGSKILSQGGLVQKMVFIVRGKLESVGEDGIPVPLSEGDACGEELLRWYLEQSSESKEGKKIKLHGQGLTSDRTVRCLTNVEAFSLRAKDIEEVTTLFARFLRSPRVQGVIRYESTYWRSLAANRIRVAWRYRKKRLSKANTTQNDYQTLMP >RHN48767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50060970:50061948:-1 gene:gene43519 transcript:rna43519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MADNKQPQLNGAYYGPAIPPPAQPRPRSHRSRSCCCCLFSFFWKLLIALIVLAGLAVLIFYLVVQPRGFKFYVDEANLTEFDYSNNTLNYNMVLNFTARNPNKKLNIYYDKVEARAFYEGSRFANVDVITHMNSFRQYKKSSNPMSAVFSGQHVFVLDSDQVSEFNKDKSDEVYDIYVRLYFRIRFRLGDLISGDYKPKVKCDFKVPLSSKISNATFTRTKCDVDFY >RHN44072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1444644:1444946:1 gene:gene38129 transcript:rna38129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding, P-loop containing nucleoside triphosphate hydrolase MPEIIITEIESTMDVNPPESAAIIIQASIRGYLVRRALLKSKNVVKLQAVVRVHLVRRHDVGASRCIQAITKMQALFSVPNHNSTMGLGVNIARMKGGEI >RHN82688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55706443:55707021:1 gene:gene6867 transcript:rna6867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRGKITMKYIKNVKLRKQTFKQRKAGLTKKITEYTMKAGATACLIVYDGDDGGNNTGPMTWPEDHTMVNSMLQEYVHQKIENTPKIFDVNDYFEIKKAKVKAEIIKAHKDIIDIKYPTWHQDLVNMEASQLRDLSAVIDAKIQACNHKINMFKSEMVNENVVLNPSPLSVIYSIPQMQQHINYNSEIGRYD >RHN66712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17161057:17162699:-1 gene:gene14771 transcript:rna14771 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCGWAASFCYSFKLQLCGLVIGCCWSSFGLDLLQDVATTKDYAEVSQARTKEDKQASLQFKVLKK >RHN61381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35305162:35308011:-1 gene:gene23842 transcript:rna23842 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase 16 kDa proteolipid subunit MAPFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >RHN61713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37739348:37751777:-1 gene:gene24202 transcript:rna24202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine--tRNA ligase MTEDRKAPKLPVEGKRNVLITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKALEENCSPKEICDKYHAIHKEVYDWFNISFDEFGRTSSPEQTEVCQAIFKKVHENNWLSEDTLQQLYCDTCKRFLADRLVEGTCPIPGCEYDSARGDQCENCGNLLNPTELKIPKCKVCRNSPRICDTDHLFLELPLLEDKLQKYINEMSVVGSWSQNAIQTTNSWFKMGLKKRCITRDLKWGVPVPHEKYSDKVFYVWFDAPIGYISITAGYTRDWEKWWKNPENVELFQFMGKDNVPFHTVMFPSTLLGTGQNWTLMKTISVTEYLNYEAGKFSKSKGIGVFGNDAKDTNIPVEVWRYYLLTNRPEVSDTLFTWSDLQAKLNTELLNNLGNFVNRVLSFIAKPAGQGYDSIIPTVPDDVSGDSHDPTKKFANKVAAYMEQYIESMEKVKLKQGLKIAMSISGEGNAYLQETEFWRLYKQNLSLCSLVMKTAAGIVYLLACLLEPFMPSFSLEVFKQLNLSVDTHLSLSDDKGDVDRVKRPWDLLSAGHKIGTPKPLFRELKDEEVEIFRKKFEGSQADRVVRAEAEAAENVAEQLKKTKVSDGTEKKKTAAKASSSGTKNKAAAEPEITITRLDIRVGLIKKAEKHPDADSLYVEEIDVGEEQTRTVVSGLVKYIPLDEMQNRKVCVLCNLKPATMRGIKSQAMVLAASNADHTKVELVEPPSSALVGERITFPGYEGNPDELLNPKKKVWETLQVDLHTNEKLEACYKDIPLTTSAGICTVPSIGNGTIR >RHN75157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39846095:39848799:-1 gene:gene11319 transcript:rna11319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MIYYMDSLPGGHPNIDVVRKKFMNAMCICRSLNPKLKSKSSIIPWKKIKCPIQTNGIDCGYFVMRFMKEIILANQDMIPENYFGDYKCKTYSKDKLVEVEEDWATFMVEYLRDYIAQRLTL >RHN43342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45032778:45033348:1 gene:gene49899 transcript:rna49899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MENFFQNLKRRVRESILTGGGGVVNVERDSILTGGGGGSDVERNPISSQDFLDKDMFCCRPREVKWSRYRPGINIFALLLVHNHNKKENANLKFVNVVNAEFRRCGAMGYHITLKAKDGENVNVYDTGIFVEAWMDWKEMLILHELTLSHNQLLD >RHN82662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55527040:55530009:1 gene:gene6840 transcript:rna6840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MKVSMTETNSTKTCSSHWKFIYSLADKVKRFPGLVRREIWKVGKDDPRRILHSLKVGLALALVSLLYLMEPLFKGIGSNAMWAVMTVVVVMEFTVGGTLSKGLNRGLGTSLAGLLAFFIEYLADNPDQIFRAIFIGAAVFLLGAAATFVRFIPYIKKNYDYGVMVFLLTFNLIIVSSYRVDNVLKMAKDRISTICIGVGLCLVMSLFVFPNWSGEDLHKSTISKLEGLANSVEATVVEYFSDSKKQANEDDSSEDPIYKCYEAVLDSKARDETLALQASWEPRYSKCCQRIPWQQYAKVGASLRHFSYTVVALHGCLQSEIQTPRSIRDLYKDSCIRLAQEVSKVLRVMANSIRKKHQFSLQILSNNLNEVLQDLDNALKSQPQLLLGSRNSRSRTPKISTKATAHNDNRLEEETRLSLSSIKSDCCSPVGSKSKEHSREQIKEGQRQKKVLRPQLSKIIITSLEFSEALPFAAFTSMLVEMVAKLDHVMDKVEELGRMSHFREFRDGDDDENIVVTCERPKMNIVDNDLPSYGAE >RHN80579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39170641:39171945:1 gene:gene4519 transcript:rna4519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rossmann-like alpha/beta/alpha sandwich protein MAQEVILVVVDVSNEISSDYAMEWTIQNVTKSDDFIILLVVLPRPNLYQRLKSLACYFLSCGGNHSKGNSFDQSKRDALQQTIYEYVHKMQKLCLANNLMQVHFKVKVIVDAEVGSIATRGKETEASWVILDRFHKKEVGHCIKQLNSNVVLIDHAIPRIIKAVIPMTREKFSRSKSQSKPTEISIPYILDDKIATSPSSSDFRSSTFRTYSFSLPATDKEYHCKTNPCNINHSHDFIYLNSEYFDEDIKMSGSNIDELNRKSVISLPQGEAEIVQWII >RHN63120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48620989:48622722:-1 gene:gene25780 transcript:rna25780 gene_biotype:protein_coding transcript_biotype:protein_coding MICLRLYPKNCGMVLLSSRRIHPGFVIIIYRVLKTLDKPTLEKPTPSLDRGAFISRRGNDRFENQLVDNFGVTPVTLFLF >RHN81287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44580287:44585355:-1 gene:gene5303 transcript:rna5303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [NADP] MALTQLNNTYSKTQLHSSSQLSFLSRTLPRHHHCTLAPLRRTQHARISCSVAPNQVQVPAVQTQDPKNKPDCYGVFCLTYDLKAEEETKSWKKLITIAVSGAAGMISNHLLFKLASGEVFGPNQPVALKLLGSERSLQALEGVAMELEDSLFPLLREVIISIDPYEVFQDADWALLIGAKPRGPGVERAALLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNARIDGLPVKEVIKDHKWLEEEFTEKVQKRGGVLIQKWGRSSAASTSVSIVDAIRSLITPTPEGDWFSTGVYTSGNPYGIAEDIVFSMPCRSKGDGDYELVKDVIFDDYLRQKLAKTEAELLAEKKCVAHLTGEGIAVCDLPGDTMLPGEM >RHN42812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41189538:41192768:-1 gene:gene49304 transcript:rna49304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I, cationic amino acid transporter MAVGEVVDGGGVRRRGCTFQKNDFFPEESFKSWENYGKSVMETPYRLKDRLLKRSSDHAELVEIKARSGNEMKKTLNWWDLMWFGMGAVIGSGIFVLTGLEARQEAGPAVVLSFVISGISALLSVFCYTEFAVEIPVAGGSFAYLRVELGDFVAFIAAGNILFEYIIGNAAVARSWTSYFATLCNKNPDDFRIIVHNMNPDYGHLDPIAIAALVAITALAVYSTKGSSIFNYIATLLHMAVIIFIVIAGLIKAKPENFNDFTPFGLHGMVNASAVLFFAYVGFDAVSTMAEETKNPGRDIPIGLVGSMTITTIIYCLLAATLCLMQNYKELNVDAPFSVAFSAVGMDWAKYIVSLGALKGMTTVLLVGAVGQARYLTHIARTHMMPPWFAHVDERTGTPMNATISMLAATAIVAFFTDLGILSNLLSIATLFIFSLVALALLVRRYYSSEVTTKGNQVNLIVCLVLIIGSSIGLSASWANSEHGWIGYAIFVPLWFLGTGALWLFVPMAKKPKLWGVPLVPWLPSLSIAINIFLLGSIDRKSYIRFAIWTGFLLVYYVLLGLHASYDTAKEFDQSKHSSGSDPEVEKQLNKVEQGAVKQDSSDA >RHN54208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7796938:7797486:-1 gene:gene29208 transcript:rna29208 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLFLTLIAADFGMMPRGPVKGSGPNPVFPDSPPPPRTSTNLNFGMLPKGHVPWSGPSRGSSDSPPPPRTPANLNFGMLPKGHVPWSGPSRGSSDSPPPPRTSTNLNFGMLPKGHVPWSGPSRGSSDYPPPPRTPTNLNFGMLPKGHVPWSGPSRGSSNSPPPPRTPTNLNFGMLPKGNVP >RHN57012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35746170:35748642:-1 gene:gene32495 transcript:rna32495 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPFTTEFLPRTLNPFSPYNKFSFFKPFNKSQTLIKFQPYKRFEVVSLAQNAKPESEFGIFSKNCDVFSRRDVGCYAGRSKKTGGASSSGGRLEGNADFRRRMKRNARVKSKKLAESLFYRLKNPRGRGNYPDNFSEDLLQQIGLGYDRMVRFMEKDDPNLRHPYDWYKYGEYGPYSWRGIVVGEPVSGGITDECVTIINEVKDHEEWEEIEKSEMAADFGKKVKQLDQTKLRYFWVFIRHPKWRVSELPWQQWTLVSEVVLEAGKQRLDKWTLMGRLGNKARSLVAQCAAWMRPDIVYVKRPVFQCRFEPQDNFFNAIIPFLDPRTEQDYLCQLENDDGSVETCTYYGGLCKLVKVNQKAFVDDVVNAYQKLSDEKKSKCLEFLLGHHPVQILHPYTKEWKAKLEEMELGCDAPDEEDDDIVGDNDTEIIDWIEDEGSDGDAEFDDGGEFDDDDDDQEMEEEDEEIDNFDINADQDLVTDMEESKDGKFHAMEEDVKGWKEFRKATNSAEAIENMARKSVKLSTELYKKQMMTADAGEETTRSVDGDETVLRGKRAKVSPEEWKYVGVGPWRKKIKKSKLPPDLFLRAAVRPFNYRNLVKEIVLTRHAILDGDIGRKE >RHN52777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38676706:38680288:-1 gene:gene37482 transcript:rna37482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MTMKNNKHQQGTIALPYLKFFNAQLYFINFFGHILVFGLGLLIGITLTFCLKNVSFNFQFQQFQDPPFSFNPSSHFSHSPPISSNLSNPSNDHNQTNISINEKSLVITSNGLEEFLKIPMAMHDMNEEELFWRASMIPMIHKPPFKQIPKVAFMFLTKGHVLLAPLWEKFFKGNEGLYSIYIHPNPSFNETVYDQSSVFHGRRIPSKEVKWGENSMIEAERRLLANALLDFSNQRFVLLSESCIPLFNFSTIYTYLMNSEKTFVEAYDLEGAVGRGRYNYKMSPLIKLSQWRKGSQWFQIDRSLALHIVSDKLYFSMFKNYCDPPCYSDEHYMPTMVSIKFWKRNSNRTLTWVDWSKGGPHPSKFFRQHLTIDFLERLRFGSTCEYNGKTINVCHLFARKFTPHALDRLLRFAPKLMQFN >RHN69396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43126946:43128232:1 gene:gene17861 transcript:rna17861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor EIL family MVVISEEIDPYGAEAEGTEEVTETETESVDYEELKKRMWKDKILLQKLKEKQENNTEPEQQAKQEASRRKKMSRAQDSVLKYMAKIMDVCKAKGFVYGIIPEKGKPVSGSSDSLREWWKDQIRFDQSAPLAVAKYLPLLREDEHFNTIMADPNSYIHLLQDLQDSTLGSLLSALMQHCVPPQRRFPLERGISPPWWPTGSENWWGEQGLLAQEQGPPPYKKPHDLKKAWKVSVLAGVIKHMSPDLEKLRKLVTQSKTLQDKMTARDSATWSKVMNQEEALLGVTDKCHKLTISEEGESSGGSSSSSSNNRSEKRKFVFDVGGDDVEGPQSKLPMAMSLTHRVPKLLDCDARIENVDDWLKMGEIEGGKFGWDVEHWLNDVDDHEFEAALEMVKGNNNMDFTQNQEHNLHGQEEEKSIWDFRYQYPSQD >RHN71116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56564773:56568770:-1 gene:gene19761 transcript:rna19761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine-nucleoside phosphorylase MREILHVQGGQCGNQIGSKFWEVICDEHGIDPTGKYVSEGGNDTQLERINVYYNEASGGRYVPRAVLMDLEPGTMESIRSGPFGKIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYVSLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQIINVQNKNSSYFVEWIPNNVKSSVCDIPPKNLKMSSTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDAIAEEEDDYEEEEGEEHFVEQ >RHN40637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18693256:18697482:-1 gene:gene46817 transcript:rna46817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MVDQLMDNPFLSASRGIGDSGVRRGWDAKETEDCLLLRLDMPGLGKEDVKFSVEQNTLTITGGRSHDWTNSESEFLSSFLVEAIPQYHFHS >RHN51766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24697544:24698746:-1 gene:gene36284 transcript:rna36284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKNITRIRLFDTSIEKLPVSFQKLTGLLNLIIIGNGMLRLPSSIFRMPALSKINFEDCLLPKKNDKLSSMVFTSRAVDIEYIELIKCNLSDKFLPILVMWSANVKTLDLSGNNFTILPECMKDCRFLWFLKLDDCKCLREIRGIPPNVKHLSAIRCKSLTSSCKHMLLNQDMHEAGQTMFCLPGFARIPEWFEHQNMGHTISFWFRNKLPSTALFFSTKSVATSRTNNFDIDIPTLIINDNINGFPVLMIDFGLMSTHHIYLCDMKLGFTQIHSMEKIILENEWIHAEVTCEHPKVEPLTEIGIHFFKQKNNMDDIQFTNPYEKIKLNDNDDDGDDVFYEVDDVLDDDNDGVFYDVDDEDDHHSQ >RHN58982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6386758:6389594:-1 gene:gene20959 transcript:rna20959 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine-nucleoside phosphorylase MREILHIQGGQCGNQIGAKFWEVVCAEHGIDPTGRYSGTSELQLERINVYYNEASCGRFVPRAILMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMLGFAPLTSRGSQQYRALSVPELTQQMWDSKNMMCAADPRHGRYLTASAIFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEEDEYEDEEEDYQQEHDEM >RHN52216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32768374:32773627:1 gene:gene36863 transcript:rna36863 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEHRIAQVEIAKQRCICVIDSIQQLPSSSNITHSCKRTLLKLARADLAFLSRTSSSSSSSSSPLSVNIGHLEAVVHILQQPFISGVSRVCKSIPLSPSVSREERHSSSLKDIHVDVVCILNGKPVWIIVSDRNPKYISWNECHKSKGLKLRIQQVLAAAKSNLTLRPSSVIIFFANGISSNVYDKLRDEFGASEIQLEFSVFSSNMLEETECDWINVIARSYRDARVLEINVAGDKDVFLNSGCSVEGSSVNSSQVEFSVEKPETRLHLLDENTINGGSSQLECSIDKAETRPQLIQEGIETKLGDTFCSVITRMKLSSLDDSNYESTGPTNLLDESDLVNFDTTALIAFVSGISNGGTEKLLATPEIELRQRFKGNFDFVIGQIMSELQNPIHVEFGKVLCGKLGIICESVLSEFKELVLMCGGPNEKLRADKLINCLRVVSDTPSERMMGLPTTRKLALKNKVVFGTGDYYRAPTLTANMAFVRAVSQTGMSLSSIEHRPRALTGD >RHN54939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13740232:13747587:1 gene:gene30043 transcript:rna30043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WWE domain, poly(ADP-ribose) polymerase, catalytic domain, RST domain of plant MEANSGKASDRVVLNLKRKHASRHATCPNGALRPVLPQWASLVSPRNKVVKQMKLNSYKSKPTKSETHIRRSWVRYYMNYKKSGRPERLMVYQNGEWKDFPRNVVDLVREDFNAKKGSVEVELHGHHLVLNFLHMYQMNLKSGLQRPIAWIDETGCCYFPEIYDDSDEGPYDPCNQDSGESQESLFQDPNDIKLHLEIEINGVDASKLGESTGESNVIVKHTQIDAKQNDLEIEDSSNNMGNGNVGEAVEQNKNIVGSAVVYVRLDLDTVQKMFLKGTSSFGSADIVEIYPFSSTMMLSRLELFEKQAEITKNCRGDANIQYAWLASSKGELSTMMKCGLGHCGISTSKCTHGFGVHLAAATFPFASASHCDIDENGVRHLVFCRVIMGNMELLRPGTRQFRPSSSDYDSGVDDIHNPRYYIVWNMNTNTHICPEYVVSFKVSSATEGLLLGSKTKNNIFGVNSASRSPKILSRSESSTVDTGIASGSRRGPTSPSMPFPVLIDALKNKVSAKDMEVINMHYLQFRAKRMTRDDFAKKLRLIVRDDALLRATIVGLQSKMPPQPSRVIKTEPY >RHN79488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29487421:29487969:1 gene:gene3283 transcript:rna3283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor OFP family MSTSKKKLTLNTVSINLGCGTCTRPKLGFIFNTKPKHKNPTYQNHKRHHNSSSSNSTSTIWKQKHRDTIETSTSTTNTTPTTNTSFGSEKSSLKGFGRAGNEGVAVEKDSDDPYLDFRHSMLQMILENEIYSKDDLRELLNCFLQLNSKEHHGIIIRAFTEIWNGVFSVKSGFSSGFHLNRN >RHN49189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53175844:53177202:-1 gene:gene43995 transcript:rna43995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MGIMDQMDKECCSYDEMGVIKKFCADCKTTKTPLWRGGPNGPKTLCNACGIRYRKRRGCCSKGQERERKREKAEATSSDNDDLSECLKMKLVALGEEFLLQKKQRMIKLGEEEQAAVCLMALSCGFVFA >RHN68529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36262918:36263912:1 gene:gene16893 transcript:rna16893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol synthase MEKTQRVQNVASLSNETIPAEFVRSEKEQPGNSTVRGTQLEVPLIDFSNPDEEKVLHEIIDASCKWGMFQIVNHEIPTELITKLQSVGKTFFELPQEEKEQYAKPPDSKSIEGYGSKLGNDIDNKRGWVDHLFHKIWPPSDINYRFWPKNPPSYREINEEYGKHLHGVVDKLFKNLSIGLGLEGHELKEYAGGDNMVHLLKINYYPPCPCPDLVLGVPAHSDMCFITLLVPNDVQGLQASRDGQWYDVKYVPSAFVIHIGDQLEVYIHVLHSCIVP >RHN48759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50017918:50019873:1 gene:gene43510 transcript:rna43510 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSVRPVKHLIVNVEDEDDESGGEDMNHYESGKDVYAEYNRYDPRIKDLLNNDSAFWPDFMEGSSGQVPTQGVRATQVSSQGDEATQVPARRVEVNQVLSQGNGTKKTAFTPKVAKKIVKEKRKGRQSGGATKLSNQIDALISNTSTAVELLNSDDSSGKHDNANSTVAAAITVINRMVVENNLEKGSALWCFAPTLIENEVRRDIFMNIEDDDGRKSWLMYMQANQK >RHN75359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41582963:41591788:-1 gene:gene11544 transcript:rna11544 gene_biotype:protein_coding transcript_biotype:protein_coding MEARNPSPSPSPVRVLIRPPPSSSTSTTTTAPSSSAPPPPPSTDNVVVIGFISQQHDDSTHLLNRVIDSNVFASGNLDKPLLVEDEEAKEWFKRRRISYFRDRDKGILFLHFASTRSSFVHDSPSPGFDSAVEECEFSDLQGMLFMFSVCHVIVYIQEGSRFDTRVLRNFRVLQAAKHAMAPFARSQGGAPGLPSRVHSSASLSSRAASSRNNSSPGRGGGNLNRNASAVSLMSGLGSYTSLFPGQCIPVMLFVFVDDFSGLSNSSTNGEESSDGSSINHSSSLSSVAKTSLPATKGSGSVVVLARPASRSEGGLRKKLQSSLEAQIRFLIKKCRTLSGSEVTHPGVRAGGSSTSAALFSLDASKAVVLLDRLSIQKGESLEFATGLVEDVLNGKATSDSLLLESHGQIASKEDLVSVKEFIYRQSDILRGRGGIVNTNSGSGVGMVAVAAAAAAASVASGKTFTAPDLPSFETWLTSSLHILSRVLCAKGGCLDEFEINKRKPRQRNTVSPSVEESLKSTDPLDVAVSWLQCGRGLNTKFSTLWCQRAIPAAKEIYLKDLPACYPTSQHEVHLDKALHAFRSMVKGPAVQLFAKTLEEDCSSIWKSGRQLCDAVSLTGKPCMHQRHDVEDSNSELGTLPKAHSSGYFFLHACACGRSRKLHPDPFDFESADAGCFSDCDKLLPAVKLPETEVAGPVQSSSWSVLRIGGSRYYESSKGLLQSGFCATEKYLLKWTIYLEKQKRLNGSTESIGKQSSVIGGPNVEYIADRKRTGDGQSHPALQNGDEDLRTSLDINRTDDKNISFGRGFPIFKMRKPFSEVVAGSAAVDSGFPPLQQRKLPTLGSEKGVKQSRPSNQNAERVNATINHQISQKSQDMSFTEGPLHGNGNNGSRDGDPFLRIGSNVLPVYLDDGTRNKPHSSLKHETVYVGFEHECPRGHRFLLNADHLTELGSLYSSSDESHLSSSTEPVERNQASHTKVSKNASWSKVHRSSNEILSASINKERDVGKSNEIIPNGHLNADGSVYTSTPLKEKNMTSVNILAKAPNLMKDSGGDLHTINMGGDELAFSMLNRNLPIYMICPHCRRSRNKKDTVEVKFASGISQLKRIFMVTPAFPVILATCPVVQFETSCLPPSVPDREKKLQFSLGFPVILPPDSFLTLKLPFVYGVQLEDGNKHPLNPFEQQPEMTAWITKGTVLQILSKGSSDEGYQAQ >RHN77637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8319308:8322262:1 gene:gene1110 transcript:rna1110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MKFPIFTTIILFLTMLFAIFSKTKAILKLPPNASFPAVFVFGDSIMDTGNNNNRPTPTQCKFPPYGKDFQGGIPTGRFSNGKVPADLIVEELGIKEYLPAYLDPNLQPSELVTGVNFASGGAGYDPLTSKIEAAISMSAQIELFKEYIVKLKGIVGEDRTNFILANSIYFVLVGSNDISNTYFLFHARQVNYDFPSYSDLLVDSAYNFYKEMYQLGARRIGVFNVPPIGCVPFQRTVAGGITRKCVQHYNDAVVFFNKKLSMKIDSFKQNFPSSRIVYMDVYNPILDIIVNYQKYGFKVVDRGCCGTGEIEVIFLCNHLEPTCVNDSDYVFWDAFHPTEAVYKILVALSLQKYMYNFI >RHN53378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1297667:1298413:1 gene:gene28279 transcript:rna28279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVLNMVNLFVYVIIRWASIATHLPGRTDNEIKNFWNTHLKKKLIQMGLDPVTHKPRPDYIDLPSYLQQIILKAANIVANCDINDLRSQYSDAKFHLPQLMSTTNDIISPSSDSLPQESLIKDIHSNNYLQNLYDGSNIGFPSQIIQPNLQNFEAPIEQLRPIQECEYSKKSDEQIDSTYVSSTIISSNSLPKLIPVSPQRLVPVKLDEENIINANDRCCDPSSSTTFETWGDFMNEEANDTYWKDFIE >RHN62813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45998095:46000146:1 gene:gene25427 transcript:rna25427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MKGVSKHLTLLRTTWLRSCSYVSFQPNIAPETSSLLNHCYNRDLPRAMQILDTMEKRGVFADAIAYSELIKCCLAHKAVREGKRVHNHIFSNGYRPKTFLINTLLNMYVKLNLLEEAQMVFDKMPERNVVSWTTMISAYSYAKLNDRAMKLLVFMIRDGVMPNMYTFSSVLRACERLCDLKQVHSGILKAGLESDVFVRSALIDAYSKLGELLEAVGVFREMVTGDSVVWNSIIAAFAQHSDGDEALALYKSMRREGFPADQSTLTSVLRACTGSSLLELGRQVHVHVLKFDQDLILNNALLDMYCKCGSLEDAKFIFSRMAVKDVISWSTMISGLAQNGFSVEALNLFDSMKVSGPRPNYITILGVLFACSHAGLVNEGWGYFRSMKNLYGIDPGREHYSCLLDLLGRAGKLDEMVKLIHEMTCEPDVVTWRTLLDACRAQRNVDLATYAAKEILKLDQQDAGAYVLLSNIYANSKRWDDVAEVRRTMSARGIRKEPGCSWIEVNNQIHAFIVGDKSHPQIDEINRKLDEYIRKLTDAGYVPDTNFMLKDLEGEQSEYSLRHHSEKLAIVFGIISFPREKTIRIWKNLKICGDCHIFAKLIAKLEQRHIVIRDPIRYHHFQDGVCSCGDYW >RHN64252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57671493:57672806:-1 gene:gene27048 transcript:rna27048 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YB16 MMDNNVGGSSFSNDENGGIIKEQDRLLPIANVGRIMKQILPQNAKISKESKETMQECVSEFISFVTSEASEKCRKERRKTVNGDDICWALGTLGFDDYAEPMRRYLHRYRELEHALVDLLNLRSLDFVYLELKVLNLFTSEYIHHPDLFFYRSSQQDLRLQHYAADFQSRPKGQATQARYWGSNPDHGIRPNNFGILPVELGLLDTQARALSLKILS >RHN60656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29168039:29168770:1 gene:gene23006 transcript:rna23006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MNLPVHNKIAATLPGISSVMGGDKRMESVHQATSSKKPRGRPIGSKNRAKAPIIVNENIDNLMELISIKIPKGNDVVETLINLSLYRQAGITVLSAYGLVSNVTILNPIFGVPNFPIEGTYQMTSLHGTYLKATHGRVPPQLIAEPTFSSFSIYMKANYGNYVFGGIVAGKVKAAGAVFITAALLKNPEFHRVAVFNGGVTRTIDDFAPNVGVMGPDSAHRNQQMLPLPTDVNGMHWDISPST >RHN47460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39930808:39932907:-1 gene:gene42067 transcript:rna42067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal-recognition-particle GTPase MSNEILINKEVRKSCFSEIMLAFAHSFIEPVFTRDIRAKIKNILYNHLDLHDLATAHKMIQQIVFGGVCKMLDPAGNNSSVVTFACCGKTTCTQYANYYQKKGLKEGVVCADTFRDGAFDQLKQNSTKTNIPFNGRSETYLRVYYKVGDRLGRAGFCKIVVEGVERFKEENYDLISVDTSGGHKQEEDALFEEMRQVSKPDIIILVIDGNIGYDAFDDDATKSPVIFIATGEHMDEFEAFEVKSFVSRLLGMGDWSEFMDKVYEVPELPQNLPERKIRRPSLAFFPPSLHNFFDSDQDHPRDFTLFISNFISVF >RHN61659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37247082:37250637:1 gene:gene24146 transcript:rna24146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLRMKLFSDNLTSIPQKNIRPFLSQIFFTTQQHFPEKIPSTPNFPEKIIISPESQPSEKKNRFLVTLLSHKSNPKSALKFFHQVERKRGFVKTVDFISLLIHILSSNSKTCSSLQFLLNNYVFGDATPSAKVFVECLLECSGRYGFESDSRVFNYLLKSFVRVNKITDAVECFRTMLEHDLVPWVPIMNNLLTAMVRRNMVCDARQLYDEMVERGIYGDCYTLHVVMRACMKEGKFEEVEKFFKEAKGRGLEVDAAAYSILVQAVCRRLDLNLACELLKEMREFGWVPSKGTYTAVIVACVKQGNFVEALRLKDEMVSVGLPMNVIVTRSLMKGYCVLGDVNLALQLFDEVVEGGVVPDVVIFSVLINGCSKVGDMEKAYELYTRMKLMGIQPNVFIVNSLLEGFHEQNLLEHAYGLFDEAVEHGITNVVTYNILLKWLGELGKVNEACNLWEKMVSKGITPSLVSYNNLILGHCKKGCMDKAYSMLKSILERGLKPNAVTYTLLIDGFFKKGDSERAFVVFEQMMAANIAPTDHTFNTVINGLGKTGRVSETQDKLNNFIKQGFVSTSITYNSIIDGFFKEGAVDSALLAYREMCESGISPDVITYTSLIDGLCKSNKIGLALEMHSDMKYKGMKLDVVAYSALIDGFCKMHDMESASKFFTELLDIGLTPNTVVYNSMISGFIHLNNMEAALNLHQEMIKNKVPCDLQVYTSIIGGLLKEGKLSLALDLYSEMLSKDIVPDIVMYTVLINGLSNNGQLENASKILKEMDGNNITPSVLVYNILIAGNFREGNLQEAFRLHDEMLDKGLVPDDTTYDILVNGKLKVSHTLGGS >RHN44564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6255417:6257043:-1 gene:gene38699 transcript:rna38699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosylmethionine decarboxylase MTMTNSAIGFEGYEKRLEITFFENGFFSDPAGLGLRALSKDQIDEILKPAECTIVDSLSNDCVDSYVLSESSLFIYAYKLIIKTCGTTKLLLSIPAILKLADGLNIAVKSVRYTRGSFIFPGAQSFPHRSFSEEVAVLDSYFGKLGSGSQAYMMGNADKSQIWHIYSASAKLEASPEAVYGLEMCMTGLDKEKASVFFKTEGSSAGLMTKNSGIRKILPKSDICDFEFEPCGYSMNGIEGSAISTIHVTPEDGFSYASFEAVGYDYSEKSLNELVGRVLACFYPAEFSIALHIDTNGEKIDKFPLEVKGYNCGERINEVLGEDGAVVYRTFVRIDGCSSPRSTLKCCWSEDENEEEVKEI >RHN45006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10670279:10671344:-1 gene:gene39199 transcript:rna39199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MADRTIVLTMVDESHARPGSLLEVLLQSFKSGLDTQRFLNHLVIITMDPQAFEYCRLLHPHCIHPSTFEPYFSTKRQFITSPDHNVFSWRRNNVLIDVIELGYHIIFTDTDVLWLRSPLEKFDKLYELSISCNFSSAEERAHSMQEGGIFFMKANDEALEFMKHWRLKKFLYTSSNVEESLCATVSKNQDIAESYGFFVNEMDTNHFGGFCQVNNHMFETAYTIHANCCEDLRSKVHDMKIVLQDWIRFRNGVQKNNATEKVALRWPQKCIG >RHN62942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46914790:46918462:-1 gene:gene25582 transcript:rna25582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MASSQVEIASFDSTPTWVSSVAKKNKPGSLNFNKKFPNVFGDKNDSSLSALVSPRHSKIIDRWAARQAQEVAKNLEKNNENVVVKRFDNFPSRSSSFNSRRGSVSPPRSDGSSESEKTFNIGASSLVQMWEKRLNNQSNCTKQNAPSYVRTNSDASSNEINASSVEEPEKGESSDEAYSYGEYDKPRLSIDETCSPKVHYNLDAFKSEKIKVADIIKKLSVTNDENDHEQSNSATGSPCISTPKQLAEHRGFGQVTSHPRIRGRQAFNDLIMQFECDRHGELNNLAERGAVSKFTQRGRIQSLLRLRLLQRGVAAFDPSSLKLTTLEGNKKQSTTPEGNKKQSTTPEGNKKQQGSVIMQLRERFNTKDEQKTSSLIEVSEPRSIDRETINNTAQLNKFHTTNQLNKEIVCSKIVTNIHTKKNKLNVVSQTRADIKEEARIIYGFDPQNHGPKEIPVELSSKVDSNANDITDKVEARDQQLYDTIETNYYNEMLEEKECSDYNYDEISASYDWASPISRPRSYWEELRQEWYKEMLDFASDNDERRKLLERKTVSTVLSSDFREKMDKLMESHVGTQTHLVNNQCDEEDEEGNTKQLMAFYHDRLRDTREKETRSNEEEMDEEKVEEHEHESISSGSDHELGDCQSSSSADTPSSATWSYRDTDIGDDSDRVAFVSSPLPSQSQSCYEDSRENSPSANQRSIEMEIIYGLRGQMEQLFQEISELRKTIKNCADTQMQMQLQQSQNQEVHKFKDKKSSNKTSKKGMCCICNENKVNSVLYRCGHMCACLNCANELQWKSGKCPICQVAIIDVVKVHTNI >RHN56191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28611668:28613251:1 gene:gene31552 transcript:rna31552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MARIQRKLFPTEPTTNQTLDCYGFCDPSCPSNCYTNTNYYFSPPPLEHTTQVNHVSSYFIILISLFSLIFIIIGFYVIKVKCYNEMCGWRINNSVRSQTENSEEFLNENQVDRDHNRDHPVWLIATVGLQQSIINSITVCKYRKNEGLIEGTECSVCLNEFHEDETLRLLPKCSHAFHISCIDTWLRSHTNCPLCRAGIVSNNVTPEVTIPNSEQENNILGRNQETHLENPRNDQEDVLSNNIVINVTFETRVETTGESSDESNYKEQIIDDPTVTNDEIQIEMGLVSTEPGSYHQISPWKLITEKNSKSTRRSPIEERLHVKPIAMKKFFPLHQRKGFKKRYVTAVWSTTS >RHN79059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22015980:22016271:-1 gene:gene2755 transcript:rna2755 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFAIDKIFVFHLFHPTSKTYGLHIQYIFTKLILYILSYLYSSSFLENSIIVYIDYFSMRF >RHN72557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8610271:8611932:1 gene:gene8283 transcript:rna8283 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRQQRAFKVLFWIMGICLTCYLAGPPLFWALNDTLSSVSSSCPPCHCDCSLQPLLSIPEGLSNNSILDCMRQDPEVSEEAGKSFMDLLHEELKQKEAEAERKQRHADILLLESKKIASQYQKEADKCNSGMETCEEARERAETALENQMKETALWELRAQQRGWKPTKEDGILP >RHN39061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3847617:3850496:-1 gene:gene45053 transcript:rna45053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like, NADH dehydrogenase [ubiquinone] (complex I), alpha subcomplex, subunit 2 MAWRGNLSKNIKELRFLMCQSSPASSSARAFVEKNYKELKTLNPKLPILIRECSGVEPQLWARYDLGVEKGIKLEGMTEPQIFKVLEDMVKAGESFKA >RHN51428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17646896:17648056:-1 gene:gene35860 transcript:rna35860 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSNQTIKINTNKSYVLKERTVTVPLERLDVQIESPVDFDSLKRNGVDIQGFFKTQNMLEFFKMLNLPSYMNLVKDFWVRAEVFDRRSAEEEEEELIKKNPELKGKSRLEMGLRPFRGVEIRSSMMGMEIIITEETITKACRCENDGLFQKDAVKTQWTDKINAVLYNGNPKGKKADLSTVHRMLLKIMNDCIFQKGGGTDYSSFDHKLVLCCLATCKKVNIPKYILHHMCWALKESQNNLRRQIPFGRVLSEIFVKGKLLEHLRNSEVSSDDELGTVVGKIINGKTLQSMNIIKAFKSDEKDLKPEFVESELMRDFSPISREDNSEVLYQFIKAHFEDSGKIISIASIPEKMGGAPLKVKEKRSRIIKSADAAPPKSKRSKS >RHN73926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21701308:21704579:1 gene:gene9826 transcript:rna9826 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAKKRKAAKKKKEIESTTSSNNPQQQQQQQQGENELKSIDEKGSDGDSPAYRNHGDDQNNQFNEGSEEVEEIEVKPSAAAVVAKSSEEKVGEAEGGKEGVVEIEWDMKSEESLEKIDSPKDLNYGSRKNNGGSNDVIETGTAKNSKDESYNNSVAEVSDDLVKAVTSVTEMQSGGTVNDILEKPLGSRAAETDLAVKRNEDKVHVLPDEVVRISSLKEPETREFDGKVSSSVSQSSIPEATKDVENVKGSNAAESSENQPPVAPLMVHKTSWLSCCGLFDVLSGSSR >RHN75072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39189821:39190120:-1 gene:gene11224 transcript:rna11224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal RNA-processing protein 14/surfeit locus protein MHGKRKVFKNKELERAKKLEEVKKNDPEKGEAIAKKEAWKAAMKRASGIKVHDDPKLIKRSIQKRKKRQQKNAVKWEERVQTRDQLKSEKQLKKVSKYS >RHN41366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29517700:29518014:1 gene:gene47682 transcript:rna47682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MGLPNYRFVNDALSLLYFIFFIVEGNYLLLEDGVWKEILSLFDEKWFIDIDIDKAMQRVLKRHISIGKPPDIAKQRIENNDRINGELIMKSKKNADIIINSVDF >RHN51958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29178915:29182906:1 gene:gene36541 transcript:rna36541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKNMAQFLLFIYSLIIFLSLFLGEAWFKRTETGEIIWVVRCVTDTDCPKMGEPQYFKCLNGVCLEHIRELP >RHN53849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4687207:4689327:1 gene:gene28806 transcript:rna28806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MEPLRLLLILITILSNIHTLRGSELLVHEYYKEKCPLAEDIVRHNVAVAVLKDPRLAASLLRLHFHDCFVMGCDASVLLDSVEGMTSEKQAGPNVNSLRGFEVIDKIKYLLEKECPLTVSCADILAMVARDAVELRGGPRWEVWLGRKDSLESSFSGANLFIPAPNSSLETLINNFKQQGLDIEDLVVLSGSHTIGRARCLSFRQRIYETKQEYHHAYDRYKRYTTFRRILQSICPVTGRDDKFAPLDFQTPKRFDNQYFINIIEGKGLLGSDNVLISQDLDGRIRKQVWGYASNEKLFFDSFAKSMIKMGNINVLTGSEGEIRRNCRFVNP >RHN54988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14240025:14240832:1 gene:gene30104 transcript:rna30104 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFVGGDVRNSVLTAQLFFWCIFVRCPYTILVSNVKISMLM >RHN59844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13928032:13929552:1 gene:gene22005 transcript:rna22005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MPNLDPNLLNSDSNSNVYATTMYNNNQPLTLPNEFQQQMDEVNHFITSQSEKLRILVQEQRRQQVGTLLKKVESDMCHILRQKDEQIAQATKKRLELEQFLIRLETDNQMWRRASRENEAMVLSLNNALEQIKERTLAIEEVESCCDMKNHEEETWENTSLNTICKCCYSQESSFMFLPCRHLCSCKSCEPSLQTCPVCLMPKRSIIETLPF >RHN76142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47976282:47978132:1 gene:gene12423 transcript:rna12423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 60S ribosomal protein L18a/ L20 MLLHSFTFTQNYNLRKMVNAKFHQYQVVGRALPTEKDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVETMYNEMASRHRVRFPCIQIIKTATIPANLCKRESTKQFHNSKIKFPLVYKKIRPPTRSLKTTYKAKKPNLFM >RHN39880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11338085:11338333:1 gene:gene45962 transcript:rna45962 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLESSFSSTFFSSFASAAGAAAAAAPHLEAATAAAPPPYGFDIISGRGKVTAQNNQQISSDDLHFWLVRLGFCCCDRVVC >RHN70592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52573542:52578464:-1 gene:gene19188 transcript:rna19188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-X family MKLVVLLMLLMVLLLILILSFICLWIYYNNYSSKSKRKNNVQNSDAEKGCVPIIDYKQIEKSTDNFQESNILGVGGFGCVYKAQFDDNLDVAVKKLHCQTQNAETEFENEVDLLSKIQHPNIISLLGCSINGDMRFIVYELMQNGSLEALLHGPSHGSGLTWHMRMKIALDTARGLEYLHEHCYPRVIHRDMKSSNILLDANFNAKLSDFGLSIIDGSQKKNIKVSGTLGYVAPEYLLDDKLTDKSDVYAYGVVLLELLFGRKPVEKLAPAQCQSLVTWAMPQLTDRSKLPNIVDPVIKNTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPVELGGTLRVTQYSTDPSKSLSQR >RHN61265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34308286:34308618:-1 gene:gene23706 transcript:rna23706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MKASNQITLLDHTNSAEDLPIPNLDHTEAFKTGYFSLDDLHVGNVMTFQFPVQEVSPYLSKKEANSIPFSMSQLPSILQLFSIPKESLQTKSMRGALCCLCLQIAKLGKL >RHN50507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7742920:7743822:1 gene:gene34799 transcript:rna34799 gene_biotype:protein_coding transcript_biotype:protein_coding MFGMVKFPLQMELIDIKMRQERREPMKLPKFTEQEEVGGESRWEMVRPLRRRWTIMSALKASFGCIHIL >RHN70419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51326773:51328660:1 gene:gene18998 transcript:rna18998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MRQIFKYSNILMNTMNFDISLGLGLGLGLGLGLHEQRHKQSKKIKEEEEEDPCNKVEPYSLTLGVGSAADNIDERNIHHQVATTTKTESDDFIYNLHQRASNSTSVMSSFSNSSNSIKRERNEVHEPEKISFVDVDDVNDNPIRKKLRLTKEQSAVLEDTFKDHSTLNPKQKQELASKLNLGTRQVEVWFQNRRARTKVKQTEVDCEALKHCYETLTEENRRLEEELKELKSMKTVNNYMQLPVASLTACPSCKRICTGTGTGTSDENGSSHTTALILCPKAQIHFYANNNNYTFTQSSATIAS >RHN55899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25253863:25254489:-1 gene:gene31181 transcript:rna31181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MFNTLTFAFVIILLVTLFLVPKNVDAFVKCETTDDCPKSDYIRQYECVNNWCRLARLHEFQPKKSTLTS >RHN40406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16020488:16020937:-1 gene:gene46554 transcript:rna46554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MIIRINSNLLASLLGGFFLRTTTPIAFRDNVQEEELLNADLPTIPLTVIQQATNNFSESSKLGEGGFGPVYKGTLPNGTEVAVKRHAEMSGQGLEEFKNEVIFIAKLQHRN >RHN40459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16479545:16479835:1 gene:gene46611 transcript:rna46611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative snRNA-activating protein complex, subunit 3 MQGDCTHTFVIRDMRSVHADDVHNRAVYPIVTFQLKMRFKKCYVCNIFRATKVTVDNKWTPKNPCYFCDECFSLLHLAEDGSPLYTDFIEYDYNHD >RHN48388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47143792:47144131:-1 gene:gene43100 transcript:rna43100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L44e MVNVPKTKKTYCKSKECKKHTLHKVTQYKKGKDSIAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHAIKRCKHFEIGGDKKGKGTSLF >RHN39691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9642672:9646080:-1 gene:gene45740 transcript:rna45740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MANFVTLFILWLSVICTTYNVKLMAHYARGESTRFYDFKVQTKRVTKLCNSKDIVTVNGMYPGPVVYAQEDDRIIVKVTNKTPFNVTIHWHGIRQRLSCWYDGPSFITQCPIQAGQSFTYNFTVVNQKGTFFWHAHVSWLRGTVHGAMIVYPKNRVPFPFKAPYQEQLIILGEYWLQDLQQIEHATLVNGGAPPPADAYTINSHPGPNYNCSINDVYQLNVIPGRTYLLRLINAGLNTENFFSIANHNLTIVEADGEYTKPFTTDTVMLGPGQTLNVLVSADRPVGKYSIAVAPYKSGRIVKYQNVSAIAYFNYIGAESNSSYLPAKLPKPDDKLAVKTVMDGLRSLNQVSVFKEIDKNIFITIGLNVKKCHSKTPKQNCQAMNNGVLTASMNNISFVHPHISILEAYYKKINGLYTEDFPDAPSKFYDFVNGAPNNIPYDTESLNGTRTKVLEYGTKVQLILQNTGTVNIENHPIHIHGYSFYVVGYGTGNYNPLTAQFNLVDPPYMNTIGVPVGGWAAVRFVADNPGVWYMHCHIDIHQSWGLGMVFIVKNGKGDLESLPHPPPDLPTC >RHN41846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33548703:33551268:-1 gene:gene48209 transcript:rna48209 gene_biotype:protein_coding transcript_biotype:protein_coding MENVCDVNQLDADVLLPPRKRLLAGLKKQSSESDATASPSPVAASCVTDVASPSSTSFSSEFEARLKHLLNCHSNNPKLTPEEVAEASRKAAVTATKAAEAARAAAEEKAAIAAKAVAAAKSALDLVASFSEDAINNKERNLKKNKSKKHLPVQLLYKKNKPIENCRKDEELARKLHRAMNSSPRISKTPPKSDSKGSRSKRPRSSSSFEMTEGSECGMAVGQDCLSLNNGHAISGKIDSEGSNQEVCSSKKDKKAIKYDRSSQIEIDNGEAESSHSKPKNCEDSHSPPIGKKRGRTKLKKLPLSICTSKDKAKPREEIKVRRSSSLTEMNTTNQHVHTIPVFPVEPSTDRMKPIEATSTWKCQDFKVPACIKQNKAVQS >RHN44722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7743300:7744571:-1 gene:gene38877 transcript:rna38877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNTQLPNSRRLRSQSNLPPSVTLLDEVIAEILSWLPVRTLMQIKCVSKSWNTIISDPKFIKMHLNRSARNPHFSLVSYKTPTFDDDDHRFIPFPAGHLLDNRHITFPKDPYYLLHDKDCREVIGSCNGLVCLLGYSSAAVNTYTYRQVIWLRFWNPATRKISDRLGSFDDFDYGSNSWRFVFCYDNSTDYYKVVALHYNGNVNSPVVEVSIFTLGDNVWRTIQTLSFVPLQLLYSYWRMYDGVQFNCTVNWLARNRIPGTETYTVDWLAPNTTIIYEFVIISLHIGTETYTKLMLPPSADKSTHLSSVCVLMNSFCFSQDFNGTDFVIWKMTEFGDDRSWTQLFTFSYHNLRMNLNSRVVYSRLKLKPLHLSEDGDTIVFGSCLDNQAILYNLRTNRVLKSRVNKKICWFSIKDYVESLVSTY >RHN81481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46296238:46296468:-1 gene:gene5530 transcript:rna5530 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKRIGKEKHKLETENNNACVEQMVKRLGFQGMINSVWVNKLLLLVFYESVMFMVMMAACEQRGWKQMLLYGGDG >RHN69786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46275200:46279544:1 gene:gene18300 transcript:rna18300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MKLQLSNVSLVLLISTLSLFGLIVSAADLNSDRQALLEFASAVPHAPRLNWNESSSICTSWVGVTCNSNHTRVVGIHLPGIGLTGSIPENTIGKLDALRVLSLHSNGLGGNLPSNILSIPSLQFAHLQKNNFSGLIPSSVSPKLVALDISFNSFSGSIPSAFQNLRRLTWFYLQNNSISGPIPDFNLPSLKYLNLSNNKLNGSIPNSIKTFPSSAFVGNSLLCGPPLLNYCSSISPSPSPSPASTQIQKATVAHKKSFGVAAILALVIGGIAFLSLLALVFFLCFLKKKNNKRSGILKGKSSSCAGKAEVSKSFGSGVQAAEKNKLFFFEGSSYTFDLEDLLKASAEVLGKGSYGTAYKAVLEEGVTMVVKRLKEVMVGKKEFEQQLDIVGRIGRHPNVMPLRAYYYSKDEKLLVYSYMPEGSLFFLLHGNKGAGRTPFDWNSRVKVALGAAKGIAFIHTEGGQKFTHGNIKSTNVLITEEFDSCISDVGLPPLMNAPATMSRTNGYRAPEVTDSKKITQKSDVYSFGVLLLELLTGKVPMRYPGYEDVVDLPRWVRSVVREEWTAEVFDEELLRGQYVEEEMVQMLQIALACVAKTPDMRPRMDEAVRMIEEIKNPEFKNRTSSESEYSNVQTP >RHN77943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10702206:10705724:-1 gene:gene1453 transcript:rna1453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MGELTFCEKVSAWFRNSKSYLLILSLQFGSAGMYVLTMDALNKGMSHYIFVVYRNVIATIALAPFAFFLERKIRPKMTVRIFSEIFALAFVEIILDQCFTFLGMKLTSASFASAVMNSVPSITFVLAIIFRLERMKIKEIGCQAKVIGTVVSLGGAFLMALYKGPVLQIAGSSAATQMHQPENVNDPSGSHWLLGALFLLIGCAGFSAFYILQAITLRKYPAEMSLATWVCFIGALQSSAVTIFMERKHPEAWSLGLDSRLFASVYAGIVTSAIQFYVQGSVIKTMGPVFVTAFNPLRMIIVTALACIVLSEKLHLGSIVGGVVVVTGLYLVVWGKSKEQKAMMSDESPQKISQQGQQQLPITVPKIDNNEDNKPQLIIIEDQTSTEKQ >RHN42805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41137288:41140968:1 gene:gene49296 transcript:rna49296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MALFSHLLLHFALLMIFIHFNNLLVGVSSTTLSITTDKEALILLKSQLSNNNTSPPPLSSWIHNSSPCNWTGVLCDKHNQRVTSLDLSGFGLSGNLSPYIGNMSSLQSLQLQDNQFTGFIPEQITNLYNLRVLNMSSNRFEGIMFPSNLTNLDELQILDLSSNKIVSRIPEHISSLKMLQVLKLGKNSFYGTIPQSLGNISTLKNISFGTNSLSGWIPSDLGRLHNLIELDLTLNNLTGTVPPVIYNLSSLVNLALAANSFWGEIPYDVGHLLPKLLVFNFCFNKFTGRIPGSLHNLTNIRVIRMASNHLEGIVPPGLGNLPFLHMYNIGYNRIVTTGVNGLDFITSLTNSTHLNFLAIDGNMLKGVIPETIGNLSKELSILYMGENRFNGSIPSSISRLSGLKLLNLSYNSISGDIPKELGQLDELQGLYLDGNKISGDIPNSLGNLIKLNKIDLSRNELVGRIPVSFGNFQNLLYMDLSSNKLNGSIPVEILNIPTLSNVLNLSKNLLSGPIPEVGQLTTISTIDFSNNQLYGNIPSSFSNCLSLEKMFLSQNMLSGYIPKALGDVKGLETLDLSSNLLSGPIPIELQNLHVLQLLNISYNDLEGEIPSGGVFQNVSNVHLEGNKKLCLHFACVPQVHKRSSVRFYIIIAIVVTLVLCLTIGLLLYMKYTKVKVTETSTFGQLKPQAPTVSYDELRLATEEFSQENLIGIGSFGKVYKGHLRQGNSTVAVKVLDTSRTGFLKSFFAECEAMKNSRHRNLVKLITSCSSVDFRNNDFLALVYEYLSKGSLEDWIKGRRNHANGNGLNLMERLNIVIDVALALDYLHNDSETPIVHCDLKPSNILLDEDMTAKVGDFGLARLLIQKSTSQVSISSTHVLRGSIGYIPPEYGWGEKPSAAGDVYSFGIVLLELFCGKSPQDDCFTGGQGITKWVQSAFKNKTAQVIDPQLLSLIFHDDSARDSDLQLRCVDAIMGVGLSCTADNPDERIGIRVAVRQLIAASQLKSSRDSSEKI >RHN57320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38101873:38102526:1 gene:gene32845 transcript:rna32845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MFVYVDPFAFFPTSEQYIKSLVPEHLHLTSNNKGKTAENIFKESHEGLIKDSNEWLKNTADSCSVVAALVAGASFTTTSAVPGGTTEGRPVLEGNPAFNVFLFASLSGLCFSFTALIMFLFVLTSQKRAKDFRRDLPLKLYIGLISLFVSIASMIVSFCTGYFFLLSHKFKLILFSISAAACLPVVFYGAAQIPLYHYLLTAIFTKIPRAIDDVDIF >RHN70499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51835668:51839011:1 gene:gene19081 transcript:rna19081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mechanosensitive ion channel MscS MDSNKHHGGGEVSMMENRREVVVPISNVSRDHGQDHDHDHELKVFESSPRYSTKSPPLNCASPEIRFIPSPNKPPKSPAATAKLTTRKSFKRSVYSKPKSRFGEQPYPIDETLLEENVTYSNLQEHLAVSSPYRNTFNKASHSPNNKSGTVNRSVSITSVVTPRTPLMASPGPAGEDLDEIIFRKVESSRGKRKRLTTKVLIELFVFVCIAGSLLASLTVEKLRRTELWSLRLWRYCMLVMVTFCGMLVTKWFMHILVFLIEMNFLLKKKVLYFVHGLKKCVQVFIWISLVLLTWVLLINRGAHRSKLAAKILNDITWTLVSLLIGAFLWVIKTLLLKVLASSFHVKSFFDRIQESIFHQYVLQTLSGPPLMEEAEKIGGTQSIGHFSFRSTTVNGGTKKDIIDMAKLHKMKQGKVSSWTMKILVDAVMNSRLSTISNSLDESFYDVENEPNDKEITNEMEATAAAYYVFRNVAASPSCQDIDENELRRFLIKEEVPLVFPLLAQSETGLITRKSLADWVLKVYQERKALAHALSDTKTAVKQLNKLVTGVLVVVTIIVWLLLMEIATTKVLVFLSSQLVLAAFMFGNTCKNIFEAIIFVFVMHPFDVGDRCVIDGVELLVEEMNILTTVFLKLNNEKLYYPNSVLASKPISNYYRSPNMVEKVEFSVDFTTPAEKIGALKEKVKRYLEKNPQYWHPNFGLVVKEIENVNNIKMGLFVTHTMNFQEFGEKTKRRSELVMEVKKIFEDLNIRYNLLPQGVHLRHMEPDASYLK >RHN72781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10649381:10656504:-1 gene:gene8537 transcript:rna8537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferric-chelate reductase (NADH) MEKNSVDYSPLLSKQGDETDGYVKKISPNFVVSATKWTLRTLISIIFIIWAAFIFLLPSESVHGLFSKWLNFSSETSFGITGSILLILSAPVLAIAFLAIAYLIISGEDQLPEKKNSKYPRFRLWTFPILINGPFGVVSATELIGIVIFLAYVIWAFYAYTMQALAAMSDQLSFRAKSLHMLEILGLRSGGIGLMCLAFLFIPISRGSVLLRFIDIPFEHAAKYHVWLGHLTMVIFTLHGLFYVIEWLMEGHLIQELLEWKDIGVANLAGVISLVAGLLMWVTSLPGVRTWNFELFFYTHQLYIIFIVFMALHIGDFIFAMAAGPIFLFVLDRFLRFCQSRKTVNVISSRCLPCGTVEMVLSKPQNLRYNALSFIFLQVRELSWLQWHPFSVSSSPLDGKNHIAVLIKVLGKWTGGLRERITDGDATEDLSVPPHMVVTASVEGPYGHEVPYHLMYENLILVAGGIGLSPFLAILSDILHRVREGKPCRPRNILIVWAVKKSNELPLLSTVDMETICPCFSDKVNINVHIFVTRESDPPLEEGYNYKPIKSLCPFPMPSDYGMSGLVGTGNNFWSGLYVISSTLGFVILLALLNIYYINPVGVVIWWYKGLLLVVCMVASVVIFGGIVVGFWNMWEKQSSLKDKSNNIKVDKIEQNGSVDHKDLTQYNIAKLTTIRYGSRPDFKEIFESMSEKWGHVDVGILVCGPPTLQSSVAQEIRSHSLTRQPYHPIFHFHSHSFDL >RHN51749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24314795:24316529:1 gene:gene36266 transcript:rna36266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative succinate dehydrogenase (quinone) MPPKPSIPTPKDLDDAIQATNDNLHSAIQATHQHMDDRFQAADANLEAKLHQMQTRMENQDHLQATRHESLKSYLADLLTQHHQPTVSSNTAAPSSALTSTSQSRPQPTTVSSTNIPSPFSLQYLSSPAQSPLSTNTPLTSLSTPIFTSSHMPIFTQTTRLHTHSPNTQLHQQPYPTYTEFPQHSYNQIYQQQQQTRPFPIFPSQFSPFSSHNPSPPSFRTPKLELSMFDGTDPLEWLFQAEQFFTFYQIPVESRLPMSSFYMKGDALCWFKWMYQNRQLFDWTTFTRALELRFGPSTYANHQAELFKLRQSGSVSEYQAQFEKLGNRVVGLPAEALLNCFISGLIPDIRNEMAIQRPSSIAQAIGLAKLIEAKIKDSKPRFSKPFTPPPPPILHDPQLRLSPILPHPNPHKTLHLPLIHPNHKQQIPLNYLSNVSPKPNYKNAEPLAFVITVTRSSFPVTNAPPTNSYYSLLMRMMILRKYPRKPN >RHN74055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:25303309:25304847:-1 gene:gene9999 transcript:rna9999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MTIQVQVAKLLHQPLKPNPKKFPVTLFFSTSSSEQETLITTAVSILTNHRSKSRWNTLHTLYPNTLPSTDFSQITLHLKNKPHLALHFYQWTQSKSLCHHNLSSYSTIIHILARARLHSHAYNAIKTALINDDSSSTPLKLFEILVNSYRDCGSAPFVFDLLIEVCLESRKIESSIEISRMLLSRGISPKVATLNNLISRVCRKFGVDVGFEIYREFFRLDKEKNEISKRGYGFRGFRVVKPNVHSFNTLMLCCYQSGLVEKVEEIWNEMSEMGCDPNAYSYSLLIAAFCDGGRMEDCEKMWEKMRKKEIEADVVSYNTIIGGFCKIGDVGRAEEFYREMGLVGIDATVSTYEHLVKGYCGIEDVESAVLVYKDMLRKDFRPDASTLDMVVRLLCDKGRVEEAMKFLRSGVGKFDLVPKEKSYEALIKGFCFEGRMDEALKLQAEMVGKGFQLNSEIYEVFIDGYVRQGSEEMAEALRKEMLQTQMHS >RHN56475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31108946:31114272:1 gene:gene31883 transcript:rna31883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol 3-kinase MVTDSKPIPNHRGLIVGNYTHDILLHNNNIIAESLGGAASFISTVLDSLSLPFHLISKVGPDFSHKTAHLPLVVPTSQTTLFHAHFGSNHPDRILRRVKSCDPITPSDIPAGARFLFGMAVGVAGEILPETLERMLEICDYVFVDVQGLIRRFEDEDGCVRHVGLKESGFFHLLPKVAFLKASEDEAEFIDLEEVRKWCCVVVTHGKDGCEVFSKDGCLMVDPFEACQVDPTGAGDCFLGGFAAGIVKGLGVYDAALLGNFFGSLAVAQVGPPKIDLNLVQVVKEEMNKRKVHDSPCLGKINELLVFRKSHDQDQFYKSLVAAKDMIMCHTQKNGWNLLSSSKEVEQNNVKAKLVLNSVQQEPIPSVVGSEP >RHN64072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56368643:56371196:-1 gene:gene26852 transcript:rna26852 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTNYTPTYYSTLHDSITSFCKTILPFNFKKRSLPSAEHRLSKLQSDNLKWQQDSFHQVLNLMGLHKEGIVAETEVKAFKTHLLETLIASPPEQEHPIIIRDKLLFLQELLYAKCISEEDYHSSKRPLVQRLAVQGAKIDARDVIAGKSKDLKENSEEEWSVIDLKDDKSLMNKENLNSKGKSSHGSALKQIKGAASVFGFVSSNKHEKSIFDSPPLHKQQSDGKTILMEESEPPKPAKESGGGSSKRKPFRTLFHKEHKEGNGGGSEVEQRGGKSVKKQWGFDGLKKWKRNELDDDETAPLPLNQRSDSEAFSASSQSFARAVGDGPDTKLIKKKLHSDGAPSDFFIDKVLGNNIKKELSRIQTELSSTNPNLKFSNDQMEAISTRIPVDKADLKNYFPKSWCDKYGDVVLDVVKKEFKNHVEEMENKRSISREKHLSGNSKRWTTFDDDENVHPNLFGHHDNSVRSSNINPFSQGYAESTWN >RHN62966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47122223:47128038:-1 gene:gene25607 transcript:rna25607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSGIARGRLTEERKSWRKNHPHGFVAKPETAADGSVNLMIWHCTIPGKAGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTEGYHLFIQDTTEYKRRVRLQAKQYPSIV >RHN53302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:824707:825797:1 gene:gene28193 transcript:rna28193 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQSLFSPLKKVWFKLHSTQNKRRGIYILYEDVKSCPYEDVQVLWSILVESHSPPLPS >RHN50096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4016242:4017618:1 gene:gene34349 transcript:rna34349 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPPIGLKFPKSVIQEIIDELEFKKRQRETPLQRTTLTANKTITHVCFLATMLKIGAFEFKSQNEVNLVAHCYFEHQKFLWGMLDKSTNIKYKIEIMWQDISAIRIVDEENKPGILEIELIKKPTFYHHINSKWESSQDFTDGHAAIYRRHYLEFPPGVNFKKLLQSNKHLLELSQRPFPSLDSSFFGIPLITPPSLIYSTPPVYISQ >RHN52664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37504241:37509172:-1 gene:gene37359 transcript:rna37359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MVMLDSQQHQCFYSMFFFFLIVLVSSVKGVTVPETVHLDTGGLSRDVFPKGFVFGVATSAYQVEGIASKEGRGPSIWDVFIKKPGIVANNGTGEVSVDQYHRYKEDIDLIAKLNFDQYRFSISWSRIFPNGTGKVNWKGVAYYNRLIDYLLEKGITPYANLYHYDLPLALELKYNGLLNRNVVKDFADYADFCFKTFGDRVKNWMTFNEPRVIAALGYDTGFFAPGRCSKEYGNCTAGNSGTEPYIVAHNLILSHAAAAQRYRQKYQEKQKGSIGILLDLVWYESLTRSKADNYAAQRARDFHIGWFLHPIVYGEYPRTMQTIVGNRLPKFTKEEVNLVKGSIDFVGINQYTTFYVYDPRQQKPKVPGYQKDWNAEFAYAKNGVPVGPREYSSWLYNVPWGLYKSVMYIKEHYKNPTMFLSENGMDDPGNVTFSKGLHDTTRINYYKGYLTQLKKAIDDGANVFGYHAWSLLDNFEWRLGYTSRFGIVYVDFKTLKRTPKMSAYWFKQLLTKKK >RHN67137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24222281:24226545:-1 gene:gene15286 transcript:rna15286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLKLNSLTTLRRHSPHTLSSLHHLTTNTPPTTPPNSSLTPHLLQLSTVIPQITRQFWRIPVLKPQQVLQILLGFQSECAKVVAPVEKVKSLYEIFKWGVEKNETHFLKSYEVMILLLVRVGLFREAENLISSLEGQGVSFNAIGGDIFEKLIEGYVGVKELEKAVFVYEGMKERGMVPSRLCQRVLLDYLVKMKRTKIAFRVAFDLVELGEPLSCDEMRNMEDVMVLLCIDGRIQEARSLIRKVLHLKNYEFTQVSSLVFDEMAFGYCEKKDFKDLISFFVEVNCAPSVIAGNRVVNSMCKSYGVERAGLFLKELESVGFCPDEVTYGILIGWSCHKGKMKDALSYLSIMSLKSLVPRLCTYNALISGLFKVSMLENAKDILDEMIDRGTAPDISTYRVLVAGYCKSRRFDKVKSLVCEMEKRGLVKLSSMESPLSKAFRILGLNPLSVRLKRDNNKKLFKAEFFDEMGNGLYLDTDVDEFENHIASILEESVLPNFSSSVKKECSSNNLKNALVLVEEMLCWDQELLLPELSMLVRQLCSSRSQIKSVIKLLEKMPRSARELDHETLNLVVQAYSKKGLLCRAKTMLDEMLQNKFHIESETYTSLFMPLCRKGNMKDFNYYWNIACTNKWLPKLEEFKLLIGHICHQKMLPEALQLLKIMLLSYPHLRLDIYHIFLEVLSAKSLTGTALVVLKQLQNCLFLDHACYNNLIRGLCNEGKFSLAFTILDDMMDRNLAPCLDVSVLLIPQLCKAHRYDKAIALKDIILKEQPSFFHAAHRALICGFCNMGNVAKADSLLRDMLSTGLSLDDELCNLLIQGHCQANDLRKVGELLGVVIRNSWELSLSSYRNLVRSMCMKGRVPFALSLKNLMVAQCSLDGVIVYNILIFYLLSSGNQLDVKKILTDMKEKKIVLDEVGQNYLVYGFLQCKDLSSSLHYLTTMISKGLKPSDRSLRKVISSLCDVGELQKAMELSRGMGFRGWIHDSLIQTRIVESLLSRGFMENAECFLDRMEEESLTPDNIDYNYLIKRFCEFGRLKKAVHLMNIMLKKSNIPISTSYDFLIYGFCAQNELDTASNFYYEMLNLNFKPRIDTVEMLMFSFCEHGRTEQAEQFLVDMICGGEKPTRKMYSTVIKSYHMEKNLKKASYLVQAMQEKGYQPDFDIHWSLISNLSNAKEKDTDNGNKGFLSRLLSKTGFLQRFKG >RHN41007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25683053:25683871:1 gene:gene47276 transcript:rna47276 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHFCKIEKQKNIEKERILSCKNYNDIYRPMSSDLIEKKLFKFQKYWRNGEPVLISNVLKKRTGLSWEPMITWRALRVNSSSSVSSNIQAILASCEVKIDTRQFFEGYKEGRRYINFWPEMLRLKDWPPYDEFENVLPRHCDEFIHCLPFQEYCDPRSGILNLATKLPPNVIKLDLGPKSYIAYGTKDELGRGDSVTKLHCDMADAVWYIIL >RHN69473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43677123:43680677:1 gene:gene17949 transcript:rna17949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MINISNHRNFSLLKRVSFAKLLTTNEPIQDIEELTETVSKVMMSSPAITLDTALNQSGVRVSPELVETVLKRFENAGMSAFRFFEWAEKQRNYSHSIRAYHLMIESLAKIRQYQIMWELVNSMRKKGMVNVETFCIIMRKYARAHKVDEAVYTFNVMDKYDTPQNLAAFNGLLSALCKSRNVRKAQEIFDSMKGRFEPDSKTYSILLEGWGKEPNLPKAREVFGEMVSAGCNPDIVTYGIMVDILCKAGRVDEAVEVVKEMDDNDCRPTSFIYSVLVHTYGVESRIEDAIDMFMEMEKNGIAADVVVYNALIGAFCKANKLKNVHRVLKEMESNGIAPNSRTCNVIMSSLISQGETDKAFSVFRRMIKLCEPDADTYTMLIKMFCERNEVDMALKIWKYMKSKQFVPSLHTFSVLINGLCAKGNAMKACILLEEMIEKGIRPSRITFGKLRQLLIKEGRDDVLKFLHEKINMLVKEPLYD >RHN39146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4465586:4469335:-1 gene:gene45145 transcript:rna45145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MWQRSHKCSLEERKLELRLGPPGEEEFVNEKSMKNVKKERDEESSLTLGYAKDSSFLKLSSMQVMDPSQSQPCCTNLQDGEKNTFSPSSARNSALPNRSQKRNAASPVVGWPPIRSFRKNIASGSSSKPPTESRPMVQDKVIVDSNKPISNSGKGLFVKINMDGVPIGRKIDINAYDSYEKLSSAVDDLFRGLLEEINLSHGINKKQEEEDTGMKGSLTGSGEYTLVYEDNEGDKMLVGDVPWHMFVSTVKRLRVSKSSDLPAFNIGSKKD >RHN57058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36172768:36178141:1 gene:gene32550 transcript:rna32550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MVPLGWIGGVVGLILATAISLYANALIAMLHEFGGTRHIRYRDLAGYIYGRKAYSLTWTLQYVNLFMINTGFIILAGSALKAVYVLFRDDDQMKLPHFIAIAGLVCAMFAICIPHLSALGIWLGFSTVLSLAYIVIALVLSLKDGIKSPARDYSVPGTSTSKIFTTIGASANLVFAYNTGMLPEIQATIRQPVVKNMMKALYFQFTVGVLPLYLVTFAGYWAYGSSTQTFLLNNVKGPIWVKVVANITAFLQSVIALHIFASPMYEYLDTKHGIKGSALAFKNLSFRILVRGGYMTLNTFVSALLPFLGDFMSLTGAISTFPLTFILANHMYLVANKNKLTSTQKLWHWINIWFFAVMSVAATIAALRLIALDSKTYHVFADL >RHN65867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6032351:6033262:1 gene:gene13759 transcript:rna13759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MALTTSTLTNKKKVLFVMGTTGTGKTKLSINLGTQFPSEIINSDKIQVYKGLDIVTNKVQKSERCSIPHHILGIIDDPDYDFTMDDFCKHVLEALDLITQNGHLPIIVGGSNSYLKKLLEDPTIAFHSKYDCSFIWLDVSLPILFPYLDKRVDEMVAVGMVDEIRDFFVPGADNTKGIRRAIGVPELDSYFEMEMKKGVDDAQKEKILQESIRKTKQNTFILAENQLSKIQNMAAKFGSMINKIDSTEVFEAILRGEDYQHLHQEIVIKPSMKIVKRFLEGTSHGFRKAKHSNGNGKHTPNGV >RHN54272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8181186:8183329:-1 gene:gene29277 transcript:rna29277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-epi-6-deoxocathasterone 23-monooxygenase MEWFIGVYVSIIVLLMSLWFVKKEKNNIKLRNGIKIPKGNSGWPLLGETLDFIASGYSSCPVTFMEKRKSIYGNVFKTNILGSNVIISTDPEVNKVVLLNQKNNFIPAYPKSIRELMGKHSILQLNGTMHKKLHSLIAVFLKSPQFKSQITRDIQHSVKQCLASWTNKTIYIQDEVKKITFPILIKVLMSVGPGEDLDLLKREFEEFIKGLICLPIKFPGTTLYKSLKVDPQTKYI >RHN69081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40853374:40857787:1 gene:gene17509 transcript:rna17509 gene_biotype:protein_coding transcript_biotype:protein_coding MALQMAPASSKVLILVGAGLASSVVMNGGQLSDVIDKLKDVLKLVDDQVKISTSGYDTAVIAAQVRQLAQEIAELSLSRSKTIYNDNSSRGGLAPYILPTAAIGAVGYCYMRWRNWSFSDVMFATKKNMTNAVATVSKQLENVHETLASTKRHIIKRLDGLGLEVEKQNEISKQIAEDVKELKAFLSQIGCDVELINQMMSELEDKLKLVEGNQDITNSGIWYLCKFIEDINNGPNGGVYKGLAELTKALEEKAPKGLEELTMAPVEKAPKGLQFIAEAPDTIESSPIITKKFGLNFSDEKQSVSKSRVHRAYPVGISVSRGITDLV >RHN75324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41281521:41282366:1 gene:gene11506 transcript:rna11506 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCFYYLLNNILNLSKLWPEREKDINTKIISKETVRKLS >RHN48588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48612791:48621574:1 gene:gene43321 transcript:rna43321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cactin, central domain-containing protein MASGSSSRKSRRRRRSDDDSASDSDSDHRRKTSRRSRRDSDDDSSDDNVNRTGRKKKSSRTITEEEISQYMAKKAQRKATKVAKKLKTNTVSGYSNDSNPFGDTNLNEKFVWRKKIERDVTQGVSIDSFSVKAEKKRQVERMAEIEKVKKRREERALEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRARPIDVLTKHLNGSDDLDIEINEPYMVFKGLTVKEMSELRDDIKMHLDLDRATPTHVEYWEALLVVCDWELAESRKKDAIDRARVRGEEPPAELLAEQRGLHSSVEPDVKDLLHGKTRTELEALQAHIESEMRTGTAKVVEYWEAILKHLHIYKAKACLKEIHAKMLRKHLQRLEQPLEDEDKPERALDMIPEEAYTEDEEDEDAKVVRSADESFSPEPVRENQEAEDEAGSFSPQLLHDDENEEAIDPEEDKAILERKRMAVMEEQQRRIQEAMASKPAPSEDNLELKAFKAMGAMEDGDAVFGSGAEVSLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKIKAPNYTIEKDGSNGETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRHRYRR >RHN72597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8989567:8994271:1 gene:gene8326 transcript:rna8326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EH domain-containing protein MKTDLHSKEETATYQQWFNLADSDGDGRISGNEATKFFALSNLSRSQLKQLWALADNKRQGFLGFSEFVTAMQLVSLAQAGYELNSDILKIQMDKENVKPPVIEGLDTLVAQTKKLTITTPSEVNVTSKPQPFLPNSWFTSKSSKKLPPSAVTSIVDGLKRLYTEKLKPLEVAYRYNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKCDYPGAHIGPEPTTDRFVVVMSGPDERSIPGNTIAVNADMPFNGLTTFGGSFLSKFECSQMPHPLLDEVTIVDTPGVLSGEKQRTQRSYDFTGVVSWFAAKCDVILLLFDPHKLDISDEFKRVISSLRGNEDKIRVVLNKSDQVDTQQLMRVYGALMWSLGKVLNTPEVARVYIGSFNDKPTNEGFADPLGKNLFEKEQNDLLADLLDIPKKACDRRINEFVKRARSAKIHAYIISHLKKEMPTMMGKAKAQQKLIDNLDNEFAKVKREYHLPPGDFPSVEHFREVLSGYSIDKFEKLKPKMIQAIDDMLGYEIPELLKKFRNPYD >RHN52713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38007442:38009743:-1 gene:gene37412 transcript:rna37412 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELNCISFPLCSLDFCHHLIFLTFLLTPINDHIFISAIFFLDKPRMEFVIIV >RHN69272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42234028:42237927:1 gene:gene17717 transcript:rna17717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein Iojap/ribosomal silencing factor RsfS MWTVLRARAGCSSRSLLQPWKLGFPSLSTTAFDGGVLDLQEIQKILTDVQADDVKIIPAPKHNDWTDFMVLATGRSSWHVKNIAQALIYQAKQKQIGVERMMLPSVQGQEDGKWIVIDSGKVIVHALDENARAYYNLEGLWTRGTLPNEPIEDLHKALVKVRRKNNSKKPAQKKA >RHN42968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42230258:42230666:1 gene:gene49480 transcript:rna49480 gene_biotype:protein_coding transcript_biotype:protein_coding MYMFVGGFCLKCIEHDFLLKNKNEESNSGSSETEHATRNFLYGKNGFLPYLAIMDK >RHN54455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9727694:9732340:-1 gene:gene29501 transcript:rna29501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MNMYLLGRYIGVSTGNISVLKLDQNLHVVRMSYTIPLSASIGEMGYIFWPFLALLHSLVTIKSSFRIYIYIYIYIYIYIYIYILSSKFLDNFLGNSTEMFDDTVVTHILPQPAAESKRVVIIFRNGQIILWDIRESKCIFRTGGNVSQQPLHNEAKKVSCACWVCPFGSKVVVGYNNGELFIWSIPSLTTGNNSASYYSTKNIPMFKFNLGYKSEKICIGSVKWIYAEGKASRLYVMGASKSMQVVLLNDHTETRTIKLGLYLSECCVDMEIISTTNEQSKHKQNSLILLGKSGRVYQYDDSLIEKYLLQGQSKSTPSLPKEVMVRLPLTDSGITISKFISNTTDVFYAADEYYSQMIKSYPQLIPIETNHKDGLNLSSANFTGFSNIKNLYITGHHNGAITFWDASCPFFIPVLQLKQQSENDQSLSGVPLTELYFDIKSLLLVSGDQSGMVRIFRFKPEPYASNSFMSLTGILRFGFRPVNVVHTSVYSDLNFHFSFVGGTKNVIQSVKLVKTIGAVICMNIDHSTRHLGVGSDQGNVSVINIDGPSLLYQKHIASEISVGIIYLQFITCSVYGFAKNILVVGTKDSSVLVLDSETGNTLTTGTGAVRPKNPSKALFMQILDGQCEPITRSVRKDSLDLREGNHVDSVTTKNLYILLCSEKALYIYSFEYALLGIKKVLYKKKFFPSSCCWASTFYSASDIGLVLLFTTGKVELRSLPELSLIVKTSIRGFTYSPPKLKSFSDSQICCSSRGDLVLVNGDQEIFVFSLLAQRNIFRLLDSVGRIYRKERMLSQAELVPNPVIYKEKKVDSNILITFPIISIIFITGSI >RHN47899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43405252:43409004:-1 gene:gene42553 transcript:rna42553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylosuccinate synthase MNISSLRLDSHAICTHQPQRPFAFHNRFPRNVVVCAAKPVAPPPTKLAAADSSLRRIESLSQVSGVLGCQWGDEGKGKLVDILAQHFQIVARCQGGANAGHTIYNAEGKKFALHLVPSGILNEDTLCVIGNGVVVHLPGLFQEIDNLESNGVSCKGRILISDRAHLLFDFHQTVDGLREAELSKSFIGTTKRGIGPCYSSKANRNGIRVGDLRYMETLPQKLDLLLSDAALRFKDFKYGPDVLREEVEKYKRYAERLEPYIADTVHVMNEAITQKKKVLVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVIGDLVGVVKAYTTRVGSGPFPTEILGPGGDLLRFAGQEFGTTTGRPRRCGWLDIVALSYSCQINGFSSLNLTKLDVLSDLDEIQLGVSYKHADGTPVQSFPSDLRLLEQLKVEYESLPGWKTDISSIRNYSDLPRAAQLYVERIEELVGVPIHYIGVGPGRDALIFK >RHN81669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47958897:47959637:1 gene:gene5743 transcript:rna5743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MNSSTICSLFLGLILISQSPFAANATLLGLGGGGGPLVSLICAASSNKVECNKVLSSPQITQAKNYKQLSKAITEIAMKKAVEGQAFLKGLAQKSKSEGLNICADSCYSSVVRDFKSCLDFIDGDPDTLSYDCKVAVDEPTRCDSAMAANHIVNPAVTALNRQILFLCELIFKTIDKLPNH >RHN61690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37546147:37551067:-1 gene:gene24179 transcript:rna24179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfite reductase [ferredoxin] MTTSFAAAALRDPKLQIPTYHGFRSSSSSAARNALSIPRSSSSLIRAVYTPAKTETATEKRSKVEIFKEQSNFIRYPLNEDMLNDAPNLSEAATQLIKFHGSYQQYNRDERGSRTYSFMIRTKNPCGKVSNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVVKKDLKHVMGEIIRNMGSSLGACGDLNRNVLAPAAPIVRKDYLFAQETAENIAALLTPQSGFYYDVWVDGERVMSAEPPEVIQARNDNSHGTNFPDSAEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVTDDNGEPQGFNIYVGGGMGRAHRNEATFPRLAEPLGYVPKEDILYAVKAIVVTQRENGRRDDRKYSRMKYLINSWGIEKFRSVTEQYYGKKFEPFRSLPEWEFKSYLGWHQQGNGGLYCGLHVDNGRIGGKMKTALREVIEKYNLNVRLTPNQNIILTDIRAAWKRPITTILSQAGLLQPKYVDPLNVTAMACPAFPLCPLAITEAERGIPSILKRIRAMFEKVGLKYSESVVVRITGCPNGCARPYMAELGLVGDGPNSYQVWLGGSSNQMSLARSFMDKVKLQDLETVLEPLFYHWKQKRQSKESFGDFTARLGFEKLKEFIEKWEGPVVAATRHNLKLFTDKETYEAMDGLAKLQNKSAHQLAIEVIRNYVASNQNGKGEF >RHN57566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40095014:40102748:-1 gene:gene33134 transcript:rna33134 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RDN1 MIVRKSMGRVKSLLMLLMVLGFSFATYNLVFMMMEHKAGNDLGSFDGKAMEIRNTNSKYHVAVTATDAAYSQWQCRIMYYWYKKTKDMPGSAMGKFTRILHSGRGDQLMNEIPTFVVDPLPEGLDRGYIVLNRPWAFVQWLEKAVIDEEYILMAEPDHIFVNPLPNLATENEPAGYPFFYIKPAENEKIMRKFYPKENGPVTDVDPIGNSPVIIHKYMLEEIAPTWVNISLRMKDDPETDKAFGWVLEMYAYAVASALHGIKHILRKDFMLQPPWDLDVGKKFIIHFTYGCDYNLKGKLTYGKIGEWRFDKRSYLMGPPPKNLSLPPPGVPESVVRLVKMVNEATANIPNWDSLNRS >RHN40326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15324879:15326603:-1 gene:gene46469 transcript:rna46469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MTYFNNTIGETSEPLLAQKVETKQTELSHATFLSKLIFSWVNSLLSLGYTKALALEDIPSLVSEDEADMAYQKFAQAWESLVRERTKNDTKSLVLWSIVRSYLKENILIAFYALIRTIAVVVSPLILYAFVNYSNRTEEDLKQGLSIVGFLVVTKVFESLSQRHWFFNSKEVRYENEISSNGSSLSKAAKAFKLGKDEALGRRNCELHCS >RHN50474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7423531:7427774:1 gene:gene34757 transcript:rna34757 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDASVNGSSCLAITEKKNNQKLGGGCIGIFSQLFDWNSRLTKKRFFTKKLLPPPASAKQDSLKKFKGDDKMPNSKLHLIANGNSGGFSNAKKSGNCVIEVEQKHEMKAPSLVARLMGLESIPSSKRDKSKKSSFSDSDDGEESLEIKAANARNESRPQKLRKTEANERRAVTRFGADALQIKSVLSQVRRYNHHRHNHHHANKLVSPLKSPRISSGKSTSRSSRLIEAATKILEPGLQATNRSKCFLASSISKCPPNNGIVTEMAGTRALDIHNQSCYNSGIDKSLGEPTCKNCGNLLDVEISRPIVSDVFTDFSSASVKNKRSFIPSHENDVVLLRSQEKIITHVDDDVKKNVYACNESTSRRIYVLAKCDSSREPHGGLEDDGVSSFSFKHKTQTQEGMLNNERISFESRNSNMQEKRVSSATTSSTVSGNKDFVCLNQSLGGRTRIRSPTKADRCKFDLERKPSSRQGSDAQGEKRKNFDAFSPNNSNVRCKRGGYLNTDKINDNKMNKVVPSTFSSPLKKKEETRSDNEIRTCLQRHHQPLREDVLGAFLEQKLKELRSRENVELVTGDQPKRSPALILQELISVLNAEHLTCSNDQMLNGKCPKCETNHVGLFGTSCNGNHLSPGSVLEASFSSSSFDEGSGHCFHPGSINCSYDQPEPLKHEGELLDSAASFNTGKIGCKILTKLVYRIDKILQSLDSFWTRLTESKLDHMKEVIFIAELVLGNVTRNNEEGVLPQLLISCILLDELNIATEAMQRNFNRSQLKGFLFDCVIEYLESNCCHNYYSVFRSWCAWTKAPLCMKAEILVQEVKSEIKKWECMVGMELNQVIDWEMSHSLGKWTDFDIEAFETGVDIGGDVLQILVDEIVEDLVDSRHGNV >RHN61161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33556475:33559398:-1 gene:gene23597 transcript:rna23597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator Znf-B family MKIQCDVCEKAPATVICCADEAALCAKCDVEVHAANKLASKHQRLLLQCLSNKLPKCDICQDKPAFIFCVEDRALFCKDCDEPIHVAGSLSGNHQRFLATGIRVALASSCTKDNEKSQVEPSNPDTQQVPVKVSPPQQVPSFASPWAVDDFLELTGFDSPDKKQSMEFGELEWLSDAGLFNDQFPQEGLAAAEVPQLPVMHASSVYPYKASKSYMSYKKPRIEVRHEDDDDEHFMVPDLG >RHN52399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34877675:34879034:1 gene:gene37070 transcript:rna37070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MQLVGHQYGMVIVTRTCACRKWDLSGIPCAHAISAIFYNQANPGDYVAHWYRKQKFLDTYDNLILPSNGPKLWPEVNAEPILPPKARRAPRRPKKARRKENDEPKSTNKKGKRNQETVRCRRCKELGHNQRTCGGKTGADRSIRPGGNKDAQTEQATTQAAGLNADAQGPTHAAGLNATAQAPRNGANAVEQATTISARTNASAQAAEQGSKQSKKQTAAAVNKTAAVNIAAVNTTTTNTSGTTLGGRHVVGEVSLLVPRKSRTTGVKRSIHEVGNVGTQQSVNKT >RHN77158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4511475:4516071:1 gene:gene584 transcript:rna584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 26S proteasome non-ATPase regulatory subunit Rpn12 MDPKFTEVSQLFDRFKAAFLRNDFDSASNLLSQLKVLLTGFRSLPPLFADTPNAVQELTIARDIYEHAVVLSVKIEDQDAFERDFFQLKPYYTDARNRLPQSPQEYPILGLNLLRLLVQNRIAEFHTELELLSSTALENPCIKHAVELEQSFMEGAYNRVLSARQTVPHETYVYFMDLLAKTIRDEIAGCSEKAYDYLSINDAKQMLLFTKDQELLEYIKEEHPEWEIKNGSVYFQKAKDSAPCKEIPSLQLINQTLSYARELERIV >RHN40699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19664395:19665115:-1 gene:gene46893 transcript:rna46893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MNPKISDFGVARMFTKQETEANTNIIVGTYGYMSPEYAMEGVFSTKSDVYSFGVLLLEIVSGEKCNSMYREDRPLNLVGHAWELWKEGVVLQLVDPLLNETFSEDEVLRCVHAGLLCAEENADDRPTMSNVISILTNKIKVDVFPKKPAYYGGTRVFDEETYGEEVGVDSTCENSHL >RHN45690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24500541:24504920:1 gene:gene40073 transcript:rna40073 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYVWRKYADYLYTKWEKTYLWDMVEPYRRPKSFTPVVVTYISAFYTGVIGAAITEQLYKEKYWEEHPGKAVPLMKPKFYGGPWRVMGGEIPKYE >RHN70633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52879407:52880764:-1 gene:gene19240 transcript:rna19240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSSTGDDRRDVISDLPDRVICHIISFLPTEQAVATSVLSKRWTHLWLSVPTLRLDVDLKHREALFCFNEFVYSVLLARDSVKYKSCIMDIWYHNDDLSHIGFRNFIKWINHVVQRGVEHLEISTNMHDDHPFKFPISILTCKTLVHLDFYKFIVKDFSSITLPSLKILHFEETNFLNYQDLILLLAGCPNLENLRATFLEFHSEDSLTYQELQSLSLNKLTKAKMWGTYCHFPLKALHNVKLLFIEINKVYRGCDEIPTFHNLTTLALYSINSNWHLLAQVLNHCPNLQNIELSQGTHNEIIEGVEENWEDPISVPHCLSLKLQTCSILKFLGQESELLLAKHILKNARVLQTMKINCGKELKTYRELLLCPRASPICEVVIDCKRGSM >RHN46783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34849505:34855494:1 gene:gene41311 transcript:rna41311 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYKKLYSKYTTLKTNKLSELEDVNKEQELKFLKFVSAAEEVIDHLRTENDKLLGQINDLGNELTSVRVAKDNELVEHQRLLLEEKKKNEALFEEVEKLQKLLNEGTSGDLSNRKVVNNTSNNSSIRMTRKRMRQEQDALDIEARCIPSENEGNSVDRESTRSFLKENASNKRQECSTSKANDQSGVDTQESDHQNWLVHALFEYTLDMKLSTDCQTGRLCLSAMHQSSGYSFSISWISRAPGEEAELLYHVLSLGTLERLAPEWMREDIMFSPTMCPIFFERVTRVINLKH >RHN38769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1886966:1890297:1 gene:gene44735 transcript:rna44735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MGTTNTTKPNLDSTFNERKAFDETKAGVKGLVDGGVEKIPSLFHHQPDKYEIAYNTSHVIPVIDLKDIDNKDPSIHQGIVSKIKEACETWGFFQVVNHGIPLSVLEEMKDGVKRFHEMETDAKKEFYTRDLHGSFIYKSNFDLYSSPALNWRDTCTCSLAPDTPKPEDFPVVCRDILLEYGKQVMNLGTLLFELLSQALGLNPNHLKDMGCAEGLIALCHYYPPCPEPELTVGTTKHCDNDFLTVLLQDHIGGLQVLYEDKWIDITPVPGALVVNVGDLLQLITNDRFKSVEHRVVANQVGPRISVACFFSTGLRPSSKLYGPMKELLSENNPPKYRETTVADFAAYFNAKGLDGTSALTHYKI >RHN51193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14963637:14963981:-1 gene:gene35583 transcript:rna35583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyadenylate-binding protein/Hyperplastic disc protein MYIFEFSLKICNIVLITIIYCCQYHGTVNITFFDLLVEQMLGENLYPLVGRLTPNNQTAKVTGMLLELDQLEVIHLIESPEELKIKVSEAMEVLRKVSPRIGKNPSPTSDRQDS >RHN49842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1614778:1615191:1 gene:gene34066 transcript:rna34066 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLDRVEPYKNCVCQFLHLISSKTHFVYFGVVFSYMICPHW >RHN50268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5661018:5661545:-1 gene:gene34540 transcript:rna34540 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 5 MSQLGYMMLALGMGSYRAALFHLITHAYSKALLFLGSGSIIHSMEAIVGYSLEKSQNMVLMGGLTKHAPITKTAFLIGTLSLCGIPPFACFWSKDEILNDSWLYSPIFAIITCSTAGLTSFYMFRIYLLVFEGYLNVHFQNFNGKKNSSFYSISLWGKEEKKIKKQNSFISFINN >RHN54506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10130183:10135047:-1 gene:gene29566 transcript:rna29566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol O-acyltransferase 2D MTTATEKVFNGKEEFSDSSNTFRSILALSLWLGSIHFNIAIILFALLFLPLSKYLLVLGFLLICMVIPVDAKSKFGRRLSRYICKHACSYFPITLHVEDIKAFDPNRAYVFGYEPHSVLPIGVIALADNTGFMPIPKVKVLASSAVFYTPFLRHIWTWLGLTPATRKNFISLLAAGYSCILIPGGVQETFLMQRGSEIAYLKARRGFVRIALEKGHPLVPVFCFGQSDIYKWWKPDGKLFLNFSRAIKFTPICFWGIFGSPVPFRHPMHVVVGRPIVLEKNPEPTTEEIAKIHSQFVEALQDLFERHKARAGYPKLELKIV >RHN52275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33358194:33360656:1 gene:gene36929 transcript:rna36929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MKANQYGQEFQNNRCLNGYCPKNFVSSYKLGKEIVERLNEVNAMLSKADKTQFTIEQPPKPVDEMPCGETIGLDLMFNKVWKSLEDTNVGIIGLYGMGGVRKTTVMKRIHSELGKREHSFDIVLWAVVSKDCDINQIMTDISNRLGIDENFWKRSSQEQRVAKIHERLKGKKFALMLDDLWGKLELQAIGVPILKESNNKSKVMFTTRFEDVCAKMKAETKLEVKCLYDKEAFELFCNKVGDETLKCHTEIPKLAHEMAKECGGLPLALITVGSAMAGVESYDAWMDARNNLRSSPSKASDFLKVFRILKFSYDKLPDKAHKSCFLYCALYPEDFELDVDELIDRWMGEGFLDKDGKSIYDMYNQGKSIIEKLILSCLLEEGIVTKFNFVTGWYSRVFKMHDVIRDMALWLTRDEDENKDKIVVQGEAISISEMDSKRLNVVERISIISTWDSKESWKIPTCPNLITLCLNLEIEYIDHALSMNFQSIKKPRVLDLSRNRFINLSAEIGELINLEFLNLSGTGVFALPIALKKLKNLRVFLLDGMLRLEVKNIPMEVIESLEQLKVFRFSSYYRSLQEEEISLLEKLESLPKLEALSVQLRNFTSVQRLFDSTKLRDCSRYLMIYDLEKEGSHSLEMSSLLTSMTEMRHLDRIYLSCIHHLMDGSSIADKYLLSKLRQVCIHYCCSITHLTWLRYAPLLEVLSVSDCYSIEEVVKEAKDGEQAGYDSNNDMIFKNLKDLCLHNMRNLVSIHKRALNFPSLKRIMVKGHCPNLRKLPLNSGFASKNNLVLIQGGTKWWDNLEWDDNIIPTLLRPKFEAVLY >RHN48932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51243446:51245755:1 gene:gene43704 transcript:rna43704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MAAAKPAADQQVPPGLETLKYQTWVLKVLIHCDGCTKRVKKILQGIEGVYRTEIDSRQHKVTVTGNVDAETLIKKLSRSGKSVELWPEKPPEKKDKKSSKSKGETENIINKEIKEDQKNSTEHIGESSHEGCIDDAGDDGEEDSDHKEGNNGDKNNNKSEGGAKKKKKKKKKNKSGSASLTPNNGGGKEIISTETIDSPQVLEKVNAIPKEIIMPPVLPQQHAYNPYPPHMYYPHPPPAVAPPYGLSYNTSYPVSSTSSYYVGAPVMPMHANYSRLPPPPPPSHPINNYGHHNDHEEYEGGYCSIM >RHN38913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2769587:2779811:1 gene:gene44890 transcript:rna44890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative JAB1/MPN/MOV34 metalloenzyme domain, ribonuclease H-like domain, PRO8NT MSNNNHHVSPPGTTIPHFPPPPSDSESLLDERARKWKKLNSNRYCDSRKLGFIESQKELMPPEHVRKIIRDHGDMSSTKFRHDKRVYLGALKFVPHAIYKLLENMPMPWEQVRDVKVLYHVSGAITFVNEIPLVIEPIYIAQWSTMWIMMRREKRDRRCFKRMRFPPFDDEEPVIDYADNLLEVEPLEAIHLELDEEEDGPVFDWFYDHKPLVKTKFVNGLSYRKWCLPIPVMETLHRLAGQLLSDLCDRNYFYLFDVESFFTAKALNMCIPGGPKFEPLYRDAEKGDEDWNEFNDIHKLIIRSKLRTEYRIAFPHLYNDRPRKVRLGVYHTPMVVYVKADDPDLPAFYYDPLIHPIAGAGNKERCEMEICNEDDDDWILPDGVEPFLKDVELYTDTTTDGMSLLFAPRPFNMRSGRMRRAEDIPLVSEWYKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKPQQKKNLFRSLQATKFFQTTELDWVEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQYRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLLRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVIHDVVDAIPEGMKETKSRVILQHLSEAWRCWKANIPWKVPGMAVPIENMILRYVKSKADWWTNVTHYNRERIRRGATVDKTVCRKNLGRMTRLWLMAEQERQCNYSKDGPYVTPEDGVTIYTTIVHWLESRKFSHIPFPPLSYKHDTKLLILALERLKESYSGSARLNQFQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYGYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLEGIWDTSDGHCVVMLQTKFEKFFEKIDILMLNRLLRLVLDHNIADYITAKNNVVLSYKDMSHTNKYGLIRGLQFASFVAQYYGLILDLLLLGLTRAREIAGPPQMPNEFITYWDTKVETRHPIRLHSRYIDKVYMLFRFTHEEARDLIQKYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRTTQEAFGNTRDGVWNLQNEQTKERTATAFLRVDDEQIKMFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYSPKEVGGLGMLSMGHILIPQSDIRHNQKTDVGVTHFRSGMSHEEGQLIPSLHRYIQPWEREFNDSQRVWAEYAVKRQVAQAQNRRLTLEDLEDSWDQGIPRINTLFQKDRHTLAYDKGWRVRLDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGIEQILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHKWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKLNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFNNQIKWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEEPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLRPDITAVTEPHHIWPSLSDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAREANQVTAVTTQTTNVHGEGLIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQISGYLYGISPPDNPQVKEIRCIVMPPQWGTHQHVHLPSDLPEHDFLNDLEPLGWMHTQPNEVPQLSPQDLTSHAKVLENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRINKDTGSNPHGYLPTHYEKVQMLLSDRFIGFYMIPDNGPWNYNFMGVRHASGMKYGVKLGTPREYYHEDHRPTHFLEFSNMEEGETIAKGDREDTFS >RHN41420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29875390:29879344:-1 gene:gene47738 transcript:rna47738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MCELSLKGFTYMFLIAILIWSSNFEECIARRGKHWRQNREEIASLLKKKGKNHGNGHSHNGGGSKSKSPPPQKSIPSLSPPLPPPQEEVTPSTPPPQTYIGGSSTTFNVLDFGAKGDGRSDDTKAFEATWGAACKVEASTMLIPADYTFYVGPIVFSGPYCKPRIIFQVDGTIIAPTNSNAWGRGLLQWLDFTKLVGFTIQGNGIFDGRGSVWWQDTQYNDPLDDEEKLLVPLNNTIVSPPMQIESSLGGKMPSIKPTAIRFYGSINPTVTGITIQNSPQCHLKFDNCNGVLVHDVTISSPGDSPNTDGIHLQNSRDVLIYKSTMACGDDCISIQTGCSNVYVHNVDCGPGHGISIGGLGKDNTRACVSNITVRDVNMHNTMNGVRIKTWQGGSGSVQGVLFSNIQVSQVQVPIIIDQFYCDKRNCKNQTAAVALTGINYEGIKGTYTVKPVHFACSDSLPCIDVSLTSVELQPVQDKYHLYDPFCWETYGELKTATVPPIDCLQIGKPPNNRIQTAHDLC >RHN68646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37418211:37419911:-1 gene:gene17024 transcript:rna17024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MKNAGFEHVLRYFDEDGDGKVSPAELRQRLRIMGEEILLKEAEMAIEAMDSDGDGYLSLEELIALMEEGGEEQKLKDLREAFEMYDSEKCGFITPKSLKRMLKKMGESKSIDECKAMIKHFDLDGDGLLSFDEFITMMQ >RHN48985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51582623:51584327:1 gene:gene43763 transcript:rna43763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor E2F-DP family MGTRLRKSCIDESRSSCFPSSRSEQTTQTAAALKLNHLDIHDDDDAGSQGAVVKKKKRGQQRDARKNKSRRGLPQISMKVLEKVESKGRTTFNEVADELVAELADPMNSGLSPNKQQYDEKNVRRRAYDVLNVLMAMDIISKDKKEIQWKGLPPSAIEELKIERLGIRNRIESKASYLKELEEQVSPFHSLIDLIRG >RHN49492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55183472:55187264:-1 gene:gene44330 transcript:rna44330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative violaxanthin de-epoxidase MYMATLGANSNIIIKNDIRFRRTTTTRLAVFKVLPTRLHFLRHDRNSRGLVVCQCQHHHHKGKPDSSSNISRILENGVNRMLVVLEDWRNSRIIQLVAILVSILMIVPSADAVDALKTCACLLKECRVELAKCLANPSCAANIACLQTCNNRPDETECQIKCGDVFENKVVDEFNECAVSRKKCVPKKSDVGEFPVPNPDVLVKSFNIADFSGKWFITSGLNPTFDAFDCQLHEFHTESNKLVGNITWRIPTPDGGFLTRSAVQRFVQDPSNPGILYNHDNEYLNYQDDWYVVSSQIENKPDDYIFIYYRGRNDAWDGYGGAVVYTRSAVLPESIIPELDRAAKSVGRDFSKFIRTDNTCGPEPSIVERLEKKVEEGEKTIVREVEELEEEVEKDLEKVGKTEMTLLQRLAEGFKVFQEDEENFLRGLSKEEMEILDSLKLEAEEVEELFGRALPLRKLR >RHN75960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46556893:46558709:-1 gene:gene12224 transcript:rna12224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MPEWTRPTFELLSPDLNMRPRKMSSNMVSKDVHHLPYLFLGNQAYLINESLHEKMKNLINESSSLKMEKNISDLPDNILHHILSFLSTKDAVKTSILATKWRYLWTQLSVYDFHIISPSHSQNQNSATANSLLGLVTTLLHKSNVEIQSLAIEITPRVIWDAHNHFSRLSVTLDARKLTSLLSSLLTHKVQHLQLFINDIKYRNTTCVLPRCFSTSHSLTKLSLQLGGFTLFIPTGILFPTLKTLNLSYATFESDKSIKQFFSEGCPVLQELTLNYCYWLYIKQITIATSTLRILTIRSDPYCLNCDDLSDFSVKIDAVNLVSLTCTSRPSIQYIIVNPPTSIVDAYIEFDTRLPITYLMQHVSSPYSVVLLSGLASVKSLTLSKDTFRKPLYVIDHLHLLPEFHNLTHLCLTLEIDFSRKTLMEFLLRCPKLEALVLPLAVTKFLDMNDSTLIPVPCCFKSSLKMLHISTYDGSDRGIQFIEFVLKNARLLEDIQITYSAYIRDKFHNFFMNLADVKNQLAGMGSCDIKYHEKKKYW >RHN70382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51031400:51034559:1 gene:gene18953 transcript:rna18953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthine/uracil/vitamin C permease MAQNEGGDGGNGNNAGGGGDGGNNNKKSQSKDAKQEQLPVAHPAKEQLPGVQYCINSPPPWPEALLLGFQHYILTLGMTVLIPTIVVPQMGGSNLEKAKVIQSTLFVSGLSTFLQSLFGTRLPSVVVGSYTYMIPIMSAVQASRYSSYTDPYERFTQTIRGIQGALIISACFQMVMGFLGLWRNAVRFLSPLCVVPYVTFTGLGLYHLGFPMLATCIEVGLPALIVMYLHRYISTKKPTFDRFAVLFTIASAWLFAQLLTSSTLYNNKPESTQNSCRTDRAGLISSAPWVYFPYPFQWGSPTFNYGETFAMITASFVSLFESTGTFYAAARYGSATPVPPSVISRGTGWLGVASLLNGMFGCVTGSAASVENAGLLALTRVGSRRVIQISAGFMIFFSVFGKFGAFFASIPLPIMAALYCIFFGYVSSAGLGFLQFCNLNSFRTKFVLGFSFFIGVSIPQYFTEYYQVKQEHAGPRWVRLSETKPIVENFVINIKKTLSIFMIDFRNISMHFQFNDIVTVIFASHTMVAALVAFILDFTLTREDDAARDDNGLKWWEKFSIYGSDVRSNEFYGLPCRLNEFFPAL >RHN57432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39116278:39121246:1 gene:gene32977 transcript:rna32977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ-MATH family MTGNASSPTPSPSPAPLSSPTISRSITQTVNGSHKFLIQGYSLAKGMGVGKHIASDVFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLVDQSGQGKHKVHSHFDRSLESGPYTLKYKGSMWGYKRFFRRTLLESSDFLKNDCLKINCTVGVVVSAVDCPQLNSIHVPESDIGSHFGALLENNEGSDVTFDVAGEKFPGHKLVLAARSPEFRSKFFDGTDMDTQEVVVTDLEPKVFKAMLHFIYRDSLTEEVDMVSSTTSSDFPVSETLIAKLLGAADKYGLERLRLMCESRLCKDIGVSSVANILTLADHCHATELKAVCLKFATQNLAAVMRSDGFESMKEKCPWLQSEILKTVAGCDGDSCSTGEKSQSVWAQLSDGGDTIGRRVRQRH >RHN43893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49293328:49296831:1 gene:gene50528 transcript:rna50528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Rcd1-like family MDKISPHSLSMKNESSSVAPLGASTQSRTTAQTMTFVEHLVTELINPNLRENALRVLSKRNELSRELAPLLWNSFGTIAVLLQEITSIYCTLSPPTLTLGQSTRACNVLALLQCVASHSETRMLFLNASIPLYLYPFLKTKDKSPQFEYLRLASLGVIGALVKDNTKEVLGYLILSEVIPLCLSNMEIGNEISQTVSFSILIFLKSILVNELLFFYYFILYFSVIHFCWAATFIIHKILFDDDGLAYVCATAERFFAVRRVLDMMFESLDKQPTPRLLKFIIPCYARLSDGRRRAGIALANSLPSVFRDTIFLNHLREDPATWKWVKHLQENVEKNQEPLVEGGGENNDIMESSTSGQ >RHN47708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41803271:41810407:-1 gene:gene42337 transcript:rna42337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MVERRRKPLILCSTKTAINSVLKSSNSSINENEFPNFNLPVGILRFSNKFPSFDHSALIALSTSLLKTLSITSGSPVLVKNAEMNTQRVAVAIALDPPSSDTTTLDIDHSPPASSRIMLVFPSCDFPLNGPLLNGEIAYLSPLLAFNLNLHISCLKSIIHNSQDALASYFKPQCQVGDEDAAKSFEDSVINIELKPLAQPPRFASLLRVAFVKIPECGILDSIKPISDVESKERQDMIDLALQKYFEVDRYLSSGDVFGISISWNCNSTICIPCNQKTQKNENIICFKVIAMEPSDEPVLRVNKTLTALVLVGSSPSALPPDLLTTGPEGPVPLQRDTVKILASILAPTLCPSALSSKFRVSVLLYGLEGCGKRTVVRYVARRLGLHVVEYNCHDLTGSDRTSVALAQAFKAAQRYSPTILLLRHFEVFRDSQSPEVSQNDQRGNTSEVASVIRRFTEPVGEHGDSNSLVKSNGQFVEKNSEKTSGHQVLLIAAADSSEGLPASIRRCFSHEIKMGPLTEEQRAEMLLHSLQNVYGLHSNTDLEGFVKEIVGQTSGFMPRDMCALIADAGANLFPGSNVEVGKDQPEDSDSSLISEVTEDNNESEVSARKPGKEDLVNALERSKKRNASALGTPKVPNVKWEDVGGLEDVKKSILDTVQLPLLHKDLFASGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVRDIFQKARSARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLSDSTQDLFIIGASNRPDLIDPALLRPGRFDKLLYVGVVSDATYRERVLKALTRKFKLHEDVSLYTIATKCPPNFTGADMYALCADAWFLAAKRRVLNAEPESSNPDNDADSIVVEYDDFVQVLEELQPSLSMAELKKYELLRDQFEGTSK >RHN68203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33647681:33647959:-1 gene:gene16520 transcript:rna16520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MKKIEGNSLSFCSPTVETGCDYGCYCFICTSWGLGNCEPLSTIKKKVEEQPNLCWSHDECTKKGSGNYCARFPNSDIKYGWCFASISEEEDA >RHN69357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42874846:42875310:-1 gene:gene17812 transcript:rna17812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated domain, type 1 MTGNLGRRLILAFNITQEIFNEVPLPEIATSEIKYVSLLGKCLCITVSCNGTNKFDVWVMKEYGYRYSWCKLFTFVGEWCFNSPLMSLKPLCYSSDRSKVLLEVKFRGDFKSDPKKKLFWYYLKSYKVTYVPRIPNFIETMIYAGILLPPSLPS >RHN67236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25078567:25081832:-1 gene:gene15402 transcript:rna15402 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CAML1 MGYSNSYLFLGLNLFVFLSSQALADIHVLPMLTVEPNLPSMTDQLNDKQISKIKAYFRLLDKDQDFSIDNDELQTLIRSLGLNPTFFGLMVAMNKFDADGNGTIDFTEEDFIISFPKPDKDHNGFVSASELHYYLTNHGTKVTKEEVDEYVREADVDGDGQINFEEFVKAVTMGGSIVV >RHN59155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8005247:8016843:1 gene:gene21147 transcript:rna21147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEGLLFNMIEKLIGKLGSVVVECWNMRDDLDKLVENMSEIKAVVLDAEEQQGTNNHQVQLWLEKLKDALDDADDLLDDFNTEDLRRQVMTSNKKAKKFYIFFSSSNQLLFSYKMVQKIKELSKRIEALNVGQRIFNFTNRTPEQRVLKQRETHSFIREEEVIGRDEEKKELIELLFNTGNNVKENVSIISIIGIGGLGKTALAQLVYNDKEVQQHFQLKKWVCVSDDFDVKGIASKIIESKTNDEMDKVQLELREKVEGRRYLLVLDDNWNEDRDLWLELMRLLKGGAKGSKIIITARSEKVAKASGTSSIFNLKGLDEKQSWRLFSQLAFENDKEQENEEFVSVGKEIVKKCAGVPLAIRSIGSLIYSMRKEDWSTFKNKDLMKIDEQGDNKIFQLIKLSYDHLPFHLKKCFAFCSLFPKDFLICKITLIRLWIAQGFVQSSSDESTSLEDIGDKYFMDLVHKSFFQNITEDNYYGSVSCQMHDIVHDLASVISRNDCLLVNKKGQHIDKQPRHVSFGFKLDSSWQVPTSLLNAYKLRTFLLPQLGNPLTYYGEGSIELSACNSIMSSSRRFRVLNLNIESKNIPSCIGRMKHLRYLDLSYCRMVEELPRSITDLVNLETLLLNWCTHLKELPKDLWKWVRLRHLELDYCDDLTSMPRGIGKMTNLQTLTQFVLDTTSKDSAKTSELGGLHNLRGLLEITGLEHLRHCPTEAKHMNLIGKSHLHRLRLKWKQHTVGDGNEFEKDEIILHDILHSNIKALVISGFGGVTLSSSPNLLPNLVELGLVNCSRLQYFELSLMHVKRLDMYNLPCLEYIINDSNSDNSSSFCASLTYIVLFQLNNLKGWCKCSEEEISRGCCHQFQSLETLLINDCYKLVSIPQHTYIREVDLCRVSSDILQQLVNHSKVESLNIESILNLKSLSGVFQHLGTLCELRILNCEEFDPCNDEDGCYSMKWKELSNLKLLIFKDIPKMKYLPEGLQHITTLQTLRIRNCENLTSIPEWVKSLQVLDIKGCPNVTSRRHIETYVNCVSHFKIVSQKPERVFTFYFQYSLIKYFGIFKMIDCNIVFSFLGIDPDSLLIGFTLCISIMKMRMKMEKMTREEEEVIARYNVELKKHANLRIHDC >RHN42923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41964152:41964960:-1 gene:gene49430 transcript:rna49430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L25/L23 MAEIVNTVFMIPLMPTSFSGDFKQITFKTIPSVSKVEIKHVVESFYQLEVKKVRTLNVKGKPSKNRSLVAKPDYKKAYVTLKNPASFSNNIFAFHSTANAVDDNNKDEKKMVAN >RHN57646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40755040:40756155:1 gene:gene33224 transcript:rna33224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MLRVINLFKRSRCRRCRRFKFINKCSCWCSYLDSKSHDHAKKNINQNSNSKSCKVISKLLLPEDLLFDIFSLVPLNSLINSTRYVCKSWAATIRSSDFAKVYQRNGRSKLGIYVENRMSKSSSYFLDIKDDMNRQFERIDLETPQTMGDLISTCDGILLLSNGCRENIVVNSILKCWLRIPLFPISHERMVLRDQFIIVRVPCTDKFKLFSKVIPMVSARKEAPFWEFSFKQPIYSGGNDLYWITNEEVIVMDVDKEIIVREYPFPPVLMRGRPPVKFLWMENRFSCIVYKDHFQKRYPIYILDFDSRKWSPYHEMGPFDYVAACGNQLDIMIEIFCLWINDQIIIRVAL >RHN81776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48805601:48811339:-1 gene:gene5864 transcript:rna5864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent protein translocase protein TATB MLTAIASSSSTMLLCPKLGGTCSMSLSTTTSSYSKTQHFYLHSLGHRLFSPWNGLKNLGFSIKPKKPFFHIIDRKGRCKGNVVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLREFQPTIREIQDVSREFKSTLEREIGLDDISNPTQNTYSSNVRNTTSAPPSTDSTNGSQTAVDPNGKADPSRAYSSEDYLKITEEQLKAAAAQQQEQTPPPEEDKSERPIQPPANEIAATVPPPQKPESESLPLDS >RHN64311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58067309:58069949:-1 gene:gene27115 transcript:rna27115 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEALKGKSELWAHDHRFKGTESCRKRAAALRIRRPENKQPNSFHLCYTEKETISQKERIGAQYQ >RHN63869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54646923:54647549:1 gene:gene26624 transcript:rna26624 gene_biotype:protein_coding transcript_biotype:protein_coding MTYIDFQLATCTHKYKHSSNAFVFHRPFFILLHPCAHHCPGSHPTFDGRLQYRHPNIPSRPGGSLNSLTVNGTQVTAKFNISVSVNNPTWFSRVYYNAVSVEVFYRGEALVLKKSCRPSFTTHRKSVINMTPSVHKSLDFGGPLSSKDGMVEFGLVVSSFIKYKNIFPSPWRSLKVVCNPLRFTVSSNDFNTSTSGILLEGLTCTSTW >RHN64030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56025410:56028502:-1 gene:gene26805 transcript:rna26805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MGKRKRGGSDFTHSQSNHYPPSSSSSPSQAIRCSSKMELLPDEPSYSGDQGALALPSVLDITDGSMKLLNMHPSTPHHHHPQNLGRSIFLKRSRYHYGHQYSRRNSANQCNASSSRSKGTSSFDDRLSFKLASQPNSLPRQHLEYREKAFSRPERIRSSTFTMDSVSPDVVKMVCMICEKPLRRKINFMGNSLSCNELAVVAVLVCGHVYHADCLEQRTSVEELRDPSCPMCAGLLLQDHECKEQE >RHN82055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50936129:50945270:1 gene:gene6172 transcript:rna6172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MEFQRRQGHCLSERKGQKRKLDEELPEDRQISSAPPTADERAALLVEVANQVTVLESTFTWNEADRAAAKRATHALADLAKNEEVVNVIVEGGAIPALIKHLQAPPVTDCVQKPLPFEHEVEKGSAFALGLLAVKPEHQQLIVDGGALTHLVDLLKRHNNGLTSRAINSLIRRAADAVTNLAHENSNIKTHVRMEGGIPPLVHLLEFADTKVQRAAAGALRTLAFKNDENKIQIVECDALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLFAGALQPVIGLLSSRCPESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLSSPDVQLREMSAFALGRLAQDTHNQAGIAHNGGLVPLLKLLDSKNGSLQHNAAFALYGLAENEDNVSDFIRVGGVQRLQEGEFIVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVSERGFQRRIALVLAHLCPADDQRRIFIEHHGLELLISLLSSSSSKQQLDGAVALCKLANKASALSPVDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGKRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMRFIYTGSVDITPDIAQDLLRAADQYLLDGLKRLCEYTIAQDILLENVSSMYELSEAFNALSLRHACILFILEHFDKLSARPGHSLLIQRIIPEIRNYFVKALTNVNSHRL >RHN71147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56787394:56789391:-1 gene:gene19796 transcript:rna19796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MDVAKLNYSLNLRKNWTKHAPFLFLFIVFIVKKLTRLLQIKYSRFSFTFLQDDEEADTVGCCTLKVENVTAQGHNKLKFDFLGKDSIKYEYTVEINVNAHLKELMPNLTAKVFRTFNASFTLDDMLNKETKDGDVAEKRDVYQHANKQGAIICNHQRSVSKNHSAQISKLNEKIVELQAFLRELKIDLKKARKEKSPLTSSDGKKRNLNPEAIEKKITQTSAKIEKMQRDMHTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKV >RHN76520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50921992:50924186:-1 gene:gene12860 transcript:rna12860 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSKYGWEVINFSTKNTGLGVREEFKSWQLCHVSFTGNPNGIAEKVAREVMLKSLARIEIPILVSNLVHLPNAFFTFWVKEGFLKHAKVLIPQRHAETMNLTPCRLPIS >RHN54931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13658072:13662713:-1 gene:gene30033 transcript:rna30033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein Networked (NET), actin-binding (NAB) MLQRAASNAYSWWWASHVRTKQSKWMEQNLQDMEEKVQSAIKLIEEDGDSFAKRAEMYYKKRPELISFVEETYKAYRALAERYDHISKELQNANTTIASAFPDRVPFMDEEDDDGSPRTPRRIPEGFKTNIPNPPLKDLKNVVTAATKKFNAKKIASAAATSEVPKSGLSRKEALEEVSKLQKQILSLQTVKEFVKSSYDNSIARYWETEDKIKELQEKVSVLQDELGEGIVIEDDEARRLMAEAALKSCQEAIEQLQEKQERSVDETKIESKRIKDAKAKLGSLMNEFEYDQSKSKEPRVKRDVKSVEERKDLEEDEGQLTQQIQDLQLLQETVKVRFEASSNSSLAATEMAEKIDELVNKVIILETAVSSQTALVYGLRTETDELQGHIQTLEDDKESLIKDKNKLNDQLREMEQKMLAVQDLNQTVEDQNSNLQTHFTEARCNINRLSVEVQSVQPSEEVKVPDSSQIQKNSSGEADSKHEAEGKVPLNQDNVLMNDENKSEKEHTGLLEDALNSDKEQPNEEVKVAGSSEIQKNSSGQAESKHEPEGKVPLNQDNALLNDVTKSEKEQNGLLEDAINSDEKQPNEEVKVADSLEIQKNSSGQTESKHEPEGEIPLHQEDNVLLNDVKKSEKEHTGLLEDAVNSGEELNIANNIEDKVTSDTKFKVTDSPQNGVQQQPSLISQNGLDTENNLKVTSTLEMEGATAVENKPLKELTDQEKTLNLGNSDGKETVAMSTITTTENQEVGQHQTSNKADHSSSESFKKLQENDVKQDPSKTGNNLGVDHKEQETTPDDEPDWRKMFLDGMQDREKALLTEYTNTLRNYKDVKKRLAEIEDKNQDKTLDSCLQLQLNELKTSNYLKDQEIRILHQKLSLLQITMEGNEDLANSISVPPQEEHDIQQLLKIDQPASPSAIEEKFRSNMDEILEENLTFWLKFSTTYAEIQRFETTIKDLQTEVSKLEENGKSSEGSAGIKHSLKSDARPIYKHLTEIQSEITVWVEKSALMKEELQRRLSSLCLIQEEITNALKASAEDDDFRFTSYQAAKFQGEVLNMKQENNKVADELQAGLDIATSLQLEIEKALIKLNEQYELSTSKRQESGDLRQSETKARVPLRTFIFGVKPKKQSIFSYMTPRMHRKFNSASARDQSRL >RHN42361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37700354:37703597:-1 gene:gene48794 transcript:rna48794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK-LRK10L-1 family MALPILFLFLFLLVILPTTICQQHQHNKYEECIKPYTCGEVSGIYYPFWGQNKPSYCGSNNEFNLKCDSHHQNTSIQIGSQHFHVLRIDQLGYTMSMFRKGLVYDHCSSALTNTSLNLSHFHYMPNVRNITILYNCPNGIKLPNGMNGSTNSFSCKEDENKRALFMDSATAESINCEGVRIEVQVAQKAKLGSGIEGLNKALSGGFDVRYVFDTQACLKCILSNGTCGGNDKNKFSCYCPDGTEGLDCSHLHDNRWNSKTKVAVGVSVVVVSAIAVGIGFYIYYYCRKKKKNVHVVSSARSRSVSGYSSEDTERGSRYRGVHFFTYSELEKATNYFDSTRALGDGGFGTVYFGTLQDGRLVAVKRMNENNYRRVEQFVNEVEILTGLHHQNLVSLYGCTSRHSQELLLVYEYVPNGTVADHLHGKKAKPGMLPWHIRMNIAIETASALVYLHASDIIHRDVKTNNILLDNHFSVKVADFGLSRLFPIHVTHISTAPQGTPGYVDPEYHLYYQLTDKSDVFSFGVVLIELISSMPAVDITRHRQEINLSNMAIKKIQNGTLHELVDPTLSFESDFKVRKMINAVAELAFQCLQSSKDVRPSMVEVMERLKDIQSDGKYKCKPEVLDISGDDDTTLIKIDPPPSSPDSNLASLSIPSYTNTDI >RHN54192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7688834:7689319:-1 gene:gene29190 transcript:rna29190 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGLINANPIVHAKKERIPRSEESHSDHFVDPLDIYDILSFIFFYLKLKIK >RHN45739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25148357:25151605:1 gene:gene40129 transcript:rna40129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S6e MKFNVANPTTGCQKKLEIDDDQKLRAFWDKRISQEVNGDALGEEFKGYVFKITGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGHGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDVEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKDGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAAEYQKLLASRLKEQRERRSESLAKKRSRISSAKAAVTA >RHN63496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51767653:51773612:-1 gene:gene26198 transcript:rna26198 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYFDDIENHHGTKIIIFNLWFNDDENLELDFDTDPQDILIAGDVKKIDTVPARKRVNEQQLANRLHHSLRDYLSILYLWDRVPNSFRIILRGQVVKFRNIADDLTDHAFVKYRPHGGGLEEAIFVTIGFLKEAPDVDIHGFNVYHKNRLILPFWPVVSFKGNRGRGIVGVLQADNVQPTHNKQDFERTSPFQKLELRLKDMTWEYWDRFSHKIGYQKRKRRNPVGLPNPSMKKPLVTEKPVALDNCSSPVPISNAQDGSEQTSLTKRKTRGFTDHHEMKRQAVEKNVQTTASPADQMVDQEIVNLLEFNKQLNADCFAFEKMEEELNLEVTQLRSRLEEAKLEYNRLLDEAIDLKCLD >RHN71881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3344541:3344912:1 gene:gene7527 transcript:rna7527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MDHNMPCFYTLALIVLFLCMNTIVSSRDKKLLGGRNLSIGDKGDLVTNLPGQPQADFKHYAGYVIVNETNGRSLFYWFFEAVTKPEEKPLLLWLNGGKVILLCENIHITFLNACIWLFFFVDS >RHN82717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55862737:55868605:-1 gene:gene6899 transcript:rna6899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-N-acetylhexosaminidase MALCYCYKPTLFIIVFTFFISQSFAVKISQPHHSLPFIWPLPAKFTFGNDSLSIDPSLSLTGNGASSSIVRAAFDRYRGIVFKNSYSFGFLRTGKVAYDVTKLNIVVHSKNEELQLGVDESYNLFISKATGSGKVTIEANTVFGALRGLETFSQLCSFDYSTKTVQIYKAPWSIRDKPRFPFRGLMLDTSRHYLPVDVIKQIIESMSYTKLNVLHWHMVDTQSFPLEVPTYPNLWKGSYTKWERYTIEDAYEIVNFSKMRGINVMAEVDVPGHAESWGVGYPDLWPSPTCKSPLDVSKKFTFDVLSGIMTDIRKIFPFELFHLGGDEVNTDCWTNTTQVNKWLQNHKMAANDAYQYFVLKAQNMAISKNWSPVNWEETFNTFPTKLHPRTVVHNWLGPGVCPKVVAKGLRCIFSNQGVWYLDHVDVPWDVVYNAEPLEGIHEASEQKLVLGGEVCMWAERADTSDVQQTIWPRAAAAAERLWSERQYTSGRNSNSTALSRLQYFRCLLNRRGVPAAPVTNFYARTAPDGPGSCFEQ >RHN58279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:528316:528453:1 gene:gene20175 transcript:rna20175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 214 MNFKLFLWPNYRLEDLACINRYWFNTHNGSHFSILRIHMYPRLKD >RHN49195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53209179:53210239:-1 gene:gene44001 transcript:rna44001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MFGKNFIQIKRFLENKLMGEILSFYYGEFYKTEGYRRWSKCRRKKGGKCMTGQKLFAGPRQQELLSRLITHVSEESQDTLLQVSKSFVEDRTSLEEYISSLKSIVGLGVLVEAVGIAVWPRLLARGWHSEQPKYRGYLTSQDYLVFLIPGVEKFSRRKLVKGDHYFDYVSDVLSKVVAEPNILVLEEEAKVGSYNEEEPEKGSNEDDLSDDHRQCYLKPKSANLQ >RHN47698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41690877:41693458:-1 gene:gene42324 transcript:rna42324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin D MASRPIVQQHQQPRGDAALGGGKQQKKNGAAGEGRNRRPLVDIGNVVTLKGVEVKPNRPVTRSFCAQLLANAQAAAVAENNKKQACVNVAPGPAPPVVADGVAAVARRVVAAPKPVQKKVTAKPKPVEVIEVSSEEKDNEEKSVHKKKEEVHSKKKPSRTLSSVLTARSKAACGLTNKPKEIVDIDAGDTNNELAAVEYLEDIYKFYKIVENESRPHDYMDSQPEINERMRGILIDWLVDVHSKFELSPETLYLTINIVDRFLAVNLVSRRELQLVGISAMLMASKYEEIWPPEVNDFVCLSDRAYSHEQILIMEKTILGKLEWTLTVPTPFVFLVRFIKAASVSAVPSDQGDLEMMAHFLSELGMMHYATLRYCPSMLAASAVYAARSTLSKTPVWNETLKMHTGYSEEQLMDCARLLVSFHSGAENGKLKVVYKKYSDPQKGAVAALPPAKNLLLPAAVGSLKI >RHN48001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44175555:44176340:-1 gene:gene42667 transcript:rna42667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MSTKHNFISNVSPRKQSWTLVVRVVRAWFGQDYKNKKLPFSMELVLIDRKGDRIGASIRRTLIYKFKNQLQERMVFTISSFNVASNIGSYRPSRNEYKLNFTINTKVKLSKTVLVPTNVYSFTPAPDVFNESYDNNYLVDVIGVMIGVGVEKEYERDGVKTKMNVIELDSNG >RHN81070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43075139:43078993:-1 gene:gene5065 transcript:rna5065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyridoxal phosphate-dependent transferase, phosphoserine aminotransferase MEGKLSRAAKKLTSSPIQELSHLAQRCNAINLAEGFPDFPAPLHIKNAAVSAINSDLNQYRHVQGICEHLAKIVKEMHGLDIDSETDVAICCGQTEAFAAAIFATIDPGDEVILFDPSYETYQGCVTMAGGVPIHVPLDPPQWTLDPRKLLTSITERTKAIILNSPHNPTGKVFTKDELETIAGACCSRNCLAITDEVYEHITYDNQKHISLASFPGMQERTIITSSLSKTFSVTGWRIGWAIAPASIASAIRNIHIIVTDSAPAPFQEAALTALRSPPEYFESLRRDYQSKRDYIIKLLAGVGFKIQFIPQGSFFLFAELPEDCPLSDVEFVKKLILEAGVVAVPGQGFFHTNLSTNETSSASCNYQKRYIRFAFCKSETTLAAVSEKLGKLLDVEGKLALY >RHN75998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46875568:46875963:1 gene:gene12267 transcript:rna12267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MAVFSVRSINKKNGGGGIVKLIEKLQKKIVIGRNKSTSTYVPEDVKEGHFAVIAKGRKEEEAKRFVLPLSCLTNPTFVRLLEKTEEEYGFDHEGALTIPCKPSELHKMLQQQWQKQEGGAEINCYNMQFLQ >RHN63249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49671335:49678299:-1 gene:gene25928 transcript:rna25928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase transcription factor interactor and regulator CCHC(Zn) family MSNRTNFYKNPSISYNRNLSLSSVLQNLHAYNIATGNITSDDQPPPAPAPTASLKRRRHPRPPQSRHNHHKNDVDDDPSSMSHTDYILKRRKEVDSAKNCDRVELTEDVLGKSNSALSLVDYASDESDSSECEETRTLPNSGHKEESINGVKSRNEQRFPVSGEPVCLICGRYGEYICNETDDDVCSMECKNELLEVLKLNEGTSHNQAEDFSHDQAKDFSSSGIRDALPAPVFSDDTWDYNRHRWSKTRSSLSTYECWKCQRPGHLAEDCLVKSCSEITVGRSNRSSSIPKDLLGLYRRCHQLGKDLLAANCNTCRSSLNLATCIDCSIVLCDGAGHLDDHIRTHPSHQKYYSHKLKRLVKCCKSTCKVTDIKDLLVCHYCFDKAFEKFYDMYTATWKGAGFSIISGSICCEDHFTWHRMNCLNAGAEESAYIVQRNGHKGKRTQLSDFIF >RHN39434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7099380:7102609:1 gene:gene45456 transcript:rna45456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metallo-dependent phosphatase MLGPFVDLPFHATLGDRDIGECSDLDANKVNWISRKLPGLDRSGCGAFEIGNVSFVSLNSVALLCDNSSLRFDVEKVIERESVELREETEAATKTMNHSTHSRHTKYNFFWRESTVLSGSGPVLLLHLPLDQTRNERYAGIGAFERSSSSFIERLNVVPKNRERVGTGVYNLLHTLPLNASEYILQALRPRIIFSAHRCMFSDHVHFDKTREIIVPAMSWNARDDPGFVIATFRKMGRDVSISYCSLARESHVLVVYVSIIVMFCLACLKG >RHN52032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30640946:30642044:-1 gene:gene36646 transcript:rna36646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-40S ribosomal protein S27a MNYMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPQTSKG >RHN40654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18838568:18839203:1 gene:gene46838 transcript:rna46838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative abieta-7,13-dien-18-ol hydroxylase MKAFDESNALIYWRYVDPIWNLKTCDGRTADAPDVLPDGHKVEKGDGVYYLAYAMGRMSSIWGEDVDEFCPERWINNGIFQPESPFKFVAFHAGPRMCLGKDFAYRQMKIVAMCVLNFYNQEMIEYSLSSSFLTTTMANL >RHN70584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52510685:52515795:-1 gene:gene19179 transcript:rna19179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-B-type family MVDSGDRFPIGMRVLAVDDDPTCLLVLETLLRRCQYHVTTTSQAITALTMLRENKDKFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSAYGDTKLVMKGISHGACDYLLKPVRLEELKNIWQHVIRKKKSDPKEKNKTSKPDKTTSDSGSGLRSAGAENSDENGKLTKKRKDQDEDEDEDKENGNDNEDPSAQKKPRVVWSVELHRKFVAAVNQLGIDKAVPKKILDMMNVENITRENVASHLQKYRLYLKRISCVANQQASMVAALGSADQSYLRMGGSGHFHNNAFRSFSPSGIIGNLNTPTSLNGHGFSPSGLLQLGQSRNLNNSCNDQLKFQSAITPVNQNILQGMPMSIGFDHLQNSKGVISVQNLNTDVKTTFPIPNKFPDQRPSFATSSSHPPSLGISNNGLMLDTHPERKHGGIGIGYGSSSSSVASQHSEFSFSMLDQGRHGGNWSSDVPISGIQTNSFSSSECFRQTAVPPSDNMASLPLQAGYSGGSLPDMHSQGMIFTNSPEYINGNLPFQGWEDRNQDATYHSNVTCGSMNSLAPVNGAVVPPGQTATESTLDTKFFNPIQMKHAGFAELTECSSSRQSPANIISQQKFSNNLGSLEYLASSMMGQEQDKMKLLGGDFICDNYSDGVSL >RHN63383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50845350:50846799:1 gene:gene26077 transcript:rna26077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 50S ribosomal protein L30e MLFYVSCVFYFLSCFKFMLFHTHRLLVSYCSKMADAHDTDKNIGVWKIKQLMKNLEAARGNGTSMISLIIPPRDQISRVTKMLANEYGTASNIKSRVNRQSVLGAISSAQQRLKLYNKVPPNGLVLYCGTILTDDEKEKKETFDFEPFRPINASLYLCDNKFHTEPLNEILESDDKYGFIVMDGNGTLFGTLSGNTREVLHKYTVDLPKKHGRGGQSALRFARLRTEKRHNYVRKTAELATQFYINPATSQPNVSGLILAGSADFKTELSKSDMFDPRLQAKLLNVVDVSYGGEMGFNQAIQQSSEILSNVKFIQEKRLIEKYFEEIGQDTGKSVYGVDDTLQALDAGAVETLIVWENLDMTRYVLKNSTTGEIVIKHFNKQQEANQSNFRDPENSSDYEVQEMLSLVEWFANEYKQFGCTLEIVTNKSQEGSQFCKGFGGIGGMLRYQLDMRTFDDFSDDGSVSDDK >RHN45557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22887575:22888212:1 gene:gene39923 transcript:rna39923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MEKGSLEKILKDDEEVITFDWNKRVNVIKDVANALYYMHHDCSPPIVHRDISSKNILLDLEYVARVSDFGIAKLLNPNSTNLTSFAGTYGYAAPEFAYTMEVNVKCDVYSFGILALEILYGKHPGDIISNSSQWTILNSTLDSMPFKDELDQRLPRPMNHIAKKLVSIAKTTIFCLDERP >RHN76764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1087498:1091080:1 gene:gene137 transcript:rna137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MQKDKEVVSKERKDMEMEGNREKEETLPPGFRFHPTDEELITYYLVNKISDSVNFTCKAIGDVDLNKCEPWELPGKAKMGTKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSVTSELVGMKKTLVFYKGRAPRGEKTNWVMHEYRIHSKSSIRTNKDEWVVSRVFRKSAGAKKYPSSSSNLTRAVMNPYNINLEVGPPSFNNNMTPPTMMQHLGLDSATHFQLYGRNYINTGEVLRAGVPMHQYGSHLSSSPLGGEGFTISGLNLNLGGSTSSQTILRPMPSTTHTMAADFNSNMMTGANSNTLVAAENNNNLGFGGGDQVNNANPNHGNRYNMQGTMDHCVDLDHYWPPSY >RHN54713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11523443:11524555:1 gene:gene29791 transcript:rna29791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MEGRKVQQLPHHIDLNERTSIQDPSENSMEQQENLDTDVIESGHAAAILNPNDNNSVQAESVPEGSNEANLVQAESVPEGSHEAKRGRRERVHWTEGEHKLFLEGIEKYGKGRWKDISKEFVVTKTPIQIASHAQKYFIHQNVKDIEKRKKRRSIHDTTLNKNGTLVTLAVEQDEIPSVEQQSETPPQGMQQTQTQQNEISPMLCLLFSIGSTIPDKEKLEKMRDLLAKELRR >RHN70782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53977386:53979986:-1 gene:gene19399 transcript:rna19399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione-specific gamma-glutamylcyclotransferase, gamma-glutamyl cyclotransferase MVFWVFGYGSLVWNPGFDYDEKMLGFIKDYRRVFDLACIDHRGTPENPARTCTLEEKEGEICWGVAYCVRGGPEKEKLAMQYLERRECEYDQKTLVNFYKEGDSLHPALTGVIVFTSTPDKENNIYYLGPAPLEDMARQIATANGPCGNNRDYLFLLEKAMHNLGHEDDLVIELANEVRKVLGVVNVVLNEKKLVGPTQLPHQPHVPIPSLQLHPLPEPIALDS >RHN74871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37335179:37336425:-1 gene:gene11000 transcript:rna11000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MFQPCSGYMAPEYAMHGYLTVRDGVYSFGIVALEIVSGRRNTIHRTKEEAFYLLDWAQLLKEKGDLMELVDRRLGLDFNKKEAMVMMNVALLCTNVTSNFRTSMSSVVSMLEGRNVVPEFVPDSSEVMDEKKMKVMRQYYYQIDANNTSNSQTESQSLTIDGPWTATSSSAVDLYPVHLDSSYWEERN >RHN64113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56676373:56677161:-1 gene:gene26896 transcript:rna26896 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGGGGHPHPAMDGGGNNVGPMSMPMNQMGGNIPAVQGLPAGGGYFQGGGGPGAEMMSGNPYQQQQQQHQQQQQQQQLMAAAMMNQQRAAMVENDQRFQQPMMYARPPPAVNYIYPPYPYPPPDPYSHAFSDENTSSCNIM >RHN42074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35231934:35234187:1 gene:gene48465 transcript:rna48465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidate phosphatase MKILVFLYFFHMTAISTFCYNPSNKYLGTNLLQQRYMKNTSCIHRLSSSRSFTCRFIPFKLWVANSMDGFIRTPYRDEKSDKHVKVLKQEAFVDRSSEFPAKFLFQEVESTLNQLSKWIVTILFGVFIIWRHDAEALWFAAGSILNAMFSISLKQILNQKRPSTLKSDPGMPSSHAQSIFFTVIFIILSSVELLRINELTISGLALAFGSYLSYLRVSQKLHTVSQVVVGAVIGSICSILWYWLWNAFMLDACVSSPWVRIIVVLGTAGIWLSFFLHVIRQWLKDKLNET >RHN65492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2659295:2660443:-1 gene:gene13335 transcript:rna13335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MAKGNDNRNDVVCSQSLTEETTNTQRRRLVAGTLKYTPLELPTLPVDLLPEILCRLPVKLLIQLRYVCKLFNSLISDPKFVKKHLRMATKRHHLMLTNVDEFVTYDSPIPSPFSTSTIVTQTQLYLPTSTLTNGHLWMGLLCSCDGVFCGQLNDDSYFLWNPSVRKFKLLPPLESHNFIRTLSFGYDHFVDNYKVIIVSDENEVRVNTLGTDYWTRIQDIPYSDPICFGDGVFVSGTLNWFAYEVIISLHLENESYQKLCPPDFGDENNPWDFGVLRDCLCVFATSDEYLDVWIMKEYGNQESWTKLYTIPNLQDQNLKASRALYISEDDQLLVECCEIEGETGYIKLVVYDSKTGTLNIPEFQNKYDLIYSNVYIESLISP >RHN78676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17413535:17416420:1 gene:gene2313 transcript:rna2313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L4/L1e MWGKIFSSKLKYQNFSPKPNSNKTLTYINPFLRHKHFAHTIETLTMASAAARPLVTVQTLDSDMATDSQTTLPIPDVMRASIRPDIVNFVHSNISKNSRQPYAVSRKAGHQTSAESWGTGRAVSRIPRVAGGGTHRAGQAAFGNMCRGGRMFAPTRIWRKWHRKINVNQKRYAVVSAIAASAIPSLVLARGHRIETVPEFPLVVGDSAEGVEKTKEAISVLKKIGAFADAEKAKASLGIRPGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGVEITNVERLNLLKLAPGGHLGRFVVWTKSAFEKLDSIYGTFDKGSEKKKGYVLPRAKMVNSDLTRIINSDEVQSVVRPIKKDVKRATLKKNPLKNLNVMLRLNPYAKTAKRMALLAEAERVKAKKEKLDKKRKTVSKEEASAIKAAGKAWYNTMVSDSDYTEFDNFSKWLGVSQ >RHN72265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6267781:6269184:1 gene:gene7959 transcript:rna7959 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSFFLSHILFVFLLFTSNVQQALSQEMVMEEKELLGLFEVMEALLDEPDFSQTHPQPCTDTTWPGIECEVSIDDNDTQQIFHVTKIHIGPDISPCKPSAYLSQSLLKLTFLKTLSLFNCFVTSHVTLPKTLFGPFSSLEHLALQSNTKLHGEIPSSLGFVPNLRVLSLSQNSLYGSIPKQIGGLAFLEQLDLSYNNFIGQIPNEIGELKSLTILDLSWNKFEGNLPNSIGQLQLLQKMDLSSNKLSGKLPQELGNLKRLVLLDLSHNIFSGPIPENLQSLKLLEYLIIDDNPIKAMIPHFISNLWNLKSLSFSGCGLVGSIPNSLSSLKNLSALSLDNNSLIGIVPKNLALLPNLDQLNISHNELNGVLQFPNEFIEKLGERLDVKGNNELCVGDDKTNKNLSLYLEIQSCVGLRAVNDKSYDEDPAGIKPSWIKSNMSSSSTYLDLQDILFALVLCFFFCFLNLCL >RHN56557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31856596:31861897:-1 gene:gene31973 transcript:rna31973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MNPSEQIVEQGISHQNLKRTSCLNVLTLAYQSLGVVYGDLSTSPLYVYKTSFSGKLSLKEDDEEIFGVLSFIFWTFTIIALFKYVFIVMSADDNGEGGTFALYSLLCRHARLSILPNQQPTDETLSAYSTEDSADTWQSSLLKLFFEKHPRFQKGLLIFVLLGTCMTIGDGVITPAISVFSAVSGVQVKINQLHDNYVVIVSCIILVGLFSIQHHGTHRVAFMFAPVVAAWLLCISGIGIYNIFQWNRQVYRALSPVYMFRFLKTTGIEGWLSLSGVVLSITGVETMYADMGHFSALSIKIAFTCLVYPCLILAYMGEAAFLSKHHYDIERSFYKAIPEAVFWPVFIVATFAAVVGSQAVISATFSIISQCCALNCFPRVKIVHTSSKIYGQIYVPEVNWILMCLCLAVTIGLRDTNMMGHAYGLAITTVMFVTTCLMTLIIIIVWKQGIIKALTCFLLFGSIELLYISASVCKIPEGGWIPISLSFIFMAIMFTWNYGTMKKHKFDVENKVSMSKMLSLGPCLGMVRVPGIGLIFTNLASGIPAIFGHFITNLPAFHQVLVFVCAKSVQVPYVSESERLVISRIGPKEFYMFRCIVRYGYKDIQQENYNFDNKLVSAIIQFIESEDCVQEQTNELTIDDGRNLNAEDLGASQHTLKLNWSHSEKNSLAFSCDGQQLQDESYKVESLQILKAKESGITYIVGHSYAEAKKSSSILKKFGIDVVYAFLSKNCREPDIMLEVVHTSLLEVGMVYHV >RHN57698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41126567:41130555:1 gene:gene33279 transcript:rna33279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MAPEPHRRDVETSDEQLDEISIEKGFEGKSVPSWQKQVTVRAIVVSIMLSVMFTFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKLLEKAGWLNQPFTRQENTVIQTCVVAASGIAFSGGFGSYLFGMSPTIAKQIPEAGTANDVKSLGLGWMIAFLFVVSFLGLFSVVPLRKIMIVDFKLTYPSGTATAHLINSFHTSEGAKLAKKQVKALGKFFSFSFLWGFFQWFFAAGDSCGFTNFPTFGLEAYQRKFYFDFSATYVGVGMICPYIINISLLIGGVLSWGVMWPLIGAKKGDWFPADLKESSLHGLQGYRVFIGIAMILGDGLYNFVKVLGTTLIGLYKQLKKKDKGAQSEDPNAPLPPTLSFDDKRRTEMFLKDQIPSWFAIAGYVIIAIISIITIPHIFHQLKWYHIICIYIIAPALAFCNAYGCGLTDWSLASTYGKLAIFIIGAWAGPANGGILASLAACGVMMNIVSTASDLMQDFKTGYMTLASPKSMFVSQVIGTAIGCVVSPCVFWLFYHAFGTLGQPGSAYPAPYALVYRNIAILGVDGFSALPKNCLVLCIIFFTGAIIINFVRDLVGKKYAKYIPVPMAMAIPFYIGSYFAIDMCVGSLILFIWQKLDRAKADAFASAVASGLICGDGIWSLPSSFLALAGVQPPICMKFLSRAANAKVDGFLES >RHN68751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38257985:38268254:1 gene:gene17140 transcript:rna17140 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMLSGDRRWTSSTRRGGMTVLGKVAVPKPINLPSQRLENHGLDPNVEIVPKGTLGWGSKSSSSASNAWGSSLSPNANAGASSPSHLSARPSSGGSGTRPSTSGSDMASELTTTSAWGSSSRPSSASGPPTSNQTSQTSLRPRSAETRPGSSHLSRFAEHVGENSVAWNGARTTETLGITQRKNDDFSLSTGDFPTLGSEKDKSVHDVELQDHSSHIRPDSSSGLRRDKNETSTIDDVPVHDNAKGEAINSWRRDYQAFNEGGMRPGIEKWPGNLQTYHNIPPQHFDVWRGAPVNNHQRDIWFRGPPNGPPFAPGGFPMEPFPFYRPQFPPNGLANPPQVPPPGSGPRGQHNNGELYRPPHMPDAYIPPGMPLRPGFFPGPMAYEGYYGPPMGYCNSNDRDIPFMGMAAGAPVYNRNSSQNPHEPGNSHGRSGGHDPAVKPLASEPVESSHTPDTVGPYRVLLKQHNNWDGKNEPTNCEDSLKTNESFVDMRDQVTVSVQENDHIRNMKTDLRRTSAHGKEASSQTSGNQGSSFVNNAKSLESTRSFNNDNIAARKLDGVVSNTLETSSRLPSPQDSSLIQKIEGLNAKARDNSSTKSKEERRNKFHPDSHAENEGSAGVVFPDTTLATEVKNPTARGVGAFGGEKNFESSSLSGTATSRHISHGMQGRSNHRKGRLDAQDADGWRKKSGVIDSSTSLGAQLDATNILVGEHQISVDAYERSGSYSQVGREGESMQNLANSADNHAQHANNTKELAKQQTKQRQEEEVERPKKQKAKSIVKLGEVNKRTQAVEGSTQKVHDANSALQNKQEAFQPSESATALGKSGAATSSVMPNDNDANINRVQKAPILSSETSLETVKNADKEPVLNHNKSATLFHNVNCGDATNALQVHNNVASRQKRAGYKHKNNLSQEKNLNVSTTSNVPKVENHTVAHVNVSSGIAAGTNEVISTFSSGLPMNSTSTVESPVNQKRKNSRNSKNKQKVEEPLSVAALPSATAKEVNLSRSSVENKARKDVELDQGSDQRYIENEEPYGRMNGQLKSQHSRRMPRSLQANRQAEKSHGSDVLMWAPVKPLNKIETLDESSEKSKTEAIVPAKSDQQVHSLKNKRAEMERYVPKPVAKEMAQQGSLQQVVSSISQVPTDDCVERVDSGPHGPQNTRHAISGVGKMGSVMESKNGDSRQSRAWKGKAHGSWRQRNSIESNDMHDMQDGVNHGSNSCQNIQIPMERQQLHVSETSLLRGHSKHANDTSKPDGRERQVPFRRQKGTGVNHEVDEKKNAGDTGKTETLLSSFEQNQPDLNAVSMESQSTGDRISSHWQPKFQASTNNPRGNRPKRKESAHAAAGVSFSDGQDNESGTLTAQPPSQSVPEKSKGGDASNLGNPGTVRETRNAPPKGRPHSSNQVAASSSELAPPGMDFRHQQRPSSGGRRNGNQNRFGKGHESQGNWETTAQDEWHHHNQSGNRERQGPNFHNHHEYQTNGPHVGDSKSDYSERPEDGNYQAGGRFRERSQTHSRRGGGNFSRR >RHN38686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1305820:1306694:-1 gene:gene44646 transcript:rna44646 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKGMEDQVIPLMEEYKPVINTSDCEKGMIKGFVEMEESDAVQATSIVAQGTITLCLILAIFLILSRNFMAKHENIIMVILVPLYLFIQFGLCSVAITFPVDNRVFGILFMLVIFSAVSVIEVSIFSWTVASIFLIFWAIMFPQLLIRHWKVISTEDVVFSKGIQRFIAIICVISILYTIKSIVYNIYV >RHN81318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44822797:44824416:-1 gene:gene5336 transcript:rna5336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MARDNSDIFSLSSESLLPAIISIILLAIATCILNKKWLRIRQSDANFDFNENFFVDSTSGEIKQGQREVFIDFIKNWIAQFTSRRINKFSLTVSNPQTCGETIERCVAFVTQRGVKDLTLDFSNPKWNENDLDDKHALFQLPTHVYQLGSLLKSLKLYSCSFDMPDILNFGALKDVSLGWIEVPMNTLKTLLSTCKTIENLSLKRCWNLVDFDLKDIVQLGLKRMVLNKCDTQCIKLDAPNLKFFKYSGDVFTSEICVAPSAGDLVTVSAKTYLNVRHLILKTQMHKYELCGFEFLLNSCPLLEKLTLDISQQVIFKDYRLPYLTDLEKFWQTRRVFAQCLQNTLKMIEIFGSRATNQELRTCCFLMKGKALEQINIKLSNEDGNIEFHRRRAQRLLKAPERSENLQITID >RHN54596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10687921:10693561:1 gene:gene29661 transcript:rna29661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (carboxymethyluridine(34)-5-O)-methyltransferase MGLPRFGRPKNGDELSSNLFVANCGPAVGISDDEIASVFSKFGELNGVYAADDSGTRVIVSFSDVGSAQSAFMALHGKPCPELGGRSLFIRYSVLQPNPQDQVKDLVPVSMTASDLNIPGLYLLHDFVSATEEEELLQSVDSRPWNSLAKRRVQHYGYEFCYDIRNVNTKRCLGELPSFLSPILERISSCPTFKNADPDRIVLDQLTVNEYPPGVGLSPHIDTHSAFEDLIFSLSLAGPCIMEFRRYGDGDWRPRVASSIDTKVDCPEDGSNCIKRAIYLPPRSLLLLSGEARYAWHHYIPHHKIDKVDGKVIRRASRRVSFTLRKVRAGLCKCEFPQYCDSQR >RHN57510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39645426:39648693:-1 gene:gene33059 transcript:rna33059 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKDSPENQGIEDSERSLHGSVSFQKGDSSLTAKDLHDKLSPLWKEVVTSWKLVSLGKGYFEFQFSSVEEMRRISALGVVYLKPGLLRFFPWSKDFNPNNHKQSYAQVWVRFRYLPQEYWMPRTLFEIASALGTPLDMDENTGNREKRTFGHYARLLVDVDLSKKLYYSITVQREGFEFPLEVIYEKLPQYCNMCKQIGHKHNVKRCKKLVEKSRVDSKENQSRLVKHVSKEDIRPNGVSLEAATVEKDNLANKGTSQTLSKENPDLVLNIARTVEVARGKSISEICEKVHDIDIDKFALGDNLALQSCLSEPALTALANSINVRGINKSHVLFGEHCNPVMGQSLQTSSPDNIITSTPSVTNYTQK >RHN58361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1096939:1103302:1 gene:gene20266 transcript:rna20266 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEAKAKVKSGDGGFRSRLNQILYSGEKKHVFAGLVLITAVFSVPWFLMNRGSKHQSHQDYLEKADKARSERLSSSPASSK >RHN59886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14440206:14442151:-1 gene:gene22053 transcript:rna22053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MIFEATIQSLQQGTIEYITNFETMQYVLCLLIAVASFSGDLGQTFDSNRTLSHYQSNSTKGQTVLFVGDLSYADNYPNHDNVRWDTWGRFAERSVAYQPWIWTVGNHELDFAPEIGETEPFKPFSHRYQTPYKASQSTSPFWYSIKRASAHIIVLASYSAYGKYTPQYAWLEEELPRVNRKKTPWLIVLMHSPWYNSNSYHYMEGETMRVMFESWFVKYKVDVVFAGHVHAYERSERVSNIAYNIVNGICAPVKDLSAPVYINIGDGGNIEGLATKYTQPQPEYSAFREASFGHAIFDIKNRTHAYYSWHRNQDGDAVKSDSLWFFNRFWNPVDDSKPHGSH >RHN42290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37153640:37159199:-1 gene:gene48714 transcript:rna48714 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKNSGEEERSNLLLLDRASRATRGKRLSKLLDDEVQQDELFWGQDALKEEDEDDNYQEEAEIADEFDSDFDQDEPEPDEEQPQNDDERMNKKKRLVYPGKTTSAKKKKKKKILSNLDDPPKIDGDDDQDDDKNNKNNNQDDDAKEVMIRKSTRTSVIVRQAEREAIREALKATYKPVIRKKGEEKKMSQEEMLLEAAQTEIMNLRNLERVLAREEEVKRRAIVHKTVFNGPQIHYISQNGCSYLEFTKGASFHSDIATTPQEYPEQPVCVITGLPAKYRDPKTGLPYATKEAFKIIRQRILDESANSRKETNMGGLYDSVSGYGFPTKKKRSIMRDKNIHPHDRSMARFRRITTFEDEDSD >RHN45304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16002696:16013274:1 gene:gene39567 transcript:rna39567 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSFTHFQKTFQDRGRERKEILKSKEELRRESLQLVTRSKIEATN >RHN80206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36108944:36111817:-1 gene:gene4105 transcript:rna4105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant organelle RNA recognition domain-containing protein MFSKTKIQTFFCYYLNNNLNIHVHTRLISSLKVVWRKDQSLDQAIEQDKRFKQCARVVKEVLNEPGQVIPLRYLEKRRQRMRLKVKIDTFLNQNPFLFDVYYDRIKPKTEPVKFLRVSDHLLQFLQEEKRIYKENEPLIVSKLCKLLMMSKDKIVSADKLLHVKREFGFPNDFLVDLVPRYPEYFRLTGLPGEGKSFLELVNWNPEFAKSVIERRAEEESRATGIRVRPSFNVKLPPGFVLKKEMREWIRDWMELDYVSPYEDVSHLEQASREMEKRSVGVFHELLSLSLYKRVPVPILGKFCDEYRFSNAFSSAFTRHSGIFYMSLKGGIETAMLREAYDGDKLIDIDPLLQIKDRFAELLKEGWRLRAEQLKLKQEKIKQDMELVATRVAE >RHN44870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9291210:9291728:1 gene:gene39037 transcript:rna39037 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKKDQPPFNLRFITCSYMPLGGRFESMIRKQSSTNPKITPHSIGHGYGNSSLQEVLNPSLVRLKNNTEVSIDGVGFQGIAGASATDSRIEDGEKIDAVQKFGESTTNVPTSSNSNKDKKNILAPTLTMDYINNIKFHVEEESSDFDYESSKLDLTLKLYESSELDLTLKL >RHN72392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7249419:7254761:-1 gene:gene8097 transcript:rna8097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC/ECF transporter, transmembrane component MNCNHATFLLVSLPPPPPLLFPKFHYPVPKKTLLTLNLKRNPILRIKASKDDNGQSPNWSKWIPTGSFAADKVFRLISSATASPIGQFVSSPTTFLHSIDPRVKLVWLLVLVVLPARSHIIMRFGLVAYLTLLSIWILPRNAWKDQLGRVYFLSALLFITIGLGSDGVPALVQSRTPPPAVSGLPNLPISLTGYSYVISKLGPLTFTRKGLSVGSTVACLTFTVFQSASLCLTTTTPEQLASALRWFMLPLRYIGVSVSEIVLTLLLSLRFISLVFDEVRNIALGIVSRRVNWKQLTVMETIDIFFNYFRRIFKNIFSHAEQISQAMIARGFKGDVDNHKIYFLSESSFGMADIVCLLNLTVVIGASLLSEYYLV >RHN41278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28815159:28816604:1 gene:gene47583 transcript:rna47583 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSLFFNMYTQESYPLLTKLVFKRNSSNRRYHCLGLCFNPYKDFFKRHTLDLSSTKPFGCSM >RHN63344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50529850:50534047:-1 gene:gene26031 transcript:rna26031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-acylglycerophosphocholine O-acyltransferase MELQLDSMAASIGVSVAVLRFLLCFVATIPLSFFWRFVPGRLPKHFYSAAVGVFLSYLSFGFSSNLHFLVPMVLGYASMVIYRQRCGIITFFLGFGYLIGCHVYYMSGDAWKEGGIDATGALMVLTLKVISCAINYNDGILKEEGLRDAQKKNRLVKLPSVIEYVGFCLCCGSHFAGPVFEMKDYLDWTEGQGIWSFGAKGPKPSPYGATIRALLQAGFCMGLYLNLVPYFPLTKFTEPSYHEWCFWKKLGYQYMSGLTARWKYYFIWSISEASIIISGLGFSGWTDSSPPKPRWDRAKNVDILGVEFAKSAVVIPAVWNIQVSTWLRHYVYDRLIQSGKKPGFLQLLATQTVSAIWHGLYPGYIIFFVQSALMIAGSRVIYRWQQGVPPTVKNALVFANFAYTLLVLSYSCVGFMVLSLHETLASYGSVYFIGTVLPIVIILLGKVIKPGRPARSKARKEE >RHN81702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48267634:48276270:1 gene:gene5783 transcript:rna5783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MGKKKPAIRDDENPPPQQGGGKSKKKAPVIDDDEYSIGVEVSEDAIPVAVEEKPVKKKGKKGNAKSKKDNDDDFDDAVVVDDDDVNEIVFAGKKKGKSKKSSGGSVFASGFGVLGDEEDVDNDDDEKSEVTGEGDDDEDEPVVSFTGKKKSSKGSKKGGGGSVFTAAAGFGLLGDDEDVDNDDGEEKSDEDEPVVFSGKKKPSKGWKKGASSLFSALDENDDGEEESKNEKVEDDDDEPITFSGKKKKSSKGSKKAGVSLSKAIPEEDSVSVSESAKGGDYEKDEDDVSFAFTGKKKSSKKKSGSAAAKVSDEIEFGSESVNVVEAEKPSVDNGNISKSEEVVGTSKNKKKNKKKSGRTKEEEDDLDKLLAELGEAPATAQPAAAPPQQDDKVQPVPVVGSAPGASGEKEGEDETVESAATKKKKKKKEKEKEKKAAAAAAGSAPVVEAVEEKAEAIEPKKNDSKTKAADKKVPKHVREMQELLARRKEAEEKKKKEEEEKQRKEEEERRRIEELERQAEEAKRRKKEKEKEKLLKKKQEGKLLTGKQKEEARRLEAMRRQILNSTGGVTLPGADTGGPSKKPIYQTKKGKSTNRNHNGAAAVKTEENVEATETTADLDTEELEKVEEVESVQMEDKVELPEVVEEVVDEDDDVEDEWDAKSWDDVNLNDRGAFADEEVDSEPEPIVKKEIKNGIPSKNAAGATNKPVTKPAAEETEDRKQAKVVVEDKKKKHDPQLSAVPSKPSEGNLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTKELKADATLKVPGLLVIDTPGHESFNNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLKMRNTEFIVALNKVDRLYGWKTCRNAPIRKAMTQQSKDVQNEFNMRVTQIVTQFKEQGLNTELYYKNKEMGETFSIVPTSAISGEGIPDMLLLLVQWTQKTMTEKLTYSEEVQCTVLEVKVIEGHGTTIDVVLVNGVLHEGDQIVVSGMQGPIVTTIRALLTPHPMKELRVKGSYIHHKEIKAAMGIKITAQGLEHAIAGASLYVVKPDDDLEYIKKAALEDVESVLSRIDRSGEGVCVQASTLGSLEALLEFLKTPEVNIPVSAINIGPVHKKDVMKASVMLEKKREYATILAFDVKVTPEARDLAEELGVKIFIADIIYHLFDQFKAYMDNIKEEKKKESADEAVFPCVLKILPNCVFNKKDPIVLGVDILEGILKIGTPICIPSQEFIDIGRIASIENNHKPVDYAKKGQKVAIKIVGSNSEEQQKMFGRHFEIDDELVSHISRRSIDILKTNYRDDLTMEEWKLVVKLKTLFKIQ >RHN77074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3799236:3800286:-1 gene:gene485 transcript:rna485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MTEKNPRTANSSSPESDFSNQQWPFELSDYLSFDDNEWLQNNPTTESLVFQANEVAGGSHIEGSSSSIRDTNNMNMSGNENWKKEVKERVAFKTKSLIEILDDGYRWRKYGKKMVKNSPNPRNYYRCSVEGCPVKKRVERDNNDSSYVITTYEGMHTHPNSC >RHN44528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5745198:5750903:-1 gene:gene38657 transcript:rna38657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MKGEIKMKSKIKWVGLVGIVLSAFSIFIHFLLARFTQLSVSDYQSSITIFSWRPIFDKPDFPTNSGSYRRLWGQVKRLESLYPDSNPRGYYPDPGSQSNGFIFVRIQGGFHEIRNSISDVVVVARLLNATLAMPEIQSTTSSKGISSQFKSFAYLYNEDQFIFSLAKDVKVIRTLPKYLKGARRKKEIPSFKVPYSASPFYYLHHVLPVLKKHSVVELVVSNGGCLQATLPPSFEEYQRLRCRVSFHALQFRQEVHELSAKILQRLRAPSRPFIAFDPGMTRESLAYHGCAELFQDVHTELIQHKRLWMIKRGIVKGKLRVNSAEARLNGSCPLMPEEIGILLRAYGYSKDAIIYVSGGEVFGGQRTLIPLHAMFENVVDRTSLSTPWEMIKIYGQEVNLVNPPPGPPPFEEVKKLAAWKNAGPRPRPLPPPPARPKSYNIEGWWGWVAESDNEPDNTVMELRTNAHKLLWEAIDYAVCVEADVFIPGFDRDGKGHSNFASLVMGHRLYQSAASKTFRPDRKEAAKFVDEIRDHMYQANRTWLKSVRRHLRKALIDGIIEASSKSKSLSFLSHPVPECSCLRHDSFEASKNSSIPLSLGVTHLCPAWMVTSPVSQSKDKENEDDGDDDDSVSGLFFKQSGGNNEGDAEVNTKEENQFEDQEELEGGDR >RHN66275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10263897:10264894:-1 gene:gene14224 transcript:rna14224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MSLNMIPIIIIFVGLLLASSSCSYSTPNNTSYYKTLTPTSPSLSHDSPSPYYSPLLNKGKKKPPPTPPLPPPQPIVTPFEYLKIVQTWPTSFCKFKKCIIPPPTTWFTIHGVWPSNISDPQPRLCTKEKIDWSTFSSLVSMTDLRKYWPRLDTAVRNDDLFFWSEQWDNHGTCSSMHPPDFFNLAFKIYHKKELKTILQNEGIIPGGIKPETSQKIFDTIETGIGGFKPQIECLRVQNKDYLYQIKLCLDKTGDKYKDCPGPLIKCPMDVYFP >RHN65782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5301712:5303244:1 gene:gene13671 transcript:rna13671 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQSLSFLRSITIQFCDELELFSLGGLPIPKLIYLEVQNRKKHYGWPSRNEI >RHN43607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47187821:47190796:1 gene:gene50213 transcript:rna50213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin-2 MSWQTYVDDHLLCDIEGNHLTHAAILGVDGSVWAQSANFPQFKPEEINAINKDFDEPGTLAPTGLHIGGTKYMVIQGEPGAVIRGKKGAGGVTVKKTNMALVIGIYDEPMTPGQCNMVVERLGDYLVEQGL >RHN52637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37281259:37281705:-1 gene:gene37331 transcript:rna37331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MVASLFLPSFLVCYILNLIHSLNVDHYVSEFNHMLNIKLTPPIFKFGKILGSLVKINHFHTVISLSRLIELRGIQTDIVNLNILVNCFCQLGQLNYAFSVLAKILKMGYQPDTVTLTTLIKGLCRSGQVSYGTLINGLCKIGETRAAL >RHN67678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29223318:29226784:-1 gene:gene15889 transcript:rna15889 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >RHN68340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34748687:34755036:-1 gene:gene16677 transcript:rna16677 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVSNARRVSRLLQSPIFLSSHLRSTEEPILSGLAQYYYRQVKSEPSIFFASKAFYSSGVDNVEGTPSDAVKNLYDKMLESVNVKRSMPPNAWLWSMIASCKHHHDISLLFDILRNLRRFRLSNLRIHDNFNCHLCREVTKACVHAGALDFGKKALWKHNVYGLAPSVASAHHLLLFAKNNNDTKLLVEVMKLLKKNDLPLQPGTADIVFSICYNTDEWELINKYAIRFVKAGVKLRETSFDTWMKFAAKRGDTESLWKIEKLRSDSMKKHTLATGFSCAKGLLLEGKPSDAVAIIQVLNQTLSDTKKSGMKDELQKLVSEWPFEVLKHKNEEERKAFAASLKSDILAMVNDLLDMGLEANVSLEDLSRRGVPQ >RHN47963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43859284:43860596:-1 gene:gene42622 transcript:rna42622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-25/LEA-D113 MQGAKKAGETIKETAANIGASAKSGMEKTKATLQEKTEKMTARDPVQKEMATHKKEAKMNQAELDKLAAREHNAAVKQTTTAAAGHMGQPHHTTGTTGTGTATYSTTGNYGHPTGAHQMSAMPGHGTGQPTGHVVDGVVGSHPIGTNRGTDGTATAHNTRVGGNPNATGYTTGGTYK >RHN81041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42847125:42847857:-1 gene:gene5035 transcript:rna5035 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYNCFLCPFLSLSLFFRRSIARCQKWKKIVERDVLDAANAAAQAAQGRLALVLGGVSQANGAGQTLLQNVTNSIIELIPVLAQLIIPQLPQPNGGGGVGNNAYPGAEHVIQNFINIWYDRGFVHGKLLF >RHN46767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34692943:34704774:1 gene:gene41291 transcript:rna41291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vestitone reductase MHDVVMEEGKGRVCVTGGTGFLGSWIIKRLLEDGYTVNATVRDDPGQSVLMEEGKGRVCVTGGTGFLGSWIIKRLLEDGYTVNATVRDDPDRKKDVSFLTNLPGASQKLIFFGADLSIPESFNAAIEGCSGIFHTASPMDMEMNESEETVTKRTIDGALGILKACKNSKTVKRVIYTSSASAVYWQDKDDNVMDESYWSDENILRDLKPFGWSYSISKTMAEKVVLEFGEQLGLDVVTIIPTLVVGSFICPKLPGSIYTSLSLLFGDKNPFGFSHLPMVHVDDIARAHIFLLEHPNPKGRYNCSPFMANIEEIAQHISSKYPEIHIPTLEELKDIKGDKLPHLTSKKLMDAGFEFKHSLEEMLDDTIQCCKDKAYL >RHN59060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7225016:7225306:-1 gene:gene21044 transcript:rna21044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose synthase MSMASASSGLKCIELITNNMLSDVSKQSRYQMNRCFDKYLEKGERNINFHDLMEEMEQVVNDKSHKNQILEGNFGFFY >RHN48173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45575644:45575911:-1 gene:gene42860 transcript:rna42860 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDCIRPFCFLLIVGLEIWGRVFSFVLYSFLVGGFSACLGTMEIFVFVSLSLPSRLVL >RHN68053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32395902:32397702:-1 gene:gene16343 transcript:rna16343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Plus-3 domain-containing protein MKYKIGRPEYNVLSWHITLLQNEVNMSNILLIAITLFLYAYIVIRIHITGIKRSSKKEEIYQEIQLRLSYVAEDVPISKISDDDFSEEECQDLYRRMTNGLLKKPTIMELEQKARTLHEDMIKHWISRERELLRNRIDRANEKGWRREYPFAMFLLNDTSY >RHN75106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39432098:39432839:-1 gene:gene11265 transcript:rna11265 gene_biotype:protein_coding transcript_biotype:protein_coding MFESITDHVVLTLVALLLSLFHVLRHVPLQSKKPSMIHMLKLLLNEEHILGKNKMNPFFQNHF >RHN65237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:440808:441322:-1 gene:gene13056 transcript:rna13056 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSVIALQFLFPPQLIFQPPFLFLPQPFGNEKHIYSIISGHRDGTIASYSSSLFFGFDMGDVIIDGKSTGMCRFMLAEMLIHWFDQLPNNYPSKRTSGYKRFYAKAVSADSHKPLVDNNQNTPSSSSSKLSLFLVVQAVLVTIHLFFFLLFLL >RHN57126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36687811:36689562:-1 gene:gene32626 transcript:rna32626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MGAGVLVSSTNGRQYEGKVTPFVFVTCLVAAMGGLLFGYDLGITGGVTSMEPFLVKFFPSVYKKMKDESRHDSNYCKFDNQLLTLFTSSLYIAALIASFFASTTTRVFGRKISMFAGGLFFLVGALLNGLAVNVGMLIIGRLLLGFGVGYCNQSVPVYLSEMAPTKMRGALNIGFSMMCTIGILVANLINYGTSKLENGWRISLGLGAVPAVMLCVGSFFLGDTPNSLIERGQTEGAKEMLQKIRGIDNVDEEFQDLIDASEEAKKVEHPWKNITQTRYRPQLTFCSLIPFFQQLTGINVIMFYAPVLFKTLGFGNDASLISAVISGGVNVVATLISIYTVDKFGRRTLFLEGGIQMFICQIAVGSMIAIKLGVSGEGSFTKTEADLLLVFICLYVAAFAWSWGALGWLVPSEICSLEVRSAGQATNVAVNMLFTFIIAQVFLTMLCHLKFGLFFFFAGFVLIMSIFVALFLPETNNVPIEEMNKVWKSHWFWKKFVSNVVIDHGQKAIA >RHN64899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62790099:62791527:-1 gene:gene27772 transcript:rna27772 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSLPLSCNRFQFSSNIAPNIEFLPAFASVVAVVSNTLPPTLNFTLSFITPMICFFQIMKLFQKPRWELGSSQRI >RHN47046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36770783:36775494:1 gene:gene41597 transcript:rna41597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prolyl aminopeptidase MLLSHAPPLSFLLTTLLHFHPRHSSRALSSIPLFSKPLTLSLSRRSSRIQMNGVTIATVDSSTSSPDHVTGDWFSVPSLRLRDHRFTVPLDYSQGPQSSSKITVFAREVVAVGKEEQTLPYLLFLQGGPGFECRPPTESSGWIQKVCEQFRLILMDQRGTGLSTPLSVSSMSQFKSAQDLADFLKYFRADSIVKDAEFIRVRLVPNAGPWTILGQSYGGFCAVTYLSFAPQGLTQALLTGGIPPIGQGCTADSVYRACFEQIMHQNEKYYKRYPQDIKIVQELVNYLAEQEGGGVALPSGGILTPRGLQTLGLSGLGSGAGFESMHYMFERVWDPTLVPGSPKRISHYFLNAFESSISVETNPLYALLHESIYCQGSASKWSANRIRTEVEDKFDAIKTAKEGLPVLFTGEMIFPWMFDEIHALKPFKDVAHILSEKKDWPRLYDIQALNNNKVPVAAAVYYEDMYVNFKLSKETASQIAGIRLWITNEFMHSGLRDDGGKVLDHLLGMLNGKKPLF >RHN80357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37402468:37405115:1 gene:gene4272 transcript:rna4272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-Pl family MEKPGETIAVVLSAKEAFEKLEKVGEGTYGKVYRAREKATGKIVALKKTRLHEDDEGVPPTTLREVSILRMLSRDPHVVRLLDVKQGQNKEGKTVLYLVFEYMDTDLKKFIRSFRQTGQNIPPPTIKGLMYQLCKGVAFCHGHGILHRDLKPHNLLMDRKTMMLKIADLGLARAFTVPLKKYTHEILTLWYRAPEVLLGATHYSMAVDMWSVACIFAELVTKTALFPGDSELQQLLHIFRLLGTPNEDVWPGVSKLMNWHEYPQWGPQSLSKAVPGLEETGVDLLSQMLQYEPSKRLSAKKAMEHPYFDDLDKTYL >RHN58079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43575282:43583460:-1 gene:gene33686 transcript:rna33686 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHPSSDLILHRLSSSDYEIKLKAIREIKNQIIGNRTKKLSYIKLGAVPSVADALATANSDSDFGSNLIVQSAAVLGSFACGVDQGVRAVLDAGAFPHLIRFLSAADEKVVDAAARSLRMIYQSKLAPKFDFYKEQNMDFLLSLLRSENENLTGLGAGVIIHSCETSDEQNILCQAGSLEKLISGLDGSINQRDASLESLATILKNNPEAVSKFAELQNGRALRSVIELTKDRYSRTRLLACLCLICIKNSSSCHLQDIGIKTKLIYILLELLDDSSQVGEEASFAFSSLVSGKEDLQKLAFEANAIDKFYNHLQNCALHPKRLEGIFLALAELCSKLECCRSKFISLQVLNLLISALTHDEANVRTAACKCLKSVSRSIKNLSAGYFMNERIVIPLVRLLSDLSTSVQVAALGAISNIVVDFTPDKSTFIQCGGIKELVQLTKSMDSSLRLNAVWSLRNMVFLADRMCKEAIFMELTVSSVASLICDSEPSVQEQALGLVCNFVDGCMDCVEFAFAEDGIILDAVGRQLWKFSKMEIGIQGMYVLSNIASGNEFHKEAIMQLLFPQAENGSHSLLSQYLQSNDSRLRTSAAWVIVNLTVPASPGAFDRVVKLRNFGIVSQIKRMISDSCMDVKLRARLAFGQINSFGDS >RHN64801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61993194:61998180:1 gene:gene27660 transcript:rna27660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MRAGLSTIQQTLTPEAASVLNHSIAEAGRRNHGQTTPLHVAATLLASPSGYLRQACIKSHPNSSHPLQCRALELCFSVALERLPTSQNASSTSAMEPPISNALMAALKRAQAHQRRGYPEQQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKATIEQSLNSVAPSPVTVNSNPMMGFRPGMVTPGAAPTRNLYMNPRLQQQGGAAALSGAHKGDEVKRVVEILMRTKKRNPVLVGESEPEAAIREVLKKIENKELGEGVFSNAHAIYLEKELPSDRGQIPVRIKELGDLIESRLGNSGSCGGVFINLGDLKWLVEQPVGFGLGNMQQPALAEAGRAAVAEMGRLVAKFGEGGVGKLWLLGTATCETYLRCQVYHPSMENDWDLQAVPITTRSPLPGMFPRLGTNGILGTTLESLSPLKTLTPTPITPLTRASENVDPAAAAAPTCCPQCMRSCEQEIADMLKETEKSDSELKPDATRPPLPQWLQNARTNNDNAKVMDQAQSNGQEGNVKKRTQEIQKKWHDSCLNLHPKFHQQNVSTERIVPTPFSMTNLYNVNLLGRQFQPKVQPNKNLGCSLQLSSIPIPIQQSEHTASPRKSTVTTELVLGQTKPSDTIPEESHRERINDFLSSLSSESQDKFDELHSKKLFDTDSFKRLLKTLTEKVWWQQDAASAIATAVTQCKLGNGKRRSKGDTWLMFTGPDRIGKKRMAAALSELVSGSNPIVISLAQRRGDGDSNAHQFRGKTVLDRIVETIRRNPHSVIMLEDIDEANTLLRGNIKRAMEQGRFPDSHGREISLGNVMFILTSNWLPEDLSYLSNGAPLDDEKLENLASGGWQLRLSVTKKVSKRRPSWLSNEERSLKPRKELNLGLSFDLNEAADVEEDRADGSHNSSDFTVDHEENNHNGGSPSKPRELLDSVDDAIVFKPLNFDLIRQNFSASIAKRFSAVVGNGISIEVQEEALDKITSGVWLGQTTIDEWMEKVLVPSFHQLNKSYNSSNLDEHESSLLVRLEDDGYSDRRSSQELLPASVRVAAE >RHN72885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11541278:11542293:1 gene:gene8649 transcript:rna8649 gene_biotype:protein_coding transcript_biotype:protein_coding MVILCKRFILSILMRYFKDKRCRFYLLSFIRRQTYEDSNSQCAAGGVIEVNHEEIKEIR >RHN51902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28013336:28019949:1 gene:gene36468 transcript:rna36468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxymethylglutaryl-CoA synthase MASSHPNNVGILAIDIYFPPTCVQQEALEAHDGVSKGKYTIGLGQDCMAFCTEVEDIISMSMTVVSSLLEKYNIDPKKIGRLEVGSETMIDKSKSIKTFLMQIFEDSGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLVGPDAPIAFESKLRGSHMSHAYDFYKPNLASEYPVVDGKLSQTCYLMALDSCYRVFCEKFEKSEGKHFSMADSDYFVFHSPYNKLVQKSFGRLYFNDFLRNPSFVDEVSRQALAPYASLTGDESYQSRDLEKANQQAAKKIYDAKVQPSTLIPKQVGNMYTASLYAAFASLLHNKHDSLVGKRVVMFSYGSGLTSTMFSFRLQEGQHPFNLSNIVTVMNVSGKLKQRIELPPEKFVENTKIMEHHYGGKEFVTGQDCNYLLPGTFFLTKVDSMYRRFYAKKDVVTST >RHN64978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63416587:63417152:-1 gene:gene27865 transcript:rna27865 gene_biotype:protein_coding transcript_biotype:protein_coding MMTILTITYQPTTDLLLYKSQFLFEFQVRLAVAADQLTHPSELLINMYRLNFLSSFVV >RHN69990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47800956:47806876:-1 gene:gene18526 transcript:rna18526 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G6 MEKWVCLMMMLVAILELSFGVKGAEEVTYDGRSLIINGQRNILFSGSIHYPRSTPQMWPGLIAKAKQGGLDVIQTYVFWNLHEPQPGKYDFSGRNDLVGFIKEIHAQGLYVSLRIGPFIESEWNYGGFPFWLHDVPGIVYRTDNEPFKFYMQNFTTKIVNMMKEEGLYASQGGPIILSQIENEYGNIQKAFGTAGSQYVEWAAKMAVGLNTGVPWVMCKQPDAPDPVINTCNGMRCGETFTGPNSPNKPAMWTENWTSFYQVYGGVPYIRSAEDIAFHVTLFVARNGSFVNYYMYHGGTNFGRTSSAYMITGYYDQAPLDEYGLFRQPKWGHLKELHAAIKSCSTTLLQGVQRNFSLGELQEGYVFEEENGKCAAFLINNDKGNTVTVQFNNSSYKLLPKSISILPDCQNVAFNTAHLNTTSNRRIITSRQNFSSVDDWKQFQDVIPNFDDTSLRSDSLLEQMNTTKDKSDYLWYTLRLENNLSCNDPILHVQSSAHVAYAFVNNTYIGGEHGNHDVKSFTLELPITLNERTNNISILSGMVGLPDSGAFLEKRFAGLNNVELQCSEQESLNLNNSTWGYQVGLLGEQLKVYTEQNSTDIKWTQLGNITIDEVTLTWYKTTFDTPKGDDPIALDLSSMAKGEAWVNGQSIGRYWILFLDSKGNPSQSLYHVPRSFLKDSENSLVLLDEGGGNPLDISLNTVSVTDLQDNFSKLPFPTYT >RHN44454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4904648:4907318:-1 gene:gene38575 transcript:rna38575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MANSINKNIYTKMSILSLFLLLFPLIAYSYNPDYNLAIDCGSLTDTTTLDKRIWIGENIDNKNIFTFIEPKTTNPSLTTPRSSLSNTQIPFTTARISLSYFTYSFSNITTSPVFNRLHFYPTSYQKFEPSNALFSVEVNNKLTLLKNFNPSLWIHDDGETITKEYCIQVQPNKKLNITFIPNNTNHSNPYYAFINGIEVVSMPSFLYYSNLNAPNYDFKSLDSDNKALETVYSVNVGENQVPPNLDTGLFRNWDNDYPRYLEKQYPLSVSSDFVNHLNYKNNTIPNYIAPEAVYLTARSYGMNVTEDYNVTWNFEVDSTFTYMVRLHFCEFDWRIKDKGDRVFQIFIHDFLAEPNADVISWSNARLIPVHKDYVVTMHSEEGSTQIERVNLTIKLQRATNHTKYRDVILNGIQILKESDYNDNLAGSITKSIDQEFSTQSPKKIKITIAIVATIVVSSLLLAMIVGNTFFWLRKKLHIVMKDSSSKTKNKGSSSLPPHLCRSFTIAEIKAATNNFDDVFIIGVGGFGNVYKGCIDGSMPVAIKRLKSGSQQGANEFMNEIELLSQLRHIHLVSLVGYCNDDTEMILVYEFMQHGTLCEYLYGSNNQPLPWKKRLEILLGSARGLNYLHAEVKHKIIHRDVKSTNILLDEKWVAKVSDFGLSKVGPTRMSTTHVSTMVKGSLGYLDPEYYMLQRLTLKSDVYSFGVVLLEVLCARPPLVRDLDKNTASLVCWFKKCYDEGVAIEQIVDPFLRGSITEECLKYYCKLALSCVHDDGTQRPSMSQVVIGLEYALQMEVSEEGSQQFSQFMSDQECDQHFERSHTYKESTASARASTQEHFFSNIVNPRPRSYSCQNLKVYI >RHN66849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20133605:20134561:-1 gene:gene14955 transcript:rna14955 gene_biotype:protein_coding transcript_biotype:protein_coding MREKKRIMKLSPLWIYYDPEMKLLKRGKSSDSLSPLPPRDANLAPFEFSAPFSSRFCSVRFCVVGG >RHN52270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33311852:33313077:1 gene:gene36923 transcript:rna36923 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAARICCTLCCLIVLFVITIIVFWIIVSPSSVKFHVTDATLTEFNLTNNNLYYNFKVNVTARNPNNNIIVYYRRIKAIAWYKDNDFSHITLTPFDQGHKNTTFLGPIEFKGNAFIKLGRQQLNEYSEETRLRIYKDLAVDFDIRIRAKYGSFYKSGRFNPPVLQCRRLRVPLVSSFNSNSSSSPFFFSTRRCSSGDFFTDRDINKAATV >RHN70778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53951558:53952683:-1 gene:gene19395 transcript:rna19395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein DnaJ, cysteine-rich MAVALTPFLLTLPSISSSSHSRSFFVAPYSSKQHIRSITIKCVKVDTEETNIMCDPCNGKGWLVCDFCEGQKTNVKAPNNRIYRRCPSCKAVGYVLCSNCKVFKCVTFPHFNDSPIN >RHN44489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5328803:5330908:-1 gene:gene38613 transcript:rna38613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH-ubiquinone reductase complex 1 MLRQ subunit MGRWMKPEVYPLLAAMTFVTSMCVFQLTRNLLQNPDVRISKNGRTKGVFDNKEEGEKYAKHGLRNFLRTRPPEVMPTINHFFSEQK >RHN38702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1385947:1387554:-1 gene:gene44663 transcript:rna44663 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFNVAEEKPDGEEDAAAADGNKETPATEAKEKEPEDKGSDKGRHKDAIEKEARKALSINEFLKPAEGEKYYNPGGRGGRGSSKGGGYGGNAYGNVSAPSIEDPG >RHN57789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41736757:41738089:1 gene:gene33376 transcript:rna33376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated domain, type 1 MDGVCHWVCGYCEGEKSISSLVSFDLNNEMFFITPLPSDHKLHSTQSMVLNGFVALISLHEKTKTIHISILGEVGVKESWIKLFVVEQPYVGFPTGVGMKGEIFFEKEDNEIVWFDLTTNMIKELGLNEIVRTRITKNLKGTF >RHN55205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16101083:16104393:1 gene:gene30349 transcript:rna30349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSLSRTCSQCGNNGHNSRTCNDGGEEKSIMIFGVRLTGGNNHLNTTTTNTTINNSFRKSASMTNLSQYEQPPPQDSNPADAGYVSDDIVHASGRSRERKRGVPWTEEEHKLFLLGLQQVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRHNQNRRRRRSSLFDITTDTVMEPSTIMEDDQFQQETVVPLPPPTPAAYPSSHYGGIPGTPFPMGLGPVTLPVMSAERVAKPIRPTPMLPPSSKMANLNLKDKASSSSIEPFPLSLKLQPSPPSEDHSPESSGHSSSSASSSTFKTMAAGKYNGGGGDSIISVA >RHN81309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44739898:44743472:-1 gene:gene5327 transcript:rna5327 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSIVKGGWRPTFALAKQNDSEGRKTRIRRSKEERKTMIQSFIQKYQESNSGNFPSITLTHKEVGGSFYTVREIVRDIIQENRVLGPAKFNLEEFNTDQFLEQNPLGSIASGPEHFLGAPSNEGHPELKKVPDTNGPLLSVSYKHYTEAEPQVVDDGHVIDVGHVDLTNKEAIEATVVSDGSYTETEHQVVDYGHVVNGSHVYMTNNKSAEASVVSDGHYTGTELKVVDKGRNIDASEVDVTKKESVEASVVSDEHYYTEAELEIVDKGHGIDGSAVDVINKEPIEATIPKMQVSKPTEPKLNVEQELAAITVPLAKVNALTKDLIVETFPLRSVARNSNGIEGSGELRDSGNSQEKDIKKLAIVDDMKSELNDIKSADNSHLLNEKFESPLGNKKLKEISNPRHDTESANHSTHKEQVSASHQKATTFETNNQIQIEDEAKTNIHGEDLHEADKYRLDGQLGGSSQRRINTTVDRINLESWDGRSKNSAKKESIPLFALLKAIVNAFGKLLSE >RHN61451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35548428:35548703:1 gene:gene23921 transcript:rna23921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRIAKLIRMPSFSKTQETAKGLEVPKGYLAVYVGDRMRRFVIPVSYLSQPSFQELLNQSEEEFGYDHPMGGLTIPCGEDEFLNLTSRLN >RHN78964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20355548:20358709:1 gene:gene2633 transcript:rna2633 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVELESVEFDEVGMRKRHRVLCFFAWIYLDLALNFLNRCGGS >RHN55081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14997121:15011819:1 gene:gene30209 transcript:rna30209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adaptor protein complex AP-1, gamma subunit MNPFSSGTRLRDMIRAIRACKTAAEERGVVRKECAAIRASINENDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIASPGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHTNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFINPATSLLREKHHGVLITGVQLCTDLCKTSTEALEHIRKKCTDGLVRTLKDLANSPYSPEYDIAGITDPFLHIRLLKLLRVLGEGDADASDSMNDILAQVATKTESNKVAGNAILYECVQTIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMRAVTADAQAVQRHRATILECVKDLDASIRKRALELVYVLVNETNVKPLVKDLVDYLEVSDLDFRGDLTTKICSIVAKFSPEKIWYIDQMLKVLTEAGNFVKDEVWYALIVVISNASELHGYSVRALYRAFQTSAEQETLVRVTVWCIGEYGDMLVHNVGMLGIEDPITVTESDAVDVVEIAIKRHASDLTTKAMSLAALLKLSSRFPSCSERIEEIIVQFKGNLELELQQRAIEFNSIIAKHQNIRSTLVERMPVLDEATFIGRRAGSLPGAASTANAPSVSLPNGVAKPAAPLVDLLDLSSDDAPAPSSSGGDFLQDLLGVDLSPASQQYGVGQASNSGTDVLMDLLSIGSPSAPSSSSTVDILSLSASNNAPASPLDDLSPLPPSSRATSNAGSMMDLLGGISSSPATENNGPVYPSVTAFESSSLRLTFNFSKQPGNPQTTVIQATFTNLSSNTYTDFVFQAAVPKFLQLHLDPASGNTLPAAGNGSVTQTLRVTNSQHGKKSLVMRIRIAYKVNGKDTLEEGQISNFPKGL >RHN48849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50688721:50694593:1 gene:gene43609 transcript:rna43609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate carboxypeptidase MTTKPNNLLTTKPSPLTTMVVFLILCILGFYAFHFPHTPPHSNNTSTFQNLFLSSSSNSTISSYLRTLTMHPHLAGTKPNSDTANFVLNHFISLNLKTHTTSYNVLLSYPLHSSLSMHFNDGSSSNLGLTEPGSSGSDMVHAYHAYSPSGSVYAKAVFVNYGRDRDYRAVGVSIKGCIVIVRKGGGLGRNTVVEKAEENGAVAVLVYNDENDTWRNGFERGHVMKGVGDPLSPGWGSVEGSEKLSLDDNEVLKRFPKIPSMPLSAHVADAVLSSLGVTPLPLEWRTTLRAKGINHVGPGPTMLNFTYLGEKKMATIQNVFAVIKGSEEPDRHVLLGNHRDAWTYGAVDPSSGTAALLDIARRYSILLHSGWKPRRTIVLCSWDAEEFGMIGSTEWVEQNLINLGSKAVAYLNVDCAVQGPGFFVGSTPQLDSLILEITKKVKDPDSKGVSVYASWAAANGGNNIQRLGRVDSDFAPFVQHAGVPSIDIYYGKDFPVYHTAFDSYNWMAEYADPFFQRHVAVTGIWGLLALRLADDSILPFNYLSYANELRLYRDKLSNMLDHKMSLHPLTTSIQEFACAAKEVDDELKELKLVETSGQFVDMKRRAMNDRLMLAEKGFLDGDGLKGKQWFKHLVFGPPNDAEKLDFFPGIADSMTRSTGTSERERLAEIQREIWRVTRAIQRATFALRGEFT >RHN81697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48230481:48230783:1 gene:gene5777 transcript:rna5777 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKKPKLTTKFKSKDRNYVCIFQTTIASSDTYKPNNSPNYVPITLINYTNTRANRPSKIRGKKSTILSGQTRRRIDNSELGFGKSKLGTINNSKLRFGI >RHN72116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5112347:5115448:1 gene:gene7789 transcript:rna7789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MLNTGEIPLSLFNISSLREISLTKNNLNGSLPDEMCSIPMEIGHLSQLQLLLMGNNSLSGPIPSKVFNISTLEILYLDQNSLSGMLPSNLGFGLPNLQQLDILANRFVGRIPNSISNASNHVRAEFGANEFSGIMPNSFGDLRVLEFLGIGGNNLTLIDESLEINFLTSLASCKYLKYLVLSGNSLLSKLPKSITNLSVEHFLADSCGINGNIPVEIGNISNLIQLSLRSNSLNGAIPSTIKGLHKLQSLNLGYNGLQGSMIDELCEIRSLSELGLTSNKLFGVLPTCLGNMTSLRKFHIGSNRLTSEIPSSFWNLEDILEVDLSSNALIANLPPEIKNLRVLVLLDLSRNQISRNIPTAISLLNTLETLSLAANKLSGPIPTSLGEMLSLSFLDLSQNLLTGAIPKSLESLSYLKYINFSYNRLQGEIPNGGPFKKFTSQSFMHNEALCGSSHLQVPPCDKHRKKSKMLLIILISSIIVVLCILVVACIILRMHKRRKGKNSLERGLHTIGVPKRISYYELVQATNGFSESNLLGRGGFGSVYQGMLSSGKMIAIKVLDLTMAEASRSFDAECNAMRNLRHRNLVQIMSSCSNPDFKSLVMEFMSNGSVERWLYSDNYFLDFLQRLNIMIDVASALEYLHHGSLIPVVHCDLKPANVLLDENMIAHVSDFGISKLLDEGQSKTHTEYGSSGIISVKGDVYSYGIMLMEMFTGKTPTNEMFSEELTLKTWITESMANSSMEVVDYNLGSQHEKEIHDILALALRCCEDSPEARINMTDVTTLLINIKTSLIR >RHN71742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2359233:2360765:1 gene:gene7374 transcript:rna7374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 METWFIALVSLCIIFLIRAIFSSLTTTNKTTATLPPGPPHIPIITSILWLRKSSSQLEPFIKTLHTKYGPIITLKISYRPSIFISDHTLAHHALVQNSAIFSDRPRALPTAKIISSNQHNISSAFYGATWRALRRNLASEMLHPSKIKSFSEIRKWVLHTLINRLKTASELETADYIKVMPHFNYAMFCLLVFMCFGERVNDEKISDIERVQRTILLSVNKFNIINFWPKVTRILFCKRWEELLKLRKDQEDVLLPLIRARKQVKESRLNNVNPVVSYVDTLLELELPDEKRKLSEDEMVSLCSEFLNAGIDTTSTALQWIMANLVKYPDVQGRLVDEIREVMGRDGNGEKVEVKEEDLQKLLYLKCVVLEGLRRHPPGHNVLPHAVTEDVLFNGYLVPKNGTVNFMVAEMGWDPRVWEDPMEFKPERFLKDETFDITGSKEIKMMPFGVGRRICPGYNLALLHLEYFVANLVWNFDWKVPEGGHVDLSEKQEFTVVMKNPLQVRISPRI >RHN41861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33650788:33651650:1 gene:gene48224 transcript:rna48224 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSNKPTKSKPVSTKTETCNTQTGFGDDERRNKTRVSKDGGSSGGAESSSRRTTSH >RHN42624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39784144:39787403:-1 gene:gene49088 transcript:rna49088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MVVGRIRVLEWEAVRIIESHCTTLNHAKQLHAHIYRNNLHQSSYVITNLLRFITTLPHIPVHTYPHLLFSQVHSPNPFLYSALIRAYARNGPFHHSIRLYTSMLNNNVSPVSFTFSALFSLLKNPSLGSQLHLHAFLFGFVNDLYVGNTIIHMYVKFGVLDCARKVFDEMPHRDVVTWTELIVAYARSGDMDSACELFVGLPVKDMVAWTSMVTGYSQNAMPKKALQFFRKMREAGVVTDEITLVGAISACAQLGVSGYADWIREIAESSRFGSGSNVFVGSALIDMYSKCGNVEEAYNVFKGMKEMNVFSYSSMIVGFAVHGRARSAIKLFYEMLENGIKPNHVTFVGLFTACSHAGMVEQGQQLFGAMKECYGVSPTADHYACMADLLGRAGHLEKALQLVQTMPMEPNGGVWGALLGASHIHGNPDVAEIASRSLFELEPDNLGNYLLLSKTYALAAKWDDVSRVRKLMREKQLRKNPGCSWVEAKNGIIHEFFAGDVKHPEINEIKKALDDLLQRLKCTGYQPKLNSVPYDIDDEGKRCLLVSHSEKLALAYGLLSTDAGSTIKIMKNLRICEDCHIVMCGASKLTGRKIIVRDNMRFHHFLNGACSCNNFW >RHN40929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24494336:24497688:-1 gene:gene47175 transcript:rna47175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain, reverse transcriptase zinc-binding domain-containing protein MLNKLPTDENLASRGCYMPSMCSLCCRYAETSFHIFFECSFAAKLWCWFASILNKTLVFQSVEEIWSICNRSWNPQYQLVITATMINIINSIWYARNQQRFSNKKIHWRSSISTVISNTALSGNLTKAVASASISNFVILKKFNVNLHPHKAPKIIEVLRKPPIPLWTKCNTDGSSTSTSSACGGIFRNHDSALLLCFAENTGEGNAFHAELSGAMRAIELAKQYNWNNLWLECDSNLVIMAIKNHSIP >RHN64789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61831871:61832260:1 gene:gene27646 transcript:rna27646 gene_biotype:protein_coding transcript_biotype:protein_coding MQMFVDRQVTIVSHSIISIKTTKLSKYDLIEENRNTDMDSIAALVLFMRRNTETRKRCRLFCITRCLNQMGYNVGPSPYSLHVSNHLMVGSGCCRVALLSRGCVVPFQTQLCPIHYQSITPTLEGAHQS >RHN77336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6093718:6095012:1 gene:gene781 transcript:rna781 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFYHEEEQPNHSKRCKCFATALKEVFTHCQTFGRSRRLSTTSLEEEFPISDLDEEQEVIVSVVRSRAMEKQKNKPGLLRESFSWVYSPTTRELCVTGKMEPKAKEGGNEEQGEKEEFLSVKSCFSMYSSSANGEGFYSVKTNLSRCSSLNEFDLSEYWKRSIIQEFCHCEGWPFGLCRKAVLLPPLPKSPSESWLYRKILSSTKVT >RHN55918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25400534:25402644:1 gene:gene31205 transcript:rna31205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQKKGKYMAKLVTFVYVMIYFLSLFLVTKGAYYECSNDSACQATTKCVLPRVPRCIKYKCLCGNSNGSGNRWSTRPNRIQKGSTESNYF >RHN49331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54074884:54077949:1 gene:gene44149 transcript:rna44149 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLSLMHGVCVVGVGLPSSLGQPKLARVRIQCSTKVSSSRQQLLESVDKELTKGDDRAALALVKDLQGKPNGLQCFGAARQVPQRLYTLDELKLNGIEAMSLLSPVDTTLGSIERNLLIAAIVGGFAAWNVFGISQQQIFYISLGLLFLWTLDLVSFGGGLGSLVVDTIGHKFSQKYHNRVIQHEAGHFLIAYLVGILPKGYTLSSLDGMMKEGSLNIQAGTAFVDFEFLEEVNSGKVSATTLNKFSCIALAGVCTEYLIYGFSEGGLDDIRKLDSLLNGLGFTQKKADSQVRWSVLNTVLLLRRHEAARSKLAEAMSMGSSVGSCIDIIENSINVSDL >RHN58983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6389596:6396106:-1 gene:gene20960 transcript:rna20960 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGHILSKGLCFKTILNSEGTLNRKGTMVLRTLLIPNGQKRVEKGEGLVNTSRRREKRGKTQEASTVNFSVPQKTVVISNLNFISQNLKFKLNK >RHN65349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1380946:1381334:-1 gene:gene13177 transcript:rna13177 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKVLAMELAEDSMEDVEVEMVEDSFEGLEVADDSFEDHIMEIAEDSMEGVEGEIVEDSLEVVAFEELGDEVQSNNIEDCPFPHFDLNKFPEDDYENDS >RHN54786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12252850:12258481:-1 gene:gene29872 transcript:rna29872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MGCMVSSLASKFAFFPPSPPTYQLKKNDDGKLTVVSTASPIPHPDDNSLDVLLVDTKHGNKIVAFYLKNPYARLTLLYSHGNAADLGQLYDLFVQLKVNLRVNLMGYDYSGYGASTGKPSESSTYADIEAIYECLETKYGVGQEDVILYGQSVGSGPTLHLAAKLPRLRGVVLHSGILSGLRVLCHVKFSFCFDIYKNINKIKKVKCPVLVIHGTEDDVVNWLHGNRLWKMARESYEPLWIKGGGHCNLELYPDYIRHLCKFIQEMEKMTTEKRLKKIRQSLESKSKSKCCSTCTCPSMKCCCSCKCKLPKCSSCCSCINFSLSINCPDCCWKPSCIKCCRLPKFTDCFGSTCCTKCSMPGCCCPKCSLPCCYPKCSRPSCCMSCFCWQCFMGKHSGRNGKQRG >RHN56339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30065960:30082122:-1 gene:gene31724 transcript:rna31724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin regulator PHD family MGRRKSKPHRSGGIIVETNASAETELEVGGKENNDFGDIYKPYFVEVDRSGWLSDEHLDISEIVLRDLNIGEGFYGFELSEDFYQDPQFSLRFRLCNIGSDLGRIKLGHWPVLPYTDIHLEFVKRASVDDTETCTVLLSGIFDGPDESVSGLVHLASMKFVTLRAVLGIKLSDDIPSLRMRVEVLKSAFDACESLIEGSRKPWKKSMMNVMSWLRPEIMTSEVKYGFSSYMEMEVDLQTDMADDGGYAGKCSRFDPAGFYEAIKPSKTEPMLEDDIPELLPELRPYQRRAALWMVKREKAMEDQAEIERNQFHSPLCVPVDFLDTRSKMFFNPFSGNISLCPETSSPYVFGGILADEMGLGKTVELLALIFAHRRSADESDTLIDSVPQVKGDEKVVLKRLRRERVECICGAVSESLKYEGLWVQCDICDAWQHGDCVGYSTKGKSLKSKQGLESKTSKTTIAVTNGEYVCQMCSELIQATESPIASGATLIVCPAPILPQWHDEIIRHTRPGALKTCIYEGVRDTSFSNSSLMDIGDLASADIVLTTYDVLKDDLFHDSDRHIGDRHLLRFQKRYPVIPTLLTRIYWWRICLDEAQMVESTVVTAATEMALRLHCKHRWCITGTPIQRKFDDLYGLLRFTKTGPFNIYRWWSEVIRDPYEKGDMGATEFTHRVFKQIMWRSSKQHVADELELPSQEECLSWLTLSPVEEHFYKRQHEACVRDSHEVIESLRNDILNRKVPDSVSSSGSSDPLITQAEAGKLLNALLKLRQACCHPQVGSSGLRSLQQSPMTMEEVLTVLISKTKIEGEEALRRLVIALNALAAIVTIQNDFSQAASLYNESLTLVEEHSEDFRLDPLLNIHIHHNLAEIFPLAENFALNLPSKGKQFSGTSAVNTTKKHYIVKVDNDQVKRHKISNCGDTSLTGAASDPSNVASSSSSENGLNDRESDDLSASSVKYLKAQCEDSKHKYLSVFSSKLVAAQQEFQSSYMQVCNAYHDTSTNQNTLWWLEALHHAEKDKDFSTELIRKIEESISGNSNNSKSSRLAARFRSISSLTYEIQTGLDQLVASRKVVLDRLLEIDQTMENPKDEDIERVGKCRNCQPNCDGPPCVLCELDELFQHYEARLFVLKNERGDIISSAEEAVDFQKKSFARNHFLSNLSQSNQSSSVSDIDNEESRKRNVGQKVVTSRSASILEVLLGVIKNYCKTRFGKDSASAATKHLHILEGMRKEFVYARSLASAQAQYLRAHDEIKMAVSRLHLRENEDDKSLDALGENELYAASSNFSQEKFMSLALLSQIKGKLRYLKGLVQSKQKLPSESPDNSSCTQDTNSMSNSTEEKGELIPKTYEESCPICQEKLGHKRMVFQCGHVTCCKCLVAMTEKRLKHSKTHTWVMCPTCRQHTDYRNIAYAVDAQKESPNSSMLHTIDNCEKHEASITVEGSYGTKESPNSSMLHTTDNCEKHEASITVEGSYGTKIEAVTRRILWIKATNHNSKVLVFSSWNDVLDVLEHAFATNNITFVRMKGGRKAHTAISQFRGIQNGTKGCEGEEPISIQVLLLLIQHGANGLNLLEAQHVVLVEPLLNPAAEAQAISRVHRIGQKQKTLIHRFLVKDTVEESIYKLNRSRSNHLFISGNTKNQDQPVLTLKDVESLLARAPITAPEIDENPNNTNTNLRDLPPSLAAAIAAERRYNEHRT >RHN44781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8401402:8403843:-1 gene:gene38943 transcript:rna38943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MQFLSITNRLRFSLNIWSSTRPFLPSLFRRFINLTSLNLRCYFGSLNKLLRQISCFPLKITSLNLSNHPTIPAYGLPVFSQNIATLTSLKCSNIESININHMFLIADCFPLLEELDLSNLKLIDGYGISEEGIGQVLRRCCNIRHLNLACCSRVNLRGMKFDVCKLEALNLSHTTVDDKTLYTRVVVDFYNYY >RHN68110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32922395:32922940:-1 gene:gene16410 transcript:rna16410 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKFFSLSSLMEKKRKPQPQESRDELALVKAAAWAWYQHGSRSERKKSSNEFDVTRTQRSPRPSRYKLEAMRMAEVTDLTCNGLEVGTIANNKSLLDTYEVQSISRQFDSLIDSSNKQHMDGNCAKNDDGNRRINNKKRFINFKGFWSRNVVVCGGGNDVVHGSALRGDQLSVKHRCTSS >RHN45187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12731364:12732466:1 gene:gene39412 transcript:rna39412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MLTRDPTLPIRMFHLKCGTSPGCDPHDINRFVPVAVKKGIENLILDFTSAGHDFLIRLSPTLSSVFNCGRNLVVLKLKTIIGRVLPQIDFPLLKTLHLEKVAILRDFNKLIEGCPILEELEIPYLLCRFSKDGIGEFKHLPNLVRANISKFVPKSIQFAWICNAKFLRLELRHSEHQVHAFHNLTHMELIFTSNWRTKWKWLLEMLKNCPKLQNLTLHKLYGHGIDEDDWKEPEIIPNCLSSQLRTCSLIYYKGMKCELQFAEYVLKNANLLRTMTISASPGDLTLKHQMLMDLSLCPRGSIACKLSFI >RHN48040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44468287:44473281:-1 gene:gene42710 transcript:rna42710 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLCPMASHIYPPGLVLQQQQLHLKDYQTFLPSSLAKPDVLSYQCPFLKPSLSAESMETQNKWFDDNQFVNVNSSPQWPVSVDAQESCSNAVLLGFGIVEQCTKHDKISKHLKSGTAESRKDSANISLMLDLMKLQLSGIDEPQQPFSSSLLYPNDKFDIKKPLLYFLQDSAFSSKITVDLDGQITFMGTEIQMKDLLSVVAESYLSKSLHKVEKHSMLVPYFSRVDINEAEGQSRPSTVKMQSTLIAPLRSPKKVKFKPSQKKNKKIDRERDLYKNYSHACESLLSLMVNKKKSRKTMIPSLKKSGPELSEFLTQISAGIAGTGLAVLLSVICKQACGRVLLSASSLFNTGLGFGLVWLSWAVSKLRATIVSISKNAGKLGLKEEEMIQKLDKRIRDIYFGSAALLAVAVLSIA >RHN62435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43422641:43423259:1 gene:gene25013 transcript:rna25013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle-fusing ATPase MLCCILVLALSVYYCIIIFITLPPRQRHFHYLLISAKATTRKIWFNATMAGRFLGSSSASIDMIVTNTPSQELALTNLAFISASDLPKFAVPGHDNLYLASIGDSFVFSISYPSFTSLFYFLFNSRCSIH >RHN47944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43742904:43748280:-1 gene:gene42602 transcript:rna42602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-V family MIRNFLYLLLNLVLFSSILISQSLALTHSAEVWALQDLHRALNYSEALRGWNGSDPCEESWTGVACSESDVSSNNIVGEMPFGLPPNVTHMNLSHNFLIGPIGDVFTGLDNLKEMDISYNNFSGDLPRSFGSLTNLARLFLHSNKFTGSVAYLAELPLTDLIAGNEFHAADNSPPWPAPSETLSVEHNISHPPTTNANAIKNYAPPVVSEHKPKKKKLGPGGIALIVGGGTLVAAGLALLVAIRLNKLHPQSQNLNYSESKDISLHSHPTSASIEVSSAELDDMPLLPPVNVASLLGPMRFPFVRHSNVEETSRRSFSKRGRSTGRTKIYTIAELQLATNFFNEGNLLGEGSLGPVYKAVFPEGKNLAVKIINMAGLSYREEEKFMDVICTASKLKHPNIVALNGYCFEHGEHLLVYDYFGHLTLNDALHSGASEPLAWFQRLRIALGVAQALDYLHSACCPPVPHGNLKAANVLLDENLTPRVGDCSLAILRPFMKSNQVKFPATETTTADRGYVPADLSRRRDVFAFGVLLLELLTGRKPFDSARPREEQNLAKWVSHRLRDNMGLEQIVDPSIKTTLSSKALSGYTDIISLCMQPSKQLRPPMSEVVSSLISFGQEFNFAKSGVADGADLFEKSSFCSANTGFVNSPTSSHLSA >RHN45359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17712671:17717025:-1 gene:gene39645 transcript:rna39645 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTKARNLFLKFGNALKMFVFFGINKVIFKTRLRCSIEFFFPENKVEKCFLTYAMMFLKVY >RHN58720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4272954:4274162:-1 gene:gene20654 transcript:rna20654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain-containing protein MGSIGKTTIAGEIFNQNCSEYEGCCFLEKVSEQLGRHGRTFLKEKLFSTLLAEDVKIRSPNGLSNYTVRRIGRMKVLIVLDDVKEEGQLEMLFRTLDWFRSDSRIILTTRDKQVLIANEVEDDDLYQVGVLDSSEALELFNLNAFKQSHLEMEYYDLSKKVVDYAKGIPLVLEVLAHLLRGKDKEEWESQLDKLKRLPNKKIQDVMRLSYDDLDRLEQKYFLDIACFFNGLRLKVDCMKLLLKDFESDNAVAIGLERLKDKALITISEDNVISMHDILQEMGREVVR >RHN51462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18047322:18051781:-1 gene:gene35897 transcript:rna35897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase transcription factor interactor and regulator CCHC(Zn) family MLFVPRDFVLSLAILVVLLSTPCSSSYEKTEERIKSAVFLSPKFELRPGSVINKFYDDIDFPKGHVAIKSFDAEVVDEAGNSIPLHETYLHHWVVGRYHQSNHATHTENDSHKMLQKAYPGLVRNSGICQGNILPQYFGLGSETRGTPTDIPDPFGMEMGNPTEIPEGFEEKWLFNIHAIDTRGVEDKLGCTECKCELYNVTVDEYGRPLMSDYKGGLSCCYDNTQCKLKEGFKGPKRSLYLKYKIKWVDWDEFIVPLKTYIIDVTDSLKLSDDSKGMNSDHDCKIEYQVESCSTDHEEGNGCVHVKRTSLPLQTGGYVIYAVAHQHSGGVGSTLYGQDGRVICSSVPSYGHGNEAGNEAGYIVGMSTCYPKPGSVKIIDGEILTHESNYNNTKEHTGVMGLFYLLVAEQLPYQHFRHSTRSSFFMDINNIWLWRYVTGDKKCPTKGKDDTADAFADKLEEWDSKNHQIITWFRNTSIPSIHMQFGRFENAKEVWDHLKQRYTISDLSHQYQLLKDLSNLKQQSGQPVYEFLAQMEVIWNQLTSCEPSLKDATDMKTYETHRNRVRLIQFLMALTDEYEPVRASSLHQNPLPTLENALPCLKSEETRLQLVRPKADLAFAVTNNATKPCRHCQKSGHSFSDCPTIECRNCMQKGHIASNCCRYCKSPGHLIQNCPTRPPRPNQNKNQPRPNSSRPVLATVSSANESLEASQRAFSATDLESILRQLLPSGNGNTHAALSTTPGQTDGTTHRDWM >RHN66393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11756007:11758466:-1 gene:gene14363 transcript:rna14363 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEGGVPPCFRLKRSSDYFSLSEHRKELRNTTLRHRLLVDVHLKSFGILNCERSKLQAVKFVPQFLIYHLTDPIFFDTEWNINAVLDNKSRKFKTIITAPNKVQYTTKTTKLKEASYYAFNRNLEGGESQIRKISEVLKKITEAVKVAESELGDSKLVLEMKLLSSRQEKLNSLHIELKDLNIKIYDEKKKQDTCGDSSS >RHN68970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39980356:39980580:-1 gene:gene17395 transcript:rna17395 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGELNLDNKLPIRRSMQGDKNLEKVEGSLAKARALIKQALLRTNDTVVPLEDSHDYVPQGHIYRNAFAFHR >RHN59883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14368095:14368715:-1 gene:gene22049 transcript:rna22049 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFRKLREFPILRVLEDWWCCGCSRLKIGLSKESFVILTICKHFST >RHN47209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37927101:37929052:-1 gene:gene41775 transcript:rna41775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MSPANALNGRKPAPVMASFSSRGPNQVQPYILKPDVTAPGVNILAAYSLLASVSNLVTDNRRGFPFNIQQGTSMSCPHVAGTAGLIKTLHPNWSPAAIKSAIMTTATTRDNTNEPIEDAFENTTANAFAYGSGHIQPNSAIDPGLVYDLGIKDYLNFLCAAGYNQKLISSLIFNMTFTCYGTQSINDLNYPSITLPNLGLNAVTVTRTVTNVGPRSTYTAKAQLPGYKIVVVPSSLKFKKIGEKKTFKVTVQATSVTPQGKYEFGELQWSNGKHIVRSPITLRRENTNLENCDGQMGNILCEVPLLLDADEMI >RHN44115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1826918:1827415:-1 gene:gene38174 transcript:rna38174 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRYMEVAMWSIGSLFTLFIFPLIINSPNDPKYKAIMTALVVFLVSCYQSRLVSITVSRPIDHNIFGILFILGLSSAISVMEVSFVSWIAAMIIAIFYGIILAWLVKSNWKVISNEDIVLTKEIKKFIAASFEGMLILSIGYICSIPINYIIKLVWYYILYFSQ >RHN44579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6412775:6414440:1 gene:gene38715 transcript:rna38715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TCP family MFPSTYCSLGPYPCYNPNSSSSSHSYPPFTFLTPDQNASSNTNNNNNNNNTNNINNFLHDPTISVPYTQTTHNHVPINPDTLTNWAVADYAAMLKQDLSGSSHYNLSNLLTKKPVKKPAKKDRHSKIHTSQGLRDRRVRLSIEIARKFFDLQDMLGFDKASNTLEWLFNKSKEAIEELTRSKNNIASGDDDDGDHSFSSSSSDEGDEEDYTKDRKMKRAQKESSKTKDSREKARARARERASEMKMQEDLKEKYPEIDQNQQILHQLMPNEDETSKSLQRDDIFNFIEESIVIKRKLKKSSSHHYQQNTNLIPKEASFDHDSPMLSPNWDANNNNNDAATGRSNFSAISRMNLSSGLQIFGKSWEDCNTSPNRY >RHN69689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45554288:45555477:-1 gene:gene18194 transcript:rna18194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MMRYCFLLLVSLVILNTSLSSGYTSRVDGKEGWPVKPSSGYNVLTSGIKLLIHDNICKSYPIFHFKIWLKYIFDFKYNKEIDSVLVVNKQDHDSCNTKNPIYKMEGGDSAFQLDKSGPFYFISGNVENCQKGRKLNVVAWFPHRRLMSLAADAPSPSMVQVPAMSPTVNAPTPNVIGWNAPAPSPADIHAPSPSPTTNHAPVPSPTDNHASTPNPSGNHAPAPSATNIQVSPTPSATHKKCHRRRHWGLCFGSKCHRDSCSDIAPSPGHSGSTRLSGSVGVNVVVALVLGSLAF >RHN72381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7210527:7213845:-1 gene:gene8086 transcript:rna8086 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFDQQPPHQQDKRGYTSVKRDEAELDIESGETLYPGLSLGENQLRWGFIRKVYGILSAQILLTTIVSVITVFYTPLNLLLRGNSPLLLFLVFLPFIFLIPLLRYQQKHPHNYILLGLFTLSISFTVGVTCANTDGKIVLEALVLTSAVVSSLTAYAFWASKKGKDFSYLGPLLFTCLFTLVLTGMMQMFFPLGPVSHAIYGGVGAMIFSAYIVYDTDNLIKRHTYDEYIGASVTLYLDILNLFLSILRILREANN >RHN53867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4819460:4819964:1 gene:gene28827 transcript:rna28827 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFKVSLEGHGSRLLAGVVADKLIAASLVKVTIGVFTLDCKKASSNYLKLGSSSVPPSQIAAFGTLTSDAYQGPSSDSSGDNDNIPFNQLPGINNNATHPIPTMSMYQELWDRQTQQ >RHN43731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48033223:48039041:-1 gene:gene50352 transcript:rna50352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cleavage stimulation factor subunit 2, hinge domain-containing protein MAGKQVSGEGLSANLAGMSKNQLYDIMSQMKNLIEQNQQQAKQILIQNPMLTKALFQAQIMLGMVQSQQAVPKVQPIAPQNNQQSVQPTQRPSVQPAPILPAQGGIQDQAGVSQTQIPPRKHQNQPSVPVSYAAVPATSHQSQPTAAHSLQMPQQPKGHLTPQVAPASVPQSSQLPHIPPPSVHSSSQPLHPTQMPTASSQAHQPLQTPGFSHMPLQPPLPPQPRPPSVQTFHPQYPPPIGANLGFQHAGGASHNLPPPMFHAGAKPPSVGSTFPPGQALLPSQQSSQSSYQVGNIPFGSDFSSQAGNAMQIERGSSWMPGPSENLAQHSGPQGPSSMVSGQIGAANQPPRPPALTPDMEKALLQQVMSLTPEQINLLPPEQRNQVLQLQLMLRK >RHN59150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7944571:7950500:1 gene:gene21141 transcript:rna21141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronosyltransferase MKRNHSSHHHQNHHNNHHNPPRKWLIIPTLTISLLLFFFFIILLPYSKPSSSSLSSDNPSFTAHLNLPKLPKFAYLLTGTKGEVSQLKRVLQAIYHPRNYYLLHLDLEASSEERVELAKYVKSEKVFGVFGNVMVVGKGDLVTYKGPTMIASTLHSVALFLKRVGDWDWFVNLSASDYPLFSQDDLLHIFSFMPRDINFIEHTSNMGWKEFQRARPIIIDPGLYHSRVSSVYYAKERRSLPSSFKLFTGSEWAVLTKPFLEFCVYGWDNLPRTLLMYYTNFLSSNEGYFQTVLCNHKDYQNTTVNNDLRYLRWDNPPKQQPLSLKLEHFEDMAHSGAPFARRFDKDDPILDKIDRELLGRSDGRFTPGGWCLGNHLKGKDPCDVYGNPDVVNPSVRSKILEKLMLILLDSENFRPKQCK >RHN81049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42884539:42888501:1 gene:gene5043 transcript:rna5043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylan 1,4-beta-xylosidase MDSILITIVFLLLLMSSEARDPFACDPKNTSTNNFPFCKASLPIPTRVNDLIGRLTLQEKVSMLVNNAAAVPRVGIKGYEWWSEALHGVSNVGPGTKFAGQFPAATSFPQVITTVASFNASLWEAIGRVASDEARAMYNGGTAGLTYWSPNVNIFRDPRWGRGQETPGEDPILAGKYAASYVRGLQGTDSSRLKVAASCKHFTAYDLDNWNGVDRFHFNAKVSKQDMEDTFNVPFRMCVKEGNVASVMCSYNQVNGVPTCADPNLLKRTIRGQWHLDGYIVSDCDSVGVFYTNQHYTSTPEEAAADAIKAGLDLDCGPFLAQHTQNAVKKGLLTETDVNGALANTLTVQMRLGMFDGEPSAQPYGNLGPTDVCTPTHQELALDAARQGIVLLKNTGPSLPLSTKNHQTVAVIGPNSNATVTMIGNYAGIACGYTSPLQGIGKYARTIHEPGCANVACNDDKQFGSALNAARQADATVLVMGLDQSIEAEMVDRTGLLLPGHQQDLVSKVAAASRGPTILVLMSGGPIDITFAKNDPRIMGILWAGYPGQAGGAAIADILFGTTNPGAKLPMTWYPQGYLKNLAMTNMAMRPSSSTGYPGRTYRFYNGPVVYPFGYGLSYTNFVHTLASAPKVVSVPVDGHRRGNSSNKAAIRVTHARCGKLSIRLDIDVKNVGSKDGTNTLLVFSVPPTGNGHWAPQKQLVAFEKVYVPAKAQQRVRINIHVCKLLSVVDKSGTRRIPMGAHSIHIGDVKHFVSLQEQKLGIIKT >RHN66238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9960677:9961675:-1 gene:gene14182 transcript:rna14182 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKMKADWSELLTELLNLISQRITTEIDLIRFRSVCSNWRSSSIRNHFHISPFNVPLLQYIDSIIINNNNNNYGTIPISFYYLSKHSFYLIKPSQEQEQEQENYPWLIRVTQSSCGNTEISQSSFLSTDSFDYPFDILDFNELSIQLVAGDCFLLPDDDMLRYYNIRVFKGRVYAVIDKTGRTITVGPEDDPKAQLAAEPLVDCGPEDNKKFLVESEGELLLVDIDPVPVDLKIRLFRLDEKERKWVKFEKNLRDRVLFIGTKYSFSASASDLCVPKGNCIIFIDEIFSEDRLSIVHLDQDRQLWPLCNHPEYYDLFWPPPKWIIKSQLH >RHN44182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2287407:2287778:-1 gene:gene38272 transcript:rna38272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MIQSWKAMNTSNTSQLQYESYKSLNKEGLSLTKEDNFYSFTMSNKGFSRVYIKLQNLYNLIAIDISSNKISGEIPQVIEDLKGLVLLNLSNNLLTGSIPSSLGKLINLEALDLSLNSLSGKVP >RHN64287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57915112:57915569:1 gene:gene27090 transcript:rna27090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MSPEYKLRLLMIYATVYPEKFEGKFHAHSGIIGVTQPRRVAVLATAKRVAYELGVRLGKEVGFQVRYDKKIGENCSIKFMTDGILLR >RHN80710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40314277:40316861:1 gene:gene4667 transcript:rna4667 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYGYAYRSISTYSTIRNGIREPAVIKPFVPFNPKSNNGSNYSEGAVNSKKIVPVTGRPYDEPATEKYPSSEDEFDDDERRRQLSPVHSSPRKVDVQFPAKVQQNHEGSHDPQRYGPIVDRGRHTPQPSADGRKPIGGSTVNNHNDSYGTNYPYGGDRDGRKPIGISPIKNRKYGGGPNGYGGAHRATAIGDPSPHKDRRKPIGGGNLRSTHDGYHDNGYGGDKGHKPIGISPTRSNNYDGPNGFGGDHRATSVGDPNPYQEGRKPIGGGNLRSTHDGYHDNGYGGDKEGRKPVGISPTGNSNYDGPNRYSGDHRATSVGDPNPYKEGRKPIGVVSLKSTHDGYNNGKGYGGDTEVRKPIGIGSNIKNDNYDGPHRYGGDHRAAAVGDPNPYKEGRKPIGVGSIRSTQDGYDSPNGYGSDYNKKEGYKPTRNGNYGNGYGISNHNDKPFVSSSNPKYDDGDNAANYYNNRSNFNGPKINSYWTAAPRKGTQLSEPIHDIDKAMELLKMEAAKRDQQHSPTPGNASPRFTDRTGSGAAMRPYGNVNQLQSRPRDSQTRVTFIDDASDYDDDYYRRDDRGRYGFHDAVIDSREAEKKFKGTRV >RHN54105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6950003:6950851:-1 gene:gene29089 transcript:rna29089 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYAISSFPTLSFQRVIVSNATTFSCKAKATNSVTASPSSGRREMLFLLTASTALTARESVSMAQDIPLFGIRKSLKKAEEKAEEIVKEGFESAEKGLETAEKGLEKAELGLEEAEKEIETGVGLGNLAQAIVVGGAEVFGLLVASAVVNGILGPEGSKS >RHN50366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6509974:6511111:-1 gene:gene34644 transcript:rna34644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MERWILKTDLVSVTWHSSKGVRDGLRDEIVSALCKDVEGLNSSTIKTKSSYFYGKLIARAARLALIAEEVCFLDVIPKVRKYLKETIEPWLDGTFNGNGFLYDKKWGDIVTKHGSTNAGADFGFGVYNDHHYHLGYFLYGIAVLAKIDPIWGRKYKSQAYSVMADFMNLSRNSNPSYYTHLRCFDLYKLHSWAGGLTEFTDGRNQESTKLAATASTLASFEILAAKMWWHVKEGGNMYEKVFSKNNKIIGVLWSNKRDSGLWFGPAEWKECRLGIQLLPLLPISEVLFSDVMYVKGLVEWTLPALKRDGVEEGWKGFVYALQGIYDNESAQQKIRKLKGFDDGNSLTNLLWWMISLSEQ >RHN41878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33793063:33799021:-1 gene:gene48242 transcript:rna48242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MEVSAEVKIVGNKSVQELALNSENLPPNNYIYNEGGVGFRDALLPSESDFQVPIIDIANLTLPSTAQHELLKLQSALHSCGFFLVINHGMTSSFLDKVREVSKQFFELPKEEKQKYAREPNNVEGYGNDTIYSENQRLDWCDRLFLKVHPEDQRNFKFWPLNPIDFRNTIQQYTECVWQLYEEIFRAMAKLLNLEEDCFLKECGERGTMSMRTNYYPPCPMADHALGLKPHSDSSSITFLLQDNKVEGLQVLKDNQWFKVPIIHDAIVINVGDLMEIMSNGIFQSPIHRAVVNEEKARLSVAMLCRPDSEKEIQPIDKLVNESRPLSYRPVKDYCTKYLQYYQQGKRPIDAFKL >RHN75484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42622178:42626622:1 gene:gene11702 transcript:rna11702 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMLLVFLLIILIITSQFEWKQPLVSDVESNSSVTQKQHRISNAEETVKEKIILVQEKNIRRLNELVRHLQEQLQQCKGSNGTINSTVGPLTERILELERQQILED >RHN75142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39712490:39718533:-1 gene:gene11303 transcript:rna11303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MNKPILISQEDQLLQQQHQHQHQHQQLDQQDFFYESNDRLLSLSSSCSCSNSNSDQEDEDQENNNNNCNYNFALNSYDIWISQPSSVSERRTRLLQTIGLSSDFALSRGNPIPPYNAIFRSKSDGHNNNSNNSCFISSTVNVNTSVPGNSGGSPAKPPSGKNSSRRSHSGVDFLPGNSSTLPDTGEISGEAVLSKEIECDEIEKGEEQACTIRDLDNGKEFVVKEVREDGMWNKVKEVGTGRQLTMEEFEMTVGQSPIVQELMRRQNHEETGDVAGGGVGNGSNGNGGCSKGKKKGGSWLKSIKSVASSMAGHKERRSSDERDTSSEKGGRRSSSATDDSQDGSFHGPERVRVRQYGKSCKELTALYKSQEIQAHNGSIWSIKFSLDGKYLASAGEDCVIHVWQVVESERKGELLLMEKQEDVNVNMLFLVNGSPEPATLSPLADNLLERKRKGRSSVSRKSMSLDQFVVPEHVFALTEKPVCSFKGHLDDVLDLSWSKSQHLLSSSMDKTVRLWHLSSKSCLKIFSHSDYVTCIHFNPVDDRYFISGSLDAKVRIWSIPDRQVVDWIDLHEMVTAACYTPDGQGALVGSYKGSCHLYNTSENKLQQKSQINLQNKKKKSNHKKITGFQFAPGSSSEVLITSADSRIRVVDSIDLVHKFKGFRNASSQISASLTANGKYIVAASEDSHVYIWKNEADCRPNRSKGVTVTQSYEHFHCKDVSVAIPWPGMGDAWDMHDTFSGAQPELDSNNDEIASANHPPTPVEENFGVEGSRSASGYNSPHHATIASATNSYFFDRISATWPEEKLLLAARNQSPRVSMDFSNGVSQKMSAWGMVIVTAGLRGEIRTFQNFGLPLRI >RHN77825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9651246:9651773:-1 gene:gene1318 transcript:rna1318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MRVGIENSKFLKDIVVRPQLKSLDLGRNQWLRDKNIIMFASIFPNLQLLDLCHCCNISKRGICQVLQRCCQIRHLNISCSPVNLLEINFEVPKLEVLNLSYTDVNDETLYVTSMNCRGLLHLLQKNCYHVTSNGLKRVVENCTHLKEIDLRGCDKLNANVVASVASSRKIIALFE >RHN65266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:678679:683631:1 gene:gene13086 transcript:rna13086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MESRLVDRSDGAEVSGDGNLVEPNDGCRSAKSYSGRELGISDHDNAVKEPYEGMEFVSEDAAKIFYDEYARHVGFVMRVMSCRRSERDGRILARRFGCNKEGHCVSIQGKLGPVRKPRASTREGCKAMIHIKYDQSGKWMITKFVKDHNHPLVVSPREARQTMDEKDKKIQELTVELRIKKRLCVTYQEQLKCYMNIVEEHSDKLSARIHHILDNLKEYESIEELLHQT >RHN75591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43584118:43585788:-1 gene:gene11821 transcript:rna11821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MLTASEYSNHSRQNQPVTGPAELNLPRVLWILSSTLEKLVSRNEKLVDELNQELDKLNNGSVRLGKSLNLFHGVRAPGISIPKYLERIYKYTNCSPSCFVVGYVYIDMLTHKHPDSLVLSLNVHRLLVTSVMVASKMLDDEHYNNAVYARVGGVSNAELNKLELELLFLLDFKVMVCPRVFESYCLHLEKEMLVNGTGLKIERILSPKSFETEISVEDQQSSSPPPIVDYGLT >RHN73377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15817402:15818295:1 gene:gene9180 transcript:rna9180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSKIFREYIGVKPFSTNLRDFPVEIIKTNISEFHFILGFATEEYDAQNKGTGVFKETWNTRAFGPEAVRNLKGNNPNVKVVISIGGNDTVKTPFNPVEETIWITRAVSSLKVIIQKYKDQTGNIIDGIDINYLNVFHTTNDTGKLRFARCIGEVITQLKNDNYLRIKIVSIAPSETNEIHYRNLFWQNEANINWVNYQFYNQSKAVSTLDDFLKLYDQVSRNYKPSIVLPGVSTDKLHIEPVDKMPREHFIAGCRHLLQIASLPGVFLWNADDSTIPLPNENKPFVLEDILQSLLIG >RHN66922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21654981:21656229:1 gene:gene15044 transcript:rna15044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucose 4,6-dehydratase MHFAAQTHVDNSYENSFEFTQTSFMELMSFRSLQVSKNQVKGFIHVSTDKFYGETDENAVVGNHEASQLLETNPYSAMKIGAEMLGTEFILLAMKGKNLSIHGDGSNHVRSYLYCEDVVEAFEIILHKGEVGHVYNIGTKKERKVIDVAKDICKLLSLDSDNHKALFKFLIYGGTWWIGGFLGNVWEKQGIELEYGIGYFEDRSELLVDIRTIKSTHVFNASGVIGELNVKWFEDHKSETIRPIVVGVLTLADVCRERVSFRSIMPLVVVILKTGQFPPIILFRTYAKVRSKNRGFKKCF >RHN79857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32885028:32886741:-1 gene:gene3704 transcript:rna3704 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDEGLNLNTVACLRGRLLAERQASKVAKEQAELMANKLVELEKLVKEEIKLRDKSERKLKFLRKKLESFSKSSKSKQLGHSDSSQNCENSCGSSSISSNSKYSEANETQNQVPNQNVSETQNSSSTSKDCDSQITDDDSSSNSKPSSEFENLKNDESRISSSSTKSSVTENENDHADSFDNSLALVPVTVNVTATSQATTTNHKQVNESVFEALDALKVAKEKLQSSLGIRQMIRVGLM >RHN57384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38590574:38593309:1 gene:gene32923 transcript:rna32923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSDHVIELDLSCNNLNGDLHPNSTIFQLRHLQQLNLSLNFFFGSSLHVGIGDLVNLTYLNLSNCYLSGNIPSTISHLSKLVSLDLSNYRHLEQQLKLDTLTWKKLIHNATNLRELHLNRVDMYSIRESSLSMLKNVSSSLVSLRLGEIGLQGNLSSAILSLPNLQRLDLSNNELSGKLPKSNWSTPLRYLDLSGITFSGEIPKSIGHLKYLTQLVLSYCNLDGMVPLSLWNLTQLTHLDLSQNKLNGEISPLFLNLKHLIHCDLGYNYFSGNIQVPSSLFHLPNLSFLDLSSNKLVGPIPVQITKRSKLSIVNLGSNMFNGTIPQWCYSLPSLIELDLNDNHLTGFIDEFSTYSLQSLYLSNNNLHGHFPNSIFELQNLTNLDLSSTNLSGVVDFHQFSKLNRLWYLYLSHNGFLSINIDSSVDTILPNLFSLDLSYANINSFPKFQARNLESLDLSNSNIHARIPKWFHKKLLNSWKDIIHIDLSFNKLQGDLPIPPDGIEDFLLSNNNFTGDISSTFCNASSLYILNLAHNNLTGMIPQCLGTFSYLSILDMQMNNLCGSIPGTFSKGNIFETIKLNGNQLEGPLPQCLAYCSYLEVLDLGDNNIEDTFPNWLETLQELQVLSLRSNHLHGSITCSSTKHPFPKLRIYDVSSNNFSGPLPTSCFKNFQGMMDVNNSQIGLQYMGKARYFNYYNDSVVIIMKGLSIELTRILTTFTTIDLSNNKFDGEISEVIGELNSLKGLNLSNNGITGTIPQSLSHLRNLEWLDLSRNQLKGEIPVALTNLNFLSFLNLSQNHLEGVIPTGQQFDTFGNDSYEGNTMLCGFQLSKSCKNEEDLPPHSTSEDEEESGFGWKAVAIGYGCGAIYGLLLGYNVFFFTGKPQWLARHVENMFNIRLKRSNNRANLNRRRMN >RHN70386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51084712:51087556:-1 gene:gene18958 transcript:rna18958 gene_biotype:protein_coding transcript_biotype:protein_coding MWGYIDETVVKPTDKKDADKYAQAFKTWMKSNSKIITWINNSIDQSIGVQLAKFDTAKEIWDHLKRLYVQSNFAKRYQLESDIMALKQNNMTIQEFYSAMTNLWDQLALMESDQLKVVKAYINQREEQRLVWFLMALRDDFEGLRGGILHRTPLPNVESVVSELLAEEFDSRLIPICQIRVFSPLLHLFLLLLLFKEENLKGGLGSVTMNVLFANKKFIGKYNVQN >RHN61115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33087258:33090566:-1 gene:gene23544 transcript:rna23544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, phosphoribosyltransferase MQKPPNSHEFALKETTPNIGAGAVTRDKLSCTYDLVEQMQYLYVRVVKAKELPTKDVTGSLDPYVEVKLGNYKGITKHFEKKSNPQWNQVFAFSKDRIQASVLEVIVKDKDVIADDFVGRVWFDLNDIPKRIPPDSPLAPQWYRLEDRKGEKVKGELMLAVWMGTQADEAFPDSWHSDAAMVGSEAVANIRSKVYLSPKLWYVRVNVIEAQDLIPSDKSRYPEVFVKVALGNQFSRTRISQLKTINPIWNEDLMFVAAEPFEEPLVLTVEDRVGQNKDETLGKCMIPLQMVQRRLDHKPVNTRWHNLEKHLVVEGEKKDTKFASRIHLRLCLDGGYHVLDESTHHSSDLRPTAKQLWKSSIGILEVGIISAHGLMPMKTRDGRGTTDAYCVAKFGQKWIRTRTIVDSFSPKWNEQYTWEVFDPSTVITIGVFDNNHLHGGDKSKDSRIGKVRIRLSTLETDRVYTHSYPLLVLNPSGVKKTGEVQLAVRFTNSSYFNMLYMYSMPLLPKMHYIHPLSVIQLDHLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMKVLSSLIAFGKWFDQICNWKNPITSILIHILFIILVLYPELILPTIFLYMFMIGIWNFRWRPRHPPHMDTRLSHADAAFPNELDEEFDTFPTSRSSDIVRMRYDRLRSIGGRVQSVVGDLATQGERFQSLLSWRDPRATTLFVTFCFVAAMILYVTPFQVVLLITGFYVLRHPRFRQKLPSVPLNFFRRLPARSDSML >RHN51117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14134305:14135175:1 gene:gene35497 transcript:rna35497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MDNEETKPIRFGILGCAEITRKVSRAINLSPKATLYAIGSRSLKKATKFAASNNFPSHAKVYGSYNAVLDDPDVDVVYIPLPTSLHLHWAVLAAQKKKHLLLHKPVALNVVIRQFNISYMQS >RHN64375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58589353:58591807:1 gene:gene27189 transcript:rna27189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydro-lyase MSSQKLILVNREPKGIAVVTINRPDSLNSLTRAMNVDLAQAFKSLDRDESVRVIILTGTGRSFCSGVDLTAAEDVFKGDVKDPESDPVVQMERCHKPIIGAIKGFAVTAGFEIALACDILVAAKGAKFMDTHARFGIFPSWGLSQKLSRIIGVNKAREVSLTATPLTAEVAEKLGLVNHLVEDGELMKKSREIAEAIAKNSQDMVLRYKSVINDGIKLDLGRALSLEKERGHAYYNGMTKEQFKKMQEFIAGRSSKKQSKL >RHN46439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31717771:31721261:-1 gene:gene40923 transcript:rna40923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MICNCFGALNWSKGKGEPAEQLHEQVMKTKSFSYNSLRSATGDFHPSCKIGGGGYGVVYKGVLRDGTQVAIKSLSVESKQGTHEFMTEIAMISNIQHPNLVKLIGFCIEGNHRILVYEFLENNSLTSSLLGSKSKCVPLDWQKRAIICRGTASGLSFLHEEAQPNIVHRDIKASNILLDENFHPKIGDFGLAKLFPDNVTHVSTRVAGTMGYLAPEYALLRQLTKKADVYSFGILMLEIISGKSSSKAAFGDNILVLVEWAWKLKEENRLLELVDSEITDYDENEVYRFLVIALFCTQSGAQHRPTMKQVLQMLSRQVHLNEKALTEPGIYRWNASAKTSGSLNEISSSSQVIKHKKYENRCQTSTQFSGTDIVTEMFPR >RHN75354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41519375:41522492:-1 gene:gene11539 transcript:rna11539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MLELKTPLKNKGFYVRMKLMNKHNTKPQQDHHKTFFFFTYYKWLLWFSLSLYFFTSYLITNDPQDITTIVSNSNSNVVSHNSLDMLKNLKVFVYELPSKYNNDWLVNGRCKTHLFASEVAIHTALLKSDVRTFDPYEADFFFVPVYVSCNFSTVNGFPAIGHARALISSAVELVSTEYPFWNRSRGSDHVFVASHDFGACFHTLEDKAMGDGIPQILKNSIVLQTFGVEYEHPCQDVENVVIPPYVSPEKVSRTLEKAPMNGRRDIWAFFRGKMEVHPKNVSGRYYSKRVRTEIWRKFNGDRRFYLQRHRFAGYQMEIARSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPFSSIVKWPEISLTVAEKDVGKLDKILKHVAATNLTQIQKNLWDPKTRQALLFNSEVQEGDATWQVLFSLSQKLGRSYRRSMVSGQL >RHN39443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7158291:7161809:1 gene:gene45465 transcript:rna45465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSNWLGFSLTPHLRIDEEFGTENQNQNQNHVAEGSEIGRNYVTPSSHPHPHHLSIMPLRSDGSLCVSDSFTPQEWRYENAITDGNSNEEGPKLEDFLGCYSNQNQNSTTTSTMSKINVNVSPSFCTNNNPEIDTRENLTNQSLIHSFHAYNDHSNNNHHALIHDNSMYKSWMTQTQFSSEGKTTSSDGNGFQSLNLTMSPCVQNGVGGGVGSAISNVQVNEDPRKRSLSKSNAREPVPRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQGGYDKEEKAAKAYDLAALKYWGPTTHINFPLSTYDKELEEMKHMTRQEFVANLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGTSAVTNFDISRYDVKRICSSSTLITGDLAKRSPKDSTPPATTAEDFNSCGSSSTLSQPPPLTITDGEQHSDELSNMVWNSNNDEQKPQNGTNITESSQHGSPSNKNEMNPQSPKCSLGLPNEFGVSGADYGHGYFTLHGPKFDDGSNENDHMNNNRLGNLGLVNQVPMFALWNE >RHN40427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16148720:16149752:1 gene:gene46575 transcript:rna46575 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRLSQLKEAQERFDRNNEILIPRIQRVPMFLRQNERFYKYCTPKIISFGPIHHNAKNLKEGEHYKLLWTSIFVANYGKKIDKDDNEACKLLLKKIEDNIENVKNMFTEDAIEGYNDNDLAWILFVEGCSLLHFMENVDDQCPDALNLKFDQLMHIWRDTNLLENQLPNKMLEILCKEAGYDLGFLINNYDNLGRGKRCGMVMVQLENTKPCHLLDFARSLYLSPKKVSNVEGHGQMETQLEGGEHSSMRKKYNSRPRRQ >RHN79438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28885288:28885948:1 gene:gene3228 transcript:rna3228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MTYSRAIYLAISMVLLSSVAMAADHVVGDEKGWTVDFNYTQWAQDKVFRVGDNLVFNYDNTKHNVFKVDGKLFQSCTFPSENEALSTGKDVIQLKTEGRKWYVCGKANHCAARQMKLVINVLEEGAPSPSSSAHSIVSSIFGVIMVATIAIATFF >RHN49085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52324186:52326151:1 gene:gene43874 transcript:rna43874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MKTKTLLVSSFFLILIINITFGIVHSDNFNEFRIPNKVVKSLCKDTDDHKLCHDVLYPVKTSNPIDYIDVVVKNLMESVENAFNDMSNKLSSMENNESNNLGIKMALEDCKDMLQFAINELKASKVIITESSSIRSIHNRSVELKNLFGAVIAYQQSCLDGFSDTKSDNNKAMLHLQTDNYLDNVGKLTGLALDVVSEISHSTNVKSLVDNEGYPTWFSVDDRKLMAMGPIGATDNDVLVTVAKDGSGQYKTIVDAINAYPNNHQGRYIIYTKSGVYDEYIFVDKDKPNVFMFGDGPTKTIITGSKSFLQGIKTMRTATFSTVAEGFVARGIAFENTAGPRGHQAVAVRVQGDRSAFYDCIFRGYQDTLYVHAHRQYYRNCEISGTVDFIFGYSSTLIQDSKIILRMPYPHQNNTIVADGTEQKNMPTGIVVQNCVILAEAELLRNKLKVKSYLARPWKEYSRAVFIENVIGDVIQPEGYIPWTGEYPNIENSYMAEFGNSGEGAGVERRVDWAKGLISKEEAFQFTAAQFIQANTWLPITGIPFYNGFIREIN >RHN54698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11394767:11400129:1 gene:gene29772 transcript:rna29772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA repair Rad51/transcription factor NusA, alpha-helical MNEDVESEESVNQLQLVPADMVMEETNNHMQLIEEEDMIMEEESIDKLISQDIDADDVKKLLDAGIFTCNSLLLQAKKNLTRINDLSEETVDKIWEAAEKHLRKSVVGIATGSQMPDELLESNITALRRHLKLISWPPHPNKIGTFCAKYILSISTLLYFYYYYYYYYYYYYGLMLFFPTTTPNFLNTDELRAIESLFQKSPAELKPYSYILVETLRGLVNNEDGQLRNLAYDVFELVFPYLDVEDQQMLTRRMMGSILNAMQHSKLDIQSTSFEFLNHILWLNPTLLLSDAKKIFQNYKDFFGKILYFNKDQKTNLKVSLPGLIRCLSHLVKNDVENDLLDKGDIGERLLHAYEDDSFMSFNGLSRNMKDLIPLLVDSFQRLIPSATEWLDEPTFGCLTSILHCIDLIVIYLKKTKDLNSQSGPEVAASLENLFHHFPIHLVTNFLDKGSNDKFLELNFFISKIFLELKEWIFLNSLLEKCLEFVESALLGKFCQVSESANTVWERHLSQLIPFVSKLILHGANNNSNRASRLLPAFTHIFTVSMPGSLLKWTCLHAIKDMLSHIHESFPFQEKSECVKALDAWMLELTELLLKIGYNPTDYSLVIIISNFKYILQIQYQLRTYMDKRKKILNNIHYSIECFENTVSVKKLMKCLTKKFKKFPSCTVNGYRNGERGRKRDKVSEEE >RHN51512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19110733:19111305:-1 gene:gene35961 transcript:rna35961 gene_biotype:protein_coding transcript_biotype:protein_coding MKISYQKGMIHSSPPTIWEKLSFLPAAILTLTVVLSPEDQEILAYLISCPSSSFNGNGSQKINDVSDHFPHLFHCNCFRCYKNYWVRWNSSSNHLVIHNIIDDFEDFVSQTTNKKKEKKNSNNNKLSESTHFKLESVTMTERSSRSSNIVGVAENEEKLGLVTKCLCLVAEWILWMWCVWGRLINFQHKK >RHN50399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6789065:6791367:1 gene:gene34677 transcript:rna34677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative allene-oxide cyclase MSSSFFALRKISSFYAKPSNTKSSQPNISYKSTILPFPSLTTTTLNNLNINTSLHSYQSNKSFICKSQDQSSNSGSLLISFLFVCQGTMMEFVAFKVQELSVYEINEKDRGSPVYLRLSQKNVNILDDLISFSNKLYSGCLQKRVGITAGICVLIQNKAEKKGDIYEAIYSFYFGEYGHISVQGSYLTYEEDTYLAVTGGTGIFEGVYGQVKLHQIVFPFKIFYTFYLKGIKDLPHEFLVNPIEPNLAVKPSLDAKDYEPHAVIAGFTN >RHN56159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28279093:28284449:-1 gene:gene31516 transcript:rna31516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MGSLDITKNLSHVVCIPFPAQGHINPMLKLAKLLHFKGGFHVTFVNTEYNHKRLLKARGPNSLNGLPSFRFETIPDGLPESDVDVTQDIPSLCESTRATCSPHFKKLLSKLNNAIDTPPVTCIVSDGCMSFTLDAAQELNIPEVLFWTTSACGFMCYMQYRKLIEEGLTPLKDSSYITNGYLETTIDWVPGIKEIRLKDIPSFIRTTNPNDIMLDFLRGECQRAQKASAIIFNTFDNLEHDVLEAFSSILPPVYSIGPLHLLIKDVTNKELDSIGSNLWKEEPECLEWLNSKEPNSVVYVNFGSITVMTSEQMIEFAWGLSNSKMPFLWVIRPDLVAGENAVLPLEFLEETKNRGLLSSWCPQEEVLGHSSIGGFLTHNGWNSTLESVCGGVPMICWPFFAEQQTNCRFCCNEWGIGLEIEDAKRDKIEILVKELMEGEKGKEMKEKALQWKKLAHNAASGPHGSSFMNLENLIHDVLLK >RHN68324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34561109:34562320:-1 gene:gene16660 transcript:rna16660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEQEKEKREEREHEKWVYDGSVDCKGKVPLRAKTGVWIASLFVLTIEFSERVSFFGIAANLISYLTKVMHEDLKTAAKDVNYWSGTTTLMPLIGGFLADAYTGRFPMILFSSLVYLLVNLFILMSCIVYFNYCLFIYY >RHN62808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45963229:45965124:-1 gene:gene25419 transcript:rna25419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MIPLRSYLIIVLIAVFFSFTYSAIDTTKHEENFLQCLYSYSHNSTSMSKLVYTKTNSSYSSILQFTTQNLRFASKTTPKPLVIITPKHISQIQTTIICSQRHDLQIRIRSGGHDFEGRSYVSEVPFVIIDFTYFREITIDVEKRTAWVQSGATLGELYYTISRKSRNLGFPAGACPTVGVGGHFSGGGYGNLVRKFGLAADNIIDAHIIDVKGRFLDREAMGEDFFWAIRGGGGASFGVIISWKVKLVQVPSSVSVFNVPKTLDQNATKLVHKWQFVTSTIDENIAIYVILQRVNLSKKGKSNSTVQALFQALFLGSVDKLIPLMKEKFPELGLVREDCIEMSWIESVLYLYGFPKGESPEMLLNRTQAAKDIFKVKSDFVRIPISEIGLERMWRMFHEDGAKDSMVYFFPYGGIMNNISESKIPFPHRYGNLYQILYSVHWHQESDEVEKIKMNWIRKLYSFMEPFVSKSPRAAYINYRDLDIGVNNNNGYTSYKQASIWGVKYFKNNFKRLVKVKTKVDPLNFFRNEQSIPSHLSKGHR >RHN72564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8636359:8639068:1 gene:gene8290 transcript:rna8290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative succinate dehydrogenase (quinone) MADNTRMKELTIELRRQAEVQEKSNIENKARFDRLEAMQTASDLRFNQLSATLERFMQQTQQHGVAHGNTNSDSSSQSTFVGHTQSAVVGNQSTSSLSSQPFQVRHIKLEFPRFNGKHVLDWIFKAEQFFGYYNTPDPERLIIASVHLEQEVVPWFQMVNRSRPFQNWEDFTRALELDFGPSLYDCPRASLFKLHQTKSVNDYYLEFTALSNRVYGLSNDALIDCFVSGLKDEIRRDVMLHTPISIVKAVSLAKLFEEKLAANLTHMNQQKTHNHNSTFQNRAHFNPTRNDHTQTTEKAPNPPLLPTPPTRPMSILQKNPAIKRISPAEMQLRREKGLCYFCDEKFSFSHKCPNKQLMLLEFEDECDTNSEQFQPDSPNESDNTHKAEHHLSLNALNGVSGFGVIRFKGFIGPLNVSILLDGGSSDSFVQPRIVHCLGLPVEPIQKCNVLVGNGQNMKAEGVVKNLTVKVQGNDITVPAYLLGLKPTRRAQPKKLVQ >RHN69121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41186534:41191501:1 gene:gene17550 transcript:rna17550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MAKKIKKRGRSSVKEKAVIIDSSPKIVTESCNPPTTESVGEEVSVAKETNPCPHLVKGIQLDILSGKVESSAPIRCEGCREGAADRRGGKGKGKHGKKKGGADSKSDSKSIWVCLECGQYNCGGVGLPITPNCHAIVHSKKARHPLVVNIEKPQLCWCFRCNMLIQVDKLETDEASHVISDVVKLLKGRSSGKTLVDVEDVSIGDGSVTSEIKLGSLSTSGSYGQGGYVVRGMVNLGNTCFFNSIMQNLLAMNKLRDNFLRVDAFVGPLISSLKKLFTETNPESGFKNIINPRSFFGCVCSKSPQFRGYQQHDSHELLRCLLDGLSTEELAGRKQNGSLKRDGTSSKTLVDALFGGQISSTVCCNECGHSSTVYEPFLDLSLPVPTKKPPPRKAQPAPRTRKTKPPPKKGAKSRVKVNRDVDPLPVQNIPSQSSCLDQSVTSGAGELVISSGVSTVLGSEEISSEAKVNRDDDPLPTQNVPSQSSSHESSCPDQSVTSVAGELVASSGVSTVLGSEEISSEANKEDLSPSNLVTVVESQQIQGFDSVATKTSDSSDAFAWLDFVEAETNEEDLSPPNLVTVGESQQMQGLDSVANKTSDSSDDFPWLDYVEAETTIDEYASISQKEDALEVQDSENKDERLTAFPEQGSCETSGPVCFLKEDQNQRPDFSSSNEREDEVPLQVQNSEVLLLPYKEESSSAGDITGIDGGDSSSVLGGGPEESEFDAFGGLFNEPEVVAGPAPRPSSSGDVEAGIIIRNISESDPDEVDDTDSPVSVESCLAHFIKPELLSDENAWHCENCSKILQRQKKKAKEQEKTLSDGNASGSHDESWHASKACSFKVSSTGNEDIENDKNIESSVSHVQHGTELENGQKDELRNCELQSSSLHQPNNEESCDNSAVDSSITGNVQQDAPMLNNDDNDSEECSGKETGLESIRVNRDATKRVLIYRAPPVLTIHLKRFSQDARGRLSKLNGHVNFRETMDLRPYMDPRCINEEEYKYQLVGVVEHSGTMRGGHYVAYVRGGQRNREKVDNKENESSTWYHASDAYVRQVSLDEVLRCEAYILFYERN >RHN76051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47316302:47317322:1 gene:gene12325 transcript:rna12325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromosomal replication initiator, DnaA, harbinger transposase-derived nuclease MISRKTFEYICSLVKDDIAKKSSHFSFSNGKPVSLTDQVAVALRRLGSGDSFVTIGDSFGLSHSTVSQVTWRFVESMEERGLHHLQWPSTQEEMNSIKSKFEKIQGFPNCCGAVDVTHITMLLPATEQSSDVWLDHKNNHSMVLQAIVDPDMKFRDIVTGWPGKMEDWSIFESSNFNKLCDNGERLNGKKLKLSKGSEIREYIIGDSGYPQLPYLVVPYEEKEILESEPKAKFNKLHLETRMVAQRALTRLKEMWKIIRGKMWRPDKHRLPRIILVCCILHNIVIDMQDEVNDELLCFYRNNHDSGYHQLVYEGFDEKGVALRESLSHYLTGRLHT >RHN48077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44791097:44791458:-1 gene:gene42751 transcript:rna42751 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEKLRLYEKFKCSSCWGIYFYISCISFCFSLTKHCYVSVH >RHN45981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27512348:27518265:1 gene:gene40412 transcript:rna40412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Root UVB sensitive family MPFTLHTPTDHPHPISWNLITPKQPIPTSQNLIFTRLKAFSSLRTSLDCVSSDGGGVDKISQQIRLPFVVKSPNKVTRFFWNGGCLELVTVDGGAASGDNDGLLKVFGSVVRDFFIPREVNENYVDFVKWKLLHRVFSSALQVLATQAMFTAIGVGYSSSLPSAAALNWVLKDGLGRLSKCIYTASLASAFDTNLKRVRFTTSVLFVGSIGLELLTPTFPRFFLLLATIANIAKQISLACYLATRSAVHQSFAKADNLGEISAKAQIQTVCFDILGLMLAALVNMWIGNHQRPQAGLHFFVYPLFAFMDLFGIYQGLKHVHLKTLTKDRLEIILKTWIEFGYVPSPADVSEKEVINFMGVKGKSLWPVRIGCISPKDQIPEWSMKTIQSITDEDYYFVCMEIFEGLKRTGQLRILLSIREGAESKHIIMGLLQACYIRRTLLKWNISRGENNASNSALEDWSVIVEDGKRYAERDVTDLIEQMVGMGWVVKNILLSKQEQARYSFVYD >RHN52185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32446598:32449255:1 gene:gene36825 transcript:rna36825 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFVLHNLGVSPIFDLCKGRGLYVYVFVIVRLLHLNVIFCKLINYHCFMFCFSVICFIQIMALLPKLPLVP >RHN41576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31193764:31197694:1 gene:gene47910 transcript:rna47910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nicotinamidase MGSESQSPTLELVKEEIPVKQQPLLLSDNFKTGLVLVDLVNGFCTVGSGNFAPKEHDEKISKMVENSVKLSKKFAEKNWPIFAYLDSHHPDIPEPPYPSHCLIGSDESKLVPDLLWLENDPNATLRKKDCIDGFIGSYEKDGSNVFVDWVKSNQIKQVLVCGICTDICVLDFTCSVLSARNRGFLSPLENVIVASQACATYDLPLHVAKASKDLVSHPQELMHHVALYIAVGRGAQIASEVSFE >RHN38586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:475768:481549:-1 gene:gene44541 transcript:rna44541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperone DnaJ MFGRGPTRKSDNTKYYDILGVSKSASEDEIKKAYRKAAMKNHPDKGGDPEKFKELGQAYEVLSDPEKKELYDQYGEDALKEGMGGGAGSSFHNPFDIFESFFGAGFGGGGPSRARRQKQGEDVVHSIKVSLEDVYNGTTKKLSLSRNALCSKCKGKGSKSGTAGRCFGCQGTGMKITRRQIGLGMIQQMQHVCPDCKGTGEVISERDRCPQCKGNKITQEKKVLEVHVEKGMQQGHKIVFEGQADEAPDTITGDIVFVLQVKGHPKFRRERDDLHIEHNLSLTEALCGFQFNVTHLDGRQLLVKSNPGEVIKPGQHKAINDEGMPQHGRPFMKGRLYIKFSVDFPDSGFLSPSQSLELEKILPQKTSKNLSQKEVDDCEETTLHDVNIAEEMSRKKQQYREAYDDDDDEDDEHSQPRVQCAQQ >RHN61124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33172004:33172256:1 gene:gene23557 transcript:rna23557 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIVDIISANITKSWMEVFNDPTAILTEDELYDLRNQWATWFLDLFKV >RHN69466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43630747:43634234:-1 gene:gene17942 transcript:rna17942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MIARQLCIVLLLLHLLVFPLLKAVEEDASSSDSIIFTTLGRPDYAFDIYSLPIHHHYHHQELQLTDGHSVNFNGQFLPNLTSIKLLTHQDPPPLQLVYVTERTGFPTIYYDAVYTTTTKINDRIQLPLLPNHLTQTQSQLSIKDKPSLSSDGQYLIYVSTHEDPGVQRVSWTAVYSTHLKSGNTRRLTPYGVADFSPAVSPTGKWTAVASYGGNGWSGEVEDLTTDIYVFLTHDGTHRYKVVDHGGWPSWVDDRTIYFHRRGNDGWLSIYRAILTTDSSYSVLIERVTPPGLHVFTPATSPGNNKFIAVATRRPGSNFRHIELFDLVNNEFKELTRFVSPNTHHLNPFISPDSARVGYHKCRGKESNTSPQFMLENVRSPVPNLTLFRFTGSFPVFSPSGDRIAYVEMPGVYVVNRDGSNRKKVSDAMAFSTAWDRVRPGVIYTAVGETFASESTEVDIISIDVDRNFVKKLTLDGKNNAFPSPSPDGKWIVFRSGRSGHKNLYIMDAVHGEKNGLKRLTEGPWSDTMCNWSPDGEWIAFASDRHDPGSGSFEIYLIHPDGTGLRKLIHSGSGGRTNHPYFSPDGKSMVFTSDYAGISAEPISNPHHYQPYGEIFTVRLDGSGLTRLTHNSYEDGTPAWSPKYIKPVNVEMPKGGPYCSFEDCHWLNNMPNYIVSTGLHAQCGL >RHN56277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29435109:29436310:1 gene:gene31649 transcript:rna31649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MEKDRLSELPDHLILQILSFLFAKQAVQTCILSKRFDNLWKRLPTLRLTSSSFKHLKDFTKFVSKILSLRDHSTSLHSLTFHRHSLVEPHLLKRVLKYAVSHNVEQIYVRVKCDIQHFPPTLFSSHTLTSLKLALVHPKIYAKRALFPTNSINLPSLTTLCLHLFAFSVNNDGCAEPFSIFNKLNTLVIDKCEVEDSQNLWISSATLVKLTIVTRDYPPDDCIEIELSTPNLCTFTFIGSPFHKLYGSKTNLASIKHVDIDVNLMASSAEYSSFLLNWLIQLTNIKSLTLSSPTLQVLFLVSDLLKVELSSLSNLKSLKVMRKKPSSIPDGIVDFLIQNSPSAKVDIIEVDIED >RHN48653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49242868:49248118:-1 gene:gene43392 transcript:rna43392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MDFFPFLLSLIFLILHLSGRRVVEAEVNLQKHHHDHKGIISKLFVFGDSYADTGNIKRGLANSWKHPYGITFPGKPAGRFSDGRVLTDYIAKYLKVKSPVPYSLRKLMPQHLKYGMNFAFGGTGVFDTLNPGPNMTTQINFFQQVIKDKVYTASDINNSVALVSVAGNDYTRYTVTNGSVEGLPSFIESLINQTITNIIRIKGLGVKKVIVNNLQPIGCLPSMTTSTSFKQCNETSNNLLAFYHNILLTQAVTKLNQQTKHHSKPFLILNLYDSFMSVLNHPSTHNIRDELRPCCVGVSSKYFCGSVDENNVKKYLVCEKPKSAFFWDLVHPTEAGWHAVYNKLRIMNALQQIHD >RHN50561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8090487:8092574:1 gene:gene34861 transcript:rna34861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-1 MKNNIVLLEIAEVVAQIVPCKTRNRNFKSACIAVSGDDEECDHDCRRVGGWYGGSCKNQKCVCDC >RHN46619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33340365:33340982:1 gene:gene41130 transcript:rna41130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wound-induced protein, Wun1 MDAMVEMQNKSIVEILYKALLGQGTMEMVAKLLASDLEYWFHGPPQCQHMMKVLTGETNHKKGFKFEPRSVTSIGDCVITEGWEGQAYWVHVWTLKNGLITQFREYFNTWLVVRDLRPLRWEDHKQDNMTLWRSQPRDLYRRSLPGLVLAI >RHN77276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5627191:5627823:-1 gene:gene714 transcript:rna714 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHSLLRSQWLTLKLRQQSQLHILMRLLMMLLPILLALQNISDAIQQGLLPQPASPVLELSTVVAHDDVHSLRVEQTHPDDQDMSVPTSPAAADPVPSIVEHVDVHEDIVSATEVSNLLVQHTLAQEEVPSASSVQVKSPIEHNNSVVQIKYEHGQVQNQYVAGHNQEVVPAEGAVRNSDLATDDSNTVRHGDGPVQHINPNIQQDMDL >RHN55439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18345596:18350471:1 gene:gene30619 transcript:rna30619 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTGLFDLEKHFAFYGSYHRNPINIAIHVLFVWPIFFTALVFLYFTPPFFDLPNFEFLLFGCNFVLVWNIGFLVTLVYSVFYASLDLKAGSLAAFCCVVCWVGSCFVAHQLGWSLAWKIVLVTQLVCWIGQFIGHGVFEKRAPALLDNLTQALVMAPFFVLLEALQTVFGYEPYPGFHSIVQAKVEANIEEWQESKQRLIS >RHN54217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7892533:7897514:1 gene:gene29217 transcript:rna29217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MRTNSGEIVETFPNTLSFQVHSKICIELMKIVDSIMRIFPDIEEARPRCSSGIESLCFLNNSIEKAKLLLQHCSECSKLYLAVTGETVLSRCQKAKKSLEQSLIPIQGMVPVMLAVEVSRIIDDLEYATFVLDFAEEEAGRVVRELLHQGSTSDSVDDFEVKALQFAAPRLNITSQKAILIERRSIKKFLDKVGPNDPKKKTILRYLMYLMKKHGNLMVVGEHMENFYSRSEEPIARDNSSRDSRRRNRVESHHSMNYDQNKTEMNQSDRVAPPEEYKCPISSRLMHDPVIIASGVTYERMWIRKWINEGKTICPKTEKELPHMTLTPNIVMKDLISKWCKNNGVTIPDPSRHTEDFMLLDASITSIKSLGSYFNDLNLPMDLTNMSLGSLDNSFSSDVSRVKTNHALNLMMTKSNENSHPHKDTVHAEIHDTDLMLLPQLHDLQWDSQCKVIEDLKDHMKSNSQAILSVSAENLVEPVVRFLSNANDRHDLKALRAGTQLLSEFVNNCRSGMADLSEDTFIKLANLLDSEVIGDVLAIMEELSGDGNSKAKIAASSALTSVLKLLDSDNKGCQQHAIRIIYNLSFNSEVCPHMVSVNCIPKLLPFFKDRAVLRYCIYILKNICDTEEGRNSIAETKGCISSIAEILESGSNEEQEHALDVLLSLCTCSQNVDYCKLILDEDVITPLFYISQNGNDKGKESALELLHILRDAKYVENEDRSSQPITNNSSTDSNSHPEENRSSKKSQFLKKLGLFSKSTPHASKPRR >RHN79752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31860951:31861259:1 gene:gene3584 transcript:rna3584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MLRSFVRKIQKGLTQTVQKSHLNEDVREGYFAVVAIKDGEMKRFMIGLEYLSDPAFLGLLDQAREEYGFRQQGILAVPCGPQELQNILDSLNQKSIKWCRSN >RHN82515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54379624:54380113:1 gene:gene6686 transcript:rna6686 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRSMLHQFISFLVYHSSFVDDEGVNRACGCPLLPLKSHIKGPAPVSDQDRTDIVDEAITFFRANVFFRNFDIKSPADKLLIYLTF >RHN52912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40296445:40301617:1 gene:gene37649 transcript:rna37649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-PERK-1 family MQPQEPEVALPFEFELNQGHLPRPFLSRSNAFLPRRLPSSPPSSPDGSQSGGSLSPPSLLPHAPSRGELLQLSSSSIAFGSSKSMFSYKELWDGTDGFSDANYLGKGGFGSVHKGILPDGKEIAVKQLKADSSQGESEFKAEVEIISRVHHKHLVSLVGYCSAGYEMLLAYEFVPNKTLEFHLHGKAQTILDWSARQLIAVGSAKGLEYLHEDCNPKIIHRDIKAANILLDSKFEAKVADFGLAKDSPDSSTHVSTQVKGTFGYLDPEYAYTGRLTDKSDVYSYGVVLLELITGRVAIDKANPHMDVNLVEWARPFFMRALKGKNDLVDPRLKKQFDRKEMTHMVACAAACTRQSAKDRPKMSQVVRVLEGAVPVETLKAGVTRGHSRGYSRDYNSQQHREEGMTKLKKIASVLKGKWKSEQ >RHN44909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9736237:9738743:1 gene:gene39086 transcript:rna39086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START domain-containing protein MPNGKSKVTWIEHVEVEDRNPVHMLYRNVIYSGVAFGAEKWLTTLQIMCERIASYLMDSVIPSPDGKRTMMKLTQRMVTNFCESINGSASHRWTTLSTLNEITVRKSQPNGEVLSASTIIWLPLPPQTVFNFLNDERKRSQSNVLSNGNDVQEVVHIANVSRPGNCISVFNGFSTGNNNMLILQESCVDSSGSLVVSCPVDSSIMSEVDPSYIQLLPSGFIITSDGKQNDNNIQGGNSGNDDVASTSSNTNIGGSLLTVAFQIMVNSLPSNMESVPVVNGLICKTVEQIKAALNCPM >RHN39787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10501264:10507494:1 gene:gene45849 transcript:rna45849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MKQTMMRMLLHFRSILLGVLTILVLIQAQDQSGFISIDCGLPQHLSYIHESTYINYISDTKFIDTGVSKRIQFKDDIQQQLEYVRSFPSGVRNCYRINVTSGIQYLIRVSFYYGNYDNLNETPQFDLHFGPNVWDTVTFSSNDLGFAFKEIIYTPSQDYIQPCLVNTGKGIPFISAIELRTLNNNTYTTHSAKSVLSLSGRFHMVSKSIENLQYRYKDDVFDRIWYSQLYNKNVARLNTTLHSDDLHQNDFEPPAIVMSSALTPVNASDPLELYWNADNGNDQYYLYMHFNEVENLAPNETRAFNIRVSDTFWHGPVIPIYRKVKTIYSTTPLTGGTRYPISLFKIENSTLPPIVNAIEIYKVKDFSQPETKQDDVDTITNIKNAYGMARNWNGDPCGPVKYMWEGLNCSIDGNNIQRITSLNLSSSGLTGQIAPSISKLTMLQYLDLSNNSLKGSLPDFLMQLRSLKVLNVGKNKLTGLVPSGLLERSKTGSLSLSVDDNPELCTTESCKKKNIVVPLVTLFSTLIVILLISLGFWIYRRQKATWSKSKKKDSMKSKHQTFSYTEILNITDNLKTIIGEGGFGKVYIGILQDQTQVAVKFLSKSSKQGYKEFQSEAQLLTIVHHRSLVSLVGYCDEGEVKALIYEYMANGNLLQHLFDGNTNILKWNERLKIAVDAAHGLEYLHDGCKPPIMHRDLKPANILLDENMHAKIADFGLSRAFGNDIDSHISTRPAGTPGYIDPKYQRTGNTNKKNDIYSFGIILFELITGRKAVARASDEYIHILQWVIPIVEGGDIQNVVDPKLEGEFNINSAWKAVEIAMSCTSSNEVERPDISQILAELKECLSLEMVQRNNVSTRARDELVSIATISETTILAR >RHN82091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51210140:51215563:-1 gene:gene6212 transcript:rna6212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MDPPPAQFRWRPILAVVLILATICPSMAIYCDEDDCYDLLGVTQSANSSEIKKAYYKLSLKHHPDKNPDPESRKIFVKIANAYEILKDEATREKYDYAIAHPEEVFYNTAQYYRAYYGHKTDPRAVLVGLLLILSGFQYLNQTTRYNQAVAMVKKTPAYKNKLRALELERSGGITNKKKGQKNKDRKVEEDLSKVEEELDLQITGTERPSIWKLIGVRFILLPYTLGKLLLWSGCWFWTYKVKKCPYSWDDASYLTQRSLGLPDDRWRGIDEASKEDLVLRCLWKKANMESYMTEMRKESKRRR >RHN47630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41116788:41118320:-1 gene:gene42252 transcript:rna42252 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDMERTTTIKTFDCNLPPKSPTILRDIDASFSSYLTPQKPNNHNHHHKLDDPTSELSIFDAHKYFNEGTNNDNIQKVTISSNNNNNNNNNSRVSPVVINVNNETESIVIPDTTRYSSASSMDGYANIRNYRARSFHAATPTASSEASWNSQQGLLSHPAGAISVNIKNPSNPNPNNSNKHKSSLSKPNWFLRRKCPCTGKKSVQVNEKKLTELPKNNIKIPSPISPPPPMNNNWINNNIDQTQNHVVTKSQRFQPVVTTTVRVPYTDGFTFPVLNPNSSSTTTKLKNGIVLEDPPRESLEVFRPPEELTVDTKTLNFQFPPGISRIVIDDNDAASDASSDLFEIESFSTATQSSYSAAVYRRNSRDSFDEGSVTTAMTDCYEPSEASIDWSVTTAEGYDESSIAAVSVGGYGGGGGGSVTAEHWKRKGGNGLLVSCRCEKAVSVGPQPVKCEGQRGATSAWKQVNGGGVISSRVGGVNINKPPLARSSHSHQRNSNNTPRVTSFAFAT >RHN59807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13461614:13462207:-1 gene:gene21963 transcript:rna21963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MEAFSSKILLIIFSFSFITHSIQANDRPYQQSNTLFIRNSCSSTTYPRLCYTSLVKHADFIQTNRMLLTGTALNVTLASAKSTSALMSTLSKGQGLNPREAAAMKDCVEVLSDSVDELRRSIDEMSRLRTSNFELTMSDVQTWVSAALTDQNTCTDGFQEINATENVKTLVRGSIVQVAQLTSNALALINKLSTSHG >RHN57967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42809993:42810886:1 gene:gene33563 transcript:rna33563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MQYLEVLVLRENNLYGPIAGVNIKHPFPSLIIFDISSNNFSGPLPKAYIQNFKAMKNVIQVGEGSSSQYMERMEVGDMTYYDSVTMTVKGNSIVMVKIPIVFVNIDFSHNNFEGEILNVIGELHSLKGLNLSHNRLTGPIPQSVGNLSNMESLDLSSNILTGVIPSELINLNGIGVLNLSHNHLVGEIPQGKQFNTFSNDSYEGNLGLCGFPLSKKCEPEQHSPLPPNNLWSEEKFGFGWKPVAIGYGCGMVIGIGLGCFVLLIGKPRWLVMMVGGQPKQRVTRSTRVRRTHGSTMN >RHN78025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11354569:11356921:-1 gene:gene1539 transcript:rna1539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2,4-dihydroxy-1,4-benzoxazin-3-one-glucoside dioxygenase MQWFGLKNQNQQSTYFHSFIPRFISIQLLTMSSSPINTPSPPPYDRVKAVKEFDETKLGVKGLTDSGIKTIPSFFIHPPETLSDLTPRSDFPQPEIPTIDLSAVHHSRSAVVEQIRSAASTFGFFRVINHGVAPDLLQSVIGAIKAFHEQPAEEKEKVYRREMETGVSYISNVDLFNSKAASWRDTLRIKMGPVPADEKEIPEVCRKEVKEWDKEVKRVGDILLGLLSEGLGLGEERLIDMGLSQGRGMVGHYYPFCPQPDLTVGLNSHTDPGALTVLLQDHIGGLQVRTQHGWINVKPLDGALVINIGDFLQIISNEVYKSVDHRVLANPSNEPRVSIAVFFNPGNREKLFGPLPELTSAEKPALYKDFKLNEFLTRFFNKELDGKSFTNFFKK >RHN59590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12315552:12317630:-1 gene:gene21640 transcript:rna21640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADPH:quinone reductase MSVKLMQAVQYNSYGGGASGLKHVEVPIPTPKTNEVLIKLEAIRINPADWKIQTGVLRAIFFPRKFPHTPCTDVAGEVVEIGEQVKDFKVGDKVIAKLNNLYGGGLAEFAVARESLTAARPSEVSAAEAAGLPIAGLTARDALTEIGGVKLDGTGEPKNVLVTAASGGVGVYAVQLAKLGNNHVTATCGARNIHLVKSLGADEVLDYKTLDGTSLKSPSGRKYDVVINCTTGIPWSTFDPNLSEKGVVVDLTPGLSSMLTFALKKLTFSKKRLVPFVATIKREGLEHLAQLLKDGKLKTVIDSKFPLSKAEDAWAKSIDGHATGKIIVEP >RHN53593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2755241:2756331:1 gene:gene28517 transcript:rna28517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MSGLTLLLTSGYENISHGFVCAMTDRWHEETSNFHLRVGEMTIILDDVACLLGIPMTGRLLPDRKLTREEGLEMMQAGLLFTEEAAAKEMTR >RHN38797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2084176:2091111:-1 gene:gene44764 transcript:rna44764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase with a phosphate group as acceptor MGTVIEAANKDTNGSVLNKNPTVVFVLGGPGSGKGTQCANVVEHFGFTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIRLLQQAIKDNGNDKFLIDGFPRNEENRAAFERVTGIEPAFVLYFDCPEEEMERRLLSRNQGREDDNIETIRKRFKVFLDSSLPVINYYDAKGKVRKVDAARPVEEVFESVKAIFGPKNEKAD >RHN42511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38954740:38955232:-1 gene:gene48958 transcript:rna48958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amidase signature domain-containing protein MKINKLDPVVTPFSFFSSILAIGGYLGVIVPAGYEKGQPFGICFGGLKGSEPKLIEIAYSFEQATLIRKPPPLRKLEVTSLK >RHN39491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7499530:7502646:-1 gene:gene45518 transcript:rna45518 gene_biotype:protein_coding transcript_biotype:protein_coding MSATMISDPMIISTPETQSTTSSILVAQSEVEFAICECCGLTEECTPAYIERIRERYQGKWVCGLCGEAVKDEIVRSERLVSTEEAMTKHMNFCKKFNTSGPPPNPAVHLISAMRQILRRSLVRSTPSSPINKTTREIHGSGLARSESCFSTLSGS >RHN48881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50882515:50883123:-1 gene:gene43646 transcript:rna43646 gene_biotype:protein_coding transcript_biotype:protein_coding MQWRSQKKISGIERMNDKKSISFLLIMITGSFHCEYLLFIQGNLQLTSEVTHYLIYK >RHN60958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31842374:31843100:1 gene:gene23363 transcript:rna23363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IBR domain, Zinc finger, RING/FYVE/PHD-type, E3 ubiquitin ligase RBR family MEAKPAAEMFENQNCSHSFCEGCVGSYLAAKIQENIAMVKCPYPNCNGILEPHNCSTLIPKDVFERWGKALCENMVLDSQKLYCPFNDCSAMLVNDEEEVVTVSECPHCNRLFCAQCKVSWHSGVDCREFQSLEDGERGRKDLMAMELAKNKRWKRCPKCSFYVEKIEGCTRISCRCGNQFCYGCGSTWNTDSHYKCATG >RHN62873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46398212:46401703:-1 gene:gene25503 transcript:rna25503 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTEAPSGKKRVATESLGWLTESTIMPKKHRTIEGVGASSIFELKAELYKSQEDSKKSRELSGTDAEYQRAKNIITSKGPYSNKNSGVDARDLKDKLELKAIKDGSVSYAALEKKAELYDKLVKGELSDEEDKEKYCVDFVRKSDDHDDGNLSTNPNVLQENEHGGAGDGDAFSLFNLKPVGLGRAAGVVDGAEHKRNVREVHEEASRAREKASEIKLRRQEQLAAQREKLKQAYLRKKLAELKATSVGSESEIKHT >RHN79010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21002212:21014924:-1 gene:gene2687 transcript:rna2687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation HMG family MEILISVVAKIAEYTVVPIGRQASYLIFYKGNFKTLKDHVEDLEAARERMIHSVEKERGNGKEIEKDVLNWLEKVNGVIQMANGLQNDPLRANVRCSTLLFPNLILRHQLSRKATKIAKDVVQVQGKGIFDQVGYFPPLDVVASSSTRDGEKYDTRELLKEDIVKALKDSTSRNIGVYGLGGVGKTTLVEKVALIAKEHKLFDKVVKTEVSKNPDIKRIQGEIADFLSMRFEEETIVGRAQRLRQRIKMEKSILIILDNIWTILDLKEVGIPFGNEHNGCKLLMTCRNQEVLLQMDVPKDYTFKVKLMSENETWSLFQFMAGDVVKDSNLKDLPFQVAIKCAGLPLRVVTVACAMKNKRDVQYWKDALRKLQSTDHTEMDRGTYFALELSYNSLENDEMRDLFLLFAVVLDDIEYYLKVAIGLVILKHFNAIDDARNRLYTIIKSLEAACLLLEVKTDGKIQMHDFVRDFAISIACRDKLVLLRKQSDVECPFNDFLKRCRQIVLDRWHMHELPQTIYCPNIKFFVFSNVNRSLEIPDTFFEGMRSLRVLDLTRLNMLSLPTSFRLLTDLQTLCLYRCVLENMDALEALQNLEILCLWKSSMIKLPREIGRLIRLRMLDLSHSGIEVVPPNIISSLTKLEELYMGNTSINWEDVSSTVHNENASLAELQKLPKLIALELQIRETWMLPRDLQLVFEKLERYKIAIGDVWDWSDIKDGTLKTLMLKLGTNIHLEHGIKALIKGVENLYLDDVDGIQNVLPNLNREGFTLLKHLHVQNNTNLNHIVDNKERNQIHASFPILETLVLLNLRNLEHICHGQPSVASFGSLSVIKVKNCVHLKYLLSFTMVKRLSHLCKIEVCECNSTKEIVFRDNNSSANNDITDEKIEFLQLRSLTLEHLETLDNFASDYLTHLRSKEKFQGVEPYAFTTPFFNAQVEFPNLLTLKLRSLLNLNKIWDDNHQSMCNLTSLIVDNCVGLKYLFSSSLVESFMNLKHLEISNCPIMEDIITKEDRNNAVKEVWVHFLKLEKIILKDMDSLKTIWHYQFETSKMLEVNNCKKIVVVFPSSMQNTYNELEKLEVTNCALVEEIFELTFNENNSEEVTTHLKEVTIDGLWNLKKIWSGDPEGILSFQNLINVRVLSCASLEYSLPFSVATRCSHLKELSIKYCLNMKEIVAEEKESSVNAAPVFEFNQLSTLLLWDLHKLNGFYAGNHTLLCPSLRKVDVFKCTKLNLFRTHSTRSSNFQDDKHSVLKQQPLFIAEEVISNLEMLRMVQADADMILQTQNSSALFCKMTCIGLSSYNIEEARFPYWFLENVHTLESLFVEWSCFKKIFQDKGKISEKKTHPHIKSLLLNQLPKLQHICEEGSQIDPVLEFLEVLRVLSCSSLTSLMPSSVTLNHLTKLEITECNGLKYLISTLTARSLDKLTVLKIKGCDSLEEVVNGVENVNIAFISLQSLMLECLPSLIKFCSSKCSMKFPLLEKVIVGECPRMKIFSVGDTSTPILQKVKIAKNDSEWHWKGNLNNTIYNMFEDKLKKIQPVDNLTEMKPKKHTQKPRGLCPSGSKPEVLEVQQEKPHIIGNKRKVSWGSMFEVFGDQEKIASSPHLAPKSVDQEEVVDQYIQKKLTDILTSDNLIEVKPKKHTKSKSKEHKVKNIKVEKDHDMLENPPSSFSLLWDKFGIFFKEVDQNSGVNKMAIKAWNSMSNEDKQHYLDKAAKRKAKHEKLKKKGQKVEYCKRMQDLMKKEKENKFVECQQLGTKRIKQKTKWKADNMVG >RHN67529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27986353:27987588:-1 gene:gene15725 transcript:rna15725 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESADVFASQDPQPQEQSELFQGQGEGLQQIITNALIHLAPAIAEVIVAGFPPQYQYQQIAGNQHDPAMLNGDGGADLDLFVMTNDPFKHMWYAHGSDGSPGPDPNVFHDLGLFGDGFDAVFAIAVQNYNLGRFFAGMRKS >RHN65426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2142320:2152830:1 gene:gene13263 transcript:rna13263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase for other substituted phosphate groups MYIGPHGVAALHRHKYSGVDHSYVAKYVLQPFWTWFVTLFPLWMPPNMITLTGFMFLLISALLGYIYSPQLDTAPPRWVHVAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACALEALAFGSTAMCGRSTFLWWIISAVTFYGATWEYYFINTLILPVVNGPTEGLMLIYLAHFFTAIVGADWWAQQFGTSLPFLNWLPFIADVPTYKAAMYLMVVFGAIPTVIFNFLNVYKVVKAQNRSLLLALLMLYPFVVLVGGVLVWDYLSPSDIIASYPHLVVSGTGLAFGYLVGRMILAHFCEEPKGLKTGMCMSLLYLPFAIANALASRLNDGVPLVDERLVLLGYVAFTGTLYMHFATSVIHEITDALGIYCFRITRKEA >RHN49943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2521820:2523193:-1 gene:gene34180 transcript:rna34180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MTYVRSLVGDRLALAVQTISAVIIAFTMGLIIAWRLTIVMIVVQPVIICCFYTRRVLLKNMLSKAIKAQDECSKIAAEAVSNLRTINAFSSQDRILKMLEKAQGPSHESIRQSWFAGIGLACSQSLNFCNWALDFWYGGKLVSQGYISAKALFETFMILVSTGRVIADAGSLTNDLAKGSNAVGSVFTILDRYTKIEPDDLEGYRAEKLIGKIELRDVYFAYPGRPNVTIFQGFSIKIDAGKSTALVGESGSGKSTIIGLIERFYDPFKGIVTIDGRDIKTYNLRSLRKHIALVSQEPTLFGGTIKENIVYGAYDDKVDESEIIEASKAANAHDFISSLKDGYDTLCGDRGVQLSGGQKQRIAIARAILKNPEVLLLDEATSALDSQSEKLVQDTLERVMVGRTSVVVAHRLSTIQNCDLIAVLDKGIVVEKGTHSSLLSLGPSGVYYSLVSLQRRL >RHN53951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5690990:5697323:1 gene:gene28926 transcript:rna28926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease III MELSSSFSLSPKPTNFSFSSSFSPFPIQILIKNTKSRNYPLHVLAVAIDPTKDFPRNNNPQRLLKELAERKKITNPKSKSPPRRYILKPPLDDKKLAERFLNSPQLSLKSFPLLSSCLPSLRLNNADKLWIDEYLLEAKQALGYSLEPSETLEDDNPAKQFDTLLYLAFQHPSCERTKQKHVRSGHSRLFFLGQYVLELALAEFFLQRYPRELPGPMRERVYGLIGKENLPKWIKAASLQNLIFPYDNMDKIVRRDREGPVKSVFWALFGAIYLCFGLPEVYRVLFEVFGMDPDDEDCQPRERRQLEDVDYVSAEFENKLNWQDIPPADALFTHPRLFRACVPPGMHRFRGNIWDYDSRPQVMRALGYPLEMTDRIPEITEARNIELGLGLQLCFLHPSKYKFEHPRFCFERLEYVGKTIQDLVMAERLLMKHLDAPGLWLQEKHRRILLNKFCGRYLRAKQLHRFIIYDDNVQNAYERNRRRRNPATTAVNQAIHGLSYLVYGKRDVRRLMFEYFDFEQIQPKEV >RHN76990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2992672:2994530:-1 gene:gene393 transcript:rna393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MELIILKFCIHTSIHTLTYFIFYLKGRKPSKSTCLKMTDVESDKISCLPDHLIGQILSHLTIKEAVTTSVLSSEWRNKWSTQPDLVFDSRCVSTAISKDPSVIESKFLRIVDHVLLLHSGPINKFEVSDSYRDIIIDVNAMADIDRWIRHLTGRHIKEFVLDICFDQRYKIPSSLFSCQGLHHLDLNYCWLKPPTKFEGFRSMKILYLNRVTMSQDAFNNMIYGCPVLEKLTLAKIDGLTHINIHAPNLKFFEVDDEFESINFDNTFQLANVFIYMKSKSNQGRLHGFSSNLANFFDHLPRIQSLQIADGFLKYLATGIVPEKLPTLCVNLRSICLWINFNDLKQVSVVLCLLKSSPNLRDLRMIVQRDELTAHLTPASYCWKDIFSDPSMPLGVQHVSIGISGIKSELDFIRFLLLHSPMLEKVIVEPDVEIRSEVMTELLQLKKMSRQAEVIYCGKDSSQCFLFS >RHN42166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36021684:36027100:1 gene:gene48572 transcript:rna48572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ternary complex factor MIP1, leucine-zipper MFLGMGLEGLIPRHNRSKSFPDKETVKEDCSDSVEISDQTKLDTGYLTECSATRKKQTPMNNVRQTLKQEILQLEKRLEDQFKVRCTLEKALGYRPISLVNPNDMTIPQPTTELIKDIAVLELEVVYLEQYLLSLYRKAFEQQLSPAVAASASTVEESEKSPPVTTPRARFLQVSPPEVLTKKECSDVQCIDHELHTLQKECNRHKLETPDKEYIVHHPEEKQSDSSVHRCHSSLSQYSTSTAKVSSQEEELTDSLRACYSQPLSMMEYAESIDTSTKVISLAEHLGTRICDHIPETANKLSEDMVKCISAIYYKLADPPMTNPGLSSPSTSISAFSIGDQGDTWSPGLRNNSSFDVQLDNPFNVEGFKEFSGPYSTMVEVPWIYKENQKLADTEQLLQNFRSLICQLEDVDPGKLKHEEKLAFWINVHNALVMHAFLAYGIPQNNVKRVFLLLKAAYNVGGHTVSADTIQNTILGCRMSRPGQWFRVFFSSKTKFKPGDGRQAYAIKHPEPLLHFALCSGNHSDPAVRVYTPKRVFQELEVAKEEYIRATFGIRKDQKMLLPKIVDTFSKDSGLSHAGLIEMIQQSLPESLRKSVKKCHAKSGKSIEWIPHNFTFRYLIPKELVK >RHN55865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24837374:24843269:-1 gene:gene31142 transcript:rna31142 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQQKQNEEHQRQQAEAQAREAELRQHLEDREWEQMPTTGSLGFYLLLVEVLQLLNLQIFVANSSLHFVDRIVFPKYSSSSNHLIRADFGNGGMAEPSIMHLLFF >RHN52844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39449104:39452433:-1 gene:gene37565 transcript:rna37565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase Clp MYTLHFVTPKKNQHSKTTRQHYIISLPKYRTRCHILPLLFPIATTTMKASLRSATPLALISPNQLLNASTPGDKYFGFKYSKDRSTVMTMVASGKGGGLLEKPPTIEKTTPGRESEFDLRKSKKTSPPYRVMLHNDNYNKREYVVQVLMKVIPGMTVDIAVNVMQEAHINGLAVVIICAQVDAEDHCMQLRGNGLLSSIEPADGGC >RHN68143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33173857:33183235:-1 gene:gene16448 transcript:rna16448 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFHVSLGNNVQILRLAGMKKTRCYKFKEVDLVSLRELALKVKSQTGFRLRYGGLLTLLRTDVDEKLVHTLVQFYDPSFRCFTFPDFQLVPTLEAYSNLVGLPIAEKTPFAGPGTSLTPLVIAKDLYLKTSDVSNHLITKSHIRGFTSKYLLDQANLSTTRQDTLEAILALLIYGLILFPNLDNFVDMNAIEVFHSKNPVPTLLADTYHAIHDRTLKGRGYILCCISLLYRWFISHLPSSFHDNSENWSYSQRIMALTPNEVVWITPAAQAKEIIMGCGDFLNVPLLGTRGGINYNPELAMRQFGFPMKSKPINLATSPEFFFYTNAPTGQRKAFMDAWSKVRRKSVKHLGVRSGVAHEAYTQWVIDRAEEIGMPYPAMRYVSSSIPSMPLPLLPATQDMYQEHLAMESREKQVWKARYNQAENLIMTLDGRDEQKTHENLMLKKELAKARRELEEKDELLMRDSKRARGRRDFFARYCDSDSKSDDAPTTSYA >RHN60642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29043720:29044007:-1 gene:gene22989 transcript:rna22989 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLHMALILFEILDLAKISPMANTPWNNFAISEIFADSELLQFCFSDFAKTSLSAYNTLSGTISLMEKCSLMVKYLVNFDYFLSFACIFLHKKG >RHN82366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53228079:53229305:1 gene:gene6529 transcript:rna6529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MDSNSSSFLLNSSNFHSVFYQDKHDDGIIDLGLSLGTVQHDAYHSSANLYDDDLMDWPNMKNSRSVHENFDEEIEGVQSNERWAYVKVNMDGVSIGRKICILDHGGYSSLAIQLEDMFGSQSVSGIRLFESGSEYSLFYKDSEDNWRTVGDVLWKEFVECVKRLRIARKNAGNVNISS >RHN46303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30523037:30526315:1 gene:gene40783 transcript:rna40783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, kelch-type beta propeller MTEITNLSLDLIESILSHLPIPSLIQASTVCKLWYTILSSSSFSSNHNQKHKPWFFLHGIHNISSKNNQSFAFDPSSNSWFLLPTPQQPLHYPNNTSFIGTSSYFFITAPNFVYTSILRPLAWSSTPPLHFPRINPLLGVFNDGLSLKFIVVGGVRFIGNLVDIEDRLDVEIYDPLLGSWDLAPPLPVDFRSGNSSSSLSSALFKGKFFVFGIYSCFVSSFDLKLRVWSDVRIVRPSGVVFSFLIACRERLVLAGVCDSPSGSSFNLWEVDEKSMEICEIGVMPHDLLSSLFDGDEDDRFASLKCVGLGDLIYVFNEDYHRMYPACVCEIRGRGGGENGKCYWRRVPQLPSLMNRFHKVVSFCSTVSLHSILGEGHHGLH >RHN54610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10749870:10751669:1 gene:gene29678 transcript:rna29678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain, reverse transcriptase zinc-binding domain-containing protein MWMFTNEGIYSVKSGYSAIRHKVFLWRILNKALPVREELDKRGINCSLLCPRCEESIESIDHIFLKCDRTRRELFGSNLGINFQISRTTDFIDWLISFIYKTDKPTIISLASLLYSIWHSRNQRVFEDKIIPEEVIIHRACNSVFSFRNARSGTIDPHHTGNRTILASRLARATAKVKWIKPHQGVIKINCDANLTSEDVWGIGVITRNDNGIVMASGTWNRPGFMCPITAEAWGVYQAALFALDQGFQNVLFENDNEKLISMLSREEEGHRSYLGSIIQSIISLVPRFRFCGFSHVKREGNVVAHCLAMIATVSLNQVWVDIVPAQAQSCIAVLGCI >RHN62235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41907385:41909581:1 gene:gene24789 transcript:rna24789 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLPVAPPTPPVDFNFDSNCSSPYITAPSSPQRFANNFFFSAPTSPSRVSPLFSESSLPFHQETKPNNHHNNYSFGNDDDFEFDFSGNLQKESLSAADELFDGGKIRPMKPLPPNSPRTRKKKAVHDNTERDSNPFEAAIEETLRKEEEKRGRERVTSSGRKGSRSLSPLRVSDIIENSEDKDVSVSSSTSNNVKSFLSFTKGYRKWKIRDFLLFRSASEGRANEKDPLRKYTALSKKSVVEDEVRNSSFRSNESSGSFSRRRGPVSAHEIHYTINRAVTEEMKKRTFLPYKRGLLGCLGSDNRMDQISRGIGSFSRS >RHN74945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38041715:38044322:1 gene:gene11083 transcript:rna11083 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVVRIVHVCLVRDVNRYRQFPLDMVLMDTSNFDLQIRKRLEGGERSTLNNFDVAVNLKMKLSGMKTDCLLDLRCMLTDVGMQRENERN >RHN47994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44139358:44143954:-1 gene:gene42659 transcript:rna42659 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRFDDDVVEAMKELISLWVINPSRQVSHRKTLVQSFGPICFVACSAETATKAQTKASIKAGPRLYLFITRDIKYMVVFIYKKWLRYQTKMQ >RHN82525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54455578:54461422:-1 gene:gene6696 transcript:rna6696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diphosphomevalonate decarboxylase MAGDSWVLMVTAQTPTNIAVIKYWGKRDETLILPVNDSISVTLDPAHLCTTTTVSVSPSFQQDRMWLNGKEISLSGGRFQSCLREIRARACDVEDNKKGIKITKEDWSKLYVHIASYNNFPTAAGLASSAAGFACLVYSLGKLMNVKEDESQLSAIARQGSGSACRSLFGGFVKWKMGKEENGSDSLAVQLADEKHWDELVIVIAVVSSRQKETSSTSGMRETVETSLLLQHRAKEIVPKRILQMEEAIRNRDFASFSQLTRIDSNQFHAVCLDTSPPIFYMNDTSHRIISIVEKWNRSEEAPQVAYTFDAGPNAVLIARNRKAATLLIQKLLYYFPPNSDDLNSYIIGDKSIAKDAGINGIEDIEALPPPPEIKDNIPSQKYKGDVSYFICTRPGRGPVVLTDESQALLNSENGLPK >RHN73946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21982771:21984931:-1 gene:gene9846 transcript:rna9846 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGGNMTNIIKFVKVMIYFLSIFLISTYFKGKPFFILFKFSSLLIVDNIVSHFNNIVYLLCSLQ >RHN65755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5010462:5011190:-1 gene:gene13642 transcript:rna13642 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFFLSLLVLFLAALMFVPQHFAVDAIHLPPRIIKHLPHFTPS >RHN53367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1214734:1215754:1 gene:gene28268 transcript:rna28268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MPYEGINFSCISFCDSLRLKEITYLGFGRTRDILIRSSCHTSPKFVLHVGDHMLDFLVIRILNQLSHAKSIQFEASEVDLMLPEHLCVFSTLTHLEVGLISVDNLLVLLQKTPVLKTLVLKGICKFKEERLSSAVVPECLASLHVVKFKEVNGDNHDLFLAKFFMKNGISLEKMCFSLASQLLRKNKAVEKFKKKLYSFRNFDSVDLRRTRR >RHN54704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11461363:11461845:-1 gene:gene29782 transcript:rna29782 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHSSLNPFISFFFFLLMQLLFKLGQLLSNLNQKPQENFSRYHHLHSLQQQHHQHH >RHN47230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38114294:38127822:-1 gene:gene41800 transcript:rna41800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MADTVADSRIDNSNTAAVQATNDDASASKLSCVKKGYMKDDYIHLFVRRPVRRSPIINRGYFARWAALRKFLYQFLDVEKKTNANCPIKTQILSLGAGFDTTYFQLQDEGKAPHLYVEVDFKEVTSKKAALIETCSQLKNKLGETASISREKGEVISAHYKLVPADLRDIKQLNDIITITEMDPSLPTFIIAECVLIYLDPDSSHEVVGWACRTFSTALFFLYEQIHPDDAFGQQMIRNLECRGCPLLGIYATPTLLAKERLFLDQGWQKSVAWDMQRVYNDFVDAQERRRIERLELFDEFEEWYMMQEHYCVAYAINDAMGLFGDFGFLNNNNSVLPSS >RHN77651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8440373:8442879:-1 gene:gene1125 transcript:rna1125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MLFHNKFLCLQSFWMDQCSCNFLWGSDAEGTMGGACGYGNLYSQGYGTRTAALSTALFNDGASCGQCYKIICDYKTDPRWCIKGRSITITATNFCPPNFDLPNDDGGWCNPPLKHFDMAQPAWEKIGIYRGGIVPVLFQRVPCKKHGGVRFSVNGRDYFELVLISNLAGAGSIQSVSIKGSKTDWMAMSRNWGANWQSNAYLNGQSMSFKVTTTDGVTRTFQDIVPSNWGFGQSFSSKIQF >RHN51982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29504583:29504879:-1 gene:gene36571 transcript:rna36571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MMLKLIISFLIPDSKKLCTFPKPASLPKESSFLSHLNTFHLDSGSFSVDHGSLASLQVLKNLQHIELWDIKISNKGASVLNMFPKLNHLNLDWTRVTK >RHN76553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51178304:51179684:-1 gene:gene12897 transcript:rna12897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MAKFVSEIKVRNHIPNDLAFSILSKLPLKSFKPFECVRKSWALLFENSCFRTNFISIPHSDCTDISIFLYEVVAHDYSIRCSSYLLSGDRYENLVKLDFPDPIQEENFFFDFNTCYYCGCDPVTGTICLIQGYSLVLWNPTTNEYKAIPPSSLESVPLYRELASNDIHGFGYDYILDDFKIIRYMKFTTISDQQLERLDMRHKIVPWNEISYEPEWEIYSLRCNSWSKLDIDMPNHCESGSYEALNIDGMSHWWSESENRDKHFLVSFDLSNEMFVTTPIPIDIQTDIDTNFYLGLVQRRLVVLNRSVSSISWYYSDTPIFHISILGELGGKESWTKLFVVGPLPYIKCFIGAGKNGDIFFQKKDDRPISFNLGTQKAEEVVVNGANFYDIAIYKKSLLSVGGINK >RHN45315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16538883:16539328:-1 gene:gene39581 transcript:rna39581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf3 MTRSRINGNFIDKTFTIVANILLRIIPTTSGEKEAFTYYRDGAI >RHN50904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11701525:11701752:-1 gene:gene35256 transcript:rna35256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MTVKSEKVAIGIDLGTTYSCVAVWKNDKVEIIVNDQGNRTTPSYVAFTDSQRMIGDAASNMAASNPTNTVFGKSF >RHN47937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43710405:43716118:1 gene:gene42594 transcript:rna42594 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylosuccinate lyase MALSNTTQIRTFNPLNPKKPTHPFPSSHLSFSFPSHFTSKSLIATSNTNMFRPHSGDFELSTLTALSPLDGRYRQKVQELAPFMSEYGLNYFRVIVEIKWLLKLSEINEVTEVPAFSEDAKSFLKGLIDDFSEDDVKEIKKFERITNHDVKAVEYFLKQKCQSNAEIAKVLEFFHFACTSEDINNLAHALMLKEAMNAVMFPVMDKIIKALCTMAKDNADVPMLSRTHGQPASPTTLGKEIAIFAVRLSRERMELSQVEILGKFAGAVGNYNAHLAAYPDVNWPCIAQEFVQSLGLGFNPYVAQIETHDYMAKIFHSFIQFNNILIDFDRDVWGYISLGYFKQTTKAGEIGSSTMPHKVNPIDFENSEGNLGVANGGFSHLSMKLPISRWQARLTLRDLTDSTVLRNMGVNFGHSLLAYKSTLQGIGKLQVNESRLSEDLDQCWEVLAEPIQTVMRRYGVPEPYEKLKELTRGKAITKESIRDFIEGLDIPQDAKAYLLKLTPHTYVGSAVELARTVEHEVNNILNGVIS >RHN62525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44108861:44112433:1 gene:gene25114 transcript:rna25114 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEELTGESQLAGVPTGACRLRRALELICSLLSLTLSIRVFAGKWQLIRNKLEELHSGLIAAENSDSGENPSLSRLVTSIVATVKECHDLGQRCVDFSYSGKLLMQSDLDVAFSKLDGLAKKLSEIYRTGILTNGFALVVSKPCLGACKEDMRFYVRDILTRMKIGELGMKKQALRNLLEVVVEDEKYVKVIVVDVSDVVHVLVGFLGSNEVEIQEESAKVVCVLAGFDSYKGVLISAGVIAPLIRVLDCGSELGKVAAARCLMKLTENSDNAWAVSAHGGVTALLNICGNDDCKGDLVGPACGVLRNLVGVEEVKRFMVEEDAVSTFIRLVKSKEEAIQVNSIGFIQNIAFGDELVRQMVIREGGIRALLRVLDPKWSYSSKTKEITMRAIESLCFTSSSSVSILMSYGFVDQLLYYVRHGEVSIQELALKVAFRLSGTSEEAKKAMGDAGFMVEFVKFLNAKSFEVREMAAEALSGMVTVPRNRKRFVQDDHNIALLLQLLDPEEGNSGIGSRVFMLRVCNQCCVKAEWETCEYSNQLGCSRGDFC >RHN46346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30906837:30908309:-1 gene:gene40828 transcript:rna40828 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEQVDKVTLRVLVDKEKNKVLLAEAGKDFVDALFSFLTFPLGTIARLVAEESNIEAVKFGSLSSLYQSVKDLDPQYLWSHTCKEMLLKPRNSMQPYCWKLKLNINTTEPLNCYFFCEDNSCKIENRTCVSLFRNQTCICGKLFKKEKLMKYSIREPGFVKETSTFIVSDDLYVMPNEVGTSLDLLQKLGVNNIDAIVVDLLKLSLVSKTPLTNFIFTKQQLTNLDPRNRLEFWVGEEDEPSDDNNAEMVVKVFRRKSNEQILFVEAQEDFADFVFSFLTFPLGSVIHMFGGFSFLRCIDNLYRSMVDMSPDRCLKSEQLKFELTSPFIADQYGLKNQILRLPYHVRNFRLYKFVDPKSPISGGFSRGPLTFMVTDDLVVTPMSSISGVSYLERMKVPLNDVEERVIRIGRKEVRQCLKLEFRVRTHLHSYILILLLPDL >RHN68422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35363906:35366444:-1 gene:gene16773 transcript:rna16773 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIYMFQRRLKNSLMQRNKTLTNHNSLQSWLVLFHLQIIIACKAGWCYFHFTRLCLIECGAMNMERESTFGENLMNPFFLLFEYARNPFEIGEDFP >RHN54884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13244455:13245485:1 gene:gene29982 transcript:rna29982 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LEC8 MANSNPKLLVTQNPFSVFLLTFLLLITNVKSDSFSFNFPKFDTDTKSIIIDGDANTTNGVLQLTKKDQLGNPSPHSFGLSFFLGAIHLSDKQSGKVADFTTEFSFVVNPKGSQLHGDGFTFFIASLDYEFPEKSSDGGFLGLFDKESAFNTSQNSIVAVEFDSFRNEWDPQIAGNSPHIGIDINTIRSSATALWPIDRVPEGSIGKAHISYNPASKKLTALVTYLNGPVIEETAVSYTVDFAAILPEYVLVGFSGATGELAETHDILSWSFTSNL >RHN49647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41924:49128:-1 gene:gene33849 transcript:rna33849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor EFTs/EF1B MGLSGVVGRRLYMKLFTAANYSSTASNEINLIKQLRERTSAPIKDVKAALVASNWDLDAAQTELRKKGIVLASKKSSRTASEGLLALAQNHHKTALIELNCETDFVARNDIFQHLARSLANQALLLDSNSSFHFGPQSLEEMKLNLEHPKINGETTVQNAITEVAAIMGENVKLRRGYLMHAPSNGLVSTYLHTSPLPGLGRIAGILSLEVDGGKTEADALQRVGSELAMHVVAAKPLFLTKELVSLDALEYEREILKSQAEATGKPQMAIERMVEGRLRKYFENVVLMDQKFVINDTIKVKEVLDNLSKEVGSSVRVASFLRMEVGEGIARQETDSSESVAQVA >RHN75822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45365119:45371969:1 gene:gene12076 transcript:rna12076 gene_biotype:protein_coding transcript_biotype:protein_coding MNVASILLILRYTLKRVFTDPHGRYTVCRERNTDNSRERKLVSILEKRERESVRDLIQSEGNSTLRVSFFLFFFFFSIFFVEIDR >RHN56498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31306282:31307189:1 gene:gene31906 transcript:rna31906 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWNENEDAVRGLLSSHRPNTGNSTRTYDSIDAKGRRRTTAGWWLMTI >RHN70997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55712403:55713191:-1 gene:gene19637 transcript:rna19637 gene_biotype:protein_coding transcript_biotype:protein_coding MPVIEVATTVNSHSVANPVSTKASSQNHKEAGNIENLVRSKTSQNSKSKKSPLHDLLAEEDVEIFNGRDGTGPDSISFSQYLAPHLEPIDDGGFVEAKDAKRSRKKSTKSKGSGSKISKPVASGETPISSSPIEKGKSSRSVQQEKEQLPTIPSGPSLGDFVLWRGEPTSPSPSPAWTIDSGKVHKPLSLRDILKEQEQFTTPQKSQPAKSAQSSGPSRTISTPSKVASSSHINSQAFHSIYKGDDDMFSGAIEQSKLETMQ >RHN42880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41623132:41626311:1 gene:gene49380 transcript:rna49380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MKRLGSSDSLGALMTICPTTEEESPRNNQVYGREFQSMMEGLDEEGCVDEPGQKKRRLSVDQVKALEKNFEVENKLEPDRKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKANYDALKLNCEDLQRDKETLLKEVKELKSRLQIQEENTTTESDVCVKEELITLQESENTASDETAILRSDSKDLNNDCFKNGDGVASLFPADFKDGSSDSDSSAILNEESNAAISSSGVLQNHNFLMSPGSSSLKFNCSESSSPSSMSNCFQFQTQFVKMEEHNFLSADETCDFFSDEQPPTLQWYCSEEWN >RHN76398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49940751:49943519:1 gene:gene12718 transcript:rna12718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MLSAKSESDITSLAPSSPSRSPKRPVYYVQSPSRDSHDGDKSSSMQATPISNSPMESPSHPSFGRHSRNSSASRFSGIFRSSSGRKGSRKRNDKGWPECGVILEEGSYREFEDMSFARRFQALIAVFTFLVVFTVFCLIIWGASRPFKAEVTVKSLAVHNLYVWEGTDHTGVPTKILTINSTLHMNIYNPATFFGIHVHTSPINLVYSDISIATGELKKHYQPRKSHRIVSVNLEGNKIPLYGAGSTITVSQTGSVEVALVLKFEIRSRGDVVGKLVRTRHHKEITCPLVINSAGTKPIKFKKNSCTYE >RHN77189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4830456:4836406:-1 gene:gene615 transcript:rna615 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:sqp2 MDLYNIGWILSSVLSLFALYNLIFAGKKNYDVNEKVNQREDSVTSTDAGEIKSDKLNGDADVIIVGAGIAGAALAHTLGKDGRRVHIIERDLSEPDRIVGELLQPGGYLKLVELGLQDCVDNIDAQRVFGYALFKDGKHTRLSYPLEKFHSDVSGRSFHNGRFIQRMREKAASLPNVNMEQGTVISLLEEKGTIKGVQYKNKDGQALTAYAPLTIVCDGCFSNLRRSLCNPKVDNPSCFVGLILENCELPCANHGHVILGDPSPILFYPISSTEIRCLVDVPGTKVPSISNGDMTKYLKTTVAPQVPPELYDAFIAAVDKGNIRTMPNRSMPADPRPTPGAVLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPMRDLNDAPTLCKYLESFYTLRKPVASTINTLAGALYKVFSASPDEARKEMRQACFDYLSLGGLFSEGPISLLSGLNPRPLSLVLHFFAVAVFGVGRLLLPFPSPKRVWIGARLLSGASGIILPIIKAEGIRQMFFPATVPAYYRAPPVNAF >RHN66825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19539359:19540195:-1 gene:gene14921 transcript:rna14921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain, legume lectin MAFIPTNQKLFPSLLLIITTSFLLLAPIPVNSDKIVSFDFPKFTGNESALTLQGDAFIAYDQVYLTGYAHPKRAVGRLLYSTPVPLWDKTTGNVASFVTSFAFLLNFQKTIVPADGLIFFIAPPNSVIPNNAAGGNLGVVDPNTAFNRFVGVEFDNYVNEWDPDYAHIGIDVNSLISSKTVVWKPLHGYYVKVSIAYDSSSKILSVVLTDQSGQLATVAQVVDLKAVLPETVTIGISASTSELCRQIQNIYAWSFTSTLKTTISSITSNNTNNLASYA >RHN57700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41138305:41139040:1 gene:gene33281 transcript:rna33281 gene_biotype:protein_coding transcript_biotype:protein_coding MFHYNGSLYFKQMFDKLYQMSMDMVYSFSFVVILIHSLVMAIVLNFTMFLCTIVNSALTTMIVGVLKGVGSKVS >RHN51533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19521335:19522126:-1 gene:gene35990 transcript:rna35990 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLVFASNPILQSSTQSPICKPQKFPFSQPNTSKQLNYQFTTLKPQASAKGFSNTRPPSTNKAKDDVPIKKNPNNKNDDDDIPKEVMYRIIGRILFSTLVPMALGLSFLHLYGELKDRHIFNAPLWMPFVTTLVFFGASGLGIAYGVLSTSLDAEREGSLLGFEEVEKNWDEMWQQENVSDD >RHN58698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4139153:4141560:1 gene:gene20631 transcript:rna20631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative intramolecular oxidoreductase MPCLYLSTNVNLDGKDIDPIFDQARTAVSTIIGKPDKFVMVILKGSVPISFESNKEPAAYGELVSMGGINSEVKKNLISTIGTILESNLSIPRTRFFLKVFDTLVLKVENRSKL >RHN75476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42553252:42555162:1 gene:gene11692 transcript:rna11692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casparian strip membrane protein 4 MDSRREVEESSTAPILESKRTRSNGKGKSIDGDHSPPHAATVVTTKATPLQKGGMKKGIAILDFILRLGAIGAALGAAVIMGTNEQILPFFTQFLQFHAQWDDFPMFKFFVVANGAAAGFLILSLPFSIVCIVRPLAAGPRFLLVIVDLVLMALVVAAASSAAAVVYLAHNGSQDANWNAICQQFTDFCQGSSLAVVASFVASVFLACLVVVSSVALKRT >RHN43485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46234262:46242638:1 gene:gene50070 transcript:rna50070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M41, ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MNIFCYPSNNSYHIHTHHHKTLHSNHNRKTQFLIRKPFTVFCKSSSNSDADDFVKRVLEENPSQVPPKYLIGNKLYTSQQKDNLGQKSNEGLFDLLLKRLKNNSQRKSGELYEERDDSVYLNDLLKEYKGKLYVPEQIFGTPLSEEEEFNENLKTLPKMSVEDFTKALSKDKIKLVTSKEDYGYGYRDYIVDLKEIPGDKRLQATKWVLRVDSSEARAILEEYSGPRYEIESRRITSWVGKMSVSPHPAAASISSRVVAELGAVTVVVGLAALLVGGFLSAAVFVVTSFIFVTTVYVGWPIAKPILKFSLGVAVSMLERFWDRLLDFFMDGGLLAKTYNLLTVGGLASTLDVLKVTLPIVVGMVLLVRFTLSRKPKNFRKWDLWQGMNFSLSKAEARVDGSTGIKFSDVAGIDDAVDELQELVKYLKNPELFDKMGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEFLVGVGSARIRDLFKRAKVNKPSVVFIDEIDALATRRQGTFKESTDNLYNAATQERETTLNQLLIELDGFDTGKGIIFLAATNRRDLLDPALLRPGRLDRKIKILPPNAKGRLDILKIHGSKVKMSDSVDLSSYAQNLPGWSGARLAQLVQEAALVAVRKRHDSILQSDMDEAVDRLTVGPKRIGVELGYQGQCRRATTEVGVAITSHLLRRYENAKVEYCDRISIVPRGQTLSQLVFQRLDDESYMFERRPQLLHRLQVLLGGRAAEEIIYGRDTSKASVEYLANASWLARKILTIWNLEDPMVIHGEPPPWRKSVKFVGPRLDFEGSLYDHYDLIDPPLNFNLDAQVAQRTEELIRDMYRKTVSLLRGHHAALLKTIKVLLNQKEMSGEEIDFILNKYPPQTPLHLLEEERPSDLPFMKEQVNNVEYTLQTQSKEETV >RHN71727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2261426:2272036:1 gene:gene7358 transcript:rna7358 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FAAH MGKKRVMVPAKDVDLSSIKYEPEIVQAPHLTGFWFRFFVRLIEAPLIGPFLLTMLKKENKIDQLLRNTVFPEEPMFKPEYPPQEKEHSVVELDEDGRPEGRVESALNCLPHYDPAKLWENSSATFRYWKIRDYAYAYQSRKVTPSMVAESIISMIEENGIDKPPTPLLLSFDAAEVRKQAAASTQRFESGNPLSILDGIFIAIKDDIDCHPHPSTGGSTWMHEVRDVKKDAVCVSRLRSCGVIFIGKTNMHEFGMGTTGNNSNYGTARNPHAPDRYTGGSSSGPAAIVASGLCSAALGTDGGGSVRIPSSLCGVVGLKINYGRTSMEGSLCDSGTVEVIGPIASTVEDAMLVYAAMLGASPANRISMKPSTPCLPTLSSDDDTDALRSLRIGIYTPWFNNVHSTEVSDKCEDALNLLSKAHGCEVVEVVIPEIVEMRTAHLVSIGSECLSSLNPDIEDGKGVKLSYDTRTSLALFQSFTAADYVAAQCIRRRIMHYFMEIFKKVDVIVTPTTGMTAPRIPPSALKSGETDMPTTGYLMRFVVPANLLGLPAISVPVGYDKEGLPIGLQVIGRPWAEATILRVAAAVEKLCGESKRRPVTYYDVLGAN >RHN61924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39400021:39401497:-1 gene:gene24438 transcript:rna24438 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAREPRINCCTCCTSFITSTGLTILFLWLTLRTQQPKCFIQSLYLPSLNKTITSNHKHSINNTIIFNLKLANQNEDKGVYYDAICLTFALFLDVNTTRPLANSTLDGFYQGHGKTAEKWSSAEARGGGGGVNRTVQGSVFLRVEFVTRVKYKILFVYTKRHRLSGGANVEVNVSSGQKVNPKGIRLGNDPVRVGSEAAPVRGLWVALSGTFVSLLVLSAFT >RHN62676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45125530:45126946:-1 gene:gene25274 transcript:rna25274 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQHLQGGRVGLTSDAFLVIKVPDTRFLRIASRSLFLALFFVAFPFLGSFLKGLVVSGFDAIVVNASTSTFVSINVEVLNSILHDLGDEGLLKKEDKALIMSPPRGFEGGDSLLNWNSEVDVVRHDESYDFAFAPSFEDAVLVDPVLKIDGIVAFPLSLDDSSNAGFRKQSNYKVVYLRRYDSIFVALRKIGVENNLVDSTPRKRLCQFATVAKTTALEGLEDALLEPPRQDSAKSNKNLKIKYLPELLGDSLDGYKRRVFVGVGLRDENKAAVEWFERNYPKKSTKFEIHNLQVAPEEPNVPQTDVSAWLSKHVKADEYVVMKAEADVVEEMMRKKTICLVDELFLQCNNQWWQTGTRKKSGRAYWQCLDLYGRLRDEGVAVHQWWG >RHN67366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26469694:26477313:-1 gene:gene15547 transcript:rna15547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine--tRNA ligase MFISKTPSHLTQRCKRYLASKFIHIRGTSSGEMASETSRSFVRRDRLREIESKVQKWWEEKQVFKSEPGNKPPQEGEKFFGNFPFPYMNGYLHLGHAFSLSKLEFAAAFHRLRGANVLLPFAFHCTGMPIKASADKLAREIQQFGNPPVFPEEIDELENLTVVDKDKNAGGNDENENAVAGKFKGKKSKVAAKSGGQVYQWEIMRSVGISDAEISEFQDPYKWLSYFPPLAVDDLKAFGFGCDWRRSFITTDMNPYFDAFVRWHMRKLKASGKVVKDVRYTVFSPLDGQPCADHDRASGEGVQPQEYTIIKMEVVSPFPEKFKVLEGKKVFLAAATLRPETMYGQTNAWVLPDGKYGAFEINETEVFVVAHRAALNLAYQNHSRVPQKPTCLLELTGQDLIGLPVKSPLSLNEIIYVLPMLSILMDKGTGVVTSVPSDSPDDYMALQDLKSKAAFRAKFGVKDEWVLPFEVLPIIEVPPFGNKCAETVCLQMKIKSQNEKDKLAEAKKQTYLKGFTDGTMIVGEFKDKKVQEAKPLIRSKLLETGEAIVYSEPEKRVMSRSGDECVVALTDQWYITYGESEWKQLTDECLSNMSLYHDETRHGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTIYMAYYTIAHYLQNNDMYGTSESSIKPQQLTDDVWDYIFCGGPFPKSTDISSSVLEKMKLEFEYWYPFDLRVSGKDLIQNHLTFCLYNHTAIFPKRHWPRGYRCNGHLMLNSEKMSKSTGNFRTIRQAIEEFSADATRFSLADAGDGVDDANFVFETANAAILRLTKELTWFEETLDAESSMRTGPPSIYADRVFANEINIAVKTTEQNYSNYMFREALKTGFYDLQAARDEYRLSCGASGFNRDLVRRFMDVQTRLLAPICPHYAEFIWREILKKDGFVVKAGWPTADAPDLTLKSANKYLQDSIVLIRKLLQKQLSGSKKGNKKGAPVVVPTEIKFTCLVYVNEQFDGWKAECLKILQNSFNEDSRTFAPDSEIMAALQQSSVGQSSDFKRTQKLCMPFLRFKKDEAIALGAQALNLRLPFGEIEVLRENLDSIKRQIGSKEVVDVEILSAADADSLAKAGSHASLLRDNPPSPGSPTAVFLPM >RHN39934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11807466:11811007:1 gene:gene46018 transcript:rna46018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MAIQNFILCFLIFFLSYGILIPTQCLGKICLPKEHVALFVFGDSLFDVGNNNFIDTTTDNQANYPPYGETFFKYPTGRFSDGRVIPDFIAEYAKLPLIQSYFPRVQEYVNGINFASAGAGALVETHEGLVKDLKTQLTYFKNVKQELRQKLGDAETTTLLAKAVYLINIGSNDYFSENSSLYTHEKYVSMVVGNLTDVIKGIHEIGGRKFGILNQPSLGCFPTIKAFVNGTKSDSCIEEFSALAKLHNNVLSVQLNKLKKQIKGFKYSYFNFFDFSYEFINNPSKYGLKEGGVACCGSGPYNGYYSCGGKREVKDYDLLI >RHN38888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2644581:2652690:-1 gene:gene44863 transcript:rna44863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAAFLARKSLLALRTRQLAVAGQGLHNTQNYGMRLSSHLYSTKLEDEEREKLAKEISKDWSTVFERSINTLFLTELVRGLMLTLKYFFDKNVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFSTETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >RHN46909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35711546:35713606:1 gene:gene41444 transcript:rna41444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MGLCDEKKAKSKRVERRRRKRLNDRLSMLRSIVPKISKVIQYI >RHN45601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23572719:23573872:-1 gene:gene39977 transcript:rna39977 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSIDNSVVSHEVVVISLEGTEEVVQIDVNIHVSHNQDEHVDAEIQQQEIHPSKNIQHGLDLWERVRQYDARSVAEDFTPVLTRKQKQKLKVQQVLQKHPTKTRAQGDPTTPAQ >RHN49236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53478305:53481452:-1 gene:gene44046 transcript:rna44046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase PP1 MEGEMDKTVVDDVIRRLLEGKGGKQVQLSESEIRQLCINARQIFLSQPILLDLRAPIRICGDIHGQYQDLLRLFEYGGYPPAANYLFLGDYVDRGKQSLETICLLLAYKIRYPDRVYLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDEKILCMHGGLSPELQNLDQIREVTRPTEIPDSGLLCDLLWSDPDPKAEGWADSDRGVSCTFGSDVVAEFLDKNDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDESLVCSFEILKPADKEFGSSSSKMNLKKPPALG >RHN66297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10470878:10471108:1 gene:gene14250 transcript:rna14250 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIYFGWLGLVIGFVLWWWNEYWYIIPLKFKCSKSATKLPPGHMGLPFIGEMISFLWYFKIVRRPDDFINAKRHK >RHN42294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37187015:37192437:1 gene:gene48718 transcript:rna48718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MGSVEEDSSRSLEEALVQDEESKLYTGDGSVDFKGRPVLKQNTGNWKACPFILGNECCERLAYYGIATNLVTYLTRKLHEGNVSAARHVTTWQGTCYLAPLIGAVLADSYWGRYWTIAIFSMIYFIGMGTLTLSASIPALKPAECLGAACPPATPAQYAVFFIGLYLIALGTGGIKPCVSSFGADQFDDTDPRERVKKGSFFNWFYFSINIGALVSSSFIVWIQENAGWGLGFGIPALFMGLAIGSFFLGTPLYRFQKPGGSPLTRMCQVVAASFRKRNLDVPEDSSLLYETEDKSSAIEGSRKLEHSDELRCLDRAAVVSDAERKSGDYSNLWRLCTVTQVEELKILIRMFPVWATGIIFSAVYAQMSTLFVEQGTMMNTSIGSFKIPPASLSTFDVISVIFWVPVYDRFIVPIARKFTGKERGFSELQRMGIGLFISILCMSAAAVLEIKRLQIANELGLVDEPVPVPLTILWQIPQYFLLGAAEVFTFVGQLEFFYDQSPDAMRSLCSALSLLTTSLGNYLSSFILTVVTYFSTRGGNPGWIPDNLNGGHLDYFFWLLAGLSFLNMLMYTVVAKRYKKKKAS >RHN82607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55147561:55147890:1 gene:gene6782 transcript:rna6782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ALOG domain-containing protein MAGEEENDIIVTYTVKITVTLTAPDTDDEGSANSDDAAAETKDDGAKESVEKDKEETIKRCRGSSTTKSSRYENQKRRDWNTFVQYLKNHRPPLSLSLCTGAHVLEFLR >RHN77696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8792367:8793366:1 gene:gene1178 transcript:rna1178 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVFISSSCTVSFHWPFIDNIDFLSSSVISLINQENNVPTKEKECESNIDGLKGHSILFEESHAISEEEVKFEKRSSGKSRPQKKMDPKRRKYEKTEIHIIFGCFI >RHN76099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47676865:47678792:1 gene:gene12377 transcript:rna12377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MDKRIVDSHHEQSESEFSSPILGYVSKFLMEDDDIEEDYNMFQHNEHDGSSNALGYINRFLMEEDFEKEYTMFQDSFALQLTEKSFHDVIVHTPLPPPPPIAAAAAAAATTTTTTLPSSSSSIHHQKNYKFVHSPDISDYSFSSGSTSSFELEPIIETYNYNYNTFPLPNTLPIPPNFVSQFDSTVFPSHEVIKTENFEEEHFLNVSQNESEQVYVDDNSELPELFDKLLVLGTKVKKGPLQNTSFQQNYELSNRFYGYRRQRSYEEVVDLRTLLMLCAQSISCNDISNANQLLNQIKKHSSPTGDGTQRLAHFFGNALEARLAGTGSKIYRALSSKKKSAADMARAHQVYSSACPFEKLAIMFSNNAIFNVAKETESLHIIDFGVGYGFKWPGLMLRLSKRSGGPPKLKITGIDLPNLLERVNGTGLRLAAYCERFGVPFEFNGIAKNWESIKVEDFKIRKNEFVAVNCYFKFENLLDETVAPENPRGAVLDLIKKANPNIFVQSIVNGCYDAPFFVTRFKEAVFHYSSLFDMLDNNNVERCDRVERPETYRQWHFRHMGNGFKSLKLDKQIIDKLKCKLRDDAYNSDFLFEVNENWMLQGWKGRILFGSSCWIPA >RHN51892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27903911:27904378:-1 gene:gene36453 transcript:rna36453 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLDICLIFPCHIFAKFKETNGRADVYVKKDTLVNFLIDPQEGISTLCPANVSVYKDRRKTCMYGLEGVEGGLLTCGIGDWDFCCGICSL >RHN49665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:152173:157726:-1 gene:gene33868 transcript:rna33868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative U2A'/phosphoprotein 32 family A MVRLTADLIWKSPHFFNTIKERELDLRGNKISVIENLGATEDQFDTVDLSDNEIVKLENLPNLNRLGTLLINNNRITRINPNIGEFLPNLHTLVLTNNRIVNLVEIDPLTSLPKLQFLSLLDNNITKKVNYRLYVIHKLKSLRVLDFKKVKDKERLEAKNLFESKEAIEEAQNTPAPVETPDVSEATEEQQTPKVTAPTPEQIIAIKAAIVSSQTLEEVARLEKALKSGQLPADLKSLTDNIMIDNVNEKQDVVPDESKDTQEQSNTDSTAMEQD >RHN61644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37133989:37150426:1 gene:gene24129 transcript:rna24129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling DDT family MEFVGKTIQKSVDDGGILTGTVKSHNSSSGLLEIVFEDGHCEEMEVSEVVSLLEIQPEVVKVKARVGREPKKRHRTAETTSCSGSGSDNLVAGSEINGILNSDDGSSGGNDGDVVGNGNGSDGKVGSGNPFGFEEISNLNGGVSDINAYGICVKDDVDLNGSVNLNDNGIFVKDGVDLNSRVNLNGNEGVFDNNDNGICVKDDIDLNARVNLNEDMDLNDVYDLNFDNEGGLERREGIDLNLDVNGDEGVNLDANLGGEILRRECKFDLNVGVCEEVKEVQGCAEGNEHFEVNGETGQLGEVGLDVEHRSMEVDGVRGDLDCVSDAVKSEEFRVSVENIAEGASLCLIEEKESHDGKENVAAIDSPMVLDGLSGENVAAIDSPMVLDGVSVRDCDYVSLETGVAVVNECQDDPGSPCKQESSQRKRRKVSNNSKATPETALRRSSRRVSARKQAQVTDDPLSSLETSVTEEKPSMPGSEKYEQCNVPIPKLQLPPSSKNLHLDDIPVLEFFSVYAYLRSFSTLLFLSPFELEDFVAALKSEIPSILLDNIYVSILHTLRKHLEFLSSEGCQSASICLRNLNWDFLDLVTWPMFMAEYLLIHSSEFKISFDANHSILGTDYYKQPVNLKLEILQYLCDDMIETDTIRAEMNRRSLVIETGMGFDQNIYFDTGKKKRAAMDVSGGSCLTEEIVDDTTDLNSDECCLCKMDGNLICCDGCPAAFHSRCVGIASDSLPEGDWYCPECAIGTHRASMKSRRSLRGADLLGMDPHGCLYFDSCGYLLVSNSSDAGSLFNYYHRNDIHVVIEVLKSMGALYGDLLMTICKHWDLPSDLNAEASSLAVFNRSSCKNMQMTAEYYATPTSLAPFTSSEPCMGKNLVDDHKKLKKNSTIDCCIQDGQDFPKAGNQLDSTIGSPGIASKGSADTAQLRSGIESIPMHRLYDSNRSIAVSSTAGNEDTSQASYGTDYINYYSLARVPSLVAQELMCKSPEKINKNIGLTEEDVISDQTKSIMKKSSNFCWPSIQNLNAAAQMEKCGWCFSCKVANDGRDCLYISVVKPLNEVSKSTSVELQPRKIQNGHLRAIICHIFSLEVRLRGLLLGPWLNLHQTNLWHEDLLKTFDFLSVKRVLLLLESNLRHRALSADWLKHVDSVGTMGSATHIVVNLSRTSSKHGVGKKRARHSDIESSSSSKTTGGLVMYWSRGGRLSRKLFNWKVLPRSFVAKAARQAGFTKIPGILYPENSDFAKRSRCVAWRAAVEMSTSVEQLALQVRELYSNIRWHDIENSHPLHVLDKESKKSVRLFKKAIVRRKCTEGQSVKYLLDFGKRRGIPDVIVKHGSLLEQPSSERKTYWLNESYVPLHLVKNFEEKIIVRKSNDKKHGKILEIGRVKKAPQQRGFSYLFSRMERSECHQCGHCNKDVPIREAVSCIYCKGHFHKRHARKSGGTKAAEFTYSCHKCQDGLHVKTNTNRRNVDSKRQKIQSQNGKSSPLVGKSVNLKGNKKASSKVPQPRVISRNNKNIPPIVPLRRSTRKAKSLYLHKQKIGGRKKGTQSKKNVGRKKGKQSKSKVVTSQRSKGTTGQHKMFAVTPARKTRTKLFNCYWLKGLRFSQKPNDERVMLFKEKKHIISDVSTGLFEYPECCLRCGDVSASNYIACEICEDWFHGDAFGLTVENARQLIGFRCHACRGSIAPICPHVKNNTPSPTDPML >RHN65150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64547508:64549072:1 gene:gene28056 transcript:rna28056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ZF-HD family MELSSSQDGEIPINHNNNNNNNNNNYGTNGHGHHMIHHHHVHEHPPHPLPLHHNHSNIIISSSSSAAVVAVVPQNPSVNGTTTPMQAAAVSTGVELDHDHSSYKKSSSVVVRYRECLKNHAAAMGGNATDGCGEFMPSGEQGTIEALNCSACHCHRNFHRKEVQGETEDQENYHHHSAFNFNFNRQQHHHSMRKFMLPLPDQALGLGYHHHHSTPNTASAAATGNNNNILPSRAVAPPHGHGHIIMPFNYNIPSESDEQEEAAGRLHMHHHHHQQQQQQEVVMKKRFRTKFTQEQKEKMLNFAEKVGWKFQKQEESVVQQFCQEIGVKRRVLKVWMHNNKHNLAKKQLQHEHTTASANANHLPTP >RHN64910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62915068:62915355:1 gene:gene27787 transcript:rna27787 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGLVNICQKSEWSGANSLEYYSLEDNFVHNIIDIRFKAANGNKSLTGGAAAKRFKATDIAPANATEVYALIFTSRKSEFKETCSCRSLPLGRN >RHN52631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37254928:37259376:1 gene:gene37325 transcript:rna37325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSFSNLRYVVAVSVFIPNFSNPNFLIRCFTTSSSSRLYSQFHEDYNNKHNLISSFNRLLHHKNPTPHIIQFNKILSSLVKLNQYPTVVSLHPQMELNGIASDLVTCSILINSFCQLGHIPFAFSVLAKILKNGYEPDTITFTTLIKGLCLKGDIHQALHFHDKVIAMGFHLDQVSYGTLINGLCKVGETKAALELLRRVDGKLVQPDVVMYNTIIDGMCKDKHVNDAFDLYSEMVAKRISPNVVTYSALISGFCIVGKLKDAVDFFNKMILKNIIPDLYTFSILVDGFCKEGRVKEAKNVLAMMMKQGIKPDVVTYSSLMDGYCLVNEVNKAESIFNTMSHRGVTANAKSYSIMINGFCKIKMVDEAMKLFKEMHHKQIFPDVITYSALIDGLCKSGRISYALQLVDQMHDRGVAPNIVTYNSILDALCKTHQVDKAIALLTKFKDQGIQPSVYTYTILIDGLCKGGRLKDARNIFEDLLVKGYNITVNTYTVMIQGFCSHGLLDESLALLSKMEENGCIPDAVTYEIIICSLFDKDKNDKAEKLLREMITRGLL >RHN58767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4644817:4649268:1 gene:gene20710 transcript:rna20710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MVSWIRVLLLICCIFPALVECKVRHYKFHVVAKNTSRLCSSKAIVTVNGKFPGPTLYAREDDTVIVKVRNQVNNNITIHWHGIRQLRTGWADGPAYITQCPIQPGHSYTYNFTITGQRGTLLWHAHVNWLRSTVHGAIVILPKKGVPYPFPKPDDELVLVLGEWWKSDTEAVINEALKSGLAPNVSDAHTINGLPGTVANCSTQDVYKLPVESGKTYLLRIINAALNEELFFKIAGHKLTVVEVDATYTKPFQIETIVIAPGQTTNVLLKANQKSGKYLVAASPFMDAPVAVDNLTATATLHYSGTTLTNTPTFLTTPPPTNATQIANNFLNSLKGLNSKKYPVNVPLKIDHSLFFTVGLGVNPCPSCKAGNGSRVVAAINNVTFVMPTTALLQAHYFNIKNVFTADFPPNPPHIYNFTGAGPKNLNTTSGTKLYKLSFNDTVQLVMQDTGIIAPESHPVHLHGFNFFVVGRGVGNYDSKNDSKKFNLVDPVERNTVGVPAGGWVAIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVDNGKGPKQSVIAPPKDLPKC >RHN59000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6508189:6514326:1 gene:gene20978 transcript:rna20978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MEPEQQPPTLTALHDGSGDEGLAALGEGGEGSSGSKVKGPWSPEEDAILSRLVSQFGARNWSLIARGIAGRSGKSCRLRWCNQLDPSVKRKPFTDEEDRIIVAAHAIHGNKWAAIARLLPGRTDNAIKNHWNSTLRRRGIGGVEHDNTKLESGNMGGNISLEKAKASSEETLSCGDVNSLKSSEGRDVSSMENVDDKNEDKARTDVQLYHEVRDPPTLFKPVARVSAFNAYNAFNGSQPSTSVPRPVPMQGPILHSPKSDVEICKMLNGTIVDRSVPNQCGHGCCSSLNGNSSKSSLLGPDFIEYSEPQSFTSFELAAIATDISNLAWLKSGLENSSVKMMGDTAGRVNI >RHN77901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10336068:10340764:1 gene:gene1405 transcript:rna1405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VI family MITTYNGASGGRTVVVGVKMESNSHSTELLTWSLVNVAQPGDLILALHVLRNDEIVNRDGKSSLFSLVKAFDSVLSGYEGFCNLKQVDLKLKICRGSSVRRILVREANEYCATHVIVGKSQGLIRPTISLPRYCAKKLSKDCWVFAVDNGKVVFKRDGSPTNHVDLKGHRIGLLGSIQRTFSKSSKVLNDDVEESILQDSSCYQAADQESYFGDEGDSEKNSLAMVPVKATDAGSSMRTLHDREVTALKPGWPLLHRTISSDRKVSERSLFRRISVVQWAMQLPSRNLSFDKDQFLGLDSKSGALVPVNAEIGMVASPERKSMCVPKELEGLHEKYSSTCRLFKYQELVSATSNFLPENLIGKGGSSRVYKGCLPDGKELAVKILKPSDDVLKEFVLEIEIITALHHKNIISLIGFCFEDDNLLLVYDFLSRGSLEQNLQGSKKNSLELGWTERYKVAMGVAEALEYLHNNSDQPVIHRDVKSSNVLLSEDFEPQLSDFGLAKWASTSSSSITCTDVAGTFGYLAPEYFMYGKVNDKIDVYAFGVVLLELLTRRKPISGDYPKGQESLVMWASPILNSGKLSQLLDPSLGDNYDHEEMERMVLAATLCIRRAPGARPHMSLISKVLKGDAVVIKWAKLEINALKASELLDEEACPPSDIQSHLNLALLDVDDDTLSMFSVEQNVSLEDYLRGRWSRSSSFD >RHN52530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36422429:36426749:-1 gene:gene37219 transcript:rna37219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BolA protein MSFRGTTTLLSRATRIQTKLQSSLSATVIELDDVSYQHAGHAAMKESSEQETHFNLKIVSEKFEGVNLVKRHRMVYEVLDDELKSGLHALSIIAKTPKEITPEVK >RHN80653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39803001:39804274:1 gene:gene4602 transcript:rna4602 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEEQKCDKMSLSSSSSPLPSESEEELQHMPLAPVAWNNRRRLSKQLSMCEKPRDMAWERRRRQEIRRSIVQDCVCDDITDDDLHELKGCIELGFGFNEEDGQRLCNTLPALDLYFAVNRGLSPSPVSTPQSRASSLGARSSSFGSPRSDADSWKICSPGDDPELVKTKLRHWAQAVACSVMQSSGSGS >RHN78358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14367091:14370424:-1 gene:gene1902 transcript:rna1902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAAAFVGEAFLSASVEVLLNKIVSKEFLNFFHSKELDVSLLKKLKITLLSLQAVLNDAEEKQITNPAVKEWLDELTHVVFDADDLLDEINTEALRWKIEGCPQSQTIIDQVIYLYSSPFKRFPEAIYSRIHELFQRLEHFALQKDILQLKQGVSNSIWYGNPTSSVVVDESSICGRDDEKKKLKEFLLLEDGSVSGSKIGVISIVGMGGLGKTTLAKLLFNDHEVEDNFDLKAWAYISKDFDVCRVTKVILESITFKPVDTNNLNILQVELQQSLRNRRFLLVLDDIWDGSYVDWNNLMDIFSAGEKGSRIIVTTRDESVARSMQTSFPIYHLLPLASEDCWSLLAKHAFGPYNCRNRSNLEFIGKEIVKKCDGLPIAAVALGGLLRSELSENRWNKVLKSNIWDLSNVKVLPALLLSYNHLPSPLKQCFTYCSIFPKNFIFEKQMVVQLWIAEGFVHQSKSRKTMEEVADEYFDELVSRSLIHRWSVNDCVHYKMHDLINDLATMVSSSYCIRYGDRKLQESVERVRHLSYNKGKYDSFNKFDSLYESKRLRTFISLPVRLEWLPDQHYAKYFLSNKVLHDLLSEIRPLRVLSLSYYLNITDLPQYLGNLIHLRYLDLSNTKIQRLPYETCKLYNLQTLLLSRCWLLIELPEDMGNLINLRHLDICGTNLKYMPSQIAKLQNLQTLSAFIVSKSQEGLKVGELKNFTNLQGKLSLSKLQNVTDPFEAFQANLKSKEKVDELSLEWDYGATLDTQIERLVLEQLQPPSSLKKLTIKSYGGTSFPNWFGDSSFAHMVYLCISDCDHCWSLPPLGQLLGLRELYISGMKSVKIVGAEFYGSSSSSSLFQPFPSLQVLRFRDMPEWEDWNLIGDTTTDFPNLLHLSLKDCPKLKGTLPINQISSTFELSGCPLLFPNSMLYFTENIPTNFHSSLVLNCTNLILDLTLSRIPSSASFPRDGLPTTLRSLTLRDCENLEFLPHESLCNYKSLEELEIHNSCHSLTSFTLGSLPVLKSLRIMRCEHLKLISIAENPTQSLLFLQYLSIHSCSELESFSTNEFSAPNLTYLNVYLSIYEV >RHN78903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19774041:19775768:-1 gene:gene2571 transcript:rna2571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MFPLIYVPSTMKNGSCFLIRTTYALSLTPVALSLEELIPPNNPNFQFYSIGIRTALVVSTLLVGLSVPFFGLVMSLIGSLLTMFVSLILPSACFLSIKGGRIKRSQVLLCVTVVIVGLVSCGFGSYSAISEMIAEMFA >RHN68878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39304832:39305554:-1 gene:gene17293 transcript:rna17293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyanohydrin beta-glucosyltransferase MLLTDESGRRETGVAYATPCFTLPPPVGLPDFQFETIPDGLPESDKDATQNIPTLCDATRKNCYAPFKELINKLNTSSPHIPVTCIIADGNYDFAGRVAKDLGIREIQLWTASTCGFVAYLQFEELVKRGILPFKGKFNRSFSLCFLICHRGFVKAKFVTSINS >RHN39270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5445006:5446553:1 gene:gene45278 transcript:rna45278 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSIFSFSFILLFFIFISSIIIPLFLSSLRNKTPPTIPKPVSTRNPVTHAPAILSPADSHLNRPDDNDSTPTLFSKPASHDDPNQFLESGQCVMNDDMVERDHFVSDTRPELGALDLFTPCSSFMQKKMKPQYDNIVKCNESKKLTISQVVQFANSLVDARSELQHKAEATQRKFVIAKALLCKADISSFDRLRQQVGLNIFDSKMSHLKGNVYKLELERKRLEEDAFVYNWLQQQLKLSPAYNRMLEIGVSMEKEKSCKMGERKDEEFSDISFEELLTQEKKDSFW >RHN48591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48649631:48652035:-1 gene:gene43324 transcript:rna43324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK-LRK10L-1 family MIIESTRRHCFHFSYAFFLCLFLCHYTCSQKTCPNCGSMKVPYPLSTEPNCGDPFYRLRCDPHSQKLYFDTLNGSSYVVLRVMSSIQRMVLQPPPWLPGSCVTQDMPVSNGIWLNQSLPFNITSSNTVFIFNCSPRLLVSPLNCSSSSICHRYLENSGQVDTNRALECASGLHPCCTFVAGGMPSAYKIRLHSSGCKAFRSILHLDQDKPPNQWEEGLEIQWSLPPEPVCRTQSDCSRDSKCSPSDRDTLFRCLCKRGFHWDPFAATCVRYKRESKWKTSLVVSIVVIAFFSLAVILVIITKSFKHSNYREKQAKEREIVLKSSTGGEKPYKMFQLKELKKATNCFSQDRILGSGGFGEVYKGELQDGTIVAVKKARVGNLKSTQQVLNEVSILSQVNHKNLVRLLGCCVESEQPLMIYEYISNGTLYDHIHGRYPNFLDWKKRLKIAFQTAEALAYLHSSAHTPIYHRDVKSTNILLDNEFDAKVSDFGLSRLANPGLSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSYGVVLLELLTSQKAIDFSRDEDDVNLVIHVSQYASNGAIMQVVDQRLLLSVEPLSSKLITSIELFWELAHDCLREKKVERPSMRDIVERLLCIIRIEDQE >RHN59385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10150730:10151176:-1 gene:gene21411 transcript:rna21411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MVYRHRCRVGLLRQKLECEPNSCQSSPPPTSTRAGDRLGVEDWQQGGGVPQLSLSKYFYLPALRTLHLEYFYFAATNSHCADPFSNCLVLNTLVLGYCCLIKETQVLCISNYTLSHLTLTCISSCRLSLSTPNLSYFTVSGHMQFFFS >RHN66663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15899899:15901728:-1 gene:gene14703 transcript:rna14703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, non-heme dioxygenase domain-containing protein MSTPINLIDFLVNQANGVKGLAELNLPTVPHQYIQPIQARLDSCKIIPHDSEEQSIPIIDFTNWDDPDVQDSIFSAATKLGFFQIVNHGIPINVLDDLKASVHKFFELPVEEKKSVKDSPPEVVRLSTSFSPLAESVLEWKDYLRLVYTSEEKIHAYWPAVCKNQALEYMKYADAFIRKLLQVLLKKLNVNELDKEREHALMGAMILGFNYYPACPEPELVSGVGPHSDISSITVLLQDDIGGLYVRGKDGDSWINVPPVNGALVINIGDVLQIMSNGRYKSIEHRVVVDGNKTRISMPIFVNPAPDAVIGTLPEVLENGEEPHYKQVVFSEYFNYFFSKAHDGKKTIEFAKI >RHN70980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55591351:55592058:1 gene:gene19620 transcript:rna19620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MVLFAGNVASGTMFTIENRCNNTIWPGTLSGNGAELLGDGGFSLPPGSSFDVTAPPGWSGRFWGRTGCNFDGAGNGNCITGDCTGGLKCNGGGAPPVTLAEFTIGSVSGDKDFYDVSLVDGYNVGIGIQATRGTGDCQYAGCVADLNSHCPAELQVTEVGGSVVACKSACAAFNTEEFCCTGEHSSPQSCSSTQYSEMFKSACPSAYSYAYDDASSTCTCSESDYHITFCPTASS >RHN54392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9205912:9206700:-1 gene:gene29417 transcript:rna29417 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCASRRKIHSGGEVGGDGGGESVYSQRHGGGCFAMVKEHKSRFYIVRRCIMILICWHKYDHKY >RHN39202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4900999:4901781:-1 gene:gene45206 transcript:rna45206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MVPSEIRGVNYLTPPENSFQVQPNFVLPQNEIPNYHINNLLGSLPNFHYPSCLSSNSTTSDEADELQFNIIDERKHRRMISNRESARRSRMRKQKHLDELWSQVMKLRTENHNLVDKLNHVSESHDTVVQENARLKEETFDLRQMVADMQIGNSFPCNMEDLCEIPCNTSQHKDDSSKIHD >RHN44752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8122478:8123564:-1 gene:gene38912 transcript:rna38912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MKTSPNMFLLLHLTLLFLSSIYFSKSNASDDFFCNADDKAALLKIRDHFGGPKGRLDDWDNNTECCDWSFVGCGRPYPGRVTVVTISRGWGLSGTLPAEFGNLPYLSMLSLAEMPKVTGPIPNSFSKLQRLQNLDLGSNSLSGPIPSFLGKLKRLKEVDLSNNKLSGTIPASLGNLQSLSQFNVSFNQLCGAIPAGLKKFNKNVFEHNKCLCGAPLAPCK >RHN78388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14675482:14676812:1 gene:gene1937 transcript:rna1937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MYNEMVRRGFSESSLVYTFIRAFCEKGRVDEAIGLMTEMEGKGLRAYDETYECVIVGCADSGRLNECWSVFEEMLSVGFVPSGLLFDKVAEKLCDGEVEKVNDMLTVLLDKGFLPSDVNY >RHN74936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37962702:37963004:1 gene:gene11071 transcript:rna11071 gene_biotype:protein_coding transcript_biotype:protein_coding MNILVSILSSLLWVFVAVLFGGCFSILWFPRSASDLLRSTGLKVSNFVSVALEVEIVYGCFFKNLVVVTLGLLRSVSLGTRFVGPEKVVMLLCFVAGAGS >RHN44724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7752816:7760381:1 gene:gene38879 transcript:rna38879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NAD1 MLNGKEKIAILALSLFFSVVMICFCFILVVHFVKQISEKTSRSTQKNQEVLWAGFGISLFVIFAFAFVVFAVFSGCGILYIIQSSTKIAPAPLDGD >RHN59325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9578561:9580259:1 gene:gene21336 transcript:rna21336 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKWDIDKFTGDNDFWLWKVNMQAILIQPKCVKELKVKAALLATMSQPYTRLRWRTRRGVPLSYALEIMFWEMS >RHN69332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42689728:42695365:1 gene:gene17783 transcript:rna17783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-galactosidase MKCLCLSIFLFILLSLFSFHGVSSKNVSDTQSHLQLASTPPRGWNSYDCFCWIISEQEFLQSAQIVSQRLRDHGYEYVVVDYLWYRRKVQGAYHDSLGFDVIDEWGRMIPDPERWPSSQDGKGFSEVANRVHNMGLKFGIHVMRGISTQAVNANTPILDTTTGSAYKESGRLWYAKDIGIPERACGWMTHGFMSVNTTLGAGKAFLRSLYVQYAEWGVDFVKHDCVFGDDLDLNEISYVSEVLREFDRPIVYSLSPGTSVTPAMAKDVSGLVNLYRITADDWDTWGDVKSHFDVSRDFSTANMIGAKSLMGNSWPDLDMLPFGWLTDGASCWSTNAGPHRYSNLNLSEKRTQMTLWAMAKSPLMYGGDVRKIDPTTYDLITNPTLLEINFFSSNNMEFPSITSLKSEDQDYGRQMRRSYTETKTSYTHSIGLTSCTDSKTSGWISESLDQYPERICWKWNLGNNHLLPPFCMHKRELNLASDEENMHQDYHHLVAVNKIKFCLDASARRKLTSKEFRRGTFSPCRLDSNQMWQLNLNGTLVNSYSGLCATVKPVKAPISSAGFRSWVATGRTGEVYVAFFNLSDQKTVISAQTSELSKVFHGRDLSSCKGSEVWSGSDIIVTQGTLSAEVEMHGTALFVLNCN >RHN68787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38599522:38602068:1 gene:gene17185 transcript:rna17185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbonic anhydrase MANQSSELAIEQLKKLLREKEELNEVATAKIEEIIVELQGCHPQPIDPAEQRIIDGFTYFKLNNFDKDRKLYDRLAKGQSPKFMVFACSDSRVSPSIILNFQPGEAFMVRNIANMVPPFNQLRYSGVGATLEYAITALKVENILVIGHSRCGGISRLMSHPEDGSAPYDFIDDWVKIGLPSKVKVLKEHKFCDFEQQCEFCEMESVNNSLVNLQTYPYVDAEIRNKNLALLGGYYDFVSGEFKFWKYKTHITEPITI >RHN45481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21325607:21326466:1 gene:gene39826 transcript:rna39826 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGAVNFGWFVVELLFRSRCRSSLFSLGSVCLLLLVDFWSLFGFCATSCLVVPGLLRSAGLNVSGLPIIRYRLLIRLSSYGLFRAFAGNSFMPTALLRGCWYVDLITILAGDPFANFGPLLVSTNVHSSSFFVTWFRARTRVR >RHN82593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55018416:55020156:1 gene:gene6768 transcript:rna6768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Smr domain-containing protein MEEKEGRNIRAERMTLRFQQCPLPPPQLQTQLRLKKNNTIRCTLTKQGQRFLTKLSTTNTNTENLIRKFVQSSPKSVLLSTLTHLLSPTTAHHPLSSLALPLYTRISESQWYTWNPTIFADLITLLHKHQRYTESQTLISEATSKLNNKERDIVLFYAKLLDSHSKRASQTGFDFAYSHLNNLLRTSSSIYVKRRASESMVSGLCAMDKPREAENLVQEFKRTDGGGKIQLQPSAFEFKSILYGYGRLGLFNDLNRVVDEMENNGFVIDTVCYNMVLSSFGIHGEYVEMVSWLTRMRNSGVPFSVRTYNSVSNSCPTVMRKVVDLNDLAFSIEELLNSCLEGGEAMVVKELLSCNVLFDEVMVWDSKEVKLDLHGFHLGSAYLVMLLWLEEMQKRLLNASNHDIPAEITVVCGVGKHSNVRGESPVKVLVKEMMMKMKGPLRIDRKNTGCFVAKGKAVKIWLCELRKQ >RHN62489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43817658:43822395:1 gene:gene25070 transcript:rna25070 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSLKIKRLPFMAVACIVMLFVLYRTLKYQYKQEEIIDKNWSILREQERYSTHFEELKGLPRGIIHTTSDFELRPLWLRSRSKVSVYTKRNLLAVAVGIKQKYNVDAMVQKFLTGNFTIILFHYDANVDGWQDLDWSSKVIHIAAKKQTKWWFAKRFLHPDIVYIYDYIFLWDEDLEVENFSPSRYVKIVREEGLEISQPALHPNSTEIHHRITVRARTKKVHRRVYERRGKTKCSDESDGPPCTGFVEGMAPVFSRSAWFCTWHLIQNDLVHGWGMDMKLGYCAQGDRSQNVGVVDSEYVFHKAIPTLGGSSHYIIKRRGSPPAVDPRTEIRRQSAWELKIFKERWNQAITEDKSWVKPFKSD >RHN78906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19853108:19854634:1 gene:gene2574 transcript:rna2574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MFHYITLFLAILFIIFYNISRSKKNVTLPNWPIIGMLPSVLHNLSKIHDYATLVLKHHGGTFQFKGPWFTNLATVIFTSDPMNVHHIISKNFSNYGKGSDFHEIFEVLGLGIFNLDSNEWKQERALFHSLLKNKNIEIFYQQNIQKKLENCLLPFLDHASKEVKGLDLQDVIERFTFDITCIFLFGFDPNSLPYKYNELSDIAYVKAVSVLEDLLLYRHYMPKCIWKLQKWLHIGQEKKGTVAKENLHEFLNKCISYYKGDEEKRILERNEDADESHYCLVKELMKERLGKGETNEMYIRDTALNLLAAGNGTISSGLNWFFWLVSTHPIVEAKIIQEIKVNCLTKEDNLITNFSVKELDKLVYLHGAICEALRLYPPVPFEHKCAVNSDILPSGDHVSLNTKLIYSLYAMGRMEQIWGDDCLEFRPERWISDRGQIIHVPSYKFIAFNAGPRSCLGKDITFLQMKMVAAAVLWKFRIQVVEGHIVTPKVSVILRIEGFTVNLSKRCT >RHN67553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28203350:28204209:1 gene:gene15751 transcript:rna15751 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVSSNSLKSIDPESADYASQGPQVQQLGLFQCQGDQGQCLEQMITNAMIQISPAIAQAILANLAGNQHDPAMCLFRDQGLQHIVTNAMFHISPAIAQAILAVLTQQHQQFARNQHDPAMVNGGGGANLDGFVMSNDPFKHMWYARGVDGAPGPDSNAFHDLGLFGDGFDVVFEIALRNYNLGSCFADVRKGS >RHN44110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1780363:1780851:1 gene:gene38169 transcript:rna38169 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNITSSPPSSSSSSCWSHFWSSALRSKHVVTPAEKAARDNSKRGLTRRLGPFDLILLGIGASIGAGIFVVTGTVARTSGPGMYRILTLTQQNFYSRKLIERGNIHLVCPIKPGTIILHHAYKLYVCFFFFFDKMIITWL >RHN68909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39564044:39569130:1 gene:gene17330 transcript:rna17330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLRLALRRVSSSSFSSSCSRFITGSASKPSLSIWRRKKELGKEGLVITKELKRLQTDPVRLDRFVRSNVSRLLKSDLVSVLFEFHRQDNVFLSMKLYDIVRKEIWYRPDMFFYRDMLVMLARNKRVDETKRVWDDLKGEGVLFDQHTFGDIVRAYLDSGMPSEAMDIYEEMRQSPEPPLSLPFRVILKGLIPYPELREKIKDDFLEVFPDMIIYDPPEDLFDDHEKHDDVSNSDIY >RHN59376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10041384:10042190:1 gene:gene21402 transcript:rna21402 gene_biotype:protein_coding transcript_biotype:protein_coding MVILRDCDELGRVISPITKEEKRELWNQAIRHAKRPNGTIDLEILKTNYLGHPLFSNLSTSSDSDGGNNTDSDCVLLFSSSRSSHDRQSRPNFPMICGGSKGDRFANGVMRVESRYTDPQQVSFFRSKLHTSSTGNEADVVLEACRSGEKICLPHPQGIADKIFRLYVVVMEDMGVKFLFKDFEVDVLRCLNVAPSKLQFNSWAFIRGFEVLCEGLGFQPSLGVFLYFYETKGVDRLSWVTISAHPGKSLFATYAYNPKNLRDSYLRV >RHN50406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6861998:6863663:1 gene:gene34686 transcript:rna34686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MDTIWKLCFLMATLTIVLSNSTTTTKSPIQHFINCLSHSLVSEVTYTPNNTSFSTILNIKIQNKRFKTATTPKPLAIITVKDDSHVQETVKCAKSNNIQIRIRSGGHDYEGCSYVSDVPFVILDMFHLNSVDVNLQESTAWVESGATLGKIYYTIAKKSNKLAFPSGVCFTVGVGGHFSGGGYGNLMRKFGLSIDNIIDAKIVDVKGNILDRKSMGEDLFWAIRGGGGASFGVILSWKLQLVPVTPQVIVFDVKRYVSEGATDIVYKWQLIAPKLHKDLFIRVQPNVVQIGQEGKKVVQVSFIGQFLGKIERLLVLLSKKFPELGLNKSDCFSMPWINSTLFWHDKPIGTPLEALLDEPKDPQPLYKKYKSDYVKKPIPKEAIESIWKLMIEGEDLFMQWNPYGGRMKEILPSETPFPHRAGNLFLILYINIWSNESSEVSEHHMNFSRSFYEFMTPYVSNSPREAFLNYRDADIGANHPSNVTRFGIAKTYGSKFFKGNFERLVSVKTKVDPENFFRYEQSIPTKIIVKVILNDQNKSHM >RHN82650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55445663:55449011:1 gene:gene6827 transcript:rna6827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSSNSTSEDVISALCINEALTDDELRSILAKVDSEKDKETFGLVCKRWLRLQSTERKKLSARAGPHMLRKMADRFTRLVELDLAQSISRSFYPGVTDSDLAVIANGFRCLRILNLHNCKGITDVGMKAIGDGLSLLHSLDVSYCRKLTDKGLSAVAKGCCDLRILHLTGCRFVTDSILEALSKNCRNLEELVLQGCTSITDNGLMSLASGCQRIKFLDINKCSTVSDVGVSSICNACSSSLKTLKLLDCYRIGDKSILSLAKFCDNLETLIIGGCRDVSNDAIKLLATACRNKLKNLRMDWCLNVSDSSLSCILSQCRNLEALDIGCCEEVTDTAFHHISNEEPGLSLKILKVSNCPKITVVGIGILLGKCSYLEYLDVRSCPHITKAGLDEAGLHLPDFCKVNFNGSINEPAVLL >RHN73188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14211338:14218876:-1 gene:gene8976 transcript:rna8976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MMIDLKYIWVLVSINRYILNTIQNEKTRNTLKTRCISNLRTQNQEFFEFSDQSVLSNLYYGIDNIEIAIQSQHPEQRSFNLKKSEEMLQVPAMLDEDEFTASIPNHYLVCCSYFYLSVVRKLQGDEWQAALHFLQAVLVSPKLVWNEFAPQLCESLFKKHENRSLEFVSSLNSEEEINEVIVKEKARRYKECLVYYQVMLYGEIPWWSSYCSNNSANYMDVSNTSCVSSTSVQHEQRLKTCNTWQYGKVHPMDPQDVMQEQLSSKNIINLDSSRFTKDVVALSISKRIEKMSIDLNCRVEDLLIEEALQPLEFHLFDHASRNHKLGPKNREESSKMKLQTLEKCGEEYIVDTASIFESLISSSGTNYGSLKDVILDELLIVISTSKEEKELRTSVSILTTIISRNKSIIEDVKKKGLRLCDLASALKQNVHEAAILIYLIYPSPIDINTLEILPILVEIVCTSSQSCYKSNKQEESLLMTPHAASLMIIEELVTSIDYATNNMHLEVISSPHVLSGLIEVARNHNLDEFLSLTTILIKCMQFDSQCRKYVSQFTPLAPFLHLLETENVHAKCTALEFFQEILCIPRSSAINLLQRIKQESRINIMQILMHCAHQLQPDHQILAANLLIQLDTLNPTDKSLFREEAVQILLRTLTSEESSEHILSASILSNLAGTYAWTGESYTAAWLLRKTGLTSPYHQNMIRNFNWLDQSLQDTSIDVWCSKISKCIISVGDSIFHTLERGLRSKIKRVSRDCLIAISWLGCQISKNPDSLSNSASEIVLRGIEQFLHPGMELDERLLACMCMYNYASGKGKQKLMQFSEGVKESLRRLSNITWMAEELHRVADFLLPNTSRISCVHTQILEAGRNFSTSVCSLIYYKGLLFSGYSDGSIKVWDIRGHSASLLWDIKEHKKSVTCFSIYEPLDSLLSGSTDKTIRVWKMNQRNLECLEVIVMKEPVHHLRAHGETIFAISEKQGIKLVNKSREIRDIFKGKHGKCMAVDHGKLYIGCTDSSIQEYNTTHNRELEIKPPTRSWRKQSKPINSIVAYRDWLYSASKHVEGTTFKEWKRSAKPKISILTDKGDNVVAMEVVEDFLYLISSSSASSIQIWLRGVPKKLGRLSAGSRITSIYAANDIIFCGTEKGLIKGWIPL >RHN45615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23714483:23715208:1 gene:gene39993 transcript:rna39993 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEWSGEWSNDTTPLPNPWSFTGIEGYHSNIRKSITSENDDDYIHREPIVLERIDLSNVDSMLGGHVMPNANLSNQLVQDQLALQEFIPFHPEALGQFGGRNGEENGKADLSFLREEIQNLGFLYLSSQPETLHVEGLK >RHN76878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2058986:2063658:1 gene:gene263 transcript:rna263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MAGKPSNTLLTMTDEEPDRISCLPGDVIDRILLRLPIRDAVRTSVLSNKWRYKWATMPNLVFNKQRVSATAPFVIGGKLLAIIDHVLLLYSGPINKFKLSDCGVISVTALDRWIFHLTRRSIKDLVLGISKGQSYKIPWCLFSCQSLLHLSLSYCLLKPPSTIEGFKNLKSLKLDHITMSQDDFEILISGCPLLERLILIEFDGFTKINIHAPNLKVLAIVGKFVDIRFEKTSQLAKVCVRLSLYLNSESNQSRLHGSSSNLLNFFAHMQHVYELIITGEFLKLQVLFQ >RHN61145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33443320:33453814:-1 gene:gene23578 transcript:rna23578 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVVPACGNLCCVCPALRASSRQPVKRYKKLLAEIFPRNQVAEPNDRKIGKLCDYASKNPLRIPKITDNLEQTCYKDLRNEVFGSVRVVLCIYRKLLSSCKEQMPLFAGSLLEIIRTLLEQTRQDEIRILGCNTLSDFINCQTDGTYMFNLEGFIPKLCQLAQEMGEDERALGLRSAGLQALSYMVRFMGEQSHLSMDLDEIMLVTLENYMGFHSNFNRPKEFPKEDGSLTDISKKDNLWLTLVAGTGIDSMVDTAKDPAYWSKACLYNMVKLAREATTLRRVLEPLFHYFDTQNQWSSEKGEAVRVLMYLQSLLEDSGDNSYLLLSILVKHLDHKNVSKQPILQINIINTTAQLAKNVKQKASVAIIGAISDLIKHLRRCLQNLAAVSSIGNDEYKLNTELQSALELCILQLSNKVGDVGPILDLMAVVLENISTTTIVARTTIYAVYQTAKLVISIPNVSYHKKAFPDALFHQLLLVMAHPDHETRIGAHSVFSTVLMPSLFSPQLDHKTMMAEKVPSESLSIQQESFLGAEQINRKPVEGGAVVDVSSRKYRVLPYRVYSFSDALNLGKDELSSFRLSSHQVSLLLSSIWVQATSMDNGPANFEAMAHTFSIALLFTRSKTSSYLALVRCFQLAFSLMSISLDQEGLQPSRRRSLFTLASYMLIFSAKTGNFSELIPIVKASLIYSTVDPFLELVDDVRLQAVNIESEKIIYGSQEDDVAAIKSLSAVELDDKKLKETVLSYFLTKYSKLPEDELSSIKKQLAQGFSPDDAYPMGPQLFMETSRTCSPVAQIEYPDFDEIVASAALMDEETGPEPSGNLSDCKQLLSFNNLTILSVNQLLESVLETARQVASFPIPANPVPYDQMKNQCEALVSGKQQKMSVLHSFKHQQETRALVLSSAIETKVSPLPIKTLEYSEGDLKLVSQEQLQTLYEVRPCSYDYRQHHSLRLPPASPYDKFLKAAGC >RHN55919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25422052:25422780:1 gene:gene31208 transcript:rna31208 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLYDFIEKSIEVFMDDFFVFGETFHKYLHNLEQFHEMCEETNLVLN >RHN39307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5744275:5744676:-1 gene:gene45317 transcript:rna45317 gene_biotype:protein_coding transcript_biotype:protein_coding MYANHHKTEDVQLFILKLDFAPITAISHDLRNLQRLPNIKPNLRLHVFPPETSFIVRIILKELTNIIEIYGIIEIETVLDMLLSLSHLSLTSKFRTYIFSYYGDELVDRSRTYPPNTIWDHVTIHQPPPQYRN >RHN39893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11459808:11466740:1 gene:gene45975 transcript:rna45975 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSQRRAVRYEKDKAGCMWGFISMLDFRHGHSTRKLIADKRRSSKHSEGVVHSKNKFEALSDMDEVCHGTFDGGESKRPTVRTIVNKPSVKKLIEEEMFTDQNAMKDIDNSERREVFLKLDSKRKKKSSERKRNIADDLNLDAASKSETLHHQHSRKQFKDNLDVDTIIEEFCNLRGVCSMMRSDDGEVEKHGQPNQKQKHVVSEKHSRDAIREFVNQMILNGKDLEEARKFLFSDEIMEALQLISSDKELFLAFLQNPNPLVLKCVQEFENSHRVKDNDSNFSEQDHGNKEQTSEIVNHKKHNFFRKKVKSQSKNSTNENVNTNISNRIVILKPGSIGSENSKTGKNIASSLDSHDTVEYNSPSVRGSSHFSLTEIKKKLKHAIGRERHGKAIGKDNVGMRSPNKDHFFIEKIARPSAGVTKGDKTGTNKDLEAIVEREKGIYPKQGVSNLYIEAKKHLSEMVGNEDENIMDLSSRQNSKTLGRIISFPDYNFSPLSSPRRDWEDHFVTAKTRLRDENYTNKSQEIKSDSNLSDGLIHVDKEENCCPVRDEIVTEGDAESAKEIAIVESSSQSVGLSAESADQSHDISESSDCAEFSQCLEQDVTEQNQSPSPSHSSLTGNTKEQEITTDASGRPSPVSVLDALFLEDDACSGNSRCQPAEVPVQPLHVQFEEQDSSLVNQIKREKYCIEENGLIYDYINAVLQASGLTRDQLLMKCLSSDKMLDPSLFDQVELSPNRLCHDQKLLYECINEILTGVCCDYFGASPFVSFVSPSIKPTPNMKTVILMVSEGVCWYLLPMPPPHTLEKIVRKDMEKCGSWMDLRFEAETVGFELGDAILSDLMEDTILGCVSKSPEGRSYEHNDNKTSADV >RHN76083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47552455:47555228:1 gene:gene12359 transcript:rna12359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MGVCFSAQVITEHPCHTGLNSNNKVSAVSVPQTAQTEGEILQSSNLKSYTYAELWTATGNFRPRNVLGEGDF >RHN45368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:18099832:18108392:-1 gene:gene39658 transcript:rna39658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MENNRVGGGYFGNPVGESEGSSGQIDTEITVSEDSTGPSKKSISLNSIRHRHRRGAFGAPIQLLPLSKLSPIERRGLKQRLRSEIEQVRQLQKRIELQRSNGVTLSSSSDILSCSGGGGGGGGNNGNPVGDSRKKSVSSSLPGSKSKLSGKINKARGWNRGTSGKFEAPVQTRSPTMANSLLMKDCESLLTRLMTHQYGWVFNTPVDVVKLNLPDYFTIIKEPMDLGTIKSKIDARAYSDPLEFAGDVRLTFSNAMTYNPPGNDVYIMADTLRKFFEVRWKTIEKKLPRSDALPLHTKSNPRQDVKTNRPKPPSKKRKIVSLPPQPQVITPSMPGMSDQDKHNLGRQLESLLGEIPVHIIDFLKEHSSNGRECGEDEIEIDIDVLNDDTLFTLRKLLDDFLQEKQKNQENVEECVIEVLNDSGPSNSSLQPFKGNDLADEVDIGGNEPPVSSYPHGKVEKDIVGNEPPVSSDPNVKVENDISVNDPPVSSNPNVKVENDISVNDPPVSSDPDVKVEKDAGGSVPPVLNNPDVKVEKDATCRTNNCPSPDHSKDPDSSSSSDSETDDVKASPINGAKVPEIMGSKAQLEEKIRAADTLERNQSVSGLDQVEDNSQDKRNSFDSDCQQDGDSGPSERQVSPDKLYRVAILKNRFVDTILKAREKTLAQGENGDPEKLRLEREKLEMEQRKEKARLQAEAKAAEDARKQADAEAAAEAAAEARRKRDLEREAARQALTQMEKTVEINENSRFLEDLERLRAVPAEQLPISVDETSPDHSEDGLGSFKFGNSNPLEQLGLYMKVDDEDEEGEPHSVPNPVNDVEEGEID >RHN69573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44504902:44508417:1 gene:gene18056 transcript:rna18056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nonaspanin (TM9SF) MHPTCISLLIFQLFKMCNVVCKLKLDAKTAIAFKKKIDDEYRVNMILDNLPLVVPIKRVDQDSTVYQLGFHVGLKGQYGGSKEEKFFIHNHLAFTVKYHRDSLTESARIVGFEIKPFSVKHEYEGK >RHN39651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9090759:9095959:1 gene:gene45693 transcript:rna45693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SSXT family MQQTPQMIPMMPSFPQQTNITTEQIQKYLDENKKLILAILDNQNLGKLAECAQYQAQLQKNLMYLAAIADAQPQTPALPPQMAPHPAMQQGFYMQHPQAAAMAQQQGMFPQKMPMQFGNPHQMQDQQHQQQQQQLHQQAMQGQMGLRPGGINNGMHPMHNEAALGGSGSGGPNDGRGGGSKQDASEAGTAGGDGQGTSAAAAHNSGDASEEGK >RHN69294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42393257:42398223:1 gene:gene17742 transcript:rna17742 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSTCFVQSFSTHADTSSCVTNEGNPLRALGESISFGRFMSESLDWDRWSAFSQNRYVEEAEKYSKPGSVAAKKAYFEAHYKRKAEEKAAALVEETNIQANGSFDSENWEGNCIGSSAKIKSEADNIETTNEEIKKDTVDYQVVDCDDTTQFNCDDVGQSDLEIKSEADNMEITKEEINEDIVDCHAVHCDDIIQCKCDAGENDLDIVEVEGAEDVLQPCNDMSLNAESCMFVDNSNQLDHDEVHKNITIAIEEKAPDPGIPSQEVLALPVKGGEVNSSPKLSAKTRPEKPPLTCDQRKASAAVSPRIGIINGSKRDQRKASAAVSPRIGIINGSKRENSVGDAVVKKRLTARSLHTSINLPSGTAETRKTADSPLRSRNGTNRFSASKNSVGSLVEKKRLTASSLHMSIDVPSGTGIASKTTTAAVKPRNGTNIVAKSLKSIGALMEKRLTPRSLHMSINLPSGAGETRKISSVIEHNRNKKIQSDLPKVHPLAAQTSTQASHGLLNQAPANLPSQGRRTERLVNKSVSGDVTVNPKLSPLSVESLKSSSTTQSNTRSPTKSSPFRFRSEERAIKRKEFLQKVAETKPKEEEKVQLRISKGKTEHTHKKLPQSSGSKSKPIDDGPSGAQSPSNQIRKISFTMPRSPRQVRQANSSSSTTKNIGNSWKPPISTNSSKRITEKNSRTRQSGTSLSKATWENASPNIQH >RHN43794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48522615:48528065:1 gene:gene50420 transcript:rna50420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin fusion degradation protein UFD1 MFFDGYGYHGTSFEQTYRCYPASFIEKPQLESGDKIIMPPSALDRLASLHIDYPMLFELRNAAAERVSHCGVLEFIAEEGMIYMPYWMMENMLLQEGDVVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIVESKPANAISIIETDCEVDFAPPLDYKEPERPVAPRSAGKAPEADKEAPAETEPKFNPFTGSGRRLDGKPLNYQPPPVSSSGSKDKNPAAQNVNSQPSTASSSQSNAPQTQGKLVFGSNPNRGKETGKATEAKAKQEPPKEKEEDKFQPFTGKKYSLRG >RHN60068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:18120354:18120546:1 gene:gene22270 transcript:rna22270 gene_biotype:protein_coding transcript_biotype:protein_coding MMKYMFGEWRNHDTNQEKLQMVYLQFHSEESLSRRRRRRRRRIWKRKEEDGNIKDF >RHN71408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58790623:58794490:-1 gene:gene20088 transcript:rna20088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase sigma factor RpoS, RNA polymerase sigma-70 like domain-containing protein MWSSSSSCLLPQFICNPDTFIRIPTHRHHHHHHHHLFLLQSPKTTTTRHCILSSSTALLDFKFDVAPAESENSPPWPYASQAHSSSSSVESLLTSEDAVIAAAASEALTLAKAAAKLAKDATLLVNNKLPQHNQQPPQLPSTPENLLLKWVQHMEAAEYAAAAVSIATGPAGPDIMEHLHISPNQEDDFNDDDEPTSEELEDVEEQLYDTSIDARSGRQTERKARRVRASGKAATNIVSFRSGSTTRKKRVRTQEVDYSDPLRYLRTTTRTTRLLTTSEEIKFSEGIQDLIKLEKLQEDLAEKCGGQPTFAQWATMAGVDQKTLRKRLNYGVFCKEKMIKSNIRLVISVAKNYQGSGMSLQDLVQEGCRGLVRGAEKYDASKGFKFSTYAHWWIKQAVRKSLSVQSRTIRLPFHMVGATYKVKEARKQLYSENGRQPDDEEVAEATGLSMKRLSAVLLTPKAPRSLEQKVGINQSLKLSEVLADPEAETAEEQLIKQFMKKDLEKVLDSLNPREKQVIKWRFGMDDGRMKTLQEIGEMMGVSRERIRQIESCAFRKLKNKKRAKHLQQYFVS >RHN60587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28502857:28504413:-1 gene:gene22918 transcript:rna22918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MLVSFLQNAPKLTYLAIKRKIEINSRRKEVGNSSWVEPSVTPTCLTTSLITFEFKGIQDIKTELDFTRYIVSHSNKLEKVKIFTPSLKKRRVEKSLRKGSKKSSVLVWDINSI >RHN70465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51597529:51602107:1 gene:gene19045 transcript:rna19045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MSGEDEDPEEKALNLKRKRNSFSSSRFNNFQRDLMAGAVMGGAVHTIVAPIERAKLLLQTQESNLAIVASGRRKFKGMFDCIIRTVREEGVISLWRGNGSSVLRYYPSVALNFSLKDLYKSILRGGNSNPDNIFSGASANFVAGAAAGCTSLILVYPLDIAHTRLAADIGRTEVRQFRGIHHFLATIFQKDGVRGIYRGLPASLHGMVIHRGLYFGGFDTIKEMLSEESKPELALWKRWMVAQAVTTSAGLVSYPLDTVRRRMMMQSGMEHPVYNSTLDCWRKIYRTEGLISFYRGAVSNVFRSTGAAAILVLYDEVKKFMNLGRL >RHN56279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29448009:29448305:1 gene:gene31651 transcript:rna31651 gene_biotype:protein_coding transcript_biotype:protein_coding MWILALFQNCEVRRLMLGYSSSLCPRLCFTLEKSGWFTCSLFFPSAASVCVIRVSGVLLYFFLRFAALVYFPGHSKSFWTVVPVLFLSGGVVCWQVWL >RHN50134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4396916:4397476:-1 gene:gene34389 transcript:rna34389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MCVCMCARAHVCKVSTINILLQLQYAEESYLTNGYLDKKVGDWISGLQNFRLKDLPDFIKITDPNNLMIKFITEVADRCHRASSIVINTSYELESDVMNTLYSMFPSIYTIGPFASFINQSPQNHLASLNSNLWKEYTKCLEWLESKEPKSVIYVNFGSITVMSQEKLLEFAWVLANSKKTFFVDN >RHN68346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34781494:34782134:-1 gene:gene16686 transcript:rna16686 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHYFALNEEASSIKIFFFFEDSSIKMLFTIILRTFIT >RHN69292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42382662:42383773:1 gene:gene17740 transcript:rna17740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MAHSLSSNLGLNLSMISKSLSRQTHFFRVRAMTGEAREKLDHVSRSNNIKHHQSQPKKRVFPAAPIGLWDRFPTTRTVQEMMETMESMMEDPFAMSTIEWPSSPLPIEGVGGYRRRGRTPWEIKEGESEYKMRFDMPGMNKEDVKVWVEEKMLVVKAEKAPKKKNDEDEEWSKSYGRYSSRIALPENVQFENIKAEVKDGVLYITIPKATTYSKVLDISVQ >RHN77697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8801140:8803138:-1 gene:gene1179 transcript:rna1179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MLAKQFSPCSKLLLLNDIQVKLSLEHRFKRSIAFNFTDDNDIENVYIEHAKKYEFADITWYPSRHTAVYRYDVRVPLDAAGDGVYDFIGFQANEILVSQSVRAAEKLLENTGNTEGKCLTASATLGYKKLTANGLKNNGLIFTGYPVIGYQGKMQTSGSCLYSTRIDTSCAWDPRIKGLSFYESTAIFPASKFGDFINDVKKLRDINPQNFCGIDNYNGILIRYIKASEAYLGQSEDSVVIDFNYYRANDQFTPRLNQDVWEELEQIAFFKYGAKPHWAKNRNLAISNVQHKYPKFNLFIEAKNQMDTQNVFSGDWSDEILYGKELVKFDGCALEGQCICSEDRHCSPQKGYYCSHGLVYKEARVCRSSSTFVYPDSL >RHN46428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31644193:31646139:-1 gene:gene40912 transcript:rna40912 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIIWSSLTSQQPFDIPKIVELHSMANQTRKTEWLNSIITLIESVDYDYVQSCSISVVPEGLKKWNEDAYIPRKVSIGPQFMGWREDLLLIEEVKLRCMLSLIHRGAGAARESLKKCSEAVWELDEKVRASYVTSLKFEQQELAKIMLLDGCFLLELLISKSEGSKGLNSRLKSRLKPSGPAAEVLKDDDVLSDLMLLENQIPILVLHELFQTLFPNVLELDRAKKMQIMEDASTSHQEKHKRIEKLKKETRANKINDLALTVLGYSSIQLPCLHAPHILDLVHFFVNTGTGERETEGEGNNHVLIEDGVVNTESKKLKLKSCALSLQAAGVSFKVLEDRDEAISCFDLMRNYFRGVLVRLRNIIFNTEQVDVAVREVKGLDFNFKFRMGKLEIAQLHITETTKAKWRNVIVWEHHKKNGKSSSSSTQSLTSPIGKFTSSALIFHGLICCSADVIFLKEKKIIVDHTKMSNGELKEFFRTMSFGVDPGIVDSSYVQLVNELNNYSDVFFILRILKLSWHFSICCLERLIKFLKEDYNFVAALLALLSVVQTVYALLAYYLSK >RHN45915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26773615:26774572:1 gene:gene40342 transcript:rna40342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVKVPAFAEALAVTPDICRSLCLENCSCLAYSHDSVIGCMSWTGKLLDIQQLQSGGLDLYVRTAYAELDRGRNKTLIIVSTVIIGTLLIVICAYIMWRRTRNHPAKLWHSAKSARKKNNKAFQQFNKGGSSDVCSSDDVIGEMSQVRLQEILIFDFEKIATATNNFHLSNKLG >RHN72849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11228983:11230402:1 gene:gene8611 transcript:rna8611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MKNLKLNLTTKMNSLRAVAIALCFIVALFGVLPFPSNAQLNPSFYSKTCPNVSSIVREVIRNVSKTDTRMLASLVRLHFHDCFVQGCDASVLLNNTATIVSEQDAFPNRNSLRGLDVVNQIKTAVEKACPNTVSCADILALAAELSSTLSQGPDWKVPLGRRDGLTANQSLANQNLPAPFNSLDQLKAAFASQGLSTTDLVALSGAHTFGRAHCSLFVSRLYNFSNTGSPDPTLNATYLQQLRNICPNGGPGTPLASFDPTTPDKFDKNYYSNLQVKKGLLQSDQELFSTSGADTISIVNNFATDQKAFFESFKAAMIKMGNIGVLTGNQGEIRKQCNFVNSKSVELGLVNVASTEEGMVSSM >RHN74987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38504192:38507752:1 gene:gene11132 transcript:rna11132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEQIPYSIIVSIINKLTSSTFHEFARIYGVKNELQNLNKTLESIKIMLSDAEHKQHNDPTIHHWITRFKQVLHDADDFLDYLTIRDSMLKASNNHGNVLNKVQNLFSLEKNPLAFRVKLVREIDNVRKKFDSVAEDMLKLKLNPSMVVILKENEGFCSWRETSSFVLESGIVGREGNKNEIIELLKGKGSKDSVSFIAVVGMGGLGKTALAQLVYNDDEVEKMFEKRIWVCVSQEFDVKSILSKMLKSLKNGEVGDLELDILQRRVREELNGQRYLLVLDDLWNEDQLKWDELRTYLMCGGQGSKVLVTTRSRLVSQIMGVNMPYVLKGLNKEQSWNLLKKLTFGEVANGMSPNLESIGDRIAEKCGGVPLAIRTVGGFLQTVNEKEDQWLNVLNGDVWRLCEERQSIMPVLKLSYQNLPLGLRQCFAYCCLYPKNWEIQKNELIQLWMAQGYLESTIETQSIEDVGNQYVRILLLRSFFQDASLSKHSDIISFKMHDLMHDLAKSVAGNDCCLHAEGKRFIGRPIHVSFLSSTTCSLDLLDASKPRTVLWAKTKAGSVSDAKLSITKNLKYLRAFDLSHSLITELPQSIDKCRHLRYLDLSSCKELISLPKSIGNLVSLQSLKLSGCRQLVFCTEVITKLINLRHLEIEGCKAFADMMPLGLGQLTSLQSLSSFVVGDDETRNCGKLNELKELNSLKGHLRISNLGSVKDVALESREVNLKIKKYIQVLELNWGKSRYDWVEEGKNSEIDLQLLDNLCPNQNLRKLQVSGYPGVRFSSWLPSLTNIIQINLYRLSNCQHLQPLEGLPRLKRIHISEMNELKYIHYDDISHVFFPSLEKLILFGCKNLKGWKRLGNNVENHHPLPPFPCLSYLEIWDCPKLTCMPTYPYLTELKLISCNVKPMIETCSVQLQSSSFNPLFGLKHLYLTEIDLEAMPEQWMKNLKSLERLSLSGFLVIEPMIRHLQHLSAGLQELRICQVDKLDLWRDEGNANSECQVPHGLRSLQKISVEFCDNLKAFPEQILDIQSLRHIKILHCDDLESLPEGLRCLTNLQTLHIIHCPLIRKRCQIKVGEDWPNIAHIPDILLIDSV >RHN63451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51396288:51398306:1 gene:gene26150 transcript:rna26150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentacotripeptide-repeat region of PROPR MNRMKAITTSLKFLKSSSSSSRATQVLATGYQVSHFSSSSFSLPMLNRSHNLLPFHNSHKKHYFSSKPNSILERVYTNDWSEELEKEFEECRESLTHETVVYVLKRLDNNPLKVFTFFNWVSEKEWFMASSSAYSLVLRILARNKNMKEFWIVLKAMKENGFYLDEETHLILSADLKKAKMKSDVVEYSRFYKGMLEQDAMQSVVKKVVGIISGSDWDNEIENELEKVKIQLSDNFVIRVMKELRNSPLKAYKFFHWVGKQSGYLQNTVTYNAVARVLPRMESIEKFWSILEEMKSVGHELDLDTYIKISRQLLNNRMMEDSVKLYEHMMDSSYKPTAPDCIVLLKSLSTKDKPDLDLVFRVTKKFESTGYTLSKAVYDGIHRSLTGAGKFDEAEKIVETMKNAGYEPDNITYSQLIFGFCKTRRFKEALKVIDHMQAINLWVDIKTWTILIQGYCDAGELDGALLGLYKMMEKSSSPDAELLEVLVDGFIKQKRIDDAYKLLLEISGKCHLSPWQATFEKLIDSLLRVRKFEEALDLLRLMKSKQCSPYHEPFASYISKFGTVEDAVEFLKALSIKNYPSQTVYLQIFESLFQEGRLSEAKDLLYRCPHHIRENIKISKLFDSSKSQATESQMAAT >RHN56331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29920938:29926132:1 gene:gene31715 transcript:rna31715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerol-3-phosphate dehydrogenase (NAD(+)) MAASVALEAPSSHLHSHSTTNPLFSSSSKFHYRNNNASKFYAFPSKSTVFLTLTSSAPNHIRHASGIDSSSPVQEPEPVLELGLDPIRDRRRVVRVAWEKLVRWSRSWRSKSNTDVLQRTNKVVVLGGGSFGTAMAAHVAHRKDQLEVVMLVRDPEVCSSINESHCNRNYFPDHTLPENVVATTDAKSALRDADYCLHAVPVQFSAAFLESVADYVDPGLPFISLSKGLELNTLRMMAQIIPQALRNSRQPFVALSGPSFALELMNKLPTAMVVASKDKKLANAVQQLLASNHLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMNLGNNSMAALVTQGCSEIRWLATKMGAKPTTITGLSGTGDIMLTCFVSLSRNRTVGVRLGSGEKLEDILNSMNQVAEGVSTAGAVIALAQKYNVKMPVLTAVARIIDNELTPKKAVYELMSLPQVEEV >RHN63469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51513585:51517095:1 gene:gene26168 transcript:rna26168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MNPYDNRYSDSTSFRGRRSDLVGPMPPQNFGRGGPAPYGAAPYASHAPTGFGSAPVTPIPHFLPPPGGGGFSIGRGGGGGFGPRFGNGHASDRKYDSGRGGRGGGRGGAQGFSGGRGRGGGGGRGGSGGRGGGFGGRHGSSKDDLNNITLPKQDFRNLVPFQKNFYVESPMIQAMSDQQVMQYRASRDITVEGHDVPKPIRAFHEANFPDYCLDVIAKLGFVEPTPIQAQGWPMALTGRDLIGIAETGSGKTLSYLLPAIVHVSAQPRLVQGEGPVVLVLAPTRELAVQIQQEAMKFGSRSNIRCTCIYGGAPKGPQIRDLRMGVEIVIATPGRLIDMLEAQHTNLQRVTYLVLDEADRMLDMGFEPQIRKIVNQIRPDRQTLYWSATWPKEVESLARQFLHNPYKVVIGSPYLKANQSINQVVEVVTDMEKYNRLIKLLKEVMDGSRILIFTETKKGCDQVTRQLRMDGWPALSIHGDKNQAERDWVLAEFKSGRSPIMTATDVAARGLGRITVFRILGFLI >RHN77100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4082574:4082960:-1 gene:gene520 transcript:rna520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTLSPAVLSEDLIVEVLSFLPVKSLLQFRCVSKSWKTLISDPTFVKLHLEKSQSRNLKLFTIITERINNNNEGDYRVDRYPIDRIFENPSNYHHFKRKGSRNGSDIVGSCNGLILLYGDIFGSHKKRI >RHN77367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6376845:6379683:1 gene:gene813 transcript:rna813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSSTSSEHYGDHKTHILRVMNSALSEDDGDHKTGILSGMSSPSSGDDDRKRQSILSADQETDEGDTTGGEGGEGGLKKGPWTAAEDEILVAHVQKYGEGNWNSVRKCTGLARCGKSCRLRWANHLRPDLRKGAITAEEERRIIELHHKMGNKWAQMAALLPGRTDNEIKNFWNTRCKKRGRANLPIYPEDLSSECLLNQENADMLTNEASQHDEAENKVPEVIFKDYKLRPDILPPCFDKLLAGLLLRPSKRPWKSDMNLYSYSNNAAAPAAFDQLRKYPMPSPSSPPWEDINEPHSYNQFNEYDNPMVGIHMAPKTSSFEPIYGFMKTEPPSLQYSQTQHRSYMIPYPQVEPVQSVPTSLERSHFLPSNEANLSTQWDESDDQYDGLFPSFDYNNNNHMNTCSTDGHHSAETTQG >RHN46853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35326243:35326527:1 gene:gene41387 transcript:rna41387 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLKSLEDNIFFVGIGNSISSLSTSCFSKLENDSIYFLFEFDSRLGIYNVRDGSFREQSLPCSFRRYFWVLPQFQWNYVSSGIIEVCILVSLW >RHN67818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30482881:30483856:-1 gene:gene16055 transcript:rna16055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRMRSMDKTIKFTYVMIIFVYLFLIATNVEAYKNRCFRDSDCPKEMCNHPKIPKCVNNAYCKCVVAMYFPPK >RHN49616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55942247:55951623:1 gene:gene44465 transcript:rna44465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MDAAAYSSGEDVVLKTRKPYTITKQRERWTEDEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALVKGAALGQALDIDIPPPRPKRKPSNPYPRKTNVGTPTLHSGAKYGKPLIAIASSHGKQAMDFEKESLLEEHKDEERPTTVKENNDENCLKVLTILKEAPCSSVSSAIKSSISMSVPQTNSCTIRGFTPSVKEVITRDETNESFPTTEIENQMLKIDDGKHTQKNDGICRTSKLENCSPKSVQSEKTDGLTSALTIDEMQSNQNYPRHITVHVVDGNFGTSTQSPSQNMLIQDSTFQPIGGINVQPNLFANPAASNTSENQNNMARSSSHQSFPPCPPFAHNHADYQSFLNMSSTFSSLIVSTLLQHPAAHAAASFAATFWPYANVESSADSPACSQGGFPSRQIGSPPSVTAIAAATVAAATAWWAAHGLLPVCAPLQTAFACPPASTTVAPSTNISKEPPKTDQGDITLHNPPLQDQLLDPENSEALQAQHSGSKSPAVSSSESEESGDAKLNTSSKATINLDINQPISENPDSNKMEGRKLIDRSSCGSNTTSSCEETDALEKDEKEKEECKIPDADHLATDPSSRRYRSISNLLDSWKEVSEEGRLAFRALFSREVLPQSFSPPHDLINKDNQMDNMKDNEQKTDHKDHLESKKCICNCDQAQQNLPFVQNNNEEGFLTMGLGQGKLKTRRTGFKPYKRCLVEAKENRGGTACNQVEETGPKRIRLEGGTSI >RHN44624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6933323:6934423:1 gene:gene38765 transcript:rna38765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative six-bladed beta-propeller, TolB MLILFSSSHKSITLITLIFFLLGLGPSPILCRDAHVINFRSPNLYPESLAWDPRAQHFLIGSLRRRIITAVSDAGVVETFISDITLPSNSSILGIAVDSRRNRLLTVVHSPPPLPPFNALAAYDLRSRRRIFISLLTDSDDESTPSAANDVAVDHTGNAYVTNSAENFIWKVTTDGTASIFSKSPLFTSPANDNNLLGLNGITYVSKGYLLVVQSSTGKVFKVDAVDGTARTVSLNENLIGADDIVVRDDNAAVAVSPMNKLWLMKSMDSWAEGVVYERKEIDVKRFPTSVTVGEKGRLYVLYGHLNEGMLGDSGREGFGIAEIRSREGQDEHVWIFVLIGLGFAYFLFWRFQMKNLVFKMDRKIK >RHN71852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3079509:3080055:1 gene:gene7492 transcript:rna7492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPAAIRRVTFSSSQTSLKVANVPKGYLAVYVGEEMKRFVIPTSYLNQTSFQYLLSRAEEEFGYDHPMGGLTIPCTEDVFLHVTSSFNGL >RHN54184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7665615:7666981:-1 gene:gene29181 transcript:rna29181 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTIKTVLTSPQTSFPRSLSSNLCRETSTVRFCSKADSAHKMDKAQQKSSSEKKETTEHGDVMSHSFGEGYATRSDEEGFGGIYGGNQSLQKDKSVHENHPDYDKTQGSEVKEKEKARHQSSANA >RHN46131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29011241:29016070:-1 gene:gene40574 transcript:rna40574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MLIMATTTRVPFVSATTFFPNQCHKYSLVARTINLPVSSTSLRLSSCYSTSLVSLALPRSFKRGGNRRSVVVVAMAESSKSTVLVTGAGGRTGQIVYKKLKERPNEYIARGLVRSEESKQKIGAADDVFIGDIRDTESLAPAIQGIDALIILTSGVPLMKPGFDPTQGKRPEFYFEDGAYPEQVDWIGQKNQIDAAKAAGVKQIVLVGSMGGTDLNHPLNSLGDGNILVWKRKAEQYLADSGIPYTIIRAGGLQDKEGGIRELVIGKDDELLKTDIRTIARPDVAEVCLQALNFEEAQFKAFDLASKPEGTGSPTKDFKALFSQITTRF >RHN49461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54959692:54960471:1 gene:gene44294 transcript:rna44294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MDDRISELPDDILCDMLTTLSMKDLLKSSILSKRWSKLWGSRRDLYFDVFNVFGSSEKELQKTGYLIDVTSRFSVDRCIDLYKTKDEFVKRVDQFVKNFPGTVINSFLVSFYLNCEQSNTIDQWISFAIAKGVGMIDLLFLGEPYPAHPNPHPDPSKRYKFAFDLFSETTASALKHLRLECCIVCNPTNCDFIPFKNLTYLSLNEVKVDEMFIESLLSNCGLLEELCLVYCEFKLSIPKIVNSWLNTCSGLLTYFNFSV >RHN67450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27335993:27338553:1 gene:gene15639 transcript:rna15639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MGVLSFLSLPPCTASVSASPRCTEDAKSSNNKISTLITKKYCSRRLILRFIGINHILCYGSPALAAPIMDMNEPEVIRTLKLASGVRIQVVTEGEGPEAHGGDLVEFNCVCRRANGYFVFSTVDQFNGESNPVILPLDEDQMILGLKQVLTGMRVGGKRRALIPPSVGYVDENLKPIPEEFGPRRSLLSHAQEPLVFEVQLLKILSVPQQSEFN >RHN71010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55791539:55793676:-1 gene:gene19650 transcript:rna19650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGWTREENRRFEDALAVYGPEDPNRWQHVANAVGGKSIQEVKMHYEILKEDLIRIEHDQIPLPTYRGGAGINITNGRQFGNEQRRMRNLNIH >RHN68081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32692078:32693657:-1 gene:gene16378 transcript:rna16378 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLVLDERECTNILYECFISCHLIIELTPNNLNFLFLTL >RHN79489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29498912:29500070:1 gene:gene3285 transcript:rna3285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVLILVKLLDYSGFNYWSQVAKFMNGRSGKQCRERWHNHLRPDIKKESWSEEEDKILIEAHKIVGNKFAEIAKRLPGRTENSIKNRWNSAKRSLNTTKMSNRRNSWKRTLLHKYITEITNSKDVQKVPKNSTNMMNIEYQTNFDNTNTNHGLSHVRHEISECGVNFEGLVTSMEELGGNVTMMLNGDDGSGTMSHEIGSYQMEFFPHVNDL >RHN62345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42786095:42786931:-1 gene:gene24908 transcript:rna24908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator FHA-SMAD family MEEQQPPTLSLQIIKGPRKGETLQFRPGYAIRIGRVVCGNNLPIKDPGISTKHLSIHTESGKWALRDLDSSSNGTSLDDAVIPPNTSFYLRDGSTIKIGEVTVIVVNFIHPENKSTNTTVERGRRGKKVEEPKSIRVTRNMTRNMKNIGVEIGESSCLIVDGLENVVKEKMKGGGRKGKKKLEEDIFLNKLEEEIVGDGKENCDEAEEKEKYNWDGNMPDLEKMSLGEWFDFLEVYLPKQIHDETEDIIDSMRQKAERLREYVMMHENQKAQNAPGIE >RHN50674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9301647:9302927:1 gene:gene34992 transcript:rna34992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MNLMLMAEVAFWHYWVFLLLQDIRKQYNGDDNADKLSDLPDCVLLHILSFLNTKYAVQTCVLSKRWKNLWKCLPSLKIGYSNSKRLRGYKNIFYLLFRPRDISSACQVFDFKEPQNFEKFLYQCLSKRDQSIPLQVFDCMGPQIHIESIVKTLPQYLFKLYCHTLTSLHVSVASPQRTLFPNSLNFPALTSLSLRSFDFRVVGDGNVEPFSAFKRLKNLILRDCNVHGNRNLCISSAKLINLAIDYCNLELYTPSLCTFVYKGIPTVQQLCGSKSNLSSVKHVNIDVNIDAISLSESVKTSLILYNWLVELANIESLTINSTILEILYSVPNILKAEFPSLCNLKSLKVKTNLSSIPNGYLAFLLQNAPSAKVYLLR >RHN49268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53689299:53689760:1 gene:gene44082 transcript:rna44082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 7-O-beta-glucosyltransferase MLQGSTWSLINMDTLPTPKYQPLFFAACNMLKEPLENWLLELEKLPSCIVSDICLPWTSNVASKFDIPRVVFHAISCFTLLCSHNISFFKVHEKVDSMLTPFVVPDLPDTIEFTKAQLPEVMKQDSKAWKEAIDQFKESKLSAQGILVNTFRG >RHN67414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27010637:27018499:-1 gene:gene15603 transcript:rna15603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(A)-specific ribonuclease MKLRTLPSSSALSRVLSRALSSSTATTSTLQQCESAFPSKTVTVANFESSLAELRHHVRSSDFVAIDLEMTGVTSSPWRDSFQFDRSDVRYLKVRDSASRFAVVQFGVCPFRWDSSNQSFVAYPYNFYVFPRQELSGFGPCNEFLCQTTSMDFLAKYQFDFNACIYEGISYLSREQEREAIRSWNSTHDSECSDISKLNDVRGGIPSVSMADILFTARIRDKFSEWRDGLLQEQSRSDQIQGSRKDSKQQFQLIFFKTHPALKLDGFTSRQLKLIQLVIKKHFKDLSYINVNEVSGSQQIVVYTDSEDELNLLLKEVKEENHRAKEVKIQAAVGFRHVIDLLSSEQKLIVGHNCFLDIAHVYSKFIGPLPGTPEKFVASVNKCFPHIVDTKILMNTNSMLQERMKKSRKSLASAFSMFCPQIAAGSKSTELVTPSQVKVTVEVDDSRSSSWNPGGKHEAGYDAFMTGCIFAQLCSDLGVDFKLHESSKQLAFNEKLQKYINHLYLSYLHGDIIDLNTGYTVENSSPSYNLKRRYQQILFENIVIIWGFPSNLKASEVRECLSKVFGLTSVVSVYHLDATAVFVQFNKTQLVSDFLLLKESLERSNGPISVLHPLGKLLEGGNTCAANYDAYKEICGSPLSETLFADQAKAVGMKWKTKLVEYKESLRSGEHENPTIEENVNDAAMSL >RHN68396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35124963:35128649:-1 gene:gene16741 transcript:rna16741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MAFRTSGTLILATLIWIFFLCATIAFFQLKLVENGTHQASSHDKIQNHHHSDTLLEDDLEGVTNKVYFDVEIDGKSVGRIVMGLFGTTVPKTAENFRALCTGEKGVGKSGNPLHYKGSAFHRIIPSFMVQGGDFTSGDGRGGESIYGDKFADENFKLKHTGPGYLSMANSGQDTNGSQFFITTVKTSWLDGRHVVFGKVLSGMDVLHKIEAEGSESGSPKRTVVILDSGELTS >RHN71537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:852762:858962:-1 gene:gene7149 transcript:rna7149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arginine and glutamate-rich protein MPRDLSRSRSPPYRRRHSPSPVGHRYSRRSRRDRSRSPYSYSRRKSRSISPRGRRSPSTTTWRRRSRSPTAKRYRRQRSRSSSLSPAHKSSSSSLGSVEQKTAIEKQRKEEEKKRRQQEAELKLIAEETAKRVEEAIRKRVDESLSSEEVRVEIQRRLEEGRKKLNDEVAAQLQKEKEAALIEAKQKEEQARKEKEDLERMLEENRRKIEESQRREALEQQRREEERYRELEELQRQKEEAMRRKKQEEEQERINQIKLLGKNKSRPKLSFALGSK >RHN53817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4360186:4363340:1 gene:gene28767 transcript:rna28767 gene_biotype:protein_coding transcript_biotype:protein_coding MEWMLAKLQQICLHIMSNVLGHPSISWLLSTTWVYFLRSRRESEQLEVTMQPRKDTCSMKSLLLINFCYVAHSGRSIVTVSSIEGGNRIHCTLSDDYAVRMQQF >RHN73624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18311205:18324115:-1 gene:gene9459 transcript:rna9459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DENN domain-containing protein MAKLTKEEEMSGPSWGASFFMQTTEDVAKAVAAAMNTPRPSVIYSSKNEQGGSQLQRLQNQVTKMIKGFSRPPEVKYANYNPEILTSQKRQWAANFHLQYNDHRAWKEPTKLYESMVVVGLHPNCDIQALQRQYFVRKSEGSGKLRSALGYQNQSRVEPNVEPQVLFVYPPEKQLPLKEKDLLSFCFPGGLEVKAVERTPSMSELNEILFGQEHLKQRDLSFVFRLQGADNSTLYGCCVLVEELVHKPSGLLSMISDKQPSNSSLRRHILTTQRCYCILSRLPFFELHFSVLNSIFMQERLERLTKSVEYLDLEFAEGSYEEENSEGNSECVLVNDRLAEDKLDENPRTSESNLINSLPENIEGDGIHPDKQMINEELHTLKVEADDDNIVPIHPETDGKIAKEESGPTIAEDNNTYGDALVANKQSEDRRLPNAVLPLLRYYQYESSESSCSFQGSPCEDRIFRSDADDTETEASFSGQEDLNDLHEILEWAKANNCGPLQIISEYYRFRCPTRGSTLIFHPLEHLHPLEYHRLDENDLYLSGSTIDLKSCSTGLELAEAQNSLLVAEEATALSIWAVACLCGTLRLEHVLTFFVGALLEKQIVVVCSNLGILSASVLSVIPLIRPYQWQSLLMPVLPNDMLDFLDAPVPYIVGVRNKTNEVQSKLTNVILVDANRNQVKSPSLPQLPRQKELMSSLRPFHSTLVGESYLGRRRPVYECTEVQVEAAKGFLSVLRSYLDSLCCNIRSHTITNVQSNDDKVSLLLRESFIDSFPYRDRPFMKLFVDTQLFSVHTDLILSYFQKE >RHN66740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17942823:17943416:-1 gene:gene14811 transcript:rna14811 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYTIVARLDYHGSSAIEENKLNETPSCDSAELKRDEAMKLLKGVLHLKPIVLEELSLSSSDFPGYHVIDLKPLRGGSLKQREEFFEIEKWLENGTNLASFQKSIPPSKPMPKPMPSTDSFSAHEIDSFFFFFFLGGGRGLNPGSCIYYELSLPTELSIRRP >RHN74432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33203436:33204041:-1 gene:gene10505 transcript:rna10505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MLIGAMDTSATSIEWTISELLKNPRVMKKVQKELETVVGMKRKVEESDLDKLEYLNIVIKESLRIHPVVPLLVPHQSMEDCTVEEFFIPKNSMIVVNAWAIMRDPNSWTDPEKFWPERFEGNNIDVGGHDFQLIPFSSGRRGCPGLQLGLTMVRLVVAQLVHCFDFKLPNHMLPSDLDMAEAFGVTMRRANHLIAIPVYRY >RHN51299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15949713:15955004:-1 gene:gene35715 transcript:rna35715 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYNRRRHDSSPGPFPPTISLPPPFNHHNHNRHESSPFLSISPPPQPPSPDCFTSTNTTTTTKKNNINRRESLPPSFPPISPPSTATHSRRDSYPGPFPSFPSPTSSHSEHPPLPLYHARRESCPGPFPFPVSPRLEATDQTLLPSTSSSCLNLIKRRLDIRNNDNNGLIWPFGDIDVLDDDDIRETAYEIFFTACRSAPGFGGRSALTFYSKNEGGGSGGTSPGPGGPVLQTSRVKRALGLKMLKTSLSQRMVSRGSWKMPMSTPSSPVAEGSPRSRVVPRRVMTMAEVMRMQMGVSEQSDGRLRKTLMRTLVGQLGRQAETIILPLELLRHLKPSEFSNPHEYHLWQKRQLKILETGLLIHPSIPVEKTNTFAKNLKDIIRSGELKPIDTSKNSETMRTFSNSVVSLSMRSPDGVPTNVCHWANGFPVNIHLYISLLQSIFDLDDETSVLDEIDELLELMKKTWSTLGINRPIHNLCFTWILFQQYVASEQSEPDLLCASHAMLNEVASDVKKEKESLYVKMLTSVLGSMQGWAEKRLLAYHEYFKGENVAQIENLLPVLLLASKVLEDVSISDGEWQGKGDKTIEDSSKDHIDDYVRSSLKNAFEMIIEAENAKTADSETKKDISEFMLHLAQEAEDLASKERQNYSPILKKWNAIAAALAALTLNNCYGHVLKQYLSEIKSITVELIIVLQKAKRLEDILVQMIVEESADCDDGGKTVVRQMVPFEVDSTVLNLMRKWIGESLQRGNDCLQRAKETETWNPKSKSEPYAKSVVELMNLAKKIVQEFFQIPVAITEDLVQELVDGLHKIFREYTMFIATCGLKENYIPSLPPLTRCNRNSKFHKLWKIASPCNVSCEDPHMYGIYEANHPHSCTSRGTQRLYIRLNTLHYLLSHISILDKSLTLTQGVVPCDRGRRSTNTQNTQGKTTSYFETVENSIIAACKHVSEVASHRLIFFDSNSFFYESLYAGDVANARINNALIILKHNIKLMSAILTERAQPLLIKEIMKTCNDAFLLVLLAGGTTRMFNESDHVSIQEDFQCLKQEFYRCGEELIAESVVDKEGEVVEGVIGLMGTSTEELLENLSNLSSENGVNENGTKLPMPPTTGKWNRTDPNTILRVLCYRNDRVANHFLKRTYQIAKRR >RHN65003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63563364:63574260:-1 gene:gene27893 transcript:rna27893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine--tRNA ligase MLHTHLHLNNVQFLSSHSSPSLFPLPSSKFSTVPFPTPIRRRTSFSTLRFRSFTRRLRNSSTNDVQLNETEQKKPVNRAYPFHEIEPKWQRFWDEFCTFRTPDDDDIDTSKPKYYILDMFPYPSGAGLHVGHPLGYTATDILARFKRMQGYNVLHPMGWDAFGLPAEQYAIQTGTHPKLTTVTNINRFTSQLKSLGFSYDWDREISTIEPEYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVIDGVSERGGHPVVRKPMRQWMLKITAYADRLLEDLDDLDWPESVKEMQRNWIGRSEGAELEFCILDGDGKERDTQITVYTTRPDTIFGATYLVVAPEHSLISSLISTAQSKHVEDYIDLASKKSDLERTELQKEKTGVFTGCYAKNPANGEAIPIWVADYVLGSYGTGAIMAVPAHDSRDYEFALKYDIPIRWVVMPDDKSIAESGKAFPGEGIIANSSNTLMGLDINGLRSKEAALQVIDWAEKSGNGKRKVNYKLRDWLFARQRYWGEPIPVIFLDDSGETVPLDETELPLILPELDDFSPTGTGEPPLAKAVSWVKTTDRLSGRPATRETNTMPQWAGSCWYYLRFMDPNNSKELVDKEKERYWGPVDVYVGGAEHAVLHLLYARFWHKVLFDIGVVSTKEPFQCVINQGIILGEVQYMACRDEDGNLISADSTNMLNEHNLERISEEKVTKSGDSFVLKENPDIRILARAHKMSKSRGNVVNPDDVISEYGADSLRLYEMFMGPLRDSKTWNTSGIEGVHRFLGRTWRLIVGLPLSDGTFKDRTVSVDEEPTIEQLRCLHKCIAKVTEEIEGTRFNTGISAMMEFLNAAYKWDKHPRSVVEAFVLLLSPYAPHMAEELWSRLGHTKSLAYEPFPKANPAYLKDTTVVLPVQINGKTRGTIQVEETCTEEDAFALASRDEKLSKYLDGQSIRKRIYVPGKILNVVLDRKNIKIGVQ >RHN69255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42131073:42136096:1 gene:gene17698 transcript:rna17698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoporin Nup54/Nup57/Nup44, nucleoporin Nup54, alpha-helical domain-containing protein MFGGQSSSSLFGTPSSAFGTPTFGTPSSTPAFSTPGFGTPSSTPAFGASSTPAFGGGSSLFSTPFSAQQQPQQQQQQQQNSLFQLSPSTGFGFQNSTPAPQPSPFPNSQLTTQMANVAPVPFSLADRDIQAILDSYKEDAGNPKYAFKHLLFSVTDPQFRVKPAGVSDIMWAEAMGKLEGMESADRERLWPQQVQGFKDLSQRLKLQDEVIVSDAERLSVTQSNVKMLQRHFQADTLPWIQRLKQKEQILQQRILRVMRIVEALEGKGCRIPLTKGEAELAEKLATITRQLKGSGAELSRRVQNLLGVSRVKANSNGFCNSAHLLGSTKIHDQSLADLQEVLQQQMDAIARLGSVLKRDARDMEIMMAEDTGTSENGHLM >RHN58785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4886810:4887739:1 gene:gene20730 transcript:rna20730 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLGSYISPKKLVITLHNPRKVFPDGSGKVYRKVFPDGSVIKDDHRVANIVRKAFGYPSDNSSGCAHILHLMHLSTVEQSQQYEGKKAKLELLRCATKLRASGVIIRAKLNSTNQNQHKLVDMFDFDISFSDSGELDIPPLYIKETTEVKWRNLIAWEQSKIWIRCKCTSYALFFNGLICCEHDIELLQEKGVIVNDMNKSNEDLLALFRTISNGAEHMDLSFSEICAKMNVYDYKGMKVTKVVQKLPIRTWHQCRRVFEILMYYVRNWYNILIRDHIPNVWKFIGIVAAAMLLVLTIMQTYYSSRSD >RHN50235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5402854:5404527:-1 gene:gene34504 transcript:rna34504 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKGVSLLFLILLIQLHLSKTTSLKIKGCTSEDCLINNDLESEEFSMSSHAARMLLDLSQTQTGRNGNSNGAAVKCPINQAYRTCLPSQNGGGPNNSGVRFEILECNPLKFSSFRFFVFQIEANLILYLNFII >RHN80485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38503844:38511478:-1 gene:gene4419 transcript:rna4419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BRO1 domain-containing protein MGCIVSTPKDSGGNRRRPGSIGDVSVYVPGLRIPKPVDFTQSLGDSLSKSIVERLSALRTRIVVMAGQEGPTITRTKRKSATQHGGSTLADLLQALEDYLPVLLGLVKDESHLQYKVQFVWMNQEDEAEETAMSNAWYEVLSVLHLMATLLLSQANLLLLPRTSTDGHQPKVSEENRRASVDIFLKAGGYLDCAVRHVLPQLPAELRRNLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLPLANGWGEKHRHYVKWKYIESKATAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESKKLCEAFNAATPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYTYERIMETAPTLPDFALALKPDDYQLPQVSPSWRTENVKGGQNGSPNHLKG >RHN55599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19911623:19911757:-1 gene:gene30801 transcript:rna30801 gene_biotype:protein_coding transcript_biotype:protein_coding MAALREVYKKKKYLPLDLHPKKIRAICRRLTKHQVLNSFFFFFC >RHN76653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:149662:150685:-1 gene:gene15 transcript:rna15 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MEDVANSGNLPFKVTAVAAGEAHTLLLAGDGRVYSWGRGTLGRLGLGSEQDQLFPAQVKFGSQESIRIVGIAAGAYHSLALADDGSVWCWGYNLCILNICLN >RHN63605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52652680:52657792:-1 gene:gene26328 transcript:rna26328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MITFCDNRSKTRRRLRQHSQNVTVFTQSVSEITADMPEEIIVEILLRLPVRSLLQFRCVCKLWKTLISDPQFAKKHVSISTAYPQLVSVFVSIAKCNLVSYPLKPLLDNPSAHRVEPADFEMIHTTSMTIIGSCNGLLCLSDFYQFTLWNPSIKLKSKPSPTIIAFDSFDSKRFLYRGFGYDQVNDRYKVLAVVQNCYNLDETKTLIYTFGGKDWTTIQKFPCDPSRCDLGRLGVGKFVSGNLNWIVSKKVIVFFDIEKETYGEMSLPQDYGDKNTVLYVSSNRIYVSFDHSNKTHWVVWMMKEYGVVESWTKLMIIPQDKLTSPGPYCLSDALFISEHGVLLMRPQHSKLSVYNLNNDGGLDYCTTISGQFARYLHIYNESLVSPHWLKHSQKKNKRKRKQKLGSESPKHVRHS >RHN78050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11525225:11530028:1 gene:gene1565 transcript:rna1565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSARQIIEVPQTPLLRSHHEGNGGGRRTSLAQLLGRATGRRGPSVLVRETAARELEERRADWGYSKPVVALDMSWNMAFVVVSAVMLAFTVDERPNMPIRVWIVGYALQCFVHVVLVWIEYHRRNRRDSRQRQRESDAEFSSDGNDSDDSDGDVNSTQSRFTKRCESINTGVSFLWWMVGFYWVVSGDDILLQDAPRLYWLAVVFLAFDVFFAIFCVVLACLIGIALCCCLPCIIAILYAVAGQEGASEADLSILPKYRFRLSNNEEKDSGGAGSMVPIETTSAYLATERILLPEDAECCICLCPYEDGVELHTLPCNHHFHSSCIVKWLKMNATCPLCKYNILKGNEQV >RHN44342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3726915:3728555:1 gene:gene38451 transcript:rna38451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Calmodulin-binding domain, plant MAEKCVDVPVISGLTMSTRVGVLRRRHTSGKTSPGNNEEKLVPHYLRDSTGSCHDFCKYGMKLALEEKKKSLIPRIAERTQLHRSTLQNLAEIVTSGVKLRASMNSKPTKMSLVKHRKSTDSEVRISNVSDTTKVVKTEPCELVKPGKEVVVNRKKTSLAGVKRLPLLPRSQNCSTYKTRKPEISSSSKVDTTPKPISKRVGASSISNFKKEELLLKSTSRRVKTHPVSTFQLAKTSSNSTSKKTQISSKFSEKNVTSLNLDSSKADSPRASLSSKSSRRRVAGINIHKSLKTASRVKNYPKPKKVEHEEHYSEAEEKTLYVIKMENEDSTFQSDQNAIQDIELSPSKSLSSSKFSSQDQEESEYATSEFEEHSFPENDGKENKETLETLLDLEVEENEKPQKGETEKLAETESEKSCPTENYGNENKETLERLDLEVEENEKPQKVEMENLAETEVEKNSPSKLKLNGGKVLKENATDGDETVAITGLEKIVLRHQDVKGKKDEQVLLNNVIEETASKLVEPQKGKVKALVGAFETLISLNEKKT >RHN45556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22885246:22887335:1 gene:gene39922 transcript:rna39922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVSRCALWNLRELDISSSSLTGNIPISIGNLSFLSNLYLHRNKLWGSIPQEIGKLINIQLLIPHDNSLSGSIPREIGNLLNLEILFLHVNKLSGSIPLEIGNLWNLKQLFLQDNILFGFIPSKLGLMRSLLQIKLSNNSLSGKISPTIGNLSHLQSLDFHGNHLSGTIPTELNMLSNLQNFQVHDNNFIGQMPHNICIGGNLKFISASNNHFTGKVLKSLKNCSSLIRLWLDNNHFDGNIKDDFDVYPNLMFMALNDNNFYGHLSSNWGKCRNMTHLHISRNNISGYLPAELGEATNLYSIDLSSNHLIGKIPKELGNLTMLGRLYLSNNHLSGNVPVQIASLKGLETLDVAENNLSGFIPKQLAILPRLFNLSLSHNKFIGNIPFEFGQFKVLESLDLSGNVLKGAIPPMLGNLKRLETLNISHNSLSGRIPSSFDQMISLSFVDMSYNQLEGPLPNMRAFNNATIEVLRNNIGLCGNVSGLNPCKISSSESHYHKINKLILLIVLSLALGILIIAFICFKFSYHRCQPSTTRDNQDGGNIVAPKNVFTIWSFDGKMVYENIIEAT >RHN73595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17960165:17965956:1 gene:gene9422 transcript:rna9422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MVSKRQALTLFLVLTTSPFTISSSNGGNMVVYWGQNIEESTLKSTCDTGFYKIVLLSFLNIFQEGRRIPKLNFIGHCNDKNPCTNLEPEIIHCQQKGVKVFLSLGGAYENETYSLGSLEDAKNVANYLFTNFLNGQFGPLGSVTLNGISLDIQGGSDQWEFFAKYLLYVRQNYRYFYIAVASQCIIPDQYLDKAIKSGSFDYAIVKFYNNPNCQYDQTNFNDTLLTRSWNSWTWLVQLDNNVFMGLLGSATAAPSSGYIPQDYYHLSNVLPHIIQSYNYGGIVIWDRFHDDENSYDKQIEEHVKRHALQFVTQVFKAIERFVSASLNVMFLN >RHN54199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7743002:7744294:1 gene:gene29199 transcript:rna29199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MLYNFISFTIYILYLYVHVSICNSRQRGLQVLTSISTFYYLQTLQDNNSLFSTLKNPFKSFIFHYSFSQRMMHRSSQGQHVMGHCSCGMFHGQNNSFSMLFSSSTPYDHEPETYCFTPNSSSSSVDCTLSLGTPSTRFTEDEEKRTRHHERRSVKNFCWDLLTPKQYNSTQSQTKATRASTNNNNNDSLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRATSAAGTATAPSIGVMESASMYNHHHHHNNNNNSWYVQPQNQKMQCFSPAITNEFRFIDETNHDSENGIPFLSWRL >RHN60573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28390228:28390608:-1 gene:gene22904 transcript:rna22904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AT hook, DNA-binding protein MEDNVNLELTLSLNSSQDRSKTTNHAITEMEADRVDAGLDTVVKSEIGGSNSALVKRGRGRPRKSEVDRNRLSPTKLPPRTSNQPSGSEEKRGRGRPRGSGKLQSLAPIGMSFFPLIFFFMNIYCF >RHN55468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18677067:18677330:1 gene:gene30650 transcript:rna30650 gene_biotype:protein_coding transcript_biotype:protein_coding MFDALICGLSGVPTMILSLLEGFSLVKIVVAPALSKCGRLFPSCAGIGIWCRCSVVYGLCGLAYGLRPPF >RHN43934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49561350:49564957:1 gene:gene50572 transcript:rna50572 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:STR MARLERDGTNKSLESLMDSHKPGGTTTNLNQLRTQKSIPGYGLEFTNLSYSIIKKQKKDGVWINKETYLLHDISGQAIKGEIMAIMGPSGAGKSTFLDALAGRIAKGSLQGSVRIDGKPVTTSYMKMVSSYVMQDDQLFPMLTVFETFMFAAEVRLPPSISRDEKKKRVHELLNKLGLQSATHTYIGDEGRRGVSGGERRRVSIGIEIIHKPSLLFLDEPTSGLDSTSAYSVVEKIKDIAQGGSIVLMTIHQPSFRIQMLLDKITILARGRLIYMGRPDALHTHLSGFGRPVPDGENNIEYLLDVITEYDQATVGLDPLVQYQHDGHKPDPAAMTPVPKPPRTPYRRNTPASKHMISLRSQGFTAGTPQPDSSQFGLDDDDNDDDENFDNSLERRSVQTSRNIVTSGVYPRLASQFYQDFSAKDFSVWLYNGVVGTPRRPPSWTPARTPGWTPGKTPLSGPRSFVSNQHSASYQDPYYIQKTNTVVGQSMDYSATSYAPSYEEFEIEEVLDEPDLGPKYANPWLREVAVLSWRTVLNVIRTPELFASREIVLTVMALVLSTIFKNLGDTTFIDINRLLNFYIFAVCLVFFSSNDAVPSFIMERFIFIRETSHNAYRASSYVISSLIVYLPFFAVQGLTFAVITKLMLHLKSNLFNFWMILFASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKRTQIPAYWKWLHYISAIKYPFEGLLINEFKNNRGCYSGNKADLSPGPLGDVKPSKHHNASLPLNCLLGEDVLSTMDITMESLWYDILILLAWGVLYRFFFYLVLRFYSKNERK >RHN51558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19792163:19792657:-1 gene:gene36016 transcript:rna36016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone 7-O-glucosyltransferase MAASTVALFLYTPTIHHNTTNNDVLRNIPGLPSILPDDMPEPLVDRGSQSYNFFVNMSIQMRKIDDLIGNSFENLEPKAFLALKNGAYVMEEPKPHVFCVGPLVQMIKENNDVVVDDDSGCLSWLNLQPSQSVVFLSFGSYGRFLKRQIKEIALGLKKSDKRFL >RHN45840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26200822:26201402:1 gene:gene40261 transcript:rna40261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MNNAHFVCKNIFQVNFPEHAAIPTFDNLTYLKINSLNYRWHFLVEVLKHCLKLEKLILNEAGGHGATSETWSRKDDKEQWVDPKVVPECLSSHLKSCDLYTFLGLEGELHLACYILKNARVLEEMMIWNVGHTEVKRSIFSCLKASAKCRVILKHVCYSILNLC >RHN72018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4387416:4390011:1 gene:gene7685 transcript:rna7685 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNDEINPKTKTPLQPSSSADHGSTKFSGHKSHINPAETAIPDSATLRDQWKYATRQYGKWYSHAWGTAILAGAAFFALGWFIKGENPIPSFNSKPNSSHDAHNKDKPTQSK >RHN81153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43682373:43683052:1 gene:gene5157 transcript:rna5157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MDRVKDLASKKAAVIFTKSSCYMCHSITQLFYELGASPAVHELDNEPYGREMERALRSLGCNPSVPAVFIGGKFVGSSKDVISLHVDGSLKQMLMAARAIWF >RHN50475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7428128:7429955:-1 gene:gene34758 transcript:rna34758 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTNPILEPLLHVLDPIPLILNQNSNSNQPCPLRLSSLEDSFVMERGRNYNAYAELREKRLQLRCLMNVQDESFEIESEKLVDPERNLVEFDGDLGYGRNGLLEKEEFFEIESEKVLAPSRKNVNFEGGFGYERKGSLENDESFEIEFQKLVSCGRNGSLEKEEFFEMEPPKFAARTKKEAKFEGDLGYGRKGSLEKDENFDMELQKLVDSAKKKVNFQGGFAYGRKGLVEQDEYFEIEAQKFATKTRKEVDFHGGFAYGRKGSLEQEENLVIEPHKFVASTRKEVRFQGGFSYGRKGSMEQEYFEIEPQKLATKARKDVKFQGGLVSGRKGSSVVGQSVPDFSAVLRKENRKPLNYLPAVMEIMTPPSKSSFSKDNDRMMSNSRGSKSESAGEKNKKKTVGGGGVLMGRKSYACIDELRSLSSATATAINGEGRAGRNSRVLRKSVSAHRQF >RHN67897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31250749:31259349:-1 gene:gene16142 transcript:rna16142 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQKRQKQYFEQRKRQQQNVHMMGSDNHGESSGSGQLYKEHQSLDILNLLNLSQYAKECNSVGQKGREDGDVFAAMPGSVSKNQPRISTKVEITVNTRGYEEEKTGAPLYGQIETSPKKVLPSAPDHQNTAFDGPPSHWRTTDQYSDLSVIDLLCDDGHNPAEEKPPTCEDHVSFSLEGLGKVGIETPTHSPQQRARTTYRHSPFLKDGRKTKLQNLSHVLDDIELEVDTMMQDIGVSPISSTFPSNKSKQSSTIIEDHKYFYDHTDKNGPSISKEFFHKSEDNENNEDTWNGFIFPDEKFNKGIGYDTSYENTFQMDRRSPELLKRGAFKMESFGFEDLLPAKWPSSTARKDINMGEPLASFSMDELEDDFNFCGASRTRSYGNFNAKNLIPEDVRDSSSLLSEESSSATAGRGEYVVHSPSIVTGENRRKHKKVFASPKHKYSTDEDKNRSRSNPSKRKPPHYSNSILQEEIGAQNSWQFEEIYASVDRSSVTTSFYQDLEANFSVFGCKTRPEDPFSVFTTPGYKASPSFGGSKNSASMADSPPPSFTSEKFAFDCSTPLPSFPSSPTGPSLSSDFQFKEGPQDNGGFHCETSSNDMSVQGSATKGERHVKLKKDRHNFFEQEDTFMGDNELSSEKKMEVDAPASDNHAQESEGTADTKPKTTECNETSDSPVHVEEISSSLKIPDKHEESQEDNRKSNCDAETETPLKCKITNEEMKISPPEGRNRVNGKHINNQIFVSSGKVMFESYVFHLRVQKVLNGACTTSRRNNTFIGPGSSHIISHHI >RHN80728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40469013:40469940:1 gene:gene4687 transcript:rna4687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative The fantastic four family protein MIFSLYFQIILSLSLSFLLHAFNFKKHLHSFLTLLTTTDKNNNKLDLKCTNHPFSSKSKPKISLPPPRTIHEMKQLMTCTESINEYQGDEYCLRNTRAVEGGVNSNNTFPPPLSSLDNNCQPSYILLPVRKDGRLQLNKMRVDRPDILYANRQDGRLRLYLVPDECNANDVEQEEYYEDDEEEEEYLEEEEITIVESESEEEIMGEESRCEEDDRAREWSNNKNNERYRRRCHQQLVNYIHGSHNNLVMYGVAT >RHN48194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45691383:45691799:1 gene:gene42883 transcript:rna42883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MENERKKKVSECELPKQIKYRGVRIRPWGKFAAEIRDSARHGARVWLGTFNTAEEAARAYDRAAFDMRGSSAILNFPQEHFPSNVASNPSSSSNSTSSSSSTVKSELGEQIIEFEYLDEKLLEELLDPENTINNNWFK >RHN64620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60488033:60489664:-1 gene:gene27451 transcript:rna27451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MVDAILGVVFHNLTSLLQNEFSTVSSIKSKAENLSNTLDLIKAVLEDAEQKQVTDRSIKVWLQQLKDAVYVLDDILDECSIKSSRLMGLSSFKPKNIVFRHDIGNRLKEITRRFDQESSTEIAEWRQTSSIFTEPKIFGRKEDKEKIAEFLLTQASKFDFLVVYPIVGLGGVGKTTLVQLVYNDVRVSKKFHRKIWVCVSEDFSVNRILCSIIESITREKCDGLDLDVIQRRAQELLQGKRYLLVLDDVWNRNLEFKLGLSQEKWNKLKSVLSCGSKGAYILVSTCDKDVATIMGTCQTHHLSGLSEKECWLLFKQYAFEHDIEEREELVAIGKAIVKKCGGLPLAAQALGGLMRSRNGEKEWVEIKESKLWSLSDENSILPALRLSYFHLTSTLKQCFSFCAIFPKDTEILKDDLIHLWMANGFISSKENLEVERSWQYDLE >RHN77937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10643849:10652842:-1 gene:gene1447 transcript:rna1447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative checkpoint protein Rad17/Rad24 MNNPTDLSSPNRKPEAEQQQQGSCTPENTFKKRKSPSKSTPRKKTSRNNTPKKNGSVNGVKGTGSPDVARKIDLRLEAKLSAEENSRMFAGRQIHPFFSLCKAEKKFKDSGDSESSLSAAKRESGRTNCGPIHVFEDITDDTSSLDWSDWKFLGNTTIVDCSPESSKFSVMEDSVEPLNFDNFHSGVKSSSTSISQNALSYSDDKLPTQSEHMMEMLPENSAVDNEPAKPEDAIVDLEMDEASTISGQACNTGKSDAEPPSRMSSFCQSCEDKAESSLWIHKYKPTKASEVCGNDESLNFLRDWLHHWHERRYQNRKDSSNKDQTDIPDGDGDYNCAGCDYASKDVSEEGSLKNVLLITGPVGSGKSAAVYACAQEQGFEVLELNTSDCRNATAVKQYFGDALGSQCVKSLVEHTVGSQKKTLKLLQAPASPNVKEAKEMDHDVIEMITLSDDGAHGPGGTSQKLHAIDNTLTSDAVQTLILVEDVDILFPEDRGCIAAIQHIAETAKGPIILTSNSKKAGLPNNFCRLHVSFSLPLPDELLRHLFTVCATEEVDANPLLMEKFIQSCDRDIRKTILHLQFWFQNKKYSKDKKVQTLYGSLPFDLEAGHKILPKMIPWSFPSELSKLIENEVTKSIATMENNSSWEGLVKEELCINEKENNSEVPCMGTDYLEFMKTIRSIPDRGEFESQYSAISELYSCSGPSVTSSWQKDQSKFVVMSTDAMDKDSNNRHSVDVHDEAYKRQFLEGNADSSFNFLLNQSYASTSFGELLHSGLEDSEVEQYKYLETNNDTCLNNTYKSLDMSWFPESRFVSETAIQNRTETLSTVVSSGGHLSCPVDVSLSNELRPFSFSVCQRLAKVPQGPDLLVTTEIPKSSPRAIAPDFCDDSMEIATVYNPMDECSHSDFKLESKFVDSSPSMEIDTVQNVWRKLRTDLKQHAKSEQIGAIEVVKLASGLSNLISEADLLFRNHQQKQCGIMEPPTSLSDEDTFSWYDEQMMMSTVAAHGFCFYAKHIAEAGPKLGFEDRVDITSEMLASTANIMALGKLSRQDHTKTTSSYTKELLEVNDQRNDKSLRCNESRTSLFNVIRSIVPARSSTTAIQGIAFSELLSSLRQISISEDFRISQGVKKMRKGRRNAEHYLSRGKMVLSPEDISLLCEGDLYRKISSQYASNMEINCT >RHN40174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13862482:13865327:-1 gene:gene46288 transcript:rna46288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative G-patch domain-containing protein MEGHLRMLHGKKTLETHLSSMFLFDVKCSDYKYYEHRLAQEEKALSQSNEPQAYQNAGTSVPSSRPTNGPQRSSQQQSTYQIPASALYDSADVPRGSGSAGQAFSVGSSDEPGGSSKANSLALMEFYMKKAAQEEKFKQPRHSKDEMPPPPSLQGSTYDASASASGKKGHHMGDYIPQEELEKFLASCNDAAAMKAAKEAAERAKIQADNVGHKLLSKMGWKEGEGLGGSRKGIADPIMAGSVKKDNLGVGAVQPGEVTPEDDIYEQYKKRMMLGYRHRPNPLNNPRKAYY >RHN65469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2477653:2478429:1 gene:gene13310 transcript:rna13310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MFNNCRCGTAMWSEILCRLPVRLLLQLRCLCKFFNTLISDSKFTKKHLHMSTYRHHLILSSKDESHVMSYPLHCIFNSVTINANKLHFPFNKHYFSVVGSCDGILCLVSYRHPVILWNPSIRKFAKLPYLENPIKGGCYTTYGFGYVPLTGNYKVVTVFNHVSGNGPNKAKLKVHTLGTNNWRTIEGDFPVGGYSSLIFVSSMLNWIVSSDPFYNVVSFNLVNESHQKLLPPNFGGEDVNDVILGVLLILKFIGSKNL >RHN61335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34887063:34889255:1 gene:gene23789 transcript:rna23789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium/calmodulin-dependent protein kinase MVERRVCSELGIQADRVKSDKGRGTDGVKKMKKSNSIKLSDLEALQSQPPRRSVSRSRKLLPLHVPTTESLQRRSPNYMKHISSSDAKKELVPVSLQNNQFGSDAKNLPQKCLSNSKSSSLSSRKTAKTMSRSSSVNLVRILTKASNLKPSTTCMQKSTTAALRADINAPCRATCSSNLKDAKFPAHLLLNPGTSIMKICPSTYCSLNGNQHAPLPQFNCIMSAKNDLLKTRKSIKMEVPRRLKVPCEIRKDFDIEQIVFDEVDAMEREKAYEMGRSDTVKHLEDPEGVKFAMEGNGNIADEKCVYHVTPCLPCGDLTKSEMNPKVEFKNYFDISEIEADTEESIHQEPKAIDTDKNHQPNWFHEEICTGSYCGDVSSDGEQMENNELGDSDSQGFEEQFCPVNHKKDIGSSVITWETDSKYKSLSEGPHRNIFETSLDDILSNHYVDIMVEKSLQGNKEKNTSFDAQPRVQESTNRSIQTDYLSNDTDHEYDQSYLEEEKFQCLTNTEDNDRENEKHVENEAGCASMILNGDTIDNSDGHGICETCKIEESREDSNTNLENKDTEIHQRNQIPSTDVPEEITIIFPDQLLLEEDQFAATMFQTKSCIGGEERNTSNKWQWPTMNKRPMQDVEEMRKINPRKPNFLPLVSDREPEKVELKHQMMDDRKNAEEWMLDFSLRKAVTKLAPARKKKVALLVEAFETVMSTSKCETHRRNNLCFAHARTVQACI >RHN77152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4471708:4474469:1 gene:gene577 transcript:rna577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-BEL family MENDVYTAQMNMEDITQHLTQKPLIHYYSFDLNNQNNIINGIPILSGGEQDQHVDGSFMNQVTIADNSFVTSQQGRTIVENPSNLIVNNNDFSVPARIGLQENLEAIVPYIFNNWHDTSNSNPLCATFGDNNSYVNKFLKAQESNVGTEFMSYSPIGNIDPNGWQSSNVANLTNLAYSSSNCSNELSLSLATSPTSVQCSEISCSDLTHSMNGTRSGLEQASCSSMELSMSLGNDKHVKFSPAILGSRYLAVIQEILVQIATFSFENLDEINYSGSGVRGRGNKSTSSNTTKRRIGINRDESPMSEAYADSSLQRHAVESKQSQLLMLLQMVDSQYSQCLDEIHTVVSAFHAATELDPQIHAHFAVKTVSRLYKDLRERISKHILSMGSNFNSSWSEEDKELSVETSFIQKQWALQQLKRKDQLWRPQRGLPERSVSVLRDWMFQNFLHPYPKDAEKHLLAIKSGLTRSQVSNWFINARVRLWKPLIEEMYAEMNRRKACRNEGENESSERSRISINNQMFDMN >RHN62317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42586637:42589466:1 gene:gene24879 transcript:rna24879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MSVTSSSLFVFTFSLFVFFILNETSSCLAMLSSKTTRIPRQLRVDYYAKSCPQVEQLVGSVTSQQFKQSPVSGPATIRLLFHDCFVEGCDASILIASKPGSKELAEKDAEDNKDLRMEGFETIRKAKEVVEKKCPTVVSCADILAIAARDFVHLAGGPYYQVKKGRWDGKISMASRVGSNIPRANSTVDELIKIFNSKGLTIQDMVALSGAHTIGFAHCKNFLTRLYNYRGKGQPDPDMNPKLLKALRMYCPNFGGNTDIVAPFDATTPFIFDHAYYGNLQNKMGLLASDQALALDPRTKSLVQDFAKDKQKFFQAFASAMDKMSLVKVVRGKKHGERRRDCSMHM >RHN59220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8540968:8545606:-1 gene:gene21220 transcript:rna21220 gene_biotype:protein_coding transcript_biotype:protein_coding MYEGVSQAVRVLGQFPFACPPEGDYQGSVSALERGYVCATKVPYPELQVSKKFQMRE >RHN62768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45716103:45716566:-1 gene:gene25375 transcript:rna25375 gene_biotype:protein_coding transcript_biotype:protein_coding MDKCMCGSDTCYFHEPAGAVIARLARSQPTSPEPHRNTVNPNTNTINDWSPLDDGIEVVLPNGSDRVPSREEVLDAYIFRILNKPNTKRRLPVFAEFCKEH >RHN42162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35973575:35979863:-1 gene:gene48567 transcript:rna48567 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNAFLGCASFSWPHIPPLDVMAWLKLCGLVGLLIVQGTVMASAISLVEELLFRSWNHNFRAGIFFLAEIVALIRVYHCSTAGVQSVRVLRCFEWAKD >RHN71709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2077468:2083832:-1 gene:gene7337 transcript:rna7337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylmalonate-semialdehyde dehydrogenase (CoA acylating) MATSHFSTQSNPLKVPNLIGGKFIESKSLTFIDVINPATQEVLSQVPLTTNEEFKAAVAAAKKAFPSWRNTPITKRQRVMLKFQELIRRDMDKLALNVTTEQGKTLKDAQGDVFRGLEVVEHACGMATLQMGEYVSNVSHGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFILKPSEKDPGASMLLSQLAMEAGLPEGVLNIVHGAHDTVNAICDHDDIKAISFVGSNVAGMHIYARAAAKGKRVQSNMGAKNHAVVMPDASVDATINALIAAGFGAAGQRCMALSTVVFVGGSKPWESILVERAKALKVNAGTEADADLGPVISIQAKERIHRLIQSGFESGARLLLDGRSIVVPGYESGNFIGPTILADVRSDMECYKEEIFGPVLLLTEADSLEEAISFVNENKYGNGATIFTRSGVAARKFQTEIEAGQVGINVPIPVPLPFFSFTGNKASFAGDLNFYGKAGVNFYTQIKTITQNWKDSDSDDKINMAMPTSQKS >RHN62603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44616646:44618300:-1 gene:gene25196 transcript:rna25196 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKTYNELSRSSDTTNLCGNPLSFYNVIDKSLTGEGLENEVCTLIKIACECVHPFPDQRSTMLEVYNNMSNDRKPPNGYGDDSDTLRGFEYAAIGFVMS >RHN68405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35211509:35211882:1 gene:gene16752 transcript:rna16752 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLGGKEVFSLRGDSKLNSGNDEDDLTDDREDLDEAGNSCQYASDECLKSESISMCLATPSMILNFNNSLVWKCCKIWLLHWLLFQSFMVAEVKEI >RHN74707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36039698:36040395:-1 gene:gene10815 transcript:rna10815 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVCETLAYDVEMKGDLLMSLMEELPCDESNDERLDSLIRSFEAEISESKMGGHDNSTCIGSQLKSNFEEYYNESWNIGQVVEEGYHDFGVEWVDMDMMTSFQFDDGSNWGDEKDVMIDHFMVGDDGFDMEEHAYNSFWQDSYEIGLVH >RHN80200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36066825:36069345:-1 gene:gene4098 transcript:rna4098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trans-2,3-dihydro-3-hydroxyanthranilate isomerase MPMSEKPVKYYVVDAFTELAFKGNPAAVCLLDQEKDDEWLQVLAAEFNINVTCYLIPVKGTTNPRFRLRWFTPIVEVNICAHATLAASHALFASGLVDNNVTIEFVTLSGMLTAKKISAINGTSDKKNLQNGKAKDVSYIELDFPADPITEFKFDDTWLISQALDGASIIDIKRTQIQDDLLVVVTSGKNVIEVQPQFDAIVKSPGRGIIVTGIAPPESGFDFYSRFFCPKFGVNEDPVCGSAHCGLASYWSKKLGKCDLKAYQASARGGILNIHIDEQKQRVFLRGKAVTVMEGCVLV >RHN82287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52584821:52594408:1 gene:gene6438 transcript:rna6438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cwf19-like protein domain-2 MLSGVKFIPRDQVQDEDLDRDSVSKERKKSDSRRKKDKRKGKSSRNISSDEDDHLDKIKKGSRKKKWYSSDENSSFYTTESESDRDDKKRRRKGKKKRDGLSRSGKKEYTSEEDSSSSDGSDGSLGRQGKREKSRTKDGSRDNKIKGEVNDVARKEMGLDWMLRSESKRSAVSETKEILPEDVPVEESKKANPKELNPYLKDDGSGYPEERDGVNNGASKLLSSSLVGDGGASWRLKALKRAQEQAAREGRSCQEVVGERWGSLGELTASVASKAAAPARAHLHAIRSRQRGVTEENSPDSDKPIQRDSKRDYIKNTPRHREMKAPKVQDSLSWRKRKSQFAVAEGAEIIAAAASSLNKFANDGSFMRELVSKESGNSDGSVLESFEAEKVSPDVDIRGERKAAIQNNMSANQLAAKAMQLRLKGKNEEADRLMEEARVLNTKQGNEDHEIRSRTEGSSSSRRYAMQRISSEQKKGEDDADMHLARNIMQNKQFKVYTQADDEYDFEVGPSKKSRKKQGGEDPKNIQKKMNANRFLTQKERCLFCLENPNRPMHLVVSIANFTYLMLPQWQPVVPGHCCILPIHHESATRTVDDNVWGEIRNFKKCLIMMFAKQDKEVVFLETVMGLAQQRRHCMVECIPVPKDIAKEAPLYFKKAIDEAEDEWSQHNAKRLIDTSQKGLRNSIPKDFPYFHVEFGLNKGFVHVIDDEKEFKSSLGLNVIRGMLHLAEEDMYRRQRYEKVEVQKQAVASFSKDWDHFDWTKQLNETS >RHN60150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21797365:21800838:1 gene:gene22388 transcript:rna22388 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLSYNIISSLSVSLLRTGLPLTFITRAYSSSSLNPFSIFFSHTHTFSLSLLTAKYFAGYFKTAAEQISLTLSQRDRTQRHKVDV >RHN58094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43715635:43716683:1 gene:gene33702 transcript:rna33702 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSILGFITLFLLTLCITSSIHRIRQTSDYNFPNTRVDAYEKTLNVLGSRKMLVGVDRFSLITRQHGSTGGLRVLHNCYIDSVGSEDKSQIGEVKMQLQIDCKTTKTLSDHKSINRSKVLDGEHPFALRMRQHGYTGGLKMLHHCYIDSIGQENKSDELKMEAQIHCITEKTSLGMKATM >RHN80788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40878177:40881057:1 gene:gene4754 transcript:rna4754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain, hAT-like transposase, RNase-H MHCIRLDKVWLMLENKVEHYNFLNVLGMLVILILQSDCVTRWNSTFFLNVLGMLVILIQQSDCVTRWNSTFRMLQSAINYRRAFYSLSLRNSNFKCCPTSDEWRRAETMCDILKPFYNITNLICDSSYPPSNLYFGEIWKLECLIRSYLTNEDLLIQNMAGSMKETFDKYWINYGVVFAFGAILDPTKKFNFLKFAYQKLDPLTGEEKLKMVRMTLENLFAEYVKNGIPSNPSSSQVQPKSYE >RHN76616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51689404:51692169:1 gene:gene12967 transcript:rna12967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MCGGAIISDFISTAAVVGGSRRVTADMLWPNLRKSSSRKPFFLDNDFEAEFREFKDDSDLDDDDEGLLVGAKCFTFGSTNNKSSNSFVRGSSAAKVVASKSNEQGEKELKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPEEAPNASSKRLKTNSETQLLDKNLNSFKCENIENYYSPVNQVEQRPLVNAQCANVGPFAGNGVQLASSDVTAYFSSEYSSNSFDYPDLCWGEQGPKTPEISSVFSAPIEGESQKNLQSDNSQDMLPMQNDSAKTHSEELADIESQLKFFENSFDDNWSDASLAALLSEDTTQDCGNTVDLWSFDDLPSIAGGVF >RHN65020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63699547:63700748:1 gene:gene27911 transcript:rna27911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminocyclopropanecarboxylate oxidase MEKFPVVDMKNLNTEEKASTMEIIKDACENWGFFELVNHGISIEFMDKVEKLTKENYKKRMEQRFKEMVASKGLEFAQSEINDLDWESTFFLRHLPNFNISEIPDLDHDYRKIMKEFAVELENLAELLLDFLCENLGLEKGYLKKVFHGSKGPNFGNSAHYPNIIAHFQSKSRKCPYAC >RHN72074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4788557:4788871:1 gene:gene7746 transcript:rna7746 gene_biotype:protein_coding transcript_biotype:protein_coding MILATEIIITIVVVAASTLRLRGVCNLVKNLCLQDKKTILLSTTSRTKNYYLLLSIVFKFKCTFSLTILSNFYFGPCTLNQWFSSPILKISSIFSIYAFKVGFF >RHN75628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43883580:43884322:1 gene:gene11861 transcript:rna11861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVRTPCDNNGLRKGSWTHEEDKKLIAYVTRYGCWNWRQLPRFAGLERCGKSCRLRWMNYLRPDVKRGNFNQQEEELIIKLHEKLGNRYQLINTIMAKSYLNCCKKAVQAMNYL >RHN70547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52211483:52213128:1 gene:gene19137 transcript:rna19137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MASKLCDSCKSATATLYCRPDSAFLCGACDSKVHAANKLASRHPRVTLCEVCEQAPAHVTCKADAASLCITCDRDIHTANPLAARHERVPVTPFFESNTSHSVKSLNNNNNNYDAVKDEAEAASWLISDPKADLNSSPYLFSDSEAIPFMDLDYGVIEHKNDGVVPVHGNFDPFVSAYKNNNVHLHTELETPSQSQISQSVSSSSMDVGVVPDANTVPEISNCGYGTVAVDREARVMRYREKRKNRRFEKTIRYASRKAYAETRPRIKGRFAKRTDAVDSISGYGVVPTC >RHN77378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6448286:6449799:1 gene:gene825 transcript:rna825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MLSSMYHNLKWKFIILLQALPRTFSDNLKKKLPENVTLKGPSGVEWNVGLQTRDNTVYFVDGWHQFARDHSLKENDFAVFKYNGESLFNVLIFQGESFCEKAASYFVGLREKETNKSVEEVNTVLNAGVESASPEQFTANAVTIVLPSQSTGKRTKQPVNEVTPVQTKKRGRPPKKANCSLEREVHDYDLVACNKEHSEASISGKEDEKKIAHLFTSSFPYFVMIMKSCNISGSRTLRIPCHFSATHLPDCKTEVTLRNARGECWNVNSIPVGATHTFCGGWMAFVRDNGINLGDTIIFELVSNFVMKVYISGVGKEGLDYQNYKRAQKCACL >RHN72463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7884355:7884886:1 gene:gene8176 transcript:rna8176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, trichome birefringence-like family MVIEVVGFFLVLAFTTCCFFYLEFREVDGRFGIPGQFERLTLSTEHGHSRVEFLGEKGGDCDLFEGNWVWDESYPLYASKDCNFPENGRPDLFYTKWRWQPNDCNLPRY >RHN81659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47868773:47874915:1 gene:gene5731 transcript:rna5731 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAVEGGGFFSASASGYSKGLSLLLLGQRNEDKPMRVAPWNHYQLVVQESDPQLQLAPTKNRLSRGCASFVCFGRTSAGPDTPSPLKVGPTQQHDVSPRLPVSNDGKDPSHIDDNNDGIKVTLKSSIRRPHSNKSVSVEATNEQEASGGHVVFTPGDQAERRRVQWTDACGSELVEIREFEPSEVDGSDDEYDNGNERTCSCAIM >RHN74756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36443245:36446991:1 gene:gene10868 transcript:rna10868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-oxo-beta-amyrin 30-oxidase MATITSIILFTLLTLFFILAWRILNWLWLKPKKLEKFLREQGLKGNSYRLLVGDMNDLLKMRKEATSKPMSLSDDIAPRVFTFVQQSVAKHGKNSFIWFGPTPRVNLTDPELIRDVFNKMYDFQKPIANPLGKLLANGLISHEGEQWSKHRKIINPAFHLEKLKESFPLLDIESNCIMLPIYLKSCDDLISKWEKMLASDGSCEMDVWPFLQNLASDVISRAAFGSSYEEGKRIFQLQTEQAKLTMSVMTKVYIPGWRFLPTTTHRRMKEIDRDVKASLTDMINKRERALKAGEATKDDLLGILLESNHKEMEEHGNNKNVGMSLDDVIEECKLFYFAGQETTSVLLVWTMVLLSRYPDWQERAREEVLQVFGNNKPDFDGLSHLKIVTMILYEVLRLYPPAIALARSVHKDVILGNLALPAGVQVFLSIILVHHDIELWGDDAKVFNPERFSEGVLKATNGRNSFFPFGGGPRICIGQNFSMLEAKMAIAMILQRFDFELSPSYAHAPATVITLQPQYGAHIILRKVEL >RHN40397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15931613:15932449:-1 gene:gene46545 transcript:rna46545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MLRNTTTIDFHDHIHREDTLNGDLPTIPFTVIQHATNYFSESSKLGEGGFGPVYKGTLADGTEIAVKRLAETSDQGAEEFKNEVIFIAKLKHRNLVKLLGCCIEESEKILVYDYKPNSSLDFHLFNEE >RHN68724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38040349:38040923:-1 gene:gene17110 transcript:rna17110 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSNDYHTHISEVRREPSDYSRYPNGEMAFNKRVVYEEDAIEGSRHGRHHHNPEIVERVEVVEYERVPEVRPYGGQVIYEEDVDVETNNQYYPRRTRSNGHSWNTFRP >RHN81486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46315001:46315726:-1 gene:gene5535 transcript:rna5535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain-containing protein MACLKLGSKSEIFYLYGQSWLCSTGLPSDVIIEIGDASFHLHKVYMFHYSNEKVNAYFD >RHN74129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28839042:28842546:1 gene:gene10123 transcript:rna10123 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLGLRLLCNKDSLHSEKNPSHGVRGVDVPLCLEGNHDKLSCILFSSLHFYFSAFIPSYLVSLKIYQ >RHN82404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53463946:53465134:1 gene:gene6567 transcript:rna6567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MQQILNINTLSVALLVSHFHNSFFITTHKPFNSIQIQTTSMDNNHHDHYNTKTTNPTSQTHLKLFGFSFHEDETMHDIQDSTTTTTKTTSSSTSTSPSRSPDSSGINETFPPSSGERKYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQANRNAAVSFVRNPIISAFAPPQHLLAPPGSMMVPASPSSWVYVPPRSAPPPFHVSVSHGCVFPSGNGNTMMSSSSSNNNSNMVKPVGAGMFPCVGVVGDSTSTLSTMQVQARAHHGPCLSRFSKGDSGPNFDDALGLDLHLSLAPAANRSRGSAQLGYSRCSP >RHN71554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:994612:1000383:-1 gene:gene7166 transcript:rna7166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MQRRRPIISTSESKVDKISSLPDEILFRILSFVSTKEAVATSVLSKRWTNLWHYLPNIDFTDIRVNTVESNLRFNEFVYSVLVSRDASGSRFIDSFHLNIQYSDSHLAYNKEFPNLTKWVNSVVQRGLKYLHLRLRVPLPDHFSGYPYFPKLPISIFTCKTLVSLNLSWFRVDGFSFTSVGFEFPSLKTLSLRLIKFSEVRDFMLLLAGCPILEDLHVALVFFYYEEDSHTVQEFKSLTLPKLTKADVSKCWCSCYMVKALSSSVSLCMETSMFYAKDDRVYKANKLQRPCVDIPMFHNLTHLELYNNWDLVVQVLHHCPKLQNLQLYQELYLSISNQQDDQENWVEPEFVPQCLSSYLRTCTIRDCSGLRREYTVAKYILKNAKYLQTMTIWSKREPPEIETKLSPCPKASASCQLSVFDYI >RHN81246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44328467:44330377:1 gene:gene5259 transcript:rna5259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TGA like domain-containing protein MCLRLPFYSKKIMSSTSNKLSQSNRLLAEKPVTEANNIQKVLKINGNTISLKNYVLSSEELNKLISEIHNALNDHNHVIDDDDKLRLVINTIMKHCFELLERKTRSANVDSATCERNLWWIGGFRPSQLLQVILPQLKHMCTQQQLYDIYNLGQSCQQAEYALAQGMIELQQIIDKATSAGDKEYQQMYVPQHLSFFKEADNLRRQFLHQFSRLFTISQQAELIVTLKEQLHNPQPRSSL >RHN43811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48669547:48672830:-1 gene:gene50440 transcript:rna50440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, kelch-type beta propeller MAMARDKKEDEEEVHNQNQKVGKRLKCMNMMKNEQEENQVVDEEESELPLKPELFFYPTTPTSFVVSDALESDFPIIYVNKVFEISTGYRAHEALGRNCRFLQYRDPRAQRRHPLVDPVVVSEIRRCLEEGIEFQGELLNFRKDGTPLVNRLRLTPIHDDDGVVTHIIGIQIFSEANIDLNRVSYPVFRETCIQDFDKNAKYSPKSGKLLYTPQKREEMCGILQLSDEVLAHNILSRLTPRDVASIGSVCRRIRQLTKNEHVRKMVCQNAWGKEVTGTLELMTKKLGWGRLTRELTTLEAVCWKKVTVGGGVEPSRCNFSACAAGNRLVLFGGEGVDMQPMDDTFVLNLDAKNPEWQRVSVISSPPGRWGHTLSCLNSSWLVVFGGCGRQGLLNDVFVLDLDAQQPTWKEVFGEAPPLPRSWHSSCTIEGSKLVVSGGCTDAGVLLSDTYLLDLTIDNPTWREIPTSWTPPSRLGHSLSVYGRTKILMFGGLAKSGHLRLRSGEAYTIDLEAEQPQWRQLECSAFTGLSNQNAVVPPPRLDHVAVSMPCGRVIIFGGSIAGLHSPSQLFLLDPAEEKPTWRILNVPGEPPKFAWGHSTCVVGGTRVLVLGGHTGEEWVLNELHELCLASRQDSDM >RHN56104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27836630:27840739:1 gene:gene31443 transcript:rna31443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MKSETLTLVLVNLAGIMEKADESLLPGVYKEVGAALHTDPTGLGSLTLFRSIVQSSCYPIAAYLATRHNRAHVIALGAFLWAAATFLVAFSSTFFQVAVSRGLNGIGLALVTPAIQSLVADSTDDNNRGMAFGWLQLTGNLGSVVGGLFSVLIAPITVFGIAGWRISFHVVGLISIIVGTLVFIFAKDPHFEDKGTLAKDSNQVPKETFWSGVRDLLQEAKSVSRISSFQIIVAQGVTGSFPWSALSFAPMWLELTGFSHAKTAFLVALFVVASSVGGLFGGKMGDILSRHLPNSGRIILAQISSGSAIPLAAILLLGLPDDPSTALSHGLMLVILGLFISWNGPATNNPIFAEIVPERSRTSVYALDRSFESILSSFAPPAVGILSQHVYGYKPIPKGSSASQEILTDRENASSLAKSLYTAIGIPMALCCLIYSFLYKTYPRDRERARMEALIESEMQHIESDGLVVDKSEELSIGDYDGDGGDLDDEENILLYRQLTFSNLAD >RHN49069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52221747:52224613:1 gene:gene43857 transcript:rna43857 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:METK MAETFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRTIGFISDDVGLDADKCKVLVNIEQQSPDIAQGVHGHFTKRPEEVGAGDQGHMFGYATDETPELMPLTHVLATKLGSRLTEVRKNGTCAWLRPDGKTQVTIEYYNENGAMVPVRVHTVLISTQHDETVSNDQIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYVVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDREILQIVKENFDFRPGMITINLDLKRGGNSRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQA >RHN81417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45745118:45746071:1 gene:gene5456 transcript:rna5456 gene_biotype:protein_coding transcript_biotype:protein_coding MALKIYQVVHILLWLSLLFLLFHQYYNLKYSKIYKKQIIQASHHSSPSHHSRKVLARKFHFSPFFKHLHPQGFAVQKDPSDTAIDPRYGVEKRRVPTGPNPLHH >RHN52816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39124067:39125083:1 gene:gene37531 transcript:rna37531 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKRKKKRATKEDEEEDCERRMKQKLKSKGSKEKEEENCSETPTEEEVEEFYAILKRMKVVVKYFDDKGKGGREWRETLEKPAELAVVSNVDDIVVVDRGRENIKKEKIGGEVVIIRQAFDLNDVAPEAAESGGA >RHN73090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13369420:13375957:1 gene:gene8870 transcript:rna8870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MGCFKNLITFMLLISILVTLSEGRVPFACDPKNGLTRSYKFCNTRVPIHARVQDLIGRLALPEKIRLVVNNAIAVPRLGIQGYEWWSEALHGVSNVGPGTKFGGAFSAATSFPQVITTAASFNQSLWLEIGRIVSDEARAMYNGGAAGLTFWSPNVNIFRDPRWGRGQETPGEDPTVAGKYAASYVQGLQGNGAGNRLKVAACCKHYTAYDLDNWNGVDRFHFNAKVSKQDLADTYDVPFKACVRDGKVASVMCSYNQVNGKPTCADPELLRNTIRGEWGLNGYIVSDCDSVGVLYDNQHYTRTPEQAAAAAIKAGLDLDCGPFLALHTDGAIKQGLISENDLNLALANLITVQMRLGMFDGDAQPYGNLGTRDVCLPSHNDVALEAARQGIVLLQNKGNALPLSPTRYRTVGVIGPNSDVTVTMIGNYAGIACGYTTPLQGIARYVKTIHQAGCKDVGCGGNQLFGLSEQVARQADATVLVMGLDQSIEAEFRDRTGLLLPGHQQELVSRVARAARGPVILVLMSGGPIDVTFAKNDPKISAILWVGYPGQSGGTAIADVIFGRTNPSGRLPNTWYPQDYVRKVPMTNMDMRANPATGYPGRTYRFYKGPVVFPFGHGLSYSRFTHSLALAPKQVSVQFTTPLTQAFTNSSNKAMKVSHANCDELEVGFHVDVKNEGSMDGAHTLLVYSKAPNGVKQLVNFHKTYVPAGSKTRVKVGVHVCNHLSAVDEFGVRRIPMGEHELQIGDLKHSILVQTLDQIKH >RHN42938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42047042:42050399:1 gene:gene49446 transcript:rna49446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative copper chaperone SCO1/SenC, thioredoxin-like protein MSVSRFLHFSSKYRSTSTREALTLLLTRRVPSNRTQAVRYSKSTKHDLHPVSPPQSESSRSRGGVYAVPAVLLGFAGIAAFFHYNDERRAVPKGDQGDSRNRNIVCGPIIGGPFTLVNKEKQTVTERNFLGNWVLLYFGYTSSPDIGPEQVQLMAKATDILESKQNLKILPVFVTIDPQRDTPSQLRAYLEEFNSRIIGLTGPVAAIRQMAQQYRVYFKKVEEDGGDYLVDSSHNMYLLSPDMEVVRCFGVEYNAEQLSEAIWKELNKKPS >RHN41178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27878644:27879405:-1 gene:gene47469 transcript:rna47469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MYHPISPLPSSLSHTHYKKIKMVNLLSRLLLFLLSLHCFVACLAANTKNITTDQSALLAFKSLITSDPYDMLANNWSTSSSVCSWVGVTCDERHERVHSLILQNMSLKGTVSPNLGNLSFLVILDLKNNSFGGQFPTEVCLLRRLKVLHINYNKFEGGIPAALGDLSQLQYLYLGANNFSGFIPQSIGNLYQLKKLDTAQNRLSGPIPQSISNLSSLEYIDLSSNYFSGNPNTDIMCHICYIFLVVTLFLMLE >RHN50199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5063331:5063762:-1 gene:gene34462 transcript:rna34462 gene_biotype:protein_coding transcript_biotype:protein_coding MMCIVYTSTPDNITSSGLKNVLVKNYTKATIQLYKSEALVSFEDEEALRVVSSIEPGNKVEVVVVFENSFIVKKTAVYLVYDEPSVKRFSTEEEPTDDFNQNRKKKNRVE >RHN82635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55304348:55305354:1 gene:gene6810 transcript:rna6810 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVVCLYALSGLFAGAIFSSCIFETPRNYKAENDCNLFDNIEKSFSTMPQTILNGFLILAIKFLHYLFSRCYKSATPYTMIVPFWVAFALSVTYMSELSLS >RHN81347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45052484:45056927:1 gene:gene5369 transcript:rna5369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dTMP kinase MIYGTCITALKSLSFRALVFPNSLNFQVKLSSKCSPRRIRMEAGNLSCSIEGNKKESRGALIVLEGLDRSGKSSQCSRLVSYLEGEGLSAELWRFPDRTTNVGQMISAYLTNASQLDDHTIHLLFSANRWEKRSLMETKLKSGTTLIVDRYSYSGVAFSSAKGLDIDWCKAPENGLLAPDLVAYLDISPDKAAERGGYGDERYEKLEFQQKVAEHYKVLHDASWKIVDACQPIQDVEKQLQEIALACVKQCQQGKPLSSLWST >RHN54399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9258217:9264530:-1 gene:gene29428 transcript:rna29428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MAEAKEIKSPVSCPPSQLQYSPSLTRSPLLHSENEDTPNPKNKTPRTPRMSFTPPRFITPLGTPVRNALRLIKLDPQDAWLPITESRNGNKYYAAFHTLCSGIGIQALVLPVAFTILGWTWGIISLTIAFIWQLYTLWLLVHLHESKDGVRYSRYLQLCFVTFGEKLGKLLALFPILYLSAGTCTTLIIIGGSTARTFYEVVCGESCNSKPMTTVEWYLVFTCVAVVLSQLPNLNSIAGISLIGAVTAVGYCTSIWMASVAQGTLPGVNYNPVRGGNSVEKILGVFNAFGIIAFAFRGHNLILEIQATMPSSEKHPSHVPMWKGVKIAYTLIAACLFPVAIGGYWAYGQLIPENGGMLTALYKFHSHDISRFVLGLTSFFVVVNCLCSFQIYGMPIFDDMESKYTTKMNKPCPWWLRSSIRIFSGFLSFFIGVATPFLASLAGLIGGVALPVTLAYPCFMWLEIKKPKKYSVMWCLNWFLGTFGIGLSGILIAASIYVIVRTGVKVSFFDPK >RHN59083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7349972:7352005:1 gene:gene21070 transcript:rna21070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MHHYVKNRIFSSFSCFQTLKIVPFSIQQMGMSSIADTLYTHLNKTNGITIENALSKTKPKLDSQCVIQVLNKCFPKQSQLGVRFFIWAGFQSGYRHSGYMYRKVCNLFEIDKNPEIICDVIKAYEVDGCVVNVNMFREVLKLCKEAENVDLGLWVLRKMEDFEMKPDTVMYNVVIKLVCKQGDVEMGEKLMKDMSLNGICPDLITYMTMIEGLCSAGRLEEAYEMVKVMRGNGCSPNSVVLSAVLDGLCRLDSMERALELLDEMEKSGDCCPNVVTYTSLIQSFCKRGEWTEALNILDRMRAFGCFANHVTVFTLIESLCTEGRVDEAYKVVDKLVVEHCVSRGDCYNSLVISFIRVKKLEGAENLFKEMLAAEIKPDTLASSLLLKELCLKDRVLDGFYLLDTIENMGFLSSIDSDIYSIMLIGLWQKNHLTEATKLAKIMLKKAIPLRPPYKDRAIDILRKYGEKRSCEAVNRHT >RHN59712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12696087:12696293:1 gene:gene21812 transcript:rna21812 gene_biotype:protein_coding transcript_biotype:protein_coding MKNITDSFLCFIYWPSAGSFGFDTDILATNLINLSVVLGVLIFFGKGVCASCLFQRIDWIQPTALFSL >RHN77773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9328340:9329794:1 gene:gene1261 transcript:rna1261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MYEDIEVFLQGSTLIPIRYSYKEIKKMTRNFRDKLGEGGFGAVFKGKLRSGPFVAIKMLVKSKGNGHDFISEVATIGRIHHTNVARLIGFCVEGSKRALVYEFMSNGSLDKYISSREDTISLSYQKMYEISLGVAHGIAYLHQGCEMQILHFDIKPHNILLDENFNPKVSDFGLAQLYPNEISIVTLTAARGTIGYMAPELFYQNIGGVSYKADVYSFGMLLIEMASKKRNLNPHADRSSQIFFPIWIYDQLIEEKEIEMDEITYEENNNVKKMFIIALWCIQLKPCDRPSMNKVIEMLEGDIENVEMPPKPLLYPNEMIHGDIEVNSHETDAGSPSFIDEDTTEPLLMNSS >RHN62242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41962549:41967031:-1 gene:gene24796 transcript:rna24796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MHLIHQSNCGNKHYLLCIHEAAKRKLRSIQTMTTTTNFVHVFTFLVITTSMFTVTMANKDWPSFGNFNYTDWWSRFGNHHHQINKTEQQPKNIIVGGSQNWHFGYNYSDWAIKNGPFYLNDTLVFKYDAPNATSFPHSVYMFPTWQSFMKCDVKKAKMVANHTQGVGEGFKFVLNKWKPYYFSCGEKNGLHCNVGQMKFTVMPMLRPFWQSWP >RHN53495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2068584:2070649:-1 gene:gene28405 transcript:rna28405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MASANVQTEASQSNIAPSMQPLPPPKTVDTQSVLKRLQSELMALMMSGDSGISAFPEEDNILCWKGTIAGSKDTVFEGTEYRLSLSFPNEYPFKAPKVKFDTTCFHPNVDLHGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNISSPLNPQAAQLWSNQEEYRKMVEKLYKDPAA >RHN80030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34499655:34503135:-1 gene:gene3905 transcript:rna3905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S24/S26A/S26B/S26C, peptidase S24/S26, beta-ribbon domain-containing protein MVSFTTWCRYLQSKAQYTIDLGYKNHKGGHVKDNELMDFIFKHFTNGKMTFLHWTKGEEMAPTIDAKGATLLVRRLPIPDQKRVYVGDVVVLKNPEKPDDYLVRRLAATEGYEMASTDEKDESFVLEKDQCWVVAENEKLKAKEAIDSRTFGPIHMTNIVGRVLYCLRNAVDHGRVQNSPFSMQKDSPVLEMELDVDEMVKSHKA >RHN68149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33194841:33195224:1 gene:gene16455 transcript:rna16455 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVLSVNCDRQTSTPASIDIPRKETEHHVQQMVIPIRKAPLFGPPLPKEGTSNVYSTKVLLNNIIKNLCQQTQVINDQNRRIREMEESRSREMRGRSPTSMTTIRSPTPHSNIRRMSPTLDRSISP >RHN45946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27113448:27114008:-1 gene:gene40376 transcript:rna40376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MRISKKSGAYPLLILTSYIGMGDIATKEIFNWVSNEPRIVNAAATLCRLMDEIVSNEKRGQVCSLLDCYMKQFNMSRDADIQECRNRMTSVWKDINEECLRPTKVPMPFMTCVLNLSRFMDVVYKNEDNYTDSNRLMKTCIKEVLVDPVPI >RHN76173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48230983:48239145:-1 gene:gene12459 transcript:rna12459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP-dependent synthetase/ligase, amine oxidase, catalase core domain-containing protein MDPERSIEEQFSKLHPSLPLNTRIGIVGAGPSGISAAYALSRLGYNNITVLEKHHAVGGMCESVEIEGKVYDLGGQVLAASSAPVIFHLAKETESALEELDSHKLAIIDTSSGKYQDIKVADDYVSVMSLTLKIQEKVKNSGRFGVHAVSEVAADLTPEFLEHHGLKSIPNSVAFGYTASGYGFIQDMPYAYIHEFTRTSMAGKIRRFKGGYTSLWQKIAESLPIKLHCNTEVLAIRRNFDSVNVNVKSSNETETMEFDKIIVSGNFPLKYGRTYRSAPSNCIDSEAEVMDASDIEKELFSKVEINDYYTTAFKIRGLDHMPVGFYYFNEYMDDPSTIGNPVAMQKFYADTDIFLFWSYGNSFDIKGPTITELAIKAIKSIGGEVESFILQRRFKYFPHVSSQDMKNGFYEKLESELQGSRNTYYVGGLMAFELTERNSSYAMALMCKNFASSSDLPVFPYTKSLFPLQTEFQRKEPKQLAELPGVQFPNLPTLNGYLKHWGTHPVTEDRTLYSWINEQGTVIGKRTYREQHLNASCIASKLLKSQKPGDKVLLVYVPGLDFIDAFFGCLRAKVIPVPVIPPDPMQRSGQALLKIENIAKSCGIVAILSTVAYHSAVRAGLVKNFITLKNGKSSARWPSLPWLHTDTWVNNSRSYALENLYDDQRESQSGDICFLQFTSGSTGDAKGVMITHGGLIHNVKLMQSRYKSTSRTVLVSWLPQYHDMGLIGGLFTALVSGGTALLFSPMTFIKKPLLWIETMSKYQATHSAGPNFAFELVVRRLESSDKDKLQNLDLSSMIFLMVAAEPVRQKTLKRFLELTGPYGLSQKAMAPGYGLAENCVFVSCAFGEGNPIFVDWQGRVCCGYIHPGNADVDIRIVDPDGIEELQEDGKEGEIWISSPSAGIGYWGKEELSQSTFQNQLPNHPGRFYTRTGDLGRIIDGKLFITGRIKDLIIVAGRNIYSSDVEKTVESSSELLRPGCCAVIGVPEETLSAKGISLPDGSDQVGLVVIAELRDGKPVSKDVVDDIQTRVAEEHGVNVASVKLIKPRTISKTTSGKIRRFECLKQFADGTLNLVPQPVLTKKKLVRSFTTGTCKEGRTPRAQLANSTPITSPRIGNKEIMEFLKRLISEQAGIPVSKISVTDNMSTYGMDSISVVKATQKLSDFLGVTVAAIDVFSASCIQELVNFSENLLLKSQPHLLSNPSYAPEAETESTEFIVDVSKSHQWSIHLLQLLALVFISILVVSPAYLSITTFQIFIASFGKSAYGIPLSNYIFSLALAPLSWILCIASTCICISFFGNSFLRPNYALTPEMSIYSIAFVKWWALYKSQEISSKVLATHLKGTVFLNYWFEILGARIGSSVLIDTVDITDPSLVSIGDEAVISEGVLVQSHEVKNGILSLHPIRIGRNSSIGPYAVIQKGSIIKEGAEIQPLQKVEGGQHVLKTAKLNNVKENAVLLVTMTKTESDAIYHFLGIYLVAFVSSLAAAITYFMYTWFFQKPASIQSFSFVCICGAFHWIPFTITAYATMFSEVQSNPIAFAISFTCAYLLHGLILTSLTCSLTRLLKSQKQTHFKTWLQNQMILSCHLKFAKLLSGTEAFCVYLRLIGAKIGKHCSIRAINPVSNPELMLIGDGVHLGDFSRIITGFNYSDGYTCGKIEVQDNSVVGSQSLILPGSLVEKNVILGALSVAPMNSILHEGSVYIGSQTRVTMRNSGNASFDERIEEMDIDYKKIVANLAANLAVTTMNAKARYFHRIGVSGKGHLKIYNKLEGIPMHKIFHPGKSYPIIVRHSNSLSADDDARIDARGAALRIFSDEPATDSSDSPPPTLIDLTLKTGNAFYARTLADFASWLVCGLAAREELVKSAPHVREAVWNSLRHADSYAEMHYYSNYCRLMRFEDGQQMYVKFKLRPHDTSISEDKGKVNPTGILPPETGAIARDENDSRPLLFLANDFQNRVSSSNGVSYVFQIQVRPVPDDTQGREVALDCTKPWDENEFPFTDVGEINLNENIPMEDSQKLEFNPYLKSNELDTITATSSTQSASIDHGRSLIYEICQHVRNRQPLPEAWRNLVQQSNVKVDLSCCPIASSAPLPEKEPLLKKKATPALTLTRTWYQTFSALFIQPLLQTILPHMVIGLAAFVPLNMVVYFKDVKKLPLHWLLPFFWILSGFIAALSCVIAKRVLVGKRKLGETIPIWSKKIVFDSTWQAIRTLVGDYFMDITNGSFLSVIWMKMMGAEIEMDGVYVDSNGAMLNPEMVKIERGGCIGREALLFGHIYEGEEGGMVKYGEIKIGEDGFVGSRAVVMPGVEVECEASLASLSLAMKGEIIRSR >RHN72449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7758073:7758514:-1 gene:gene8161 transcript:rna8161 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRSEEDIRIPLEHEPNTARFFIPAKCIRELLVGNAWLDFSILQLWCTCMHRLCISRNRSKVFGILDPVCLDFNPTDPSTKSKVQGHIQTRLRDLNKVCYLAPYLFK >RHN75241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40540949:40541392:-1 gene:gene11414 transcript:rna11414 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLSSFSDDLHSPIMSPPSKKAGTEKICIDEMLEKYCGEFGKWQLKHFILTCLAWALEAFHTMVMIFADREPDWKCVEGMECSADGSVCDMASSSWEWIGGKDASTVTEWSLICGDKFKVGLVQAVFFTGCMIGSFILFSSLLQF >RHN78780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18286820:18287848:-1 gene:gene2429 transcript:rna2429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MGALVNMEALILRNNGLSGQLTSSLKKCSDKLALLDLGENKFHGPLPSWVGDNLHQLVILSLRFNNLSGSIPSNVCYLRKLRVLDLSLNNLSGGIPTCVMNFTAMTQDTVNSTSSKNHGYTISTATSFLEIYYDFTSFLTWKGVDQPYKDADVFLKSIDLSSNHLTGDIPAEIEYLFGLISLNLSRNNLSGEVISNIGNFKSLEFLDLSRNHLSGRIPSSLAHIDRLSMLDLSNNQLYGKIPIGTQLQTFNASSFEENFNLCGEPLDKICPGEDPANPRVPTTNAGDENSMFLETLYMSMGLGFFTGFVGLVGSILLLPSWRDTYSRFLNTLILKVFTWWKQ >RHN62283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42315198:42315677:-1 gene:gene24841 transcript:rna24841 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTKRHYYKKEIVFTIFIGLSGEIQFKLEQLLQNPTLRIVNVDGKSYRELDFWTLENGFFTNISTEQVKNGASRNSES >RHN57489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39493718:39496644:1 gene:gene33036 transcript:rna33036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MNIHPQNLLGSLLESAVSTHCSILGRTIHAHIIRTHVTPLPSFLSNHLVNMYSKLDLLNSAQHVLSLTHLRTVVTWTSLISGCVHNRRFLPALLHFTNMRRDNVQPNDFTFPCVFKASAFVQIPMTGKQIHGLALKGGMIYDVFVGCSCFDMYCKTGFRGDACNMFDEMPHRNLATWNAYISNAVQDRRSLDAIVAFKEFLCVHGEPNSITFCAFLNACVDMVRLNLGRQLHAFIVRCGYKEDVSVANGLIDFYGKCGDIVSAEMVFSRIGNRKNVVSWCSMLAALVQNHEEERACMVFLQARKEVEPTDFMISSVLSACAELGGLELGRSVHALAVKACVEDNIFVGSALVDMYGKCGSIENAEQVFSELPERNLVTWNAMIGGYAHQGDIDMALRLFEEMTLGSRGIRPSYVTLVSILSVCSRVGAVERGIQIFESMRLNYGIEPGAEHFACVVDLLGRSGLVDRAYEFIQNMAIQPTISVWGALLGACRMHGKTELGKIAAEKLFELDHVDSGNHVVLSNMLASAGRWEEATVVRKEMKDIGIKKNVGYSWIAVKNRIHVFQAKDSSHDRNSEIQAMLGKLRGGMKEAGYVPDTNLSLFDLEDEEKASEVWYHSEKIALAFGLIALPQGVPIRITKNLRICGDCHSAIKFISRIVGREIIVRDNHRFHRFKDGCCSCKDYW >RHN38403.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000027.1:7991:9106:-1 gene:gene50777 transcript:rna50777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MEVEVKLRLANAEAHRQVTALLSPFHVITHHQHNHFFDGAASELSSRRATLRFRFYNDDERCVVSLKAKGVLVNGVRRVEEDEEDLDPKIGRDCVDEPGKLLGFVDSRIMGRVKEEFGVVGKNGFVGLGDFRNVRNVYEWKGLKLEVDETGFDFGTLFEIECESSDPEEAKRILEEFLKENEIDYSYSVASKFSIFRSGKLP >RHN72753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10446142:10448846:-1 gene:gene8506 transcript:rna8506 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKLIIARSISYHEERNQRSMRKANGIPLLEDLIISTSDQYLALVCTTNHKSNKLHSKSLNSNTISKLAIEPESSEVIDEKVEPSTTLSEIQGGKEFENDHKNRSKSWHFPEHIVDSLSQENLSGIEDKDELRSKSDLGGRSFHTVEEYDDIVNRIWLNKSQIDQQSEFNNEDEDDVSVIKMDLQDKDSSIKKMQPVGLNKKNEERVPSHKTKMLEKGNKRKAIANRLESLRVPSNVESSAITSLKEWLPADGIYSPGSYVTPKFGSYSSMNIRNANESCEDSIFSPELVSAFEQCMQKLEAEEENILKQILENVEEEIDEGMQN >RHN52644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37344702:37345792:-1 gene:gene37338 transcript:rna37338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MLRLILTLFLISNIAVTEVSATTITLYNKCPHQIWPGLQPTAGKPIIAQGGFNLPSNNAYTLQLPPLWSGRIWARDNCSFDATGHGHCTTGDCGGILSCNGLGGTPPATLAEFTLGNDQDFYDVSLVDGYNLPLTITPLNGNGKCEFAGCVRDLNVMCPMSLQVRSSDMNQVVGCKSACYAFNSPEYCCTGSYGSPQACKPTSYSRIFKLACPKAYSYAFDDPTSIVTCSMANYLVTFCP >RHN55938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25790874:25797354:1 gene:gene31232 transcript:rna31232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLSLLLVSFYTESFLVLLSRCICNMQKVLQWIVIIIIIIINGNAATNDKRADRKLVTDSDSGVISIDCGVDESYTDNTNNFPYQADDIAVIRFGQTRNISSDYEHSNPGQINKQLKSLRSFPDGKRNCYNLKPKQGKNHKYSIRAYFEYGNYDNKNKVPIFDQHLGVNLWRHIQLIKVNSILRSEVIHISSTDTIEYCLVNTNQGVPFVSLLELWPLGDFNVYQPSLTLLTLDLKGRINLGGSKFDFIRYTDDIFGRSWLNRKIYDMNPKKTSLSINLDTLDNTYKLPIEVLNCAVEAVNLSSSLEFMFNHSKDEEYYVYLHFFDFLSNSNQKRIMNISINGPDGVTEPPLTLEYRKPTTIVMNIEKGNGIDNISIEATSDSDLPAMLNAFEIFRVIPETFLATQQADGKFLLL >RHN39792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10528704:10530908:-1 gene:gene45855 transcript:rna45855 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTYVSYCCGSCGYPLKLTSSNRITSNIASEYQKSVNKGSISFSSVDLSRFTQVDEINCFPVSWLSRSKTKLLCRKCGVHIGYGYSRESPALCGCEPSISPGSLTKFTVKIRSLQPSSDES >RHN39528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7908873:7909136:1 gene:gene45558 transcript:rna45558 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSFFRPHSPSLSFSQIMSAHTSSEPGRNPTRILSPYLTWTENSINLIVFRLMRREHWFLVS >RHN40886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23774489:23781826:-1 gene:gene47130 transcript:rna47130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative choline transporter MRGPLGAVIGSYTSSDGVTQMGGGIIKHNRKCRDITALVFFIAFWIAMIVNSSFGFNQGNPLRLTYGLDYKGNVCGDKHAHPGLGELEIRYWQNPNQVYQTGLKDSQFKLVNARSICLSECPIPADDSLKWVCDYPEGDIRLSMEDWIKSDYDYYQFLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARPSNTSLKHWEQMGGVSINEDIVIDKSIHKSINSRSAVLKRYMADIGKAWPVLIVCGGILPLFLSVIWLMMIRHFVAAMPWITVVLFNVLIIAVTMFFYIKAGWIGNDAISPIIGEHDPYINVFGRELTHLRAVTVLMTVVMAVSVLTSIAIVRRILMATSVAAKVIGEVQALIIFPIIPYAILAVFYMIWTSAALHLFSSGQIVQNDCNSNCCTYDLVAKRVNCDRCCGYSIHYTPHIGISILFHLFGCYWATQFFIASSSTVVAGSVASYYWAHGETSHEIPFLSVFSSMKRLMRYSLGSVALGSLIVSFVESIRFLLESLRRKLKVSSHMHSSWIGKAAHQSSQCFLRCIEWTIKSVNRNAYIMIAITGNSFFSASSIATDLIMNNILKIGRLNVIGDVILFLGKLCVSLSSSVFAFLMLDTHKYSSGHNKTSSPLFPVVVCWALGYTVATLFFAVVEMSIDTIVLSFCQDSEEHQGTAQYAPPLLMETLGNQNETNRLTQGSQ >RHN80961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42244618:42244956:1 gene:gene4947 transcript:rna4947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MPSSSDTNKRLKKGTLWSKEEDEILKAYVEKHGTGNWKEVSKNTGLAHCGNSCRFRWYNTLRPDLRKGPFSKEEEEKFFELFSKFGEFKWSKMALEVYFCSCFTSSFSFSFI >RHN51873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27430780:27433100:1 gene:gene36428 transcript:rna36428 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DREB1A MFTTNNSSYSHSISSEASSSYYNSLPESEIRLAASNPKKRAGRKIFKETRHPVYRGVRKRNLDKWVCEMREPNTKTRIWLGTFPTAEMAARAHDVAAMALRGRYACLNFADSVWRLPIPATSSIKDIQKAATKAAEAFRPDNTIMITNIETVVAVVATKELNMFCVEEEEEMLNMPEFWRNMALMSPTHSFEYHDQYEDFHFQDFQDDEVSLWNF >RHN60681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29362455:29364561:1 gene:gene23032 transcript:rna23032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MAKKKNKGGNGGNNAENNNNGENKEENNNNNEGNKEEENNNNNNGGDKNNNGGEKKEENNNKGKNNATVLKVYMHCEGCANKVTKHLNGIKGVDTVKAESETGKVTVTGNVDPTKLRDNLAEKIKKKVELISPIPKKDNKKENEPNKKSDEKKNEDKKESITTSVLKLVLHCQGCIDKIGKIVMKTKGVLEMKVDKEKDNVTVKGTMDVKKLVENLSQKLKRKVEVVQPKKDKEGGGGEKEGNEGGGGGKKKNKGGGDSNNNEGGGEVQIMEYMVQQPFGFGYGNVNVEGYNAAQVYQEMLNIHMQTQPPQMFSDENPNACIVM >RHN61282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34450221:34451754:1 gene:gene23726 transcript:rna23726 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLNVNKIPHFNTNYNENIEHCSSIHVTALKLFAISSKFTLVKILSFSEVLVSEFQGDASEKLELFQNGLP >RHN57870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42297664:42298221:1 gene:gene33460 transcript:rna33460 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMEFRVYEGEEDAYWWLLCIDKYFAAKNTPETEKLAEVVTVLRGCAHQWWFWGHHHHPHASWQSFVTAFLWRFKPEYRDVLPIPDEEEEHDIQSKSLTVQGSFDVQQGTNQGENVLIEMEEDKEKIQSENEGKRNEESITEPLKGCLKDTREISDIEGKMTILYEDVDEDISIIIDHSFIIMQ >RHN62858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46281807:46282610:1 gene:gene25483 transcript:rna25483 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLNASRKSPTKENTRPKEFKLHTQERAVKRAIFNYEVTTKLYLMELQKRQEEKLMKMIEEEEIRVLRKDMVPRAQLMPYFDKPFSPNRSSRTVPRESCIHMMSSKCWSCAFGNGFYNIDQCGHQTLNNSIG >RHN47322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38856813:38857454:-1 gene:gene41908 transcript:rna41908 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIFILVETTNEVKIMFGHNILVMSFVLKSCTRCHAMLGVVSNQVKIELTNVLSSKHVIVIGQYFHHIENMRPNSNQYFNFSTVDSRLARVEQLSAKVHVLAILIEVLSSFTSYIDLAKLGLFEEADELSMRSTFAESVYNDVVFGICLSYMINEAFNWVHMMVKKGAAPGLGMMTHVEFGLKFITSFWDVMIVSLVHFAKTRCTATNFGLI >RHN63685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53197398:53200828:1 gene:gene26418 transcript:rna26418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MGSLPLSDDRSEIVFFDVETTVPTRPGQGFAILEFGAILVCPRKLTELRNYSTLVRPSELSLITPLSERCNGINAEAVSNAPTFVDIAHSVYDLLHGRIWAGHNIIRFDCVRIRDAFAAIKQPPPEPKGLIDSLVLLTQKFGRRAGNMKMATLATYFGLGQQTHRSLDDVRMNLEVLKYCATVLFLESSLPDIFTENCWVSPNAVTRSRSNGKSRPEGGLLVSSPETETQDKNHPILSLATSSTEIAVSNAADTFSFRELQNEINRESIRTDVAMDDKSIQYSPGSAASSSVHQAGSSSIAVLEPDFLSISSIDASLVPSYYGSQRIELHHEGFPFQLHCSGLKVRFGINTKFVDSAGRPRLNFVVDPSPSLCNVLDACDNVARKLSSESGSSSDWRPVVIRKEGFFNYPTIRLHIPTAVCEEIAIYATEIYQKESSGSVQRLLFSKFDAAELDSLFKPGTFVDAAFSLDLYDYHQNAGIKLVAKKLTIHCN >RHN78410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14867850:14870584:-1 gene:gene1962 transcript:rna1962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MLLQFPLLILILITTLTTSISFSTPLSYFQHLNVENAISETKLKPLKQQNHNTQQPQWKTKLFHRDNINLKKTTHKTRFISRINRDIKRVTFLLNRLNKNTQEQQTTTATEASFGSDVVSGTEEGSGEYFVRIGIGSPAIYQYMVIDSGSDIVWIQCEPCDQCYNQTDPIFNPATSASFIGVACSSNVCNQLDDDVACRKGRCGYQVAYGDGSYTKGTLALETITIGRTVIQDTAIGCGHWNEGMFVGAAGLLGLGGGPMSFVGQLGAQTGGAFGYCLVSRGTGSSGSLEFGRQAMPVGAMWVPLIHNPFYPSFYYVSLSGLAVGGIRVPISEQIFQLTDIGTGGVVMDTGTAITRLPTVAYNAFRDAFIAQTTNLPRAPGVSIFDTCYDLNGFVTVRVPTVSFYFSGGQILTFPARNFLIPADDVGTFCFAFAPSPSGLSIIGNIQQEGIQVSIDGTNGFVGFGPNVC >RHN59141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7833502:7839455:-1 gene:gene21132 transcript:rna21132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGQNQSSCVVGVQKKKKENSVFASAVVNGEMEIVESMVEDDVGVLDSTVGRAKLSPLHLAAANGRIEVLSMLLNRNVKVDVLNRHKQTPLMLAVMHGKTGCMEKLIQAGANILMFDSIRRRTCLHYAAYYGHVDSLKAILSAAHSSPVAESWGFARFVNIRDGNGATPLHLAARHRRLECLHSLLDNGALVCASTGGYGFPGRTPLHMAARGGSVDCVRMLLAWGADRLQLDSSGRIPFTVALKNKHRACAALLDPSSAAPLVWPSPLKFISELNQEAKALLENALLEANREREKALLKENDIPSSPLRSDCKYDDIVSDSEESNMELCCICFDQVCTIEVKPCDHQMCAHCTLALCCLKKPDPATGCTTGPVCPFCRGAILQLNVAKIKTDSDTEVESSPTKPRRSRKSNFSEGSSSFKSLSAIGSFGRIASRNSGKVDAEKQ >RHN39233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5149099:5150321:1 gene:gene45237 transcript:rna45237 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKSLSIHGLLKLKTVDVQGIKEVHIDEAPSLANFNCDHGDWDTPFTFEFIRCRNLKGLCLFSWISTIITDKWFLDLFRKFPFLERLKLQNCKMSERINISSVQLKVLELSHCYNLKEVGIDAPNLLSCVYCGRGVSKPIISFLRSSSQLEVDVQIHLDYLEICSLGGFLQNIKSKNIFTSLSLFIFQLTMFFYEITLMERKSSDCFCISCDTKCWWHGLKDVKVTSSMKIDENVDLKSFLEWLATFPNSDQEKISFILEF >RHN77633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8272782:8275617:-1 gene:gene1105 transcript:rna1105 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYIKHTDSSLIDDSIKIRLDLEKLQKEREEKDKKAADKAAKKERKERRREKKEKKEKRRREKEEKLKDSTTSHASVGGIKFKLNNEVKEHKDSAKLRKVEDYENEQLERSGITEELEQPVCSPQEPYSSDSSQSSKRKRGTEFPSQDHGPAIRIRLPLRKHREPEESKQVCQLGSCSRSVRVADSLPRDTSRIDRPLLSIKKAETPSRSVGVSDLPARDTSRIERLLPSIKKAETPSQHHGKSAPKVCKPLPNVVPVDAVAAANETVDDESRRMDSLYKSLLHIQPITYELGPLDQDWLFSSVPKETIPISKKQKTDAFQCSKSLLQPRAQYMPEADIYALPYTVPF >RHN79815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32537248:32538523:1 gene:gene3657 transcript:rna3657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-40S ribosomal protein S27a MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGGGDDV >RHN80635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39621885:39641926:-1 gene:gene4581 transcript:rna4581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MEGIPEDEVVNIESASGGSANDDSDDEGSSPSDIDDKLHLEEPLSEAEIEDLISELLEVESKAAEAQETLEEESLAKVESEVRQELEQTLQGDDLETAVADEMAAFKEEWEAALDDLETESSHLLEQLDGAGIELPSLYKLIEREAPDRCCTEAWKKRNHWVGSQATTEIASSVSDAEKYLQINRPVRRRHGKLLEEGASGFLQKKISPETLEPGKKETEGDWDVFNKIISDESGIDASFGSKTWASVYLASTPQQAALIGLEFPGVNEVEEIDDIDGNSTDPFVAAAIANEKELDLSEEQRRQFKKVKEEDDAIVDRKLQVRLKHRRHRKKSKQRETSTPILSMESHAQKSSSVDQFNPDTKEGTSDEGEILSDNNKAAGLNMEATKIKGFDANFHLDNEKPMGDGNLQDPPKYPLGDDIEQRGTKRLNDGELDADKKKGRADIMNSDDDIPVSSSGSSDSDDSDDSDDSDDSDDSETNVSISTKRRRKKKIRRILDDTELGEETKKKIAIEKERQERLKSLRVQFSASSFDTSSAGCNGSSSEGASIEILGDALAGYIVNVVREKGEEAVRIPPSISAKLKAHQIAGIRFMWENIIQSIRKVKSGDKGLGCILAHTMGLGKTFQVIAFLYTAMRSVDLGLRTALIVTPVNVLHNWRTEFIKWAPSELKRLKVFMLEDVSRDRRAQLLAKWRAKGGVLLIGYAAFRNLSFGKHVKDREMARELCHALQDGPDILVCDEAHIIKNTKADVTHALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRQGFVYFLCSDFYFGL >RHN60603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28694070:28695072:-1 gene:gene22940 transcript:rna22940 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRFRFLPFLLWVLLGYGVTAQIQRRSVISIGLVAVDSFVLLSPSYVVE >RHN60932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31669142:31673284:1 gene:gene23329 transcript:rna23329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glyoxalase/Bleomycin resistance protein/Dihydroxybiphenyl dioxygenase MASKLSPEFAYTVLYVKDVAESVAFYSKAFGYSVRRLDESHRWGELESGHTTIAFTPIHQHETDDLTGVVHTTRSNKERPPVEVCFVYTDVDAAYKRAVENGAVPVSEPEMKEWGQKVGYVRDIDGIVIRMGNHVKPAKLD >RHN51096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13967876:13968415:-1 gene:gene35471 transcript:rna35471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MKSFSPSFSSSSLFIIFFCLICYASVPALCVSLYETVCNEARQDANACLNLLKTDSKITSATNYHDLSKSIVVFAFNQGLQAQAYLLKVAKQFPSDEAVSQCANEFYIMSIRYFGNAMIDVDKDPQNAKNDVKTGGDGPANCEKAIQNDKRVHDPAIHAKNNEMVLLSEISFLAINHLT >RHN54650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11054520:11058528:1 gene:gene29720 transcript:rna29720 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDEHEEMRKLFSSYIGLSFSVFLALLPTNLREHSQRTIRAEEELRQLKSRRQEDYKANARVAEIFASHRNSWRDEEKRLLRRIDAASEEIVRLRAVVEDSKARVEDLEREVVERDEMIGFISRRFQEEEGLGGCGSREDMVGNTAEEVDVIYQQQQQQQQHNQHLPNGFDSEFSGGSKFWAEKASLWQDVQYESLESMYNTKQFVARRESPWKVDGDSAGVSSKLKLLEQELLNLDKIGKDVPSKVSSSIKKQAKRYQSLSEKIDELCRRIASDPCEPSLSSEFRTQTQTEFLLEAFRLQQDASETAQKLMALHTEVGKSHYKDELRGEITLTTRRSMDSIRNNFRELQRNLEIWLARIIGDLEGILARDGASRVREYYISRYPFVQ >RHN73010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12567363:12568067:-1 gene:gene8779 transcript:rna8779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, protein accelerated cell death 6 MNEIETPMENTVLHIAAWYGNNEIVNLVIERVPKLLFKFNKNNDSAFHVAANGGHISTVEKLLANYVNIERHDIKMAWLEYTKKNKDDQEDYDEKSNMEDLLNFVKEKNVRGNTMLHEAMLSDKSNMSRGMIFKVCELYKTEDLSGYSLANSCYEFALDIINHAKESVLFLAVVKGDKDAVELILKNCPQNVKPEGLSPVGAAILMQKHNNGTLIIILLFNHVLAVSAILETRI >RHN39473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7371780:7376005:-1 gene:gene45498 transcript:rna45498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonoid 3'-monooxygenase MVVELLPLPNWVTFFTTFIILLLFTRRRLRLHRRKYNLPPGPKSWPIIGNLNLIGSLPHQSLHGLTKKYGPIMHLWFGSKPVIVGSSPEIAKVFLKTNDAVLAGRPKFSAGKYTTYNYSNITWSQYGPYWQQARKMCLLELFSVKRLESYEYMRKQELHAFLHELFNSKNKTILLKDHLSTLSLNVISRMVLGKKYLEKSENAIISPEEFKKMLDELFLLNGVLNVGDFIPWIHFLDLQGYVKRMKTLGKKFDWFMEHVLEEHIERRKCVNDYVAKDMVDVLLKLAENPSLEVKLERHGVKAFTQDLIAGGTDTSTVTVEWAISELVKKPEIIKRATEELDKVIGRDRWVEEKDIVNLPYVFAIAKETMRLHPAAPLLVPREASEDCNVDGYDIPKGTLILVNTWTIGRDPNVWDNPYEFIPERFIGNNIDVKGHDYELLPFGTGRRMCPGYPLGLKVIQSSLANLLHGFNWRLPNDMKKEDLNMEEIFGLTTPRKIPLEVVVEPRLPHHLYSL >RHN76857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1842503:1843542:1 gene:gene240 transcript:rna240 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLICKYYKHYILIYSNFSFLLQYLSAGVVPTMLPTPCINITYLWLSINFNDLKEISAALCVFRSSPNLKELHILARLEEEIVPLTSDSYCWEDIFSECDLCWEDI >RHN72112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5084652:5087913:1 gene:gene7785 transcript:rna7785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase MARCH MGLDESEVRVEVLDSVRDVIDENLSNLLEGVGEVGTSREVDNDSGSNKVEDEEVKVQEKCDELVMVDQGTSCNSSNLVNQEVVERVIVIESAHVQNQCVNGEERKLEAKADESGLSLVSMKVPKGVSETDKDLCVIDMKCSSHKGFYESSQGERVCRICHLAFGQASDATAVENASAANGDLIQLGCACKDELGIAHVHCAEVWFKLKGNRLCEICGETAKNVSGVASNGFMEEWNDRRFMENDDNSSRRFGGCWRGQPFCNFLMACLVIAFILPWFFHVDMF >RHN59387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10161868:10163073:-1 gene:gene21413 transcript:rna21413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MESVAAKKRKVSTTYIADDISFSILSKLPIKSFKRFECVRKAWSLLCENDHFMNMFRNNLLSNSHRCPYYDGGSLLLKDFELGKDVFYSISGERFENNVKLDFSNPYANRFKKFRIFGFGSINGTFCLYQDYYYGNTVLWNPSTHVIKLVPTPYVLVESFIPNVEHFVSINDRYYLHGFGYDNLRNDSKVICYVTIRGEHDGYGDMSLDPIWVIYSLRTNSWRILNVFGMPCSLARIDGTQVYMDGVCHWLAEEVDDTLEGPCLVSFYLSNEVFFITPIPSYLDDCFDLHALWINLVVLNGSFALISYHKETTNFQISILGEYGIKESWTNFFIVGPLSFIERPIGVGTKGEIFFIREDKELVCLDLNTQTIVKLACKKVNSIDRILIYKENILPIGGISN >RHN54520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10220217:10227245:1 gene:gene29581 transcript:rna29581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Root UVB sensitive family MGYTCITVSLLPPLHLRHRSPPPPHFPVTTFNPFSSIKLTPSHPFRLSALPTGGNNNNNGGSGGGGSWGNNPFDSDDSSSPSSNSRYTLLFTLLFSSVTFCLCQLAMAKTRSLSSEDDILTQPIYEVKGGNLIKLFPDNLKDIFIASNPGLFSELSSLNSSQVPTFLYNKCREFVVRLMLPEGFPNSVTSDYLEYSLWRGVQGVACQVSGVLATQALLYAVGLGKGAIPTAAAINWVLKDGIGYLSKILLSDFGRHFDVNPKGWRLFADLLENAAFGLEMCTPAFPHLFVPIGAFAGASRSAASLIQASTRSCFFAGFAAQRNFAEVIAKGEVQGMVSRFIGIGIGIGLGNCIGSSTPLVLASFCVVTWVHMYCNLKSYQSIQLRTLNPHRASLVFSEYLLSGQAPPVKEVNAEEPLFPAVPILNAPFANKETQSIVLSSEAKDAAVEIESRLQLGSKLSEIINNKEEVLALFSLYKNEGYILSEHTGKFCVVLKETCSQLDMLKALFQVNYLYWLEKNAGIEGRGTLYDCKPGGRLQISLEYAEREFNHVRNDGESVGWITDGLIARPLPNRCRPGNTE >RHN76641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14017:14818:-1 gene:gene0 transcript:rna0 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAIPTIKINTNKSYVLKERIVFVPMNRLDVQIESPVDFDSLERNGIDIKGYFAAQQMIDYFKMLNRPSYINLVKDLWVRAEVFDRKDAEEEEAKLVKENPRFKGKSRTEMGLKPFKGTELRSAVMGMEVTITVETIAKACRCSNSGLFQVDAVKSQWEDKIIGVLLNGKAKTKSSEMSSVHRMLLKILSESMLQKCGGSDQLSLDHKVVLYCLASFEKINLPKYILHHMCWAIRESKKSCWNT >RHN50630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8664825:8667510:1 gene:gene34939 transcript:rna34939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-severing ATPase MVEQPDRKKRKNADDLIGSSSAPVSRPCICGGKSGLPINTGNVTSQIARNYGLPSMGSTNTTNKCLNDLHGPDGQLPEKLRNVTPYYIEQVSNEIMDKNPDVHWNDIAGLTHAKKCVNEMAVLPLRRPDLFMGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKCFGEGEKLVTALFGVASCRQPAVIFVDEIDSLRPRNEYEVTGRIKTQFLIEMEGFAGGNEQVLVIGATNRPQDLDEVAQRRLTKRLYIPLPSSDARAWIARNLLEKDGLFKLSNEEMEIIRDLTDGIDIIPVNYIISFFFMVSGDLLILGTFFLGYSGLDMTNLVKDASMGPLREAMEQGIDIMELKKEDMRPVTLQDFMNSMQEIRPSVSPNELGTFEQWNKQFRGCPLIVWRQSITHSIVILMGMVFIFC >RHN55743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22458900:22467212:1 gene:gene30983 transcript:rna30983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ceramide synthase component Lag1/Lac1 MDFVQQLTSLDWQQESYPAFHDFFIIPIFTLFFPSLRFLLDRFIFEKVARRLIFRKGHDVHDFQTDDRRKKIRKFKESAWKCVYFLSAEIFAISVTYDEPWFTDTKYFWVGPGNQIWPDQKIKLKLKGLYMYGAGFYSYSILALIFWETRRSDFRISMTHHVVSLSLIVLSYIFRFVRIGSVVLALHDASDVFLEIGKMAIYSSAESIASFAFILFVLSWTILRIIYYPFWVLRSTSYEVIDTLKLDKHLVDYSIHYHVFNTLLFCLLVLNIYWWVLMLRMLVGQICAKGKVSEDIRSDSEDEHEHEE >RHN42287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37129484:37134591:1 gene:gene48711 transcript:rna48711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative remorin MDYERIQKPQGGGGFSPGKLRSMLLGVEKKRKQEEELDSNFTPRSRDSDMDESGGSSSDHCKYVDVVSVLPEYSTSTDQTCNIEADCGDRLAKANAVMTSRNRILEDPSLDYDSGYDSMIMSPSMFEFQKAERAPPRVPVGPFSKPAPSKWDDAQKWIASPTSNRPKTGQSQVQGGHAVPRKVGGLGSRQASMKVVVEVPDQKEIGLDEPDTKQIDANQTKMESGGQKFVNWDDDPYAIADAYAVSLSQHNSSIAAQTAATFVPPPSTARSVSMRDMGTEMTPIASQEPSRTGTPVGATTPMRSPNSSRPSTPTRAAPSIFTDPCNDNLNLNKNELSEKELQMKTRREIMVLGTQLGKMNIAAWASKEEEDKDASTSLKTKNAEQQAKSVVEARAAAWEEAEKAKYMARFRRDEMKIQAWENHQKAKTEAKMRKIEVEVERIRSKSHDKLMNKLAAARHKAEEKRAAAEADKNNQAAKTEEQAEYIRRTGHVPSSYFSFSCCTWCS >RHN46208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29655948:29659469:1 gene:gene40659 transcript:rna40659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MEKENIHGPQHFDLNTGANIPSVGLGTWKASPGVVGDAVVAAVKAGYRHIDCARVYDNEKEIGEALKTLFSAGVVQRGEMFITSKLWISDCAPEDVSKALARTLEDLQLDYIDLYLIHWPFRTKSGSRGWDPEVMVPLCLSETWNAMEGLFASGQARAIGVSNFSTKKLQDLLGYAKIPPAVNQVECHPVWQQPALHNLCNSTGVHLTAYSPLGSPGSWVKGEILKEPILIEIAEKLNKSPAQVALRWGIQSGHSVLPKSVNESRIKENLSLFDWCIPPELFSKFSQIHQQRLLRGDFAVHESCSPYKSLEDLWDGEI >RHN40652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18830213:18831113:1 gene:gene46835 transcript:rna46835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain-containing protein MSTRNFEKAATLFLDSISTTTYELFPYDTFIFYTVLTSIITLDRVSLKQKFLDSLYGCQYKSFFSAFAGLTEQINLDRYLHPHFRYYMREIRTVIYSQFLESYMIIEAMAKAFGVSVDFIDVELSRFIAAGKLHCKIDKDAGVLETSRPDANNALYQANH >RHN54871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13112742:13114304:1 gene:gene29967 transcript:rna29967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine-rich transmembrane CYSTM domain-containing protein MNDPKYAYPYPAQGYYQGPPVMAPPQYAAPPPRRQSTGFLEGCFAALCCCCLLDECCCDPSIICIC >RHN79430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28793427:28795470:-1 gene:gene3220 transcript:rna3220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MNQDIEVTPFFLCPISLQLMKDPVTVSTGITYDRESIEKWLFSSENKTCPVTKQQLTHDANHLIILTPNHTLRRLIQAWCTMNSSYGIERIPTPKPPTTKILIEKLLKEASDSPHLLIQTLKKLKTIASESETNRRCIESAGAVEFLASIVTQNNTSCSSSCSATELIEASFDDDDVEGFAFDFKIGAEDEAINILYNLQLSEQGLKTLLNFKNGEFLDSLMKFFQKGNYDSRTYAVCLLKSMSKVADPSKLANLKTEYFVELVQLLKDQISKKASKATLQTLIQLVEFGRNRVKAIESGCVSALIELLLDCKERKPCEMILVLLEMLCQCADGRFELLSHGCGLAIVSKKILRVSTMANDRAVRILLSVSRFSATHFVVQEMLRIGVVAKLCLVLQVDSGNKAKEKAREILKLHSKSWMNSHCIPFNLLASYPTSG >RHN66956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22166804:22167535:-1 gene:gene15081 transcript:rna15081 gene_biotype:protein_coding transcript_biotype:protein_coding MIEESSTIASMENQSALAVQGVHHHSNENRLKKKKPWCDHCQRTGHTNETCWKIHGKPAHWKPSKPMWDKGSRGNHVSTEEGNETTAPKSTPFNQEQIEALQKMFSQMSSQHGTKVASLAHKGNLLTAFIAKIGSQKHWIVDSGASDHMMEDRSLFHHYSPCYDGLFVKILDGSLSKVAGTSLVTLSNDIELKSVLHVPNLDCYLIFVSKLSHDLNCVAKLVSSMCEFRPWVRGRILAVLRFA >RHN42940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42064292:42065452:-1 gene:gene49448 transcript:rna49448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAT/LH2 domain-containing protein MNIILKTLRGESCNDVSLALFIKYLLPLHHLTTIFTQMATTTTIFTALIFLFSLSFTTTVRSDSDNDCVYTVYVRTGSILKGGTDSKIGVKLYDKYGYYIYIKNLESWGGLMGSGFNYFERGNLDIFSGRGPCLEGPVCAVNVTSDGDGPHHGWYCNYVEVTSTGPHVSCSQEQFTVEQWLATDTSPYQLWSVRNLCHYKLDQARPKTGAPVHHRKIGSEFSILDAPIVREI >RHN81161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43733314:43734528:-1 gene:gene5165 transcript:rna5165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MHILEYGMDSQLSREVDMYSFGILLLEMLTGRRPTDEMFKDGHNLHNYAKIVFPNNILEIVDATLGGK >RHN54262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8121048:8123400:1 gene:gene29266 transcript:rna29266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor STY-LRP1 family MAGLFSLGRTEEENQQNNNPTTEFWYNKNDDSVVSNNSYRGFEIWNQHQQQQLFSLQQPQQQDLYSSGVVLGVGPTNRVCSSDENRSTVFIGSGSGSISCQDCGNQAKKDCPHMRCRTCCKSRGFDCQTHVKSTWVPAARRRERQQQQQQQQLSAPKSFQQHGDIVPQNRHRDLNNNNSSLACTRLPTNRSLTLPSAGLLDEMNFPAVVNSPAEFRCVRVSSVDENEEEYAYSTAVNIGGHVFRGILYDYGPDHGSNSYNMAAGNASNSSGTALEGVVEPLNLISGVPASGVGEIVDPSSLYPAPLNTFMPSSGTQFFPHPRS >RHN66049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7986003:7986616:1 gene:gene13960 transcript:rna13960 gene_biotype:protein_coding transcript_biotype:protein_coding MTFCVQSFLLGVLCISLVLVSGFDTSLDLEGQTIEPTKKPSCFIGRPGPPQICCFSECTPNCTAVCIANDYLGGGRCQIFAGANFCCCYKP >RHN49365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54308838:54309293:1 gene:gene44187 transcript:rna44187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzyl alcohol O-benzoyltransferase MTSSPLLFTVRRCQPELVPPAAPTPREVKLLSDIDDQECLRFNMPIIFIYRHEPSMIEKDPAKVLKRALSQTLVYYYPLAGRIREGARDKLMVDCTGEGVMFIEAETDVTLDEFGDALHPPFPCFQELIYDVPGTNQIIDHPILLIQVQLC >RHN65818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5631854:5633812:-1 gene:gene13708 transcript:rna13708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MYHRMTMAFGLFYYGLRDTSATYAVNFLNLVPICTFLTSIICRMENLSIRTWVGRAKGIGAILCVGGALATSLYKGKEFYIGPHHHSSHSAGVISVVAHKTHMLRGTFFLVGSCCFYTAWFILQVKMVKVFPLRYWGTMISCIMAAIQSVVIGLSIDSSKAAWRLGWNLQLITILYSGALATAATFCLLSWAITIKGPSYPPMFNPLCLVFVAISEAFILGEPLKVGTLLGMVLIIVGLYYFLWGKRNEMPCSPQTNVAAAELSTIMVDDPTLAQSRAVVVPSSSPDEIVHIEIDKTDKN >RHN47465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39963279:39965750:-1 gene:gene42074 transcript:rna42074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation protein, beta-barrel MRPPARGGGRGGGFRGGRDGGFRGGRDGGGFRGRGGGRFGGGRGGGGGFRDEGPPSEVVEVSTFIHACEGDAVTKLTNEKIPFFNAPIYLQNMTQIGKVDEIFGPINESYFSVKMMEGIVATSYAAGDKFYIDPRKLLPLARFLPQPKGQASGGRGGGRGGGGFGRGGGRGGGGFRGRGPPRGGRGPPRGGRGGGFRGRGRF >RHN70436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51433403:51434974:-1 gene:gene19015 transcript:rna19015 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRQILKIPRVSSTNSGMFFTRFLSSSSNRKWTEKAHHCTCCTCLNEIVKTSSMSNKSYIWYKIRESRREVLIHTIVVMGVAFLADRVHEMYHS >RHN78678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17422657:17423208:1 gene:gene2315 transcript:rna2315 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSFSIDAPSSPPPFAENHPTGNVFFAGDSSKSSSSLGTPDDSDIENDDVSLKRKRDEDGEEVESKFKGLNSLDSLEDSLPIKKGLSNHYTGKSRSFLDMSQVTTVEELKKQEHPFNKRRRLLIASKLSRKSIHSCFNPKPMPPFTVDEDDENQGVDKEVTTKGSPSSSSSIEEKKNPQEP >RHN75582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43517825:43518874:-1 gene:gene11811 transcript:rna11811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MDNVECSSEEVSSDSSIALQSNSSGTQVNSKVEFSKDEEDLIIRMHKLVGDRWPLIAGRIPGRTAEEIKNYWISRHSSTSQ >RHN54415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9384843:9385574:-1 gene:gene29449 transcript:rna29449 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNAQLHMFLYRLQSHLVSHMGDVGLNTQDLEILVTARHAFAFNKCPLFIHQQSLDFTETLQNL >RHN63093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48349401:48352865:-1 gene:gene25751 transcript:rna25751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MIQISSTNYMPEFGLMEDTTLFSDHEYQMDSYAFQFDDMAYFKSFSESPQESTYSSHTNINNKRIHSESTQNSSFPTQSPDQSVASATPPTKLLKASPKIISFDYSNNDSKVKKPKTEIGYGENLNFGSVISQGDYYKRENKVSAVNRNPMQARDHVIAERKRREKLSQRFIALSSILPGLKKMDKATILEDAIKHMKQLQERVKTLEEQVADKKVESAVFVKRSILFDNNDSSSCDENSDQSLPKIEARVSGKDMLIRIHGDKHCGRSAAAILNLLEKHHLTVQSSSILPFGNNYVDITIVAQMNKEYCLTIKDLVRSINQVLRQLI >RHN62152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41231174:41239089:1 gene:gene24696 transcript:rna24696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-3-phosphate 5-kinase MGIPDSSFLDLIEKVRSWVSWGVGDLNTFSENFDMQNSVCKMCCECNRNFNDMTQCKYNCKSCGRWLCGKCIRGCDLPNPESDSGFKETISSCKFCSVTNRTCEGGQRKCIEKVHPAVSPQESPRESPEPPSPCFSVETERDGYFGRHFHDRECEYYAHSMISRSLSSSGAHPSSVSTLPSTLRSDEEGTEDFGKHSLSPSRTYCDNNSDVDSSSVSARHDTYNFNSVGTSPSDSPSRIDFASSRAGLPIQKKGQEKSSVPQNDVPSGQQSTAVLRKPEPGTEDAYNTTYFSDDLSIFRNQNENLQRPLDFENNGLIWFPPPPEDEDADAEGNFFTYDDEDDDIGDSGALFSSNSSLSTMFPAKEKHNEGNKEPLKSVVQGHFRALVSQLLQGEGIQIGKENDSGDWLDIVATVAWQAANFVRPDTSKGGSMDPGDYVKVKCIASGSPSDSTLIKGVVCTKNIKHKRMTSQYKKPRLLLLGGALEYQKVPNQLASFDTLLQQENDHLKMIISKIEALRPNVLLVEKSVASQAQEYLLAKEISLVLNVKRPLLERIARCTGALITPSVDSLSKARLGHCELFRLDRIVENHEAGNQFNKKPSKTLMFFEGCPRRLGCTVLLKGKCREELKTIKHVVQYAIFAAYHLSLETSFLADEGATLPKMIVKHSTDMPESATADTDISVISDTFSSTMCQSEADDASKVKDSVGHDVMIGNLGSVSENLNEHNFHSYTDAMVDYSADNVLSDPYCNNLTSNLTLESDFLHQCNESEGETMSRDPSRVDLQETMFQEENECEVVDSTKDNMIEDEFSGEYFSATEAHQSILVYFSSHCVSKGTVCERTRLLRIKFYGSFDKPLGRYLHDDLFDQTSCCQSCKEPGEAHVLCFTHQQGNLTINVRRLPSVKLPGERDGKIWMWHRCLRCPFVDGVPPATRRVVMSDAAWGLSFGKFLELSFSNHATANRVATCGHSLQRDCLRFYGFGSMVVFFRYSPIDVLSVHLPPSVLEFGYIQEKWIRKVAGELFSKVETLYVEISNVLEQLETNVLSPGIGNELADAYDIHNHILDLKDMLLKEKMDYHSLLKSANETAEPGNMTLDILELNRLRRSLLIGSHVWDHRLNSLDSLIKKSLSSKVKQETESFADGKELRVDSLHKDQSFDSWLEQNNSQPSKLHESHESHKLVEPDDQPKSRRASEASACSLDGEEPHSDAEFVSNKTSSECMPPNESNLSEKIDLAWTGTDQPVQVNASVKRLAQPMRVHSFDSALRVQDRIKKDLPLSLHMSTLRSFHASGDYRNMVRDPISNVLQNYFQMLPWESQRINLILSSTPSFISSISHIAEGARLLLPQTCHSNRVIAVYDNDYSSIISYALSSKDYEDCVSGKSDVRDGSWNAHERNKEDLTNSSLSAWATLDLDYINYGSYGSDEVPSSISSLIRDKKTSLHLPISFGDDSLGAGGKVNFSVTCYFAKQFDSLRKKCCPNEVDFVRSLSRGRRWSAQGGKSNVYFAKSLDERFIIKQVTKTELESFEEFAPQYFKYMMDATNSGGPTCLAKILGIYQVTVKYPKGGKETKIDLMVMENLFYKRNISRVYDLKGSERSRYNADTTGTNKVMLDMNLLETLRTKPIFLGSRAKRKLERAVWNDTSFLASVDVMDYSLLVGVDDEKKELVLGIIDYMRQYTWDKHLETWVKASGFLGGPKNAAPTIVSPKQYKKRFRKAMTTYFLTLPDQWSS >RHN54257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8099346:8100134:-1 gene:gene29261 transcript:rna29261 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIVLHQLLIFLQRFLISPLDPVKGVMFPILTMDLANCSKKRSLLSAYFFTKSADT >RHN51948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28931649:28934478:-1 gene:gene36525 transcript:rna36525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MAYSKILFFSILIRFLCFATIKAEDSTFLYSFCSSNLTTTNSTYQINTRTLLSSLSSEATVNTEFYNTTVTGVNRTDSVYGLFMCRGDVSSQICVECIVHATKKLSLDCPLSKQAVIYYDECNVRYSYNYFFSTVDTSPMYRDINITYTDQNLYIMTLLNQFSKLAYETGYSVERYMTNSLKLYDEQNLYSLGQCTRDLSSEDCTSCLKDVINRAIPWSKLSNVRGRIIYPSCNLRFELFQFYMEGDEALPPGSPSPLPENAVGEESATLEPLQFDWVVIEAASNNFSTDNYIGKGGFGEVYKGILVDGREVAIKRLSKSSNQGVEEFKNEVLLIAKLQHRNLVAFIGFCLEEQEKILIYEYVPNQSLDYFLFDSQQQKLLTWVERFNIIGGIVRGILYLHEHSRLKVIHRDLKPSNILLNENMIPKISDFGLARIVEISQDEGNTNRIAGTFGYMSPEYAMLGQFSEKSDIYSFGVMLLEIIAGKKNKSPFTPHHVAYDLLNHVWRQWMDQTPISILDLNIKEDYSANEVIKCIQIGLLCVQNDPNARPSIVTVASYLSSYAIELPTPKEPAFFLHGRTYSDVLALESSSTQSANSSVLFSINQMSASTFIPR >RHN46847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35283417:35284174:-1 gene:gene41381 transcript:rna41381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphopantothenoylcysteine decarboxylase MASTSAIGKSVTHEFDAKRKPRIVIGACGSVAAMKFGLVLRAFMEWAEVHAIVTKPSCHFISEASIPKGVIVFSDEHEWQAWKQLGDTAAHIKLANWADILLIAPLSAHTLAKIGGGLCDNLLTSIVRSWNYKKPMFVAPSMNDAMWRNPLTEKHLKRINELGITLIPPHESSDGEYTNTGSMADPSKIYSTVRVFYDYNILKKKPGVVYC >RHN39424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7012779:7015091:1 gene:gene45445 transcript:rna45445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MIKSILVYTIIIISVLFLLSRTHNKQQQIHPSAEWDEDEFNVTNRIARLFPEIDVDPTDQFVSVQELTQWNVHHVQRQILHHSQKEMVVYDKNRDGFVSFSEFGLFTPTTGDPFGYDLRLLEEEHFNASDVDGDGLLNLAEFNDFLHPADSNNPRLQQWLCKEEVRERDMDRDGKVSFREFFYGLYDLVSNYDEKSHNDSHHSDHSMDASAKVLFSQLDKDFDGYLSDIELLPIIGKVHPSGHYYATKQAEYLMSQAEVDNDGRLNLTEMIDNAYTLFVSIFPDEFF >RHN55595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19883298:19888753:1 gene:gene30797 transcript:rna30797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSLSLIHHKLPISSFSSSYFNFQPSISTNTLKNPSFPIHSKTPSLTTPPSSTNTPIFLPLFEQHEQEAEQNEKSNHDPIYKFFKNRTMVSSQNPSEEGKLLLRKNRRAKWHLASQDLEEEEEEEAEMGMNDEQMVSQKKESPLPEGVVEEILHLARNLPQNLTLEEALEEYDKRVSEKECLKVMEILGEEQLVVCCLYLFQWMRSQEPSLVTPKVYTTLFPLLGRARMGDKLMVLFRNLPSSKEFRNVRVYNAAISGLLYDGRYKDAWKVYESMETDGVLPDHVTCSIMIIGMRKLGHSAKDAWQFFEKMNQKGVRWGKEAFGALIKSFCVEGLLSEALIIQSEMEKKGISSNAIVYNTLMDAYCKSNRVEEAEGLFVEMKAKGIKPTAVTFNILMYAYSRRMQPKIVESLLAEMKDFGLKPNANSYTCLISAYGRQKKMSDMAADAFLKMKKVGIKPTSHSYTAMIHAYSVSGWHEKAYAVFENMIREGIKPSIETYTTLLDAFRRVGDTETLMKIWKLMMSEKVKGTQVTFNILVDGFAKQGLFMEARDVISEFGKIGLQPTVMTYNMLINAYARGGLDSNIPQLLKEMEALRLRPDSITYSTVIYAFVRVRDFKRAFFYHKEMVKSGYVMDISSYRKLRAILDVKVADKNKSDKVAMYGIINKQMGFMKNKRKKDEFWKYKTGRPIKP >RHN40692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19584180:19584380:-1 gene:gene46884 transcript:rna46884 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGVPIFFFLILSLPFFWKLTFEFGIFTIKPYRYVFAALPLKLIFVSDFVSVVLGAPNRFQILLT >RHN67047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23236274:23236822:-1 gene:gene15179 transcript:rna15179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MMNEKPLNAIMEVREDFMLSPAGGDTEATLRTAHFLKPISNYIHESPFGFNPSSMSRVFHPKESPLKFHFTGWRHPQDKWVCWVDQLKTKYESVWKQVGIFDAIMSTKCRILRNQNLLYEVAEKWCSKTNTFVFPFAEATITLEDIMVLGGYPVIGDPVFISLEDTKMRGRKQIDPCKTATY >RHN70103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48793304:48795977:-1 gene:gene18652 transcript:rna18652 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQRVVVIVTVITFFLLSSLGLLAGLGFGGGGGARTGTLMSLSYLLGFEEEGIDNNNNNYTLIHTPHRKLLPHSATERLEPNRIWGDKKCSKSDIVINQGSTAPLPSGIPTYTVEIMNMCVSGCDISAIHLRCGWFSSARLINPKLFKRLRYNDCLVNDGRPLVNGGTVSFQYANTYLYPLSVSSVVCV >RHN39705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9766820:9767560:-1 gene:gene45756 transcript:rna45756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MEQQQHLNFTTKPDVAVKTLTTKNPAAQSGRGGTRHPVFHGVRKRRWGKWVSEIREPRKKSRIWLGSFPAPEMAAKAYDVAAYCLKGCKAQLNFPDEVHRLPVLPPPSLCTARDIQAAAAKAARMMVKAVASPEDSSNDSGGSGGGDDFWGEIELPELVNGTWWSYSVAVVPEIELP >RHN69886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47107545:47107769:1 gene:gene18415 transcript:rna18415 gene_biotype:protein_coding transcript_biotype:protein_coding MPYANALTEEHTNEEQHDEGNHVTENFGSPEKKVPGEDSKGIEIKKWYGWPGENVFRMFVPAQKVGSIKATCLF >RHN67863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31002963:31003448:-1 gene:gene16107 transcript:rna16107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MRTKVKLAFMVNDSARKITYNKRKKSLKKMVDELTTLCGIDVCAILFSEFHSGPEVWPSPLEVQRIITKFKNYSEYEQGKKKLNQESYLMDRIMKSKEKLGKVQRTNWEMEKSLILFQCLVQENFINTLNTNVLNDLASEINEKLKEITSKMNQLDTNETT >RHN58107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43796363:43796641:-1 gene:gene33716 transcript:rna33716 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DYW domain-containing protein MSDTSQVLLNIEGEKEKESELENHSERLAISFGLINMERRAPIRIIKNLRVCNDCHAVTKLLSAIYDREIIVRDNSRFHHFKNGSCSCNDFW >RHN73704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19117611:19118036:1 gene:gene9553 transcript:rna9553 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCEMKNIVSFFMITMLVVAQADDTSSPSSASHQTTSGVICLVKCAFKCRRFRRIKPLYIGCVALCRLSKCRHKVSSKAVYDCATNCAISKSNYFNTVFFLQCLAFCRCSWC >RHN39324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6046300:6047041:1 gene:gene45334 transcript:rna45334 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRLFFFLLTLQIVSLVCVAKRPFLSTHGISHSAQENASKSSPPYTIESKKLKGIMGKEGMSKKREVENDREVSKIGSSPPSCEHKCYDCFPCEATQVPSRTSHLGIMYANYEPESWKCKCGPSFYSP >RHN58038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43277702:43280684:-1 gene:gene33643 transcript:rna33643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ER membrane protein complex subunit 8/9 MGSNDLKYEVSQNAYIKLVLHSLKHPTSAVNGVLIGRISSSNDTVEITDAVPLFHSQIPLLPQLEISLILIEEYFSTKGLNIVGYFHANERSDDFELGGVAKNIGDHICRYFPQAAILLLDNKKLEALKKSKSSSAIMQLYVRDASKNWKLVQSDANNRFSLKEPSANVILLDYISSEKWNDIVDFDDHLDDISKDWLNPGLFN >RHN80504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38661456:38665255:1 gene:gene4439 transcript:rna4439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MISPSSPLNINMEFGEKKMEIERDINQNMEERLPVIGAEVIQSDEHWTEHITVRGLFVSMMIGIIYSIIAMKLNLTTGMVPNLNVSAALLAFVFVRSWTKVLEKAGFVSKPFSRQENTIIQTCAVACYSIAVSGGFASYLLGLNRKTYILSGVGTEGNNPSAVKEPAFGWMSGFLFVVCFVGLFVLIPLRKVLIVDLNLPYPSGFATAVLINGFHTQDDKMAKKQVHGFMKYFSISFLWGFFKWFFSGKEDCGFEQFPTFGLQAWKNTFYFDFSTTFVGAGMICSHLVNLSLLLGAVLSYGVMYPLVDRRKGNWFPENLEETNMKGLYGYKVFLSIALILGDGVYTFTKILVSTVLGVHERIKSKNHKKELAADDLHGNPTGDLKPAQTFLKESIPMWIGVVGYGVFTTISIIIIPRMFPQLKWYYVIVAYVFAPSLAFCNAFGAGLTDINMAYNYGKVALFLLATVTGKENGVVAALVGCGLIKSVISVSCNLMQDFKTAHCTRTSPRAMFVCQVVGTAMGCICCPLSFFLFYKAFDVGNPHGEFKAPYALIYRNMAVIGVQGFSALPRHCLQLCFGFFAFAIGTNMLRDFSPKKIGKWMPLPMVMAVPFLVGAYFAIDMCTGSLVVFVLHKLNSKKAELMVPAIASGLICGEGLWTLPAAILALAKINPPICMKFVTS >RHN74027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23772228:23773420:-1 gene:gene9954 transcript:rna9954 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFEGSPLVEAVEHSREDFNDHQEDSGCGYGCFRACGLKWGLGNDEGKGLLEQREDSWWVCKLRKMKEVSEVIAGPKWKTFIRKISMYGKKQQKGKFQYDEHSYALNFSSGAQSEDDDLPHSFSARFSAPFPSGRRQGEL >RHN53514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2197458:2201082:1 gene:gene28426 transcript:rna28426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PH domain-containing protein MLKLFTLRGLPWSPGSGSREKVELTAAEVESLRSELVDLEEKEAQLKAQLENIDEILRSARLSGYLYIRTRWTELPGESPPIDDTNVDDWLPRFVVLQGECLFIYLLSTDLSPHDSTLLSDIVEVNQLPSFKREDGEIRYAFRILTRHGLRYECSSSSKIQVDSWLTALQSDCKLESDASTPNGSI >RHN48237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46008533:46012988:1 gene:gene42930 transcript:rna42930 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rhoGDI1 MEGGKKSHEQEQAGPSSAIDKTTEKPTHTFHVASEDEEDEGQVFVPSPLLSLKEQIEKDKEDESLRRWKEKLLGSLESDLDDQLEPEVKFHSIGILSEDFGEIVTPLPVEEHQNSRMLFTLREGSRYQLKLQFSVMHNLVSGLTYSNTVWKGGLQVDQSKGMLGTFAPQKGPYVYALKEDTTPAGALARGVYSAKLKFEDDDKRCHMELKYLFEIKKSG >RHN82223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52100653:52102474:1 gene:gene6368 transcript:rna6368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MDRGKIQEVIENQVLTVAQAVEDKIDEEIAALERLDDDDLEALRERRLQQMKKMAEKRSRWISLGHGDYTEIPSEKDFFSVVKASERVVCHFFRENWPCKVVDKHLSILAKQHIETRFVKINAEKSPFLAEKLKIIVLPTIALIKNTKVDDYVVGFDELGGTDDFSTEVLEERLAKAQVIFLEGESSIHRARSGAQTKRSVRQSTTADSSDSE >RHN77777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9337770:9338825:-1 gene:gene1266 transcript:rna1266 gene_biotype:protein_coding transcript_biotype:protein_coding METVLKAIILFLVVFMGGLIGNAQRFHCCEKGEFCDLPPCCRGREKRGCAHPPCCEWNKKMKP >RHN71784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2592468:2593153:1 gene:gene7419 transcript:rna7419 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKSFFNKMKMKIIGVEEPWTRRSSFRGTFVINLKKLMVAMLLDLAMDCYVCSDYNDSPFVINLKKLKAYVESLVSDICGERKGGKFWCYNSFSYNTHFLQDVYVPLKSFFLVLKVLFYNSSSVCLETCKCH >RHN61801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38472124:38475391:-1 gene:gene24299 transcript:rna24299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MFESNKFKMLKNMDEVEITNANFPQNPTMSASNNPPALKRKRNLPGNPDPEAEVIALSPKTLMATNRFLCETCGKGFQRDQNLQLHRRGHNLPWKLKQRTNKEIKKRVYVCPEKTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYKCDCGTIFSRRDSFITHRAFCDALAEETARVSAASEINNSSLLRGNIGNYTNIMGTTSLVPNNNMPNHFPSIFKPISTPDHHHHHHHESTNQTRGLSLWMNQTSHSQPHETMITTTNGNSSLHEIQQQLDSSSREIMYGGNENPPPYSNNYQLNWVFGTKLSNNCSQELITSTTTSLPLGNINVNVKDVSHDATSQQISSLYSSQHQISHQTSSANMSATALLQKAAQIGSTSTDTSFLGSLGLKCSNNNSTNDVNKFGGTGMYGTSSSLLISLGNEEDHNNACDFSQMHPSKRRHVQGEENGGGGQTRDFLGVGVQTICHPSSINGWI >RHN67987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31947239:31963156:-1 gene:gene16259 transcript:rna16259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MLSRKEKIVKKYMASFLTDLVKPYVEKLINGAIRESSYICCFTCIANDFEEEKARLEIESTTVKQRVYDAIRRGEDVQANALFWEKEADKLIQEDTKTKQKCLFGFCPHIIWRYKRGKELTNKKEQMKRLIETGKQLSIELPARLPDVERYSSQHYIPFKSRESKYKELLDAMKDDNNFITGLQGMGGTGKTTLAREVGKELKQSKQFTHVIDTTMSLSPNIRKIQDDIAGPLGLKFGDCSESDRPKKLWKRLTNGEKILLILDDVWGDIDFNEIGIPYSDNHKGCRILVTTRNLLVCNRLRCSKIVQLELLSDEEAWTMFQRHAGLKEMSPTSFLDKGRKIANECKGLPIAIAVIASSLKGIRHPEEWDRALKSLQTPMHGADDELVKIYKRLKVSYDNMKNENAKRLLLLCSVFREDEEIPTERLTRLGIGGGLFGEDYVSYEDARIQVVISKNKLLDSCLLLEADQSGVKMHDLVRDAAQWIANKEIQTVKLSDKKQKAMVEREANIKYLLCEGNLKDLFSFKLDGSKLEILIVTVLKDEDCHNLKIEVLNSFFENIKGLRVFHLINDDYHPRLALSLLRSVQLMKNIRSLLFTEVDLGDISIFGNLQSLETLDLNYCKIDELPYEITKLEKFRLLNLEYCIIARNDPFEVIKGCSSLEELYFIYSFNTFCREITFPQLKRFFIDSSYWRSKNDLSSRYVSLVARNLDVFLSETTLKYCMQEAEVLGLRRMEGGWRNMMPEIVPMDHGMNDLVKLSLSSISQLQCLIDTKHTESLVSKVFSKLVVLELEGMDNLEELFKGPLSFDSLNSLENLSIEDCKHLKILFECNINLCNLKSLSLVRCPMLISIFQLSTACSLMLLERLEIYDCEGLEYIIDERKWQESRSKIVDDNDNKSHGSMFQKLKVLSIKKCPRIELILPFHSPHDLPALESITIGSCNKLKYIFGKYVKLGSLINMKLDGLPNMIDIFPECYGVHERSSSISGYASKPQTQSGPIKRNIFSWTNVYCCGKKYGHKLRSNTSTEISLVSQDQQQDNLMESNSYPLNIRERAQCLSRQSHMLCNVKEITLTNVSKMKSLFNLSTAPRMLLETLNIWNCNEWRHIITIDTGDHHDNTDGNNWGTVFPKLRKLVVYNCAQLEYIIGHYNDGHQNNTKIHLHLPALEDLYLENLPSLVANYPKQYHTTFPQLKKLVVEECPQFIGDFLTHHSVTRSVDDTIIKESSGNMDNFLALETLMVNNNSKVEDVFCLNEINEQQLSLALKEIDLNVLPMMTFLFVGPKNSFFLQNLTHLKIMRCEKLKIVFSTSVIRCLPQLYYMRIEECNELKHIIEDDLENTTKICFPKLQILVVVKCNKLKYVFPISICKDLPELYDLRVEECNELKHIIEDDLENTTKTCFPKLRILGVVKCNKLKYVFSISICKDLPALYHMRIEECNELRHIIEDDMENKNSSNFMSTTKTCFPKLTLLVVEKCNKLKYVFPISISKELPKLNVLIIREADEFEEIFGSEGDDHKVEIPNLKFVVFENLPSLCHDQGIQFQAVKHRFILNCQKLSLASAIITTDLDNDLSGLYSDYGNIYVSIYAWQFVHYLRDLFRQLQTAKGFAAGFEVKASSEHELTSPNKTKQTPDTEHELVENVSDLEIPTNSKELMNQQSMEQQRLLGEADTPVKPSQECGDCQIAIPSPPIAITNPLTTQDVDININDDQVSVNDDSVIKVTSIVEEQLSKDVEFKVPESKPSPIIPSPQEFQTPSMRSKGDPSQNVEDLSSSLLVKSELEELVSKNHLDCENLSLLTAFLVRNPSVRLKDIALSNRYKGCAYNLLAELLKFLETHSVLEVLGPCHSKFVELLQDARSFGLDKDWLDGVERHEDALQKLLDSKQQVTKDVEVLRLKIGILSQHVEDLKQQLTSSEAVLETIIQQEAVLSAPFGY >RHN51406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17308529:17311936:1 gene:gene35836 transcript:rna35836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSALRLSYFNLKLSLRPCFTFCAVFPKDFEMEKEFFIQLWMANGLVTSRGNLQMEHVGNEVWNELYQRSFFQEIKSDLVGNITFKMHDLVHDLAKSVIGEECMAFEAESLANLSSRVHHISCFDTKRKFDYNMIPFKKVESLRTFLSLDVLLSQPFLIPLRALATSSFQLSSLKNLIHLRLLVLCDSDITTLPASICKLQKLQTLRIESCNFFSSFPKQFKKLQDLRHLMIEDCPSLKSTPFRIGELTSLQTLTNFMVGSKTGFGLAELHKLQLGGKLYIKGLENVSNEDDAREANLIGKKDLNRLYLSWGDSRVSGVHAKRVLEALEPQSGIKHFGVEGYGGTDFPHWMKNTSILKGLVRIILSDCKNCRQLPPFGKLPCLNILFVSGMNDLKYIDDDMYEPATEKAFTSLKKMTLRDLPNLERVLEVEGVEMLPQLLKLHIRNVPKLTLPPLPSVKSFYAEGGNEELLKSIVDNSNLKSLHISKFARLMELPGTFELGTFSALEELRIEYCDEMESLSDKLLQGLSSLQKLLVASCSRFKSLSDCMRSHLTCLKTLYISDCPQFVFPHNMNNLTSLIVSGVDEKVLESLEGIPSLQSLSLQNFLSLTALPDCLGTMTSLQELYIIGFPKLSSLPDNFQQLTNLMELSIVDCPKLEKRCKRGIGEDWHKIAHIPEFYLESDKKPTFCDNIISAWKKQFWDPCQSSYSGWSYFDSMVKDEDK >RHN76370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49716099:49717193:1 gene:gene12683 transcript:rna12683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDSPNSPDFAFTSSTTVHNNNSNIFRSRSTKETPFSKLSCPTFSRSLQNSPVSSPQHHYFPTKLSDFESLQTTYHCASSVLRNDGQITSIALSSSGLLYTGSDSNVVRCWKLPEFTECGQLKTKASKVVAIEVSNDTVYAAYGDGKIRVWTIIWDNKVLKHVRSATIPKTLGYVRSYIAGKDKTVSLVP >RHN39877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11308681:11312616:1 gene:gene45958 transcript:rna45958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSSPSKRREMDLMKLMMSDYKVEMINDGMQEFYVHFHGPSESPYQGGVWKVRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRPTYEQRVKEYCEKYAKPEDIGAATEEKSSDEDEELTEDEYDSSDEQVAGKADP >RHN61853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38856710:38860955:1 gene:gene24357 transcript:rna24357 gene_biotype:protein_coding transcript_biotype:protein_coding MGIINYYNCVTCAATPISQDSSSSPLQPNPRQTKVILPNKKPMKWSTGMAPGDYGGPPTTTKLRKYWGGEDPDPLASDDYLWNKDFVPHFERMIHPPEQPSPSKEVPSGFLSLNRVMSLDSMEVDLSKELSAPVVQQQIETPIIATESDSKRVRWRLAPTRREQDKWNRAAKAATDGSDVMLRESRKSRENPEVLAAQYREQYIKLKKRLQALTLGIGGVGLVSTYVSYSPEIAASFGAGFLGSLAYIRMLGSSMDSLNPNGARAAIKGAIGQPRLLVPVVLVMIYNRWNAILVPEYGIMHLELIPMLVGFFTYKMATFAQAIEEVITVASKKDLNISTKD >RHN38831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2326866:2330737:1 gene:gene44800 transcript:rna44800 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVTTVSPRNPNSLFISFLLISFFCFTPLLAKSPHPISDVQVRNNKIQCYADIDSGLWGWQCKSSMIARENCALRCLSPSCYELIYESDPLEEGEKDLIRSQEYKYCMHKLSLGESLKGVKGAFDR >RHN82385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53345119:53346037:-1 gene:gene6548 transcript:rna6548 gene_biotype:protein_coding transcript_biotype:protein_coding MNSISAVTLEIVYIFIKATPSSSAVTLIAITSYPIQPKPISQ >RHN78400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14786011:14788454:-1 gene:gene1952 transcript:rna1952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MDSHEPQQPHPHPHPDHLQLENVVLVDPNPFTNTVLTTMMEPITARFPQLHMNTNQPPHSEPLNNNIPSTLKPCVTASSGSGSIHKKKGRPRKYFPDGNIALVSSPALDATITSHSSSIANKSTRGRGRPRGSLNKKKKVEVSGVSGTGFSQHVITVNPGETLMMLRRWLLMYVEMDIVMKLKTFCQGGPNTDMCILSAHGLVGTVALHQSGTIVLREGRFEILSLSGMLEEFDNKNGFKTMGYFKVSLVDPNLNVLGGVVADKLIAASFVKVIVGSFTLDGKNCSSSNLKLGSSSMTISQFAAPRTPTSAAASQGPSSMSYGNNENIPFDQVLGIYNNDSEPIPTLSMYQQIDSPNSK >RHN48474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47717578:47720030:-1 gene:gene43193 transcript:rna43193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MKEKRKGCSPTEMNMESKKKLKNVNKSVDPQLWHAVAGGMVQMPEVNSQVFYFPQGHAEHACEPVNFSSYSKIPSFIPCRVEAIRYMANHETDEVYAKLRLVPMNINQVSFDNDGVAGINVSETKDKHQSFAKTLTQSDANNGGGFSCPRYCAETLFPRLDYSANPPLQDIFPKDVHGEKWHFRHVYRGTPKRHLLTTGWSPFVSDKKLASGDSIVFLRSENGDLHVGIRRAKRRNNVGVDPLSGWKSGSGIGICAAPPYGGFPSFSGEEDNKLRRNGKGNGLLISDGMMGRGKVKALEVIEAVRLGTNMQPFDVVYYPRSGTPEFFVKTSLIGMALQIRWCPGMRFKMAIETEDSSRISWFIGTVASVQAADPSWSDSMWRLLEVTWDEPELLKNVKRVNPWQVEIVSNMPSIPLSPFIPPRKKLRLPQLPDFPIDGQFPMPTFPNNLLSPNIPIFYLPETSPAGMQGARHGHFGVTLPDFHNLPLGLFQPSFQQPFNNIATMPMTVPNNPALQKPNTSENVSCSHSISTSAQSSEKPDHAKPHQLVLFGQTIQVDAGNENSEKKMSNHLSDLHLQGLSSRSSDARFEWNAENQHEETLAGETLEMKHSGKNE >RHN62027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40165897:40167425:1 gene:gene24552 transcript:rna24552 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGEEKKISTSELMASAKVVAEAAQSGFGKDLDKDKTAEAAGDLLDAVGQYAKLDDQKGVGSYVDKAADYLHKYENTTTATPPASKPADQPKSDEAAKPEGEGSGGIGGLGGDFAKVAGGFFK >RHN56589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32091330:32092398:1 gene:gene32016 transcript:rna32016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIETLKFVYAMILFLSLFLITSEVGGLYIGCETDRDYPPLANKTFYLKCIDKKCEWTVTDSLSTRSGRMQKLSI >RHN72042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4594779:4595411:1 gene:gene7710 transcript:rna7710 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGFYVILTLVLVLVSFSCCESRPLGTTQYGSMNNLAKDSGLGVEHVMRAWLSSMSKEKPRRTSRLSPGGPDPRHH >RHN57065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36216660:36218193:-1 gene:gene32558 transcript:rna32558 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRHSSVCQHGYSTEIIHLLELGTMSYFWTVANIMGNPFNKAFYVGPKEFLYRVCNILILNNFVS >RHN74518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34260590:34262759:1 gene:gene10605 transcript:rna10605 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVSSLVRVLGGGGYKDEQHRTVGNDSHGEKSTALITRDLLGGSSSIESQELDLDLQVPNGWEKRLDLQSGKVYIQRCNTLTQSPISEQKNQGKSTPPKLQDLNFPSTQSNVPLNLFDESNLDLKLVSSALPTSNYQSVCTLDKVKSALERAEKEPIRKRSTFLKSSISATSPSYSSSSSSMREPNHQDEESDQEKMISSPMAAGCPGCLSYVLIMKNNPKCPRCNSIVPIPTMKKPRIDLNISI >RHN41383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29676767:29677357:-1 gene:gene47701 transcript:rna47701 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRHIFADEIFENGKIRQIPHTFDQSLFIYPTSNNNVSHLRPPLKKIFIKNSVNRHSMLGGISKESQNESLQNMTMVEIKASNECYEKSNSTGSSNLWKFRQNMNLRSNSDHKDSLVLLNASDPKNSRKPKVENIVNKKRKDEKHKNGLSAYEKLYVSNKTRKDSNKRRSFLPYKRQLLGLFTNMNGLSRNLHPF >RHN59110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7543973:7551863:1 gene:gene21099 transcript:rna21099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S10, serine carboxypeptidase, alpha/Beta hydrolase MVPPHSSLYYCCQFVLTFALFSLHMLTPLEASGSRVEHLPGFQGPLPFELETGYLGLGETDDDMQVFYYFVKSENNPQKDPLMLWLSGGPGCSSFSGLAYEIGPFAFEIKEYDGSVPSLVLRPQSWTKICSIIFVDLPLGTGFSYAKNVTDHRSDWKLVYHTHQFLRKGYLLGNPLTSYREDNYRIQYAHGMGLISDELYASLQRSCKGEYIDVDSRNELCLGDLEYFHECLSGINLFNIVGSFCEDDLHMWRRSLTQELNASLNSRLTVPELRCRNYGFYLATKWFSDESVRKALHIREGTIGKWERCYRTDFEREIFSSVEFHANLSKKGYRSLIYSGDHDVVVPFISTQAWIRDLNYSIVDDWRSWFVNGQVGGYTRTYSNQMTYATVKGSGHIAPEYTPELCFPMFTRWISNLPL >RHN72817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10962849:10963686:1 gene:gene8578 transcript:rna8578 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPSLYQRRNDELEVILEAHAGEIVTLYECCVNDVECAPGYTFTDGFARYTITSMNVSESVSKDSIDVSESVSRDSRVQVSDEYESRLFENPNINDFIYLGHLPCLEDFIKQQRNFEKLNPYV >RHN39437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7113517:7114634:-1 gene:gene45459 transcript:rna45459 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKSLTTLIANDTAVKEVPCLLVRSKSIGYLSLCRYEGLSCDVFPSLIWSWMSPTLNSLPRTSPFGNISLSLSSTDIHNNNLGFLSPMIRSLSKLRTVWVQCRSKVQLTQELLRILNQCDVNFDESETSHSSEISNLSLRSLLIGMGSCHIIIDTRGKSISQVLSLSSWLS >RHN67271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25397615:25398334:1 gene:gene15447 transcript:rna15447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLDLQNLTVLRLVWPYSVKDPLQSLKSLQHLLRLLLVLSKYEGLQLHFQDGGFQKLKELEVSDCIELREIIIDKGSIPSLKALSLIDLHNLKNIPTGIQHLEKLEELWIAGVDDEFGKRSSTEDWNWIMEHVSLVKKFIPGI >RHN48026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44368481:44373561:-1 gene:gene42695 transcript:rna42695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-ketoglutarate-dependent dioxygenase AlkB MAAIQESRKDQPKTAPFQPAPPFVDSYAKDAMLAWFHGEFAAANAIIDALCGHLAQIAPSSNDYDSAFTAVHRRRFNWIPVIQMQKYHSIADVTLELHKVVENKTESVEGVKKIDEEVVESVRNGGVDDPAEVTVEDDSLVSEITDSGSQEVQHSFMNNSICSNHEECEGRHLQLRCIKNFTAKEFVKGHPVNAVKGLRLYEDVFSESQLCKLTDFVKEIHAAGQNGELPGETFILYNKQVKGNKREMIQLGVPLFGQIKDNTKSNIEPIPPLLEGVIDHLIQWQIIWDNKRPNGCIINFFDEGEFSQPFFKPPHVDQPLSTLLLSESTMAFGRILMSENDGNYKGPLMLSLKQGSLLVMKGNSADMASHVMRPSTNKRVSITFFRVRGDNYQGQSTSPPTTTSAMTLWQPSTPSPLALPNGSLSCYEAMDMMPKWGLFGAPVVMLTPMHPMTMNPSNLPCGGTGVFLPWKGQPKKQPRYLPPRAHKGRVMALPSHVESHKEDSTTEPTITVEL >RHN51028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13125431:13129101:1 gene:gene35391 transcript:rna35391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB family MLGDSAVLGTGGGSSGDGAGAYHDGGGGSISGDDERGGGSSRNEEGVDRSFGGNRWPRQETLALLKIRSDMDGAFKDASVKGPLWDEVSRKMADLGYQRNSKKCKEKFENVYKYHKRTKEGRGGKSDGKTYRFFDQLQALENNPSMHQSPSITPPPISTIPTPTTTPSFLSAPPTTTVPSTTIPMSYTQSNPTHFPPIPSSTNPINTNNPIPQITTTPPPSSFPNISTDFFSNSSSSSTSSEETTTMEGGSNRRKRKRKWKDFFERLMKEVVEKQEELHKRFLEAIEKRERERGAREEAWRLQEMQRINREREILAQERSLAATKDAAVMAFLQKIAEQQEQQNLVPPVLNNSTIVPQQQQAPQETIPTPTPKPTPTPTPTPVPLPAAAAPLPIPIPAIPTPQQVQNPTVTVQQQTSVIPQASPLPQHQQQQQQVQVQQQQVMNMEVAKSDNNGESMMHASSSRWPKTEVEALIKLRTTLDMKYQENGPKGPLWEEISGLMKKMGYNRNAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYRQKNKVEGAAAAASRPEGTMMAPLMVQPEQQWPPQQQVPPAAGADVTMEEAETENDRPHRDEEEYYDDDDGDDDEDEDEGIDNYEVVANKPPPPQ >RHN40209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14225272:14229997:-1 gene:gene46331 transcript:rna46331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carotenoid oxygenase MSNISSSRLNSLRSYRGTQVFNISTTTIHSHKPTCVKIKSCSIGGGIVKVEPKPRKCFTSKAVDLLENLVVKFFYDSSLSHHWIAGNFAPVKDETPPTKDLPVKGHLPDCLNGEFVRVGPNPKFSPVAGYHWFDGDGMIHGLRIKDGKATYVSHFVRTSRLKQEEYFGGCKFMKIGDVKGLLGLLMVTIQTLREKLKILDVSYGTGTANTGLVYHNGKLLALSERDKPYAIKVFEDGDLHTLGILDYDKRLDHYFTSHPKLDPYTGEMFTFGYAQTPPYITYRVISKDGYMYDPVPITISDPIMVHDFAITENYAIFMDLPVYFRPKEMVKNNKLIFSFDSTKKARFGVLPRYAKDDKLIRWFELPNCFIFHNANAWEEEDEVVMITCRLKNLDLDMVSEDVKDKLESFSNELYEMRFNMKTGKASQKKLSAFSIDFPRVNERYTGRKQKYVYGTILDSITTGIVKFDLSAKPDFGKTKLEVGGNVHGIYDLGRGTFCSDPIYVPRVPGTDSEEDDGYLIFFVHDENIRKSFVHVIDAKTMSADPVAVVELPQRVPYGFHAFFLTEDQLQEQAKL >RHN51009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12848723:12852810:-1 gene:gene35370 transcript:rna35370 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFPQNPQISISLSNIKNFTPVPRSPTPTSSSFTFPSRSPTQSSSSFTGSNFEIEIVPKSQRSVSVLLPLNRYAFSHSTLALTLAT >RHN59222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8542140:8543427:1 gene:gene21222 transcript:rna21222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartic peptidase A1 family, xylanase inhibitor MGFIHNVLGHCLSAEGGGYLFLGDKFIPSSGIFWTPIIQSSLEKHYSTGPVDLFFNGKPTPAKGLQIIFDSGSSYTYFSPRVYTIVANMVNNDLKGKPLRRETKDPSLPICWKGVKPFKSLNEVNNYFKPLTLSFTKSKNLQFQLPPVAYLIITKFGNVCLGILNGNEAGLGNRNVVGGKTFLNLQKCYIYIFENFIVKSILLLLTDISLQDKVVVYDNEKQQIGWASANCKQIPH >RHN76826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1596133:1596720:-1 gene:gene205 transcript:rna205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoenolpyruvate carboxylase MVLKFLLLSEELHRSSKKDAKHYIAMYYYCNKILEQHCCNIHVILGGVRDKLYNTRECARQLLANGTSDIVEETTFANFEQVLSSQQLNTPLRHNGNGIVTPEWKFPCSNMLRCFLFLEPLELCYRSLCACGDRSIADRNEGLSIHIWTFTRKT >RHN39080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3969116:3969403:-1 gene:gene45073 transcript:rna45073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MNSLIQNDQTLLQFSLIYMSSLIYIFLVVVLVPHVVLAREIMRSEEIIFDQNYKVTWGDNHVISINQRKEIQLTMDYSSGYSQPFIIPQLFFHSF >RHN62424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43347515:43349882:1 gene:gene25000 transcript:rna25000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP-binding protein, ribosome biogenesis, YsxC MTMALRRASITLTFLFQTPPSPLTHTFSLPIPKRTTTPSFLSLHHSSIPASKTLTPPPSEKPFLFIPPGVEPTEINDSMILPFSNIVVGPYAGDSRIKDVEFVKSSPKAKDCPKDHRPEFAVLGRSNVGKSSLINSLVRKKELALTSKKPGKTQLINHFLVNKKWYLVDLPGYGFAKASEAAKVDWSSFTKGYFLNRDTLVAVLLLIDASVPPQRIDFDCANWLGRNNIPVTFVFTKCDKMKVAKGKIPEENIRDFQEIIKQNYKQHPPWIMTSSVTGLGRDDLLLHVSQLRNYWDQ >RHN60613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28839195:28840157:-1 gene:gene22953 transcript:rna22953 gene_biotype:protein_coding transcript_biotype:protein_coding MENFKKHNENLSVNNRGKNIGENYFQHKIDFDNEIITTSRNLYPYSNFYEVHRDEFKSFIQHITGNQSNSPKPHTKVTRLQKNRPPPLSIVRPPISVQVPDPLPPSTAPYNTFLGHHVQSFTGPPLVNNLQNNLDESSISAFMRNFQESNMSFDNSEGNHFQPYPHQPQLFNNINVQYQPITQSQEYYYPMNGSDQLVTGSSSTQKDVSNLSVPFNLTNPTFPMNDNNHILNGFPSSQTNGLRSITSEFLLPSLESNMDFLSPQSPYSPQLSPSLFSLLSSPEYPFYPYLQNGNLFPDPPSPLSSSIFPSFTNSNRPDNQ >RHN71352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58465799:58466128:1 gene:gene20025 transcript:rna20025 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLSNSTIPSSRSASLRIIGALIAMALLLWTIRSGYQAATEPQHQLYGQWISHVGVLIMLVGFLLLAIGLPIIADLFLGLSDEIQYPAETDLNLHQRINLKVKVDSCV >RHN46925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35815703:35816322:1 gene:gene41462 transcript:rna41462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MKSLYSKASYLLLITLILTSMYSEAQQCRPSDRISGKEAPSAQCNQENDSDCWVQGQMCTTYECSPSVSTYTKAYLTLWLPDPLVGYSVRGSYHLLTSRHVPLRDHASSLIWHKQVPLKVSLFAWRLLRDRLPTKANLVQRRVLDSEASLCVLDCGMSETAQHLFLSCDAFSSFWPLVRNWLVCELDRWR >RHN82441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53820440:53826580:-1 gene:gene6608 transcript:rna6608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MELHTHLIKFGFSRHSSLRNHLLTFYSNSRRFGYACNLLDQSTEPRTVVSWSALISRYVQNGFHKEALLAFNEMCTLGVKSNEFTFPTVLKACSIKKDLNMGKKVHAMTVVSGFESDAFVSNTLVVMYAKCGQFSDSKKLFGMILEPGVVSWNALFSCHVQSDFLAETVDLFKRMVEGKVRPNEYSLSIILNACAGLRDGGIGRTVHGLLMKLGHGLDQFSANALVDMYAKAGRIEDAVDVFREMIHPDTVSWNAIIAGCVLHEYNDLALILLNEMKKSGSCPNVFTLSSALKACAAMGLKDLGRQIHSCSVKIDSDSDLFVAVGLIDLYSKCEMMDDARRAYDLMPTKDHIIAGNALISGYSQCGDDEQAISLFFELHHENIDFNQTTLSTVLKSVASLQQIKVCKQIHTLSIKCGIYSDFYVINSLLDTYGKCSHIDEASKIFEERTWEDLVAYTSMITAYSQHGDAEEALKLYLQMQVADIKPDPFVCSSLLNACANLSAYEQGKQLHVHAIKFGFMSDIFASNSLVNMYAKCGSIEDADRAFSEIPQRGIVSWSAMIGGLAQHGHGKEALIMFNQMLKDCVSPNHITLVSVLCACNHAGLVNEGKQYFETMEEKFGIKPTQEHHACMIDLLGRSGKLNEAVELVNSIPFEADGSVWGALLGAARIHKNVELGEKAAERLFTLEPDKSGTLVLLANIYASAGMWENVANVRKVMQNSNVKKEPGMSWIEVKDRIHTFIVGDRNHSRSDEIFAKLDELSELLSKAGYSPIIETDIHNVERSEKEKLLYHHSEKLAVAFGLIVTPPGAPIRVKKNLRVCVDCHTFLKLVSKLVSRQIVVRDINRFHHFKNGSCSCGDYW >RHN82347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53032807:53050533:1 gene:gene6507 transcript:rna6507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MSIVHHLVFHSSFFRHTDTQTHAHIESSHHNNIQHDAVLPNLALHASNSIIIIISQKTLNLSFHHLAMKDRPSLSSHGAIYVPPHHRLRSVVTSASPAPISVKLSQNQPPPPTTLQTPLSNNNANSRFVSAYDDVISEDCSNHQLHVPSLPSGYLNDNMNEWKRKLMMLLNDKSKQEVISREKKDRRDFDDIAVLATRMGLYSHKYSKVVVFSKAPLPNYRYDLDERRPQREVSMPLTVSKRVGAYFEEYLSQKSRVKKSFSDSSFARSSSDGSLGTDEGFFVQPEPLASSKSVVEKIARQISFQMRDQQQAWQESPEGRKMLEFRSNLPAYKEKEAILSVISKNQVVIISGETGCGKTTQIPQFILESEIESVHGAACNIICTQPRRISAMSVSERVAFERGEKLGESVGYKVRLEGMKGKDTHLLFCTTGILLRRLLADRNLKGVTHVIVDEIHERGMNEDFLLIVLKELLPHRPELKLILMSATLDAELFSLYFNGAPIVNILGFTHPVRTLFLENILEITGYRLTPSNQIDDYGQERAWKMNKQAPRKRKSQIASAVEDAIRSADFREYSLQTQESLSCWNPDCIGFSLIEYILCDICENKRPGAVLVFMTGWDDISSLKEKLQTHYVLGDPKRVLLLTCHGSMASSEQKLIFEEPEDGVRKVVLATNIAETSITVDDVVFVLDCGKAKETSYDALNNTPCLLPTWISKASAHQRRGRAGRVQPGECYHLYPRCVYDAFAEYQLPEILRTPLQSLCLQIKSLRLGSISDFLSRALQSPEILAVQNAVEYLKIIGALDESENLTTLGSYLTMLPMEPKLGKMLILGAIFNCLDPILTVVAGLSVRDPFLSPLDKKDLAEAAKSQYTGAYSDHLALVRAYAGWKEAEIDLVGYEYCWKNFLSFQSMKAIDALRREFIGLLTDIGLVDSNTTSCDTWSYDVNLIRAVICYGLYPGICSVVHNEKSFALKTMEDGQVLLYSNSVNARETRIPYPWLVFNEKIKVNSVFLRDSTAVSDSMMLLFGGSLSKGDADNHLKMLGGYLEFFMEPTVADMYQSIRRELDDFIQSKLLSPRMSVHSHHNLLSAVRFLISHDSCEGRFVFGRQVLKPSNISVMAPHPASLVPRTESGPGGDNSKSQLQTLLTRAGYAAPVYKTKQLKNNQFRATVEFNGVEIMGQPCSNKKSAEKDAAAEALQWLMGKQAGCEYIDHMSMFLKKSKKDHN >RHN63242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49628750:49632809:-1 gene:gene25921 transcript:rna25921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-mRNA-splicing factor Cwf15/Cwc15 MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDIASHTTLKPRKDGQDTQDELKRRNLRDELDERERRHFSSKNKSYNDDRDHGRSGHLFLEGTKRDIEDNIIPRSVDADDSDVEVNSDDESDDDDDEDDTEALLAELEQIKKERAQEKLRKEQQQQEEDLKVKEAELMRGNPLINNPTSFSVKRRWDDDVVFKNQARGETKLAKRFINDTIRNDFHRKFLHKYMK >RHN80517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38759913:38764750:-1 gene:gene4454 transcript:rna4454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MFENSSVFVMDILGNLVTFIAPLWIAVIFGVVVGWAWKPKWAIEPNNYSWSTNLFKFRIPWFNYSELQNQPGLEYSATSSSGESEKGLRSIVTEHDLQNLCKLVEEKDGGPAWIQMMDRSTPNMTYQAWRRDQENGPPQYRSRTVFEDASPELVRDFFWDDEFRSRWDDMLIHASTIQECEVTGTMMVQWVRKFPFFCSDREYIIGRRIWDAERTYYCVTKGVPCSSIPRQSKPRRVDLYYSSFFIRAVKSRKDGQLTSCEVLFFHYEDMGIPWEIAKLGVRQGMWGAVKKFDPGLRTYKKERDSGVPLSPCANNAKINTKVTADYVRCLEDSTSNLLETENQDSFDDKPIGRSIPKLLVVGGAIALACTLDQGLVTKAVVFGIARRFGKFGRRL >RHN47052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36810805:36814056:1 gene:gene41605 transcript:rna41605 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKRSKQRKEKQDTSSYPGSFHKTKVVQSPLHFQGDFTIITKITNAQYSLSMRLLKNAQAHTQESSNAQALSKSLLMLKHACKSLLIKQKYKEISLT >RHN54496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10065875:10069279:1 gene:gene29549 transcript:rna29549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxymethylglutaryl-CoA reductase (NADPH) MDVHRRTVNAVVSEKYSKTKNQKLDSQSQSSLYLTNAIFFGLFFSVAYFLLHRWREKIRTSTPLHVLTISEIAGVVSLIASFIYLMAFFGIGFILHPFAASRAVQYDEEDESDIAKNSGSCLAGVAQKLPPRIPVEKVVPANLPAPVLLSMEDDEVVRAVVTGTIPSYSLESKLGDCKRAAAIRNQAVERVTGKSLSGLPMEGFDYDSILGQCCEMPIGFVQIPVGVAGPLLLDGKEYTVPMATTEGCLVASTNRGCKAIYVSGGASAVVLRDGMTRAPVVRFNSAKRASQLKFFLEDPQNFDSLSHTFNKSSRFARLQNIKATMAGKNLYIRFTCSTGDAMGMNMVSKGVQNVLDFLQSDFPDMDVIGISGNFCSDKKAAAVNWIEGRGKSVVCEAVIKEEVVKKVLKISVESLVELNMLKNLTGSAMAGALGGFNAHASNIVSAIYLATGQDPAQNVESSQCMTMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKETPGANSRQLATIVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSSKDVTKVTS >RHN48982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51562602:51562925:1 gene:gene43759 transcript:rna43759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S8/S53 domain-containing protein MKNPTQNQQQNTNLVSKSKVESKSKTKRKTRHITGLVALIKQKFPNFSPADIGYALSTTASQNDKSGGPIMAQRPYAFPDLSQTPPTSFNMGSGFVNAAGELNMGLI >RHN58219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44724844:44731073:1 gene:gene33839 transcript:rna33839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloride channel, voltage gated MGGLGCAVGIGVRVEPNSWHYHHHLKAITVTRSGFPSSSSYSSYSNFTTTRRFRVPPHNNSQNREGDVEEGVSSSSSSSEWIRNSGIISSCLVGLLTGVAVVLFNNVVHEIRDLLWDGIPDRGASWLREAPIQETWKSVILVPAFGGLLVSLLNLLSNSNSNSRPFLKAIAASITLGTGNSLGPEGPSVDIGNSIARWIASTPLFTSAKLLPLRAAGSAAGLSAGFNAAVAGCFFAVESVLWPSDSDSSNLSLTNTTSTVILSAVIASVISEIGLGSEPAFQVPDYDFRSPAELPLYLLLGILCGLVSLTLSWSTSYMFTLFDNLHKATGMPKASFPILGGLSVGLIALLYPEILYWGFENVDILLESRPFVKGLSTDLLLQLIAVKIVTTSLCRASGLVGGYYAPSLFIGGATGMAYGKLIAYAVAHSNPVINLSVLEVASPQAYGLVGMAATLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGLSSWISSVQTKRGDERDREKLKTQNSNSSSFPEISSCSSIELSTGNTSAEGMSYLSKSCQVESSSSVEDNNDETMNYVRRTLVSEAMKTRYVTVSMCTPLTKVIDLMLAEKQSCAVIVDTDDTLIGLLTLGDIRAFGKSAKSGSKNPKEILVSELCVLNGGICSVPCTVTPDMELCHAQMIMKEHGVNQVPVVKNIYERTHPIGLLDPDSISLTYSALATRQSLS >RHN74215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30287859:30289735:-1 gene:gene10238 transcript:rna10238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase MKFSTVIIVSFLFLADFCAAQSGVLDIAKFGGKPNSDIGMALTAAWKEACASTTAAKIVIPAGTYQLNGIELKGPCKAPIELQVDGTIQAPADPSVIKGTEQWFKFLYMDHLTLSGKGVFDGQGASVYKKAQPAAAWSGKGGNSKNFMNFGFNFVNNSLVHGVTSKDSKNFHVMVFGCNNITFDSFTITAPGDSPNTDGIHMGKSTGVKILNTNIGTGDDCVSIGDGSKQITVEGVKCGPGHGLSIGSLGKFTTEENVEGITIKNCTLTATDNGVRIKTWPDAPGTITVSDIHFEDITMTNVKNPVIIDQEYCPWNACSKKNPSKIKLSKITFKNVKGTSGTAEGVVLICSSAVPCDGVELNNVDLKFNGAPTTAKCTNVKPIVTGTAPVCQAPGAAPAASTTASPAAGKAPAGKSPAK >RHN69243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42059443:42059958:-1 gene:gene17685 transcript:rna17685 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVPTETDLGRSTTAAGLSDFTVSDGTGSESSRKSLIGRFLFTAILICSSFTVLRFFSIATSADFSASNCKKSSVDRDTKNKASELTLFTSLSRVIIFFTLLFGNSGSNDSVFSSSSPITVAITIPNSFLLSYNIILISNPN >RHN47282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38466991:38468737:-1 gene:gene41859 transcript:rna41859 gene_biotype:protein_coding transcript_biotype:protein_coding MELERINPEYFAFEESVEDEGFLGLCFLKKAWVILSFRYCLVSLRVKCFVHEYLCLQICNIDDIKIIDCIYTYSKLIFQSESNKYYTKTENQNKQSRAKMTKSLKQTNKY >RHN66458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12548682:12549395:-1 gene:gene14449 transcript:rna14449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MDPDGKLLMNRYEFRKSLGQGNFAKVYKARDLRTGDRVVVKVIDKEKVRGPGMMVQTKREIATFGWVKHPNVLRLYEVLATKTKIYLILENAKDGELFPQILIGNLNYYQTRQYFQQLVSALDFCHKKGVYHRDLKPENLLLDENSVLKIADFVFSTFIESHHCNMLHTMSGTPMYVAPYVLRGKGYYEEKDDGWSCGVILYVLLAGYYPFYDHNLMELYQKIHKGEYKCPPGFQSR >RHN51041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13297188:13297866:1 gene:gene35411 transcript:rna35411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stizolobate synthase MLMYPEADIPVCQLSISSDRNGTYHYNLGKALAPLKDESVLILGSENATHNKTVIAPRESPPFPWAVEFMSWLKNSLIEGKYDEVNHYEEKAPYAKLAHPHRPEHMFPLNVAMGAAGENSKAKVIHDSWDLGSLSYVSFGFTAACT >RHN65026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63717144:63718985:1 gene:gene27919 transcript:rna27919 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSFCFLSPIIFIFKRDSISIKSSPEIWETRYPILSSVANTTTICLLEIAWS >RHN81454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46045055:46055623:1 gene:gene5499 transcript:rna5499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sec1-like protein MRFICSNVHDDISKGLQREYHVYFVPRRTVACEKVLEDEKLHHMITIGEYPLYMVAMDEDVLSFELDLSYKECQVDGDASSLWHIAKAIHKLEFSFGVIPHVRAKGKASVRIADILNRMEAEEPINSSDMAVPEINTVILLDREVDMVTPLCSQLTYEGLIDEFLHINNGSVEIDASVLGLQQEGKKTKVPLNSSDKLFKEIRDLNFEVVVQILRQKATSMKQDYTDMTTTTQSVSELKDFVKKLNSLPEMTRHINLAQHLSTFTSKPSFLGQLDMEHTIIESQSYDICFEYIEELIHKQEPLTTVLRLLVLFSITNAGRELLHSYGFEHIATLNNLEKAGLFKKQESKSNWLTIKRTLQLVVEDTDTANPNDIAYVFSGYAPLSIRLIQHAIRSGWRPVEEVLKLLPGPHLETRRGGFSNSPSFDTFYGVSNSIDKVPDGRRSLVLVVFVGGVTFAEISALRFLSAQENMAYDLIIATTKIVNGQTLVETFMEKLG >RHN41617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31547312:31547710:-1 gene:gene47959 transcript:rna47959 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLVNFFKSSTLYTERNSRDVGEVATHYFESLKSLIINVEMFLGILMSLKGHLKLFCFKRNYLFHSNCLEVLRCFCTWPEEDKLYYLSLIVTSSEDDKTSQTLIMPTWPSESKSFRGFTSSEPGSLESDSF >RHN78752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18060129:18061336:1 gene:gene2400 transcript:rna2400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase SIN MLCDNDHIVCSTCCTKLRNKCHECSLRISSKRCKVIENLLLSIKISYPNAKHGCKAKISYTDRKHEEKCIYVPCDCPLSGCDFAASSELLSNHFSHKHGDSQIKFSYGQSFTVSMKSNDETIVLQEENDGKLFILNNRSMLLGNAVNLCCIGPNSSESDYSYDILARSQISKLKLQSFVKNVQQVPLATLSSELLVIPFGSSEPLKLDICITPRMQIYIRTQTGKTYPLRVESSDTIMNVKKKILDKEDIAVHQQRLIFDGKQLEDNRTLADYNIQEKSTIHLCLRLIGN >RHN48500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47984874:47989066:-1 gene:gene43221 transcript:rna43221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MQLHALLSYLIKTISHQNLTLYFFFIFEACFSLSSCNPNTATQNMHAYGALIIVSRSQSSIHFCFICQTTLKNIQKVLCSIKVAMSTLLIFIYNCSDQVLATRERRKAKSREAAAKHVRETVQAREKWKQARDLAKKGSEGLQKQLSRTFSRKKSVKQGPLFPSTPPDPPSKYKEPSNLTKIMNSIEDDEHEGFDFQIGDKNIKKQMPKGKKLNTHSQILRYAYGQIEKEKAQQEQNKNLTFSGLISMAGEGEEVNTRPPIEVAFNNLTLTLKGKNKHILRCVSGKIMPGRVSAVMGPSGAGKTTFLSALAGKARGCTMSGSILVNGKNESIHSYKKITGFVPQDDIVHGNLTVEENLRFSARCRLPADMSKPDKVLIVERVIESLGLQPVRDSLVGTIEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTTGLDSASSSLLLKALRREALEGVNICMVLHQPSYTLFRMFDDIVFLAKGGLTAYHGPVKKVEEYFSGIGIPVPDRVNPPDHFIDILEGLEKPTSGLTIETLPVRWMLHNGYPVPPDMLHLADKIATPSPSTTNATLTSKGTEETSDQSFFIEFWEDLKNNIRIQGDHVEAIFSRTKDLSNRKTPNVAQQYRYFVGRVSKQLLREAQLQAIDYLLLLVAGAILGTLTKVNDETFGSLGYTYTVISVSLLCKIAALRSFTTDKLQYWRESAAGISSLAHFLAKDTIDLFSTIIKPVVYLSMFYFLSNPRSTFGSNYIVLVCLVYCVTGMAYALAIFFQPSPAQLWSVLLPVVMTLIAKQNRDTIFMKILVQLCYPKWALEAFIIANAERYTGVWLITRCSSLMNSGYDLNEWLICLLVLILNGIIARIVAFICLVLSQKR >RHN46676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33978652:33981151:1 gene:gene41195 transcript:rna41195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MEEDQTLVSMLVKVTCLATICLVLGIPLANAVITCRDAAITLMGCLPYVAHPTPSPPQNCCAAVLDVTGQAITREDRQAVCSCLKGLMNGIPGLDLTALASLPKVCGANIGYEISPDMDCSKIN >RHN44421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4481530:4483057:1 gene:gene38540 transcript:rna38540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gag-polypeptide of LTR copia-type MANGSDKSDSGDKSDSAQKSNSAPHTHDMYSSLTPERLDGTNYTEWALNAENKIRGRKHWGYISGKKVTPATKTSDEYETWEDENCLVKSWLLDAMTKDVRSLFIRLPTAKKIWESVKETYSVSQDASKAYQLYCEVISIKQDGGSVVTYFAKLQKLWQEIDAIEDCTMVCTKDVETYTNKLNAQRVYIFLAGLDSHLDGVRGRILATIPLPGIQTVYANVCVEANRQEAMLCTTQSEGAAMAMKKPFNSNKGNRKCTHCNGNNHTADTCFKIHGYPQWHPKGKKEDALNNNTTGASGFVAKSECSYKGEDWQW >RHN42906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41850073:41850342:-1 gene:gene49412 transcript:rna49412 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMVAQIASPSFLIRDCDLDQCSAKRSAIEGHVDENNTIERVSCCCGGSDDNDGGGCGCDGDSSRKKLRFVKKLQISRNVRMRVCEIT >RHN81168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43810464:43812718:-1 gene:gene5174 transcript:rna5174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative U1 small nuclear ribonucleoprotein C MPRYYCDFCDTYLTHDSPSVRKQHNSGYKHKANVRLYYQQFEEQQTQSLIDQRIKEHLGQAAAFQAVGVAYNNLMVQRPNLPPVLPPPRFPIPGGHPLMPGFRPLMPRPLPVPGAPGCPSAPNTMPQMMPPPGAPQIPGQLNTLPRPPSFAPPPTVPGSTTAQRSNGAPSMASSAMYQANPPAPSSGGYDNYNSNSNSNVQAPESNQ >RHN61991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39849655:39857942:-1 gene:gene24511 transcript:rna24511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin, winged helix-turn-helix DNA-binding domain, anaphase-promoting complex subunit 2 MEDSHSSLFNIGILDALTQDQLHEILESYNLFCKATQSLLGGAGDLSYGAEFVSHVYTLCKHGLESLVRDHFLKVLEETFERNGSSRFWRHFVPYADLVGLNKNGDVNIDEDEIESVLCNALEEISLEKQYQEKCLLILVHALQSFKDQTSEERHNFEAERNYLTSKYQWTVSSVLMATLPRVFPAILHWYFKRRLEELSTVMDGEFTDDVSQNKDDMDLDEKGKICKDGEMDVDECYSDHRFSENSKLVKNIGKVVLDLRSLGFTSMAEDAYASAIFLLLKAKVYDVAGDDFRSSVLQSIQSWIQAVPLQFLHALLVYLGDSVSYESTSSGLKSPLAPKSSSCCPGIDTPSESLVRWKLRMEYFAYETLQDLRIAKLFEIIVDYPDSSPAIEDLKLCLEYTGQHSKLVESFISALRYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIRDYLRGRRDTIKCIVTMLTDGTGGNSSASGNPGDSLLEELNRDEEIQENFGIDDDFNTDDRQAWINATRWQPDPVEADPLKGSRNQRKVDILGMIVGIIGSKDQLVHEYRTMLAEKLLNKSDYDIDSEIRTLELLKIHFGESSLQKCEIMLNDLIGSKRVNTNIKATISQPPQTSVEVEDNAISMDKVAATIISSNFWPPIQDEPLNLPEPVDKLLSDYAKRFSEVKTPRKLQWKKSLGTVKLELQFEDREMQFTVAPVLASIIMKFQDQMSWTSKDLAAAIGIPVDVLNRRINFWISKGVIAESSGGDSSDHVYTIMENMAETSRNGGGSGNAQELLGGDDEEDRSVASVENQLRKEMTVYEKFILGMLTNFGSMTLDRIHNTLKMFCIADPPYDKSLHQLQSFLSGLVSEEKLELRDGVYFLKK >RHN39762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10322111:10322488:1 gene:gene45824 transcript:rna45824 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLPKSSSVFPSEHSQHPVLDSQILLSPFPSQTFQLFPSSSHLLQPYKVNQ >RHN41114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27084678:27086377:-1 gene:gene47403 transcript:rna47403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MAPPTTDRLSPLPDPLLFHILSFLPTRTSVATTSLISRRWRNLWQNLQAFEFSDDSYEDGNWPIMFRRFAFFVNAVLSLRRSRDIKRFRLTSGMVDDDWFRSDCFDVWVRAAIGPQLEELFVSLEYCDEDRQVLVPSSLLNCTNLVSLSLVGAIHIMFQPSSIHLPSLKMLKLGAGVVEFDIGNTVEFNNIDTVDSLLVFLSGCPVLETLDRVFCASFLANVPMSPFFKRLKLTGVNFSWTCVQIDSDWLCVDDSRTTGKTTLGIIGNLQSVEEAYFDVFSLRGREFMDPVLRHLRDNGDIHLLMRHFTSKWPLRTPVLNYPEFCNLHHLKFILPCFNMSLLLNVLEKCHMLQVLLIQSSKEEPSLLRTWEPKSTTVPKCFKSHLTFIHIEGYQGFEDELAFAEYVLQNGLVLHTMLVFFDTSMDLTNKNCSIRRLTDIPRGSVTCQLKFDSAVSP >RHN80927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41959017:41961169:-1 gene:gene4911 transcript:rna4911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannan endo-1,4-beta-mannosidase MGFQILVLTYFLVALAVIQHVGANIDAGFVQRKGTHFILNGKTHYVNGFNSYWLTTMASDPSTSSKVTSTFQQASQHGLNVGRTWAFNDGGYKALQISPGSYDENVFKALDFVISEASKYGVKLILSLVNNWNDFGGKNKYVQWAKERGQNIKNDDDFFTHPVVKQYYKNHVKAVLTRKNTISGVLYKDDPTIFAWELMNEPRYVNDSGKSIQNWVSEMAPYVKSIDSNHMLEIGLEGFYSETMLQKKQFNPNTAQVGTDFISNNQIPQIDFATIHIYPDQWIQGSEETTQNVFVDKWIGAHIQDSNTVLGKPIIIAEFGKSSKSAGYSIDKRDSYFKKVYNAISTSAISGGSCAGGIFWQLLSQGMDNMGDGYEVIFENSLSTSQVIKQQSLKMSSIRKQN >RHN40820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21616238:21616824:1 gene:gene47035 transcript:rna47035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding pseudobarrel domain-containing protein MSSPRKLHFDSSSSSVEVKKTEPLEDVESEKSKMQILDNYREPVELPPFLNVKRNSWEIIVNESHMQPNHKLRLPAHLTYEGILSSEKNIMLTQIDRDRDAVDSFNCEVLTEEGDPYKRYIYSGWHAFVKASQVEVGDRLLFSIESYDKNIFVCFVYESDIDSEYDNWSN >RHN55932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25706113:25707093:-1 gene:gene31226 transcript:rna31226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTKTLKFVYSIILFITLFLVAKNVDALKKCITFEDCPISKTRVYKCLHGECRYTIPYIPKVPKVK >RHN57083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36328401:36328945:-1 gene:gene32579 transcript:rna32579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal peptidase complex subunit 2 MATKGQKKANLLDHHSIKHILDESASEVITGRGYVEDVKLSNIRLLLGSVIIVIALFAQFYKKKFPENRDFLLACIALYPFHTFYLFTVCICVCV >RHN58857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5465912:5466760:1 gene:gene20811 transcript:rna20811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MDLSRNMLNASIPSCFQNMSFGMRQYVDAHGFEFSMSEGFTGEFIPNLNSFFNASLSIGQFFNENSLDEDLEFEVQFRTKHYDYFYKGIVLKIMTGLDLSCNKLTGVIPSQIGDLQQIKALNLSHNYLSGPIPITFSKLTQIESLDLSYNNLSGKIPYELTKLTSLEIFNVSYNNLSGTPPSTRQFANFDEYNYRGNPGLCGQLLNQKCERVESSPSSQSNDNGEKQTMVDMITFYWSFITSYITILLAFITVLGINPRWRMAWFYYISKFMRRFFPSFPLY >RHN39013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3557483:3560966:1 gene:gene44998 transcript:rna44998 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSHGCYRHHHHHCQHCPLRHHCHHCPLHNNNPNPPHFSQFNFTSPHLLPQTHSQNIFPLNQPINASAAQISQEQEHIELDGDEEDDEPIFVLTDEWREFFAKSEAKRKLEKKQAKKAKK >RHN82730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55937478:55939804:-1 gene:gene6914 transcript:rna6914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MMQPYGDADSNLRSIAGRAEGFGRLAIGGLHGGLYFVTSLSDDGPGSLREGCRRKEPLWIVFEVSGTINLSSYLSVSSHKTIDGRGQRIKLTGKGLRLKECDNIIVCNLEFEGGRGHDVDGIQIKPNSRNIWIDRCSLRDYDDGLIDITRQSTDITISRCYFAQHDKTMLIGADPTHVGDRCIRVTIHHCFFDGTRQRHPRVRFGKVHLYNNYTRNWGIYAVCASVESQIYSQCNIYEAGDKKKTFEFYTEKAADKEEQKSGFITSEGDMFLNGAQPLCLPIESKGESMFHPSEYYPTWTMEAATHSLREVAQFCTGWQSICRPDGQ >RHN59362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9860167:9862964:1 gene:gene21388 transcript:rna21388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Agenet-like domain-containing protein MEDFENSSNITSKTSFPHQKLQIDEWVEVRKVSWEDGFQGSWFSGTVVRCGKLKRHVRYDNIVNHDFDKLGYLVEKVSVSSVLDGVFGSSSKRGVIRPPPPSVEFDKCDLKYGMCVDVNSMAAWWEGVVYDHCDGMEERSVFFPDSGDEKKVRIKDIRITQDWDEVTDKWERRGKWLFLELIEECERESYLPVSVKQIWYDVRKKKEFSTIGEWTLNVKKDLWRQMIMEAVGDYFAITVKEVSSALKLPELRMVK >RHN71574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1120401:1123015:1 gene:gene7187 transcript:rna7187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WIBG family protein MSTSEDQRQVKQKQPKDGERILAPTRRPDGTLRKPVRIRAGYTPQDEVAIYQPKPALMRKEMASHIGPPGYDPQLDSKPKTKAVKRNERKKEKKRLQAKETNLEPTVVEDSRKQENVIVENSVHSLTSQINELAVSGDTSIVTPTTNSVEASEPIGSAQDLDKRIRALKKKIRLTEALQEKTAEQDLKPEQLEKLAKLEDWRKELKQLEDKKAEISAA >RHN63128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48695376:48700204:-1 gene:gene25791 transcript:rna25791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol-polyphosphate 5-phosphatase MKGKRSEVFWPSTVMKKWLNVKQKVYDFSEDEANTETDESEDDDTSCKDYEMKRHRRRKSETLRAQYINTKEVRVTIGTWNVAGKHPCNDLEIEGWLCTEEEPSDIYIIGFQEVVPLNAGNVFGAEDSKPIPKWDALIRRTLNKSSEPGTKKKSNSAPPSPIRRISSFNTQINPLDSALDKKEEIKTIISIEKNLQLSKIYDIDLQTILDWPELRLDPIHHVDSSPKMRRVQSTSDSASLYGFEMKSLHQSSGNFSLLWSEKQQEIVPQVFDSHLDVSDMLSDEDNDTFSELANNEDANGIISVKSHPKYVRIVSKQMVGIYVSVWVQRKLRRHVHHLKVSQVGVGLMGYMGNKGSVSVSMSVFQSRMCFVCSHLASGQKDGAEQRRNSDVHEILQRTRFSSVFDTDQPQKIPSHDKIFWFGDLNYRINMSDGEIRKLVDLKKWNELMKFDQLSNELCKGHVFEGWKEGLINFPPTYKYEFNSDKHVGGNTQEGEKRRAPAWCDRILWLGKGIKQLKYQSAENQLSDHRPVSSIFLVDVEVIDHRKLERAIYFASAVVHPDVFLKEDEDEDLSYQ >RHN41687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32064280:32066010:-1 gene:gene48037 transcript:rna48037 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDITALGLGAGDGPSSELIVVLSSASGAGGLLLMIVELELVGSGARASDGGRIAGEGTGAGAGASLAGAGAFGTFAGAGDTFGGLEAGGNGEGFGAGAFGTGGFKGADVGVVVFGTIGFTGAAVGVVTLGTSGLAGATVGVATFGTGGFTGADVGVVAFGTGDFTGADVGVATLGTGDFTGEDAGDGEVATTIGGFLAADGVLEVGEAAVGNLEGAAGIIVGNLDGAAAEIVVEGLLEIGEVAAGGCFVLLAGVAATIGFCLSRGNVEGADGFVVGNFDGAAAVTVVMGLLEVGEVAAGCLFVLLAGVAATIGCCLFVLLAGVAATIGCCLYNGSVDGSDGFVVGNFDGAAAVTVAVGLLEVGEIAATTGCCFATNGEVVIGKLDGAAACD >RHN38680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1265653:1270327:1 gene:gene44640 transcript:rna44640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MDGERDVPNYDLQVSFTNTPQAIHEMGFVQFEENQVLSFLSPSTQSQPSQLSQSLNSGRGTTNATTGAAVTTTTVAAGFSHNDLVTTRTPWNNEQVRTLDPKAVSDENCTGNTSDGNNTWWRSGGAEKSKVKVRRKLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHNHSPCDDSNSSEHECFTSF >RHN40571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17679702:17684278:-1 gene:gene46734 transcript:rna46734 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQFAFFFLFQCCTNLEFFLWFSIMKVRACDLVAATSGGAEDLEEDHVHVNKGKSKKISFLDKPVLLFPPTSDSTYILGNIHEINGHFISFLHRLKIVSITFSNFFLLTNDDFHYMVSDKGEQKVSLRYPSLKSLSTHFNDQSFGKPEGKKIPTLDEKYVMGIEFAIKTLCRSISANEFAEKGNSWSFWASPPKSPVINDAATSLVPKQGSCLSEIKFSGMMQWGQRRQVRFLGRHEEQKVEPLPVIQKEKGVIFKARVGTIVKKRKNIEKEEMEEVVKVAPFEVQRVTRQCNKNQQGVSSSSVAKKSKKNHQGVSSGSGDKKSEKAVNDTKKQELVVYRRNKPKIWIDRWAAERYKLAEENMLKVMKEKGAVYGNSIMRQELRSEARKYIGDTGLLDHLLKHMAGKVAPGGVERFRRKHNAEGSMEYWLESADLADIRKELGVQDPYWTPPPGWKPGDSISPENVTSHELREIKEEIIKLKREMRELTSKREEEALALVTTPSSCMSSLNFEDYGSQVSKQDIYLELVHKKAKIEEQLKEISLALNGMEDQMGMLKPTLVEEPQMSESLTPPVLLLGPTSLTENIGEEKGNNVKKTKSADTQMVVGSRAAEDKAAKIERLKSGFQICKPRGTFMWPNMSLSPHVVTNLDEHTVVPTPTSASSSTTSAPKLVSNSNTHNLSLSIPIPSSPVKPLAERRPVSTTTLTHVTGPFSPHLSPPLETTKTTITTSITKNGSFINLNEAPFGQE >RHN74953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38119464:38127360:1 gene:gene11094 transcript:rna11094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MDISQPTRRRRSQPSDPPARSSSSYSKLDKASKSDGKDEGLKWALPFFALGILRYMSATSNIIHDCDEVFNYWEPLHFLLYKTGFQTWEYSSQFALRSYLYLLFHEIVARPALWVFGDDKVRVFYAVRFFLGFLSVVTETVLVVAISRKYGKRLACYTLAMLCLASGCFFASTSFLPSSFSMYAISLASGLFLLDKHAAAVAVSAIGVILGWPFSILAFLPVTLYSLYIKFKQAFIGGAVTSAILLALSVVTDYNYYGKWTSSVLNLLIYNVAGGGESHLYGTEGPLFYLKNGFNNFNFCFVLAMLFLGILPIAKKKYAPDLLIVISPLYIWLGFMSLQPHKEERFLYPVYPLICVAASAVIESFPDLFRDKYDSSDNSLIVKVAKFLRPVVLSIILYSSHARTFSLIHGYQAPIEIYKILEHHDDVANGSVLCVGSEWHRYPSSFFVPDFVGQVRWIDDGFGGLLPFQFNSTLGGTAAAPPYFNNKNMASEEQYLHDIDACTFLVELQLKRPYLTRGSDLSTWEAIAALPYLDRELSPALYRSFFIPYLWQEKNVFGMYKLLRRIPK >RHN62153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41244811:41246740:-1 gene:gene24698 transcript:rna24698 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTFGSKKTTKVMKIDGETMKLKTPIKAGEVLKDHPGLVLLDSEAVKHYGVRAKVIEAHKELQPKRLYFLVELPKETKPRRIRSGIQMSAKDRLDNLVLTRRSASDLSILKQSKMNDNSGELEGKENGGGVRLKVRLPKAEVEKLMRDCKDEAEAAEMIMRLYKASGKGEKETIVKESPRTPRTPRTPRQKRVSFMPSNEGGIQIAVAS >RHN56013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26763099:26765744:1 gene:gene31326 transcript:rna31326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MSLPQPRRVDSKIWQIRAGPAVKIPKIGSKVYYFSEGHLEHACSSPNIETELLLCLRPPSVLCIISSVDLLANLHTDEVFAKLLLTPVTTDGSVQIQEPAPPDFPDKEENDGNNLVVQVQEPAPPEVPDEEDDDSNNLVSYVKILTQSDTQSGLFVPRECMELIFPNLDLEDPMQSEKLSVTDIQDVVWTYKYSYHVKKLNSYKFTTGWSQFVRKKKLVALDSVVFIKNSAGKIFVGICRKAMYPATEEEGGKSENLTEKAVKDAVELAGKNMAFQVVYYPTANWCDFVVDASVVDEAMKNGWEFGMGIKLRLNEFASSNSKKTYYQPKGTISNMSNVPSNVPSWRMLQVNWDGPDISQNPNRVNPWQVDIYPIPSQSSSPLQMPHSYPPIPPPLLPFPPTKSPRLSQSSSPLQMPFSYPPTPPPLLQSSMSTSSFIPMTELPYSTIGSLNQTLLNSDTFPDGMLGASHDHLSASDLSKVLSDKGDGFNRNRSMVGWSGTLCDTEPRNHNSLNSFGTNEPCTK >RHN67378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26569808:26573475:1 gene:gene15561 transcript:rna15561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain, type 1 protein MSFIEESQAGIKISPQDSSYYGQNDRIVTVTGTLDDKMLV >RHN75325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41290645:41294810:-1 gene:gene11507 transcript:rna11507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MEDTSLKTFKRRRKTEQINTDWINTLPDSLLCHILSFLPTKITVTTIPLVSRRWRHLWENLQVFDFYLKYNKHTINNNDFRKFAAFVNSVLSIRRSRDIRKMRLSCGHSEVDPFFSNSIDSWIRSATGSCLQELDITLFYTDREYVFSIFLTILAPCTNLVSLSLCGDIYVVLERSSAFFLPSLKKLQLDIGYVEMNSMDNLLSSCPILETLELSISPDRLARLRVPPSLKRLKFTVENNFGAYLEIDAPGLKYLSLVNITFGDAATVGNLDQVEEAYLDVFSTPENESVDPLFDLFRALSRIKHLVLCLSTTKWLFAAPVMDLSEFRYLLHLEVILPSFSLSFLLSLLHKCPMLQDLIIQNDKRLAPPTLYGLAAKTKNVPNCLVSHLNFIHFKGYQTFLHEMEFAAYVLRNGLVLKTMLISGLLLDKKEKWEKYLILKEFADIPKGSANCQLKFD >RHN69928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47378576:47385607:-1 gene:gene18461 transcript:rna18461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol 4-kinase MVSFLGLTHGEGEQPREITSRSNRTSESSENGWLIRFFDSSFFCEWIAVSYLYKHDHAGVRNYLCNRMYTLPLQGIEGYLFQVCYMTIYKPNQSLDKFVIDVCSKSLKIALKVHWFLLAELEDDNDGISRIQEKCQIAATLMGEWPPLIWPQSAPTSPAGKSQVLNRILSSKHRLLSLTSSSPTQRSLSFLPSLGNNLQDDGSPQSPKQVVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLCTSSYTALIETIQDTASLHSIKSRYLNISSLREFFIAKYEEDSPSFKLAQVMLWTLMLRDFRAKSLILLSLNCRKHAERIILLVEMLQDSGFPCFKCGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQKVLNGIF >RHN43815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48718211:48720738:1 gene:gene50445 transcript:rna50445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain, pentacotripeptide-repeat region of PROPR MSNSTQHTISKILRKTPNKTLSVSTRQAKQLHAHIVKTKGTLHSDNILVLSLYSNLNLLQHSLHLFNSLPSPPPPLAWSSIIKCYTSHSLLHLSFSSFNSMRSLSVPPNRHVFPSLLKASTLLKHHKLAHSLHACTVRLGLDSDLYIANALINTYAKFHNAGKVFDVFPKRGESGIDCVKKVFDMMPVRDVVSWNTVIAGFAQNGMYVEALDMVREMGKNGKLKPDSFTLSSILPIFAEHVDVNKGKEIHGYAVRNGFDGDVFIGSSLIDMYAKCNRLECSLRAFYILPRKDAISWNSIIAGCVQNGEFDRGLGFFRRMLKENVKPMAVSFSSVIPACAHLTALSLGRQLHGCIVRLGFDDNEFIASSLVDMYAKCGNIKMARYVFDRIDKRDMVAWTAIIMGCAMHGHALDAVSLFENMLEDGVRPCYVAFMAVLTACSHAGLVDEGWRYFNSMERDFGIAPGLEHYAAVADLLGRAGRLEEAYDFISNMRGVQPTGSVWSILLAACRAHKSVELAEKVLDKLLSVDSENMGAYVLMSNIYSAAQRWKDAARLRIHMRKKGLKKTPACSWIEVGNQVHTFMAGDKSHPYYDKINKALDVLLEQMEKEGYVIDTNQVLHDVDEELKRELLHNHSERLAIAYGIISTTAGTTIRVIKNIRVCADCHTAIKFITKIVGREITVRDNSRFHHFKNGSCSCGDYW >RHN78776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18228077:18228580:-1 gene:gene2425 transcript:rna2425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MDDEVRKIFSKFDKNGDGRISVSELEQMFSTFGSKTTSDEVKSMMKHIDQNGDGYIYLKEFADFHGNDAAAGAGKEEEIRDAFDLYDLDKIGNNAAAGAGKEEEIRDAFDLYDLDKDGLISVNELHIVLNKLGEKCSLSDCEIMISNVDADGDGNVNFEEFKKMMAS >RHN77067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3730507:3737666:-1 gene:gene477 transcript:rna477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GCR1-cAMP receptor MATTAAISTTLTASDRGILTAVNVGASSLSFAGSSFIVLCYLLFKELRKFSFKLVFYLALSDMLCSFFSIIGDPSNSLFCYAQGYSTHFFCVASFLWTTTIAFTLHRTVVKHKTDVEDLEAMFHLYVWGTSLVMTVMRSFGNDHRHFGTWCLSQTGLTGKAVHFVTFYLPLWGAILYNGFTYWQVIRMLNNATRMASGMSGQAYVSDTRDNMRALNRWGYYPLILIGSWAFGTINRIHDFFEPNNKIFWLSLLDIGTASLMGLFNSIAYGLNSSVRRAICERLDKFWPERLNRWLPNNFKYKSLSQESELSVFKTEDQR >RHN54073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6737746:6740408:-1 gene:gene29053 transcript:rna29053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative powdery mildew resistance protein, RPW8 MGDLVGGAVVGAVVGEALKYPIEAFNRGRKYPSTLERGRKTCNALAPLVEEIIVCNDSLGRPSEDTEIVETITGELTDLVRKSKKPTFKNCLSCPAQQEKLQKKLDALDWHSSVVVPFENKRDLMKLLVLAEKIHGNQIRFWCGAPQVPEFTGRLDLFTELKIELLKYGVSVFLLTGLGASVKPTLQMNLSWDPQIQAKFRGNIFVVTVSRTPNLKYIVQTLFARCGLWMPEFQSDEDAINELGLLFWKISIYPILLILDDVWPGSESLVDKFRFQLPDYKILYFLTETKPFSTPSHSSGNYFVAQNGGNDIMTRNNFVAQNDGNDMLKDIVPRRRKKLSTSILVLWRRKKLGTIVLIAATATWVSMEVYQFKFLTLISWITIFVVTSIFLYSNMLTFFGKEPPNLLRLELKEETTVRMAKTVRAWIEKLIRWLFVVSIKKDWPVFVGVMATLFVISYVGTCMDFLTFIYIGMVAGLTLPVNKKRG >RHN63688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53219068:53222836:1 gene:gene26421 transcript:rna26421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MHFLLNSAFKRYWLQNTSTHKFQLLSVSLFSTLHPISTPPLLQDLCDIVTSTVGGLDDLESSLNKFKGSLTSPLVAQVIDSVKHEAHTRRLLRFFLWSNKNLSNDLEDKDYNYALRVFTEKKDYTAMDILLGDFKKQGRVMEAQTFGVVAETYVKLGKEDEALGIFKNLDKYKCLIDEFTVTAIINALCSKGHAKRAEGVVWHHKDKIKGVLPCVYRSLLYGWSVERNVKESRRIIQEMKTNGVTPDLVCYNTFLRCLCERNLRNNPSGLVPEALNVMMEMRSYKVFPTSISYNILLSCLGKTRRVKESCQILEAMNKSGVAPDWVSYYLVARVLFLSGRFGKGKEIVDQMIEKGLVPNHKFYYSLIGILCGVERVNHALDLFEKMKGSSVGGYGPVYDVLIPKLCRGGNFEKGRELWDEGTLMGITLQCSKDVLDPSITEVYIPKRPEKINVVDSPKAKSQQKLSNYFERMKMRKAAAWKKKTKKKSEAS >RHN78933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20093090:20096559:1 gene:gene2602 transcript:rna2602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component EXOC6/Sec15 MDLKPKRTSVTENGDGGEDLALANGNDVGPLVRHAFEMGRPEGLLRQMSFVVKEKEAEIEEMCKTHYEEFILAVDELRGVLFDAEELKSQLHGDNFKLQQVGSDLLVKLEELLECYSVKKNVAEAVKISKNCVEVLELCGKCNSQVSEGQFYSALKTVDLLEKSYLQSIPLKALKVAVERRIPVIKLHIEKNVCSQVNEWMVQIRSSARNIGQTAIGRIATVRQRDDEMLEQQRKAEEQYISGAGSLAYTLDAEEFDEDSVIKFDLTPLYRACHIHDFLGIREKFREYYYTNRLLQLNSDLEISSAQPFVESYQTFFAQIAGFFMVEDRVLRTAGVLLVADQVETMWETAVAKMTSVLEEQFSSMESATHLLLVKDYVTLFGSTLRQYGHDIGTLLDVLDSSHEKYHQLLLEECRQQTVDVLGNDSYDQVLIKKESDYENVVLSFNLQTSDSMPAFPYTAPFSSMVPDVCRIVRSFIKGSVDYLSHGIGMHMNVFDVVRKYLDKFLIDVLNAVLLNTINGGNIAVPQVMQIATNIAVLERACDFFLRQAAQLCGIPCRSIERPQPDLSAKVVLKTSRDAAYIGLLNMVNKEIDKFMTAGVNWTPEETNQNGNDYIHEVIIYLHSILKPAQQILPLDAMHRVGSGVFEHISNSLVAAFSSDSVKRFNANAVINIEYDLQIIENFADEQFYSAGLGEIYNEGSFKNCLVEARQLINLLLSSQAEDFMNPDIREKSYYALDYKKVSAICDKFKDSPDGIFGSLANKNAKQSARKKSMDVLKKWLKDFS >RHN80656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39815784:39816734:-1 gene:gene4607 transcript:rna4607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MTKLALCMLSLFCILVLATAQSATVQSTFNLYNPEQHNWDLRAVSAFCATWDADQPLSWRSKYGWTAFCGPVGPQGQASCGRCLRVTNTKTGDQQIARIIDQCHNGGLDLDVGVFQRLDSDRSGNAQGHLIVNYAFVDCGD >RHN55520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19168249:19173214:-1 gene:gene30715 transcript:rna30715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLRIPFTSLSFIFILALSILNFAHCKTLKRDVKALNEIKASLGWRVVYAWVGDDPCGDGDLPAWSGVTCSTVGDYRVVTELEVYAVSIVGPFPTAVTSLLDLTRLDLHNNKLTGPIPPQIGRLKRLKILNLRWNKLQDAIPPEIGELKSLTHLYLSFNSFKGEIPRELADLPDLRYLYLHENRLTGRIPPELGTLQNLRHLDAGNNHLVGTIRELIRIEGCFPSLRNLYLNNNYFTGGIPAQLANLSSLEILYLSYNKMSGVIPSSVAHIPKLTYLYLDHNQFSGRIPEPFYKHPFLKEMYIEGNAFRPGVNPIGLHKVLEVSDSEFLV >RHN50384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6638102:6641144:-1 gene:gene34662 transcript:rna34662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MKTPNNNHREKLRRLAGNNNNHRSRKRSFKRDIEHVASETYLMTRLAFTLLQYLGVGYRWITQFLALVIYAMFLLPGFLQVAYCYFFSNRVKRSIVYGDQPRNRLDLYLPADVCEPKPVLIFVTGGAWIIGYKAWGSLLGLQLAERDIMVACIDYRYLVSVQEVQD >RHN69386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43028774:43029795:1 gene:gene17846 transcript:rna17846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MDPCHISAYANFSFELRIIQARNIESVKSTKNLFARLYLPTGNNKRIQLNSKSVSTKSVPFWDESFNLDCSCPQEFLENLNQQSLEVELRQRKIWGSQLIGKFEIPWKVILESQNMELKKWLKMDLVSGSDYKEGMFTTPEVEVEIKIKVASVSEMETQNKRRLNNWNECGCKNGHDHRAWCCAEDCDIFALGAALEAF >RHN57964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42796157:42799652:1 gene:gene33559 transcript:rna33559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative insulysin MPCLCASYCLSKLVPWFGIPYSVQDIEESLMKFWKETQEAHEPLGLPCKNEFIPYNTSIDVGDIVDEDFSNMTPPKCIFDEDSMKLWYKRDCTSKAPFACIYIQIKYSKGVWDNAKTCALSELFISFLRDKLNEVISKAQMAMLNTKLRFIDGMLEVKVFGHKEMLPSLLSKILSEVNSFMPTDDGRYELVKENAESSLMEDNDDNEFLETLLREHIYVKDELVNYLHNLSLDDVTEFIEEIRSQTFIEGLVHGNLSEDDANKIYKIVKQIFPNKSLPIVPRHVERVMCLTPKTNFVVNYSGMSSVISTAQVILILVGLVVFCIPSITILLQIKKKKFNNNLILATTCFVLLFMQLYIQIRPNLFNSIKKMALLDLFDVIVEKPFYDRIRREENLGYTVQSYSSEIHNVWGFCFDIASSDHEPYYLQHRIEEFVDGLEKVFEDLDSKTFKKYRRSLVDKKLQGCSSLEDESCQVWKEISKYSGNINITQKVAEQLKQITKDDLMRFYRKYFKKSSGNCRRLKINVWSSEKFPEADDSPYKDENEEVPDDEDNPNYW >RHN58718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4265837:4270958:-1 gene:gene20652 transcript:rna20652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAYLSSGTSQLIYARQYRHSGSKALQDGMIARTYNSETRPFRASGTNHRILKHQLNLWAIPGVKKKEMVNKVVAKGVAEKAMSNDAPQLKYDVFVSFRGEDIRHGFLGHLIKAFPRKQINAFVDEKLKRGDDISHALVEAIEGSFISLVIFSENYASSHWCLEELVKIIECKEKYGRIVLPVFYGVDPTNVRHQKKSYKSAFSELEKRYHLSKVQNWRHALNKSANLSGIKSLDFRNDAELLEEIINLVLKRLSKHPINTKGLIGIGKPVAHLESLLRQQLEKVRVIGIWGMGGIGKTTIAEEVFNRSCSEYEGFCFLEKVSEESGRHGITFLKEKLFSTLLAEDVKINSPNGLSNYIQRMIGRMKVLIVLDDVKEEGQIEMLFGTLDWFRSDSRIIVTTRDKQVLITNEVD >RHN69995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47833488:47835633:-1 gene:gene18531 transcript:rna18531 gene_biotype:protein_coding transcript_biotype:protein_coding MCSWSSTIQELAGMTCMKGVTTTVPKGISSQEHKRQKLQGSKVNHNHDHIHMCVCE >RHN43961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:351923:353295:1 gene:gene38003 transcript:rna38003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MAISHNTLAFAFGMLGNVISFMVFLAPMTTFYRIYKKKSTEGFQSLPYLVALFSSMLWLYYAFLKKDEFLLITINSFGCVVELIYIILYIIYATKDARKLTIKLLLAMNIGSFGLILLVTKYAVHGPIRVQVLGWICVSISVSVFAAPLTIVAQVVRTKSVEFMPFNLSFTLTLSAIMWFGYGLFLKDICIALPNVLGFALGLVQMILYCIYRNGDKKKANSKAALKSVVIESSLGGTGEVFQVEKNDGEEEEEKKKTIEETEYDSKV >RHN73838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20533043:20533757:1 gene:gene9720 transcript:rna9720 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMLLWVVPWRGRVGIWQDFQLCCKRELELRLVWQILFLTYVVQKVENKVKN >RHN64081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56426218:56426472:-1 gene:gene26861 transcript:rna26861 gene_biotype:protein_coding transcript_biotype:protein_coding MATGASHMMLRCVFEGSISSHDKEVERRPYHKKCGCPLHNLEEICNKACPQQRYVSFKKKISWTHCSVYTIPSKFSSPLYKTIN >RHN69020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40348269:40351295:1 gene:gene17446 transcript:rna17446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative von Willebrand factor, type A, BRISC and BRCA1-A complex member 1 MEGIEGERSSYKLQPSRINNEDILFCIDIDPQSMAELKGATSGRPNGRPFTRLDAIKQAIVLFVNAKLTINPQHRFAFATLSDSVSWLRKEFSSEVESTVAAMRRLSATTSSSQPDLTTLFRLAAHEAKKSRMQGRILRVILFYCRSTVRPRHQWPVNQKLFTFDVMYLHDKPGPDNCPQEIYDSLVEALEHVTEYEGYILESGQGLARVLFRHMLILLSHPQQRCAQDYMDIPKSLVKKVPQAEPMLTEDNAPSSQ >RHN66370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11526352:11528043:-1 gene:gene14339 transcript:rna14339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKIFKFVYVMIIIISLLLVAMNAQGYCLVDADCVTLVCNFDEKRKCLRSTCVCRKFRFTGFYYEQLH >RHN44293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3265493:3268082:-1 gene:gene38393 transcript:rna38393 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYSADVYFWRRFKINYPFIFGFKEGTELGYREVFLLSTGLAVLSLAAVLSNLNMEIDKTTQSFKAITESVPLGLVIVVLAITFCPFNIIYKTSRFFLVKCAFHAICAPLYKVIFPDNFLADQLTSQVQAFRSLQFYVYYYFYGDFKKRSNKFMEENNYKIFYIIVAIIPFWIRFLQCLRRLLLEERNKMHGLNALKYISTIVALTMRTIDQFSPGTVWKVLAASSSGIATVVNTYWDIVIDWGLLRKDSRNPWLRDKLSVPYKSVYFLAMVLNVILRLAWMQSVLGIKEAPFLHKSALTAVVACLEILRRGIWNFFRLENEHLNNVGNYRAFKSVPLPFNYQIDDEDSSDT >RHN65446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2312829:2314275:1 gene:gene13285 transcript:rna13285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MVFCRANKSEAQNLMEIFADYQSISGQKINLNKSEMVFNSNLNQTIQTEFQQYMTIKITNNIDKYLGLPTQVLKNQIFNFIMERNLSFSSRGVLIRAVIQAIPSYVMSTFLIPQGICERIEKAISRFWWGGSEEKRRIHWKSKEVLFKSKFNGGQGFRTMHHFNEALFAKQAWRLIHQPQTLISKCLKAKYYPHTDLLRARRGRNPSFVWSSIHHAEWVIQKGGCWKIGNGSQVNVWEDNWIPQHNGFKIYTPGANSSSIRQVKELLVEDPRGWHQSILENHFLSFESERIQQLPLVQEVLEDSYMWMYSQDGNYTVKSGYNFIKEWHYNSLPGPSNNNNNQRIWKASWNLDTIPRHKDLLWSVLNDAVPVRKALHSKGITRDMFCPRCVTKIESLKSI >RHN68436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35529179:35530047:-1 gene:gene16792 transcript:rna16792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MKGIKGYEPRSSSSCAACKLLKRRCTPDCLFAPYFHSNECKKFAKVHKVFGASNVSKILIEVPEKQREDTVNSLAYEAEARLRDPVYGCIGSIAMLQRKMMELQHDLAIAKERLARCRAVAAAATITTTTAPSVIDSLHSDVTLPPFPEFSTSDDLNDIFCHGSSSQFLGRNETVDDFNQIPYIF >RHN68055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32404223:32405782:-1 gene:gene16345 transcript:rna16345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GYF domain-containing protein MDRKQKLGSPSEQLRLLSEIPKVIPEMVDTNLSPEDSSRKDKLEQKDLPESAIGETCNSFAQYSTHDGFSQCLDKRADVVGPKSTVKKNKDETAFPATVEQLSVLTASQYTSKGQDGGKPALRESNSSCQTLYPAKCSLPQPSNTISASVGVDCRNLSTNMDANQTVKERRSPAVADLVKATVNDVIILSDSDEEDVNIKITSSERKGVESPDIPIWHCSGICGSGTRGPFPMSILKQWSELDSTFSPLDFKVWKTGESEREAMLLRDALKLFFP >RHN42274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36940264:36946208:-1 gene:gene48694 transcript:rna48694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zeaxanthin epoxidase MASTLCYNSLNPSTASFSRTLFSIPTNKDFSLENTSSFHSYGKNRAKKQRKNVFMMHVKVKASVAEATVPPSSKQGEKKNLRVLVAGGGIGGLVFALAAKRKGFEVMVFEKDLSAVRGEGQYRGPIQIQSNALAALEAIDSDVADEVMRVGCITGDRINGLVDGVSGSWYVKFDTFTPAVERGLPVTRVISRMTLQGILARAVGEDIVLNASNVVNFADDGNKVTVELENGQKYEGDLLVGADGIWSKVRTQLFGQTEAVYAGYTCYTGIADFVPADIESVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEAPGGADEPNKKKERLLKIFKGWCDNTIDLILATDEEAILRRDIYDRIPTFKWGKGRVTLLGDSVHAMQPNMGQGGCMAIEDSYQLAKELDNAWEQSIKSGNPIKVDSALRSYESERKLRVAVIHGMARMAALMASTYKAYLGVGLGPLEFLTNFRIPHPGRVGGRFFVDILMPSMLNWILGGNSDKLEGRPISCRLSDKANGQLRQWFEDDDALERAINGEWFLLPCGEETGLSKPIRLTQNEMKPCIIGSAVQEGDPGSSITITSPKVSPTHARIYYKDGAFFVTDMRSEHGTWIADIEGKRYRVPPNYPARVHPYDVLQFGSEKVSFRVKVKSSAPSIAKKEETQVLLQV >RHN51346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16526347:16527313:1 gene:gene35772 transcript:rna35772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKIFKFIYGLVIFLYLFLIQKEVAGYIQCDFDADCPEMFRHIFYLCIDKLCRQFVTL >RHN44075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1460259:1460948:-1 gene:gene38132 transcript:rna38132 gene_biotype:protein_coding transcript_biotype:protein_coding MADHGYNNFYTPLEYESYQQYQQHPYEDERISKMENTLNIFMQQSMINMQDTNQRLKNLSLQMEIMQEQIMDIQANIQSTHRKQENNSNTFVEVGKIVEEGVDDTEEDIILEECSTMKMVEELEPLHPEEFPQERPYTEEAETVENEEVMEVTEKEDRILIKEESMEGKGKKVNKLEIDRIIDEICALFNKPKLGRIWTPHQLYFKFMEFLPTRRITKDDVLSVSFWPP >RHN73656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18634592:18639410:-1 gene:gene9497 transcript:rna9497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-methyl-5-thioribose-1-phosphate isomerase MASSDSNATNMLQAIRYNRGSLQLLDQTKLPLESVYLEIRDSNDGWNAIRDMVVRGAPAIAIAAALSLAVEVSNLDDFNGSTDDAVYFLQKKLDYLVSSRPTAVNLSDAATKLKEIISKAAATTSEAKGVFQAYLEAAEIMLEDDVASNRAIGTYGASFIQNQTEKLKLSVLTHCNTGSLATAGYGTALGVIRALYSGGVLERAYCTETRPFNQGSRLTAYELVHDKIPATLIADSAAAALMQAGRVDAVVVGADRVASNGDTANKIGTYSVALCAKFHNVPFFVAAPLTSIDLSLSSGQQIVIEERSPKELLNMRGGLGEQVAASGISVWNPAFDVTPANLISGIITEKGVITKTPAGDAFDIKAFVQKTG >RHN66953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22155852:22158206:1 gene:gene15077 transcript:rna15077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MMAILTTQMSLLFLLLLSITTFHITMCSNHTLVRCNENHTLVRCNEKDRETLLTFKQGINDSFGMISTWSTEKDCCSWEGVHCDNITGRVIEIDLKGEPFDGVHDPVKVLKGEMNFCILELEFLSYLDLSFNEFDVISIPSIQNNITHSSNLFYLDLHPPTFTLHMDNLDWLSPHSSLKYLNLSWIDLHKETNWLQIVNSLPSLLELELSGCNLNNFPSVEYLNLPSLVTLSLSFNNFTSHIPDGFFNLTKDLTSLDLSYSNIHGEIPSSLLNLQNLRQLHLSNNQLQGSIPSTLGNLSSLISLSIGSNNFSGEISQFFFSKLSSLNHLDLSNSNFEFQFDLDWVPPFQLHTLSLNNITQGPNFPSWIYTQKSLQNLDISSAGISLVDRYKFSSLIERISFYIVLSNNSIAEDISNLTLNCSVLRLDHNNFTGGLPNLSPKPAIVDLSYNSFSRSIPHSWKNLSELRVMNLWNNKLSGELPLYISNWKELQDMNLGKNEFSGNIPVGMSQNLRVVILRANKFEGIIPRQLFNLSYLFHLDLAHNKLSGSLPHFVYNLTQMDTDHVDLWYDTTIDLFTKGQYYVCDVNPDRRTIDLSANHLTGEVPLELFRLVQVQSLNLSHNSFKGTIPKTIGGMKKMESLDLSNNKFFGEIPQSMALLNFLGVLNLSCNNFDGKIPTGTQLQSRDASSYIGNPKLCGAPLNNCTITEENPKTAMPSTENEDDESIKESLYLGMGVGFAAGFWGICGSLFLIRKWRHAYFRFIYGVGNRLYVTLMVKLNSFRRS >RHN63599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52599392:52599793:1 gene:gene26322 transcript:rna26322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MGSTNNLCKTVQGGKAAMLFAGLYLVALGVGGIKGSLPPHGAEQFDETTPEGRKKRSEFFNYFVFSLSCGALFAVTFVVWIEDNKGWQWGLGVSTASILLSIPVFLLGSHKYRTKVPAGSPITPMFKVHFRGS >RHN48889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50940037:50941341:-1 gene:gene43655 transcript:rna43655 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKLNLVHPMFLCPRSIIISFTPSSSSSSVNNLTFTHHQNASSSSSSSSPKFVHTTRTHSHSRSFPEPDDYQVQDLRVPPHWLLPTNASQESEWLRVTLHKWLDDEYCPEETNVEISRVAAKSYYNSLIRKQADLADILLNMARELETISYKESFHGAYTSANAAVNLIAQRIEQLCHPN >RHN63416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51076815:51077127:1 gene:gene26113 transcript:rna26113 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSISDPKNLIPSPSSQPHTFSFRLFISFLTSTKSSNFLPKGFLLISLFLLNLPLSRVIFLIKFKGVIERVGVKS >RHN78100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11880785:11882305:-1 gene:gene1617 transcript:rna1617 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWCSEINGRWVVVEKHKRKLMVEETLLVGEDSRNGTEVEEMETVVEGICSSREDEKWEMVEEDFDSDKEVVEMEMEVGEICNSKEVAVMVTVVEVICNSREGVVMVMVVVEICSNMGEEEREKVEGGFCSSMEVEAMVMVVEVICNSREVVVMAMVVEVICNSRDVVVMGMVVEEICNNMEGEGMVMVGVVTYNSKVVVELVTVEVGTYNSMVEEGMGMVELVTYSNMVEEGMGMVEVVTCNSMVVVEMVMVEGGTCNSMVEEVMGMVGVVTYSSMEGVKSLSVEEEICNNKVEEGMVMVGVVTYSSMEENNNGLVEEVSCTYKPVQEVEVICSSMELVLLSQGEEVTCRYMVVNNNGPVEVGSCTCKPVRVVEVIYSSKELVPFSQVEEVTCRYMVVNNNGPVEVGSCTCKPVRVVEVIYSSKELVPFSQVEEVTCRYMVVNNNGLVEVGSCTCKPVRVVEVICSSKELVPLSQGEGVTCSNMEVSNNGRVEVVSCRKELVKA >RHN41613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31499315:31504509:1 gene:gene47955 transcript:rna47955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphate transporter MNLNHSCGFSLTKHTRKTSLTSSIYLRNSHIFLSREFLHVKPLPLSPNIAKNLKNCKLTHPFASLSSFAEAEGEEGSKEEIQLNEHHQHAATENGENNDEVSGMAKAFHISSRTASAITICIVMAALVFPLFMTSLGQGLALKTKMLSYGTLLFGFYMAWNIGANDVANAMGTSVGSGALSLRQAVLTAAVLEFSGALLMGTHVTSTMQKGILVANVFQGKDTLLFAGLLSSLAAAGTWLQFASYYGWPVSTTHCIVGAMVGFGLVYGGAGAVFWGSLARVISSWIFSPLLGAAVSFIVYKCIRRFVYSASNPGQAAAAAAPIAVFLGVTGISFAAFPLSKIFPIALSQALACGTVGAFLVNRTIRKQLGHLLDKSNTPDLEPVPQNISFFSDITGPKGTQLEIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAGALAILQGAAKGADIVIPIDVLAWGGFGIVAGLMMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISGTHTLVGAVMGVGFARGFNNVRSETVKEICASWAVTIPVGATLSVIYTWILTKLLSYVL >RHN53686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3347178:3350524:-1 gene:gene28619 transcript:rna28619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AT-hook motif nuclear-localized protein MDQITSHGHSLPPPFHTARDLHLHHQHQQQQQQQQHHQFHTLQQQQQTTDQDEQSGSSSGGGLNLTNREENSNNKFSTDFSPKLESGGGGSGGDTDSMTRRPRGRPAGSKNKPKPPIIITRDSANALKTHVMEVADGCDVVESVNNFARRRQRGVCIMSGTGTVTNVTLRQPASPGAVVTLHGRFEILSLAGSFLPPPAPPAASGLTIYLAGGQGQVVGGSVVGALIASGPVVIMSASFSNAAYERLPLEDDDGSSIQQLQGGGGGGSPSGGGGGVQQQQQQLLGDSTAPLFQAMHPPNSNPNLNPNLLGQMPSDNFWPTGRSPY >RHN44562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6248073:6249253:-1 gene:gene38697 transcript:rna38697 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQCSVLQLLLRTHHKIPNQSLPLCTFSSIPNTYHSKFHTNPNFNHFSSEPVLANTVDIFSKLKYLSAINKDLDSNHVSISHDAVNGRKFEKCGMDGEAVKSRELFDKDRFERIYSRKKFQIPHPLSISLRTLSPKAEFSSGFSGQFKHDERKYTKMAMILQSEYLIDQFWKLVYDMRSAGFKIGENTYLSFHESFILKKMLKDAVKLYEFVMAGPNKNLGCRNLLSSIVSFDELDMDLFSRAVKVFTKNGNALNDDNVEEVQKSLFSAGRIDEFNKVLKVMEHENDGFVATGLLLGTIAFRLRAAGYNEQADEFANRIEESRLSTPYLRMQDYILSYHNFEKGFESLKEIVEKEGVVSAANALDLIC >RHN63035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47822151:47829468:1 gene:gene25686 transcript:rna25686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CMGC-CK2 family MAVKHLQFQFNLSRDRLVFLFRTSQSHSLFPYNNKFPKSFLSFFLHRSSSTTPSPPNNHLRRPRPPPPPPVDMAQKFGKSTRRPGAASKARVYADVNVVRPKEYWDYETLTVQWGEQDDYEVVRKVGRGKYSEVFEGVHCTDNEKCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIARVLGTDELNAYLNKYRIELDPHFAALIGRHSRKPWQRFINVENQHLTVPEAVDFVDKLLRYDHQERPTAKEAMAHPYFNPIRNAESSRARAH >RHN44144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1977409:1978234:-1 gene:gene38219 transcript:rna38219 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTGSHMPIKVIGTGFFHISSFLPLSKTLLLSLLSRNGSAHTPSSPARQGFPARWPVVAPPRRSSKTPPNSKKISVLNILFLAKPKSGLRISKTNSYKSRSRNKDSKKVSKKYLYSLSPVRFGCSLPCSGSVAPVRACFPSGPIRLCLFWFGSDFLNFGFGLCLFGTDFVIVV >RHN73954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22067664:22067837:-1 gene:gene9855 transcript:rna9855 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit H MNVPATRKDLMIVNMGPQHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKMRKI >RHN74706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36033779:36037909:-1 gene:gene10814 transcript:rna10814 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGVQKHTTNSAVPALENRWVRPPQGYTKCNVGCALFSNSSLMGVGLCFRDHTSHFVFT >RHN54470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9845535:9845696:1 gene:gene29520 transcript:rna29520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MLLHRDCEQLILHCDIKPSNILVDEDIVSQASDFGIARLVSSVSPPRKLAQLD >RHN66455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12504494:12505171:1 gene:gene14446 transcript:rna14446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kelch-type beta propeller, F-box associated interaction domain-containing protein MFPPLKHSGRGRQNPCSLYSIGYDRFSQTYKIVVVSFFKDDNTNQVHVYTLGTDSWKRIGDLPNSSCIDNPGVFASGTINWLAKDSRSSIVISLDFEKESYQKLSHPNVETNCWTLGVLKDCLSIFAYTNMFVDVWIMNECGNNQPWTKLYHVPYMVYRGNRPYCTPLYITEDDQVLMYFHDHSTHTNLVVYDSKIGTYNMPELQNIDHWRDSVVYVESLISPCS >RHN58146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44075545:44077232:-1 gene:gene33758 transcript:rna33758 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CHR4 MGSVEIPTKVLTNTSSQLKMPVVGMGSAPDFTCKKDTKDAIIEAIKQGYRHFDTAAAYGSEQALGEALKEAIELGLVTRQDLFVTSKLWVTENHPHLVIPALQKSLKTLQLDYLDLYLIHWPLSSQPGKFTFPIDVADLLPFDVKGVWESMEEGLKLGLTKAIGVSNFSVKKLENLLSVATILPAVNQVEMNLAWQQKKLREFCNANGIVLTAFSPLRKGASRGPNEVMENDMLKEIADAHGKSVAQISLRWLYEQGVTFVPKSYDKERMNQNLCIFDWSLTKEDHEKIDQIKQNRLIPGPTKPGINDLYDD >RHN66453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12475605:12476792:1 gene:gene14443 transcript:rna14443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAQGSNDVASSLSLAEETTSTKRKQLITSSGALTSQPLPTLPFDLLPEILCRLPVKLLVQLRCLCKFFNSLISDPEFAKKHLHLSTMRHHLIVSSKNDKSRELLLFDFPISSVFSSSIGTHTQLNLPISLKTNGYGKHLAVCSCDGILCISATSVDHRYAILWNPSIRKFKILPPQEDYRWKRVLDSFYSFGYDHFIHNYKVIVIYLCIRSKTNEVCVHTLGTDKWRRIHDFPFCGIIRGSGIFVSGTVNWFAFDVPNKCCREIVSFDLEKESYKILSQPNLEKKSWNLGVLKDCLCIFSHNDIFVDVWIMKEYGNKESWTKLYNISYMGVPISHAYGNVLYNSEDNQMLVDLMREGNKRKVVLYNSKNGILNFREIEIGHLMSPNVYIESLVSP >RHN49865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1791094:1794975:1 gene:gene34090 transcript:rna34090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MEKLAAKSRSSNAKILGSIISIVGAFVLTFYKGPSIMHSSSLHQPIGFLKSVDSSWVIAGILLTVEYLMFSLWYILLVHVLKEFPDEPTLVLLYSITATILSTVVALLSVPNASAWKIGLNLSLISIVSSGILGKLIGNIVYAWSMRLKGAVYVTSFKPLQIVISVALSVIFLGDTLHVGSIIGALIISIGLYAVMWGKSREEIEEDVGSSESPSTDNAPLLQSCRIETFENKTNGIV >RHN56175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28504566:28505039:1 gene:gene31535 transcript:rna31535 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGLKFAKNMCFMNLIAESDTSNLILALNTHEHYSIYFFEGTLFHLCRLYY >RHN70600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52650482:52650705:1 gene:gene19199 transcript:rna19199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H domain-containing protein MPELWGVFEGLKLAHSRGYRKVELRIDSSSVVSSISSDQGGSVVDRTLHHNIQRLID >RHN51535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19529156:19529746:1 gene:gene35992 transcript:rna35992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MWVKGIGIEYRNILGLVTNVDLSDNNLSGEIPREITNLDGLIYLNISKNQLGGEIPPNIGNMRSLESIDISRNQISGEIPSTMSNLSFLNKLDLSYNLLEGKVPTGTQLQTFEASNFVGNNLCGSPLPINCSSNIEIPNDDQEDDEHGVDWFFVSMTLGFVVGFWIVVAPLFMFRSWRLTYYDFLDGIWYKLNSCW >RHN76952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2647669:2648876:-1 gene:gene348 transcript:rna348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MASNKLSALIILFSLLAYSTFSHACGSCKPTPSPKPKPKPKPSPPPPSSTPCPPTPSTTPPTPSTSQKCPSDTLKLGVCADVLGLVNVIVGSPASSKCCALLQGLVDLDAAICLCTAIKANVLGINLNVPITLSLLLSACEKSVPSGFQCS >RHN65226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:360073:365808:-1 gene:gene13045 transcript:rna13045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MSFHCTNSCSVPNTLCTITSHSISIPSLKLKCNTNNNRFKIHAKSNSDDAPQQPTSTPSSFLSFLCPLLNLFSGGDPSGQRNFALELATSSLASTSRFAWGRKSVIESASSEKIASEPPISLQLFEFEACPFCRRVREAMTELDLSVEVYPCPKGSVRHREVVRKTGGKEMFPFLIDQNSGVSMYESSDIVKYLFERYGEGRSPSLGLLESTIFTGWMPTIIRAGRGMTLWERSRVEPPPTKLELFSYENNPDARIVREALCELELPYILQNVGEGSRRMKLLFDASGSKEVPYFVDHNTGFQSGDYKMILPYLFETYSSANL >RHN44932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10075214:10082838:1 gene:gene39112 transcript:rna39112 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKHPAICRRHSLRTTTTFSSSSSKLCSSLSYRNSFAVERLALSKCRSDQ >RHN53173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42522423:42522963:1 gene:gene37947 transcript:rna37947 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGIESSSLHHPMQSYPYACSHEAVLTCPSRQLDSLGRLSVHPSKKPLQFHPSWAPYLRQLILEKRSPLQTLLLCKRKMIAG >RHN54004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6167692:6169733:-1 gene:gene28979 transcript:rna28979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase MEVAKTFEKESVGDYEKLLKQVSNRTLKDMGHAKPSKETLVMQSMLAKIYCLPWKTSSYMHCREVIVSGKRWFCTECKKFQECERCHSYDQHTSKNGEVHTLCQAVVDDISSNTKHNDIVLESRLFGNRDNFLIFCQKSQFQFDTLRRAKYSSMMILYHLHNPNAMTQNEHRLTQNCSTQACQYENQESNEEMMVKLLNVLKHASQCHRRASNAEPCSHPN >RHN82823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56624038:56629937:-1 gene:gene7013 transcript:rna7013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoglucan, water dikinase MAQFCNRFLNVLEVMGGASWLAANLESKNVNSWNDPLGALIIGVHQMKLSNWKPEECGAIENELIAWSARGLSESEGNEDGKKIWTLRLKATLDRSKSLTEEYTEELLKIFPQKVEMLGKALGIPENSVKTYTEAEIRAGVIFQVSKLCTLLLKAVRSTLGSQGWDVIVPGAVLGTLVHVERIVPGLLPSPVEGPIILIVNKADGDEEVTAAGRNIVGAILKQELPHLSHLGVRARQEKVVFVTCEDEKIADIQRLIGSCVRLEASAAGVNLTLSSSVDLDGNSSVESAVDDNISGVDVPAFSAGRISKYSQGASSTEVILLPDAETQTSGAKAAACGHLSSLSSVSGKVYSDHGVPASFQVPSGAVLPFGSMELELEKSNSTEIFKSLLDKIETTKLEGGELDGLCHQLQELISSLKLSKDIIENIGRMFPSNARLIVRSSANVEDLAGMSAAGLYESIPNVSPSNPTVFADAIGQVWASLYTRRAVLSRRAAGLPQKEASMAILIQEMLSPDLSFVLHTMSPTDQDNNSVEAEIASGLGETLASGTRGTPWCISCGKFDGLVQTLAFANFSEELLVRGAGPADGEVIHLTVDYSKKPPTVDPVFRRQLGQRLCAVGFFLERKFGCPQDAEGCLVGKDIYIVQTRPQPQ >RHN56501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31380846:31381394:-1 gene:gene31909 transcript:rna31909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MKILQDEIQNEVGNKRMVEEKDLKKLNYLDMVVDETLRLYPVAPLLVPPKCRENITIDDYFIKEKTRIMVNAWAIGRDPNVWSENAEEFYPKRFIEKKMNYQRQEFESLPFDYGRRRCPGIQLGLTTVKLVIAQFVHCFNWELSHNISPFNLNMEEKFGLTIPRAQHLHAIPSYRLDYAKHK >RHN75895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45983195:45984558:-1 gene:gene12154 transcript:rna12154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain, pre-mRNA-processing-splicing factor 8, U5-snRNA-binding protein MTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSCSNTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLSSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPHSDLRYSQQTDVAVTHFRSGMSHEEDQLIPNLYRYIQPWESEFVDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHALAYDKGWRVRRDFKQYQVLKQSFLGDTPEARWEVVEFE >RHN41836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33451969:33452428:-1 gene:gene48198 transcript:rna48198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative periplasmic binding protein-like I MNKVWLLVLMMFYNSLPIKTISNVSARPSTINIGAILSFNSTIGRVAKVAIEAAVDDINSNPKVLSGTKLNISMLDTKSSPGFLGIVDCKSLSNTHHIFVSTLHFFLQKHVYYLQSLKIEPEIWIMVQSVQLIQPW >RHN55019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14441483:14442877:-1 gene:gene30136 transcript:rna30136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiE/COQ5 methyltransferase, S-adenosyl-L-methionine-dependent methyltransferase MVASSRSFSTNCFNNIEWVEGDALDLPFSDAWFDAITMGYGLRNVVDKRKAMQEILRVLKPGSTASILDFNKSNELLTSSFTEWMIDNIVVPVASVYGLSDDYKYLKSSIREFLTGKELEKLALEVGFSTARHYEIGGGLMGCLVAKH >RHN54361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9001619:9004669:1 gene:gene29380 transcript:rna29380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSSTRKITLKSSDGETFEVDEAVALESQTIKHMIEDDCADSGIPLPNVTSKILAKVIEYCKKHVEAAAAEEKPSEDEIKSWDGEFVKVDQATLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFSPEEEEEVRRENQWAFE >RHN61221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33946132:33946872:1 gene:gene23661 transcript:rna23661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kinase C-like, phorbol ester/diacylglycerol-binding protein MKYSEISHFSHPKHKLRFEHNEFPFKCDGCKEIGIGSRYKCSICDYDLHTHCAIPSTTLFHPFYTKCTFQFMQIPPGNTLRYCNACEKDVNGFVYHCKSCGFDLHPCCAKLPMVLNDGEMKLYLHRKVSSPCHRCGRKGRSWSYRSNCKSYNLHVACVREMLVENWYNLYMGHGKGSSRKLEATIPSLQNTLYAAHNCRGSRGSKGKKVKKCCEIAGFAVQIVISAVLGDPTTLIAGVVGALMSRA >RHN73928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21730956:21734501:1 gene:gene9828 transcript:rna9828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MVHLKIHIIFLFLATFSILFETSNAASINVASFGAKSDGTQDSTKPFLSAWSLACKSNEASTIYVPQGSFLLKQVSFWGPCLNKIDFKIDGTIVAPSKYESLGNSGYWILFMKVNWVSIYGGTFDGKGAGYWRCRKAGRSCPAAARSISFSWSKNVLVSGLTSLNSKAIHIAVDHCKNVVIKDVKIRAPSRSPNTDGINVQFSTGVTISHSTIMTGDDCISINQGTTHVWIDRIACGPGHGISIGSLGSDSNEAGVENVTVTDSVFTKTQNGVRIKSWAKPNNGYARDINFRNLIMQNVYYPIIIDQRYCTKTDCPHQNSGVKISSVSYQHIKGTSASPVAIKFDCSQSNPCLGLKLQDINLIYLKGSKTSSSCRNAGGSTLGLVIPKSCL >RHN57920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42563990:42570034:-1 gene:gene33511 transcript:rna33511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MDISEYTRIVFDKIQKFEPENARKIIGYLLLQDNGEQEMARLASCPDHIIGEVVFKALQMLAVNSTMMPIPPPNVNPQQGLSHFPALSPSSPLNFQVPSSYWDPQSTSNANPEFTGMNYMDSLVELQKQTQLLSLENHLDHVNTGTRGLVVNEYNNGLDSSAVNFGGKATKRFSNSSMSEFPLKICHYFSKGYCRHGGNCRYFHGQVPHESFSHMHGNNDNTSNEDPVISPGSLAQIESEIIELLKQRRGNPMSIASLPMAYYDKYKKVLQAHGYLTESQRHGKSGYSLTKLLARLNSIRLIDRPHGQHAVVLAEDAPKYIQKGDSVQNISASRQIYLTFPADSTFTEEDVAEYFNAFGYVEDVRIPCQQKRMFGFVTFADPETVRMILDKGNPHYVRGSRVLVKPYREKTKVVERRYHDRMEHSVCCPHHFANIDSELDSIPRSYGNHRSLSRQLMEEQEQAFELQRRRLAQLQFTRRPFPISPHFGFPMDALRVPDDHFNFQAVEPLNHALNNNTKNTDTDSSGGNSNEGLNLPDSPFAFPMENDK >RHN67866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31066676:31068492:1 gene:gene16111 transcript:rna16111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha,alpha-trehalose-phosphate synthase (UDP-forming) MIIIANYLALNSKKDDITGRWCFSYDEDSIFRQLKDGLSSDIDVVYVGSLKVNVDKSEQDNVSQFLAEFNSFPTFIPSEIQKKFYDGFCKNYLWPLFHYMFHMYQSYCNGFDGSLWQAYVSANKIFANKIMEVFNPKDDYVWIHDYHLMVLPTFLRKRCCYVRLGFFFHSSFPSSKISIDILVGTKILKSMLNAVIIGFQTFDYACHFLSVCSRILGLEYESKRGQIWIEYFGRTIFIKILPAGIHMGRIQSTLYHLSDFNKVREVSKQFKRQKLIIGFDDLDMFKGVSLKLLAFERLFIRCPTLQGKLVLLQIKNPPRSDAWYVEKAKEQASTISKGINEMFGFLGYKPVIIIEGFVPFHKKAAYYALAECCIVYAEQDGRIWFHTSTLRAGCSSSLSGAIRVNHWDINVVVEALKLAITMSNEEKQCRHEKNCQFVSSHDLLYWAQHFDQGLVFSCKDHGKKLCWGFGFGLEVRVLSLSPNLKKLSRNYIVYAYKRKKCRAIFLDYDVTIVPHDPIVASPSPEVVYVLNKLCSNVNNTVIIVIDRGMPSLINFYYQCENLGIAVKHGGDIRLVF >RHN71421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58885181:58891468:-1 gene:gene20103 transcript:rna20103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MSGAVIVAVAAAIGNLLQGWDNATIAGSILYIKREFQLQSEPTVEGLIVAMSLIGATVVTTCSGALSDLFGRRPMLIISSLLYFLSSLVMFWSPNVYILLFARLLDGLGIGLAVTLVPLYISEIAPPEIRGSLNTLPQFAGSAGMFFSYCMVFGMSLTKAPSWRLMLGVLSIPSLIYFALTLLLLPESPRWLVSKGRMLEAKKVLQRLRGCQDVAGEMALLVEGLGVGGDTSIEEYIIGPDNELADEEDPSTGKDQIKLYGPEHGQSWVARPVTGQSSVGLVSRKGSMANPSGLVDPLVTLFGSVHEKLPETGSMRSTLFPHFGSMFSVGGNQPRNEDWDEESLAREGDDYISDAAAGDSDDNLQSPLISRQTTSMDKDMPLPAQGSLSNMRQGSLLQGNAGEPVGSTGIGGGWQLAWKWSEQEGPGGKKEGGFKRIYLHQEGGPGSIRASVVSLPGGDVPTDGDVVQQAAALVSQPALYNKELMHQQPVGPAMIHPSETAAKGPSWNDLFEPGVKHALFVGVGLQILQQFSGINGVLYYTPQILEQAGVGYLLSNLGLSSTSSSFLISAVTTLLMLPCIAVAMRLMDISGRRTLLLTTIPVLIVSLFILVLGSLVDLGDTANASISTISVVVYFCSFVMGFGPVPNILCAEIFPTRVRGLCIAICALTFWICDIIVTYSLPVMLNSVGLGGVFGLYAVVCCIAWVFVFLKVPETKGMPLEVIIEFFSVGAKQIDAAKHNCGQA >RHN74206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30163219:30163644:-1 gene:gene10224 transcript:rna10224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDRQRISTRNSTRNHGIVLTYRWNRTRNIYELYRHRRGENQVPLLVSEDWDMRLYNRDAPLIFHEQNFNNLRQHYRRENLGRIMIEEGTPDSICSICLEELPIVSEGIQLSSLCCHVYHHDCIMRWLNRSNTCPMCRRPVS >RHN43018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42576330:42581177:1 gene:gene49537 transcript:rna49537 gene_biotype:protein_coding transcript_biotype:protein_coding MALASRLASKSKLLYGSQILLQREFAVPVRHFAKGSEGSDLPALKGDEMLKKIYLEVKNKFETSIGILRKEKITIAPEDPAAVSQYAKVMKTIREKANLLSVAQDVKADIDIETQDIPDARTYLLTLKEIRTKRGLTDDLGAEALMFDALEKIEKDLKKPLLRNDKKGMDLLLAEFDKINNKLGIRKEELPKHEEQLELKIAKAQLEELKKDAVEAMETQKKREEFKDEPAAVDVKTLDIRNFL >RHN74201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30108785:30118563:1 gene:gene10218 transcript:rna10218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetate--CoA ligase MAYKSLSSISVSDIESLGIARDHAATLHLRLTELIEIHGNDTSATWQNITKNILSPELPFSFHQMMYYGCFKDYGPDPPAWIPDPESVQLTNVGRLLEKRGKEFLGSMYKDPIASFADFQKFSVSNPEVYWKTVLDEMNISFSKQPECILRDNPGEDGSSSYPSGQWLPGASINPAQNCLNLNGKRNLNDTVIIWRDELQDDLPLQRMTLEELRQEVWLVAYALESLGLEKGSAIAIDMPMNCTSVVIYLAIVLAGYVAVSIADSFAPREISTRLKISNAKVIFTQDLILRGDKALPLYSRVVDAQSPMAIVIPTRGSGFSMQLRNGDLSWCDFLERVNKIKGKEFLAAEQPVETFTNILFSSGTTGDPKAIPWTNITPLKAAADAWCHMDVRKGDVVCWPTNLGWMMGPWLVYASLLNGASMALYNGSPLGSGFAKFVQDAKVTMLGVIPSLVRSWRNANSTSGYDWSAIRCFASTGEASNVDEYLWLMGRAHYKPIIEYCGGTEIGGGFVTGSLLQAQSLAAFSTPAMCCNLFILADDGHPIPQNVPGIGELALGSLMLGASNTLLNADHYGVYFKGMPLSNGKVLRRHGDVFERTSRGYYHAHGRADDTMNLGGIKVSSVEIERICNGVDSNIVETAAIGIPPSGGGPEQLAVAVVLKNSNITPQDLQKLRMSFNSALQKTLNPLFRVSQVVPVPSLPRTASNKVMRRVLRQQLAEQSQSSKI >RHN61151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33503146:33503738:-1 gene:gene23585 transcript:rna23585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MSELKNISILFIVVFAASMLRSTEAIDHIVGSRNGWIIPVDGHSFYSDWASNITFKENDVLVFNFVTGRHTVVELNQTYFENCNVNQNIQFLDTSPSPVRFTLNRTGVFYFTCSIPGHCASGQKLIVNVSASSPALSQGPSSPTSSVSSDIHIDLVATFSILIAAVAVNFLF >RHN39840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10963913:10964468:-1 gene:gene45915 transcript:rna45915 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVSYGFSVRRIWVWFAGGEEEKKRRLCCRYEPLWLVVVVKTAYDGRGLVWPVVVSGEGERLRGTFPLSAPFTIMDV >RHN67397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26829073:26829471:-1 gene:gene15584 transcript:rna15584 gene_biotype:protein_coding transcript_biotype:protein_coding MMDIQQELFKFKPYISGALISFLAIFIFIHLLPFIITMVTFYFPLFFSTALFAVVIFVLTKITPPNNDPIHVPRLAEEILEYFVIAGNHQFYHPDYNNHLANHHHPENYYLPANHNQLDLPPPDKVDDNKDD >RHN50711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9683161:9683667:1 gene:gene35033 transcript:rna35033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MATQNPTTLKKIILLTILLACKVRDTTAFGFGPFGRVTVTIINEVIAPDLKTITVHCKSQDDDLGFHTLLFGGSYAFSFKPKFLTRNTLFFCGFTWPENPYRHYLDIYDYKHDNCENCTWHINKSGGCLNDHKCGFWKDVELIDEYNNSKWTQTKGLDEIGRANPPTF >RHN55641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20434966:20440985:-1 gene:gene30853 transcript:rna30853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MGRSKVQLKRIENKINRQVTFSKRKTGLLKKAKEISVLCDAEVALIIFSHNGKLFDYSTDSCMEQILERHERYGYAERLLVGNDTDTQENWTMEYTRLKAKVELLQRNHRHYMGEELDSMSLKELQCLEQQLDTGLKNIRTRKTQVMYEAISELQKKEKGIQEQNNMLSKEIKEKEKVVAQEAAAQWEQPNYRVDTCFLLQDPLLPGLNMGGNYGEGAQELGRNGLDLTLETLYY >RHN54752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11882155:11884053:-1 gene:gene29834 transcript:rna29834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MHRLVLEILNCLSQKIQEFKESELREASAYDAILKAAKHGNIEFIVAMKKANPDLLWSIDKNKRGIFSHAILNRRKEVFQLIHDASVNGRKEIVRCRVDAFDNTLLHLAGNLGPSFDLHRRSGPALQMQREILWFQEVEKIVHPKCKEAKNVEDKKPREIFTESHKELVKAGEKWAKDTAGSFTLVATLITTIMFAAAFTVPGGNNQDSGIPLFLKDKTFNVFIIADAISLFTSSTSILLFIGILTARYAEKDFLKSLPLKLLFALIMLFFSVVSMMVSFCASLAMLLKGHEGVIITAMSFASIPVIVLVPSQLRLFIEIFKSTVLAK >RHN56946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35154816:35155163:-1 gene:gene32416 transcript:rna32416 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSLRDHSTALSALLLHNSHFRNISLYQMVTEYAFTHNVQHFKINYTTAKLFSPSFFSSRTLKSLTLIGVNLFLPRRIDQIFPHSLSFPALTTLSLKHLAFGCNDDGCVDPNTS >RHN66494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13034059:13035448:-1 gene:gene14496 transcript:rna14496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKHMKRFNTLLQMSENKFVYVPKTQFHNNKNNNLKLQKPNQTLKNYLECNNHTTVVLLFKTLLEQKSPTFNSIDSFSFLYTLKACINKNHSYVLGKQLHSLIIKFGYESIIQLQTSLLKVYAGGGNLFDAHQVFDEIPEKNIICWTSLISAYVENHKSNKGLELFRLMLMNNVEPDQIVLTTALSACADAGGLEMGEWIHDFVRRKQGMKIDLHLNNALINMYAKCGDIGNARKLFDNTRNKDVTTWTSMIVGHAVHGEAREALQLFSEMNFIVSPNDVTFIGVLMACSHAGLVEEGKRNFRSMNEDYGIEPREPHFGCMVDLFCRSGHLREAYDFIMEMPVPPNAVMWRTLLGACSLHGNLKLATEVRDKLINLDPGYVGDSVALSNIYADKEMWDKKIIVRNQIKQSKAHGFSSIEVGSLVS >RHN43656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47498027:47502988:-1 gene:gene50266 transcript:rna50266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lactoylglutathione lyase MVRVIPIASSSILPTLSLFNRTPRISFSHFSTAVPQSHNFGLKACRLFKQNGNSLKVMSSGNVSSSVTAASPENVLEWVKQDKRRMLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVIELTYNYGVDKYDIGTAFGHFGIAVDDITKTVELIRAKGGKITREPGPVKGGKTVIAFVEDPDGYKFELLERGPTPEPLCQVMLRVGDLNRSIEFYEKAFGMELLRTRDNPDNKYTIAMLGYGPEDKSTVLELTYNYGVTEYDKGNAYAQIAIGTDDVYKTAEAIKLSTGKLTREPGPLPGINTKITACLDPDGWKTVFVDNIDFLKELE >RHN63256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49711964:49717077:1 gene:gene25935 transcript:rna25935 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLTNVASQILGGNGVVHRSVASSLRLRSGMGLPVGKHYVPNKPLPMNEELTWDNGTPFPEPCIDRIADTVGKYEALAWLCGGLSCFAGLGLLAVWNDKASKTPFAPKVYPYDNLRVELGGEP >RHN48870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50797684:50801937:1 gene:gene43634 transcript:rna43634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide chain release factor N(5)-glutamine methyltransferase MITQKFLPFHSLEMKLTLTNHNFSTLLNSSFINLTRPFCSLSLSSSLSSSTSIKPQVPIFLRPPIYSTKLNDLKKWHNWAKNIAFSIGSSFVQSDNGPDSTILCRELKWFIEDVVENHHSLFSQVGDDNEKVKMRADIEELYCLWKQRIEERKPFQYIVGCEHWKDLVLSVQEGVLIPRPETELIVDLVSDVVSKNEGLKRGVWADLGTGSGALAIGIGRVLGDGGKVIGSDLSPVAVAVAGYNVQRYSLQDKIELREGSWLEPLKDMEGKLAGLVSNPPYIPSKEIPVLQAEVGKHEPRVALDGGIDGMDALLHLCDGADLMLKPGGFFAFETNGEKQCRELVDYMKSNKSASLCNLEIFADFAGIQRFVIGFHR >RHN78482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15491922:15495741:1 gene:gene2052 transcript:rna2052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLLNLEINTLSLVAPPRETISIPSKTSQQQPLLDYPNDPEARFKNLNLHKNADKRVNSNGFVLKKKNVHTKCSTKCVNYNGRVLAILQALDTIHDLDKALGPWEKRLGKKEMSIILKEQVCWKRALMIFEWFKKKGCYELNVIHYNIMFWILGKERKWRVLESLWNEMNENGVVPVNSTYGTLIDVYSKGGLIEEALAWLLRMQSEGMEPDEVTMGVVVQLYKRAGEFQKAEEFFLRWSRGEPLRIEIDHNPADTRRHVCNEVSHVNVCLNSHTYNTLIDTYGKAGQIRVVYEIFARMIKQGVVLTTVTFNTMIHLYGNHGRIREVSSLLKRMEELRCLPDTRTYNILISVLVKHNNINLATKYFAKMKEAFLEPDVVSYRTLLYAYSTRKMVQEAEEIVQEMDERGLKIDEFTQSALTRMYVESNMLEKSWLWFMRFHQDGNITSCCYSANIDAYGEKGYTLEAEKVFMCCKERKKLSVLVFNVMIKAYGIGNCYDKACQLFDCMKKFGVAANECSYSSLIHILASADKPHIAKPYLNKMQVAGLVSDCIPYCAVISSFGKLGQLNMAEGLYNEMIGHDVEPDAIIFGALINAFADVANVKKANSYVDRMRKAGFIGNQAIHNTLMKLYTKLGYLKEAQEIYTLLQSSDQGPSVFSSNCMIDLYTERLMVEQAKEIFESLKKNSIANEFSYAMMLCMYKKIGRLDEAFQIAKEMGKLGFLTDLLSYNNVLGLYSMDRRLWEAKKTFKEMIESGIQPDDFTFRALGHLLLSYGVSKRNIGMLEVMVKRNAPRGLQAWMMALSCVLNGDDYTHEWKWLLVDGAT >RHN50417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6959074:6960243:-1 gene:gene34699 transcript:rna34699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNINRLIILPDELIDKVVTPLDVKTLMLMKCVCKSWKTLISDPDFVKRHHKKQSTHLAFLTNNYKDLRECKVVPISSLMEGTSNSITLAVPSNQFYYKDAGRIVGSCNGLVCIQDCSFTAEYSEHAFSFWNFATRTKSEALVSFRSNPTLNKNINVCNFTFGYDNSTDTYKLVFFSLERDDDLMKTVIRVFTLGDNDWRDIDCLQVALVCQNVKNGVYLKSSISWCVRYRYNCHLKNLTVEQFVMISLDLGTETCTKLLLPRCCDEELHGFPTLSGLMDCLYFSYDFKKTHYVIWQMKEFGVQESWTKFLKISYTNLQQDFKTHETYYPPRLIPLCFSNNGDTLIFAINLPDQAFLYNWRDNTAKRIKSNGKNLWFSAKGYVESLVSTS >RHN66486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12977726:12991127:1 gene:gene14486 transcript:rna14486 gene_biotype:protein_coding transcript_biotype:protein_coding MLYTISMPCNLHLLESNQLSFFFLSFLKHLNQLRFGSPTVTSPPINLRRSLRSFSGGCREIGTMSEVFDGYERQYCELSAILSRQCTAASALDGEQKKQKLSEIKAGLEDADTLIRKMDLEARSLQPSMKATLLAKLREYKTDLNNLKNEVKRVASANASITARDELLELGRIDSLAVSNDQKGRLLMTTERLNQSTDRLTNSRKTLLETEELGVSILQDLHQQRQSLLHAHKSLHGVDDNISKSKKILAAMSKRMSRNKWIVGSLMAALVLAIILILYFKFTH >RHN73681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18820368:18822989:-1 gene:gene9528 transcript:rna9528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zf-FLZ domain-containing protein MLGKKSHHLIRKFSELLVFGESTTGLFDTIGSPRSPFELSSKIQSPKGLKSYEFGGVGLGIVVALDKSDNDFVGYEVVPKHVCTPKTNQSGEPITIPIQNHHQQNGNFANEILVGSPEDYTYVTYHDESNKPITKVFYDGGEESGILKHDCYTSKNINNNNVGVFKRSPPTQTLAQAEPSYPTLDFLNSCHLCKKNLHGKDIFMYRGEIAFCSNECRSKQIMMDERKEKCRSSSMELSSSPYTKDQMFSTGIMAL >RHN52507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36225212:36233444:-1 gene:gene37195 transcript:rna37195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADP-retinol dehydrogenase MATATTTSSSSNEPLLKKKKKQSLGWIEWLRGWFNLFYEFLFQRITASHLHNPMPLPPINDLTCIVTGSTSGIGLEIARQLAESGAHVVMAVRNTKRANDLIQKWQIESDGLGLGMALNVEVMEVDLLSLDSVARFAEAWNARATPLHALINNAGIFSIGEPQKFSKDGYEDHLQVNHLAPALLSILLLPSLIRGSPSRIVNVNSIMHHVGFVDTEDMNLTSGKRKFSSLVGYSSSKLAQVMFSSVLFKRLPAEAGISVLCVSPGIVQTNVARDLPKSVQTGYHLIPYFIFNAQEGSRSTLFAATDPQVSEYCELLKSDEWPVCPYISHDCRPANASEEAHNLQTSHEVWEKTLEMIGLPSDAVEKFLEGEEVKCRYGQEQQ >RHN78584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16452204:16453577:-1 gene:gene2202 transcript:rna2202 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFLYYFLRDYIRSFIFVSIKMIPIEFVFDISHSHHEIWSINCRIKG >RHN80386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37636289:37636717:1 gene:gene4307 transcript:rna4307 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMRLGKKLHPAKKRLIATFKRILATFHSPIPSRRSKTSYVYATSKNKSAIRIDDLFSECVHAADKANGLEETSRGKELVGMMDSGDIDTIEDAWKIVVGKTPQLQVDEKAEEFIKKFYEDVRLQKERSLMEYQEMLARSA >RHN44904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9699742:9700667:1 gene:gene39081 transcript:rna39081 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDSSTRVTRSVFLLVFVSRGGFLCNKTLSSFSYHCLITLESRKRKREKRVRAMYSCCFNLSKLYL >RHN56595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32120656:32121063:1 gene:gene32025 transcript:rna32025 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLMFSNGMLHILSRGNPSPSSLSRKLSHVYLLGRYMLGLRKLGWSRSLPRLRKNMRPIAEAVDLIQEIAVETLGTMTKTKGTTFILKQVRLRLDFPDQACIQIFSRRISPRVIDVGAIKEEKKGCIASGTSWN >RHN40760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20435289:20436054:-1 gene:gene46957 transcript:rna46957 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLSLCIVTMPKRATVTRRESRHMSHQRIRSDPGRKCIKSFAK >RHN58482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2122787:2124680:-1 gene:gene20400 transcript:rna20400 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRSTMSYNSKTTFDENLWVIQVRKTLEEEFEEENGELSISIFNVPKLLMASDPNSYVPQQVAIGPYHYWRQELFEMQNHKLASTKRFQKQLQSLKIDNLVDQLTKLEQKIRACYHKFLDLNGETLAWMMIVDASFLLEFLQFYAMQEESRKVISSNMSHFVNYVGRRLSPNAILRDIVMLENQIPLFVLRKMLELKFFSSEENADDVLILMCIRLFKEISPFKMFEEYPNIQVLECAHLLDFLYDMIVPKLEKQHDIIEVEIQQEVDQQEGDEKSSTNDSIHVKKFLSILWKFLSKIIKGPLHGIKKVLISAPLKVLKKLPWENITNLPGIKLVKQPIEYFLNTQKKEEEKPKDENSSTLKNKTPLVEEIAIPSVEQLVTAGVNFLPAKGSISSISFDAKTNTFHLPTISLDVNTEVFLRNLVAYESSVGSGPLVITRYTELMNGIIDSENDAKILREKGIILNHLKSDQEVANMWNGMSKSLRLSRVPFLDKTIEDVNKSYNNTMKIKIWKFMKSYVFGSWQFLTFLATIFLLFMTALQAFCSVYTCHRFFDKALLELTSPDTN >RHN63466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51498771:51501374:1 gene:gene26165 transcript:rna26165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MNIQLFHSLTILLHLHIHILILIPTTNSLNFLYNSFTTTTNLTLIPDAHIQPPVIRMTNDSNQYSYGRAFHPTKLPMLKPSPSSTNITSFSTSFIFSILPDNPTSPGFGLAFVLCNTTSPPGALASQYFGLFTNSISSSSIFPLIAVEFDTGQNPEFNDKDSNHIGIDLNNIESKIQTPAGYYNSTGSFVPVQMNTGENIRAWIEFDGERLEINVTVAPVGVSKPLKPTLSYNDSSIAHYVAPEMFFGFSASKTNWIEAQRVLAWSFSDDGSLKELNTTNLPVFKRGSSSSSLSSGAIAGIAVGCFVFVVCCTSVFVLYFRWRKRREDDDDVEDWEMEYWPHRFSYEELSNATKGFQREQLLGFGGFGKVYKGTLMNKTLVAVKCVNHDSKQGLREFMAEISSMGRLQHKNLVQMRGWCRKGNELMLVYDYMPNGSLNKWVFDKPEKVLGWDQRRRILVDVAEGLSYLHHGWDQVVIHRDIKSSNILLDGDMRGRLGDFGLAKLYQHGQVPNTTRVVGTLGYLAPEIATVAAPTSASDVYSFGVVLLEVACGRRPLETDVVEEEVVLIDWVRELYAKECVVEAADSRIKGEYDVGDMEMVLKLGLACCHPEPNRRPTMKEVVALLLGEDIAEAPGKVLSDLARGDDNGGGGGRESDEEVPLQST >RHN62421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43315111:43317355:-1 gene:gene24997 transcript:rna24997 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSLSRVTRYLPVGFRRELSRQKLQQYADTNLGDVEFEFLDDCDMGLGNSNSSSSDEFHHDNHMELDIEDEEDKSSERVNEGCNNEENRNFWDNQLQLLQTNLYRTSSVEISIRNATKEAIEEIDRSKLECCCSRQSGEARSCPNCFMRQVSSYLQNGGFNSAICNTKWTSSHNLPSGEHTFLDVLHSTSKEKGDIRVIIELNFRAQFEMGKASEDYNRLVRKLPEVYVGKAERLSNIIKIMCMAAKRCLKENKMHMGPWRKHKYMQAKWLGPFKRNTSTNSLSLGYSERNINPKQKPKASMLTVDLLEKFPDMHCTAIEVV >RHN55696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21254710:21257895:-1 gene:gene30921 transcript:rna30921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol 4-kinase MSRKLESPVQTQMAVAVMRSPLGREYHGSQSQNQSQRQPAGRRRVFVQTDKGCVLGMELDRGDNVHTVKRRLQLALNVPVEESSLTFGDMVLKNDLSAVRNDSPLLLTRSLLHRSSSTPCLSPTGRDIQQRDKSGPIEILGQSTRLDVMKLMAKDIVKAIKMGIDPVPVNGGLGGAYYFRDCNGDSVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTALVKVTHSIFNVNDEVNGNCFRRKRLVSKIASCQQFIHHDFDASDHGTSSFPVASVHRIGILDIRILNTDRHAGNLLVRKVGDGIGTFGQVELIPIDHGLCLPETLEDPYFEWIHWPQASIPFSDEELAYIRDLDPAHDCEMLRMELPMIREACLRVLVLCTIFLKEAAEFGLCLAEIGEMMTREFHRGEEEPSELEVVCLEAKRMLAEREELSPRTEVGDDEFFFDIDCDEGGSDSTPKMAMEDPLIREIFQHAPGNGHMRSPLSKLDESIEEEEDENDEESPQEFGTFSAQEKVATIPEITVSLKNTMLAEKKQKHSGGKLDNGYFTSSGHRSANEQLPASISFVMLADMTEDDWTLFLEKFQELLYPAFAKRKSITLGQKQRQRLGTSCQF >RHN68552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36477545:36478276:1 gene:gene16920 transcript:rna16920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MKMNHIIVFFFVLLSSYTSHVSSSANDFCVANLLNNPDTPSGYPCKSPTVDDFVFSGFVPGNLINEFNVKLTKVSVIELPSLNGLDVSAARVDIGVNGSVPMHTHPDATELLIMVQGKVTAGFINLTEVFVKDLQLGDIMVFPKGLMHFVVNSGAEEAIAFATYSSSKPSFQFLDYVLFRNNLPTSIIAQTTLLDVSQIKKLKARFNGTG >RHN76461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50509469:50513557:1 gene:gene12788 transcript:rna12788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BAH domain-containing protein MAPSLTQACCVEEIVGDFKWGNKRGIGVKNKDTQFYDSFVYEGVEYFLHDCVYFYHTDHVETSIGMLVKMFENGRRKMIRVVWFFRPSEIQSFHRSYQPSWNELFLASGKGKGLTNVNSVESILGKCCIVCSSEDKRNPKPSETELKRADFFFKCTFDVDRLVIDDKFPDKIDGIEVEQFFNKKRDRKTGNSVHLEANKMSKDITKIKIKTITSENIKHEVKTKTAPSDILRCKVEGTASEIVSPKTLLDSSPFKKRKVFEEKSRLGHSSNSQKKKEFNEKKELRQDDSYNPTRKVTEVTERPNAEKRKWFKKMPWEERLQKAQELGTLVLLSDLDPSYTSFEVEDLVWHALKEKVEARMIELSPTSNTYYGRALVIFRSKDAAENAISELTSRCLVLEGISFTALFFSSTLLFCSVPELA >RHN75781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44997632:44998991:-1 gene:gene12029 transcript:rna12029 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNNIGNYNIMQNSLVPNIGTHFSSIFKPISCTNHETTNQTSRSGLSLWMSQTSHDTILANNSNLHEIHENWVFGNKFSSNNECQELTSSISLPLVNNNIDNKDSTTSTNNLVSVPSLYSSQHQSQSHHQTTSANMSATALLQKAAQIGTTSTESLFLGSLGLRCNSPSQDHGNKFCGVYGSSSVLTSSDLGSAESYISGELSQMPSIKRRRVQNEEGVWGQTRDFLGVGGANHLPQFINRRMDLI >RHN47263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38367740:38370621:-1 gene:gene41839 transcript:rna41839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MKPMLHHPSSSIIISFFSFTLLIISIINIPTCLCDYDDQYTNCNNAFNCGSSIANIKYPFWGENRDKYCGGVSSDPNMDLTCEESVPKININDVKYRILDWNDTIQKLTVAREDCWSGICAVNVSSNPKNSTFDNTMFQRDGDVSYNVSLLYNCETSITNIFSTTCGNTKVSYTIVDPASASLTCTPSVIVEFPILAVQVRQIATLNDINKALQGGFDLKWTGNYGECQKCVDSDGVCGSNDGGNEFRCFCKDGSHTTSCASQKVLTSNNSTERNRSRTVIGAIVAGFGVTIIIMISCYFNKGNGRPNMSIFRKRRKVVDHNVEVFMQSYNSSMPRRYSYKEIKRITNSFRVKLGQGGYGVVYKASLIDGRQVAVKVINESKGNGEEFINEVASISRTSHMNIVSLLGFCYEVDKRALIYEFMPNGSLDKFIYKSEFPNAICDFDWNTLFQIAIGIARGLEYLHQGCSSRILHLDIKPQNILLDDDFCPKISDFGLAKICQKKDSIVSILGARGTIGYMAPEAFNRSFGGVSYKSDVYSYGMLILEMIGGRKNYDTGGSCTSEMYFPDWIYKDLEQGNTLLNCSTVSEEENDMVRKITLVSLWRIQTKLSDRPPMNKVIEMLQGPLSTISYPPKPVLYSPERPQLQVSDMSSSDLYETISITESK >RHN51607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20907771:20909000:-1 gene:gene36080 transcript:rna36080 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKGKEVVKPKVAEQDGTSVHSPCKPPPSSSSSLKDLDQVELELQLLLAFEFYPPIKLQGGVHRHFVLYGLMEYLKRSFDRHFTAEEVLELVGRFYNIEMLKSDDDEIDELNNEEDFRLPQSFFAKDEP >RHN38901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2730755:2733759:1 gene:gene44878 transcript:rna44878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative T-complex protein 1, alpha subunit MISVLVELAELQDREVGVVVAAELLKRANDLVRNKIHPTSIISGYRLAMREACKYGDEKLAVKVVKLGKKIH >RHN65208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:236254:236941:-1 gene:gene13026 transcript:rna13026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MKLKKCGNDVYFCNKWQQFAEYYSIGYGSFLCFKYEGDSKFSVFIFDATSIEICYPFKTQSTNGETKTKFHSPRKRSKVETSDNHASKRAEEAAKEFNPKNPYFCSKILKGNYVYVNADFASKHLNPNVPIKLQNSHGKQWEVSCAMHDAKSSSTAMIISRGFPIFLRGNNLSHGDYCVFELINKTPDVLKVTMFRVIDYYV >RHN75409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42072944:42074134:1 gene:gene11607 transcript:rna11607 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNVTLPSGSSCYGVLLQTMDKQFAKNVNHKNQLCMKYGAILKQYIKGSVRNRDR >RHN77073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3788396:3792536:1 gene:gene484 transcript:rna484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EEIG1/EHBP1 domain-containing protein MMMKPNFESQNKDVGETETDSNIGHEELLRDIEELSKALYLDNTPFKPSTLSAEKSRSSKSQLNSTPRFVSEDLLIGDKKLSSKWNWKKPLKVLTNIGSQKFVCCFNLHVHSIEGLPLSFDGVRLSVHWKRKNSILQTCPSRVLDGSAEFDETLVHRCSVYGGRVVSGRSVKYESKRFLIYASVVGEPEHDIGKHQVDLTRLLPRSLEELRGDKSSGKWSTSFRLVGKALGARLNVSFGYQVMKDDLMRFGASTGNVVNLVNLKTNTSIPDNVAGFSSNNRDVIKLRPTQNDVVLSNEAVMNSGSGFSKSITFLYQKLDEENFNNSACADSESSQGSNLNVSDDTEFSISEQGVETSEEDSFEFDQTRIQIVDMSTVEIIDVDEIIKDDDTFVDNNASCDSLDTICSRNVNWDIADNSKHRFSISCVDLLSMKIKDSVSETSKFLDKEEHYFSVKSNDKAHKKSHSLDDVIDSVASDLPSMKIKDSVSETSEFLDKEEHYLSAKSNDKAHKRSHSLDDVIDSVASDFLKTLALESGSFRSSCDGDPMSPREKLLRQFENEALASGNFAFDFNANEEELGQYTLEHNYEDYDVDSDLSLIIGAAEEEYEREDQSLMQRRKAKILEDLETDTLMQQWGLDERDFENSPRTWSGGFGSPIEISDEEPSILPSIGEGLGSFFQTRSGGFLRSMCPSLFRNAKNCGSLIIQASNPVVLPAKIGNDILDILLYMASARVEELCNYISKSMPLQDITGKSIKHIVSDAKTNTEASGRKGSWQHNLFEEFPCSYLTDKDKCLDSLSLETIAPMTINKIESLLIEGLRIQSSLSNEDAPSCIRGEINNDLDGLMDLSVTLDQWLRLDSGIIQGEHNLEQILKILKAHNSKITELYNEGLGNGIDKEKIDGRKRCYLGEHATMAFMIQHRDPLRNYEAVGVPMLVLTQAERVDIHEMEKDCDNFVENEDIDKEPPQSRFKIKEIHIAGVLTKNGGNRQVWGTASQQQSGLRWLLSSGMCNTVKHSSSKSKSIVVRSSSLFANKLMNQDILWSISCVNSNIETNAHIRNPDIMFPK >RHN56322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29831587:29832116:1 gene:gene31706 transcript:rna31706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase I transcription initiation factor TAF1B/Rrn7 MSHQFKCYVQAPASYTGTKARIICCINCSLHRLGVTSCELSCNAIAYRYLKKLSLPVEKILPYACRIYEWLMPPDLWLSFTKDYFRLPTHVCAVSILVIAIRILYNINGYGEWEKSLSCNVGDKDNGEMDTTFASHDEHFLVKVLLKIQ >RHN69817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46492897:46502774:1 gene:gene18335 transcript:rna18335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polymerase, nucleotidyl transferase domain-containing protein MGTIQQNVLPYSLLNQQLFSMDEELWFMAEERAQEVLCTIQPNVVSEVNRKRIIDFVQRLIGGYYGGEVFVFGSVPLKTYLPDGDIDLTVLSHESVEDDLVQAVCNLLETGEDHEYQVKDIQQIRAQVRVVKCTVKNIAVDISFNQMAGLYALRFLEQVDQLVGKNHVFKQSIILVKAWCYYESRILGAHHGLLSTYAVEILVLYIINCFHSSVRGPLEVLYRFLDYYSTFDWEKNYVTIYGPQALSSLPEIVEKPECDGGEFLLSKELLKNYRDMCFVPKASETSPREFSIKYINILDPLKNDNNLGRSVNKGNLHRIGFALRFGARKLKEILKLPGQRMGGALEVFFMNTLNRNGKGQRPDIDVPIPAFGTGKSEDPVLVGDCDSYYGGLKYVQLYRNYAMPVVAQPSPPSPQFDADMLAPQFDADMLAPQQSWYMYYHRGADLYVPSQTFFHPNVPPQPTYGLEEIGKSRGTGTYIPDMTLTTYWNTRARGSMPRRSSRANNNNNNNNNNNNNNNNNDDNNASSKRKQIEEVPPETDMNDKNSKSFELSKEDFPVLSSIGNSSLSASHQSEQDQNSSSPQIYIEFGTYSVSKSLKELSLGTKDQKKDSGVSSSKTLGTKDRKKDSGGSSSKGATTPVVPSRAVQAKEKCSRMEKKMG >RHN77318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5976338:5982307:-1 gene:gene761 transcript:rna761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MASSSSSFTYDVFLSFRGTDTRYTFTGHLYKALHNKGIMTFIDDDHLQKGDQITPSLLKAIENSRIAIVVLSKNYASSSFCLQELCKILENGGLVWPVFYEVEPSNVRKLSGSFGEAMAVHEVRYSDDVDRLEKWKKGLYQVANLAGFHYKNGDGYEHEFIGKIVEQVSREIKPLTIPVVEYRVGLEPQRKNVLSLLNVGCDDRVAKENSEKHGLIYLQKIILLEIIGEKEIELTSVKQGISVIQQRLRKKKVLLLLDDVDEQKQLDAIAGGNDWYGLGSRVIITTRDKGLLLSHGVESTYEVHELNKKDAFELLRQKAFKTNKVCPNYADVLNRALTHASGLPLALEVIGSHLFHKTVEQCKSTLDRYERIPDKKMQTLLKVSFDALEEEEKSVFLDIACCFKGYDLTIVNKMLHAHHGDNMEDHMQVLVEKSLIKITESRSVTLHDVIEDMGKEIVRQESPKEPGKRSRLWCPEDIVQVLEENTGTSKIEIIYLDSSIEVKWDEEAFKKMENLRTLIIRHGAFSESPKYLPNSLRILEWRKYPSGGVPSDFYPKKLAICKIAFDFTSFVWGDFLKKKFQNMKVLNIDNCGFLARMPDISGLLNLEELSFQYCENLITMDDSVGLLAKLKILRVGSCKKLKSLPPLKLVSLEELDLSYIDSLESFPHVVDGFLNKLQTLSVKNCNTIRSIPPLKMASLEELNLLYCDSLECFPLVVDGLLEKLKILRVIGCSNIKSIPPFKLTSLEELDLSYCNSLTSFPVIVDGFLDKLKLLSVRYCCKLKNIPPLKLGALEQLDLSYCNSLESFPPVVDGLLGKLKILKVFCCNSIISIPPLKLDSLKELHLSYCDSLENFQPVMNGLLKKLQFLSIKSCINIKSIPPLQLTSLEELDLSNCQSLESFPPVVDQLLENLKFLSIRYCHKLRIIPPLKLDSLELLDISYCDSLDSFPHVVDGMLEKLKIMRVKSCSNLKSIPPLKLASLEELDLSYCDSLESFPTVVDGFLGKLRVLSVKGCNKLKSFPPLKLASLEVLDLSYCDNLESFPLLVDGFMDKLQFLSIIYCSKLRSIPPLKLALLEHFDLSYCDSLVSFPPVVDGMLEKLRIFRVISCNRIQSIPPLKLTSLEELNLTYCDGLESFPHVVDGLLGKLKVLNVRYCHKLKSIPPLKLDSLEQLDLSYCDSLKSFPPIVDGQLKKLKILRVTNCSNIRSIPPLNLASLEELNLSYCHNLECFPLVVDRFPNNLKVLSVRYCRKLKSIPPLKFASLEVLDLSYCDNLESFPKILGEMENIRQVHLYTTPIKELPFSFQNLTRLRTLYLCNCGIVQLPSSIVMMQELDELIIEDGGWLFQKEDQGDKEVISMQSSQVEFLRVWNCNLSDESLAIGLMWFANVKELYLSWSKFTILPNCIEKCHFL >RHN78799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18530902:18536443:-1 gene:gene2452 transcript:rna2452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MGEVAALHSESNDTKHTTKEDVNPPKSNLESLPGEESSESINTNDLQDKGYMEYGCQHYRRRCRIRAPCCNEIFDCRHCHNDAKNGINVDYKHRHEMPRHQVKQVICSLCATEQEVQPNCINCGVCMGKYFCETCKLFDDDISKQQYHCNGCGICRTGGQESFFHCNKCGCCLSTQVENNHPCVEGAMHRDCPVCYEYIFESTKEIVVMPCGHTIHKSCIDEMREHHRYTCPLCSKSFCDMSRVWERLDIEIAATPMPEQYQNKMVWILCNDCGNTGNVRFHFVALKCLNCNSYNTRQTRG >RHN55282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16780925:16790075:1 gene:gene30434 transcript:rna30434 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLIRSTTTVYSERSPIPEPRVDTHQRSASAGSSYEAHKSDVPYSSRYDPNVELNSKNRDLPEIAVVDKHVDVDEDDGWITIPCKELPENWDHVPDIQSLRSLDRSFLFPGEQVHILACLSACKQDTLFTAPFEVAATTSKNGIDHSHEKESGSIENRHNLVSEEGELSTSGEEQPADIFYGDSLRHREVHKRQIALLLQKFENSHFFVRICESNEPLWSRRNSLEKNSSSSETNNQKVSIIKTKETAFPSTGAVIDRGNFDATTCGGTARNFVKCFALQNGDIVVLLQTNVGVSFLKDPCIEILQFEKCRERMLSSDSQVDEVCTDQDPYAELLNWMLPLENGRPHHLTSNSGLDSNSNRSNFSAPTGSQLFSFGNFRSYSMSSLPQNTITSSAPVKAASSKPNFDHEDWDQVSSQKVFWKKTGKEELLSFRGVSLERDRFSVCCGLEGVYIPGRRWRRKLEIIQPVKIHSYAADFNSEDLLSVQIKNVAPAHAPDIVIFIDAINIIFEESTKNGEVSSLPISCVEAGNDHSLPNLALRRGEEHSFILKAETSKLKSLKAQYDRSSRLSKLPYGNKISKLSHDQYAIMVSCRCNYTSSRLFFKQPTSWRPRSSRDIMISIASQMSGKSRGDYDKTYQLPVQILTLQASNLTSEDLTLTVLAPASFTSPSVVSLNSPRTPKSPFIGFAEFLARVNGERRIGSKWKKGFNSIIKKKVEQSYDGKAQEVSLSDDVIPSSGISCTHLWLQSRVPLGCIPSKSVVTVKLELLPLTDGIITLDSLQIDVKEKGVAYIPESSLKINSTSGMCKGIM >RHN74123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28775773:28776186:1 gene:gene10116 transcript:rna10116 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKDLLRSLRRTISGKTRERKMFWMSSCGRRQSPIALEVVFL >RHN61286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34485633:34488442:-1 gene:gene23730 transcript:rna23730 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFCKSAARVASTSFANRSRTFAQKPSIPLLFSSQAPRVSRILSVVGSVESLMPLHTAIADARLTSNIASNSTCWSMLSQDFAVPR >RHN60916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31573427:31574447:-1 gene:gene23311 transcript:rna23311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A.1 MEASTKTTKKGAGGRKGGGPRKKSVTRSIRAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLNGVTIAHGGVLPNINPILLPKKTEKTAPKEPKKAGKSPKKA >RHN79336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27696389:27697202:-1 gene:gene3113 transcript:rna3113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructokinase MLVDSNASTILYYVLLRADGERECSLFRNPSANMLLYSEVDRKLIKKAKIFHYGSIGLIDEQCKASYLAALSFAKTCDCILSYDPKLRLELWPSAEAARKGIMSIWNLADVIKISKDEITHLIDAGDPCDDDDGEVRGLNVEPVDTTGVGDAFVSGILYYIASDPSIFKDEKRLRKALYFARVCGAIMVTKRGAISALPTKDDVLQYKMQ >RHN45918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26776916:26781270:1 gene:gene40345 transcript:rna40345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MGFSSCASLFSVLFLLCCYLLQVGIAIEAITSSQFIKDPGTLLSKDGNFTFGFFSPKNSTNRYVGIWWKSQSSIIWVANRNKPLSDSNGIVTISEDGNLVVLNGQKQVIWSSNLSNTTSHTTAQLSDYGNLVLLESTVGSMLWQSFQKPFDTFLPGMKLTRNKRTGEKVQLTSWKNPSDPSVGSFSISFIDRINLHELFIFNETQPYWRSGPWDGVVFTGMQMMTNPYINGNHVVDDGEGNIYIYYTVPKDISLVVYNLNSQGQLIAKWWDYEKKELQIIQTSQLSECDIYGICGAFASCSSLKLPICSCLRGFEPRNKQEWNRHNWTGGCVRRILLQCERVNNNTTSTKKEDGFLKLKMVKVPDFAQGSALTPDICRSRCLENCSCIAYSHTAGIGCMSWTGNLIDIQQFKNGGLDLYVRVAYAELDKERNTTIIITTTVIIGTLIIVTCAYFMCRRAANSPEAKLKPSLKSKRKKNIKAFQFFNKVGTSEDNTSDSIIRTLSQVKLQELLLFDFERLAIATNNFHSSNKLGQGGFGPVYKGKLQDNQDIAVKRLSRSSGQGLEEFKNEVVVICKLQHRNLIRLLGCCVEGEEKMLIYEYMPNKSLDAFVFDPSKNKLLEWRTRYNIIDGIARGLLYLHRDSKLRIIHRDLKTSNILLDEELNPKISDFGMARIFEGREDTENTIRVVGTYGYISPEYAMQGLFSEKSDVFSFGVLLLEIISGRRNSSFYDNEHALTLLGFVWIQWKEGNILSFINTEIYDPSHHKYVVRCIHIGLLCVQELAVDRPNMAAVISMLNSEAELLPPPSQPAFILRQNMLSTMSHEESHRRYSINSVSITDISGR >RHN48391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47166219:47169216:-1 gene:gene43103 transcript:rna43103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MTISCWKPTVEGGDGDVKGKVDGLLWYKDLGNHLYGEFSMAVIQANSSLEDRSQLESGPMSSDYLGPQGTFIGVYDGHGGTAASQFVNDNLFSNFKSFTAEDQGISEKVIKRAFSATDDDFLSLVKKQWQNKPQIASAGTCCLAGIICNGMLYIANAGDSRAVLGRVRRGTRETLAVQLSTEHNVNIETERDDVRSKHPYDSQIVVMKHSVWRVKGIIQVSRSIGDAYLKKAEFNREPLPQKFRLPETFFKPILSSEPSISVHKIQPEDQFLIFASDGLWEHLSNQVAVNIVSNNPRNGIARRLVKAALKEAAKKREIRLSDLQKIEQGVRRHFHDDITVIVVYLNSKLIDNSSLWSSPLSIRDGRHVNS >RHN62911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46725863:46728408:1 gene:gene25547 transcript:rna25547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor/ chromatin remodeling BED-type(Zn) family MDIRDFPTSETSEHEVSSPTPAANPLPPPSRVRKNRSEAWDHFIVVSEEEKRAKCLYCPKEIKFNGGTSSMRNHWLKHQEEDSNKKQKSGSCSTADMEGNSSAISKFDQMELRKALGKVFIGLELPFRKVDHEALHHFLNLGIPQFKIPSRTTLSRDILQMWGNEKVRLKTFLSQHCGRVCLTTDFWTSCQNYSYMSLTAHFVDNNWKLNKKILNFCQVPGHSGDVMAQTVWNCLTGWGLNKVLTMTVDNASSNDVGISQLKKALMPDGLLMGGEYFHTRCCAHVLNLIVKEGLKDIEREVLRIRGAVRYVQASSSRLQRFKACIDPQKVQYKGFVNLDIETRWNTSYLMLDAALKHMPTFALLEMQDHTYVKELRKGKGLPLPEDWEYVRSILPFLELFYNATVRLSGSSYVTSNMYMFEVLERR >RHN44126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1890542:1893087:1 gene:gene38191 transcript:rna38191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MAPSSNFVHIMVVLSLVLILGVIVPKGEARRRAFFVFGDSLVDNGNNNYLLTTARADSPPYGIDYPTRKPTGQQLGTVSTLPYLSPELKGRKLLIGANFGSAGIGILNDTGVQFINVVKMHTQLDYFEEYQQRLTTLIGGSRTRRLVNKALFLMTVGGNDFVNNYYLVPSSSRSRQYPLPHYVKFLICEYRKHLQRLYDLGARRVLVTGTGPLGCAPAELATRSTNGECSMELQRGAALYNPQLEQMLQRLNRKLGSDIFIAANTAQMHKDFVTNPTAYGFVTSKVACCGQGPYNGIGVCTTLSNLCPNRDLYVFWDPFHPSEKANRLIVEQIMSGSTRYMKPMNLSTILLLDAST >RHN68899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39431897:39432196:1 gene:gene17315 transcript:rna17315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative double-stranded RNA-binding domain-containing protein MNKMRLQELCHQRKWSLPKYSALNVDGPPHKPSFKGSVFVNGLTFTSDAFHSSKEAHNQAALKALLNFSSPSSSTLLSSLFWFCFVSLKRYIFLILPWR >RHN68587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36799380:36804863:1 gene:gene16957 transcript:rna16957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP-binding protein TrmE/Glycine cleavage system T protein, domain 1 MAMAMSSFRIVFRHILHTKINNRFFTPPPRTTWRHSLLSSRISSSTNYSYNVITNKDERLLEQIENDNNNTNVVANSTTIAAIVTSLGGPPAAVGIVRLSGPHAVSIAGRVFRPARNTWRPTSHVVEYGVVLDSDGNVVDEVIAVPMLAPRSYTREDVVELQCHGNEVCLRRVLRICLEAGATLAQPGEFTLRAFLNGRLDLSQAENVGKLIAAKSVAAADAALEGIQGGFSSLVRSLRNQCIDLLTEIEARLDFEDEMPPLDLNGIMDKIHHMSQDVENALETANYDKLLQSGLQIAIVGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVIEASININGIPITLLDTAGIRDTDDIVEKIGVERSEAVARGADLIIMTVSAVEGWTSEDTKLLERIQSAKESTGSSTPVILVVNKIDCKPCAETEWDKGMHSHKIFSKQVFTCAVTSQGLQDLERAVLEIVGMDGIASGGRRWTVNQRQCEQLVRTKEALVRLQSSIKEELPMDFWTIDLRDAALSLGQISGEDISEEVLSNIFGKFCIGK >RHN75855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45569254:45570873:1 gene:gene12110 transcript:rna12110 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFEASDKSKFCVRDDDEETFNFKWLASFDKKTSHSSSTEDFESEGDGDVPLSAMFPQIMGLRTCNNNNNGSVKNRNTTTSTILPVKRMLIDNSNVMCGSIKKSKVSPSPYDDNGYDSDADNIPISSRIKMPAMSTDESFFSLKKELTLVEKSFEDCKRTRQVEEKRLQSIKRDIEECCKDLENKKKETIYVGRIDEARKKIEAKIEKCVEDFAAKEAQLYLMEDLIGERKQELKTKEIELHQVKDNISKQKHFERQVKDFESKEKEFEIQAKELVNDLISKQKHFESRMKELESKKRDFEEQAIERTS >RHN49349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54162912:54163271:-1 gene:gene44169 transcript:rna44169 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARGPSTSRLDQPHKQERHHTLTQNLKASTSFSSCSPFKRKLLLACIVVAGFSANGPTLLAPPLLLSTQTDRLPRSHHSSNGALIPLLDHEGSSGTVYIEARTTTLVRETPHSYPKP >RHN67313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25883842:25890821:-1 gene:gene15493 transcript:rna15493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MTKLASLEESLLNGEDSVSNNNSDSKKTLRNESSTSYSNAGFFSILTFSWMTPLIALGNKKTLNHEDLPLLSTNECANGTFTTFRNKLELECGNVRNVTTINLAKVLFFSTWQGILLSGFFALVYTCATYVGPYLIDNLVQYLNDENKDKNEGYILAMTFVAAKLVECLMQRQWMFKFQQVGVRMQSMLVSMIYAKGLTLSCQSKTKEGHSSGEIINLMTVDAGRIGEFCWYMHDPWKAALQVSLALFILHRSVGVASVAAFAATVVVMLLNHPLASLQEKFQGKLMEFKDKRMKATSEILMNMRILKLQAWEMKFLSKIIQTRKLEETWLKKFLGGAAIIRFLFFNAPTFVAVVTFGACVVIGIPLESGKILSALATFKILQTPIYSLPDTISMIAQTKVSLDRIVAFLRLDDLQADVVEKLPRGSSDIAIEIVDGNFSWDLSSANTTLKNINLRVFHGMRVAVCGTVGSGKSSLLSCIIGEIPKISGNLKVCGTKAYVAQSPWIQSGKIEENILFGREMDKEKYEKVLEACSLKKDLEVLPFRDQTIIGEKGINLSGGQKQRVQIARALYQNADIYLLDDPFSAVDAHTGSHLFKECLLGLLKTKTVIYITHQVEFLPDADLILVMKEGRITQSGKYNDILTSGTDFMELVGAHRAALSSVKSLERRNTFKKSSITDEDTVLSSDSELEQEFENIDDKKGKLHGTPDGKGQLVQDEEREKGRVEFKVFLKYITTAYGGALVPFLLLSQILTVGLQIASNFWMALATPVSATAEPDIGSLTLMAVYVSLAIGSSFATLGRAVLAAIAGYKTSTILFNQMHLSFIRAPMSFFDATPSGRILNRASTDQSYVDINIPNVVWGFTFNVVQVLGTVAVMSQVAWQVFIVLIPVMATCIWYQRYYSASARELARLTGICQAPVIQHFSETISGSTTIRSFEQESRFHEMNMQLIDKYSQPKLYSASAMEWLKFRLDLLSSIVFAFCLVFLVSFPSSIADPSIAGLAVTYGINLNAVQNNLIWLLCGLENKIISVERILQYTSIPSEAPLIIKDNQPDHSWPSFGEVHIQDLQVQYAPHLPLVLRGLTCTFTAGAKTGIVGRTGSGKSTLVQALFRIVEPVAGKILIDNINISLIGIHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEALDMCQLGDEVRKKEGKLDSTVTENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNIIQQTIKQHFSDCTVITIAHRITSILDSDMVLFLSEGLIEEYDSPKKLLKDKSSSLAQLVSEYTRRSNTGFGS >RHN60368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25884352:25887901:-1 gene:gene22673 transcript:rna22673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MDSNPLNSTIPFLVNVRSSNENQNSPISSHETKIEFDFFKDNNNDHHQVVSASVPDNDHIHTDTSSLLELKLSLGPNPVTTNTSSDQSMMDDGMSPNSEDKRTKRELAILQGELKQTKMENCRLKLMYDQLKTDYNNMRMHNKKVMQDRKVKEVKGKEAIDGKFKEKKRIENGGLLEPRKFMDLGSTTNKVKEVKGQDVSDGKFGEKKRMKNGGELVKRKFVDAGLATNKVKEVFNGKFEKKTRIENGGELVQRQCRDLVLINNVETAMDHEASSSSMRKPRSKDQLGSTLKSIEEASKELVLSKNEDVNVDNAEATSTKARVTIRARSQETMITDGCHWRKYGQKLTKRNPCPKAYYRCSMACGCPVRKQVQRCDLDRTVVITTYEGNHNHPLPATAKEMAKTTSAAAKMLLSTSTSSNDGQLNANLLKRTPLPCSSSIATISASAPFPTITLDYTQSSNTPQRNPNQFQTPLTCQNFSNSSSSLVSQTPNQNQSKFSGLPMSKVAAVPSKLLAVPHIAQAVNAAIAANPNFPATLSATLTSKIGDTQPNNTVVAADNNNGNNVTKVITMEIPQVAPTMMGRNKIRNINYKT >RHN74218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30392086:30403793:1 gene:gene10241 transcript:rna10241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S49, protease IV, peptidase S49, SppA, ClpP/crotonase-like protein MSTLLLPTPPHLTSLYRRNTLSSSSSSSSLQFHSRFSFSSFHSLPQTRSRRTCISIRAFDSSSDSKIEEKVVQEGEKVRIADEDYPSGEFEFEPITGWRNFVVKVRMFIAYPWERIRKGSVLTMKLRGEISDQVKSKFSPGLSLPQICENFLKAAYDPRISGVYLHIDSLDCGWGKVEEIRRHILNFKKSGKFVVAYLPTCQEKEYYLACACEEIYAPPSAYFSLFGLSVQASFIRGVLDKIGVEPQVERIGKYKSAGDQLARTSMSDENCEMLTALLDNIYTNWLDKVSSAKGKGREDIENFINEGVYQVDKLKEEGLISNLMYDDEVTDMLKKRLGVKKKKKLPTVDYRKYSRVSKWTVGISGGKKLIAIIRASGSISRVKGQLSLFSSGITAEEFIEKIRTVRESKKFKAAIIRIDSPGGDALASDLMWREIRLLAASKPVIASMADVAASGGYYMAMGTDAIVAESLTLTGSIGVVTGKFNLAKLYEKIGFNKEIISRGRYAELVSADQRSFRPDEAELFAKSAQNAYKQFRDKAALSRSMTVDKMEKVAQGRVWTGKDAASHGLVDAIGGLSRAIAIAKLKANIPQDEQVTVVEISSSSPSLPEILFGARSSLTGVESTLKELLQGLTFSDGVQARMDGISFRSLEGYPNDNPILSIIKDYLSSL >RHN60475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27194756:27201019:-1 gene:gene22789 transcript:rna22789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M76, ATP23 MDVFNSSSSSSSANGDNPLKDECERTIKKTFNTFKGDKAINECERMIQKGLKTPTARFLREHLAKAGCPVQDNFFKAINCEVSIAGGFVPGEGIVVCANKIQLQDQVNQVIVHELIHAFDDCRAANLDWTNCAHHACSEIRAGHLSGDCHYKRELLRGHLNLRGQEQECIKRRVLQSVTSNPSCSASTAKDAMEAVWETCYNDTAPFDRAP >RHN70691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53230566:53233630:-1 gene:gene19302 transcript:rna19302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MEEIEGVNCFSEGRSSSETRPPNPNSSIASAFRQCQRNDPLVLPCRKSLVRHASLQTKMKLSDVSAEDVTEGFQSDFLPKLRSGGCADIGFRSNMEDVYVCVDNFRQGHGLNKHIDGPSAFYGVFDGHGGKHAADFACNHLPKFILEDKDFPVDIERIVASAFLQTDYAFAEACSLNAALASGTTALATLVIGRLLVVANAGDCRAVLCRRGKAIDMSRDHKPGCMKEQRRIEASGGSVYDGYLNGQLNVARAIGDWHMEGMKGKDGGPLSAEPELMTTKLTAEDEFLIIGCDGIWDVFRSQNAVDFARRRLQEHNDPAVCSKDLVDEALKRKSGDNLAVVVVCFQQQPPPNLVAPRSRVHRSFSAEGLKELQSFLDSLAK >RHN42196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36286131:36287756:1 gene:gene48609 transcript:rna48609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MIQELFGNGASATLTSSERNYSSINGGVLLQPTTQPPSSCPSSTTTTTTAPTTVTTTTTTSSENQQHLRCPRCDSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKSIGASNNAAKIATNKMKTVSSSEFIGRSTSGQGFDLEHVVPPQSQILWGSPQNSHILSLLRSSQNQNPNPNHVKEEGNNFIGSHVSHMMSSQPLISSNGYDGVGHVLCNPFWRNNHDNQTQQLQNGGFVLGDQQHQHHQNSGIQELYQKLRSSTTFPSNSVGDISSSPTVLLGNAASNSSSISNILETTSVTGGELGYWNPIPTLTWSDLPTTNGAIQTQYQ >RHN51794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25214659:25215327:1 gene:gene36317 transcript:rna36317 gene_biotype:protein_coding transcript_biotype:protein_coding MCPEISDVVHSIEVDYMIELVSNMLEGYRDLECLKRSHKAYAVLAFDLSPYVKNCISEAVVASSTNIDPEESIQNTLEPGDRTDDRPSY >RHN57272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37737151:37741765:1 gene:gene32794 transcript:rna32794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bactericidal permeability-increasing protein, alpha/beta MQPFFFLLLLFTSSFIPTQSQSQPQPQNQAFISLLITQNGLNFVKNILTQKAISSIVSLQLPNIEKGTRIPMLGSVYFVLSNITIYEIDVDSSNVKPGENGIEILASGVSCNMSLDWSYEYSSIWFGPVKVSDQGSAQVQVEGMKVELKLGLENQEGYLDLKLKDCDSSVKDISIKLDGGASWLYQGILDAFEGNIGSAVENAITKKLGEGITRLDSYLKSLPKEVPVDDHSSLNVTFVNNVLLSDSSIGFETNGLFIKRNDSLPIPNLWHKNSKLPILCTNSSKMLAISLDEAVFNSASSLYYDAKFMHWIVDKIPDQSLLNTAGWRFIIPQLYRKYPNHEMNLNISLSSPPVVEISDQKAGVEIFADLTIDVLEEDKVIPVACISLMIQASGLVKINGNNLVGTIRLGDFGMSLKWSNIGNLRMFLIQPVMWTIIETVFLPYANAHLSKGLPLPIIHGFTLQDAEIILSSSRVAVCSDVSFAESNKHFLQSH >RHN66083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8419751:8420805:1 gene:gene13997 transcript:rna13997 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHEKQSSDVDVPKTIQNLPIQMMERQEDPAATSPTDRIPSHVFNRTKSNNQWSTASNESLFSIHMGTMSFSNDIAFLSKSGELDNPIDHNHLSKSSELDKPIDHNHNHNISDGFQTNHHPILPQPQGTKFNAISQNFGEQDGGSRVTEEKAAETMRQVIMESSVKQESKSKGDTASATAAARSKLLSSSNNDHSPHSDGSTRSYAFKVMTDGDKTPSAKRNEDVRNQVKQPVQQNVKGTPAAAQNPKSTPNASQNKWLSCFTCCH >RHN67361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26444910:26446103:-1 gene:gene15542 transcript:rna15542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKETTTTTTKRRHPTTKLMGTLRSLFNSFHPSRLPDLPLELVAEILCRLPVKLLLQLRCLSKSFNTLISDPNFAKKHLRLSITRRNLILTYFDDTYDMKSTLIFYRLHSIFHPLRSIFNSVTVKPTQLHYPFDPLYNNIVGSCNGILCLDKKDSNIVKQSNVILWNPSIRKSKILPSFKIQGECGFVKYGFGYDHVNDVYKVVAVFSYYCGNEGFKTQGMVHTLGTNSWRMIHGELPLPRVCESLKFYRRLLQPNYGGEYVHKVNLGVLRDCLCILACSPRVYSVWLMKEYGNEESWIKLFRIPEKKGLIYYSYVKPLWISNNGQVLIDYASWRRTNLAVYDFKNGTFKKPLMQDFNGSMTYEVCSESLISPCF >RHN38742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1697452:1699799:1 gene:gene44707 transcript:rna44707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MKYIYLKFFHLSILQLEGQIVAPSKANSKAQSYWFTIEYVNGLTIDGNGQGGLDGDGSTWWESGGERPGVQALLFHSCNDLSVSNLRITNSPSSHVSINMCNRTTFSHVSINSPATSPNTDGFDISFSTDIRIENSNIKSGDDCIAVNGGSNFINVTGVTCGPGHGISVGSLGKKRTNDQVSDVHVRNCTFKETQNGARIKTVPGGSGYARHITYDQIILVNVKNSIIIDQYYNDIIPQAGDVSVSDVTYRGFTGTSANDLAIQLNCGSSG >RHN52942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40572854:40576759:-1 gene:gene37684 transcript:rna37684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MEFLVVLVMVLLGSSIKAYGAISQISWREISNINNKGPYIGIVVPNDFELNPLLQSSSFVPHNKFPYFDFAGRHFRIGELEKKKVIVVMTGLSMLNAGLATQLLLTLFNVKGVLHYGIAGNVNSKFQIGDVTIPQYWAHTGLWHWQRFGDNPEDEENVDFSKEFGYLKFSNYNNYTKHSKSVENLLSKVWYQPEEIFPVDGTPEVLQHAFWVPVDKTYFEIARKLKNVELSSCVNTTCLPRKPIVVRVKKGVSANVFVDNKAYRDFLNLKFDATPVDMESASVALVCFQHKIPFIAIRALSDLAGGGSSLTNEYSIYLSLASQNAFNVLVKFISLI >RHN50326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6194737:6195492:1 gene:gene34599 transcript:rna34599 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAGGGFKNVTSPTPYLFGGLALMLAIIAFALIILACTCQENSLSTNAGGYEEKDTKNVEMVVDLEPKIVVIMAGDTNPTYLAKPLSSTCHTEEMV >RHN78174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12460144:12463190:1 gene:gene1699 transcript:rna1699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cold-regulated 413 protein MSSSYLLTKSQITSALTDLAVAANNLAHHVSGSGFSVLQFIAYIVAIYLLSLDRTNWETNTFTSLLVPYIFFSLPSWIFGVFRAEIGRWIALIAVVLRLFVSRRFPDRLELPAALILLIVVAPDLFANTFRSDEVGLVVCLVIACYLLQEQIRASGGFRDSLTKAHGISNTIGIILLFSQKLMEYQIQLA >RHN52500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36164239:36170070:1 gene:gene37187 transcript:rna37187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose synthase MKEYKLQGQFRWIAAQTDRYHNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVEGVSGFHIDPHNGDESINKISEFFENYAWKIYANKVLNMGSIYGFWRKLNKEQKLAKERYIQMFYKLQFRNLVSKKGTNSSQEPQPMSTTPTKKAEATSKGHDAAQAKAEVPQTHLATLPPKIESQPTSSGESSSKELAAKQSGDLYVVIWGLTHPYKTGL >RHN68908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39538992:39551583:-1 gene:gene17328 transcript:rna17328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease III MRPISSFITTYFGSSSSQRAKRRCLRSHAPYSFLLLLTLEVQVHKGQKEEFLHLTTPQNHTIENQVHNKEQEEAPLLLTKPRPHTIEVEVHNKDQEEELLLLITPQPDTMEVQAHKEEEQALLLQTCALKINDKEEEHSPKQGQEEVHMKESDSLPPPPPPPPPSLHEVEAILEYEFKNILLLEEAFTHCTYGAENGLSYERLEYIGDSVLNLMITTEQFHAYPTLAPGHLTRLRAANVDTEKLARVAIKYGLHRYVRHKKPLLGDEIQAFIKEVVEYPLHSNGLIDVPKILADIVESTIGAIFVDCGSSIETVWKFFKKLLVPVIDPHTIQRHPSAELNEFCQKRGLKLQFRDLWKVSEIVEVLISEELVGSGKCGSKKEIAHNRAAKNALEYMKRKFGISTSTRDDATEDFDSPPRCNGGPAAIEDLSFPSKYNGGSDATEDLGSPSKCNGGPDATEGSHKCNGGPNVTEDLSFIPKYNIGLDAIEDLGSSAKCNGGPDFNED >RHN82695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55751409:55757469:1 gene:gene6875 transcript:rna6875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate dehydrogenase (succinyl-transferring) MGWYRAVSGIAQHSIKRNLYRGGSSCYARRTTIVPSTSRNFQTTVFKSKAQAAPAPRPVPLSRLTDNFLDGSSSVYLEELQRAWEADPNNVDESWDNFFRNFVGQASTSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEERKIPDELNLALYGFTEADLDREFFLGVWNMSGFLSENRPVQTLRSILTRLEQAYCGSIGYEYMHIPDRDKCNWLREKIETPAATQFSRERREVIFDRLAWSTLFENFLATKWTSAKRFGLEGGETLIPGMKEMFDRASDLGVESIVMGMAHRGRLNVLGNVVRKPIRRIFCEFSGGGLPVDEVGLYKGTGDVKYHLGTSYDRPTRGGRRIHLSLVANPSHLEAVNPLVVGKTRAKQYYSDDAERMKNMGVLIHGDGSFSGQGVVYETLHLSALPNYTTGGTIHIVFNNQVSFTTDPKSGRSSQYSTDVAKALNAPIFHVNGDDVEAVVRVCELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKVIRNHPSALEIYQKKLLELGELTKEDIEKIHKKVTSILNDEFLASKDYLPDRRDWLSAYWSGFKSPEQLSRIRNTGVKPEILKNVGKAITVLPENFTPHKAVKRIYEQRAQMIETGEDIDWGFAEALAFATLIVEGNHVRLSGQDVERGTFSHRHAVVHDQTNGEKYCPLDHVIMNQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVIWEAQFGDFANGAQVIFDNFLSCGESKWLRQAGLVVLLPHGYDGQGPEHSSGRLERFLQMADDHPYIIPEMDPTLRKQIQECNWQVVNVTTPANFFHVLRRQIHREFRKPLIVMSPKNLLRSKACRSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNKHSNVEEGIRRLILCSGKVYYELDDQRTKVDAKDVAICRVEQLCPFPYDLVQRELKRYPNAEVVWCQEEPMNMGGYTYVLPRLITSMKSLGRGGYDDVKYVGRAPSAATATGFLKVHHKEQTELVEKALQSEPINYPY >RHN44128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1905033:1905841:1 gene:gene38196 transcript:rna38196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVETRKFVYILILLISIFLFIIICDSTYIPITRTCITDKDCPSWKNYTGRCRKGFCILNRDR >RHN50920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11846626:11847162:1 gene:gene35273 transcript:rna35273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MIVVNYNDEEKHFAAEEISSMVLAKMRETAEAFLGSTVEDVVITVPAYFNDSQRQSTRDAGAIAGLNVLGILNEPTAAAIAYGFDTKPSHGHRNVFIFDLGGGTLDVSVLKFENGDINVKAIVGDTHLRGQDFDNAMVNHFVKVFLRKYKIDISGDPRAVRRLKTACERSKRILSVNT >RHN38492.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:56631:57359:-1 gene:gene50597 transcript:rna50597 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDRSKVASKIYSTYKNNGKGIGYSDEKSKEYSLKSYCDCIKDGLKSTFVSEGTDAVTTVKSEPKASGSKAKITSKLENLKSKVLTKSDPKTQKIKILKRSEPVPQSLIKPESKIPKPKDQKNKAVTASEKTIPKGVKPKVLNDQKLLSTHSKVQGRKSKTSRTNPKGPMKIWVPKSELVKTAGVPKGKRETKVMVPRQWVFKAYDWRERFVPYPYHERWRRSEVWWQPNWKNHWYRYYW >RHN65179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64741476:64748752:1 gene:gene28087 transcript:rna28087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MEIKSQDNKVEFQVNENQAYASEKGENSSVVFSRETPLMRKDSTMSSSLHCCNTKKLKSRAATTDHELGSSDNILLEKKLCRQDRIELGRSLQGAVSSHNWDLAESLILVADPQTLNDALCITLDSIWFLTTEFELNGITLFIKKIIANGAYDFTRATLRTSFLASCVSACQSRTMSLVDTITVMAQRLHERLQECNGDEVLKAEAGAKVQKFTEWALKCIGIHSNLQDDSNNVIHISAVETQLRLSAFKTFIDLAGNRLTGKDFSEAFDAACFPLTLFSSSFDPGWAFGMSAAVIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRVLLQIAQRNNLDVDVDLALGFASHYCKIGTMECLVEEGNAIAFLGPLMRAAERGCMQVVEWFVQRGCRDMELCLALTAATSSCQVHIASYLLPHVPQQVLAALSVEILKAAGERSGGSLEGVSFLLQSDFLGDPAATYAVADIIAKLEDEAVAPELKAFLKEHWSEGAYIEGLKLGQEHYMNLVRIIERGESPICLRDLPSPLTVAIAYLPLYRECVKAGGCLFSQRLRGQLVEAARRLGDRVFDEVTNARDLLVILERHLPQFLLPLTSVA >RHN42661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40062837:40063599:1 gene:gene49131 transcript:rna49131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MVSPEINSLLDVGSNDEVSMIGIHGIGGIGKTTLDLAVYNLIADSFEGLCFLENVRENSDKHGLQHLQKILLSETLGEKKIKLTNVKQGISVIKHRLQQKKVLLILDDVDKIEQLEALVGGFDWLGSGSRVIITTRDKHLLESHGVNITYELQVLN >RHN78265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13416464:13419989:-1 gene:gene1799 transcript:rna1799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MSSNTKQNNLATNEVEKVDDAYLSALLLCFSRVFPAILNAAVDLNLFEIIAKLQNSNEHSSFSAYEIASELPNQHPELAERLERMLTVLASYSLLTCSIRTNEDGKRERVYALSSIGQYFACDSDGGSLAPLSTLIHRGCNSVWGDAKDAILDPDVKNIFQSINGTSFYQYTKTNKELNDTCNKAMAHSGPLEIKRILQFYKGFEGVSTLVDVGGGVGKTLKLIISQYPSIKGINFDMPQVVQNAPSHPGLEHVGGDMFESVPTGDAIVLKLVCHNWADEECVKFLKNCHKALPKHGKVIVLDYIIPEVPNPSNMSKHACAIDNLMFLVTTGKERTEEEFESLCKRAGFSKFHVACSDASALSGVMEFYK >RHN45329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16720408:16721283:-1 gene:gene39602 transcript:rna39602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MSFINYKTIYTYVTNKGGNMTKVVNFIYSMIIFLFLFPVATKTQFLPNYYEFYHCYNHSDCQGSMCPTGSKPKCVDQVCECILIRM >RHN76559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51227753:51228024:1 gene:gene12906 transcript:rna12906 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIAEKIATDLIVEGSAAAAVAVAVVAAVGGAVAVAAILLWIL >RHN78675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17393935:17397687:1 gene:gene2312 transcript:rna2312 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKGSKDGKNYIMDTPVVVCVMEDEKVEDHVNGEDDSDSNSLLPPRRGCMSRNSGKTRRKVQWNDKNGNQLAEVLEYEPSDVSDSEDEDEDSDSCICTIM >RHN56494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31278927:31282215:1 gene:gene31902 transcript:rna31902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative costunolide synthase MFSTTIIIPFILFTFTYLLFKFYLREKQNTINHKKPPGPPTLPIIGNLHMLGKLPHRTLQSLSKKYGSIMSLQLGQVPTIVISSSKAAESFLKTHDINFASRPKTQASQLIFYGSKGLAFSEYGPYWRSVKKLCTLKLLSASKVEMFGPIRKKELDVLVKSLEKVVLVGEVVNVSEIVENLIEDIVYKMILGRGKYEQFDLKKLVQEGMAMLGAFNLADYVSWLGPFDLQGFTRTCKKTGKALDEVLEMIITEHEQSTNVDKVRHEDFIDILLSIVHQTVDPENEQSGVIDRTNIKAILLDMIVAGIDTATTTIEWALSELFRHPRVMKKLQDEIQNEVGNKRMVEENDLKKLNYLDMVVDETLRLYPVGPLLLPRECRESITIDGYFIKEKTRVIVNAWAIGRDSNIWLENADEFYPERFSDKKMNYQGQQFESIPFGSGRRRCPGIQLGLVTVRFVVAQLVHCFNWELPHNISPSNLNMEEKFGVTIPRAQHLHAIPSYRLECDEKLE >RHN46645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33676514:33682247:1 gene:gene41162 transcript:rna41162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MNLPITKVHFIVLFCLIGTISAQLSSNFYFRTCPLVLSTIKKEVISALINERRMGASLLRLHFHDCFVQGCDASVLLDDTSSFRGEKTAGPNANSLRGFDVIDKIKSEVEKLCPNTVSCADILAVAARDSVVALGGLSWTVQLGRRDSTTASFGLANSDLPGPGSDLSGLINAFNNKGFTPKEMVALSGSHTIGEASCRFFRTRIYNENNIDSSFANSLQSSCPRTGGDLNLSPLDTTSPNTFDNAYFKNLQNQKGLFHSDQVLFDEVTTKSQVNSYVRNPLSFKVDFANAMFKMANLGPLTGSSGQVRKNCRSVN >RHN65564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3277455:3281062:1 gene:gene13414 transcript:rna13414 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNNNTLDIVNDKWRSIREYAVFDLPSLHTSIVKSEVTTVQFEFKLIMFQILQTIGQSSGVDIYDYYLHLNQFTEVVENFKIACKEDDGFKLRLFPYSLT >RHN47328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38918006:38921329:-1 gene:gene41916 transcript:rna41916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GRAM domain, PH domain-containing protein MNNNNNNNNQNQSSPDENPFASPTPPSSSSSSVPLPPQNHATTENWGTHMMGAPAVPSSHPDNKKAALQTASADGQGQPQVHYYQQDHPYVQHSPVDKPSSSPMESILHMFDSWSKKAEATANNIWHNLKTGPSVSSAAMGKMNLTVKAISEGGFESLYKQIFTTYPNEKLKKTFACYLSTTTGPVAGTLYLSDIHLAFCSDRPLSFTAPSGQVTWSYYKVMVPLGKIGTVNPVIMRENHSERYIQIVTVDGHDFWFMGFVNYDKAVKNLSEGISHFVVSGVAAPSTN >RHN67381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26632089:26636798:-1 gene:gene15565 transcript:rna15565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MSSAFSSISSSDVVPKKKYEVFLSFRGEDTRRNFTSHLYDALSRKKIKIFIDNNELQKGDEISAALIKAIEESHASIVIFSENYASSKWCLNELKKILECKKYMEQIVIPVFYNIDPSNVRKQTGSYKQAFAKHKRDLKHNNDKLKKWKDSLTEAANLVGWDSQNYRSDSDFIKAIVEDVLRKLNPRYPYDIKGLVGIEKNYEQIESMMEIGSNDVKIIGIWGMGGIGKTTIARTLCGKLYSQFEVLCFHDVMDESNKYGVNGLHNKLLSSLLEEEKIHPDASYIESSFSVRRIAHKKVLIVLDGMETLEKIEDLIQIIDGVGPGSRVIITTRDKHILSQFSNCEIYEFNELNKHDSLQLFSLFAFGEKQPKIGYEDLSESVIAYCRGNPLALKVLGKNLRSRGKIVWEDELKKLEKIPNGEINNMLKLSYDNLDIFQKDIFLDIACLLRGDNKMAVIHLLEACEFFAESGIEVLLDKALIQIKSNWLSLAKLQIDGLDMHDLLQEMGWEIVNQESKEPGKRSRLWRAEEISDILKENKGTEVVEGIIFDSTDVGDIYLKSDSFRRMTNLRYLNIYNESDGSTGNVYFPDGLEWISDKLRYLRWKRYCLESLPSTFCAEMLVELCMAQSKLKKLWDGVQNLVNLRTLWLESSKDLIEIPDLSRATNLAIVLLSECESLRQLHPSIFSLPQLQYLDLRGCISIESLKTNIHSKSLCQLLLNGCSSLTEFSMTSEEMTKLSLCDTSIHELTSSIWHNTKLTVLDLAGCNKLTIVGNKLTDDHGLGSVTELDLSGCTEINASSLWSILDGIQSLKRLKLNECVNLECLPENMGKHSLLEWLELDDCRKLVSLTELPPSLLDFKAVNCTYLDTYTTQRSFFDNMTPNFLENEGDIDFFFFLPGAQVPLDIDLQTIEASITILPITKSVLRGFIFCILFSEGFTINRHVLYCIIFECGKEVDRRRISLNYLGTLISDHVLMCWHGYNIQESGSYDCNLSFQFILQGPNEELWWSTEGIKGCGVFPVRKVYMSSCISKEIGKLKSIAQDSDVSIAIGGEGRSSNNENEYDQEQPSYSHKEEEQPSIRRPPIKHVYVRRRRKVIRPKFV >RHN47532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40342661:40351099:1 gene:gene42145 transcript:rna42145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ-MATH family MSILSSRTSSRSVTETVNGSHKFVIKGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSPNCKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFKRAQLEASSFLKDDCLKINCTVGVVVSSIDCSKLTTIHVPESDIGSHFGMLLENEEGSDVTFSVGGERFHAHKLVMAARSTAFETEFFNSMEEDDRDVVVTDMEPKVFKALLHFIYRDTLIEDEEFFMSSSSFLPSISETFAAKLLAAAEKYDLPRLKLMCESVLCKDISIDSVAYILALADRYHATELKAICLQFSAENLVAVMQSDGFEYLKENCPLLQSELLKTVAGGEEEFSGEGKCRSVWAQVSDGGETNDRSVRQQTWENGVERGQNLWVNLSDGVNNDRSPGQEA >RHN78201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12746240:12751366:1 gene:gene1735 transcript:rna1735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDVVLASSSVDDGIGCWDLHTGAEQLRYNSCSSPFHGLVSLGSRFLASSQLHHPSSASLFFWSFSKPQVEVKSFPAEAIKPLAANHQGTYLVGGALSGDIYLWEVETGRLLKKWHAHLRAVTCLVFSDDDSLLISGSEDGYVRVWSLFSLFDDLRSREEKNLYEYSFSEHTMRVTDVVIGNGGCNAIIVSASDDRTCKVWSLSRGTLLRSIVFPSVINAIVLDPAEHVFYAGSSDGKIFIAALNTERITTIDNYGMHIIGSFSNHSKAVTCLAYSKGRNLLISGSEDGIVRVWNAKTHNIVRMFKHAKGPITNIIVVRQEIDPSNHTSSNLQAATKKHGSYLLPPLEKYANSTDSDLKTAINLGGIRGCMDVSYNSSNLISNHIKELQQQGSATASEIEMEKLKHEYQRTMEMANQWKKMYENLHEFGIKELLDGGQT >RHN60190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22897272:22900264:-1 gene:gene22443 transcript:rna22443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative equilibrative nucleoside transporter, major facilitator superfamily MGDSEPPPRIEGKYTAIVVCWLLGNGCLFSWNSMLTIEDYYVYLFPKYHPSRVLTLVYQPFAVGTLAILTYYEAKINTRKRILFGYTLFFLAVLAVLILDLATSGKGGIGTFIGICIVSGAFGLADAHAQGGMIGDLSYMNPEFIQSFLGGAAASGALTSALRLITKAIFENSKDGLRKGAILFFSLSTLFELLCVVLYAFVFPKLPIVKYYRSKAASEGSKTVSADLAAAGIQTELREATEELKQFERKGMKQLLSENKDYALDLFLIFALTLSIFPGFLSEDTGKHSLGTWYALVLIAMYNVWDLIGRYIPLIKILKLESRKLITTAVISRVLLVPAFYFTAKYGTQGWMIMLTSFLGISNGYLAVCVLTSAPKGYKGPEQNALGNILVLFLLGGIFAGVTLDWLWLIGKGW >RHN79338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27727536:27736251:1 gene:gene3115 transcript:rna3115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEIDTVTEAAVVEEKRTTVNNVMCLLTDQDGGPIGAPMYLPQNIGPQQLQLIVNQLLNNEEKLPYAFYISDEELLVPLETYLQKHKVSVEKALPIVCQPQAVFRIRPVNRCSATISGHGEAVLSVAFSPDGRQLASGSGDTTVRFWDLGTQTPMYTCTGHKNWVLCIAWSPDGKYLVSGSMSGELICWDPQTGKQLGNALTGHKKWITGISWEPVHLNAPCRRFVSASKDGDARIWDVSLKKCIVLCGHTLAVTCVKWGGDGVIYTGSQDCTIKVWETTEGKLIRELKGHGHWVNSLALSTEYVLRTGAFDHTGKQYSSPDEMKKVALERYNTTRGTAPERLVSGSDDFTMFLWEPFINKHPKTRMTGHQQLVNHVYFSPDGQWIASASFDKSVKIWNGTTGKFVTAFRGHVGPVYQISWSADSRLLLSGSKDSTLKVWDIRTRKLKQDLPGHADEVFSVDWSPDGEKVASGGKDKVLKLWMG >RHN40192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14049805:14052801:1 gene:gene46312 transcript:rna46312 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAGGSSSNMDIDTPAYEIKGRTMSIEEWDLIIQAENPVDFTSLTHHGCDLVRFYKKQKLMSYFSLLNGPTYEVLVRQFWVRASVFDKVAAKQEEAQMILVDPTLEGKTREEMGLLAFTGTEIRSNVMGIPVTINEHVIAQAMRRDASGTYDGEEIPNPRTSPWKEIVNNTIYGSKDAKPYSTLSMEKKMLLKIQNENIFPKGGGNDQPSLGHKVFLHHTISQETTMNVPKYMFKYMIKELKKSQMENRKFVPYGRLLSIIFQEGGLLSALKDVGIYDNQKLGAVTGKIINGATLVKMRLISTCSKLDTDMHESDVISDLVTHHIPICKKDPLDVQRAYILDYYKSYNKKISLKDIPEEMYGGDLPVAKGRKSKKKQITKEEYLAEDATEVGAQKHKKAKKEKSAMSTILEEVEDLDDVPLIRKRTRSTQETAEQPASEQTGSEQAASDQAASEKPPSPKNKREAALQTIKRKRSNLTRNLKTAEGRRAQMLEELEENWDEDSSPKKAKRTATSEPIVMPSFEMTEEMKQYTREVAASKIAEKKRMKILYEEERDERLKAAGYVPTPDIAALASELETVKYGATLLSQALKNKQASGATSSEPASDAPEAVHPEAQSSGNPSNAPTNTQIPSLPSSPSSSSTESDDQPLSQHIDKLLKTKPTKLTDLGTLDWEQTQIEFSKNRIKLCEKFNLPPTHPLFPDNPEPVSVQQPQPNPEPTTNSPHNSTTQKASEVVSDATTSETPQHQESSTLHNLEKHLGGEMQPTPTKASKTVPEKTVLETQTETQTIPEQTVQEQTASEQVASDQTAPDHQTIPSDHQTTEQQQPDSPTIIDLTSDQPTTSNTTQTEPSPIPDHILESEYIEEQLIRLSDEIQALILRRTVPAPPIHYYDQWIDLQKSFDELLDQLRTKCVSSHSAMLKKLLDDMHEAAKDKELNYVPLLDITPFYPEEEYITRAARIHAGHVRRMREKDELLKKKDEQIKYLLEQLYKQAQP >RHN55452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18529537:18530313:-1 gene:gene30633 transcript:rna30633 gene_biotype:protein_coding transcript_biotype:protein_coding MTELASSILPTSPGWMSSIICSAGFSLGLTGGCEFFLSYQLSRRDY >RHN45738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25146222:25147989:-1 gene:gene40128 transcript:rna40128 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAKQMICPPDRKQSKLGALLSSLSEVVAEERKKLLAPEMEQAEESKRLRVQQITEGAEEMRLTAALEQRRNQRICREITERDYKQTQVSLQEAKKPFKRQMEKILKKLIKTIDFLERAKRGKSAPLIEAAYQQCLMEERILHDREQQICLYVYLCRISLFFFFYWK >RHN39765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10338912:10340197:-1 gene:gene45827 transcript:rna45827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GH3 family protein MMEALQIPNCSPDDVTFSPDFQQTLYCHLLCGLLFREEVQLVSSTFAHSIVNAFRTFEQIWEELVTDIKEGVLSSRITHPSIRTAMSKLLKPNPELANLIHKKCSGLSNWYGLIPELFPNAKYVLGIMTGAMEPYMKKLRHYAGEVPLLTSDYGASEGWIGSNLNPKVAPEFATYAILPQIAYFEFIPFAQIDGTEVELEPVGLTDVKIGEEYELVMTNPAGLYRYRLGDVVKVMGFHNSTPEIRFLRRNNLMLTINIDKNSEADLQLSVETASELLAKEKIEVIDYTSYIDLSKQPGHYVIFWEINGEASDEVLGECCSCLDKTFADIGYVGSRRAKAIEALELRVVRRGTFMKILEHYVGLGASVSQFKTPRCVAPTNTTVLQMLLENVVKNCFSSAYN >RHN81940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49963323:49968111:1 gene:gene6040 transcript:rna6040 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVEPMEEINEAAPMEGMTGDATMEEVNGAAAMNVVNGAGVNGDPE >RHN68696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37890725:37894839:1 gene:gene17080 transcript:rna17080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rhodanese-like domain-containing protein MEALSLPTISVTNSNSNTYISLSAATNYASLSSLQHRPSSSTKHFTMKKSVVLVKAENEEDFELKQVRDMAAARKRWEALLRDEKIKVLTPREAGYAVQLSNKPLLDVRPSNEHNKAWVKGSTWIPIFNVDDGLDVGTIPRKITNFAMGGWWSGMPTLSFDNLFLPKVAEKFPKDAELIVACQKGLRSLAACELLYNAGYTNLFWVQGGFDAAEEEDLVVEGSAPLKFAGIGGVSEFLGWTDQQRAAAAKEGWGYRLVFSARLIGVFFVADALFIGAQQIGRYLQEIRTH >RHN56024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26934861:26944141:-1 gene:gene31340 transcript:rna31340 gene_biotype:protein_coding transcript_biotype:protein_coding MARKSTNCAICENLNQPSICSVCVNYRLNEYNSSLKSLKERRDSLYSKLSEVLVRKGKGDDQTNWRVLRHEKLARSREKLRHNKEQVTQGRAKIQAMSADLKLKYGVLESALSMLEKNRVEQLEKFYPNLICTQSLGHVAITSERLHKQSVVIKQICKLFPQRRVVIEGEKGDDCSGQYDQICNARLPRALDPHSVPSEELSASLGYMVQLLNLVAHNLAAPALHNSGFAGSCSRIWQRDSYWDARPSSRSNEYPLFIPRQNYCSTSGENSWSEKSSSNFGVASMESDRRPRLDSSGSSSFNYSLASSHSVQSHKDLQKGISLLKKSVACITAYCYNSLCFDIPSEASTFEAFAKLLATLSSSKEVRSVFSLKMARSRTCKQVQQLNKSVWNMNSANSSTTLLESTHSVPTTRIENYMPNSAASFLYPTDSSDRKSECLIEGWDIVEHPTLPPPPSQSEDVEHWTRAMFIDAKRK >RHN38962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3110115:3110579:1 gene:gene44942 transcript:rna44942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MATFNTFSLLPIVLTYFALLVETGISSHAQVSNEPPLDASTQPSLFEKHLKNCATKVYQPCGDEIFFSINFGNQTVGKECCFNLVNEVGKQCHDDMTKAILTSEDFRKSKTSILKRSAKVWDSCVSSLLNNNPQSADPNVFNYNSIDPNVFNFY >RHN68124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33106656:33112053:1 gene:gene16425 transcript:rna16425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MSVACGAECVLVLGCARWLWKRCTYIGADDSATWPPATAVEFEPVPRVCRIILAIYEPDLRNPKLAIPANGYRLNPDWVIKRVTYEETEGHAPPYIIYVDHDHREIVMAVRGLNLAKESDYKLLLDNKLGMQRFDGGYVHHGLLKSAVWLLNRESETLKKLWVENGEEYGMVFAGHSLGSGVVSLLSILVVNHREQLGGIGKEKIRCYALAPARCMSLNLAVKYAHVIHSIVLQDDFLPRTATPLEDIFKSIFCLPCLLFLVCLRDTFIPEDRKLRDPRRLYAPGRMYHIVERKFCRCGRYPPEVRTAIPVDGRFEHIVLSCNSTSDHGIIWIEREAEKALQLMKDQDSSKTMTVPPTLQKFERLKTIEKEHKDALERAVSLNVPHAVDTVEKEPSEDNEGEAASGSSGDKEAASSSESKPSGGKLNWEEVVEKLLENEKGDKHIEEDTNVQH >RHN57343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38259296:38263286:1 gene:gene32871 transcript:rna32871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LysM family MAWQTLTTLIIITIITTFPKTKSQQEYVNNKQLDCENTYNSTLGNICNSIPSCQSYLTFKSTPQFNTPSSISHLLNSSASLISQSNNISTVQTLPTDTIITVPINCTCSNNNTYYQHNTSYTIQNTGETYFTVANNTYQALSTCQALIAQNPYNERKIVRGNNLTVPLRCACPTKKQSDEGFKYLLTYLVSEGESVSSIAEIFNVDPQSINEANELSSTSFIFYFTPLLIPLKNEPPQKIVKPASPPESPPPPPPAAENGSSSSSTKWVIVGVVVGVVVLLLVGVALFFLCFRRRRQQKLQPPAVGKAFSDSNTKKVSEVTSTSQSWSLSSEGIRYAVDSLTVYKYEDLQNATNFFSEENKIKGSVYRASFKGDDAAVKILKGDVSSEINILKRINHANIIRLSGFCVYKGNTYLVYEFAENNSLDDWLHSEKNKDKNYSNSMCLSWFQRVQIAHDVADALNYLHNYANPPHVHKNLKSGNILLDGKFRGKVSNFGLARVMENEGGDEGFQLTRHVIGTQGYMAPEYIENGLITPKMDVFAFGVVILELLSGREVVGSDKSNGLGDQLLASTVNQVLEGDNVREKLRGFMDPNLRDEYPLDLAFSMAEIAKRCVARDLNSRPNVSEVFMILSKIQSSTLEWDPSGDLERSRSVSQVSDSR >RHN64076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56397014:56397946:1 gene:gene26856 transcript:rna26856 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYEGRPLVMEMEMESKQDFEETNGYGCGCGWSRIFTSKWWQRHDEEGKHLLNEKSEGNRGEETWMMDKLKKMKETSEVIAGPKWKTFLRKISGYGKKQQKHRFQYDAHSYALNFNSGAQSEDEEYLPPSFSTRFSNPYPDARRQNET >RHN68966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39942657:39968925:1 gene:gene17391 transcript:rna17391 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGFGGAMAPTPLIRSWRTAFLTLRDESLTNPPRNSTSQMLHNLIFSHSHTLLSAAPELPSHEVLSDILFMMELVASSSSDEDDCVHIYTQTSRLIHDICWHVKFEINGSSFSSVLGCFRKLLDRFLSKYATGAAVECLNAIRCIITLSHRRWLQSEDAILVKFLLDVIVSSQGISFWMPHSVYKEIPAVINMNFSTESSSSELQTVAFEMLSEAISRAGSSFPVDIWRSMLEVVRKTMDVMALKTPAVEDIAMSRFYESFLSCLHLILTDPKCSVSDHVSVFVAVLRMFLNYGLSGRTPSTLLVGHSKKDLNNVSPKAHREHLNKSDRSVYRPPHLRKRDCSNVKPNRAAHSQYISDSESSTMNVTSSDSDFSDGDGSAKESAKGQNSRVRVAAIICIQDLCQADSKSFSMQWSLLLPTSDALQPRTRDATLMTCLLFDPCLKVRMASASTLVAMLDGPSSNFLQVAEYKESSKLGSFTALSSSLGQILLEIHRGILYLIQHEAHGKLLASLFKIIRLLILNTPYSRMPPNLLPTVITSLKTRIAGGFRYKSDQNNLLDAAVGCLTLALSTSPSSAQVRKMLHDEISSGYLENGKKSGVLFLLFEYSSQGSCPSICLEALQALKAAFHNYPSIVTACWELVSATVYSFLSIVCPEVPSKQSSEHVGSTTVCINEKVLITAIKVLDECLRAVSGFQGTEDLSDDKMVDPFTSDFIRMKKVSSAPSYELEYRDLEVVNPEECESGIKQWCEAMEKHMPLILSHSSAMVRAASVTCFAGMTSSVFISFTKEKQDFILSSLVRAAVHDNASSVRSASCRAIGVISCFPQVCQSAEVLDRFIHAVEINTRDALISVRITASWALANICDAIRHCVRVLHFGQMDSNSNPQFIVSLSECALRLTEDGDKVKSNAVRALGYISQIFNCSTSRSHETSVHSLDQRTEAPIASENPMVCQRRCLLDSLEDFHRLEKIVQAFISCITTGNVKVQWNVCHALGNLFLNETLRLQDMDWAPVVFGILLQLLHNSSNFKIRIQAAAALAVPLSVQDYGRSFPDIVRSIEHIMENIDQDPISGPSNFKYRVSLQKQLTLTMLHVLRFTSSTNDQLLKDFLVKKASILEDWLKRLCSSVGGMVDVQDKSVADRKKVMISRAIQSLIEVYKEKQQYAITQKFEELKNIL >RHN60744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30066711:30070136:1 gene:gene23101 transcript:rna23101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucosyltransferase MTTTLPVAPSSERIINSEIHVVMFPFLAFGHISPFVQLSNKLFSHGIHISFLSPSSNIPKIKSTFNLNPAIQIIPLHFSDNLPSNTAELHPDMVGAFFETLDLMQDQVKTILLKLKPHFVFFDFAQNWLPKIASELGIKSVHFSVYSAICHSYISRISKGRDVTYEDFKNPPVGIIHNSKRTLQTFQARINFMMLYTKSGDSPTVSDRFIQSLSECSLILFKSCKEIEGSYLDYLQNQFEKAIVFSGVLVPEPSMDVLEDKWTKWLDNFPTKSVILCSFGSETFLSDDQINELAIGLELTNLPFIFVLNFPSNLNAESELERALPKGFLERVKNTGIVHSGWFQQQLVLKHSSVGCYVCHAGLSSVIEAIVNDCQLVLLPLKGDQFYNSMLIADDLKAGIEVKRNDEDGFFEKEGILEAVKGVMVEVDKEPGKQIRENHMKWREFLLDKEIQNKFITDLVAQLKSLV >RHN73453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16580587:16586175:1 gene:gene9268 transcript:rna9268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rab-GTPase-TBC domain-containing protein MHQAVVDPLLPPNLSSDSVSRRSAPEKGRFGDLRGLQWRINLGVLPSSVSATIDDLRRVTADCRRRYASLRRRLLVEAPIPKNGRNSPTLEMDNPLSQNPDSTWSRFFRNAELERLVDQDLSRLYPEHGSYFQTPGCQGMLRRILLLWCLKHPDCGYRQGMHELLAPFLYVLQVDLERLSEVRKLYEDHFTDRFDGLLCQENDLTYSFDFRKSPDMMEDEIGSHGNASKANSLDELEPEIQSIVLLSDAYGAEGELGIVLSEKFMEHDAYCMFDALMKGANGSVAMADFFSTSPVPGSHTGLPPVIEASMALYHLLSLADSSLHSHLLDLEVEPQYFYLRWLRVLFGREFSLDKLLVIWDEIFASDNSKVESSADENIDYGFRILHSPRGAFISAIAVAMLLHLRSSLLATENPTTCLQRLLNFPENVTIEKLLQKAKTLQDLALSIDISSPSLLLVGSHYQSKTTSTRAVSLPSESVSPKTPLNFIPDSYWEEKWRVAQKAEDRKQDGVENQVPTRKKGWTEKMKLRLRRTESDPPPSRVLSGQRGSKPSFRRSLLEDLRKALGAEENTEHEQHHDDILSEQDNLSEAVEVEQQESSCNSDNNSDDNCPSGNSGHEEESSIYSDSASPPNEANDHEIASEKNSAASFLSLDECNEALDTSPIDSPLPLSDPPENIPPTSVCNNNDQGNNQGNETSDTSTSVSPSPISDPSHNLPQTSGCNNDDEGSSATQPKDGKQNKFQWFWKFGRNTVEAISEKVGGGAAEATKSANIISNQSNSPPPASPAANGHCSSVSGRGDSVDQNVMGTLKNIGQSMLDHIQVIESVFQQDRGQGASSENLSKNVLVGKGQVTAMQALKELRKISNLLSEM >RHN56914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34720193:34721949:1 gene:gene32379 transcript:rna32379 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYKVCINWNYLKNHLTGKLIKNMKVAKVAKLTRVYAITMESKLSLKQRETQTHFPCTNVEYGILNLMLPLSRKR >RHN64161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57021980:57023110:1 gene:gene26948 transcript:rna26948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MRRNNRHTRQRRLKKRKKNPPHLPHELVIQILLSLPVKSLTRFKCVCKSWFSLISHDAHFANSHFQLHAATQAHRIAINSNSVPEIRSIDLESSFIDDSAYANHTFLPYSDLELKCSCRGFILLHTCSDIHLWNPSTGAHKQIPLSPNHFSLYFYGFGYDQLTDDYLVVLLSYDSHSQSPNILSHLEFFSLRDNVWKEIECTQCPYTSTFNDNPHAGSLYNGAIHWLAFHRDFHPWYDNVIVAFDLTERKLLEMSPPDDLEHIPQDCGLWVFGDFFSIWAANYANDTVEIWVMKEYKVKSSWTVTLVLPIDGIPTMYFYPLCCTKSGYIIGTDGEFGLVKYDDNGELLEHSSKCEDPCGGSQVVVYTKSLLSLGDN >RHN69174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41524377:41527199:1 gene:gene17608 transcript:rna17608 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AHC2 MALLVETTTSGREYKVKDMSQADFGRLEIELAEVEMPGLMSCRTEFGPSQPFKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCSERALDWGTGGGPDLIVDDGGDVTLLIHEGVKAEEVFEKTGQLPDPSSTDNAEMQIVLTIIRDGLKTDPKRYQKMKTRIVGVSEETTTGVKRLYQMQATGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQGGARVIVTEIDPICALQALMEGLQVLTLEDVISEADIFVTTTGNKDIIMVSDMKKMKNNAIVCNIGHFDNEIDMHGLETYPGVKRITIKPQTDRWVFPETKSGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQIELWKEKTSGKYEKKVYVLPKHLDEKVAALHLGQLGARLTKLSKDQADYISVPVEGPYKPAHYRY >RHN55845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24680807:24681334:1 gene:gene31118 transcript:rna31118 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCGMIEDAKHLFLSCDFFGKLWYDISYWLGYQLVFPENVLDHLYQFATFSGFSNSKRSSLNLIWLSCVWVIWLERNARIFHQKEASFNQLLDKVKLQSYWWLKVNRPSFVFSYHSWWLNHLPCLGIFM >RHN77266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5536182:5538669:-1 gene:gene704 transcript:rna704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative asparaginase MGGWAIAVHGGAGVDPNLPLQRQEEAKQLLTRVLNLGISALRSNLSAIDVVELVVRELETDPLFNSGRGSALTEKGTVEMEASIMDGPKRRCGAVSGLTTVKNPISLARLVMDKSPHSYLAFNGAEDFARQQGVEVLDNEYFITADNVGMLKLAKEANTILFDYRIPSGYETCGAAVESPMKMNGLPMSVYAPETVGCVVVDREGRCAAATSTGGLMNKMIGRIGDSPLIGAGTYACNLAGVSCTGEGEAIIRGTLAREVSAVMEYKGLGLQEAVDFVIKNRLDEGYAGLIAVSSKGEVAYGFNCNGMFRGCASEDGFMEVGIWE >RHN72525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8409046:8413773:-1 gene:gene8251 transcript:rna8251 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP72A67 MEASLAIYYGIILITVTLGLVYTWRVLNWIWLKPKRLEKLLREQGCNGNSYRLVLGDLKDSYKMGKKAKSKPMELSDDIIPRVIPYIQQLVQIYGKNPFIWSGTTPRLILTEPELIKDVLNRTSELQKPKYEIFKFLFSGLIIHEGEKWRKHRRLMNAAFQLEKLKIMAPSFLTSCIDMISKWESTLSSDGSGEIDIWPSLQNLTSDVISRNAFGSSYEEGKRIFDLQREQGELVMKNLVKSLIPLWRFIPTATQRRMHEIEKDIDSSLRYIINKREKAMKAGEATENDLLGLLLESNHQEIRDHGNNKNMGMSLEDVVGECKLFYLAGQESTSTMLVWTMILLSRYPDWQERAREEVLQIFGNKKPDYEGLNKLKILPMILYEVLRLYPPAFGVTRYVGKDIKFGNMEVPAGVEVFLPIILLQHNNELWGDDAKMFNPERFAEGISKATNGRFIYFPFGGGPRVCMGQNFSLLEAKMAVSMILQNFYFELSPTYAHTPNLVMTIQPEKGAHVILRKVKA >RHN43271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44513239:44515720:1 gene:gene49818 transcript:rna49818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MVHRDNTAIFVVGILGNIASFFCFIAPVSIFYQVCKKKTTGGFQSAPYVAALFSAMLWIFYAYIKTGEMLIITINAFGCVIETIYLVIYTTYCSKKARIFTLKLIGLFNLGGICLVIILTHVLAKERTERIELLGWICVVLSTSVFAAPLSVMRVVIRTKSVEFMPFTLSLLLTTSAIIWLCYGILLKDIFVTLPNFVGITFGTIQMVLYAIYRKNKPVNDQKLPEHKDDMNENQLQVVVIPLQNVVDIETTMENKEEKKQEETKPSEGNQVQQQKEG >RHN58578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2981374:2984611:1 gene:gene20501 transcript:rna20501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NPH3 domain-containing protein MSKTDRTQTNCSTTLFELLTEMKNHSSIEQTNIQPKSPSNFSSECWFDDATILDMDYFVKTLSGIKAKGVRADLIGSIITHYASKWLPDVAEKGITQFEESPESVTTSWMKKRFFVETLVNVLPPEKDSIPCNFLLRLLRTANMVGVDGSYRQELEKRISWQLDQACLKELVIPSFSHTCGTLLDFELVIRLVKRFVSLDNEGAKSGAALVKVAKLVDSYLCEAAVDANLRLDEFVTLAGALPSHARATDDGLYRAIDTYLKAHPSVSKQERKGLCRLIDCRKLTQEASLHAAQNERFPVRAVIQVLLSEQTKLNRHNINHNMDWSGSIMSITRSPTNGFGLEAVPTARCLSKREMNAQQIEIKKLREDVHRLQSQCNAMQVQMERMVEKKKGFFKWSKRFGVHGFGKGESVLERRGEGVDGDGEVEFGRQTPATTSDMKTRLVNVKGN >RHN72262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6240509:6243191:1 gene:gene7955 transcript:rna7955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LSM domain-containing protein MEQEAEQPVPVQGGSSDSLESDRVSRVRKLLFRQMLVGIKDGRFFLGNFYCMDKQGNIILQDTVEYRSTRRSSPSPMEQRCIGLILIPSSCRMSCHVDCSVDEQLSLLSLYKT >RHN56179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28558862:28561902:-1 gene:gene31540 transcript:rna31540 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKNERGRIVCELKSWNVIWLFIRWSRRNLSKRTHKRPKEQSLCLKQSTRRVLSLVAELMSLEEDTEHLRVNLDRAEEEGKLLSVENGILEKENRRLVMKYKERSHTESGGKLTNSSSAKSNKRKSSSKTSSSMAKKVDFDDLDSVSPRQALSPLQSNSVHVVTTIV >RHN40889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23851461:23851712:-1 gene:gene47133 transcript:rna47133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MVMITNVGGSGDVKGVWIRGSRSGAWLPLHRNWGANWQSSADLRNQRLSFKVTLVDGKTLVFLNVVSSNWRFGQTFSSHNQFF >RHN53780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4110802:4113467:1 gene:gene28726 transcript:rna28726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MASSSTLYIIAILFLQLILAFGNVSPSSRLSTTNNNNNPWLSPSGEFAFGFRNTTTNFFMLAIWYNNIHDQTIVWSAKDMNNSNNLVLAPTGSQVQLTSGGLTLTNPQNESIWTAQPNDIVSYGTMLDNGNFVLVNNKSAIVWESFKFPTDTLLPNQSLELGATLTSRFSETNFTSGRFQLYFNDDDHNLMLSPLAWPTQFRYNFYYRIEVNNNSASSSLVFDESGDIYVETNKNGTTRIKPQGTQWKNLDLDPKLYYYRAILDYYGVLTQYSHPRDTKAKQGWTIMRYVPDNICIAIFNEMGSGTCGYNSYCSMENQRPTCKCPYGYSLIDPSNQFGGCQLNFTLGCGADNGEGLNVKPEDLYEFTVLTNVNWPLSDYERMQPYSQHDCQQSCLHDCMCSVVVFSNQNCWKKRSPLANGREESGGNLVLIKTRVSPLGKIGASPSTNLKKDNQVNPILRGLLIGSAVFNSILLAAVVLVTLLKPKRVVVGTTLLETNLCSFSYDALKEATWGFIEELGRGSFGIVFKGELKAATSCNVVAVKRLDRLAQDREKEFKTELRAIGKTCHKNLVKLIGYCDEGMHRMLVYEFMNNGSLANILFGQTKPTWNQRIGFALGIARGLVYLHEECDTPIIHCDIKPQNILIDEYFTAKISDFGLAKLLLADQSRTKTMIRGTRGYVAPEWFKNVPVTAKVDVYSFGAMLLEIVCCRKSVVLMESGEEEKAILTDWACDCYMEGRIDALVENDQEALDDIDRLEKWIKIAIWCIQEHPEMRPTMRMVMQMLEGVVQVPNPPSPFSFSLIP >RHN55948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25904785:25906462:-1 gene:gene31242 transcript:rna31242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MSNFVKPKPHAVLIPAPFQGHINALFKLGKLLHLRGFHITFVNTEYNHKRLLESRDPNSLDGFNDFNFETIPDGLTPMEGNGDVTQDIYPLVQSIMTNFLQPFDELLTRLHQSANDGVIPPITCLVSDCYMPFTVDRCYESYLTNGYLDNKVGGRIPGLHNFRLKDLPDFTRITDPNDLMIKFITEVAVRCHRASSIVINTSYELESDVMNALYSMFPSIYTIGPFASFLNQSPQNHLASLNSNLWKEDTKCLEWLESKEPRSVVYVNFGSITVMSREKLLEFAWGLANSKNPFLWIIRPDLVIGGSVVLSSDFFKEVSDRGLIASWCPQDKVLNHPSIGGFLTHCGWNSTTESICAGVPMLCWPFFGDQPTNCRFICYEWEIGLEIDTNVKRDDVEKLVNELMVGENGKTMKQKVLEFKKKAEENTRSGGFSYMNLDKVIKEVMLKQF >RHN70930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55135813:55138336:1 gene:gene19565 transcript:rna19565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MDATHKMFCNKELVNPLYSMGMQVSCILVVSHFFNVVLRTLGQPGPVAQILAGLVLGPMSHIRCIKETFFPANSMNYYEVVSFFCRINFMFLFGLEMNIQYALRNLRLVSLVACGGVVMGVIFGLSVSFYLYQELGIDGSRFYFCMIIMLVVSYTSSPMVIRLAADLRFAASDVGRIAVSAALIVEMGCLLVFNMMVNTKMDDKKYNAAQASKGLSCLILTSFVVLMNRYLAIWLNTRNRNQKYLKAPELLFILFILLTTSMIIEIWNYNSIIHCFFIGLLFPKEGKTARTLIHKLGYSIYNFILPVYFGYMGLQCDIINAFKKLSMITNTVILILLSIGSKLGGTLLVCHYLHIPTSEGIFLGFILNTRGYADFLVVGSAAKDLNAEDCNVVLVAIVLNTIISGIIVSFLARGEEKMFSNNHTAIEPQEMEDELRILACVYDPRQVSSILATILAMHGSKTSPSTTYLMHLIELVKKIKSNLLFHEKENADLSDDDDYGGNDVVEINNSLDAFTADTKILVHQRRAVSSFPSLYEDVCNEAEDLQVSIILLPFHKHQRIDGKLESGKEGIRITNQKVLRHAPCSVGVIIERGMSKVPGFSQLITSDSTKNVATLFFGGPDDREAIAWSLRISKCPRINLTIIRFFLSSSLSHKEHIESGVQYDEKEILMSLSGEETVNEIDNTFMVDFYNRYVASGQIGYVEKFVKDGTQTVESLKEIGDLYSLFIVGRGGRGNSSLTIGMSDWEECPELGTVGDVLASSDFDIHGSVLVIQQHRDVKKGIIHD >RHN64001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55831805:55832122:1 gene:gene26773 transcript:rna26773 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFGAQVIAMKWTPILIMVITSIALLGAGLVIRDDYISIFNSEGSASESEDDNERNDVAEEETDEDDNVFFDACDILSSRVSSFSSDNDAFEYVDSSNRNCQTL >RHN53909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5256657:5258404:1 gene:gene28874 transcript:rna28874 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDERKRRFNEAIVNTLYPSSPQDLEPEEDSVKLQPYSDVISGSLDDCENASTSGEEEGHDSEMEKLTRAQRKRIRKKKMKEEAILRGKLIGPLLPPTQATQCGDDAPPPTVRSNASQEGDETVCVNSKKMKQRRMAKRVAKEKRVASPLDKCNQSSISSDAVDDMREARP >RHN60723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29907447:29910552:1 gene:gene23079 transcript:rna23079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MGEMSKTQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTFCTLHVALRFNLFEAKPVDMKTVMLFGILNGVSIGFLNLSLGFNSIGFYQMTKLAIIPFTVMLETLFLKKQFSRNIKLSLFFLLVGVGIASITDLQLNFVGTILSLLAIITTCVGQILTNTIQKKLNVTSTQLLYQSAPFQAAILFVSGPLVDRMLTKQNVFAYKYSPLVLTFIIMSCVIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLGFGYTLLHDPFTERNIIGILVAVFGMGLYSYFCTQENKKKLVVDPPLSSQVKDKDINSPLLNGKNMDDHEKESHESKKSSKDSIV >RHN66249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10014313:10023942:1 gene:gene14194 transcript:rna14194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) chromatin regulator PHD family MMDSEGEAGDKPMKTLGSKVCQICGDNIGSAVNGDPFIACGVCAFPVCRPCYEYERKDGNQSCPQCKTRYNKHKGSPAILGDREEDGGADNDANDFKYNSETQTQKQKIAERMLSWQMAYGRGEEVDAPHYDKEVSHNHIPRLTGGQETSGELSAASPERMSMASPVNARGKRVHNHPSYSSDLNQSPNIRVVEPGLGNVAWKERVDGWKMKHDNKNTAPMSTGQATSERGIGDIDASTDVLFDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLVILVIFLHYRITNPVPNAYALWLISVICEVWFAFSWILDQFPKWLPVNRETYLDRLSLRYDREGEPSQLAAVDIFVSTVDPLKEPPIVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALAETSEFARKWVPFCKKYEIEPRAPEWYFSKKIDYLKDKVQASFVKDRRAMKREYEEFKIRVNGLVAKAVKVPEEGWVMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKNVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYDPPIKPKHKKPSLVSSLCGGDRNKSSKSSKKDSKKNKSSKHVDPTVPVFSLEDIEEGVEGAGFDDEKSLLMSQMSLERRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKSEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKLAAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYSGRLKWLERFAYINTTIYPITSIPLLMYCTLPAVCLLTNKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDSAELYMFKWTTLLIPPTTLLIINLVGVVAGISYAVNSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPKSEMCGINC >RHN52180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32399772:32400778:-1 gene:gene36818 transcript:rna36818 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTLSNSGTEAHDLETNFVLKASLVESRESMRFCISSGNTFIVFVDDDDIVFEREKR >RHN47172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37655863:37659279:1 gene:gene41737 transcript:rna41737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MNYLTACFRSRLDRYTHSGSDSGGKQDGLLWYKDSGKHLNGEFSMAVVQANNLLEDQSYIESGSLSSGDSGPYGTFVGVYDGHGGPETSRFINEHLVHHLKRFAAEQQSMSVDVIRKAIQATEDGFMSLVTKQWSMKPQIASVGSCCLVGVICNGTLYIANLGDSRAVLGRAVKATGEVLAVQLSTEHNAAIESIRHELRSSHPNDSNIVVLKNNVWRVKGLIQISRSIGDVYLKKTEFNREPLYAKFRLREPFKMPILSSEPSISTYQLQPHDQFIIFASDGLWEHLSNQEAVDIVQNNPRSGIARRLVKSALQEAAKKREMRYSDLKNIDRGVRRHFHDDITVIVVFIDSNLVSRASNVKFSSISVRGGGVNLRPNTLAPCTTPT >RHN72430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7553206:7553658:1 gene:gene8139 transcript:rna8139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative physarum polycephalum ribonuclease MVLESKFFLPLLFYKIDSLKSDLSIAWPSIYGDDDAFWAKQWEKHGICSTFKQYEYFKHALELWKAHNITSLLEEKGITPGACYDYQHINTTILAEIGSVPHITCEGSTYLAEIHLCFDAATATQFVSCSPFAQSNCMGKKGMNKISFER >RHN55537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19321149:19322853:-1 gene:gene30735 transcript:rna30735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLLRRTTALLFKNHHTVQPLRRHITTNPITPVNREELLKVCTILYQQQNSPDSRLHSKLTSSNFNLTHEFFLQVCNNFPYSWRPVYRFFLFTQQEKNSSFTHTHVSFNKMLDVVAKSRNIDLFWSLVNETARRGLVNNKTFVIGLKTLGGARELKKCVEFFHLMNLNGYGYSVERLNKVVEEMCKVKLVEEAKFVVFKMKDLIKLDGVSYKWLISGFCEKGDLIEASKIWNLMVDEGFVPDVDVVENFMETFFKVNQFGEGLKLFQTMRLKRMDELGVSTYRVVIKWLCKKGMMDNAHEVFDEMCERGIRVDSLTLGYVVYGLLAKHRVREAYQIVEKIDVVDISVYHGLIKGLLKLRRASEATQVFREMIKRGCEPTMHTYIMLLQGHLGRRGRKGTDPLVNFDSIFVGGMVKVGHSKEAIKYVERVMNRGMEVPRFDYNKFLHYFSNEEGAVMFEEVAKKLREVGLVDLADILERYGQKMSTRDRRRNRFPIIEDANEMDDKQVM >RHN82180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51754332:51755960:1 gene:gene6319 transcript:rna6319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lipoyl(octanoyl) transferase MRALRTLEVWKMGVVNYLDALKLQEKLVLDRKSHKRCDTLLSLQHPPTYTVGKRQTVHNLLIPQSELEQIGAELHYTQRGGDITFHGPHQAILYPIISLRDTGLGARKFVEKIESTMIELAGLYGVKACPGKKCETGVWVGERKIGAIGVRISNAITSHGLAFNIDPDLNYFRHIVPCGIADKEVTSLRRETDFDLPTEEIIQEQLVSCFARIFGYDNLIWKEDASISSDKNETE >RHN39903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11575503:11577060:1 gene:gene45986 transcript:rna45986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MGAKFLFVLSLLVCIAEAHRQIQNKLFNVINYGARSDGNTDNSAAFLKAWSDACRWSGKGKATVLIPQGTYMLKEVVFSGPCNAWMNFHIEGLLKAPSDPYSFKNGDWINFRYVNKLTVGGGGILYGQGSSAWKTNDCKKNSNCRSLPITMKFDFITNGYVHDMHSIDSKQSHFVLFGCENMLLRGLKITAPKDSPNTDGIKIGMSKGINISSVNIGTGDDCIAMLSGTRNVRISDVFCGPGHGISVGSLGGNEGEEDIDDIVVKNCTFNGTSNGVRIKSWESQLKKTLVVSNFTYEDIVMNNVQNPIVIDQDYCSHPPCIKKGVSSVQISNVAYKNIRGSGNTKVAASFECSQNKPCQNIRMENINLWPSGHSKKLNNVCLFVKGATYGTQNPPSCIK >RHN40555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17552628:17555489:-1 gene:gene46717 transcript:rna46717 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPISTSISALRGNNKGTGGCKRNVSFITACMYGNLAMSVSCIGIPLPTTCSSSFCTFNMISGLCINSAIAHSRVYVVVSVPATNMFCNKCSISSLSISIFPSSKEILSKASRTSSFPIEPVPSLIFNLSPTKLLNILKTFLTETTERLLIPCKSKTFNIGKKSDKFGAPTSFNILTTISLYSPASSAECTKSAEKKVFDNKLIVVMKATLPISTASSISLHFLMSSKRSCNFLRLSAWLESRVLFANNWLLQIFLRSRQRGETRINDKLY >RHN39310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5765271:5771850:-1 gene:gene45320 transcript:rna45320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribosyl 1,2-cyclic phosphate phosphodiesterase MVVTSSIRLLPSSLTSLVHHRSPYSRRLLRPSSISFPLSPIHSLSSNGIGEVDSHVDQSQVIFIGTGTSEGIPRVSCLTNPSTKCPVCTKAAKPGDKNRRLNTSILVRHSNGTGTHNILIDAGKFFYHSALQWFPKFGIRTLDAVIITHSHADAIGGLDDLRDWTNNVQPSIPIYVAKRDFEVMKKTHYYLVDTSVIIPGAAVSALQFNSISEEPFFVHGLKFTPLPVWHGQGYRSLGFRFGNICYISDVSEIPEETYPLLKDCELLIMDALRPDRSSATHFGLPRALEEVRKIQPKRTLFTGMMHLMDHEEVNDYLTKLLESEGLDAQLSYDGLCIAVRL >RHN81162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43739972:43743446:-1 gene:gene5166 transcript:rna5166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MQQQQKVAALSYSFPEEVLEHVFSFIDSTKDRGSISLVCKSWYEIERWCRRRVFVGNCYAITPAMVIKRFPKVRSITLKGKPHFADFNLVPEGWGGYVCPWIKAMAVAFPCLEEIKLKRMVISDDCLDLIAKSFKNFKVLVLISCEGFTTDGLASIAANCRNLRELDLRESEVEDICGHWLSHFPDSYTSLVSLNISCLANEVNFPALERLVSRCPNMHTLRLNRAAPLDKLANLLRGAPQLVELGTGAYTSEMRPEVFTNLAAAFSGCKQMKGLSGFWDVLPSYLPAVYPVCSRLTSLNLSYATIQSPDLIKLVGQCQSLQRLWVLDYIEDAGLDMLAASCKDLRELRVFPSDPFGLEANVALTEQGLVSVSEGCPKLHSVLYFCRQMTNAALNTIARNRPNMTRFRLCIIEPRTPDYLTLQSLDSGFGAIVEHCKDLQRLSLSGLLTDRVFEHIGTYAKKLEMLSVAFAGDSDLGLHHVLSGCDNLRKLEIRDCPFGDKALLANAAKLETMRSLWMSSCSVSYGACKLLGQKLPRLNVEVIDERGPPNLRPDSNPVEKLYIYRTISGPRLDMPGYVWTMEDDSAYLE >RHN50313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6074271:6074453:1 gene:gene34585 transcript:rna34585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphogluconate dehydrogenase (NADP(+)-dependent, decarboxylating) MATSEPTNLARIGLAVIGQNLALNIAEKGFQFQFTIERAKQEGNLPIYGYHDFKYFVQSI >RHN42628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39810758:39813847:-1 gene:gene49092 transcript:rna49092 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLKNFLLTLFYMILVMSAAFAGLVWGGYISATIRPYFLWADLLFFEQAALGLLRVEVHVLSYCGILHFLRGTIGQEEMDIVVGKVIFLNYSKLFLVM >RHN49297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53883505:53886275:-1 gene:gene44112 transcript:rna44112 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YC2 MDHQGHNQNPQMGVVGSGSQMPYGSNPYQSNQMTGAPGSVVTSVGGMQSTGQPAGAQLGQHQLAYQHIHQQQQQQLQQQLQSFWSNQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVAGPADALPYCYMPPQHASQVGTAGVIMGKPVMDPNMYAQQPHPYMAPQMWPQPPEQRPPSPDH >RHN55339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17483965:17485830:-1 gene:gene30509 transcript:rna30509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MVESHEAKANNLKVIFLPFLSTSHIIPMVDMARVFAMQGVDITIITTAGNAAIFQKSIDRDFNRGRSIRTHVLEFPDKQVGLPVGVETFNADTPPDTPPKIYYGLAILQPQIENLFLELQADCIVSDMFHPWTVGVAEKLGIPRIIFYAASVLSRSAVHTLEQHASHTRVDRDSDKFTMVGLPHKLEMTRLQLPDWMRKPNAYGQLMKVINDSATRSYGAVFNSFYDFEGAYEEHYKNAFGTKCWSLGPVSLWANQDVSDKEERGDKRVEEGNSDLLKWLNSKKENSVLYVSFGSLNKFPTSQLIEIAHALETSSHDFIWVVRKNNDKEGEGFMEEFEKRVKESNKGYLIWGWAPQLLILENKAIGGMVSHCGWNTIVESMNVGLPMVTWPLFAEHFFNEKLVVDVLRIGVSVGAKEWRNWNEFGSEVVKREEIGKAIALVLENGKEADEMRSRAKALSEDAKKAILVGGSSHENLMQLIHELKSLKLQRLKVNTKDL >RHN60455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26981720:26983140:-1 gene:gene22767 transcript:rna22767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (+)-borneol dehydrogenase MTSVPLVSAALRRLEGKVALITGGASGIGEATARLFSNHGAQVVIADIQDDIGHSICQELHKSSATYVHCDVTKEKDIENAVNTTVSKHGKLDIMFNNAGITGINKTNILENKLSEFQEVIDINLTGVFLGTKHAARVMTPVRRGSIINTASVCGCIGGVASHAYTSSKHAVVGLMKNTAIELGPYGIRVNCVSPYVVGTPLAKNFFKLDDDGVLDVYSNLKGANLLPKDVAEAALYLGSDESKYVSGHNLVVDGGLTVGNNGFCIFKQSV >RHN58828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5278626:5279954:1 gene:gene20781 transcript:rna20781 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELEENWDKDSSPKRAKMTTNTGHVVLPSFEASEDQLQYAREYASSKIQEKKLLRQQYEQQRDEQLNAAGYAPEPEVSTLITELEKETIQHGVTLLSQALKNKQASGATSSDPSTIAPEAPQSEPFSSGNPSNAPTNTQIPTFPTLPSSPSSDSSTESDEPNLNKNIDNLLNIKPTKLTDSGTFDFEQTQIEFSENSIKICETFNLPADHPLYPEIPEAVSILHPKTNPQPNQEPTQTNSPNNPTPQKASEVVSEATTSETPQQQESSTLHNLEKHLGGEMQPTPTKASRTVHEKTVLENQQETQAETQTIPEQTVQEQSASEQVAPDQQTTPEQQTTSDQHIASDQTTEQEQPASPTFIDLTSDQPSTSNSTQTQPSPIPDSILETEYIEEQLIRLSDEIQALILRRTVPVPPIHYYDQWMDLEKSFVDLMSQLRTKCV >RHN76938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2516763:2517170:1 gene:gene332 transcript:rna332 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWRALRDQPRYGSQVGGNVDSGSSGSKRSYEDSVGSSARPMGRDAAKKKGKKKSKGETLEKVEKEWVQFKELKEQEIEQLKELTLVKQQKNKLLQEKTQAKKMKMYLKLRDEEHLDDRKNELLGKLERELFEN >RHN78087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11791909:11792852:-1 gene:gene1602 transcript:rna1602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin 11-oxidase MESVNLVKSYSYMDPTNYPNPEKFNPSRWNNHNAKVGTFLPFGAGSRHCPGSDLAKIEISIFLHYFLNNYKLEIVNPECPMTCLPSSKPIDNCLARVIKI >RHN52123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31736203:31744333:-1 gene:gene36751 transcript:rna36751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide chain release factor class I/class II, double-stranded RNA-binding protein MSNNLHHRGHKTCSIYCEPVTERTHNTVITMAHKIIFKPTFILNLKQQFTTTTTSQTHNISHCHFLHHPFFNIKIRPISLHSTTPITTTAAATANHGGYLDLTDDDLMRQCEMGTFKTSGPGGQHRNKRESAVRLKHLPTGIIAQAGEDRSQHMNRASAIKRLRSLIALKVRKTVDLDAYSPPRELLQILPPKSSIRGSDIGSQIGPNNPKFAMGMQALLDLIFAVDGSVSDAAKYLGLSTGALSRLILSDDSLRKEVNDLRASKGMKPLK >RHN63769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53924473:53927720:1 gene:gene26513 transcript:rna26513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine-rich transmembrane CYSTM domain-containing protein MSHNQPQAYDDQSKDTAVGPYLAPPPATVHKGYSQNVPQNRETKFKGSGFWRGCCAGWCCYCCLDICF >RHN72142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5336954:5338029:1 gene:gene7822 transcript:rna7822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor OFP family MMKWGAKKPSSSSSSSSSSSTSSGSFSWLSKLKHMRINSDSNHAKIKQKEKKISQPSYQRKMVNDKKGLMKIDEYHEYDREKEYENIRRRFERKAQQVLEEQLLKLEREAKEVEDVKFQYESPRTICTPRTTHSFASSNADSTKNSSSLRNIRFEKSEIASSQKKESYEKHSLKKHEELKVKTQEKQRRKPKKVSRVKIYSPRLVSKVEISRIKALEEMRNKAKQKMKKEREEEIVEEIISTKPELDSFAVIKCSSNPKQDFRDSMIEMIEEKQISKAEEMEELLACYLTLNADEYHDLIIKVFRQVWFDISQYGLGVRSEMQWGFL >RHN79564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30133378:30138204:-1 gene:gene3375 transcript:rna3375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MSTTFLSPICRSVSVFILLFSSLTTMATGVSRKISAASARSHTRRANKSSSFQFPSGILRTTLAVLLIGVLAWAYQVLQAPAPKICGSPDGPPITAPRIKLRDGRHLAYKEHGVPKDTAKYKIIYVHGFSSCRHDAVVAKTLSPDFVKELGVYIVSFDRPGYGESDPDPNRTLKSIALDIEELADQLELGSKFYVIGTSLGGQIVWNCLKHIPHRLVGAALLAPVVNFWWPDLPANLTAEAYSQWKLHDQWALRVAHYTPWLTYWWNTQRWFPIISVISGSPDILSKQDKELVTKFMDNKENYVEQVRQQGEYESLHRDINIGFGKWEYTPLDLQNPFPNNEGSVHLWQGDEDIMVPVTLQRYIAQNLPWVHYHELSGSGHLFPHADGVSETIIKLLLGVK >RHN51204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15048203:15051310:1 gene:gene35595 transcript:rna35595 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVPEIQGIREEKYLKATNNMLFKLTTISKGKSVSDACRRMAARHVDVVLLTDANASLFGMLCYLE >RHN43445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45948602:45950581:1 gene:gene50023 transcript:rna50023 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKEREEELSAIMKKLENKENASLHAQKNELEEQKIVKSNEASTRAESNTNEVNVLRQEVESLQHQKSDLEVQLVEKSRENSECTIQIQSLKEEVERKTLEQERLTEDKENLTMHIRSLELEMGTIKSKSSEDEEQIKANIQVISHLTQQKLELHDRIAKLEKISDERESELLVFQDKLNKAEEECLVVISTCKEQIKIHKDDQLSMYNEMQKLGQSIEKLKLEMDYIDNQKSVVEEQLRAKDCELNTLKQKVSENEKQIIAYCDHIAKLAQEKLELADKIDHSEKRLATREFEFSALQDKLSEAEEESSGKIVAFTAQVDNLQKDLLSLQKAKEELEHHIEKIREEHAQALTKVDNENNELANKYLDLLRILEERDEEYKHVDSWYSDCQVKLKRAEWKMRKMAEMFLEDIDSKDQMVADLEHQVEELKRDLEEKGDEVSSLLENVMNLEVKLCLSNQEEESFRKVEEKFQQVQRALEDRIATDNEAFHETITSIKKCVNSMISGIDTISSKFSDDCNNYENRISNISYELQVAKESVNEMSREKGQLQTDKNHLLEELQGKKEEGSTLQKEVEKLEAKARKEESEKMNVTATVDELKKTVEELQKLIKEKEEGILDLGEEKREAIRQLCLLIDYHRECNVYLKGIISKTQRGQREA >RHN47412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39526307:39530010:-1 gene:gene42007 transcript:rna42007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 50S ribosomal protein L30e MADGESDKNIEIWKIKKLIKGLEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTILTEDGKEKKVTFDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVAGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQETGKYVYGVDDTLKALEMGAVETLIVWENLDITRYVLKNATTGEIVIKHLKKGQENDQSNFRDVATSADLEVQEKMPLLEWFANEYKRFGCSLEFVTNKSQEGSQFCRGFGGIGGMLRYQLDIRSFDELSDDEVYEDSD >RHN81165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43791724:43792667:-1 gene:gene5169 transcript:rna5169 gene_biotype:protein_coding transcript_biotype:protein_coding MASFWSFSSIFLALIACNCSLALASAKTMHISTISAAPTTLPEAPLFSPAMSPDMEPLFPSPGGVAYSPSDSSLPTIPSSPSPPNPDISTHQGPVSAFPPSESMPPALGPSSQGSSLLMYSILHLAIMLVCIIQLHGM >RHN81956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50123746:50124809:1 gene:gene6062 transcript:rna6062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early light-induced protein MAVSSYQSIMSSSMTTISSRSRVNQISNIPSVYMPSFRRNASFKVRSMAEEGQKEQPKVPVDSITPTSAPTPPPQSAYTRAPKMSTKFSDLMAFGGPAPERINGRLAMIGFVAAMGVEIAKGQGLFDQISGGGIPWFLGTSVLLSLASLIPFFQGVSVESKSKGVMSSDAELWNGRIAMLGLIALAFTEYVKGTSLV >RHN56207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28738882:28746313:1 gene:gene31572 transcript:rna31572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAFAREQEDNNNNNNNITSTTAINKPPILRVYSRKRRRTNVAVADELAKNEEQQQQQTNGKRNRKTDEAASSSSVVVVRKSHRIRRTTTKAPVLTDKKKNLGSGGGDDGAEKTNSISRKKPGKGKQTPHTKWIEEESLMCHQCQRNDSGRVVRCTKCKRKRYCLSCIKKWYPLLKEEQIADACPVCCGNCNCKACLKSRKLIDSIKGKKEETNDHHQAEFSKYMLKALLPHLIRLDQEQMAEKEIEAKLQGLSLSELKIKKANPHNDERMYCDNCKTSIFDYHRSCTECSFDLCLLCCCELRCGQLLGGAEPFDFEFVFRGPNYLHGEVAKKVTRYRALDAGAQPEIRTWSKSGWHADSDGNIPCPKPEIKCDHGYLELKSVFSPDCISKLVCKAKELADSMKLQDAEVTLDNSCFCLKPVRNRDNKHNNAREAGLCKESRGNFLYCPRAVDLQHDDLGHFQWHWSKGEPVIVSNVLECTSGLSWEPFVMWRAFRQINKNKNKSLLDVKALDCLDWCEIDINVHQFFTGYTNCPKDKHDWPQVLKLKDWPPSKLFEESLPRHCAEFISSLPFKEYTNPFKGALNLAVKLPDEVLKPDMGPKTYIAYGFAQELGRGDSVTRLHCDMSDAVNVLTHIAESKLDRVSSDAIKKLKQKHLEQDKRELHGDIQDGETNVENSLLVGGGPLDGALWDIFRREDVPALQEYLKKHFREFRHVHCSPLEEVIHPIHDQTFYLTIGHKKKLKEEYGIEPWTFVQKLGDAVFIPAGCPHQVRNLKSCTKVALDFVSPENVGECFRLTEEFRKLPVNHRSIEDKLEVKKMIVYTMIDVVKKLEKASSQ >RHN75623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43828499:43830904:1 gene:gene11856 transcript:rna11856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator Znf-B family MKKNCELCKLPARTFCESDQASLCWDCDSKVHAANFLVERHMRTLLCHACQSPTPWKASGARLGNALSLCDRCAGGRKLHADANANANAGTSAEQQDESEGDNDNEEDTDYDSDEDDDDVDGDEDGDNQVVPWSSTAAQPPPASSSSGSDESVSVSKCNDNHDEVISKLVTTISLKRRRLDHDFEVSDSKNWKNQRREVDEVDLIGCDGEPSSKAAMRRYSDLNGSEHSQPHD >RHN48168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45543217:45543697:1 gene:gene42855 transcript:rna42855 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYSRIILFKVLLYVLIIFNIFVTPQSGGVDCRPLSLNYYQWSWDHGLILQSLPNGNAPGSGGGDPTHP >RHN50769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10288211:10289206:-1 gene:gene35097 transcript:rna35097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MSSTMNHNLIQQSKNNPLYFSLYHLRTIFNGCTDDDDATEITFPLHGENCFTNGILIVSSYDGILCLAWPYGEIAVLWNPSIRKYNILPPLEENQRFRNTYVHRNTYVHTVYGYTVYGFGYDNIMDNYKVVAVTFYNCNSSGIFKTQTPVKVHTFGTTSWRLIDEFPSGSFGEFSVRPGKFVSGTINWLVFKHDSTLCSIVSLDLGRESYQEILQPDYGEEGVKMVRISNLAVLRDCLCILRGRDIWLMKEYGNRDSWTKFATVPHLDSYNYLNDLLYISEDGQVLLQIGTPVLYSKLMVYDSINDTLKSLDIKIHWRLLIYVESLISPCS >RHN70558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52284571:52289931:1 gene:gene19149 transcript:rna19149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGIYLSTPKTEKFSEDGENDRLRYGLSSMQGWRASMEDAHAAYTDLDKSTSFFGVYDGHGGKVVAKFCAKFLHQQMLKNEAYLAGDIGTSLQQAFLRMDEMMRGQRGWRELSILGDKINKFSGMIEGLIWSPRSSNGINRVDDWAFEEGPHSDFAGPTSGSTACVAVIRNNQVVVANAGDSRCVISRKGQAYNLSRDHKPDLEIEKERILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQVVTANPDINTVELCDEDEFMVLACDGIWDCLSSQQLVDLVHEQLCSETRLSTVCERVLDRCLAPSTAGGEGCDNMTMILVQFKKPAQSSAPAEEQSSSNEPAESHVEPKVERNEV >RHN52835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39300401:39302550:1 gene:gene37553 transcript:rna37553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative abieta-7,13-dien-18-ol hydroxylase MLPQTFTLPEILFVIFILILSATIFNSKWNQKNGRKNPPGPKPLPIIGNLHMLGKLPHRSLQLLSQKYGPIMSFKLGQVQTIVVSSPQIAELFLKTHDSIFSSRPKAFAADYMTYGKKGIIFTEYGDYWRNMRKLCTIQLLHSSKVEMFAPLRKEEVGLLVKSLRKSATLHEVVNVSKVVAELIENITCKMILGRSKDDRFDLKGIVHKAMLLTGKFNLSDYLPWLRPFDLQGIERQIKKTWKQYDELLEQIIKERENQSIEEQKGHNNKDFVDILLSLMNQSIDSKDNKYDIDRTNIKAILIDMISAALDTTSVVIDWVLSQLLKHPYAMKKLQQELENVVGMNRQVEETHLAKLPYLTMVVKETLRLCPVGPLLVPHECLEDVVVDGYYIKKKTRILINAWTIGRDTNVWSDNAETFYPERFEDSDVDIRGHDFQLIPFGFGRRSCPGIQMGLTSVKLVVAQLMHCFNWELPDAMSKDELDMSEKFELSMPRCQPLLAMPTYRLVA >RHN67658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29002123:29002795:1 gene:gene15866 transcript:rna15866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MLRKEIISLSMLVMVLGMLVATLDARQIDDVSCPSALFSLLPCLPFLQGVGPATPTSYCCAGANDLNQKANTTQIRRDVCNCLKPAASRFGVNPDRSKQLPTLCNITLNVPFDPSVDCNKVQ >RHN60075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:18423878:18425037:1 gene:gene22280 transcript:rna22280 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVDESLGHPSPKKTKPRKDSNPNSAHYCVGDNAPKVSQIDIPQHAQLLSAGQADASPIAEEDEGVETSIPTPDMDEMPTGGSDAEMEQDADQFIMASRGAPIAENRMSVHDPNSMGDVEPVQTDSLMDPVVLGQLEEANPLDAFDLLANDILLSRSTGRSSNVSAEDPSHTSNDNLFAEFRSKVLRVDLFEAIEQDQNVVLEIRELLCKLINLPSGLKFQNFFKVLEPSLDNVKQGFLQKKDGKAKLEEQTTRYDKLLDEITEFKAKLEAFPQETPVIQSQVAEIDSSIAQYRAKIEQLENQKTQLLAKEGLMKLEAQIAIKKIKESKSSQQEIAVLTDNG >RHN63082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48237989:48240537:-1 gene:gene25739 transcript:rna25739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase, Xyloglucan-specific endo-beta-1,4-glucanase MAIFSPFKHNSVFFILLMWILLSCVFVWGRPATFNQDFHVTWSEPHIKQIDQGRTIQLTLDQGSGCGFASKVKYLFGRVSMKIKLVPGDSAGTVTAFYMNSDTDSVRDELDFEFLGNRTGQPYTVQTNIYAHGKGDREQRVNLWFDPSADFHTYSILWNHHHIVFYVDEVPIRVYKNNEAKGIPYPKMQAMGVFSTLWEADNWATRGGLEKINWSKAPFYAYYKDFDIEGCAIPGPTTCSTNPKNWWEGVEYQALSAIEARRYRWVRMNHVIYDYCQDKSRYPMTPHECLSGI >RHN47932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43654177:43661560:-1 gene:gene42589 transcript:rna42589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MASSVEKPNQTTTQLEPLPPPQHRHHQQHKPQHHHHPIHDHNQISFGMMQSSSSPSVLGNYINKDSGAYDLGELDQALFLYLNGQTDPSSVQDQKQNSTSGMRPPTLNIFPSQPMHVEPSSSNSKASMDLVSPQTSGSKKGSEPPKAVKPREKNHGKGPTSSSEHEGPKTPDPKILRRLAQNREAARKSRLRKKAYIQQLESSRIKLNQMEQELHHARNQGMFFGGGAMLGGEQGLPSMNTISSEAAMFDVEYARWLEEHHRLVCELRAAVHEHIPENELRMFVDKFLAQYDQVAQLKSLVAKADIFHLVSGMWVTPIERCFMWIGGFKPSELIKIIVSQIEPLTEQQIMGIYGLQQSTQQGEDALSQGLEALNQTLSETITSDSLSYPPNMTNYMDQMARAMNKLSTLESFVREADNLRHQTIHRLNQILTTRQAARCFLAMAEYFHRMRALSSLWLARPRQE >RHN47420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39626951:39629218:1 gene:gene42017 transcript:rna42017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylethanolamine-binding protein MASGSRPNPLAVGRVIGDVLDPFESTIPLLVTYGNRTVTNGGELKPSQVANQPQVIIGVNDPTALYTLVLVDPDAPSPSYPSFREYLHWMVTDIPATNAASFGNEVVSYEKPRPNLGIHRFVFVLLHQQCRQRVYAPGWRQNFNTREFIEFYNLGSPVAAVFFNCQRETGSGGRTFR >RHN65009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63613333:63618099:1 gene:gene27899 transcript:rna27899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MISVSSGMDVDRNRSSSPNSRTPRLGPSRLSSSRIRSSSSSCFSLWYRRKRTKVLLSLIALLALFFFVNYVMLLRLQHQQDLPHPLPKSSLRSSLSISIQGKSNKNSTKKKPQKGNYARMLALAAHALAENKREPKDLWQEPLVPASSWTPCSDQRNWEPNEGSNGYILVTANGGINQQRVAVCNAVVVARLLNSTLVIPKFMYSSVWRDTSQFGDIYQEEHFINNLTPDIRIVRELPKKLQSLDLEAIGSVVTDVDMGKEAKPSFYLKHILPIILKNQVVHFIGFGNRLAFDPIPFELQRLRCRCNFHALQFVPRIQETAGLLLKRLRGHAGLIGPLDRHLVGPFGELNLEKSEKSSKKASKYLALHLRFEIDMVAHSLCEFGGGEEERKELEAYREIHFPALALLKNTTKLPSPSELRSEGLCPLTPEESILMLAALGFKRQTNIFVAGSNLYGGRSRLAALTSLYPKLVTKENLLSSAELEPFANYSSQLAALDFIGCAASDAFAMTDSGSQLSSLVSGYRIYYGGGRMPTIRPNKRRLASIFMKNSTIEWRVFEQRMRKAVRQTKHVQTRPKARSVYRYPRCKECMCRTI >RHN81650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47790596:47797771:-1 gene:gene5721 transcript:rna5721 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metallocarboxypeptidase D MFLKMNNLLFSLHLLLLASSLSSSLAKGGAFQSLLPSDFNDRINVSRARRLLDEVESRARVDLAQGYMTNSDLERVIKEFGQRCSNISRIYSIGKSVNGVPLWAIEISDKPGEEEPEPAFKYIGNVHGDEPVGRELLIYLANWLCHNYLKDPLATLIVENVHLHLLPSMNPDGFSLRKRGNANNIDLNRDFPDQFFFANNDEDSRQPETKAIMNWLRDIQFTASATLHGGALVANYPWDGTIDKRTYYYGCPDDDAFRFMASIYSHSHYNMSSSKEFIDGITNGAAWYPLYGGMQDWNYIHAGCFELTLEISDNKWPNAAELPILWKYNKMSMLNLVASLVKTGVHGRIYSSGDGRPLPGFITVSGINYTVRAGGTFADYHRLLAARDRYEVVATMPGYKSKNTSIWLDEGAMTLDFVLDPEVSIKGSVLQNVYDCNCDSKSKLEFVQFLWGSHFEVFFIFIVILGFLCLLFQRRKKVKQSTGAKRTVVV >RHN70255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50034815:50035760:1 gene:gene18816 transcript:rna18816 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSSMPVYQQQPPPFTVTQPSYHGASQHDSIGPLIGVLVVIIVLGIIAVMIGRLCSGRRIMGHGQYDIESWAEKKCSTCIDGRINHSIPMRVNETSTTSLPATPINTQQETRQEEQSSQNSPPNT >RHN75013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38747722:38760522:1 gene:gene11160 transcript:rna11160 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEALDIIQADTNITPTKPTPRRKRKDDASNQLSARKKKEMRIRLSLTRPSYVLRLCPKPLRTEHRRRLQYLLRRLVNQHDWVSASGVLSVYLKGTVNDASPNNNRFKFWGLLELIKHVKNYYMNPTRITNLYDIWSKKIGLMKTCPVESRYAVQLEFLLFCLMQGDVNQTESQLSVCIEQEKTDNDPVSKMMMGLTFYELWYSGIPDVFKWRDLDQIDRQENSHTEGTSFSNRVGHCQENSHTEGISFSNRVGQSEWYNSVESHMADSQYQCDSDSSVMGGGQISGEIGTNKDMIVSMDVDTNHRREKSHPIIEVGGFSLTSDENPTEDISHTNLEKLDLWLLPLRFPDGNSLREFHFQPRDQFNDYYNNAENYLRLALNSTSFASAALLPLIQLLLIRGRVNDVLTLLENQCNSSYSVLPIRLRAILLEYFDRKNSLLIQSCFEDILKKDPACRYSLAKLIKFHQTGYYDLESLLEMIALHLDATDAEYNTWKELSVCFSKFSPPEDHTSTCSIQKGQGQQHHSFHHTPKIFTEGISAKSWNLRCRWWLTKYFSNSKFESNIKTGNLQLLTYKAACASYMYGKEFSYVVKAYSHLQKENDNDLLLFLDEHKRSSYGIYNKNKKKATCIRLK >RHN80408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37796980:37798246:-1 gene:gene4334 transcript:rna4334 gene_biotype:protein_coding transcript_biotype:protein_coding MYADSSIAYTTVIQKSICLVLPITQAERFFVFSLHTKTLHFSY >RHN72261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6228702:6237272:-1 gene:gene7954 transcript:rna7954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MGENGKRNRQQRDGDRGGRNWDNKNQKRRVNDRDERDKGELIAYRILCPNEVIGSVIGKNGKVINSIRQESRAKVKVVDPFPGAKHRVITIFCHVKNKEEIEIEDEFDNEKPLCAAQDALIKVHSAISNSIETAGDSEKKRKNKDECQILVPSSQSAILIGKAGATIKQLRVKTRTNIKVVPKDAADPEHSCAMEFDNFVLITGESEAVKRALFAVSTIMYKFSPKEDIPLDTTVPETPHSIIIPSELPIYPPGGLYPASDPIVQPRSFPQLIGATTMQDLHGGYADTGNTWPLYSSPLPVVSSLGASQSEELIVRMLCPSDKIGQLIGKGGGTIKRMRQASGARIEVDDSKARHDECLITITATESTSDLKSVAVEAVLLLQEKINDEDDAPVSIRLLVPSKVIGCIIGRSGAIINEIRKRTKADIQISRSNKPKYADDNDELVEVVGEVDCVRDALIQIVLRLREDVLKNKDIDHNRHIGSESLYASSSVLSAPPMLSSIPATAPALAYDQRTGSGTGLGMHSSRSHYGYDSYSMEDNGYGSMSSYATNLYEGHRLPPLSTLEMVVPANAVGKVMGKGGANLANIRKISGATVEISESKSYRGDRVALISGTSEEKRAAENLIQAFIMAT >RHN82643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55403504:55409734:1 gene:gene6819 transcript:rna6819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydroorotate dehydrogenase (quinone) MAAWSSRKLLRDVFLKRAVFNRIPTVRAFSSSPQNAPKIGYYSKKGRLLTGATLGLVIAGGAYVSTVDEATFCGWLFSATKLVNPFFALMDAEVAHRLAVSAAARCWVPREKRPDPPILGLEVWGRKFSNPVGLAAGFDKNAEAVDGLLGLGFGFVEVGSVTPVPQDGNPKPRMFRLRNEGAVINRCGFNSEGIVAVAKRLGAQHGKRKLDETSSTSTNSNNEVKHGGKAGPGILGVNLGKNKTSEDSAADYVQGVHTLSQFADYLVINVSSPNTPGLRMLQGRKQLKDLVKKVQAARDEMQWGEEGPPPLLVKIAPDLSKEDLEDIAAVALALHLDGLIISNTTISRPDPVKNNPLASETGGLSGKPLFNLSTNILKEMYILTRGRIPLIGCGGISSGEDAYQKVRAGATLVQLYTAFAYGGPALIPQIKAELAACLERDGFKSILDAVGADCR >RHN80540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38910247:38911759:1 gene:gene4478 transcript:rna4478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MPMNFSEAVHAKEDSITDDKETNVPTDGQVSDTPADDEATAIGGMMLLQYDDGGASGSCPQRKRKRDFSECYKTPCPMTDAGQKIVIRVESDEDILDDGYCWRKYGCKEIKGNPNNPRGYYKCSTHNCNIKKQVERDAKDPKYVLVTYDGKHTHGPVIDKKSRPTYSRNTNAAGVRRNASMPPPPSPPSALPMVHPLPPFHQYGYIPYGMNYGWPNTMMWPLLNMSGNTMMQPFLNMTGNTMMQPYRPISEQNFLERRVSTPTPIGTNQVGPNINRAVPLPVFPISPSLPPFHIVPYGGDFLQVGGNGIVAAPVFPFMGTSPADQEATNVPDTE >RHN57584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40314221:40315319:1 gene:gene33155 transcript:rna33155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GPN-loop GTPase, P-loop containing nucleoside triphosphate hydrolase MKLIKKLNLRLAAVHLVDAHLCSDPEKYISALLLTLSTMLHMELPHINVLSKIDLIESYGKLAFNLDFYTDVQDLSYLQDKLDRDPHSAKFRKLTKELCEVVEHYGLVNFTTLDIQDKESVGNLVKLIDKTNGYIFASIDASAVEFSKIAMGAPDWDYYRYPFFFLMQLF >RHN39687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9571526:9578461:1 gene:gene45735 transcript:rna45735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ORMDL family protein MANLYVKAVPPADLNRNTEWFTYPGVWTTYILILFFSWIMVLSVFGCSPGIAWTIVNLAHFLVTYHFFHWKKGTPFADDQGIYNRLTWWEQVDNGKQLTRNRKFLTVVPLVLYLIASHTTDYEHPMLFFNTVAVIVLVVAKFPNMHKVRIFGINADK >RHN47271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38409488:38412518:-1 gene:gene41847 transcript:rna41847 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MDTFKMSTTLSFLARNMLPTFHHKNQIFRPFSFYRLGPKNTNKDLTNALRILKLVSPKKTVTDIENRRSHLRLVEDILENTTTNPLGSNLKTVTETILESSVLEMEQGLGIDVCFLSHALSLCGSKRDFYGGIQYHCLAIRIGFIANVYVGSSLISLYSRCGLLGDAYRVFDEMSVRNVVSWTAIIAGFAQEWRVDMCLELFRRMRGLELKPNYFTYTSLLSACMGSGALGHGRGVHCQIIQMGFHCYLHVENALIAMYSKCGVIVDALYIFENMVSKDVVTWNSMIVGYAHHGLAEEAFSLFDEMIKQGVNPDAVTFLGILSSCRHGGLVKEGQVYFSSMVDHGLQPELDHYSCIVDLLGRAGLLLEALDFIQNMPVCPNAVIWGSLLSSSRLHGNVWIGIRAAESRLSLEPGCSSTLLQLANLYASVGWWNQVARVRQLMKDKGLKPNPGCSWIEVKNKVHRFESQDKSNSRMNGILLIMDSLVDHMSGLSLQFQISEEENIWISQ >RHN54118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7032015:7036939:-1 gene:gene29107 transcript:rna29107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ternary complex factor MIP1, leucine-zipper MGLEKSRENKMLGLRFTPRHNRSNSLPDNKKRVEGDNPDNHVEAFDRVKMDMGYVTECAKSRNKQSPKGEVHSTMKQEILQLERRLQDQFEVRSTLEKALGNRSSSLVHSNEKMMPKPATELIKEIAVLELEVVYLEQHLLSLYRKAFDKKLSSASPSTKEETVKHSPTTPEAPFVKPSMPEVLTKTECSTLQYNDHDELETLQNEHSRYEHQSLRKENDLDSRVYRCHSSLSQCTTFTRASLPEESLTKSLRTCHSQPLSMMEYIENSSNIISLAEHLGTRISDHVPVEPNKLSEDMVKCISAIYCKLADPPMIHPGLSSPSSSLSSASGFSIGDQGDMWSPRFKNNNSSFDVSLDNPFHVEGLKEFSGPYSTMVEISWIYKENQKSGDTKKLLQNYKSLISRLEEVDPGKLEHEEKLAFWINIHNALVMHAFLAYGIPQNNMKRVFLLLKAAYKVGGYTVSADTIQNTILRCRMSRPGQWLRLFFSSKTKFKTGDGRQAYALEHLEPLSHFALCSGNHSDPAVRAYTPKRVFQDLEVAKDEYIRATLGVRKDQKILLPKLVESFAKDSDLCPSGVMDMILEPLPESLRKRVKKCQIPKSKKCIEWIPHNFNFRYLISKDVLK >RHN39102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4118667:4119246:1 gene:gene45096 transcript:rna45096 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIINFSFVLVLLISVTIMNGYNVEGGGRGSYQMEKDDSIYNSQKTIPNPIIDCVILFKLCYFVSPTFCAQYYKMCTIPNPPPTSAQSLP >RHN57067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36224925:36228396:-1 gene:gene32560 transcript:rna32560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MSAYGHEHMYSTRSLSAGSEMGSSFVLESGFYITSFSATIFIAGFAALGLLLVTLLVSMAMMLQSCQNSNGGIIELRNINNDYSYCKIHSLHAKLNNLEEHNVPNICKDLALQYIKGGQYARDLDSTKSVIEDYFNGVKPSEDGFDVVLIDIDSLFQWNPPHSSNLLLSISNCIIDAKYLKRVLMLRIYKNLQASGWSIILLSRESGTHQNVTINHLVDAGFRGWSSLMMRADDEDSTKENEYFSRQRNVIQTKGFRIKSIISSHVDILTVTDADTGMRKFLLPDPICDMFEPQRSA >RHN71962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3964037:3965308:-1 gene:gene7624 transcript:rna7624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MLSNYEKMLVIPPTTNQWTQNQIDDVKIMEKQGQKLHQQQQQQALKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGYRRNNSNKRSNNTTLIKIPSTIDTSISSSPSTPSSTPNTTSSNSTLNHNISPMFYGLSSSNSCDVNLPFSRFNISRLSTSSGYDFQPQMNTIGLGFSSGFTSSEANDHNGYTNGFTSRYGSIFSSSSVPSNASVMPSLLQHKFINDGSNSFQGLEFNNLGNGSEKGTKKDEGEMEHVGGLYDPAASSLYWNTASSSAIGVWNDQASNIGSSVTSLI >RHN53389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1398583:1400449:1 gene:gene28291 transcript:rna28291 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGINKSSNPINMVKEDAFGATTSIDGVGSSDAMNMVKASLLLENDESDMNEITLEVNHL >RHN64635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60638180:60639367:1 gene:gene27468 transcript:rna27468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MASPMFQKKIYSWTLLLQVILTRVKITIVFKLFSLMENLRSPTSTIFKSNEVLDTLAPVVPSFSSRSPNNATLEILKPNLVAPGVDIIASWPTRSPISENLGENRNLKFNIMSITSMFCPHVSRATTYIKLFYPTWSLAVIRSTLMTTAKQMSPKDNHGAEFAYGAGQIDSLKALNPGLIYEANEGDYICFLCGQGFNETTLQLITEEKIICSEIGYATAGDLNYPLFAFKAPHPKHYLSGSFERTVTNV >RHN52395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34770484:34775944:-1 gene:gene37066 transcript:rna37066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAIQSPSSSSSFSFGFTYQVFLSFRGTDTRHGFTGNLYKALTDKGIHTFIDDNDLQRGDEITPSLVKAIDESRIFIPIFSANYASSSFCLNELVHIIHCYKTKGRLVLPVFLGVEPTNVRHQTGSYGEALDEHEKRFQNDKSNMERLQRWKVALSQASNLSGYHFCPGYQYEFIGKIVKDISNKINRDILHVAKYPVGLQSRVQQVKLLLDKESNEKVNMIGLYGTGGLGKSTLAKAIYNFIADQFEGLCFLHDVKENSAKNNLKHLQEKLLLKATGLEINLDHVSEGIPIIKERLCRKKILLILDDVDRLEQLEALAGGLDWFGCGSRVIITTRDKHLLTCHGIESTHAVEGLYGEEALELLKLMAFKNGNVPSSYNDILIRALTYASGLPLAIVTIGSNLFGRSVEDWKRTLDEYDKIPNKEIQRILRVSYDALEEKDQSVFLDIACCFNGCKWTEVKQILHARYGHCVKHHVGVLAEKSLIDHWEYDDDVTLHHAEGLDGKYRIYKDDVRVTLHDLIEDMAKEVVRQESPKNPGERSRLWFYDDIVQVLKENMGSRNIEMIYLNCHSTENVIEWDGNAFKKMTNLKILIIENGHFSEGPNYLPSSLRCWKWEEYPSKSLSCFSNKKFENLKVLILDRCQYLTDISNVSNLPNLEEFSFQDCKNLITIHNSIGHLNKLEIIRATGCCKLESFPPLLLPSLKELELSYCESLKSFPELLCKMTNIKDIFLFFHTSIEELPFSFQNLSELHVLQIYHVRMLRFPKHNDKMYSIVFSNVTELTLLNCNLSDECLQTVLKWCANVKNLNLSSNKFKTLPECLSECHRLWNLNVECCWCLEDIRGIPPNLNYFSALRCKSLSSSSRRMLLNQKLYEAGDTNFRFPNGTEGIPDWFEHQIKGDTISFWFRKKIPSITSILLFPRSMQIPRINLFFNGYRLEDINFLLCGSGMMFNLDSEHAFLFDLKLEEQIKFELEMDKAPLKNEWIHVKLIVGKFSCTMVDDFREDEIQILRSVQMGIHVLKEKSNTEENVIFTNPYRKYSNTSLSQFEPSLKKQRLGEVGVSETEILHQQHLAALLSGMKNLVLTETKEK >RHN82549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54689129:54689423:-1 gene:gene6720 transcript:rna6720 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFKFETQVQIVVATMAIHNFIRRKAENDMDFNVYEDESTVIHHDDSSSNLDQSQVLNVVSSSEMDRVRNIIRNEIIEHRQNN >RHN69011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40288678:40292345:-1 gene:gene17437 transcript:rna17437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b5-like heme/steroid binding domain-containing protein MSLKTLVTSPISGFALFVLFLALLLRFSITSQRLFTTEELALFNGTDPGLPILIGILGSVFDVTKGKSHYGPGGGYHHFAGRDASRAFFSGNFTGDGLTDSLRGLSSTEVKSVVDWRDFYLRSYKPVGKLVGRYYDSRGNPTKYLKGVEAKAARGAQLLEKQKIEEAKQPQCSSKWSQDEGGEVWCDVGYPRLVKRPIEIALTGKMSKRCACFEESQLGQPGLEVYEGCDYNANRCKV >RHN53926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5390939:5392384:1 gene:gene28892 transcript:rna28892 gene_biotype:protein_coding transcript_biotype:protein_coding MCHNNQQIQVLTHFHYLNSRSTYLPHPLTRVTITNSVSFLQPPPPSCYLQHSILTFIHSKFCTNM >RHN60053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17800440:17804170:1 gene:gene22252 transcript:rna22252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MFLRIFLAVRKCAECRQPLPESYAPPADEPWMTGIFACVEDRESCLTGLFCPCVLFGRNVESLRENTPWTTPCICHAIFVEGGISVAIATVIATSFISGIDPGTTCLICEGLFFTWWMCGIHTGQVRQSLQKKYHLKNSPCNACCVHCCLHWCALCQEHREMKGRLSDNVFSEMTIVNPPPIQEMKSNDEKEIPETSSADNNEHITLEMQAI >RHN78350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14313813:14314046:1 gene:gene1894 transcript:rna1894 gene_biotype:protein_coding transcript_biotype:protein_coding METKYVKIWTTYKIGMKTRKLFPGAVVASSGVVVTGEGCPFLIFLNGFCREDGGDSFYGDGFSKMKMVEQCKDRRRH >RHN69166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41484089:41486143:-1 gene:gene17599 transcript:rna17599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MKRFVVPMPYLNQASFQNLLSQAEEEFGYDHPMGGLTIPCTEYVFLHITSHFNGL >RHN76832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1655488:1656351:-1 gene:gene212 transcript:rna212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fasciclin-like arabinogalactan protein MGFKSLSFLCLALFLTVSSSIHAFDIQKLLDRTPEFSTFNKYLNETKLVGQINRRNTITVFALDNGAMSSVSDKMPEAIRAIMATHVLLDYYDPTKLIGAMHKREPLTTLYQSSGIAVDQQGYLKVNRTSDGDLAIGSAVSGAPIDVKLVKVVFAQPYNITIIQVAKPILFPGLETQTLGAPSNASAPAAETNVDVSSVFKAPPTKAKNANAPSAAEEPITEVSDSPSPSDEPSESPVEAPAKSPSLAPGPGGDEAAADAAPTSSSSRIVIGFVGAVMCLASLLVVM >RHN75253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40680555:40681184:-1 gene:gene11426 transcript:rna11426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MARTKQTARRSTLGKAPRKQLATKAARKSVPTTGGIKKPHRYRPGTVALREIRKYQKGTELLIRKLPFQRLVREIAQNFKTDLRFQSHAVLALQEAVEAYLVGLFEDTNLCAIHAKRITVMVKDIQLARRIRGERA >RHN55846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24681791:24686847:1 gene:gene31119 transcript:rna31119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVKTLKLVNYMIFFLSIFLVVKNVDGDDVVFQYVFDGCRIDADCPISGLQLLKWMCINNECEFNHVRPRYV >RHN58839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5365839:5366732:-1 gene:gene20793 transcript:rna20793 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLRFSSLSRITSCRSESSFPVRMEFSLRNPSNHSRFDLGQAWIARKNIRRAAEGSCAMFNSYPM >RHN60441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26798125:26799193:1 gene:gene22753 transcript:rna22753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MSIKECGHHDDEDRRNFLRRIIAAVGAFILLILLTIFLIWIILRPTKPRFMIQDATIYTFNISSPNSNPFPIPNTLTLTMQVTLTTHNPNKRIGIYYQKLHVYASYKSQQISLPTSIPDTYQGHKDFTIWSPFIYGVGVPVSPFTLSNLQQDQNNGMVLVNLKVNGRVKWKVGRWISGRYHIFVNCPAYVRFSGDRNNGAGVVAPAVKFQLLQNCHVDV >RHN69036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40503245:40506538:1 gene:gene17462 transcript:rna17462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MANYAIANVLILLLNLSTLLNVLACPYCPYPSPKPPSHHPPIVKPPVHKPPKHSPTPKPPVHKPPRYPPKPSPCPPPSSTPKPPHHPKPPAVHPPHVPKPHPPYVPKPPIVKPPIVHPPYVPKPPVVKPPPYVPKPPVVRPPYVPKPPVVPVTPPYVPKPPIVFPPHVPLPPVVPSPPPYVPTPPIVKPPIVFPPHVPLPPVVPVTPPYVQPPPIVTPPTPTPPIVTPPTPPSETPCPPPPLVPYPPPPAQQTCSIDALKLGACVDVLGGLIHIGIGGSAKQTCCPLLQGLVDLDAAICLCTTIRLKLLNINLVIPLALQVLIDCGKTPPEGFKCPAS >RHN45113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11934687:11935618:-1 gene:gene39322 transcript:rna39322 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIQMVSSNKFGYQKLRHEVVLDDERVIQRPKNFFRFRRIPMRRRFRLKIPSLRRLWRKKTKVVSSMRVSCAKVVKRFKDGQVHFGDLFAGNYLFMQVNPSSLNYLGKDISIPKIA >RHN54269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8173189:8175903:1 gene:gene29274 transcript:rna29274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 18S rRNA (adenine(1779)-N(6)/adenine(1780)-N(6))-dimethyltransferase MLSATKRVTAFLPRNLQQLRQLHEDIEESIYFYKSRGQHILTNPRVLDTIVQKSAINPSDTVLEIGPGTGNLTLKLLEASREVVAIEIDQRMVNILENRALKRGLRNKLTVISKDALRTEFPPFDLVVANIPYGISSPLIIKLIYETTPFRSATLLLQKEFARRLLANPGDSEFNRLAVNIKLLADVEFVMDVSKRDFLPSPKVDSSVVIIRPKVNVPAVNLHQWRAFTRTCFNNKNKTLGATFKNKRKVLELLEFNNVSGLVGEQDDVCLFKEKIVEVLREGGFDDKRPSKLSIEELMHLLSLFNEVGVYFDHREDVRNENDRFEVDDIDD >RHN40609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18166496:18167269:1 gene:gene46779 transcript:rna46779 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLIYFLCLLLIIKCYIICKIIFVVTYLYIVGILNPKLCVLNISLYIYRQKILIYFKKQSIM >RHN42969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42244279:42244732:-1 gene:gene49482 transcript:rna49482 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLHTWSFESAPVEQKLHIYCKNKLKVGKRKFGKVTIEIDRVVMVGEVADEHTLLPTSKSGQPRNLEVELKWSNKPSYAYSIKLSIPSFKFDYLFILVLS >RHN47461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39939078:39939946:-1 gene:gene42069 transcript:rna42069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase SIN MSHCINGHILCTSCCSKTNSCVICEPRTQHSHVKVACDYEPYGCKEVVNYTQIYEHVNSCHFSPCLCPQINCSFQANTTALGEHFMINHPLRIPPFKYDQIFFTFVRVKDDVTILQGISDGELFVITCKTQYIYKMLSLYHIGPSRRKPEYVFEMLVLPHEKYSNCILQLKSEVVNVDDRIYPSSSAIYVSYDYFKDGLLSIKVRISKIGANP >RHN78280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13563138:13587456:1 gene:gene1815 transcript:rna1815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEILISIVGKIAEYTVVPIGRQASYLIFYKGNFKTLNDHVEDLEAARERMIHSVESERENGKEIEKDVLNWLEKVDGVIKVANQLQNDSRNANVRCSPWSFPNLILRHQLSRNATKIANNVVEVQGKEKFNSFGHLPPLDVVASSSSTRDGEMYDTRESLKKDIVKALGDSTSCNIGIYGLGGVGKTTLVEKVAQIAKENKLFDKVVKAEVSKKPDIRRIQGEIADFLGLRFEEESIPGRAERLRQRIKMERSVLIILDNIWTILDLKEVGIPVGDEHNGCKLLMTSRNQDVLLQMDVPKDFTFKVELMSENESWSLFQFMAGDVVKDRNLKDLPFKVARKCEGLPLRVVTVARAMKNKRDVQSWKDALRKLQSNDHTEMDSGTYSALELSYNSLESDEMKDLFLLFALLLGDDNDIEYFLKVAMGLDILKHVNAIDDARNRLYTIIKSLEAACLLLEVKTDGNIQMHDFVRDFAISIACRDKLVLLRKQSDAEWPTNDFLKRCRQIVLDRWHMDELPQTISCPNIKFFVFSNVNRSLEIPDTFFEGMRCLRVVDLTGLNLLSLPTSFRLLTDLQTLCLYRCVLENMDALEALQNLEILCLWKSSMIKLPREIGRLIRLRMLDLSHSGIEVVPPNIISSLTKLEELYMGNTSINWEDVSSTVHNENASLAELRKLPKLTALELQIRETWMLPRDLQLVFEKLERYKIAIGDVWDWSDIKDGTLKTLMLKLGTNIHLEHGIKALIKSVENLYLDDVDGIQNVLPHLNKEGFTLLKHLHVQNNTNLNHIVDNKERNQIHASFPILETLVLLNLRNLEHICHGQPSVASFGSLSVIKVKNCVQLKYLFSFTMVKGLSHLCKIEVCECNSMMEIVFRDNNSSANNEITDEKIEFLQLRSLTLEHLETLDNFFSYYLTHSRNKQKCHGLEPCDSAPFFNAQVAFPNLDTLKLSSLLNLNKVWDDNHQSMCNLTSLIVDNCVGLKYLFPSTLVESFMNLKHLEISNCHMMEEIIAKKDRNNALKEVRLLNLEKIILKDMNNLKTIWHRQFETSKMLEVNNCKKIVVVFPSSMQNTYNELEKLEVRNCALVEEIFELTFNKNNSVEVTTHLKEVTIDGLLKLKKIWSGDPEGILSFRNLINVQLVSCRSLEYLLPLSVATRCSHLKELGIKWCENIKEIVAEEKESSLSAAPIFEFNQLSTLLLWNLPKLNGFYAGNHTLACPSLRKINVSRCTKLKLFRTLSTRSSNFRDDKPSVLTQPPLFIAEEVIPNLELLRMVQADADMILQTQNSSSLFCKMTYLGLASYNTEDARFPYWFLENVHTLENLHVEWSCFKKIFQDKGQISEKTHTQIKTLMLNELPKLQHICDEGSQIDPVLEFLEYLRVRSCSSLTNLMPSSATLNHLTKLEVIKCNELKYLITTPTARSLDKLIVLKIKDCNLLEEVVNGVENVDIAFISLQILNLECLPSLIKFSSSKCFMKFPLLEEVIVRECPRMKIFSAENISTPILQKVKIAENDSEWLWKGNLNDTIYNMFENKVGFGSFKHLKLSEYPELKELWYGRLEHKAFRSLKHLVVQKCDFLSDVLFQPNLLEVLMNLEELDVEDCNSLEAVFDLKDEFAKEIAVQSSSQLKKLKLSNLPKLKHVWKEDPHYTMRFQNLSDVSVVGCKNLINLFPLSVVKGMLQLQSLRVSKCGIQEIVAKEDGTEEIVKFVFPQLTSIILEHLPKLKAFFVGVHSLQCKSLKKIDLFGCPKTKLFQAEPLRHQESSRIDELNIPEYQPLFVIEEVLANVESLSLNKKVFGMILQSQYSGVQFNNVKHITVCEFYNEEATFPYWFLKNVPNSESLLVLWSSFMEIFQGEQIIRTEKEPEISLRLRYLALVQLHKLKCICKEGFQINPVLQMLESIIVYQCSSLIMLVPSSVTFSYLTYLEVTNCNGLKNLITHSTAKSLVKLTTMKIKMCNWLEDIVNSKEDETNEIVFCSLQTLELISLQRLGRFCSCPCPIMFPLLEVVVIKECPRMELFSLGVTNTTNLQNVQADEGNHWEGDLNRTIKKMFCDKVAFGEFKYLALSDYPELKDVWYGQLHCNVFCNLKHLVVERCDFLSHVLFPSNVMQVLQTLEELEVKDCDSLEAVFDVKGMKSQEILIKESTQLKRLSLSTLPKLKHIWNEDPHEIISFGNLHKVDVSMCQSLLYVFPYSLCPDLGHLEMLEISSCRVKEIVAMEETVTMEIQFNFPQLKIMALRRLSNLKSFYQGKHTLDCPLLKTFNVYRCEALRMFSFSNSYFQQPYSVDENQAMLFQQPLFCIEKLSPNLEELAVNGADMLGILNGYCQENTFHKVKYLRLQCFDETPTILLDDFHTIFPNVETFQVRNSSFETLFPTKGTTSYLSLQMSNQIRKLFLYEMEKLEHIWQEDFPLNHPLFQYLEDLRVLNCPSLISLVSSSTSFTNLTYLKVDNCKELIYLIPYSTAKSLVQLKTLIIMNCEKMLDVVKIDEEKAEENIVFENLEYLEFTSLSSLRSFCCGKQAFIFPSLLSFIVKGCPQMKIFSSALTVAPCLTKINVGEKNMRWKGDLNITIEQMFKEKSRILINMLNETIIYPHICKTQETCEK >RHN56867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34333614:34336487:-1 gene:gene32328 transcript:rna32328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKQNIDLEVEACAEYESEVTSQVDSNVSTQETFYNITNPNSYDISLDLSLNFKNSEIPTTRDSNSIGFSLSSTSESSNEPATATIPRVFSCNYCQRKFFSSQALGGHQNAHKRERTLAKRAMRMGMFSDRYNASLASLPLHGSFRSLGIKAHSSMHYGFSPTTIRPHHHEVRNNMRFEQQGCVGFPIFLEDEESKLMWPGSFRQESGAGGAQQNFILTEVNPCVDIEKSTPDLTLKL >RHN73070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13192605:13193348:1 gene:gene8848 transcript:rna8848 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRLLQSLRKLLETEEGADELFNSNHGTANSFNNHGNGKQDFSRAKINSGANSGDRNRYHTANNYGGRTINNRGSTFNGHGNGSIIDGDFNASTTNYNH >RHN71361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58504661:58504975:-1 gene:gene20034 transcript:rna20034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGVRLPFMVLHANKIFKSSSSSSHHLHSRNHSNVPKGHVAVYVGEAQKKRFVVPISYLNHPSFLDLLNRAEEEFGFNHPMGGLTIPCKEEAFINLTSQLHACLS >RHN72212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5838515:5838886:1 gene:gene7898 transcript:rna7898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MLLVASELLHHLVLNKSYQVDKNNVARAILKDPRILLLDEATSALDAESEHVVQEALDRVSVNRTTVVVAHRLATIKGADIIAVVKNGVITEKGRHDLLMKIDG >RHN73008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12565440:12566183:-1 gene:gene8777 transcript:rna8777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain, protein accelerated cell death 6 MTHSFNNVCSILHGLHLNLLVHRRVAHQVSDSNSPKEESDKNQKEASQKSEAKEKVLERYKDRIENLTIVSTLIITASVAACLAVPGEAEGKAHSLCHAMFHAFIIFITISLFSSISATIILFWATLGLTELVTFTLKMVMPLLGIALISLSLAFMASLYTVISELTWLSNVSLVMALIFIAVVIALYMLSFLPSSSTSKPLRYISHYLYLFLASRTESKHDHGCFDQYVCSFRVSVTNKMPTNINL >RHN81343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45038873:45039412:-1 gene:gene5365 transcript:rna5365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MTILTTQISFLLLLLLYVTTFHKITCSNHTVVRCNEKDRETLLTFKQDINDSIGGISTWSTEKDCCAWEGVYCDSIANNVTKLDMQFKQLEGEMNLCILELEFLSYLDLSYNDFDVIRVPVTQHNITHSSKLVYLDLSPLIFDKTLHMDNLHWLSSLSSLNISSLLGLIFVRKPTGFKQ >RHN38909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2751946:2752698:-1 gene:gene44886 transcript:rna44886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MPLSNFKKKVEYTNPIRKYNEMIGSTSSGISFLVLTEPEDICKDGGLVKKILKPGDDKYQHVDDYVLVKYEARLDDGTLVKKSDDYGVEFTLNDGQLVVLSLPTTFIIHTTLLYFPFPGRSYIVLHHLIGHFCPALSIAIKTMKIGEKVILTVKPQYGFGDKGKPAHHDEGSVPPNATLQIILEFVSWKE >RHN51036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13283546:13284419:1 gene:gene35406 transcript:rna35406 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVYTIDKTRRNSQSIRKTSKENKTPKPTYIKKSNFIASNKDC >RHN68394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35119344:35119730:-1 gene:gene16739 transcript:rna16739 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMMLMVTLTQIESKNENDGVGAMEYNNQKPTKKKRRAKKEKVGKNGDESNVLPTNGKYEKDTNSNGNDDSYVQESSSQYFKENEDNGGGKENEQRKVGHFCETCEEEFESRNKLHKHLSDYGRMQQ >RHN66999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22620701:22621510:-1 gene:gene15128 transcript:rna15128 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKNRGYATFDDYLVFLIPGVEKFSRRKLVKGDHYFDSVSDVLKKVVAEPNILVLKEEEAAKVGSCNEEELEKGSNGHDLSDDHRQCYLKPRSSTYSKDHMKFMVTEASLVHDRKPSDLRELKYEPINSVSKVDVDASGKKYKGHKYTRKVNHSKDTSKSIKQNSTKLTVKQGFSRSSNFRDANQIFCGSVTHQQNGSSTASSANRNVEENNKNNIHNDSYRCMSVSCVKIEKCESFSINIPQVPSRFMYLTLVFQFDVIYYELCCCT >RHN47589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40829536:40831709:-1 gene:gene42207 transcript:rna42207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, CRAL/TRIO domain-containing protein MSFRRLRDSILEKILSPEEQQIKIAEVGRIIGPIADKFPAICSDASVLRFLKARNYNTIKAARMLRASIKWRLEFKPDKIRWDDVAQEALMGRIYRADYLDKQGRVVFVIKAGRQSTSATIVQIKYLVYCLENGIFNLSSTQEQMVWLIDFQGWSTSCISVKVTRDAAQVLQNHYPERLGLAVFYNPPKLFESFWTMVKPFLEPKTYRKVTFAYPDNQRSRTMMEELFDMDKLESCFGGKNTAGMNFEAYGQKMREDDKKMIDFIDSCCSTSEANEVLQSRNDSDNESSGSEAVYSNLDEDEETILKRSPYSEYEPMNEVHHEKNE >RHN61040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32451333:32452025:-1 gene:gene23451 transcript:rna23451 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHSSCFSSSSSLPQRNHLPLVASFLPLASSQQEPSRRRWTSSPLRVSSLLASSLPLAPLNRKIEES >RHN45986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27550631:27560241:-1 gene:gene40418 transcript:rna40418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MTFTISYIFLVLLLFPFQYCSSSVSSLNKGSSLSVEKHTEDVIVSSNGTFSAGFYQIGENAFSFAIWFTELQNQSHNPVNIVWMANREQPVNGKNSKLFLLNTGNIILLDAGQHNTWSSNTASDAPLELYLREDGNLVLRELQGPTILWQSYDFPTNTLLPNQPLTRYTNLVSSRSHSNHSSGFYKLFFDDNNVIRLDYDGPDISSTYWPPSFLLSWQAGRTNYNSTRIALLDSLGKFISSDNYFFSTYDYGMVMQRRLTLDSDGNIRVYSRKNLLENWYVSWQVISDTCIIDGICGANSACSYDPKKGKKCSCLPGYKMKNHNDWSYGCEPTFDFTCNKSESTFFELHGFEFYGYDSNFVQNSTYENCESLCLQACNCTGFQYSYEEDQNIFQCYTKLQLLNGRHSPSFIGKTFLRLPKGNNFSKEESISVTDNVCLLQLHKDFVGKQTSHLLKFFMWLSVTVGGLEFFFFVVVCCFLIKTEKKPNGDRHNYHHALFGFRRYSYSELKIATKNFSNEIGRGGGGIVYRGTLPDERHVAIKRLNEAKQGEGEFLAEVSIIGRLNHMNLIEMWGYCAEGKHRLLVYEYMENGSLAENLSSKTNTLDWSKRYDIALGTARVLAYLHEECLEWILHCDIKPQNILLDSNFQPKLADFGLSKLKSRNNLNNNSEFSMIRGTRGYMAPEWISNSPITSKVDVYSYGVVLLDMITGKSPTMMNMEGVDGEVAYNGRLINWVREKKRSRCWVEEIMDPKIGTNCDSSKMEILAKVALECVEVDKNIRPTMSQVVEKLQRNEIDS >RHN60546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28004961:28005098:1 gene:gene22867 transcript:rna22867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MVVEAFSQYPPLGCFVARDMRQTVAFGVITYVTKLTSIEEDDQSI >RHN48176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45581612:45585647:1 gene:gene42863 transcript:rna42863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deoxyhypusine monooxygenase MSANSLNDVASCSSEMEKFLCELLLDSTQPISERFRALFSLRNLKGPAPRTALILATRDSSNLLAHEAAFALGQMQELEAIPALTSVLNDLSLHPIVRHEAAEALGAIGSDSNVSLLKHSLDSDPAQEVRETCQLALQRILNLKHAAATDDSTAPGISPFKSVDPAAPASSCSSVSQLRDLLLDEEKGMYERYAALFSLRNDGGNEAVAAIVDSLGSKSALLKHEVAYVLGQLQDKAASAALSNILRDVNEHPMVRHEAAEALGSIADDQSVSLLEEFSADPEPLVAQSCQVALSMLEFERSGKSFEFLFMRTPIVH >RHN70820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54277059:54278244:1 gene:gene19442 transcript:rna19442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MRQFLICSDKLFVLRINVLLTRTINFFLCSGLLSREMRCGTPMGKENAFAALLELCRSGGSAATERVVKAPPIAGLLQTQLFTGTKRARRKAASLARVFQRCENASLHYGGLGVGYALASNSAQTRDSSFAAEGCFSTNVHLCTCNIVI >RHN75793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45107942:45110489:-1 gene:gene12043 transcript:rna12043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLLSRASYENISKKWIPELRHYAPTVPIVLVGTKLDLREDRQYLIDHPGATAITTAQGEELKRAIGAAVYLECSSKTQQNVKAVFDAAIKVVLQPPKQKKKRKKNRSCIFL >RHN77548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7645517:7649687:1 gene:gene1014 transcript:rna1014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L13 MVSGSGICAKRVVIDARHHMLGRLASIVAKELLNGQKVVLVRCEEICASGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPSKIFWRTVRGMIPHKTKRGEAALARLKVYEGIPPPYDKIKRMVVPDALKVLRLQKGHKYCLLGQLSSEVGWNYGGIIKELEDKRKERAGLAYEKKKLLNKLRAKAEKIVDEKLAPQLEVLAPVKY >RHN41168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27742762:27744120:-1 gene:gene47459 transcript:rna47459 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTAGVFFHFYGTKGVDKGSWVPISAHPGKQLFPAFASNFKRDWKKSFMRVEAAKSSLVNVASVEGEIRFPLGWTTKPVAIFGYDYKRMSPYEQDVVGFLDRMLHTDLRKLLNKEGDSEDLELYLCERKYLEALKEKNASGEHIVSDPAGVILRKGTKKKENTSNSEPAGGDLGIEPEKANEVEATVSEVTDLTISPAKKKLKTGRKGGGRVLTAEADAAFESSFWHRDFDYRCYMEENVPFSAVDKDAAFHGKFDELVQDAGTSALRTLLYIQSMEKKHEALEKEYQGSVKDVEKFKHKATAFKERVEGLLRDKSSLEKTIADSEKEKSAWAEEKKILETQNAKLQGDLDKAKDDVENGRMALVSYFEAGFERAKSQVLHLYPNLDLSELNSLKIVQDGELVEEP >RHN53791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4179328:4182048:-1 gene:gene28737 transcript:rna28737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAD-binding domain, FAD/NAD(P)-binding domain-containing protein MATTTSSFMFLKRILTSSPCRARIGSLRYSKLTIKAQSSDVRKEHVVIVGGGIAGLATALSLHRLGVRSLVLEQSESLRTGGTSLTLSKNGWSALDSIGVANYLRTQYLEIQGIVLKSEDGKELRALNFKEKDGSQELRAVERRVLLETLAGQLPTDTIQYSSRLVKIEPSPNGDTFLEFLDGSKILAKIVIGCDGIRSPIAKWMGFSEPNYVGYCAFRGLASYSDGQPFELRVNYIYGKGLRAGYVPVSPTKVYWFVTFNSSSPGPKTTEPSVLKKQAKDLVENWLPELLNIMDSTPDDTIVLTPLMDRWLWPWISPPVSRGRVVLVGDAWHPMTPNIGQGACCALEDAVVLAKKLAAAINSDDDTSIEDAFRSYGNERWLRIFPLTILANLVGSISQSDNPLVCYVRNNIVRDRVRKNS >RHN73877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20962941:20964588:1 gene:gene9762 transcript:rna9762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MFSCKNKDYSLPNQFITISEDFVLSHYQFNNEDCNRVLGSSNGLICMLSEYIYQYGNVQNFHLRFWNPATRSVSKIVGCVSNAFMDQHDYFRFSFGYDNTTGKYKVVAFSSVEVRVFTLGDKFWRIIQRFPLLPFRCTRTNTNEWDRNGGVYLCNSLNWFAIRSNNVGYNEWKDLNLSIEQFVITSLDLGTDSYTQLLPPRGFDEVPPVMPIVSVLMDCLCFCHYSNGNDFVIWKMTEFRVEESWTKFLKFNFVDRHLIPLFENGYTMLFLSDQGHVVRYNRKDDKVERRKISDDIQRLIAMDYIVYNPASSTSVIDNDGAWWNDWKKGRLGHWSCNIFQL >RHN80265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36640463:36641359:1 gene:gene4168 transcript:rna4168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CWC16 protein MGERKVLNKYYPPDFDPAKLPRGKKTKQIPVRHMLSMTIRCNTCGNFMYKGTKLNSRKEVVEGETYLGIQIFRFYGKCTCCSAEYTMKTDPRNSDYVVEFGATRNFEPWRAEDKESDERKKKREAEEIGDAMKSLENKTLDSKREMDILAALDEMKSMKSRHATVSVDKMLAALQRKAADKEKRLVEEDEALIKSIFSNNSEVSISRIRDRHGETSNNNLKRRKISKDLSEKAAGTLTKAGLDDSDKQGKLIGGRQKPNPLAMISVVKKPETSNVKRSTNTNGALLSLCLSYGSSDED >RHN69673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45454067:45456770:1 gene:gene18174 transcript:rna18174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein METLPLEICMKIFCFLDHTHLAVSQQVCRKWKLMASDNALWSNLFKERWGGDHAAFYAPLGSKSWKEVYEAQDRCDRVGLGLKIVREGSDYYLVHQGEVQRYLGSRKYRRQLSGHTSSSETDFTGESSVAEERSCRGILDKILFFIGDLEAASVDAKRSRVI >RHN77259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5477701:5480401:-1 gene:gene697 transcript:rna697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MQRSLWLPFPNPSTYQSSMVPIQTQSQYSIGWQDTMAFFIATFTIYLCVSTSILYGFYGDARLILGPSSSRLIQSRSFFVDQIEVTNEYTNANNDIHLYAFNEKPELNSEINWTTSKFLVVEAYSRKGISLWLNEGSTICLRWEAEASSLNKLEGIVIKGEKRFEKLEPNNGKRAEYIVEEDDRYQIGILNMNARNIILTLHINVSAKIYDTTKATNKCSTRNGSCKLDLFFPITYYLVLTAPKNGNDDDDAWFVEISFMARVFSYIILLGVFMIVIFMILKCLGADYGEIHNVAEAQEVTETEPLMQPNPVTYGTNIFHEKEETNSDVSEELYDEKLCIICFDEQRNCFFVPCGHCATCYDCAQRIMDEESNSTLTDQIANPKSKVCPVCRRVINKVKRLF >RHN69257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42153339:42158262:1 gene:gene17700 transcript:rna17700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MMDVSEVEESFFAASDAKLHAEMCRSLSAIYCKVLSLFPSLEAARPRSKSGIQALCSLHVALEKAKNVLKHCSECSKLYLAITGDSVLLKFEKAKCALVDSLKLVEDIVSQSIGYQIDEIVNEIAGMVFALDPSEKQVGDDLIALLQQDRKFNNSNDSSELECFHMAATRLGITSSRAALTERRALKKLIERARAEEDKRKESIIAYLLHLMRKYSKLFRSEFSDDNDSQGSQPCSPTVQSCSPNVVPGGHCQVFDRQISKLGSFNFKPNNKKSGQMPLPPEELRCPISLQLMSDPVIIASGQTYERACIEKWFNDGHNTCPKTQQKLAHLSLTPNYCVKGLVASWCEQNRIPIPEGPPESLDFNYWRLALSDSESINSRSVNSVNSCKLKGVKVVPLEENSILEKTEGNVTESFSAQEEEDSEKYLSLLKVLTEGNNWKRKCKVVEQLRLLLRDDEEARIFMGANGFVEALFQFLQSAVHEGNAMALENGAMALFNLAVNNNRNKELMISAGILSLLEEMISCTSSYSCATALYLNLSCLEEAKHMIGVSQAVQFLIQMLGTKIEVQCKLDALHALYNISTVPSNISNLLSSGIINGLQSLLVGQAECSWTEKCIAVLVNLAVSHEGREEMMLNPELISTLASILDTGESIEQEQAVSCLLILCNRSEKCCEMVLQEGAIPALVSITVNGTSRGREKAQKLLMLFREQRQRDHSPANTQDCSPEAGDLSMPPRETKPLSKSISRRKVGKALSFLWKSKSYSVYQC >RHN51300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15977279:15978286:-1 gene:gene35716 transcript:rna35716 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MLVISLFLLMPRFKVKYFSNGNVYVGFYKGKYKHGKGMYRWSDGQIYEGDWVDGERTGKGRMIYPSGASFEGEFFRNSRHGQGTLTKSNGNLYIGNFKSGSFHGKGKYTWSDGEIYEGDWVDGKKTGKGRILYPSGANFDGDWENDIGHGHGTFTHSNGDVYVGNFENNLFHGKGKCTWSEGEIYEGDWVYGSRTGKGRMIFPSEASFEGGFSMNISHGHDTLTRSNGDLYIGNFENGLFHGKGKYTWSGGQIYEGDWVDGKKTGKGRMIFPSGESFEGEFFKDSRHGHDTLIKSNGDVYIGNFKNDLFHGKGKYTWSNGKIYEGDWVDGRRTGK >RHN79957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33856456:33862728:-1 gene:gene3820 transcript:rna3820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ketohexokinase MSLQTIYLGLLIHKQTNATWKKVRKRSIHFTNHFWPITNHSSVEHKTEKSNFNFNLQQLQIQNMSNLCTLSSSFIFNNIQPFKFCNSTKNHHRCRNLKLKMCSSSSSVPQKATVVGCGSVTVDFLATVSAYPKPDDKIRTTNLKVQGGGNAGNALTCLARLGLNTRLISKIADDSPGKGILDELRTDGVDTSFIVVSKEGTSPFTYIIVDNEMKTRTCIHTPGYPPMIPQDLSESSLLSALDGASIVYFDGRLYETALVVAHEAARKNIPILIDAERPREGLDDLLELADYVVCSAKFPKAWTEASTVPRALVSILLRLPNVKFVAVTLGKDGCILLERSVNASPATEEVDVDNLVESLELRKDKSASIPTCISSSVAKLKAEGVGTVIGKLYVGTAESIPPSELVDTTGAGDAFIGSVIYAICSKFSPETMLPFAANVAGAKCRDLGARSGLPYRADPRLASFIQ >RHN59505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11471190:11472036:1 gene:gene21545 transcript:rna21545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MTRRKVKLAFIVNDAARKATYKKRKKGLLKKVAELSTLCGIDACAMVYGPYELQPEIWPSPEGVQSVLSKFMALHEFQKCKKMMNQETFLTQSVLKAEEKLKKQRKENREQEMTIIMSQCLNEGRVVHDNMSTMDMSYLAWLIDHKLKDVARRLEAWDNNDQNQIMAIQNKVQLEMAATVPPPPPLAPSINNDDIMQSQLLMDSMVAGNVTETVPFGEVNSGVWSDLLP >RHN69773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46160961:46169299:1 gene:gene18287 transcript:rna18287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase chromatin remodeling SET family MDTLKGAIPEKLKQAIRDSTVNTLQDTCSSLHHFFLHFDPFHQTVTQLADPSYALCGKSKEAALKYKQLGNQCFSNADYANALDYYTQALQKAPFEVGEIENNFIATLYINRATVLHKMNLLVECLRDCDRALQICPSYSKAWYRRGKANIAFGNNRDAICDLNIAKSVESSTGGKRQIECELKIILDQSKSTDIVVQPRQKENSLSTTGEMPQIKLQSVSVPDKGRGMVPPSDVSPGSLVHAEEPYAMVILKQCRETHCHYCLNDLPADRVPCISCSIPLYCSHQCQIKAGGQMFMISTEDNYILKNLPNDLAEYAAEVIQYNDCEQKIGNVTEHKHECQGVHWPAVLPSDIVLAGRLLAKFLSKNSSGDITSFVEKLELSHCYEHMPFESKLDSHIYAIVLLYCLKHSYGAMLAINGISILQVVILISQIKVNCMTVVRLKSIDAHGLSDQSGGFPFHSSVHLTSNVEQVRVGKAIYKVGSLFNHSCQPNVHAYFLSRTLYLRTTQAVAAGCQLELSYGPQVGLWDCKDRQSFLKDEYAFHCQCTGCSEVNLSDIVLNAFHCVNPNCSGAVLESRVLECEKQKIKHLAVADKVIKNDDIYEVCLHAFNQNDASIHIQPGFCLKCSSYRDLESSRATVDKALICIKRLQDAILSKEISNTSISDALRSLHLLRSNLHACNKVIAEAEDNLAQAFCLVGELQLSADHCKASIQILEKIYDPDDIVIAYELVKLSSVQLSLGDNSAVNSIGRIGAIFSRYYGLHADLVFPYLQYLRREI >RHN65432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2214338:2220285:-1 gene:gene13270 transcript:rna13270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling ARID-HMG family MSINQNQNQNQNPNQNQCESESKSNTNPYPPPTAPYSDLVRDSNLFQQKLQSFHDSLGTKLKIPTIGGKPLDLHHLFVEVTSRGGIEKVIVDRKWKEVIMSFNFRDTITSGSFMVRKTYLSLLYHFEQAYYFCKQVPPSTPDALSGNVANSFTTNTDGAAINDSPVQVSPISPAQTLGSSVRGTIDMKFDDGYIVTVDLGSEQLKGVLYHVSSNASKGSSIEEGSISRKIGFMRRNLTDPERQVYQEKRLRDKERYRIEMLKYKSNGSAPQWTL >RHN49978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2842286:2843024:1 gene:gene34224 transcript:rna34224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MNPILSLTISFFLFAFITNLSPNNAAKQVLDIHGTPLIPGSQYYIFPASENPNSGGLTLNKVGDLECPVTVLQNNAMIGLPVKFTVPENNTGNILTGTDLEIEFTKKPDCAESSKWLMFLDHNTQLSCVGIGGATNYHGIETISGKFLIVKHGSGHVYRLGFCLDVTGDCGYIGLQMFNSEEGGSRLFLTAVDAYSVVFVDANGNSALSI >RHN59858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14098139:14103147:1 gene:gene22023 transcript:rna22023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trehalose-phosphatase MKSSSAPPKNITKDVNHGFVSSETDPYSNWLLKYPSGELASFDQIRNFVKGKIIALLLDYDGTFSPIVDNSDCAFMSDNMCAAVKKVAEYFTTTITCLRSRDKMCAAV >RHN68811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38783545:38786214:-1 gene:gene17219 transcript:rna17219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RING/FYVE/PHD-type MGGNGKHRWKISFHRSSSQSKQQPPKEFLCPITGSLMSDPVVVSSGQTFERLSVQVCTDLNFTPVLQNGTRPDFSTVITNLAIKTTIINWCDKTRTVHPPAPDYSTVENIVREKMTAATAEKEDLIRVSEKELLNAVAENPPVIFSHAVTELGTRGNHFNSGSSSEESVIIAASPGTPLPFTLRPTCFSPSSSSSFEIEVQNPNVPVSEEEETLLKKLKSKEVFEQEQGLVSLRSITRNREEARVSLCTPRILSSIRSLIDSRYVVVQVNAVASLVNLSLEKSNKMRIVRSGFVPFLIDVLKGGFSESQEHAAGALFSLALDDDNKMAIGVLGALQPLMHALRSESERTRHDSALALYHLTLVQSNRVKMVKLGVVPTLLSMVMTGTMASRVLLILCNLAMCVEGRTAMLDANAVDCLVSLLRGSELDSEATRENCVAALYALSHGSLRFKGLAKEANAVEVLRVIEETGTDRAREKAKRVLEKMRGFGDGDDEDGSEFDSLFESSGLTRTRYRVAGGRNNNLVNSTTF >RHN50416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6945084:6946283:-1 gene:gene34698 transcript:rna34698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNIPPLVILHDDLIVEVLMFLDIKSLMSMKCVSKSWKTLILDPDFAKMHLKKQSTRTSHLAILSNMSEGSGECRAVPISRLLESNSHSITLTDPYHQFFYKDAGRVVGSCNGLVCMQDCYFAEYHEHSFSFWNPATRTKSETLVSFRNYPKPRKNVCNFTFGYDISIDTYKIVFLCLKRDDSDLIATAVRVFTLGDNDWRDIDCLPVVLVRHPFGKYVRNGVYLKSSVSWCVRCRYYCHLKNLIVEQFVMISLDLGTETYTQLLLPRCCDEELHNVPTLSVLMDCLYFSYDSKKTHFVIWQMKEFGVEESWTQFLKISYTNLLNNFKTKELYNDSLFHRSRLTPMCFSENGDTLIFAINIPDQAILYNWKDNRAKIIESTNKILWFTAKGYVESLVSTS >RHN48807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50412924:50413630:1 gene:gene43560 transcript:rna43560 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLTSAVCCFWAPAVSISDLVAVFGSFSHRWVWLGVAALLLFCSASCAPIFVVQVLVFCFCYLTGSVGCFFRFFRDAGRGF >RHN57624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40628389:40629476:-1 gene:gene33200 transcript:rna33200 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLHFVCVGMHICVTHHLCSNDTNMDIKHDADIDTSVPVLIWENGISEGNNMCWCYIELTLFDFLYTTVCCGLVDHPHKCHVHVINMLHTVIFLHFTLSLFFVTPVRGLLGSLGECRQQQQAKDMDRQRSGYHISTPEIQDLMSRFLRMLVIFPLLLPVLPRTLHQEYDYLRLCLFYSI >RHN79357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28012293:28015941:1 gene:gene3136 transcript:rna3136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polar-amino-acid-transporting ATPase MQFTDVTYKVVLKGMTSSGKKDILNGISGSVNPGEVLAMMGPSGSGKTTLLKLLGGRLNHHPTNGGSITYNDKIYSKFLKSRIGFVTQDDVLFTHLTVKETLTYTARLRLPKTLTKEQKEKRALDVIYELGLERCQNTMIGDSFVPGLSGGERKRVCIGNEIIINPSILFLDEPTSGLDSTTALKIVQMLQDMAKAGKTVVTTIHQPSSRLFHKFDKLILLGKGSSLYFGKASESMNYFQSIGCSPLISMNPAEFLLDLANGNINDVSVPSELKDKVQTGNEASAVVHEYLVEAFESRLAETEKKKIIVSVPQDEALKIKVCSSPKRQWGASWDEQFSILFCRGIKERKHDYFSWLRITQVLSTAIILGSLWWQSDAENPKDLQDQAGLLFFITVFWGLIPVFTAIFTFPQERAMLNKERAADMYRLSAYFLARTTSDLPLDLILSVLFLLVVYFMAGLRLSAASFFLTILTVFLCIIAAQGLGLAIGAVLMDSKSATTLGSVTVMIFMLAGGFFVQKVPIFISWIRYMSFNYHTYKLLLKVQYEHLTPIVNGIKIDSGLTEVAALIAMVFGYRFLAYLSLRRMKLQ >RHN45996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27637454:27639078:1 gene:gene40429 transcript:rna40429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxy-lyase MNPLDTTEFKKQGHMMIDFLADYYENISNYPVLSQVEPNYLKKLLPTFAPSNPEPIETILEDYQKYIIPGITHWQSPNYFAYFPSSGSTAGFLGEMLSTGLNVVGFNWISSPAATELENVVIDWLGEILNLPKSFLFKGGGGGVLLGTTCEGILGTLVVARDKILSKIGSENAGKLVVYGSDQTHCAVQKAAHIIGINPKNFRAIKTKKLNSFTLLPESLLSTIENDLKNGLVPCFLCVTVGTTSTTAIDPVRKLCNVAKDYGIWVHIDAAYAGSACICPEFRYLIDGVEDADSFSLNAHKWFLTNLDCCCLWLKDPNSLIKCLSTTNSEYLENSASNSKQVVDYKDWQVTLSRRFRALKVWFVLRSYGVENLRNFLRNHVEMAKTFEGLVKMDKRFEIIVPRKLAVVCFRILPYGKKVADGKVTNGEAKLISSEDVVANEINRKLLDSINASGDVYMTHAVVEGVFVIRCAIGATLTEELHVVKAWKVVQEHADVILKDNMSRIFVQKRTIGYIGYNFAIEK >RHN61654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37217021:37217449:-1 gene:gene24141 transcript:rna24141 gene_biotype:protein_coding transcript_biotype:protein_coding MWKHYQLRHYLHHQMAIHSRTQLSSHRYPQLSQEQHILSLIGHQRGFGTQHHCFLVV >RHN78366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14463703:14464047:-1 gene:gene1912 transcript:rna1912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nicotinamidase MCRIDFDEIVFECFKIIFIWYMWILGKDMVVEKSAYNAHLGSLVWKRRRKRWVWMTNLCCETTAHETFIRGFRVFFSADASATSDLDLHEATLKNMAYGFAYFLDCHRLKQALP >RHN57266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37704266:37705460:-1 gene:gene32788 transcript:rna32788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase (ATP-hydrolyzing) MSNATSLQVYVDEFDRYMLKAKAFKLEDEKIFDHILNHLTLIIYLLKDNSYSTKREFYYSDKELFEYKQILLVGVEGLLLLVGLCNNSSKYTLCLFGDISNKLLLLLKKKKKEIEVCHLFVFLQENSDEALEHIALLLYCCKDCLPVYADNRGKVVGELQFEYGGLEIDCRKTDLLGHPIPLRVDCMTKMTKREALFILLVESVATLVSLHSAGFEQRFPCIIVAGSGVPDVCSRKLVRKLSLDLKLPVLALVDGDLYGFRILTIYNKGSQKMAYDSENLTTPNIYWLGVRPCDADHYDIPSGCIKQLSPTELKSVKSLMAKPFMKNHPD >RHN63558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52198840:52200760:-1 gene:gene26272 transcript:rna26272 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKCPKIVIQQTGLWVVFEHQGQYRNAMEPIYFCKYKFEGWSIGFSRYESIFLVLLYMLLKSMI >RHN71014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55813452:55819744:1 gene:gene19655 transcript:rna19655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAEKSKILFIGGTGYIGKHIVEASAKAGHPTFALVRESTLADPAKANLLNNFKTLGVNLVPGDLYNHENLVKAIKQVDVVISTVGHAQIEDQVKIIAAIKEAGNVKRFFPSEFGNDVDRVHAVDPAKSAFEGKARIRRAIEAEGIPYTYVSSNYFAGYFLPTLAQPGQFAPPPPKDKVVIYGDGNPKAVFNKEDDIGTFTIRAVDDPRTLNKILYIKPPKNIISFNELVALWEKKIGKTLEKTFLLEDKLLKDIAEAPFPINVVLSINHSVFVKGDHTNFVIEPSFGVEAYELYPDVKYTTVEEYLDQFV >RHN47375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39267405:39269884:-1 gene:gene41968 transcript:rna41968 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMLECLSFNSLTPSPRNVPPPLPPHSQEQQSPTPSSSPNVSREFDLAIQGPSYNEIRTMIQIPLQPHNIQIQQHEVQELEDDEEEDEDSQHRNILTQVLQPDANSVRETLANSKPKSTLTRLVSSYFDHSETTSHLCLILFRTVNRAREMYNPVFDLISVLPADCSSLSQPQCDTAYDLFVEFNVHENPFIFPHFNTLRNSFSDLKHEIQLDRRKCQHRIRLFRGANVGCAVCVLATVSIAVVTAVIVATHASIGFTSMVPFCIPFQKRRKKKELARLKQLDAAESGTFVVNHVNTIDSLVDRLQTAVEGDKAYVRFALERGRDRHPIQEVIKQLRKTQPIFEQLLKDLEQHIYLCFYTVNKARGALLKEISHHQTVVS >RHN58827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5277159:5278475:1 gene:gene20780 transcript:rna20780 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSAGGSSSNMDIDTPAYEIKGRTMSIEEWELIIQAENPVDFASLTHHGCDLVRFYKKQKLMGYFSLLNGPTYEVLVRQFWVRASVFDKVAAKQEEAQMILVDPTLEGKTREEMGLLAFTGTEIRSNVMGIPVTINEQVIAQAMRRDASGTYDGEEIPNPRTSPWKEIVNNIIYGSKDAKPYSTLSMEKKMLLKIQNENIFPKGGGNDQPSLGHKVFLHHTISQETTMNVPKYMFKKFVPYGRLLSIIFQEGGILSALKDVGIYDNQKLGAVKGKIINGAALVNMRLISTCKKLDTDMHESDVISDLVTHHIPICKKDPLDVQRTFIMEFYKSYKKKIDLKDVPEEMYGGALPVAKGRKSKKKQITKEEYLADDATEKGAQKHQKAKKEKPAISTILEEEEDLDDVPLIKKRTRRALETAE >RHN41695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32146335:32146658:-1 gene:gene48045 transcript:rna48045 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGPVQQIVDALLRMMFRKVNVASEPLTPMTLGSIISSSSGSRLDNALVKLKVSALDILEGVPFMLDASLNACAYGRVSTREMATILPCFIFKSSYIDLVRITTRD >RHN77065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3693147:3695104:-1 gene:gene475 transcript:rna475 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVVKEAEVTEMEAVLTAKCSCCGLVEECTHAYIARVRERFGGRWICGLCAEAVKEERARSESDEKMITIDEALMRHTKFRQQFRSSASDHNKDFIVAVKQILFRTLDSPRSKPSKEHSACRPLGRSHSCFSKMETTPPRTTETHTE >RHN65485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2592350:2597713:-1 gene:gene13328 transcript:rna13328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MSFFKSYGKVDEHDQMMLEAKRKTRKRITIISLSSIIFIGVVIAAVLGVVNSKNDDDNNNNASQNSVTNSMKAVCDVTLYKDSCYNSLSSIVGSGKEVQPEELFKLSINVALTHVSKAVEYFNEHGVFKKLIENSRTNEALKNCRVLLDLAIDHLNNTLTASRENSSLHQVFDDLQTWLSAAGTYQQTCIEGFEDTKEQLKTSVTSYLKNSTEYTSNSLAIITYINKAINTLNLRRLMSLPYENETPKWFHSKDRKLLSTKDLRSKADIVVAKDGSGKYKTISDALKHVPNKSKKRTLIYVKKGIYYENVRVEKTKWNVMIIGDGMTSSIVSGKLNVVDGTPTFSTATFAVFGRNFIARDMGFRNTAGPQKHQAVALMTSADQAVYYKCHIDAYQDTLYAHSNRQFYRECNIYGTVDFIFGNSAVVIQNCNIMPKLPMHGQQITITAQGKTDPNMNTGISIQYCNISPYGNLSNVKVYLGRPWKNYSTTVYMRTRMDGFINPNGWLPWVGNSAPDTIFYAEFQNVGPGSVTKNRVKWKGLKNISSKQASKFSVKAFLQGDRWIPASGAPFRSNI >RHN55013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14397120:14397945:1 gene:gene30130 transcript:rna30130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MLNHWVLLVINPGAEMIYYMDSLPGGHPNIDVVRKKFMNAMCICRSLNPKLKSKSSIIPWKEIKIILANQDMIPENYFGDYKCKTYSKDKLVEVEEDWATFMVEYLSEFHFLFQQFSFAFFN >RHN75579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43505372:43510160:1 gene:gene11808 transcript:rna11808 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLTDSFVSEANHGQPSRQGDIEMGLQDQRSSSDMGMEAFNKQIQDADKQIEKVSALLQKLKEANEESKAVTKASAMKAIKKRMEKDIDEVGKIAHGVKAKIEAINRENLNNRQKPGCEKGTGIDRARMNMTNSLTKKFRDLMTEFQTLRQRIQDEYREVVERRVITVTGTRPDDETIDHLIETGNSEQIFQQAILEAGRGQVVSTVEEIQERHDAVKEIEKKLLDLHQIYLDMAVLVEAQGEILDNIESQVNNAVDHVQRGTTALQSAKKLQKNSRKWMCIAIIILLIIVAIIVVGVLKPWKSS >RHN56020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26890692:26891178:1 gene:gene31335 transcript:rna31335 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLLVTAGPSRLILLLRNFWIVRGRKDRGCLWLFSRWLWCGPVYSGFRAVVFGVVQVVVMQEFGIWIKARRIFRHVKVSALVLREFRGALMMCVGSLVGRSLLI >RHN44910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9755500:9756469:-1 gene:gene39087 transcript:rna39087 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQVQTFSFRVVVRIGNENIKGNEGDLLVKKVSSACSKKKVSSDRLCVGDEQFNFDEGFDANSNQARIAWFCCCNGVLLCLQILKGVGSGSGQSGDRCRFDIDEDETKIKMKVKSDSDSKNTCVFLFCFRFDKNIERTKSSSEIKTTYT >RHN64433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59063842:59065466:1 gene:gene27249 transcript:rna27249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MTIVKSYGTVPLPWSNRVKIALGAAKGLAFLHNGPEPVIYRDFKTSNILLDTEYIAKLLDFGLAKAGPQGDKTHVSTRVGTYVYAAPEYVMTGHLTSNSDVYSFGVLLLKILTGRRSMDKKRPSGEQNLVSWARPYLADKRKLYQLVDPRLELNYSLKAVQKIAQLAYSCLSREPKSRPNMDEVVKALTPLQDLNDFAISRKTYSKLVA >RHN56705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33027704:33029823:1 gene:gene32143 transcript:rna32143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative catechol O-methyltransferase MESQNNEENVASNLLKAQSHVWNHIFNFINSMSLKCVVDLGIPDIIHNHGKPMPISKLISSLPIHPSKKPCIHRLMRIMTHSGFFSQQNIAANEPEIEYMLTDASRLLLKDNPMSVTPFVYAMLDPVMMNPWHQFSMWLKNDDTTSFETTHGMLLWEYAACDSKFNNLFNESMANDAQLVSNLLVEKCKGVFNEFESLVDVGGGTGTMAKTFAKSFPQMECIVFDLPHVVDGLQGSENLSYVGGDMFKEIPPTDAILLKWILHDWNDEECVKILKNCKDAISKKGKEGKVVIIDMVLDCEKGDNNESVETKLFFDMLMMVTLTGKERTKKEWVKLISSAGFCDYKITPILGLRAVIEIYTPKI >RHN59135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7806345:7807661:-1 gene:gene21125 transcript:rna21125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MERKDCFDVMLIDAHMPNMDVYDFVQHVTLLLKIPVIMMAVDSTKSSIMKSIECGACTYWTKPLAEEEVKIMWQHVVRNGSTENKEYEIVGSLVVQECRKRGREDADASKETLAKKARLSWSPELHQRFLYAVNQLGLDRATPKKIQKIMDVPDVTKQHVASHLQKYRNYLKSSTEDTKGRMKKSKKSPFETKFSLEATHSLPEQDQSFLLNSATQCRDNCDAQQHWMEIGESDIMSNTFSDLPNLFPYVDDDLSSLVW >RHN46751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34542357:34547790:-1 gene:gene41273 transcript:rna41273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase (phosphomutase) MGSTDFSWKLPDHPKLPKGKTVAVIVLDGWGEANANEYNCIHNAETPTMDSLKQGAPEHWRLVRAHGKAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDIALETGKIFEGDGFNYIKESFETGTLHLIGLLSDGGVHSRLDQVQLLLKGASERGVKRVRLHILTDGRDVLDGSSVGFVETLENDLAKLREKGIDAQIASGGGRMNVTMDRYENDWGVVKRGWDAQVLGEAPHKFTNALEAIKTLRAAPKANDQYLPPFVIVDESGKSVGPIVDGDAVVTFNFRADRMTMLAKALEYEKFDNFDRVRVPKIRYAGMLEYDGELKLPKHYLVSPPEIDRTSGEYLVKNGVRTFACSETVKFGHVTFFWNGNRSGYFDEKLEEYVEIPSDSGITFNEQPLMKALEIGEKARDAILSGKFDQIRVNIPNGDMVGHTGDIEATVVACKAADKAVKMILDAIEQVGGIYVVTADHGNAEDMVKRDKAGKPLLKDGKVQILTSHTLEPVPIAIGGPGLTPGVRFRNDVPTGGLANVAATVMNLHGFEAPSDYETTLIEVV >RHN47563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40639802:40641689:-1 gene:gene42179 transcript:rna42179 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQNVLIFMFSTSSFSFCIIDGKILTSPTNTLQLPSFSLLTGSELTAGRFLRYC >RHN76426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50159633:50170924:1 gene:gene12749 transcript:rna12749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MPLRLEIKRKLAQRSERVKCVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWICTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLDGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAIGYLKSSRRVVIGYDEGTIMVKLGREVPVASMDNSGKIIWAKHNEIQTVNIKSIGAGLEVADGERLPLAVKELGTCDLYPQNLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSTDGEYAVRESTSKIKIFSKSFQEKKSVRPTFSAERIFGGTLLAICSNDFICFYDWAECRLIYRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVASHIDSGRPVDEEGVEDAFELLHEMNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVFLIDKEFNVVGYTLLLSLIEYKTLVMRGDLESANEVLPSIPKEHHNSVARFLESRGMIEDALEVATDPDYRFDLAIQLGRLEVAKGIAIEVQSESKWKQLGELAMSSGKLQMAEECLNHAMDLSGLLLLYSSFGDAEGISKLATLAKEQGKNNVAFLCLFMLGKLEDCLQLLIESNRIPEAALMARSYLPSKVPEIVAIWRKDLNKVNPKAAESLADPEEYPNLFEDWQVALAVESKAAETRNVYPPAEQYINHADKSHVTLVEAFRNMQIEEEEPLENGDSNHELTEQNEEHYTEEEHYTEEQNGEEGSQEEAVVVDAESTDGAVLVNGNEADEEWGTNNEGAPSA >RHN46983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36253158:36259253:-1 gene:gene41527 transcript:rna41527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling ARID family MLGSEQTLDLYKLFMVVKDKGGYDVVCKNELWDLVGEEYGLGVKVGSSVKLVYSKYLSGLETPLKNVVDGEFPKRDLVGDRVKFGERLTELQAELVLDDYGEGDVGDEVKSVYGCRKKLCDTNRVKVVNPELNASELEKVYEYIDGRKSCGTNKMKDTNLVSNMAKKVESEGLVDVLMQDCKTNETSLRKLVNQNAAMEIMDDVSDVANSMPGLSDGSKSCANDDANDSGDDVLMLDPSSVNRESFGRKRKRDDLMSEMQSWVIRTAKNPCDPVLGSMPEKSKWKSYGNQEIWKKVLLFREAAFLKKDFGSDCEKLSWLAQRMHPSMYDVNLGVNYNLRQRIKRDNGVLVGKSASILQRTPDSEAKEKLLDSCVPESILDAPPTVNIPLGPNHQAEVPEWTGTTHKSDSKWLGTQIWPLQIVKSKLLEGEPVGKGRQDSCRCQVQGSVECVRFHIAEKSAKLKLEIGVAFYQWNLDKAGEEVRRCWTAEEEKKFKDVVKSNPASLDRCFWDDIFTTFPKKSRESLVSYYFNVFLLQRRGYQNRHTPDNIDSDDEESEFTPLKGSFGHQTDKSNSFTLLSPKKPQPTPHTKGRQLVNGLKS >RHN67356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26399191:26402437:-1 gene:gene15537 transcript:rna15537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S10 MAVSSLSATFIPSLSLSSNSSPLLSSKLKLQSLCFVSGNTLRITNAKPLQLSTVVHAAPEVLNSEVDDLETPSTSEISIGDNADMLAPKHKIRIKLRSYLVPLIEDSCKQILDAARTTNAKTMGPVPLPTKKRIHCVLKSPHVHKDARFHFEVRTHQRVIDILFPTAQTIDSLMLLQLPAGVDVEVKL >RHN52903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40181806:40183669:-1 gene:gene37638 transcript:rna37638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEKKKKEKSVTNEVRLASMEKGFFHLRSGKRVLKSEVVRSRLESTEKKKKKKKKFVSATKKNEFVSTNYIPDDIAFSILSKLPLKSFKRFECVRKSWSLLSENTHFMNMFRNNFLSSSNSRYDGASLFLKVPTWPDMSEIQVLYNLSGDRYIHALWHPATQKFKILPPSPYESYVPDDVKRHFNIVCYLDGFGYDSVTDDYKVIRYVFFADPNNEQYQCLGNKYFEPLWEIYSLRSNSWRKLDVDMPPSLDTTEGNHVYMDGVCHWLCQNDYGYWKKHNIPFQPSLVSFYLSKEGFFITPVPSDVDDSFDVETNWRNLAVLNGSIALISYYEKTTTFHISIMGEIGMKESWTNLFTIGPLPCVDRPIGVGTKGEIFFIRNDEELAWFDLSTQMIEELGFKVDMPGCRITIYKESILPFEGIND >RHN55247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16404836:16406623:-1 gene:gene30394 transcript:rna30394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MAWSYSSSTSSSNNTPQEKHEVFISFRSEDTRNNFTSHLNGALKRLDIRTYIDNNLNSGDEISTTLVRAIEEAELSVIVFSKNYAASKFCLDELMKILECKRMKGKMVVPIFYDVDPTDVRNQRGSYAEAFAKHEKNSEEKIKVQEWRNGLMEAANYSGWDCNVNRTELELVEEIAMDVLNKINRVYVGDLDHQIAKYEELAKLQYQFFVSTLNTVDLNKHNATVARITELKMERSLRLLRLSSDMLSDLENSNKDKRYPF >RHN60500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27377537:27381956:1 gene:gene22821 transcript:rna22821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAMMECDVEDNNFIEWLKPSNSSKSYLTSSSSSSSSTTQNETIQFFPILSANFSKDEEREVPQIEGFEFKEEKEIVALHIGLPHDTKKYLDDEKKFFHFKEEEEEEKASKKTFQRFWIPTPAQILVGPMQFACSICNKTFNRYNNMQMHMWGHGSEFRKGPDSLRGTQPAAMLRLPCYCCVQGCKNNINHPRAKPLKDFRTLQTHYKRKHGTKPFMCRKCGKTFAVKGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIRSFGKGHRRLSSIDDRVFEEEKECVTGSEEDEFAPT >RHN67454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27375119:27376530:1 gene:gene15644 transcript:rna15644 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCLLVVYLWVTMCYISSIYGKANDKNKIRARGTKHRQGKWKQTNLVDVVLYFDAFAKFQLIRHILSIDFNAACGGEQEQILCDDGQKKGEKLVFICCLIVL >RHN70122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48919772:48923226:1 gene:gene18674 transcript:rna18674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MENNNQQQQYPTPSPTAVTPPPFQHLLQQQQQQLQMFWSYQRQEIEHVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKDEGAAAIVGAAASGVPYYYPPMGQPAGMMIGRPAVDPATGVYVQPPSQAWQSVWQTGADDGSYAGGGGVGSGQHNGDGQS >RHN74370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32276471:32277261:-1 gene:gene10421 transcript:rna10421 gene_biotype:protein_coding transcript_biotype:protein_coding MHKKFDEMYENDVDKMHHHKDYENEPFFLLLYEILFLIFFLTLLYVYYVYVYVGDYDEPFSYI >RHN79711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31429800:31432852:1 gene:gene3536 transcript:rna3536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small monomeric GTPase MSSSSGQNSSYDISFKILLIGDSAVGKSSLLVSFISGSVEDPAPTIGVDFKIKLLTVGGKRLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTRRETFTNLSEVWSKEVELYSTNQDCMKMLVGNKVDRESERTVSTEEGLALAKEFGCSFFECSAKTRENVDKCFEELALKIMEVPSLLEEGSTSVKRNILKQKHEPQASQDGGCCL >RHN47268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38389888:38391056:-1 gene:gene41844 transcript:rna41844 gene_biotype:protein_coding transcript_biotype:protein_coding MHKFKLLATQCAIANSPTRSPTTSPVIHLRRRKTLRMFLTRPHDRRRFHPPPLDPPPPSSDEVKVRHKLKDLFVSSPSPPSLPSPPTMLQDEKNISQQQQQQQNYDQKDCSTVAVRFRTGSPFRRSSAVAALRPVSSAFRYRLLRRAWRPVLFTIPE >RHN59493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11244079:11251152:-1 gene:gene21524 transcript:rna21524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA-guanine(34) transglycosylase MKSSSVQKALSSGARIGAIELANCPGVSINTPALLISTRKGLPYFTPPDLLPSLPSPDSHLLQVSPLHFLEGISPATIGKIGGIHKLIGLGDYGVVAVPRDNVHSLPECKGASKLGASFETPCGRLLIKPKDYVEMISCMRPNIWATLADEVPAWVTDKRNRTSVDRTLRWLDDCLALNPAVGSVFGAIVGGTNLDERKRCAEEVAKRNVAGYWIGGFGLGEAIDERPALLRAIVDVLQDEKPRMICGLGLPEEILQGIAAGVDLFDSSYIYTLTLGGFALTFSLDKNGNQDNFKLSQRGSDSTKINLRATEYRLDMSPIVDGCSCHTCQNYTKAYINHLYNVHEMLAQTLLEIHNAHHYLRFFRVIREAIQDGRFEEYRLTFTNSRREHYGRVAVC >RHN52839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39377072:39377449:1 gene:gene37558 transcript:rna37558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cholestenol Delta-isomerase MSALYAIATGKSYSYILQFAVSLDQLYGTAAYYITTILEGDNFSTNSFYYYAYYIGANFSWIVFPLIISIRCWRKNCAAFRVQSQT >RHN44273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3090404:3091831:1 gene:gene38373 transcript:rna38373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MVFRQLVYLIAEENTLQNDESNSTLFGLIDFFMYSMFFIRIHKFFSSFWFFLFCQLRSGDLEVKGKKQLSETELNVSRDSNVSGESGEIKRNEVETVMANMGLFCSSESDELEEKYSSKEFSELFDENEPSLEEVKMAFDVFDENKDGFIDAMELKRVMCILGFKEGSEVENCQKMIKNFDANQDGRIDFIEFVKIMENRLC >RHN78726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17826218:17829391:-1 gene:gene2372 transcript:rna2372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-1,6-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase MASYKKPRLRDLALRRLLSVVFLTLCGVLILIFLLGSNSSTTIETNESQSVDDGAGYRRDLKFTKMQNLPQQSDLSKKLEKLNLLPPRNLDLYPNIGKDAIIVVLYVHNRPQYLKVVVESLAKVVGINETLLIVSHDGYFEEMNKIISGIRFCQVKQIYAPYSPHLFPNSFPGVSVGDCKEKDDAKEKHCEGNPDQYGNHRAPKIVSLKHHWWWMMNTVWDGLSETRAHSGHVLFIEEDHFIFPNAYRNLQILTSLKPMKCPDCYAANLAPSDVNSRGEEWDSLVAERMGNIGYSFNRTVWKKIHNKAKEFCFFDDYNWDITMWATVYPSFGSPVYTLRGPRTSAVHFGKCGLHQGQGENKACIDNGMANIRVDDHDKVSNIDSDWDVHVYKNQPGYKAGFKGWGGWGDDRDRHLCLSFADMYRSSKGTVTPL >RHN73255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14806171:14807697:1 gene:gene9052 transcript:rna9052 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFQKEKQIMVDPLSLKNSPIKQMYNQETTKLPTIITTSSTILHPPTLQPPKLRFLSLSLPNSVNSSPRFDSIKKSNSGSLETQCLKSTNNVTTTLQDLLQEARFGKSKSCGDGRESASLEEFDFDQWLTKLSTKELEKWEWHYGTFTKQEHVKESPKNVVKQMKTTTPHDGFKCNSLCLFLPNFVGKIKPIKIRKEVSEKVAPTMSRNVSLENFECGSWASAAMSHEIDGDSNNSYFDLPLELMKYGSAIEVDSPISSSLVYEKDQLKGVLKNGSARGSARKSEVSPRHVRFSLSSSSSPSYPASPAFCISPRLRKAREDFNTFLAAAQTA >RHN50582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8273142:8275367:1 gene:gene34885 transcript:rna34885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MYMQVEGNPQSAGKRGKSSEREKQIEDWLPVSSERNAKWWYSSFHNVTAMVGAGVLGLPFSMAYLGWGPGLTILLLSWTITLYTLWQMVEMHEMIPGKRFDRYHELGQHAFGEKLGLYIVVPQQLIVEVGGDIVYMVTGGASLRKFHNTVCSSCKNIKLTYFIMIFASAQFVLCHLPNLNSISGVSLVAAVMSICYSTIAWTTSVHKGVQENVQYSSKATNTAESVFNFFNALGSVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVVVAYIVVALCYFPVAIIGYWVFGNEVKDNVLISLEKPVWLIAISNLFVVLHVIGSYQIFAMPVFDMIEGVLVKKLNFKPSKILRFVARNSYVAFTMFIAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLILYKPKRFSFSWWTNWISIVIGLCIMILAPIGALRSIILEAKTYQFYS >RHN72994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12422883:12423707:-1 gene:gene8763 transcript:rna8763 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVGELCTNVGSMLCDVYDGFRNFVEEALYRIGVRPVVLWLPSDDTAEVYWPFILVNFACCIIMLLKKFTDEINYHTFMIEFINELKEIIGYDADLDFPFDFKSANAIRTNLGGSSRLCQDTIRFIMKKGIHSVETDEEIGVVCQYLYNVLAWSEMRHFILINDMLVKAKSPVFFDPRVSKEVNDFTEACRAIKSHICPQFFMYLAPKEAMSKVEPSRFPTLIAVAQELQRKDNNCSTVAELELTSMVGEDLETVKDLVKIHRQFMPHNRCPV >RHN52491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36114898:36122056:1 gene:gene37176 transcript:rna37176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose synthase MASTSSSSLKRSDSIADSMPDALKQSRFHMKKCFARLVANGKRLVRLNHIMEDVEKTIEDKNERKKLLEGLLGYILSCTQEAAIVPPYVVFAVRPNPGFWEYVKVNADDLQVDGIEPSEYLKYKEMVFDEKWASDENALEIDFEAIDFTTPHMALSSSIGKGLDFTTRILTSRLSESSHFENPLLNYLLNLNHQGENLMIKDTLNTIPKLQKALKIAEAYVSAHHKDTPYQNFENRFREWGFDKGWGNTAGRVKETMRMLSEVLEAGDPIKLESLFSRLPNMFNIVIFSIHGYFGQADVLGLPDTGGQVVYILDQVRALEEELLQKIELQGLNVKPQILVVTRLIPNAKGTTCNQELEPIIKTKHSHILRVPFWTEKGILSQWVSRFDIYPYLERFAQDSTTKILELMDGKPDLIIGNYTDGNLVSSLMASKLGVTQATIAHALEKTKYEDSDAKWNSFEEKYHFSSQFTADLIAMNSADFIITSTYQEIAGSKDRPGQYETHTAFTMPGLCRVVSGINVFDPKFNIAAPGADQSVYFPFTEKNQRLTTFQPAIEGLLYSKVENEEHIGFLEDKKKPIIFSMARLDKVKNISGLVEWFAKNKRLRSLVNLVIVGGFFDPSKSKDREETEEIKKMHYLMKEYKLQGQFRWIAAQTDRYRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHIDPHNGDESINKISEFFEKCKTNPEHWNIVSKAGLQRINECYTWKIYANKVLNMGSIYGFWRKLNKEQKLAKERYIQMFYNLQFRNLARKVPIPKEVPQEPQPMSTTPAKKSEATSKGHDAAQAKAEVPQTHLTAVPPKIESKLTSSGESSSKELAAKQSGDLYSGLRWLLPGIAFMFIIHYLSKYLEHLFTREQ >RHN54483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9936623:9941491:-1 gene:gene29533 transcript:rna29533 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSNSIAMPINQQTHFLSGSLLKPVDKSFLKMSCTEQFPSSSVKAKASRNLSVIVRASGDDGRSSNGSIFVGGFVLGSLIVGALGCVYAPKISKALAGAEGKELMKKLPKFIYDEEKALEKTRKMLSEKIAQLNSAIDGVSANLRSDEDKNESAVVSEEIGASN >RHN74074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:26247772:26252882:1 gene:gene10031 transcript:rna10031 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRRGVVAAGSVWESRMKSDQVKGGIKVFKAEKEEQEQEGGNVGGSRLRRTSIGSSKRKTCSPIQISKGKTEEPCISDGIKKRSPIQSRKIRSDMQKGGGEAAGKLRKIKSDQIKKNVIDSIELRKTKSELDRVQENEVDELDDGFEKIEAEKDENVEDFDVCQEKEISSNVCVVQNSPESVVRVGGDSESDGDVVDDEEIEIEMENESFDVKEISSIPESKVVIENQQENDKVDVNEPEKKIVLNESEKKVVTNEAEQKKVVNANMRFHHKNERRPVSVPLVVKQSPTIRRNSKIYQNFSKPNSGSIPKEEERSSFPQTQNKLQSLVDLIMWRDVSRSAFVFGIGTFLIVSSSYAKDINLSLISAMSYVGLVYLAVIFLYRSLICRGVIDVEDSNYVVREQDAIWMLKLVLPYLNEFLSKLKALFSGDPGTTMKLAVLLFVLARCGSFITLWKMAKIGFFGVFIVPKICSSYSAQLTAYANFWVRRFRDAWDSCTHKKAVALGIFGLVWNLSSVVARIWAVFVLFVAFRYYQQHYMVRDEWVEDETGCDEAWEEEPVRQKQRNFVATNKIKKGF >RHN62604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44625693:44630249:1 gene:gene25197 transcript:rna25197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative branched-chain-amino-acid transaminase MATSHQLPNNGKASNRETEKIYANMDWDKLTCGVIPTDYMYIIKSNEDRTYSNGTLVPFGTIDINPHSAVINYGQGLFEGMKAYRTKDGNVQLFRPEENALRMQMGAERLLMPSPSVEQYIDAVKQVVHANKRWVPPWGKGTLYIRPLLFGSGPVLGIGPAPQCTLLIFTNPISNIYKGQTSALNLLINENFPRAYPGGTGGVKSISNYPLVFQVVKEAKAKGFSDVLFLDAVEHKYIEEVSSCNAFIVKGKVLSTAPTLGTILPGVTRKSVIELARDLGYEVMERKVSVEELLEADEVFCTGTAVGISAVGSVTYKNKSVTFKTGADTVTKKLYDLITGIQTGLLEDKKGWVVKID >RHN72645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9370105:9370365:-1 gene:gene8389 transcript:rna8389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSSLESLDISNNRFTSPLPNNMPKGLKDFNASGNDISGVVPEILRKIPSSSFFPGNAKLHFPNSHMDQSFHLPKVPRGSLCPQLLK >RHN81527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46733049:46739733:-1 gene:gene5586 transcript:rna5586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKGRYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVITFAPTGLTTEVKSVEMHHEALTEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKEIEKEPKFLKNGDAGIIKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKAVEKKDPSGGLKQTKSALKKK >RHN45755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25293120:25300550:-1 gene:gene40148 transcript:rna40148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MNDDFEIPQAEEMNEDFDLPEEKVGDEREVGDRGLKKKLLKLGEGWDTPESGDEVQVHYTGTLLDGTKFDSSRDRDSPFSFTLGQGQVIQGWDEGIKTMKKGENALFTIPPELAYGESGSPPTIPPNATLQFDVEMLSWTSVKDICKDGGIFKRILKEGEKWENPKDPDEVLVNYEVRLEDGKAVAKSDGVEFTVSEGHYCPAFSKAVKAMKKGEKVILLVKPQYGFGEKGKPAHGDEGAVPPNASLQITLELVSWKTVSDVTSDKKVIKKILKEGEGYERPNEGAIVKLKLIGKLQDGTVFFEKGHDEEEKLFEFKTDEEQVIDGLDKAVLTMKKGEVALLIIAPEYAFDSSQSQQELAVPPNSTVYYEVELVSYEKEKESWDMNTQEKIEAAGKKKEEGNVLFKAGKYERASKRYDKAAKYIEYDSSFSEEEKKLSKTLKIASYLNNAACKLKLKEYKDAEKLCTKVLDIESTNVKALYRRAQASMQLTDLDLAEIDIKKALEVDPDNREVKLEYKNLKQMVKEYNNKQAKFYGNMFGLRKA >RHN49948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2553570:2557476:-1 gene:gene34185 transcript:rna34185 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGDVFYVNWNEALPGATAVVSTLRSFGSEEQMSKINSEANVGCKCCKRIWNLSLLMLKMIWIWLGAALLQMC >RHN66075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8355892:8356676:-1 gene:gene13987 transcript:rna13987 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNPTNPFPFPSSQSNFNIPSKNYAHTMTIGTPEISQNSFEEKEATIELHVQGLYKLYIQPFQGLPPLSMEKGFIVSPKFIYKLKFPLFYLRKNPNFFSFCVSQKLAKLPISPSLVAYIEPHIVQNAIEVGNRSQDMEFKIVFDVKVVEIDFADIVECDGYRRRGLV >RHN73938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21835290:21842111:-1 gene:gene9838 transcript:rna9838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif 2, nucleotide-binding alpha-beta plait domain-containing protein MKQSFDPSFIAPSKIPPINTAGKLRHGALEVSSGSDSYHASSDASLFSSSLPVLPHEKLNLNETENGFQSVDDISTNFKKHHQEAELNGSLDNGNNHAIGTMLPDDDEELLAGIMDDFDLRGLPGSLEDLEEYDLFDSSGGLELETDPQESLSVGISKLSLSDSSVGNSMPPYSLPNGVGGGAVAGEHPYGEHPSRTLFVRNINSNVEDTELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDINQGTLVVFNLDPSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEYYDVRAAEAALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQELDQDESRSFRYQVGSPLAGSPPGNWLQFNSPIEQSSLPNLNHSPGSKIMSPTTSNHLPGLASILQPQLSNTVKAATIGQDIGRSSHGDHIFPNKISSAGSNFNSHSLPEPKFSPYRGALSSFGASTSNGSSVETLTAPQFLWGSPNLSSEPTKPSAWPRPSVGHQLSTSNGTSHAFPYSSQNNSFVSSSQQQHHHHHVGSAPSGLPFERHFGFFPKSSETSLMNNVGYRGMGLGHNDGNYMLNSGISGNVGISIPRNMPDNGSSNFRMRSSPILSPVFLGNGPYPGLLPTAMESFTDRVRSRWIDNNGSQVDNKKLFQLDLDKIRSGEDTRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFLYLPIDFKNKCNVGYAFINMLSPSLIIPFYETFHGKKWEKFNSEKVASLAYARIQGKNALVNHFQNSSLMNEDKRCRPIVFHSDGSEVADQIVQEHLPSNSNNMYIQAVKSSELQLSDSFGYFPKDGPPMSLNAN >RHN77855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9955228:9960817:1 gene:gene1355 transcript:rna1355 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFSFTSQSYKSFPAYPKSDFDLESAIPTTTIKRTRKPKNSPIFHLFKMLKKFHHFFKIHPFVAILLLSLSLGITLFVFLSYNATQLNNYAKTDLGFDDYPFSKLRNLVMVAGHSVYMSSGCGKIEKEDSWYLESYQKNPGQAATFVKHIEEGVGIVDKDEEGLLLFSGGETRKDAGPRSEAQSYWAVADFKGWFGKEESVKWRALTEEHARDSFENLLFSVCRFRELTGTYPQNITVVSYDFKEDRFANLHRSAIGFPESRFFYAGTPATSNAKEAALKGEELVRAQFSRDPYGCRGSLYHKKLKRDPFHRSIPYPNGCPEIEPLFRYCGPAPYPGALPWA >RHN72966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12223867:12224715:1 gene:gene8735 transcript:rna8735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L7/L12 MSRNSTRFIKLIRTLSTEASPISKPERIANELLTLNRFERHDFTILWRLKMGLDRYGSPVAAPGPLGPTSSGPTSGTTTAAAEEKTAFDIKLEKYDAAAKIKIIKEVRSFTDLGLKDAKDLVEKAPCVLKKGVTKEEGGSIIDKLKELGATVVQFLFHGIVSARCLMKCARVVAVIICPVWINNLFCSLYHISFYA >RHN65167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64659596:64659919:1 gene:gene28075 transcript:rna28075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor EF1B, gamma chain MLVIGSEPPFKVKGLWLFRGQEIPKFVMDECYDMELYEWTKVDISDEAQKERVGQMIEDFEPFEGNLCWMPSASSEFLFVDKSEIARICLCSFLIASFLSQFHGRTS >RHN64638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60653792:60659506:1 gene:gene27471 transcript:rna27471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-Extensin family MEVVLAFLLQLVKLCITIGFVVATQGSPGLIIFPSPANLHGYPFPIETPGSSHQRDSHRTIAPSSPSISNGPFSHPPIGSSPPYEVVPSPSTVQGNVTPPKKAPAVRPPVSTVTAPGSVPSPLSTPSRIIHPVKGGAPVAAPLYKTPKPLPAKIHSPVLTPSVSNYKHHHKRNIITVPAPAPSYIVSPPISKPRDRAIPPSLSPKTGGQRHHVPLPWKSVSPLSSPIHSNKSQFAPAPSPSIKFGSHPTKISPSGSSSKSPKTLPPPPPNEDCLSTACTEPYVTSPLGAPCRCVWPLRVGLRLSISLYTFFPLVSELASELASGIFMKQSQVRIMGANAANQQPDKTVVIIDLVPLGEKFDNTTAFFTSDMFWHKKVVIKASYFGYYDVLYVNYPGLPPSPPLPPSSITVIDGGPYTTYGKNGSTIKPFGVDIQKKQNRGDLGKGFIVIIAFSVFVAVVLCTAAVWFMFKFRVHVSQRASIPRPSPPSLTKASVTATGSLIGDGRVGSVSSSFEHGIPAYKGSARTFSMDDIEKATDNFHASRILGEGGFGLVYSGVLGDGTKVAVKVLKSKDHQGDREFLAEVEMLSRLHHRNLIKLIGICAEEDSFRCLVYELIPNGSLESHLHGVEWEKRALDWGARMKIALGAARGLSYLHEDSSPCVIHRDFKSSNILLEDDFTPKISDFGLAQTATDEESRHTSMRVVGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPIDMSQAPCQENLVAWACPFLTSREGLEAIIDPSIGLDVPFDSVAKVAAIASMCVQQEVSNRPFMSEVVQALKLVCNETEEAKKDGGSRSFSQEHEDLSVVDIERGLSASELFGSSSRIERVEHEKSRRKSYSGPMGNGRSKQLWQIMRKLSGGIVSEHGTI >RHN71786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2608450:2611672:1 gene:gene7421 transcript:rna7421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-linalool synthase MDVNYVKQALILKEAKQAYKKLLASDEDPMKSLCMIDIIQRLGIEHHFAEEIEAALQNQHKMFSRNAIDFVHSHELHEVALTFRLLRQGGHYVKSDIFDSLMFNKRNFSEKFGEDVKSLIAMYEASQLSIEGEDDLDDIGHLSSELLHGWLSSHQNHSDALYVTNTLRYPLHYGLSRLMEKSIFLSYLKATNEWTCLEELAKINSSIVRFMNQNEIIEVSKWWKDLEMAKEPKFAHYQPLKWYMWPMVCFTDPSFSDQRIELTKVISLVYVIDDIFDVYGTLDQLTLFVDLVTRWKLADTEELPDFVKICLSFIYKITNDFAEKVYKKHGLNPIKTLKKSWIRYLNSALKDAHWLNSGHLPKADEYLNNGIVSPGVHVVLVHAFFLFDHIQDVTTETIAILDDGCPNTMYSVAKILRLSDDLEGAKSVDQNGLDGSYLDCYMNEHQEISAQEAQRHVANMISNEWKRLNQEIITPNPIFSSSFTKFCLNVARMVPLMYHYQSNPSLSNLQDLVKSLVNVN >RHN42080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35268108:35268484:1 gene:gene48474 transcript:rna48474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone H5 MATEEPIVAVEPVPEPIITVEPPALEKDQFEPKVAEAEKKTKKVAKESKPKKASKPRNPASHPTYEEMIKDAIVSLKDRTGSSQYAIAKFN >RHN82583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54942104:54943066:-1 gene:gene6756 transcript:rna6756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative biotin synthase MSCFYSSGSHMISVTFVEKDGEEKLIKVPIGMSMLEAAHVNDIELEGACESSLACSTCHVIVKDVEYYNKLEDATDEENDMLDLAFGLCETSRLGCQVIATPKLDGIRLALPSATRNFAVDGYVPKPH >RHN73856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20693729:20694591:1 gene:gene9739 transcript:rna9739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MANLNYYPHFFIITLIMFTTSTTCSNTTTNPINNNSTTTSSTPPLAMQEMNNILDALIGSGDTSINKWVSILSISHPSLSLTLFIPQQPLPSSSTLNPFTFPYHIIPQRLVYADLLLLPRYSRIPTLLPGKTITVTDNFPGNFTLDDVLLTQPDLYNTSSLAVHGVQRLLDYSTFGDASMMPNSPPFMPVGETWDSVDSSPCAAFNVFLLVISFVLHQLVFFDTC >RHN39417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6970058:6975706:1 gene:gene45438 transcript:rna45438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative toll-like receptor, P-loop containing nucleoside triphosphate hydrolase MKNCESSSSISLLVFLTFLVISEPRGVGSSSSIIPKPISNKYYYPTCPVAFSHPSSNSIPEIKHDVFVSFRGEDTRRNFLSHVLVAFSRKQINVFSDKKLEEGDEISPKLNEAIEKSFIHLVIFSPNFSYSHWCLDELVKIVDCRAKYDRILLPVFYQVDPTEVRHQTGAYKDAFVQLGQNFSSDKVEKWRHALTKSANISGFHSIHFPDDAKLVEKTVESVLMKLKQVEGKLKGLVGIEKQISLIESSLHLELQDVRVLRIWGRAGIGKTTLAEEVYRRLHSKYDSFCFMANVREESERSGTNNLSLRKKLLSTLLEEENTKDDLINELQPLFNKRLRRMKVLIVLDDVKDAEQLEVLVGTLDWFGPGSRIIITTGVTEVLVGKTNDTYKVQPLDSVESLLLFNLHAFAKHKHNEMEYRELSERMVDLAEGVPQVLTTLGKYLCGKDKAIWESLARNIKIGQTRTVHDVSRLMYTNLDYHEKNIFLDIACFFDGMKMKLDIIKLLLKDPHYSVSNKLDKLKNEGLVTISQQSIVSMDDITQETAWEIVRNESFEEPGNRSRLSDPNDIYNVLKDDKGGESIRSMAIRLSEIKELQLSPQVFAKTSKLKFLDIYTKRSQNEGSLSLPGGLEFLPNELRYLRWEYYPLKSLPSMFSAENLITLCLPYSRLNKLWHGEKDLVNLNDLILHSSTLLTELPDLSKATSLAVMDLQFCVGLTSVHPSVFSLKKLKKLDLSGCISLTSLQSNTQLISLSDLSLYNCTSLKEFSVTSKNMKKLNLERTSIKQVPSSIGLQTKLEKLHLGHTHIESLPKSIKNLTRLRHLDLHHCRKLQTLPELPPSIETLDAGGCVSLENVEFRSTASEQLQEKRKRVTFWNCLKINEPSLKAIELNAKINMMNFSHQHISTCDLDHDHDRNQGMYVYPGSEIPEWLEYSASATRHDYIAIDLSSSPYFSKLGFIFGFIIPTISSEGSILKFKISDGEDEGIKVYLDRPHHGIESDHVYLLYDPRCSHYLARRVNNQLKIKIQVSAFSRTLTSRYMPVQLRGFGVSLVTPSDYDKFKQKLEFGDGSVVPENSMCSVEERSMLL >RHN51081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13784346:13789066:1 gene:gene35456 transcript:rna35456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MYMCRFLRTKNKHFNKILTENTGFQALIQYETRQSAATARGALQGRNVYDGYCQLDIQFSNIIDEPRSRDFTNLNLATEQKGRSSQSGYGDARMYGGIPGSDPHRWMCNAQAIETAFGGDLPPGITGTNERCTILVTNLNPDRIDEHKLFNLFSLYGNIVRIKRLRSKPDHALVQMGDGFQAELAVHFLKVMWFSNIEFQSYFLDAGIIHVYVKSSLNRFNQNVAKNYRYCCVPTKIIHMSTLPEHIIEEDIASLLQEHGTYDVMHFGKEQRNYIFVRIKGFRILEG >RHN53560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2547963:2558613:1 gene:gene28479 transcript:rna28479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 SUMO protein ligase METNTSSPLSTLPESGAMTATANPVSPSLVNLYRITKVLERLATHFVPGNRSDAFEFFNLCLSLSRGIDYALANGEVPLKANELPILMKQMYQRKTDDHSQAAVMVLMISVKNACEIGWFRENDSKELLNIADKIGKTYCTLGNAIAEPNSCHPAVLTIMQRYYPNMELGPIIVSIEAKPGYGASAVDFHITKNNVHSDKKIWLLVAQIDNIEKSACLISPQQVNILLNGKGIHTRTNFRMDPGPQMPTCVTGILKFGTNLLQAVGQFDGHYIILVAYMSVGSLPAHPVLPPDYVQPAVSVDADSDIIEGESKISLNCPISRTRIKTPVKGHSCKHFQCFDFDNFIKINFKRPSWRCPHCTRPVCYTDIRLDRNMIEVLEKVGENIVEVTFEADGSWKVGSENDHDVNKIQNKAYDCEMEQTEQQESTCPPDTVSNIVDLTNNDNDLDIMCTYETADRKPFQASAPTGVQIEEDFWAGLYIANGGSGTPTAVVEIPELADAVSPVFNQEAEGHDNVPAMHNQFLGQSNLTLMNYMNSNEYGRSSSAARLIHRTPTAIQALPVQSQTLGPQQNPATNLDSLITSNPSAAPHVSLSNPASADPYNAILSDAERQQLFSRSALNVPPVLAATQNRVPTINIAAPTHNRVLPVNMSAATHNRAPSQLHNPPYRTDMLNDFRNSHLQQTLNAHAHQPMQPSNAQRSHIQQGGSQAYAAGATASSQQARVMASSHVARQGEQRGPPVQAVSSTDELFNSQPDQNWRPTRMRGSLSGQQLTEDVRQRLIMPSSHQVQSSRPQGPQPGRPLDALIANNRNAQNPSRP >RHN69307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42457979:42463872:1 gene:gene17756 transcript:rna17756 gene_biotype:protein_coding transcript_biotype:protein_coding MATPISDSGTVVFIDTNLDTHLALTVSDHDTVSDLKKLIVSEHASCFPKIGQIQIHGIKVKRNGHFYHLSDSMVVRSAFIGVNKSWFLSVDVSALEDSRPNEKLLPHGSLRQVESIGIVNNALVGSGGDNNGIILPCNSQFQLLENKKDKREGVRVVSPCVSEHTAKDGVANLENGVKLLGDDDTAIPLLGSNSKTDDRRHLNNEVPSLPMECEVDGLDKGNKDDGNVGVEESLMSVQSGKRKRQSKRKREDTAGGDNSKKETPSDRLRVKNLEMVPSLNIECEVDGPGGGNKDDRNVCEEPPSISVPSAKRRKKSTKKKEATVVGDRSKEGIPSDHPCVSEHTEKEAVKNLEVVQTLHIECEVDGSSERNKDDHNVCEEVPLISVPSAKRKSKSKKNKKDTVGGDNSKDNVAAVDNPVDCPSEKASSFNNFPVPQSRNKQDDKEVPFDLPLVSHNTEKEAVRNLEMVQNSHIECEVDDGSNKGTKDDSIVCEEGTSNPTPSAKKKKSKSKKKKEDTVGGDISKDNIVSVDNPLGCPSERASSFNNFQVSQSKNTTDEKEEILFDHACVPEYTEKAVTNLEMVPNSHTQSEVDGSDKGIKGDSMVCEKGTSKSVQSAKKKHKSVRKKEDTVQDDTNDASVAVPVQKRIVVLAVSAENADKEVTKVLKENNESNDNNTVNDVNAVTMKEASELKSPLKKKPKKRKRSPTDSKETLKGDTTSQKDEAQMADGAHEERKESEDIIINKTNLDKMEIGAVAYKESIQSTTKETGNNNEQGDIEMEVQPSDVNEPKDLLEDNENVLGSHCHESEVGQIEGAREGEVSPQNDDVNRMKEPVKPAKEQKGVKKGKNKGGGHTLRVDTGLVDASHSEAVVAKSLKETICDPLENAATQGSLLNQTEEEGMVLLQEEIPVLSVTDKGGDFSADNADSLEQTKTKSNDENVDELVSKRLKKKPNNKQSSTSKSTSDMLTNGHAFDSKKERDVHRIDKAPNAHKTRQVTNFSSPSNSAMSSVVENRKSRDNASGKSMDLEKQRKHIPISNAKLEGYNKMVQNKARKASGNNVMEVVSKSQQKKSLLEGATIFKDDSSSSSDDEGQEKVDNSDASTRTPSDNSHANYLDGYDSPGVDSRQNGSYDGERLENDERSPFKAGLSGTTKMSIDDVVRRSTRYKQARMTASQLDDTEVPESEGL >RHN47849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43006420:43007946:1 gene:gene42495 transcript:rna42495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTQSITIDPSSFVVCQKSA >RHN61002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32206362:32209834:-1 gene:gene23409 transcript:rna23409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MHIKMIVTVPLSKALFAAFLFVTTFLLTSPGFVLATSQHGSVTRHYKFDIRLANVTRLCHTKSMVTVNGKFPGPRIVVREGDRLLVKVVNHVPNNISLHWHGVRQLRSGWSDGPSYITQCPIQTGQSYVYNFTIVGQRGTLFWHAHFSWLRATVYGPLILLPRHNESYPFQKPYKEVPILFGEWWNADPEAVIAQALQTGGGPNVSDAYTINGFPGPLYNCSKDTYKLKVKPGKTYLLRLINAALNDELFFSIANHTLIIVEADASYIKPFESNTIILGPGQTTNVLLKTKPNYPNSTFYMLARPYFTGQGTFDNSTVAGILEYTKPNDQTNLNLPILKPVLPVINDTNFVANFSNKFLSLNSPKYPANVPKTIDKNFFFIVGLGTSPCPKNQTCQGPNNSSKFAASMNNVSFALPSIALLQQHFFGANNGFTTDFPVVPLRPFNYTGTPPNNTLVSNGTKTVVIPYNTRVQVILQDTSILGAESHPLHLHGFNFFVVGQGFGNFNASSDPAKFNLVDPVERNTVAVPSGGWVAIRFLADNPGVWLMHCHFDVHLSWGLRMAWIVEDGKLPDQKLPPPPKDLPKC >RHN41523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30753665:30755069:1 gene:gene47856 transcript:rna47856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MTVYKKKTRLLLMFGGVVVVGVGAIAAYALLWRKTKRRKKEKEEAMSLTSMNDDLERGAGPRRFTYKELNLATNNFSRDRKLGQGGFGAVYKGNFFDPDLPVAVKKISKGSRQGKKEYVTEVKVISPLRHRNLVKLLGWCHEKGEFLLVYEFMPNGSLDSHLFGKRIPLSWSLRHKIALELASGVLYLHEEWEKCVVHRDIKSSNVMLDSSFNVKLGDFGLAKLIDHELGPQTTVIAGTLGYLAPEYISTGKASKESDVYSFGVVVLEITTGRKAVEVMEDKDGDKGLIEWVWDHYGREEILVTMDENLRKDFDEKQVECLLIVGLWCVHPDVSLRPSIRQAIQVLNFEVDMPNLPPKRPVATYHAPPPLLSSVKTSITTSLQDGR >RHN67599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28529159:28531554:1 gene:gene15804 transcript:rna15804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MATIATFFNKFYYVLILIVHLGCFMFTVAAATAGKQDNRQLLPSKKRKISPLSQPSSPSHPKFKTHKTLSSSWHFIKHLFCKNSSKTVTTTPTTQSSPQSTITNTVKASTQSLISLVQPDPSFQDPPRKKQSESDISADNNNLFFPLRNDIFPCTACGEIFQKPNLLENHQSANHAVSELIGSDPGHNIVQIIFKSGWPETNTFPNIHRIMKIHNSQKILSKFEEYRETVKIKAARGVTRRRDERCIADGNELMRFHCSTFLCELGHNGDSSICSQQFCNICGIIKSGFSPKLDGISTLSTSWRAHVSIPDDIEREFKFMNVKRAMLVCRVIAGRVGSDLDDEVDKTDGGFDSVMARGESGVYTRLDEEELVVFNPRAVLPCFVIVYSV >RHN40901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24094954:24095325:1 gene:gene47146 transcript:rna47146 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRRRHSCRYFVPKHIRKIRAKIGEPEVLLQNGTICTQRHMHAPIGIRHFGRHFWRQVGKRTVEVAFLVTPDASIYNYMSGLPTPTALDAVASTVHLKMKYHNGNGKVVTIHADLGGTQRLC >RHN65927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6587720:6588359:1 gene:gene13823 transcript:rna13823 gene_biotype:protein_coding transcript_biotype:protein_coding MMMICVVVWVDLRFCCCYAIRICSDTDPDLCCWVRICVVAVFVVIVCFWK >RHN82697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55766828:55767669:-1 gene:gene6878 transcript:rna6878 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKVMKDKKFWFASFLITWAAALQGHMMWLQRQDSFKQKFPDLENDQTTNQ >RHN50487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7538242:7539155:-1 gene:gene34770 transcript:rna34770 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQMKQSSAPPTYYFHHQLQYHLHSIPKFNIFFHQILIQNHSSIKHRRYQHTFDVKK >RHN58637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3507683:3508096:-1 gene:gene20564 transcript:rna20564 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVLLLIKCLTFTLLSNVGHITHTCTQQHSASSVSPSLYREPLVVCTVVAAFCATDQRPRSYRSSNRGSDTTVGSLGELRRPSTSRLEQPHKQERHHTLTQNLKAVGLWVLLLIRCSTFTLSSDAGHITHTCTQAT >RHN73600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18037340:18042910:-1 gene:gene9429 transcript:rna9429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAMQSPSSSSSLSYGFSFDVFISFRGTDTRYGFTGNLYKALSDKGIRTFIDDKELQRGDEITPSLLKSIEDSRIAIIVFSKDYASSSFCLDELVHIIQCSNEKGTTVIPVFYGTEPSQVRHQNDSYGEALAKHEEGFQNSKENMERLLKWKKALNQAANLSGHHFNQGNEYERDFIEKIVTDTSNKINHVPLHVADYLIGLKSRISEVNSLLDLEYNDGVCIIGILGTGGMGKTTLAQAVYNLVANQFQCKCFLHNVRENSVKHGLEYLQEQLLSKSIGFVTKFGHVNEGIPIIKQRLCQKKVLLILDDIDKLKQLQVLVGEPGWLGRGSRVIITTRDKHLLTCHGIKTIYEVDGLNEEEALELLRWKAFKGNEIDSSYNYILNRVVKYAAGLPLALEVVGSNLFGKCLADWKCALDKYERIPPEDIQNILKISFDALDEEQKSVFLDIACCFKEHVLAYVEEMLHGHYGHCIKSHLEVLVDKSLIKINTKYYWGSHDVYVTVHDLIEDMGKEIVRQESPKEPGERSRLWCYNDIVHVLQENTGTSKIEMIYTNFDSMKSVTVWNGKAFKKMKKLKTLIIENDHFSEGPKYLPNSLRVLKWKGCPSKSLSSCFSNKKFENMKVLTFNRCQYLMKIPDVSGLQSLKEFSFEECNNLITIHDSIGYLNKLEILNAQGCSKLESFPPLQLASLKKLELSRCRNLKSFPELLCKMTKIEHIWLADSSIGELPFSFQNLSAVYALTIYDCKILRLSSDIFMMPNLSDINASGCCGLLLPKHNDIISSTMSSNVDYLELVDCNLSDECLPLVLKWCANVKHLDLSKNNFKNFPKCLNECHLLRVLKLDGCEYLEEIKGIPPNLEKISAIECKSLISSSRRILLSQNLHEAGCTEICFPTGTEGIPDWFEHQSRGHTISFWFRKEIPSITSIIIIVGDNQFSLRVNLFVKGNKYTFSKYFGCSLFNVRSVHTHLFDLKLEENIKRWLFDQWNLVSEKAPLKNEWIRVELNLESSRNSNLSTQMGIHVFNKKTSTKEDVILTDPCRKRKFDEYLNASSPQFVEVRVSETESLQNLEHTETKEKKHHN >RHN78137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12200560:12207883:1 gene:gene1658 transcript:rna1658 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNTTTASVAGAVVNAVAAIELTDSSTWWNDIDDSPLWQDRIFYTLAGLYGVVSAIALIQLVRIQLRVPEYGWTTQKVFHFLNFVVNAVRCLVFIFFRNVQRLQPEIAQHILLDVPSLAFFTTYALLVLFWAEIYYQARAVSTDGLKPSFYIINVVVYAVQIILWLILWWKPVSVLVILSKVFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFLCFLIRSVMMCFNAFDKNANLNVMDHPILNFIYYLLVEILPSSLVLFILRKLPPKRGITQYHPIR >RHN44227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2559878:2562917:1 gene:gene38319 transcript:rna38319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MWVVFLLTWGLIMGTRSGAMTSQLQMEADVIQNNGWWYTYGGGFNISNRCNWPAISCNKVGSIKAINISFALTWQTQFSTLNISVFHNLESIVFASIELQGTIPKEIGLLSKLTHLDLSNNFLGGELPPSLGNLSKLIHLDLSNNRLGGEVPPSLGNLSNLTHLDLSNNFLGGEIPPSIGNLKQLEYLHISETYIQGSIPLELGFLKNLTRLDLSKNRIKGEIPPSLGNLKKLEYLDISYNNIQGSIPHELGIIKNLVGLYLSDNRLNGSLPTSITNLTQLEELDISDNFLTGSLPYNFHQLTKLHVLLLSNNSIGGTFPISLTNLSQLQVLDISDNFLTGSLPYNFHQLTKLHVLLLSNNSIGGTFPISLTNLSQLQALDISDNLLLGTLPSKMALSSTKMALSSKQFLWPYYYDENFVDLSYNLIGGEIPSQLRYLSILNLRNNNLTGVFPQSLCNVNYVDISFNHLKGPLPNCIHNGYNTIIWNDDPYINNRSNNINYDVVIVLPILLILILAFSLLICFKLRQNSTKIKLANTTISTKNGDLFCIWNFDGKIAHDDIIKATEDFDIRYCIGTGAYGSVYKAQLPCGKVVAIKKLHGYEAEVPSFDESFRNEVRILSDIKHRHIVKLYGFCLHRRIMFLIYEYMEKGSLFSVLYDEGEAVEFNWRKRVNVIKGVAFGLSYLHHDCTPAIVHRDVSTGNILLNSEWKPSVSDFGTSRLLQYDSSNRTIVVGTIGYIAPELAYTMVVSEKCDVYSFGVVALETLMGRHPGDILSSLQLASTQGMKLCEVLDQRLPLPNNVKVLLDIIRVAVVAFGCLNLNPCARPSMKSVSQSFVIELAPLNIPLSEISVQQLMSHELKALLHIVNP >RHN50726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9834700:9837544:-1 gene:gene35050 transcript:rna35050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MTMVERNVNSFKLVSKNSLLFLFTMMSIMFLMSWFFVLRSTNRPHFIDHNLLPNSKILSTFEYSKFHTKVPNIESSFGNRAILVDVEDVEDESETNKPKDGGKCRNRNDDFLLKVFMYDLPSKFHFGLLDWKPSGNSVWPNVRTTIPGYPGGLNLQHSIEFWLTLDILASEIPNAPKAKTVIRVRNSSEADIIFVPFFSSLSYNRNSKRRPNEKITKNIMLQEELVKYVTSQEEWKRSKGKDHVIIAHHPNSMLDARMKLWPATFILSDFGRYPSSIANVEKDVIAPYKHLITSYVNDNSNFDSRTTLLYFQGAIYRKDGGYVRQELYYSLKNEKDVHFSFGSIQKDGIKKATEGMRLSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDEIELPFEDILDYSEFCIFVRTSDAIKENYLLNFIRSIGKEEWTKMWNKLKEVEHFFEYNFPSKEGDAVQMIWQAVSHKVPSMKLKLNRVRRYSMSPPSIENELKPIPVPKNFWDKDM >RHN52769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38626572:38627375:1 gene:gene37474 transcript:rna37474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase MFLNITYISFLCFFLLIIITSFPTQSHSQPVHDYSSCNYVDHSYNCGNISNIIYPFWGQNRPYRCGAGNPFYLNCHNNSITTILLSSQNFTVLDINSQIHTIKLKRTDLSQNFCSPQYNDTFLSPTIFQYLPSVKIIDIYYNCTYNVSQDLLKKSLCGSHNPSFSDSHWLFFENLDQKRCEKHIKVPVEYEHYFDYDDLKEYLDKGFEVEYSVNEGCLNCLGNEGYCSSDYLDKNVDLCYYDNCPDGSIDNNCSPLHNSMFSYLFIS >RHN42563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39347001:39354943:-1 gene:gene49016 transcript:rna49016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endothelin-converting enzyme 1 MASKSKTEKKDLLDTLGDFTSKENWDNFFTIRPDSFEWYAEWPHLRDPLISLLQTLTPPPPASLPVLVPGCGNSRLSEHLYDAGFTSITNIDFSKVVIGDMLRRNIRSRPLMRWRVMDMTAMQFEDEFFGAVVDKGGLDALMEPELGPTLGNQYLSEVKRVLKPGGKFVCLTLAESHVLDILFSKFRLGWKMSVDAIPMKSSGKPNLQTFMVVVEKELSTAVHQITSLLQNASLHCNSEQASGLREALQNENQVREKLSSSSDKLYSMENLQVELIKISQGRRVQLTLGGQGCSVFSYRAAVFDAEEQSDPFTYHCGVFIVPKIRAREWLFFSEEGQWMVVRSSKAARLIMVFLDTSHTNASMDEIQKDLSPLVKQLEPKENENGAQIPFLMASDGIKKRNIVDQITSSLTGSIIVEDVVYENVDSEVGCIFPSRELIFRRLVFERAANLVQSEALLTVEHLPTKLVGETERKKTNSSSKSKKSASQRRNDGAYNQLTVYHGYVASSYHTGIISGFTLISSYMENVASSGKMVKAVVIGLGAGLLPMFLHRCIPVLEIEAVELDPVIVDIARKHFRFVEDKRLKVHIADGIQFVRESASFGAAQSHSKSNNSSYTESPSNGSSTSSHAEDVEATKVDIIIIDVDSSDSSSGLACPAPDFLEESFLESVKDKLSEQGLFVVNLVSRSQAIKDMVLLRMKKVFSHIFCLQFDEDVNEIHFALKSASPIKDHCFSEASLKLNKLLKFNHPEIGQKIINATKQIRRLN >RHN80360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37446905:37449646:1 gene:gene4275 transcript:rna4275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plastid lipid-associated protein/fibrillin MASLNLLLHPSTSLSHPLLPFSSSCSCFFPRTNSINVLTPKGKHIKPSSLIVKAAAEADISISGSIPIPSNPFDSTSPLKFNLLSAVSGLNRGLAASEEDLQKADAAAKELEDAGGLVDLTDNLDRLQGRWKLIYSSAFSSRTLGGSRPGPPIGRLLPITLGQVFQRIDILSKDFDNIVDLQLGAPWPLPPLEVTATLAHKFELVGSSKIKIIFEKTTVKTTGTFSQLPPLDLPQIPDALRPQSNRGSGDFEVTYLDADTRVTRGDRGELRVFVIA >RHN59707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12688217:12692341:1 gene:gene21807 transcript:rna21807 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta'' MEVLMAERANLVFHNNVIGGTAIKRLISRLIDHFGMAYTSHILDQVKTLGFRQATATSISLGIDDLLTIPSKGWLVQDAEQQSSILEKHNHYGNVHAVEKLRQSIEIWYATSEYLRQEMNPNFRMTDPFNPVHIMSFSGARGNASQVHQLVGMRGLMSDPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTSDAGYLTRRLVEVVQHIVVRRTDCGTIRGISVNTRNGMIPERILIQTLIGRVVADDIYIGSRCIVVRNQDIGIGLINRFITFQTQPIFIRTPFTCRNTSWICRLCYGRSPIHGDLVELGEAVGIIAGQSIGEPGTQLTLRTFHTGGVFTGGTAEYARAPSNGKIKFNEDLVHPTRTRHGYPAFICNIDLYVTVESDDIIHNVIIPPKSFLLVQNDQYVKSEQVIAEIRAGTSTFNLKERVRKHIYSDSEGEMHWSTDVYHASEFLYSNVHILPKTSHLWILSGKSCRSDTIHFLLRKDQDQINIDSLSTGKRNISNLLVSNDEVKLKLLSLKTFGTKEKGISNYSILNQMICTDHSHLMYPAIFHDTFYLLAKRRRNRFLIPFQSIQERKNELMRPFGVSIEIPINGIFHRNSIFAYFDDPQYRRQNSGITKYRTVGIHSVFQKEDFIEYRGIKELKPKYQIKVDRFFFIPEEVHILPESSSIMVRNNSLVGIGTPITFNIRSRVGGLVRLEKKKKKIELKLFSGNIHFPGERDKISRHSSILIPPGTVKKKRKESKKINNWIYVQWIATTKKKYFVLVRPVILYEIPDSIDFIKLFPQDLFQERDNLELKVVNYILYGNGKSIRGISDTRIQLVRTCLVLNWDQGKKSPSIEEAPSSFVEVSTNGLIEYFLRIDLVKSNTAYIRKRNDPSGLGLIADNESDRININPFFSIHYKAKIKKSLSQNHGTIRMLLNRNKECRSWIILSSSNCFQIRPFNNVKYHNGIKKNPKIPINNNNSLGPLGIARQVGNFYSLYHLITHNQISIMKNFQLDKITEIFQVIKYYLMDENDKIFKPDLDSNIVLNPFHLNWYFLHHYYCEKTFTIISLGQFICENICIAKMKNGPHLKLKSGQVITVQMDSVIIRSANPYLATPEATIHGHYGEILYQGDILVTFIYEKSRSSDITQGLPKVEQILEIRSIDSISMNLEKRIDAWNECITRILGIPWGFLIGAELTIAQSRISLVNKIQKVYRSQGVHIHNRHIEIIVRQITSKVLVSEDGMSNVFLPGELIGLLRAERTGRALEEAICYRALLLGITKTSLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGMIPVGTGFKRIMHRSRSRQYNKITLKKKKLFEVEIRNLLFHHRNLFDSFHFK >RHN48219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45883065:45888002:-1 gene:gene42909 transcript:rna42909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MGLRDIGASLPPGFRFYPSDEELVCHYLYKKITNEEVLKGTLIEIDLHICEPWQLPEVAKLNANEWYFFSFRDRKYATGFRTNRATISGYWKATGKDRMVLDPITQEVVGMRKTLVFYRNRAPNGIKTGWIMHEFRLETPHMPPKEDWVLCRVFHKSKEENNNNNNSSKLNTQQFMYETTPPSLTLMSSSPTNYQTIPSGYNKLDSFSSPMTTLHHLNPNQNNSSMMNLLQYSRETNPNDNSTVTQISSKGDDGYGFLWNMDLEENSLEDGVASNLDAIRFEVDDDNVVLL >RHN75447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42387113:42387713:1 gene:gene11658 transcript:rna11658 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKCYLNLLFFVILVFQTKIFPKHVDSKEVSPRTRLSDGRHLAYLERGFSEDKANYKMKRISDYLKLLTFIPQAHQTQQICKSFCHTVVHEGTQISPKHDDSKEVLPRIRLRDGRHLACSERGFPMAKATYKINIVHGFGNTKRLHFPAPQVISSISLSEK >RHN66170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9441631:9443568:-1 gene:gene14099 transcript:rna14099 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MaT5 MSTIPFILKVIEHCKITPPINTTQTVSSLPLTFFDIPWLLFSPSQPLFFYEFPHSISHFTTTIVPKLKQSLSLTLQHYFPFSGTFVPSLDLTEPQLEFTLNNSVSFTVAESNSDFEHLCSDYSRDVNEFHPLVPKLQQIFSFEVKEFPLLAIQITSFPNYGFSIGLAFHHVVADGRTFHNFIKTWSSYCSSSTFEDSSSLIKSLPLYDRSVIIDTNDLHEVFLKDWRKRRLVLNAKDSRESKVDSSNMTRATFLMSLTQMEKIKKIIVESCKEKKTSQPLHLSSYVLTSAFLWICLLKTQQEFINEKVVCEDVTHFGFIAGGITRLEYQVPKNYFGNCVGFGRVSLTKKDLLGEDGIVVAAKEIGSTIKKLDASIFGEGKKWILDWEMLHGSEEHVHVTWSPKLKLYELNFGWGRPKKIEEVSIDFTRGVSFVESRDFEGGIEIGLALPKSKMDIFTFFFKNGLEDLP >RHN68737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38164251:38166498:1 gene:gene17125 transcript:rna17125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MASSSSYNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKILNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVQRLQKELDSANADLLRFAYNEISPNSSLPPLPPLVVPASFHQSQRQFSSRFGNGNVDGNGFYSSFPYAIPWINDTSSEDISGGVGGRGGGVGGGNL >RHN57659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40862688:40866380:1 gene:gene33237 transcript:rna33237 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-hydroxyphenylpyruvate dioxygenase MKVECGGCFSNSIFLKTFQQTIESRVFLLHFPYFFICCLYPMKLSSSSPFFPSSSSIHSHTPFLPRNTQNSNHAATPLLSSNHIPPRFTSPQHKNTNTLTNSNNNTKTNTKTTISMAIETETQTQTQTGFKLVGFKNFVRANPKSDRFNVKRFHHVEFWCTDATNTARRFSHGLGMPIVAKSDLSTGNLTHASYLLRSGDLNFLFSAAYSPSISLSSPSSTAAIPTFSASTCFSFSASHGLAVRAVAVEVEDAEVAFTTSVNLGAIPSSPPVILENNVKLAEVHLYGDVVLRYVSYNDLNPNQNPNLFFLPGFERVSDESSNSSLDFGIRRLDHAVGNVPELSSAVKYVKQFTGFHEFAEFTAEDVGTSESGLNSVVLANNEETVLLPMNEPVYGTKRKSQIETYLEHNEGAGLQHLALMSADIFRTLREMRKRSGVGGFEFMPSPPVTYYRNLKNRVGDVLSDEQIKECEELGILVDRDDQGTLLQIFTKPIGDRPTIFIEIIQRVGCMLKDEEGKEYQKGGCGGFGKGNFSELFKSIEEYEKTLETRRTA >RHN41099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26970816:26972042:1 gene:gene47388 transcript:rna47388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MFDSPTHDLRGTVSPNLGNLSFLVILDLKNNSFGGQLPTELCSLCRLKVLDFSYNEFVGGIPNALGDLSQLQYLYLGANNFSGFLPQSIGNLRRLKVLKIGNNKLSRLIPQTISNMSSLEYLGLPFNYFSGGK >RHN51581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20174928:20175649:-1 gene:gene36045 transcript:rna36045 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSWLFLYPHQHLDKFHGKICSGQHRHQGFHLSPEMTNV >RHN64047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56144960:56145672:1 gene:gene26824 transcript:rna26824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MEVEVKLRLANAEAHRQVTALLSPFHVITHHQHNHFFDGAASELSSRRATLRFRFYNDDERCVVSLKAKGVLVNGVRRVEEDEEDLDPKIGRDCVDEPGKLLGFVDSRIMGRVKEEFGVVGKNGFVGLGDFRNVRNVYEWKGLKLEVDETGFDFGTLFEIECESSDPEEAKRILEEFLKENEIDYSYSVASKFSIFRSGKLP >RHN57366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38399447:38403694:1 gene:gene32896 transcript:rna32896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LysM family MLKILSYLLSYTNLFINTNTKTSIFSSSLQKPFVIFNSMKISFSFIVLILLIASTESKCNEGCSLALASYTLNHVSNLTYISNIMKSNVLSKPQDIIINNDKNKRANVPFPCNCINGEFLAYTFLYELQPGETYTSVAEESFSNLTTDVWMQNFNVYRPTNIPDFAMIKVTVNCSCGNKEVSMDYGLFITYPLRSEDTLESIAKGAEIEAELLQRYNPGVNFSKGSGLVFIPGKDQNGSYLPLHPSTVGLGTVAITGISVGVLAALLLLLFFVYIKYYLKKKNKKTWEKNLILDDSKMKSAQIGTNIASIMVEKSEEFSYKELSIATNNFSMANKIGEGGFGEVFYAELRGQKAAIKKMKMKASKEFCAELKVLTLVHHLNLVGLIGYCVEGFLFLVYEYIDNGNLSQNLHDSEREPLSWSTRMQIALDSARGLEYIHEHTVPVYIHRDIKSENILLDKSFCAKVADFGLSKLADVGNSTSSTIVAEGTFGYMPPEYACGSVSSSPKVDVYAFGVVLYELISAKAAVINDGPQVTGLVAVFDEVFGYDQDPTEGIKNLVDPRLGDNYSIDSVCKMAQLAKACTMRDPQLRPSMRSIVVALMTLTSTTEDWNISSFYENPAFLNLMSGK >RHN62627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44785411:44793283:1 gene:gene25222 transcript:rna25222 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVLLLQRLGTSGSSVFSLRLKFPIPNPYTLFSSLELPIVSPTEARVFSKRRVEIPPSRDRWFIQFISCTIHIENFMPEIRRSLTGQP >RHN82075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51097414:51099190:-1 gene:gene6194 transcript:rna6194 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPKFSPLFWILFSSYVIFLMNYPVKCDDDEKDNLYQGINKYRASLNLKSLTKNKNADCLADKIADQFKNQPCTNTTGANTVPGTEPQFSNYPNLLAKCQLAISDTRDGAVMPACVPGLASGLVLANFTKSLYSQNLNDTQYTGIGIGSEDNWIVVVLTTDTPTGNFAPYSSNSANLISKVGMIYCSILLLAGKIFLL >RHN53313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:894103:895368:-1 gene:gene28206 transcript:rna28206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lactoylglutathione lyase MAGVCLNHISRESNDINRLAKFYQEIFGFEEVESPKFGEFKVVWLRVPSSSLYLHLIERNPSNNLPEGPWSATSPVKDPSHLPRGHHLCFSVSNFQSFLQTLKDKGIETFEKSLPNGKIKQVFFFDPDGNGLEVASKEDS >RHN55965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26128423:26133726:1 gene:gene31265 transcript:rna31265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative selenoprotein SelK/SelG MKSFLVLILLNTGTVKSKRSIWRLKTITDFFWAIVNIIGVFFATMFSMEKSDAYRKGSAGKKYGGGGPGGGGGGGGGPYGGGPRGPPRGGLDNVRGLDSLRGRDHSSLPACGSCCG >RHN41346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29320093:29323967:1 gene:gene47656 transcript:rna47656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MMLVIPSTDQMNLLKDLNFFSNVQDDMLIAKDEIFGPVQTIFKFKVFTKNIDTTNTLTRALRVGAVWINCFHTFDTAIPFGRYKMSGHRANLKIFGVLDLCPFNYSNIFVFFVAQDSFIIKVL >RHN57354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38328116:38331998:1 gene:gene32883 transcript:rna32883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKMSSVVVCTIKLVGTIFVVLQFDLLFSNYSGVVAVAAKHVACIQKERHALLELKASFVLDDSNLLQSWDSKSDGCCAWEGIGCSNQTGHVEMLDLNGDQVIPFRGKINRSVIDLQNLKYLNLSFNRMSNDNFPELFGSLRNLRFLDLQSSFRGGRIPNDLARLLHLQYLDLSWNGLKGTIPHQFGNLSHLQHLDLSSNYGVAGTIPHQLGNLSHLHYLDLSSNFLVGTIPHQLGSLSNLQELHLEYNEGLKVQDQNNHAGGEWLSNLTLLTHLDLSGVPNLKSSHMWMQMIGKLPKIQELKLSGCDLSDLYLRSISRSPLNFSTSLAILDLSSNTFSSSNIFEWVFNATTNLIELDLCDNFFEVTISYDFGNTRNHLEKLDLSGTDLQGGTSLESFSDICSLQSMHLDYSNLNEDISTILRKLSGCARYSLQDLSLHDNQITGTFPDLSIFPSLKTIDLSTNKLNGKVPHGIPKSSESLIPESNSIEGGIPESFGNLCPLRSLDLSSNKLNEDLSVILHNISFGCAKYSLQQLNFARNKITGMVPDMSGFSSLESLLLSDNLLNGNILKNYTFPYQLERLYLDSNKLEGVITDSHFGNMSKLMDVDLSHNSLVLKFSEDWVPSFQLYGMFLRSCILGPRFPKWLQSQKHLQVLDISDAGSSDVVPVWFWTQTTNLTSMNVSYNNLTGTIPNLPIRLNECCQVILDSNQFEGSIPSFFRRAEFLQMSKNKLSETHLFLCSNSTIDKLRILDLSMNQLSRKLHDCWSHLKALEFLDLSDNTLCGEVPSSMGSLLEFKVLILRNNSFYGKLPVSLKNCKNPIMLDLGDNRFTGPIPYWLGQQMQMLSLRRNQFYGSLPQSLCYLQNIELLDLSENNLSGRIFKCLKNFSAMSQNVSSTSTIDSVLFYRFRFIDNHEGLDLIVLFDEERNQLIGDIPEEIGNLIELVSLNLSSNKLTGEISSKIGRLTSLDSLDLSRNHLSGPIPPSLAQIDRVSMLNLADNNLSGRIPIGTQLQSFDASSYQGNVDLCGKPLEKICPGDEEVAHHKPETHEESSQEDKKPIYLSVTLGFITGFWGLWGSLFLSRTWRHTYVLFLNYIVDTVYVFIVLNATEFQMWLRGLLETFSFPSFTLSFIYCCQTCNHLNHEIQIYSSIC >RHN42663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40108412:40109464:1 gene:gene49139 transcript:rna49139 gene_biotype:protein_coding transcript_biotype:protein_coding MACKVQKRVSLRRRLHILRVLTNSNNNANRNSINKSTFLQIHKLKLALETLKREYENLIATRRDYISLLNNVNDNKDVKIEKIREGTFMVKVTCEKGGDKLVPILEAFEEMCVNVEEARVSCENGFSMEAIIVAEDENLDVIDVNEALLKAIGKQSGEKGSEELNNSSNL >RHN68395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35123692:35124452:1 gene:gene16740 transcript:rna16740 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLYSPSSAMEDTNHRSKKNQRRRRPSATPTNNDYSDVEDAEDGDPEAWQTLNKSFRQVQSVLDRNRAIIQQVNENQQSRMPDNMVKNVGLIQELNGNISKVASLYSDLNSDFTNICHQQQRSSHNRGK >RHN40878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23615682:23616023:1 gene:gene47121 transcript:rna47121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MEKSIIIILDNLWSILDLEIVGIPFGNEHNGCKLLMTSRDQEVLLQMDVPKDFTFKVQLMSENETWRMFQFMAGDVVKDSNFKDLPFKVARKCAGLPLRVVAVARAMKNKRDV >RHN71269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57753235:57779359:-1 gene:gene19928 transcript:rna19928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol 4-kinase MEALIELCDLIAQNPSQFSDKLSWICDKCPPPEYLSAGSPRVSRSQLNAVTAVARFLSNCVDSTDLRPKSVAIEFLRSIPHSFTHSFWPQPFNADFVASFFNDFIGYVSKAAQSSSDFADEVAGFTGEVVLSAIAEQDSVIARAFLMAVSQNFLPISSSDGNKLVTCLIEQYAAQIAVAVPSAPGEQNAGNSDNFSAQSSPLSGNHQSQTNYNGSLTSNSSGAASKAAGDDATASTASSRGSVMANGGSHIWRSNADQLAQNLGLNDGGGCSSGQQVTSFEEESVDFLERQEIAFKLIAHVLEKVHVDPALLEQARLIGKKQIQSMSAFLKIRKRDWHEQGSSLKARINTKLSVYKAAVSMKIKSLSALGSDSRSVKRLVYEAVAILIDAAEACLLSGWRKLRTCEELFGSLLLGVAQIAIARGGQPLRILLIRLKPIVLNVCAQPETWSSNQGTMFESVTKASCQIIESCWTKERAPVDTYIMGLATSIRERNDYGEQDNQEKPAVPFVQLNVIRLFAELSAAVNKSELVDVILPLFIESLEEGDASTPSLLRLRLLDAVSRMASLGFEKSYRETVVLMTRSYLNKLSSVGSAESKTEAPEATTERVETLPAGFLLIATGLTTDRLRSDYRHRLLSLCSDVGLAAESKSGRSGADFLGPLLPAVAAACSDFDPTSNVEPSVLKLFRNLWFYVALFGLAPPVQKTQVTTKSVSSTLNSMGSMGAIALQAVNGPYMWNMEWSSAVNQIAQGTPPLVVSSVKWLEDELELNALHNPGSRQGSGNEKAALAQRTALSAALGGRVDVASMTTISGVKATYLLAVAFLEIIRFSSNGGILNGGTTMDTARSAFTCVFEYLKTPNLMPAVFQCLTAIVHRAFETAVSWMEDRVSEIGQEAEGRDSILTMHTCYLIKSLSQREDHIRDIAENLLIQLRDKFPQVLWDSSCLDSLLFSFHDDPSSAGINDPAWTSTVRSLYQRIVREWIIKSLSNAPCTSQGLLQDKLCKANNWQRAQTIDVVLLLSEIRIGTGKNDNWSTQTGNIPSVLAAAAASSGANLKVSESFNLEVISSGKCNQAAATVKCNHAGEIAGMRRLYNSIGGFQSSTTTPTSLGLGAGLQRIISGAFPQQPQAEDDSFNGMLLNKFVRLLQQFVNIAEKGGEVVRSEFRETCSQATVLLLSNLSSGSKSNVEGFSQLLRLLCWCPAYISTHDAMETGVFIWTWLVSAAPQLGALVLAELVDAWLWTIDTKRGLFASEARYCGPAAKLRPHLSPGEPESQPQIDLVEQIIAHRLWLGFLIDRFEAVRHQSVEQLLLLGRMLQGTTKLPWNFSHHPAATGTFFTLMLLGLKYCSCQFQGHLQKFQTGLQLLEDRIYRAALGWFAYEPEWYDTNYTNFTQCEAQSVSLFVHYLSNVKGDAVQFGSKGNGQENGNPLADVNDLFHPVWGQMENYAVGREKRRQLLLMLCQHEADRLEVWAQPTNTKESSSRPKISSDKWVEHTRTAFAVDPKIALSVASRFPTNTFVKTEVTQLVQAHIVDVRNIPEALPYFITPKAVDDNSVLLQQLPHWAPCSITQALEFLSPAYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQTLRHDEGRLVEGYLLRAAQRSDIFAHILIWHLQGETVPEEGKDPNSVKNGSFLELLPAVRQHIIDGFSPKALDIFNREFDFFDKVTSISGVLYPIPKEERRAGIKRELEKIELDGEDLYLPTAPSKLVRGIQVDSGIPLQSAAKVPIMITFNVVDRDGDKNDIKPQACIFKVGDDCRQDVLALQVIALLRDIFEAIGLNLYLFPYGVLPTGPEKGIIEVVPNTRSRSQMGETTDGGLFEIFQQDYGPVGSSSFEAARQNFIISSAGYAVASLLLQPKDRHNGNLLFDNVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGAMKSDTWNQFLRLCVKGYLAARRHMEGIITTVSLMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMTHVCKDAYNKWTTAGYDLIQYLQQGIEK >RHN58953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6199893:6205396:-1 gene:gene20918 transcript:rna20918 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNDITRGSGRGAGISINKVKGNKGGKHKTTLSHSSRLQAPRPADTQQSLSTKQPTHHPISQTHTNFPPFLSTSSPQMSTVHAPTSQIPTNTQQPHSTMKPTHHLTSKAPSNIQQSLSTKQPTHHLISQTHTNFPPFLSTSSPQMSTVHAPTSQIPTNTQQPHSTMKPTHHLTSKAPSNIQQSLSTKQPTHHLISQTHTNFPPFLSTSSPQMSTVHAPTSQIPTNTQQPHSTRKPTHHLTSNTPSNIQLPQPTRQLKHHPISQTFTNFPPLLSTPSPQMSTIHTPTSQIPTNIQSPHSTPSPPHLSNTHRPYDVVSGGDPHASSSSCDDSYPIAGSTDPTDRRIWIRPGPQLTFEPAVKPPRDITKIIKRLFQGTWATYGELMKKDTALADLWYNEFQDI >RHN47990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44081669:44089725:1 gene:gene42655 transcript:rna42655 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPSVANRNEQFTNLMSVNQFWSNNCGDVSFNQLQKFWSELSLQARQELLRIDKQSFFEQARKNMYCSRCNGLLLDGFLQIVMYGKSLQQGVVVAQFPGNTLRGLKKQNNGGSSITKGCQDEIQDPTGLPWGGLTTTREGSLTLMNCYLHSKSLKGLQIVFDGARDRERERELLYPDACGGSGRGWISQGIVSYGRGHGTRETCALHTARLSCDTLVDFWSALGEETRFSLLRMKEEDFIERLMHRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCSSWFCVADSAFQYEVSDDSVQADWRQTFPDALGTYHHFEWAVGTSEGKSDILEFKSVGLNGCAKAGNLDLDGLSACFITLRAWRLDGRCTELCVKAHSLKGQQCVHCRLIVGDGYVRITKGESIRRFFEHAEEAEEDEDDDSVDKDGNELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEERVRVACKEIITLEKQMKLLEEEEKEKREEEERKERRRTKEREKKLRRKERLKGKEKDREKICSESNDILCTSEISKEELAAGADVDEDNLISCRNSAVETDEVNLLSDDSPNIQDKEFSSENDTLRTQHFSDDDCDEENSNTNDETGQQFTVEQTMHSHQSLRCRKEFQPDDMTFKRPDRRQYAIVSDNGAMVGKTESRHYGDNFLTSPRGVNGLNRQSRVSVPAKSNGRNASPKYGEKFYSSSNRMNERCDIHSCSCSPNNEYKMRVEQHSPLTRASWESKPASQSESAKQFYRGSKYNQVDYMHENNGRPKSKIILGNYPSRDLFQSKKVWEPTESLKKYHHSNSDSDVLLRSAKVQEAQPDLIKPSIGASVGSGENDNENCNSKQLSSMDAGCQNDYHVKVEGSCCSTEISSEEPGKCPTGGSALNNSSDPTQSCPFSSDNCSSCLSEGDNNTTSSNHENQESSTTSDSEDVCQQSEVRDNSACVEKVLSDCHEVAMENNQNANGESLSRSSSSLTGASFDGTRSDASGNFVEIGHSFGNGFSTTNVCSQPQNLFPLVSNQNIQFPAFQAPSTMGYFHQNPVSWPAAPTNGLMPFAHPNHYLYAGPLGYGLNEDPRFCLQYGSLQQPTPMFNPAIPVYQPVARANVLNAEEWAQVSKPASLQEHINGSIAERAVSSGNNLKIPVFNGEVKHDRSAKSQENNGDFSLFHFGGPVALSTGCKSALASSNGDVSLKSSADHAEKVHTCNKKDTTTMEEYNLFAASNNLRFSIF >RHN46745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34502872:34509894:1 gene:gene41267 transcript:rna41267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKSPKYIKLNTKFTICKKKRERDENLVVVEVMTMDERRRGVWSCRRIVATKGESSNNGSKPNIKINNKNIIKKLQTREISPKPHRSFVAATSPHRFQNMRLTHQFDTHDPKHHSSPSPFLPFLMKRTKVVEIVAAKNIVFALAHSGLCAAFSRETNERICFLNICPDEVIRSLFYNKNNDSLITVSVYASENFSSLKCRSTRIEYIKRAKPDAGFPLFQSESLKWPGFVEFDDVNAKVLTYSAQDSIYKVFDLKNYTLLYSISDRNVQEIKISPGIMLLIFNRASGHIPLKIISIEDGTVLKAFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRSSELMEVSRTENRTVSVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKAESEDQWMEANAGSINVSNILTGKCVAKINAANCISKVDDCSSTCSCKHTDSSQLRSSVAEALEDITALFYDEDRNEIYTGNRHGFVHVWSN >RHN76769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1159441:1165123:-1 gene:gene143 transcript:rna143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MEPKWSTILPATSIFSSFDDLKWITAEFDHELFTKRPVTVETAIDDNDVKPSKVDPFLGVGDVCSDNIANAADFHNLDAVTSFSTCGEPTNNILVCSQNLTPKNSTITATIESQSSISATVTSPVSANKPSSSRENQTKGVTTTSGSSRDPSDEDDEAGPCEQSTNPVDMKRLRRKVSNRESARRSRRRKQAHLADLEVQVEQLRLENASLFKQLTDASQQFRDANTNNRVLKSDVEALRAKVKLAEDMVSRGTLPTFNNQLLQNQSQLNTTPPQINNSNLRCMAHVSPTITVHGNDASYGVSGQNSAIGLGDFDISCSHDFNNGVNSDAVSSLTSLWP >RHN63591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52529576:52532573:-1 gene:gene26313 transcript:rna26313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MGDRETEEGTTMKTTEKENNMENSEKHETNDDPKIIHRGWKVMPFIIGNETFEKLGAIGTLANLLVYLTTVFNLKNITAANIINIFSGSTNFATLLGAFFSDTYFGRYNTLAFCTITSFLGLLGIQLTAVFKNLHPPHCAKESIKCKEPTTGQMAFLISGFGLLLIGAAGVRPCNLAFGVDQFNPKTDSGKKGINSFFNWYFFTFTFAQMVSLTLIVYIQSNVSWAIGLGIPAALMLIACVLFFMGGKLYVKVKPSGSPITSIFQVLVVAIKRRRLSLPSQHPMLSLFDYVPPMSINSKLPYTYQFRVLDKAAMITSQDKINPDGSAADPWSLCSIQQVEELKCLVRVLPIWFSAILYHLVIVQQHTLLVFQALQSNRRMGNTDFKIPGASYTVFLMLSMTLWLPIYDRIVVPCLRRFTEKEAGITVLQRIGVGIFLGILSILVSAFVETQRRHLALTKPIGMQPRKGAISSMSGFWLVPQLILAGFAETFTAVGQIEFYYKQFPENMKSIGGSLFYCGMAGSSYLSAFLVSVVHRTTSKSATGNWLPEDLNKGRLEYFYYMIAGIEVLNFVYFLMFSKWYKYRETISSSSSSIGSP >RHN55951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25922212:25922507:1 gene:gene31245 transcript:rna31245 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYHCLTGSYGEYSLRFATNLMILTDNFFFFFRLVGPLANNPVTDFEKAVNKLRRNTGLTSWNMTLKRAL >RHN46538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32591677:32592454:-1 gene:gene41034 transcript:rna41034 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKNITNTRSMYHCKTMFPLQQPEINTNDMHNMINIDIFGVHGSHGSQGRSVPEHERFPASHLNNYPLGQYGSSCSNSIKFSYSLLTTVPR >RHN75177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39984003:39984302:1 gene:gene11345 transcript:rna11345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MFSNHSLKFIVEPNPTEWNMEVLMAERANLVFHNNVIGGTAIKRLISRLMDHFGMTYTSHILDQVKTLGFRQATATSISLGIDDILTIPSKGWLDWATQ >RHN78002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11166988:11169317:-1 gene:gene1516 transcript:rna1516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MDNNVLGLLKLRIKRGINLAIRDSNSSDPYVVVNIGHEQKLKTRVVKNNCNPEWNEELTLSIRDVRVPICLTVFDKDTFFVDDKMGDAEIDLKPYTQCVKMKLDTLPNGCAIKRVQANRTNCLAEESSCIWKNGKVLQEMILRLRNVESGELVVEIEWVDVPGCKGLLGAQM >RHN66274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10244586:10245495:-1 gene:gene14223 transcript:rna14223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MIPIIIIFVGLLLASSSCSYSTPNNTSYYKTPTPTSPSLSHDSPSPYHFPLLKKGKKKPPPPPQPIVTPFEYLKIVQTWPTTFCKVNKCINPPPTTWFTIHGLWPSNYSDPQPRLCTKEKIDWSTFSSLVSMTDLRKYWPRLDTAVRNDDLFFWSEQWDNHGTCSSMHPPDFFNLAFKIYHKKELKTILQNEGIIPGGIKPETSQKIFDTIETGIGGFKPQIECLRVQNKDYLYQIKLCLDKTGDKYKDCPGPLIKCPMDVYFP >RHN50871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11308163:11308441:-1 gene:gene35215 transcript:rna35215 gene_biotype:protein_coding transcript_biotype:protein_coding MLMYNVFIFVKVMHFLSSRFIYVETFLIKILFGLFFTREKEKRIYFNSF >RHN78060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11577532:11578979:1 gene:gene1575 transcript:rna1575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynamin, GTPase domain, Dynamin superfamily MATMTSLIGLINKIQRACTVLGDHGGEGLSLWEALPSVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTDDGQQDYAEFLHAPRKRYTDFGNKVSQNISFNISVMISFRHQVANANFLVFD >RHN42957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42167807:42172038:-1 gene:gene49468 transcript:rna49468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoglycerate mutase (2,3-diphosphoglycerate-dependent) MSATFILHGCWSIDVPPAVGSSRLFPKLLSPLFTYNHKLHPVLASISHSSAVDHTSSHSISHHSPTESSLILIRHGESMWNEKNLFTGCCDVPLTRKGVEEAIEAGKRITYIPVDMIFTSCLIRAQMTAMLAMTQHHQKKVPIVTHNESERATTWSQVYSERTTKQSIPVITTWQLNERMYGDLQGLNKKETAERYGKEQVHEWRRSYDISPPNGESLEMCSRRAVAYFKDFIEPQLKSGKHVLVAAHANSLRSIIMYLDRLTSQEVTSLELSTGIPLLYIYKEGKFSSRGSPVGPTETGVYAYTQSLAVYRQQLDEMSR >RHN59642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12578589:12580733:1 gene:gene21712 transcript:rna21712 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:accD MEKWWFNSMMFNRGLEYRCGLSKSIDSFGPIENNSVNEDPSILTDMDNIILIDMDNTIHVDVRVVKTIVRILVIDMNIPNLLSDKTFLVSDSNSYFIYDWNNIINSCIEGYMLSEIGIDSSIIHNIIENNNENNPQEEDKNEKLHKSSYRFDYRFDYRFDVRFEVRFETDSDKEKDSGNDDDKLQKASDILEPVNYSAENYSDSDNDSDDNDPDDDYDTLQKGTDTLEPENDNDTDDYDKIQRAHYILEPENDSDTEPDDEPDYEPDDEPDDEPDDDDKLQKASDILEPENPSDSEKVQNSSEIESTGIMENPSDSEKVQNSSEIESTGIMENPSDSEKVQNSSEIESTGIIRKDFSHLWVACDSCYGNNYKRFFKSKMNICEYCGCHLKMSSSDRIELLIDPGTWNPMDEDMFPVDPIEFNSEDEPSEKGLEDEDEPSENSLEDEPSENSLEDEDEPSENSLEDEPSEKGLEDEDEPSEKGLEDEDEPSEKGLEDEDEPSENSLEDEDEPSENDDYQNRLDSYQDRTGLLDAVQTGTGQVNGIPVAIGIMDFEFMGGSMGSVVGEKITRLIEYATNQRLPLIIVCASGGARMQEGSLSLMQMAKISASLYNYQINQKLFYVPILTSPTTGGVTASFGMLGDIILAEPDAYIAFAGKRVIEETLKIEVPEGIQSAEFLFEKGAFDSLVPRNYLKEVLSELLHFHGFFPLTQTEN >RHN43456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46048018:46054188:1 gene:gene50037 transcript:rna50037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MEKLTQLVSMLPLFFLLLPSLSFAGGHDYGQALSKSIMFFEAQRSGYLPHNQRVSWRSHSGLQDGKASGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSIIEYGKQMASSGELGHAMEAVKWGTDYFIKAHPQPNLLYGEVGDGNTDHKCWQRPEDMTTDRRAYKVDPSNPGSDLAGETAAAMAAASIVFRRSNPAYSRELLRHAYELFDFADKYRGKYDSSITVAQKYYRSISGYNDELLWAAAWLYQASNNQYYLDYLGRNGDSMGGTGWQMTEFSWDVKYPGVQTLVAKFLMQGKAGVHAPVFEKYLQRSEYFMCSCIGKGTRNIQKTPGGLIYRQRWNNLQFATSSSFLATVYSDYLASSGRNLKCASGNVPSSQLLSFAKSQVDYILGDNPRATSYMVGYGNNYPQRVHHRGSSIVSIKANPNFVSCNGGYATWFSSKKSNTNILTGAIVGGPDAYDDFADERKNYEQTEPATYNNAPLIGVLARLSGGHGGYNQLLPVAEPVRKPVVTKQHSFTKPKTIPSPASWSGPISIQQKMTSSWVANGRTYYRYSTIVTNKSTKNLKSLNLSISKLYGPIWGLTKFGDSYTFPAWINSLSAGKSLEFVYIHSTTPADVSVANYSLA >RHN73290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15141438:15142301:1 gene:gene9088 transcript:rna9088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MADKNMKVDYIADDLKRKTTFNKRKHGITKKINEITTLCGIDACGIIYDENNDGATVYPSKKGVQMVLDKFKSFPESEQRKGMLDHEGFLSQSIMKSKEKLKKLKGKSKKKKMNDLLGEFIYTGEFDGNVSESDLEDLSSLIGDYRKEIDERIESSQT >RHN79110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23196270:23196875:-1 gene:gene2823 transcript:rna2823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KPI104 MSTRSLTIFILAHVWLLMATTSIAQFVIDTSGEPVEDDEEYFIRPAITGNGGGSTLVTGNGPCPLHVGLDNTEGTLGVAVKFTPFAPQHDDDDVRLNRDLRVTFLTSTSCGQSTDWRLGEKDATSGRRLIVTGRDNGAGSQGNFFRIVQTQTGGTYNIQWCPTEACPSCKVQCGTVGVIRENGKNLLALDGDALPVVFQKE >RHN77188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4823110:4827248:1 gene:gene614 transcript:rna614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MKEDEEGDDRKINEEKVQEFKLWGIFLFGLIGATATTFALSRSKGGSFRSAFQEEAWKRYNKRLQEEYEEEAERVERIRQMQSVFNREREKTFRRKYENWKENGSGTNPQHFQRDDWYSKAEQTFRDQWKNYQDTPRENGSINYSLTHHYTVLGLDRSRTTPYTDAEIKTAFRTKAMQYHPDQNQENKEAAEAKFKEVMDSYEAIQQERKNHSL >RHN46194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29567712:29568368:1 gene:gene40641 transcript:rna40641 gene_biotype:protein_coding transcript_biotype:protein_coding MERCNALDRMTGCKISTTHRTRSGHNLRSKNYEMESIIGVR >RHN62719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45414634:45415786:-1 gene:gene25320 transcript:rna25320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MEIVELKVEMVCIHEKRLRKCLSKLKGIEKVEVDTNCQKVVVTGYTHKNKILKAVRRGGLKADFWSPQNELLNAYVSANYARFRFNPFSFF >RHN70435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51423843:51430696:-1 gene:gene19014 transcript:rna19014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative legumain protein MARFLFLIIATLIPIFSAATATAGDDFLRLPSQASRFFQSDDDNNEGTKWAILIAGSNGYWNYRHQSDVCHAYQVLRKGGLKEENIIVFMYDDIADNQENPRPGVIINSPHGDDVYKGVPKDYTGDDVNVNNFFAALLGNKSALTGGSGKVVDSGPNDHIFIYYSDHGGPGVLGMPTGPFMYATDLIEVLKKKHASETYKSLVFYLEACESGSIFEGLLPEGLNIYATTAANAEESSWGTYCPGENPSPPPEYETCLGDLYSVAWMEDSDIHNLQTETLHQQYELVKERTSNGNSIYGSHVMQFGDIGLSRDSLFLYLGSNPANENFTFMGRNSLVPPSKTVNQRDADLIHFWDKFRKAPQGSPRKVAAQKQVLEAMSHRMHIDESIKLVGKLLFGMKKGPEVLASVRPAGQPVVDDWDCLKSLVRTFETYCGSLSQYGMKHMRSFANFCNAGIHSEQMAEASAQACINIPANPWSSLHGGFSA >RHN41027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26107831:26110107:1 gene:gene47299 transcript:rna47299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MMMLEVAKCTSFVLILLLNVTCRESFASFHPIDNYLVACGSSHNVTFQGRTFVPDTEFEHSNFQIKAEKSLVVSSKLNNTLSPLYNSARIFFEKASYTFKIQQHGRHWIRLHFHPLPDSNHNMTFALITVIIDGYVLLDNFTFVNYSNSHLMKEYTVSVTSYELIVTFIPHRNSLVFVNAIEVVTMPDVLFPYNLNPSTPFTESILETVYRLNVGGASINAQNDTLSRSWESDETYFRSTITALNISTSVSAIKHPDFLEITAPPMVYATAKAMGSASRGYRLNWVFRVRPNLLYFVRVHFCDMISNTTNYMVVDLFMNGNRTTEIRVSGDFAEPYYKDVLFNATEETLTVSVGPSTMSTIENATLNGIEIMKFINRVDSVLTKSSERIQTNKKLKLVIVIGCGVFVLAFLGLSFRYLKSCRSKKNSVNAYEFLRHFTLHEIQQATKNFDAVLLIGAGGFGKVYKGKLENGKIVAIKVANPESRQGLGEFHNEIELLSGLRHSNLVSLIGCCNEDSELVLVYNYMANGSLSSHLYGRDFVPLSWKQRLGIGIGAAKGLLYLHTGAKQSIIHRDVKTTNILLDENLVPKVADFGISKKGPLLDKSHVTTHVKGSFGYLDPEYFRTKFLTKKSDVYSFGVVLLEVICGKPALDDALPTQQMNLAFWALSCHKKGTFHEMMDPYLTGKVNMESVNKVLELAWKCLEERRVNRPSMGYILCQLEEALHLELASDVLNNNKNGDSSTYASVGNGFVDDTEDVV >RHN65293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:951436:962278:1 gene:gene13118 transcript:rna13118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M48 MAWYRRGKVVVDGFHTLTSRVLSQNPNFQPSNRILQSGYFNLGNKSASFNGFSSIFQPSNRILQSGLYLGSKDASFNVFSSFSSISKRLGKMGCENNKNIRVGSQGCNFNGFSSFTSICKILDKRGFEINKNIGLGCKGVGFNGFSSFSKNFKRLDQRGFEVNTNFRRLYYVDPQNVRHFKPRGPKNWFQNPRHVMIGIVVGSGVFITVYFGNMETVPYTKRTHLILMPKEMEKKIGEREFEKMKAGFKGKLLPAMHPESVRVRMIANDIVEALKRGLSKENVWSDIDYASENTVFGEEKGKETISALTENGGNVEGNWHREDEILDDKWVQKSRKKGQERGSQPNTSHLDGLNWEILVVNDPMVNAFCLPGGKIVVFTGLLEHFRSDAEIATIIGHEVGHAVARHSAEGMTKNMWVLILNFILYQFVTPDIVNTMSSLLLRLPFSRRMEIEADYIGLLLVASAGYDPRVAPKVYEKLGKISGDSKLLDYFATHPSGRKRAELLAQAQVMDEALTIYKNVREGHGVEGFL >RHN51140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14287578:14299288:-1 gene:gene35524 transcript:rna35524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cadmium-transporting ATPase MRLLISTTPTSLSFPSFFIPTNSSLSNSSNRRGRRRRCYSVKCTTTSASISGGDDSFTAKSGYLFDLSASEAETLEDYSISKIATIYYRKPIVVARRLVQTGIAFGKWFGLRFLDKRFDRADEMFEVRAAELRKILVELGPAYIKIAQAISSRSDLIPPSYLDELSQLQDRISPFSTEVAFNMIEQELGLSLAEIFSEISPEPVAAASLGQVYQARLRRTGQVVAVKVQRPGVQAAISLDILILRFMAGLARRAGKLNTDLQAVVDEWASSLFREMDYNNEASNGIKFRNLYGSIQDVVVPLMYTEYTTRKVLVMEWIEGRKLSEVKDLYLIEVGVYCSFNQLLECGFYHADPHPGNLLRTSDGKLAYLDFGMTGEFKQELRDGFIEACLHLVNRDFSALATDFVTLGLLPPTAEKEAVTQALTGVFQNAVSKGVRNISFGDLLGDLGTTMYKFKFRIPSYFSLVIRSLAVLEGIAIGFNPDYKVLGSTYPWIARKVLTDSSPQLRSSLENLLYKDGVFDIDRLESLLTESLRAKTDKTLVKIPSEGADSKMVMKEVLSFTLTEKGGFVRKIIVQEFAKGLDALGLASLETAAARVPFSNFFFSPLMTEEDKVNLRTFQRLMLLLSGARRKEDFNIGETKASPDQNQILYSEELSLVFNQFDSIQDILPVLSVIPELSPESQQQLLQLPANLVQQLISRAAARTIKRVFL >RHN54696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11385406:11388379:1 gene:gene29770 transcript:rna29770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, kelch-type beta propeller MKRTRESIASSMKRTRESIASSTTRHRSKPAKELGSFADLSSLIITNILLQLPIKDVSICKCVCKTWNALISEPYFATLYSQHASLGFLLRTRNLRLVSRTLHLLEYQPKKFRRAFDIDVVNFNPKFKLPLRNANDTYQPTPYKPEDDKFGVVNSCNGLLCLSEPYTVNHLVVCNPIIGEFIRLPEAIGIANTRKPICAALGFQPKTNEYKVIRMWKRCDGWCYKSDVMVVEMHTLGTTTWRNVEVDPMFSFTRLGSPTCVNGALHWINYDDKNKTRSILCFNFESEKFQSFPSPPHPHHKRLSITMVELKGFLYICESTVNSCVVWLMKKYGIGESWTRVFCSDNFNGIPLCFGLCRPVKHFENGGGALLIQNSYSCDSFIYYEPETRVFKVYSVDGAVSPWFELFPHSPGLISLKDVVKGGNIEVQNVYSRCAKVRVPEENESLSKIRRI >RHN79621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30640391:30641443:-1 gene:gene3438 transcript:rna3438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MNPNDEKNSPKFSSSSLMEYEASAIGGGGSGSSDVPVPMECLQMSPVPPFLSKTFDLVDDPSLNPIISWSSNGGSFVVWDPLEFARIILPRHFKHNNFSSFVRQLNTYF >RHN56130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28008239:28009568:-1 gene:gene31477 transcript:rna31477 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHAYKVKGEDNCLYDLRCDMWKPLRMALMVAVNFGCATLRKVEQSFMCTFYTLLWALACWVALVRLYAGLE >RHN41538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30881498:30889382:1 gene:gene47872 transcript:rna47872 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISKARSPIAEKDAEESLSGLNKLDYNCGSQVIDLLQTSSVVERNKKKIIK >RHN67664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29052144:29052479:1 gene:gene15873 transcript:rna15873 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSDLPSATSVAMEPSIFSGWGSPDGKLDWSIRGFRNRSSTSTMAYALNVDDPDVFLRHESWVNSLVKDAPTMEFDQYCFEDQLKCHNPDAVLSLLEQLYMEHEQMVV >RHN47640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41197477:41199334:-1 gene:gene42262 transcript:rna42262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MLLIMKEEDTLYNHLVLFGGVFLTILDFTFLFMTSGRDPGIIPRNSQLPESDESCHTNSQSMEWVNNKTPNLKLPRVKDVMVNGHTVKVKFCDTCLLYRPPRASHCSICNNCVQKFDHHCPWVGQCIGSFLLLSCFFQRNYPFFIMFISSSTLLCIYVFSFSWVNLLGQQGRLWASMSEDVLSVALITYCFIAVWFVGGLTVFHLYLISTNQTTYENFRYRYDKKENPYTKGIVANFKELSCSKIPNPLINFREWVAEEDDMQDESFTSDLEKGFISSKQKFDMDMGVYGKEGKKVPNILQNLDYNGIDDHLKKKKNAPFEIFVPVDHDLTFSQWRSKVGVDGPDVKP >RHN68468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35830510:35833157:-1 gene:gene16829 transcript:rna16829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arogenate dehydrogenase (NADP(+)) MSSSSKSLKIGIVGFGTFGQFLANTMIKQGHTLTATSRTDYSQLCDQMGIHFFRDITAFLDADMDVILLCTSISSLSEVVGSMPLACLKRPTLFVDVLSVKEHPKNLLLKVLPEESDILCTHPMFGPVSGKNGWQNLTFMFDKVRIKDEVTCSKFLQIFASEGCKMVEMSCEEHDKAAAKSQFITHTIGRTLAEMDIKSTPIDTKGFQTLVELKKPVMGCSFDLYSGLFVYNRFARQELENLEHALHKVKEMLVQRIDEGQNPERTES >RHN43054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42850492:42856806:1 gene:gene49576 transcript:rna49576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative splicing factor, RBM39, splicing factor RBM39, linker MDFDEYEYLEKTVEEQPEDKDSSKKKNTDITDIKSERTYRKHGIDDDLDGGEDRRSKRSRGGDDENGSKKDRDRDRDRERDREHRERSSGRHRERDGERGSREKEREKDVERGGRDKERDREREKERERRDKEREKERERRDKEREKERAEREKEEKERPRRSMSRPERDRGERDFENRDGRRFRDKKENVEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSNASSGAAVVGPYGAVDRKLYVGNLHFNMTEANLREIFEPFGQIEVVQLPLDMETGHCKGFGFVQFAHLEHAKAAQSLNGKLEIAGRTIKVSSVTDHVGSQDTTTKSADFDDDEGGLTLNAHSRALLMQRLAGTDITTSIGVPTVNGSVPVQQAFSMPFGNPGVIPASVLPTQVMPTPVAEPVGIPSECLLLKNMFDPSTEIEPDFDIDIKEDVEEECSKYGRVMHIYVDKSAGFVYLQFETVEASSAAQRAMHMRWFARRLITAIFMQPHLYEAKFKDES >RHN39331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6153871:6155123:1 gene:gene45341 transcript:rna45341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAAATHKLVSTAYISNDLAFSILSKLPLKSLKRFTCVKKSFSLLFESPDFMSMFRTNFISKHDENNENTLLILKERTQMIPFPYTFCTFAGDKLEDGERLDFPPPLIKGIQIEILGCASVNGTLCLYQGNYGNTKIVLWNPATTEFKVVPPSFQMYDNIELKTRPKAFGYDRVRYDYKLIRIAFYPSNFKGNWVEVPDKDSYLWDVDYDEYHTVWDRLVVEMNDPFWEIYSLKSNSWRKINAIEMSFNYWPDGHPVNLNEFCHMLGPSDDIVSFDFINEIFSTTPLPLDGSSNKSSFALGFSFPCFYALPTLEKY >RHN39582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8411218:8411878:-1 gene:gene45620 transcript:rna45620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MTRTTILKFLTIVALIAYSFQENIIKTLDKLYKNYFYVGGSMKEIDQSESDCCSVCLSQMCRGEKVRVLPLCDHRYHADCIGAWLKNNTTCPLCRSKISNHSYNLNLQKLVNPFEESLVDLIQSFSDALVTILYKILQSSITESEGFHVVY >RHN78168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12420503:12421731:1 gene:gene1693 transcript:rna1693 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVEVWIGELAKLKEKVLTNKTKISKSKNGLEEEKEVGREAQKEVIGAQRDTTTLSESTICLLMDRFVPC >RHN43911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49407797:49409240:-1 gene:gene50546 transcript:rna50546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MAASLFTTLFFTAFLIAYTPVVKVSAASVVFYNKCPHPVWPAIQPGAGKPILARGGFNLPPNKAYSLQVPPQWSGRFWGRHGCTFDASGHGHCATGDCGGSLFCNGIGGTPPATLAEFTFGKDLDFYDVSLVDGYNLPISITPFKGSGKCSYAGCVSDLNLMCPVGLQVRSRDKKHVVGCRSACAAFNSPRYCCTGNFGTPQTCKPTAYSRIFKTACPKAYSYAYDDPTSIATCTNASYMITFCPRHRR >RHN45672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24309021:24312522:1 gene:gene40055 transcript:rna40055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MKTSPMIFLFSTIQSIKLLAICLCAFLMASSTHVSSSSTINVKSREASSLLKWKSNLEIESQALLSSWNGNNSCNWMGITCDEDNIFVTNVNLTKMGLKGTLETLNFSSFPNILTLNLSGNFLNGSIPPDIDALSKLSHLDLSNNDLTGHIPFSIGNLTNLMYLNLAKNHISGHIPKEIGKSMNLKFLILSLNNLSGHIPVEIGKLIKMNYLRLSDNSLSGFIPHEIGMMRNLVEINLSNNSLSGKLPPTIGNLSNLQNLFIFSNHLSGELPIEINKLSNLVTFLIFYNNFIGQLPHNICTGGNLKYFAVLDNHFTGPVPMSLKNCSSIVRIRLEQNQLSGNITDFFGVYPNLDYMHLSQNNFYGQISPNWGKCRSLTFLNVSNNNISGGIPPELGEATILYALDLSSNYLTGKIPKELGNLTSLSKLLIHNNRLSGNVPVQITSLKKLETLNLAVNYLSGFITRELGYFPRLLNMNLSHNKFKGNIPVEFGQFKVLQSLDLSGNFLNGTIPSTLAQLIYLESLNISHNNLSGFIPSNFDHMLSLLSVDISFNQLEGPVPNIPAFNKATIEVLRNNTRLCGNVSGLEPCSKASGTRSHNHKKVLLIVLPLAIGTLILVLVCFKFLHLCKNSTTIQYLARRNTFDTQNLFTIWSFDGKMVYESIIEATEDFDDKHLIGVGGQGSVYKAVLDTGQVVAVKKLHSVIDEEDSSLKSFTSEIQALIEIRHRNIVKLYGFCLHSRFSFLVYDFMGKGSVDNILKDDDQAIAFDWNKRVNVIKDVANALCYMHHHCSPPIVHRDISSKNILLDLEYVAHVSDFGIAKLLNPDSTNWTSFAGTIGYAAPEYAYTMKVNEKCDVYSFGVLALEILFGRHPGGFVYYNTSPSPLWKIAGYKLDDMSLMDKLDKRLPRPLNHFINELVSIARIAIACLTESSPSRPTMEQVTNELAMSYLSTMDEFHIVKENHTQID >RHN46459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31899539:31902599:-1 gene:gene40947 transcript:rna40947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MMRPKFVLFGSSIVQHSYYQGWGATLTHLYARKADIVLRGYAAWTSRHALQVLDKIFPKSLSHKIRIIFLSSPPISEAQLKFNIDEFGQPLRTNEDCRIYLEACLDLCREMKIKVIDMCDGVHLSTEGSEIVTKEILNVIKKAEWEPSLYCKLMPAEFGEDTPYDMVSLDGKTTVNFSNVPFPQDKYT >RHN52132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31885560:31886496:1 gene:gene36761 transcript:rna36761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MVEYIHNCAGSTVSVGEQVFLTVLNVITNMMWGSAMEDGERESLGAEFRKTAAEMVQLIGKPNLSDFFPSLARFDLQGIVKDMNLLVPRFDGIFEKMIGERMVKDVEGKESESDSKTPFTSTHVKALLLDMVLGGSNTSSNTVEFAMAEMMNKPGVMRKVQEDLEAVVGKDNLVEESHIHKLPYLQAVMKETLRLHPSLPLLMSHCPSETTNVGGYTIPKGSCVFVNVWAIHRDPSIWEKPL >RHN49384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54444104:54451978:1 gene:gene44206 transcript:rna44206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA pseudouridine(13) synthase MMYVHSYQSYLWNNAASTRVKKYGTEQVVLGDLVYCKENPSEKVTGIVGPECDDDRGDSYDTNNEDEVSGDIHDKRNSYVKAVTTEDLNSKCYAIDDVILPMPGSRSIYPTNDIVEVYNDLTSKDGISLTDSVHNAKEFSITSVTGSYRRVFQKPIDFEWELLTYTDSNKPLVETDLDKIGKSKPIIVNTVCALNEQKEKVFDCISQLESSDDAPKEVILPHDESVHDSSSQDSHTALKLTFTLPSSSYATMAIRELLKTSTSVAYQKTLNQ >RHN56810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33919583:33923330:1 gene:gene32264 transcript:rna32264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MATLIELLPKEYGFVAIVLVLYTFLNFFMTFQVGKARKKYKVFYPTLYASESENKDALLFNCVQRGHQNSLETMPIFFTLMILGGLKHPTICAVLGVLYTVARYFYFVGYASGEPKNRLKLGGFFMPALLGLMVSTLSFGWSLVNQPASH >RHN49626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:56047713:56048399:-1 gene:gene44475 transcript:rna44475 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAKVLRKRKGFGFSNKCTSLVKEQRARLYILRRCATMLLCSYIQGDD >RHN70408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51253404:51258723:-1 gene:gene18987 transcript:rna18987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MKKADDDDDKDPRLAGAILFGLIAATTTTLLFGQVRKTLEWFSIQVTRTRAWKGKSGSSYRTAYQEEAWRKHNKRMQEEYEEEMERVERIRRMQNVFNRERNKFKRGYESWREHGPNAYHQHFQREDWYWKTDNSYRDRRTNYRETPRESGNYALSHHYSVLGLDRFRKAPYSDAEIKSAFRTKAKEYHPDQNQDNKDVAEAKFKEVMTSFEAIKQERSNKNQ >RHN78386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14658499:14671730:1 gene:gene1935 transcript:rna1935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myosin ATPase MGSKVLPSLQPIKSLPPDFKVNGNLIHDVMESRGDAKLRSGGLAGSSSPENYYLSEEAQDRAGGVGLFNEDIAYSGKSVLLEDRESIVDEGLESVPLPFQSVSVSSRESRWSDTTPYVSKKKLQSWFQLPNGNWELANIIKTSGTESVISLPDGKVLKVKQDSLVSANPDILDGVDDLMQLSYLNEPSVLYDLQYRYNQNMIYTKAGPVLVAVNPFKKVPLYGNNYIEAYKRKATESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGANIQTFLLEKSRVVQCNEGERSYHIFYHLCAGAPPSLREKLNLQNAEDYKYLKQSNCYSITGVNDAEEFRIVMEALDIVHISKEDQETVFAMLAAVLWLGNISFTVIDNENHVQAVENEGLLHVAELIGCEVEDLKLTLSTRKMKVGNDNIVQKLTQSQAIDARDALAKSIYSCLFDWLVEQINKSLAVGKRRTGRSISILDIYGFESFNRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVEFEDNQDCLNLFEKRPLGLLSLLDEESTFPNGTDLTFANKLKQHLNSKSCFKGERDQAFTVCHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCTCHLPQIFASHMLTQSEKPVVGPSHKSGGPDSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNLQSPETYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYGFLLDNAASQDPLSVSVSILHQFNILPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHGILRVQSCFRGYQARRSLKKLRGGISTLQSFIRGQKTRKAYAALLQRHRAAIIIQKRIKALLIRNRTGTIRDATIVIQSVIRGWLVRRCSGDIGFLKHGDTKTTGSDEILVKSSFLAELQRRVLKAEAALREKDEENDILNQRIQQYENRWSEYELKMKSMEDVWQKQMRSLQSSLSIAKKSLAIDDSDRNSDASVNNASDERDYSWDAGSNHRRQESNGTRSTSASLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQAEASLNPDQELRRLKQMFEAWKRDYGARLRETKLIINKLGTEDSALEKMKKKWWGRRNSTRIN >RHN76761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1061944:1062508:1 gene:gene134 transcript:rna134 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKKQRFGSSNSTTQTIRLGRSYTKPHYQSYHDGTKPVWQKVWKKLKRDKKKIFGSTPTIEGIYDEESYSMNFDKGSGWMEPDNLPRSFSSRYADPSRILPRRHLLN >RHN69472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43669106:43674699:-1 gene:gene17948 transcript:rna17948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MDEFGVLTERYGLKPQGKSAPMASIKRSTPTPPTNSHFQSQNGSRSPQHSTFDFDFGVKPQHSGGFGDAIDDIFGGNTKSKVGYGVSFDDDPIFGSVSTSSSSSQVYVDDIFGGVNQKTVGVDDLLNNIGGLHATNSKSSIKKSHDFGDFMSGFGGSSVSNNGKASIEKNNPRKPTATPHDDPFLVFETSSSTASSESFLDSLEQITKNSSKHTSGSSSTSPLLRPPPKPVNASNSINNSSLSSSIDELENFAKGRVQSNPSRKANTNTGEIKQNSAAKPVKDRGAPAARVNQLNGADDLESFFSMGSRSSSAPKSRTTPVDPMFDRQVNSKVKHEGSQRGPSGSPANPKKPSFTSSFDDLSLIFGASQSSEFEEVEGETEERRKARLGRHQRTQERALKAVADMNQRDLQSKLEQEERRRIADTVDVQIKRWAAGKEGNMRALLSSLQNVLSPDYGWQPVSLTDMITSASVKKVYRKATLCIHPDKVQQKGASLEQKYTAEKVFDILKEAWTKFNAEELR >RHN75826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45389663:45390521:1 gene:gene12080 transcript:rna12080 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSNGDCRPLGFLLGLPFAFLCLLISIIGLIVWIVGLTLTCICPCCLCLTVIVELALELVKAPLHVMEWFTSKIPC >RHN75358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41578017:41579973:1 gene:gene11543 transcript:rna11543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MDSSLDNTNNFELHDFIDDPNFDQFIDLIRGEHEDAISNFGSDLINDCFIDNNINQLLSIPPNPLFDHNNNIINNNVVNEYNPSPTTIGSFSCYDGVIKGEGENDGGGSSATTTTTTTTIDDANPRAKTDRSKTLISERRRRGRMKDKLYALRSLVPNITKMDKASIIGDAVSYVHDLQAQARKLNAEVSGLETSLSVSENYQGSISNTINVQSHPICKKIIQVEMFQVEERGYYAKILCNKGEGVAASLYKALESLANFNVQNSNLATVCDTFLLTFTLNVNGSEPEMNLQNLKLWVAGALLNQGFEFMPSF >RHN64254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57688589:57690203:1 gene:gene27051 transcript:rna27051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MLKFVSHSSLVRAQVKGFLLLFTTTSSSTLSSSSFNSNFNFRFSSASTTSHSFTVSYLINNYGLSPQTALNVSRKLTLSDTQKPDSVIALFTTHGFSNTQIRNIIKREPCLLLCLDPNKILLPKFQFLLSKGASTSDIVRIVNANPKFLLRSLHNHIIPTYDFIRGFLQSDKQAITCINRYASFISDSRVETNVKLLLDNGATHSNIATLLRSSPRIYCSSNLLETIQELKQLGFNSSTSTFSIALVAKRTVNDTRWAEKVEIFKKWGWSDEDILQAFRRQPYCMLSSAQKIDAVLSAWVDQLGLNSLDLVNAPGIFLLRLEKRVIPRAAVLQFLVSKGLRRRDASLSAPFAVTEKLFLDKFVKCFKEDSPHLLKLYQEKMNLANSMEKKPS >RHN72493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8063128:8064213:1 gene:gene8212 transcript:rna8212 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRKPYSYSKMDKEDPEELIHRRAQFLIYKVLEQANSRRKSSCLRIKISKLKVKIGKRLRRLRKKIISSVSAARVGIHGHVVSQLKTWKRLFGREKQTLLTIPSLMIKY >RHN69844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46732202:46735336:-1 gene:gene18365 transcript:rna18365 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEFIRESYRIPWLIWIQLIVLFLLLALFYIFIFDDDDAATEVLPETSSASSKGFLFDEIQHIDSTKNYSIAPVSTNLQQRNITTQQDGQNPSEIATCSNMRSGEVNEGESSTPSSHTCHFFQLATVAFLKCFGLDSTSDSSSNQKCRKRKES >RHN64212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57302372:57304503:-1 gene:gene27002 transcript:rna27002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MRPCLIRATSEIVSLARSGRICHARKLFDEMPERDTVAWNAMLTAYSRLGLYQQTFDLFDSMRRISDSKPDNFSYSAAINSCAGASDIRFGTKLHSLVVVSGYQSSLPVANALIDMYGKCFNPNDARKVFDEMNYSNEVTWCSLLFAYANTCRFDMAFEIFRSMPEKVEIAWNIIIAAHARCGEVEACLHLFKEMCENLYQPDQWTFSALMSACTESMESLHGCMMHCFVIKSGWSTAMEVNNSIVSFYAKLECHGDAVKVFNSGGAFNQVSWNAIIDAHMKVGDTQKALLAFQQAPEKNIVSWTSMIVGYTRNGNGDLALSLFLDMKRNSFQLDDLVAGAVLHACASLAILVHGKMVHSCIIHLGLDKYLFVGNSLINMYAKCGDIEGSKLALRGINDKDLVSWNSMLFAFGLNGRGNEAICMFREMVASGVRPDEVTFTGLLMTCSHLGLIDEGFAFFQSMSLEYGLVQGMDHVACMVDMLGRGGYVAEAQSLARKYSKTSRDKTNSCEVLLGACHAHGDLGTGSSVGEYVKNLEPKKEVGYVLLSNMYCASGKWKEAEMVRKEMMDQGVKKVPGCSWIEIRNVVTAFVSGNNLYPCMADISKILYFLELEMRHTRPINFDIE >RHN54433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9509033:9515898:1 gene:gene29472 transcript:rna29472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquilin MGGDATAETTTEPQSTDGGVKINIRCSNGSKFSVQISLDSTVVSFKDVIAQNCDISADQQRLIYKGRILKDDQTLQSYGLEADHTVHLVRGFTPANTTGGANTTGANTTTTNARAAGANEGGGLGGPGLGASLFPGLGGINGMGGGGGLNSLFGAGPPDLEQLQQPFMSNPNLVREIMNSPAMQNLLNNPEIVRNLLMSNPQMQELMDRNPELAHILNDPSTLRQTLEATRNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMAGNDSSRILGTQGGQTRNPSTNPSTTNAEATSPVPNTNPLPNPWSSAPTGGAQTNVRRSTPTAADARQQTPTGLGGLGMPDLEGMLGGMPDAGSLTQLMQNPAISQMMQSIMSNPQTMNQILGMNTDQRGMPDMNSMREVMQNPEFLRMFSSPETMQQLLSMQQALMTQLGQQQSTQEPGQTGGGTGPVNNLGLEMLSSMFGGLGAGSLAVPNRSNEPPETLYATQLTQLQEMGFFDTQENLRALIATSGNVHAAVERLLGNSGQ >RHN56266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29363027:29363476:1 gene:gene31638 transcript:rna31638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated domain, type 1 MDGLSHWLCIPETHESVKYGACLLSFDWSKEVFIITPTPSNAVDYFPNFSDLSSHLVLLNGSIALAVVYRATTTIHISILGELSVKESWTKIFVVGPLPCLEHPIGAGKKGDMLFKKKDGGLVWFDLNTQMMEDLGVTSSGFRCQIVIH >RHN53614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2857800:2868416:-1 gene:gene28540 transcript:rna28540 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRKLFPGAVVTGEGCPFLIFLNGFCREDGGDSFYGYGFSKACTGMSRSSRKDGRREI >RHN39303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5727035:5730344:1 gene:gene45313 transcript:rna45313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKRQRTNSFKLNSCSQQLNSSSSSELDLPDDIWERVFRLLKNNDDDDHRKRYLKSLSVASKHFLSVTNRHKFCLTILYPALPVLPGLLQRFTKLTSLDLSYYYGDLDALLTQISSFPMLKLTSLNLSNQLILPANGLRAFSQNITTLTSLICSNLISLNSTDIHLIADTFPLLEELDLAYPSKIINHTHATFSTGLEALSLALIKLRKVNLSYHGYLNGTLLSHLFKNCKFLQEVILLRCEQLTIAGVDLALLQKPTLTSLSITCTVTTGLEHLTSHFIDSLLSLKGLTSLLLTGFRISDQFLSSIAMESLPLRRLVLSYCPGYTYSGISFLLSKSKRIQHLDLQYTDFLNDHCVAELSLFLGDLLSLNLGNCRLLTVSTFFALITNCPSLTEINMNRTNIQGTTIPNSLMDRLVNPQFKSLFLASAACLEDQNIIMFAALFPNLQQLHLSCSYNITEEGIRPLLESCRKIRHLNLTCLSLKSLGTNFDLPDLEVLNLTNTEVDDEALYIISNRCPALLQLVLLRCDYITDKGVMHVVNNCTQLREINLDGCPNVQAKVVASMVVSRPSLRKIHVPPNFPLSDRNRKLFSRHGCLLVM >RHN55127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15385043:15386935:-1 gene:gene30258 transcript:rna30258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative N-hydroxythioamide S-beta-glucosyltransferase MVVQRQNYNNNVHVLVIPYPAQGHISPLIQFSKRLVSKGIKTTFATTHYTVKSITAPNISVEPISDGFDESGFSQAKNVELFLNSFKTNGSKTLSNLIQKHQKTSTPITCIVYDSFLPWALDVAKQHRIYGAAFFTNSAAVCNIFCRIHHGLIETPVDELPLIVPGLPPLNSRDLPSFIRFPESYPAYMAMKLNQFSNLNQADWMFVNTFEALEAEVVKGLTEMFPAKLIGPMVPSAYLDGRIKGDKGYGANLWKPLSEDCINWLNAKPSQSVVYISFGSMVSLTSEQIEELALGLKESEVNFLWVLRESEQGKLPKGYKDSIKEKGIIVTWCNQLELLAHDAVGCFVTHCGWNSTLESLSLGVPVVCLPQWADQLPDAKFLEEIWEVGVRPKEDENGVVKREEFMLSLKVVMESERSEVIRRNASEWKKLARDAVCEGGSSDKNINQFVDYLTNTNMKGNDLSSY >RHN68471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35839840:35844575:-1 gene:gene16833 transcript:rna16833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MAKSFESVRQELSRIAKSATKIHRPKQTQPVSLNQFIAARTTKKQQDRTVGKVESPPKKVEPVETRIALSRVVADCTHRWFHDTLKEAKRGDLSSQVLVGQMYCSGYGVPRNPQKGHAWISRASRSRNSVWQLSEKPPGYRASDSDSSEMENKSTP >RHN46967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36125611:36128890:-1 gene:gene41511 transcript:rna41511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative origin recognition complex, subunit 5, P-loop containing nucleoside triphosphate hydrolase MDKEESPQIPRRTTRSLSTPSTSNIVPAAKVNSSLESLTINDLLVGGDPVSLDDIISSFPGRSSQIHEIVRLLGPLNSPLLPLFVYGGASTGKTSIILQLFRHLNRPLVYSSCRTCYNQRILFESVLNQLLLHSKNAANCYANAKRCERPSDFVNFLREALTGVINNLKEKSEKMISKKKVHDGKTGNMIYLVFDNFHLVRDWDKSSTILPLLFNLYDMLKMLEVGLIFISSTSPDTFYTNMGYVEPIPIYFPDYTEGDIRQILLRNQANQKLYSSFLDVALKPFCRITRQVGELSTALKPLFEKYCEPLSDKGKGVVPSEDMKRRLFNHIKPHIASSLNDVFKVSSAPSSEVETGKQTKHQGNPKRSERSEEIGDLDFHMSTSAKYLLISAFLASRNPATLDASLFDSKGGSDNRKRKRKASEKALEKKEMLEEELLMKGPGTFPLERLIAIFQCIVSVAEDPADEDEQKNEELGAQCGSGSLMSDVLLQLSTLCNANFIFKGKSCPIEGSTRYRSTISEDLVLKVARSLKFPLSKYLYRS >RHN62112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40810964:40813711:-1 gene:gene24646 transcript:rna24646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MATFTQKMAIRYILLAFFLYTDTGIFARVASFGINYGQVANNLPQPEKVLELLSTLKITKTRIYDTNPQILKAFANSNVEIIVTIENQILNQLNDPQQALQWVNTNIKPYLPDTKITGIQVGNEVFTELDTTLIQYLVPAVINIYNALVQLGLNTNIHVSTPSSLEVLEESYPPSAGSFRSEISEIMKEFLNFLSKTKSPFWVNAYPYFAYKNDPNQISLDYVLFNPNQGMVDPNTNLHYDNMLYAMVDAVTFAIAKLGFNGIEVRVSETGWPSKGDSDEIGASLKNAEIYNKNLLRRQMKNEGTPLNPRMRLESYLFALFNENMKNGPTSERNYGLFEPDESMTYNVGLSSFAKSSNPSTSISLTSSATRTKASQKENQSLFHWMFVYLLISSLCAFV >RHN74830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36976939:36980373:-1 gene:gene10954 transcript:rna10954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Bile acid:sodium symporter/arsenical resistance protein Acr3 MQSSITFSLHTNKIINYKPKFIQLSLRSNIQPKPILSLPSTSKFNKIYTSKVAPLHCGISSNSYSSNEERGVRKWIELGSEAISTAFPLWVTIGCVLGLLRPSSFNWVTPKLCTVGLGVIMLGMGMSLTLDDLRSALFMPKEVISGFVLQYSVMPISAFLVSKLLNLPSHYAAGLILVGCCPGGTASNIVTYLARGNVALSVIMTAASTLSAVIMTPFLTAKLAGKYVAVDAAGLLMSTLQVVLLPVLAGAFLVKYFQPFVKFISPLMPPMAVATAAMLSGNAIAQSSSSILMSSGQVILASCLLHASGFFFGYILARMLGLDVSSSRTISIEVGMQNAALGVVLATNHFGDPLTTVPCAVSSVCHSIFGSILAGIWRFSVPAEIKD >RHN61948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39570750:39572693:-1 gene:gene24464 transcript:rna24464 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFLEFVSCCASPRHTSESLVPAEDEGKWLVPAPVVAVASTRRRKRKVGASDWRPSLGSISEDVMAPQKCAVASAGREGKKKSGARGGAGRVYHRSYSEGYHGSSSMHMIMPAFSPTPFMF >RHN80299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36981829:36983366:1 gene:gene4205 transcript:rna4205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MDSSSTSIPNSSTSDFSSESSSYEFNYLPFNENDPEEMLLYGMINDANNLNKTTVKEEEVSSEENEPRKEKSYRGVRRRPWGKFAAEIRDSTRNGMRVWLGTFDSAEAAALAYDQAAFSMRGSSAILNFPVEIVRESLSDMNCDDGSEDGCSPVVALKRKHSLRRKIGFKKNKERDFRSNKSSVDNAVVFEDLGADYLEQLLISSDEFPYPPQ >RHN53503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2128499:2131573:-1 gene:gene28415 transcript:rna28415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MASERDYFHLSGPLHLTYVNWDHAYHRKSVAASLVQGVYVLEKDRQEQRKGPDSIAFPWWAFFHFQLLHTLVDDVDNSIFGAIYEFKPPPYMCNNTLHRSPCYVIAFRGTITKADSVSRDIELDLQFLKNGLHRTSRSEIAIGAVRNLVASVSGNGSNIWLAGHSLGSGMALLAGKTLAKNGTFIESFLFNPPFASAPIERIRSKKVKHRLRIASSVITAGLAIAMNSDKKSSSFDSFDALSAWIPCLFVNPSDYICSEYVGYFEHRRKMEEIGAGSIEKLATQNSLGSLMMNMFGKESEPLHLIPSATLTVNFTPPKCFREAHGIHQWWKPDLQLESKLHKYL >RHN77309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5942279:5943498:1 gene:gene751 transcript:rna751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-amylase MKFLHSICFLCLCISIFPSFSSSAILFQGFKWASSEKEGGWWNFLKTKVPDIADAGVEYVWLPPPSNSHDDGPQGYLPKRLYDLDTSKYGNKQELKSLVAAFREQGVKSISDIVINHRTAERLDNNGLSIFEGGTPDNRLDWDVSYICGNDVQFKGTGNNDTGDDWGGAPDVDHTNPKVQQELSDWMNWLKTDVGFVG >RHN80595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39292823:39297453:1 gene:gene4535 transcript:rna4535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MDIVGRKRRCFCSTLHLLLLTFFIAILLQRGTVAESSSIQNYTRYRQISSLRLERINKHLEKINKPPVLTIESPDGDLIDCVHKRKQLALDHPLLKNHKIQKVPSEMPRGMKMERDENVDSDNITKTNVEVGKGKEGVRNNAWQMWHRNGTRCPKGTVPMRRSTVHDVLRAKSLYDYGKKRTQIPLSRSSDAPDVFSGNGHEHAIAYTGSSQEIYGAKASISVWDPSIEVMNEFSLSQIWVLSGSFDGPDLNSIEAGWQVSPELYGDNRPRLFTYWTSDSYQATGCYNLLCAGFIQTNSKIAIGAAISPVSSYTGNQYDISILIWKDPRVGNWWMSFGDNTLVGYWPAELFTHLADHATMVEWGGEVVNSRTDGRHTSTQMGSGHFAEDGFGKASYFRNLEIVDIDNTLSSVQSISTLAENTNCYDIQNSYSNEWGTYFYYGGPGNNPKCP >RHN74278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31408117:31418076:-1 gene:gene10314 transcript:rna10314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein LIN37 MSLDPPPTTATTTTTSRPTAPFTNPNPNPNSKPIHYPQQQQQPQQQALHVRSPNPFLYPFASPSRASANHAVGGYPPPPPPSQPQPPLLYSHGGGVRGMNLDYLSHALHVTRPLSHVQFPHLAATASPPVKGHLKGTARSTVSDVNGHRDSTVRERSRDDALTVVRDRKVRITEDASLYALCRSWLRNGVNDESQPPQRDVTMSLPKPSPASMVDTCTSNKKDDENDDEQEEDEKSVEHLSTQDLLKRHIKRAKRVRARLREERSQRIARYRSRLRLLVPPPA >RHN62174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41411738:41413683:-1 gene:gene24721 transcript:rna24721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeoyl-CoA O-methyltransferase MATNEDQNQTESGRHQEVGHKSLLQSDALYQYILETSVFPREHEAMKELREVTAKHPWNIMTTSADEGQFLSMLLKLINAKNTMEIGVYTGYSLLATALAIPEDGKILAMDINKENYELGLPVIKKAGVDHKIDFREGPALPVLDEMIKDEKNHGSYDFIFVDADKDNYLNYHKRLIDLVKVGGVIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLELNKALAVDPRIEICMLPVGDGITICRRIK >RHN80665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39892301:39893457:-1 gene:gene4618 transcript:rna4618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent protein translocase protein TATA METTLSLSSSSSILPRTRLPISSSYSNLSFSASNSNTTSLLLKKARTRTRSTKRFTCNAFFGLGVPELVVIAGVAALVFGPKKLPEVGRSIGKTVKSFQQAAKEFETELKKEPNSTEEISVTNDQEDQDNKVSSTKDSV >RHN82512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54361130:54363140:-1 gene:gene6683 transcript:rna6683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferredoxin [2Fe-2S], plant, Beta-grasp domain-containing protein MSAVNMSTMRLPTASLHGTASSRTSCALLKNPSTLRSVKNVSKRFGLKSSSFRISAMAVYKVKLIQPDGTENEFDAPDDYYILDSAEEAGVELPYSCRAGACSTCAGQVVSGSVDQSDQSFLDKQQIEKGYLLTCVSYPKSDTVIYTHKEEELC >RHN65313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1137467:1142755:1 gene:gene13138 transcript:rna13138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MCVCLKLNQCKMTNILPMILFVILSLLLFFFQLSTSIDTITQFQSLHDGNTLVSNDGTFELGFFTPGSSTNRYVGIWYKNMPNRIVWVANRDDPIKDNTSNSTMLIMSNDGNLEILTNNNQTLVWSTNITTQSLSTTSSHVAQLLDNGNFVIKANNNTDQQSNNFLWQGFDFPCDTLLPDMKLGWDLKTGLNRQLTSWKSWDDPSSGDLTWGIVLSSNPEVVLKKGSVEIHRTGPWNGVGFSGAPVEIVTSIVVITTSVNNSNEVYYIYSLVNKSNVSITYLNQTTSHRERVNWIPEDDTWSVIESLPKDDCDVYNRCGPYGNCVHNESPICQCLDGFEPKSPKNWDASNWTQGCVRKGDEDWRCGVNDSFVRFYGLKLPDTSHTWVDANMTLENCKNKCLEDCSCMAYSNLDVAGDGSGCSIWFGDLIDLKQISSFQQYLYIRMDASTVDSNGDVSGGKKNHTLAIAVTIPLIIILLLVIIVFYVYKRKRKQRDNSEMNTLTEIKYEDQQDFELPLFNISTMISATNDFSNYNKLGEGGFGPVYKGTLATDGQEIAVKRLSGSSKQGSKEFKNEVILCAKLQHRNLVKVLGCCIQGEERMLIYEYMPNKSLDSFLFDPAQKKLLDWFKRFNIICGVARGLIYLHQDSRLRIIHRDLKPSNILLDNDMNAKISDFGLAKICGDDQVEGNTKRVVGTHGYMAPEYAIDGLFSTKSDVFSFGVLLLEIVSGQKNKGLTFPSNNHNLVGHAWRLWKEGNSEELIDDCLRDSYIPSEALRCIQVGLLCLQLHPNDRPNMTYVLAMLTNESVLAQPKEPGFIMQRVSNEGESTTKSFSINEVTISLIGAR >RHN72441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7662744:7665053:-1 gene:gene8153 transcript:rna8153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MIMVPATSSYACKQEVSLINFQKGLNKMESLFHNRNKDKVVVIMGATGTGKTKLAIELAKHFQPAEIVNSDKMQVYKGLDITTNKVTEEECDGVPHHLLGVFDPTTNFTANDFCYHACSAIDSIVEKDGLPIIAGGSNSYLDTLVNHCTEFRLRYECCFLWVDVSLPVLHSSLQSRVDRMIEAGQVDEVREFFDPFGDYTKGIRRAIGVPEFHDFLVAEANSADERTKKRLLEAAISRLKINNCTLANRQVQKIRRLNGMWKRSMHRLDATETHLRSGSHTSKEVWEDHVLAKSLVILYNFLYGETHAHSRILSPTNVIANFSAPPQSLALPAVAAAIR >RHN52971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40776973:40777265:1 gene:gene37713 transcript:rna37713 gene_biotype:protein_coding transcript_biotype:protein_coding MIWPTLKLTWKMRIRLCCYFVHYQSPLSISRIPFFMVRRALLLWRRSKRL >RHN38733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1637385:1639068:-1 gene:gene44698 transcript:rna44698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxolaurate decarboxylase MMKREIHFVHILLLLILCPIICVNSANFVLIHGGSHGAWCWYKVVTMLKSAGHNVTTIELAASGINPIQVQEIHSISKYYEPLMTFMESLPPNEKVILVGHSLGGVSTSVAMEKFPQKISVAVFVTAYVLSQNLSYPVVLQEQARRLSSLMDTKFFFFDGPNKPATARLVGPKFMASKMYQLSPSEDLTLALSLVRPVPIYKDVELLLKETTVTNDRNGRVPKFFIISKSDNLITEDFQMWMIKRSGPFEKVEVIEDSDHMVMLSKPKKLSSTLLKIVQKY >RHN63699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53327805:53333615:-1 gene:gene26434 transcript:rna26434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HNH nuclease MASSPHRSGGEGDSKGDKRPRFFDTKTKNICWTKADTVPGRHPERWRKDAAGNIVCKRFFNCLGCLCYEYDHIIPYSKGGESTADNCQILQSRVNRLKSDKSQIDSDKLRGYSCDINFTDKELDIIEMAVYGDVIRPGNQCRCRTVDEVLGKFKAKDDTNACKLP >RHN51943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28830862:28835229:-1 gene:gene36519 transcript:rna36519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAASQSSSSITNDGYEYDVFLNFRGPDTRSDFTGNLWNALHNRGIRTFRDDLEIYKGKNIEKSLFEAIEKSKAAIVVLSPSYATSSFCLDELCHILKCIEGRGGFVWPIFYEVDPSKVRWLEDTYGEAMAEHKKNNWYSEDKLKEWENALNQVANLSGTVYKWKKGDGYEYMFIDKIVRVVSTVIQPFSLSIPDYLVGLEDQKQDVLSLLNVDSDDKVYMVGIHGTGGIGKTTLAQAVYNSIVDQFDGSCYLEDVRGKTGNEGLIHLQNILLSKIFGENKIVVTSVNEGINELQVRLKKKKVLLLLDNVDKLDQLRNIVREPGWFGRGSRVMITTRDIDVLRRHGVERRHEVKMLNKDEAYDLLRWKTFQTNEVSPSFEDVFNRALTYISGLPLAIEIIGSHLFSKKTIEEWNSVLDQYKKVPNQEIFEILKVSYNDLVQDYKDVFLDIACFFKGDNLEYVKKILHAHYGDEKKDHINVLIEKSLIKISEFNDLSLHDLIEDMGKEIVRLESPYQPGERSRLWSVKDIVEVLEENTGTSKIGTIIMPWDIKKDNVVNWDGEAFKNMTKLRTLLIEGVKFSESPKHLPNSLRILEWYDYPSQYFPVDFLPRQLIICKLSSEFYRPREDFFKKYCRNMRILQFLHCNSLRSIPNMSGLQNLEELSFWRCPELITFDDSIVLLGKLKILNIINCGELKYIPLLKLASLKKLTLSNLYSIKSFSPMLNEALDKLQFLEVIWCPFLKYIPPLKLTSLKTLTLSHIDRIKSLSPMLDESLDKLKILMVEYCAKIQTIPSLKLPSLEELTLSNLST >RHN62978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47235954:47237462:-1 gene:gene25621 transcript:rna25621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative abscisate beta-glucosyltransferase MPSPWYFTSLVQPVTMLVANEKPNIKILMVSMALQGHINPTLNFAKRLISKGIHVTIATTEDGGNRMLKHTDKISSDSGIKLEFFSDGLSVDFDRSDTKTFLNTIQEKGPQNLSNLITNLTKNETFSCAIVNPFVPWAIDVVAEHEIPCALLWIQASTLYSIYYRYFKNNDLFPKLDVPNEKVQLPGLPVLEVKDLPSLLLPSSPLHYKELMAYFIKALDKVEWVLGASFLEIEEEIVKSMDSLTPIYPIGPLVSPFLLGEKETSNVSVDMWNAEDACIGWLDNKPNSSVIYISFGSLVVLSQTQMNNIVTALKNSNKNFLWVVKPANNGGYETKDAAYELPKEFLKETEGRGLVVKWCAQEKVLMHPAVACFLSHCGWNSTLETLITGVPVICWPSWLDQPTNAMLIENVFRNGVKVNYGEDGVASVKEIERCIREVMEGPNAGEIKKRAMEIKDSARKALEEDGSSSNNFNQFISELIVKNNSREQQKALDTEVNVELQF >RHN66120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8730176:8730481:-1 gene:gene14038 transcript:rna14038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rad21/Rec8-like protein MGFECFLFFVFSDHILKVSVPVSLRLSAILLFGVVRIYSKKVDNVLSDCNNIQKRLLKVYPVIILPKNTMAMGDSKVAARNAITLPENFQLDELDLDFETG >RHN71598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1259780:1263788:-1 gene:gene7214 transcript:rna7214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructose-bisphosphatase transcription factor C3H family MDHQADANRTDLMTITRFVLNEQSKYAESRGDFTILINHIVLGCKFVCSSVNKAGLAKILGLAGDTNVQGEEQKKLDVISNEVFVKALISSGRTCLLVSEEVEDAIIVPPAQRGKYIVVFDPLDGSSNIDCGVSIGTIFGIYTVKDTAEVSVEDALQPGSSLVAAGYCMYGSSCTFVLSTGNGVNGFTLDPSLGEFILTHPNIKIPKKGKIYSVNEGNAKNWDEPTAKYVENCKFPQEGSAPKSLRYIGSMVADVHRTLLYGGIFMYPADKKSPNGKLRVLYEVFPMSYLMEQAGGQAFTGKQRALDLVPKKIHDRSPIFLGSYDEIEHIKELYAESKENGA >RHN60736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30018628:30021602:1 gene:gene23093 transcript:rna23093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MAKDVEVQEQGEYSAKDYQDPPPAPLIDVEELTKWSFYRALIAEFVATLLFLYVTVLTIIGYSHQSDPKAGGTDCDGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFVGRKVSLIRAVFYMAAQSAGAICGTGLAKGFQKAYFDRYGGGANFVHDGYNKGTALGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGSAVIYNDGKIWDDQWIFWVGPFIGAAVAAIYHQYILRGSAIKALGSFRSNA >RHN60945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31736663:31740089:1 gene:gene23345 transcript:rna23345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFGDVSVQSDMKLWPFKVIPGPADKPMIVVNYKAEEKQFSAEEISSMVLMKMKEIAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVISGLNVLRIINEPTAAAIAYGLDKKATSTGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKNSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTIKDDKIASKLSADDKKKIEDAIEGAIQWLDGNQLGEADEFEDKMKELEGICNPIIARMYQGAGGDAGGAMDEDGPTAGSGSGAGPKIEEVD >RHN70094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48713303:48718127:-1 gene:gene18641 transcript:rna18641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MVDFARVQKELADCRKDAEGSGIRVALKSDNLVNLIGTIPGPTGTPYDGGVFQIDITLPDGYPFEPPKMRFSTKVWHPNISSQNGAICLDILKDQWSPALTLKTALLSVQALLSAPQPDDPQDAVVAQQYLKDYQTFVNTARYWTESFAKTSSRGVEDKVQKLVEMGFPEAQVRNILEAVGGDENLALERLL >RHN80994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42466982:42468381:1 gene:gene4982 transcript:rna4982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NPR1/NH1-interacting protein MSLYSSQSLETTKKQPRNYVLLVSSFTLPFIIFILFSNIYIFFVNMEINGSKKRKICNEELDEEKQKEEEEKIETFFALVRNMRETRDRWRNKMSENIKEENRVVGVWKPTFKLEDFAEEGASKSNNINCANIIKEDDTEKGINLKLSL >RHN52984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40840858:40842958:1 gene:gene37726 transcript:rna37726 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSMYLPILPSAASLPDFLSADERPFVLRSCLHTQLYLQLFTSIPTSLIISTQVGTKTR >RHN63582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52401580:52403482:-1 gene:gene26300 transcript:rna26300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative folate receptor MKTVLSTGFLLCCSLLFLLLDSSTSLPLCVDSKAPFTLNTTLKFCPYNNGSTCCNSIQDGQIQKQFQQMNVSDTACASLLKSILCARCDPFSGQLFTVQSTPRSVPVLCNSAIPANSSQSKALVHDFCSEVWDTCQTASIVNSPFSPSLQGQGGGLPANTNATKLNELWQSKNDFCKAFGGASIKLRLLYPSPWFMP >RHN75369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41655452:41659465:1 gene:gene11556 transcript:rna11556 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYNMKKIAYASPYYLFLDDIVISIFSFMSYLDLSSAHKHFTLNISSIPEPNTYEEAMCNENWRLAMKFELTALMKNNT >RHN60828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30708784:30709296:1 gene:gene23213 transcript:rna23213 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKVADRIETSIPINKACIFSSILNENFFYDVSFNLFMFNYLSLVERITCPWEFQ >RHN59979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15771944:15773528:-1 gene:gene22154 transcript:rna22154 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCGFKQDNDSLLKVLIGELQSKAATLVDPNSKLGETRT >RHN46078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28308298:28309038:-1 gene:gene40518 transcript:rna40518 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFSNMSSNLELFLIKSDKEDLTNFDIVKINDQNLEGSSNSQCKKEENSDKYEIEKEECSRTSSSSPSASEAEEKLKEKDEEDGFRTSSLSLSLSEAEEKLKEKDDEEDGFRTPTSLDHKISVLTCPLAPKKMKQSLKRRAEPYNDQYFSCRQLPLDLSKEVELLLFPTKHIPLSDSYSAKKIRRDNKGLK >RHN79320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27582277:27582757:-1 gene:gene3096 transcript:rna3096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MMSEEEKREFRFDVKGIDWKDYITNVHIPGLRRYVMKGRGMSNQ >RHN76717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:681616:683347:1 gene:gene87 transcript:rna87 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGMIKPPLKKRQVMMMTTNNAVKDIRVLQRIRRFKVLLPNGTIVELKVRIPNEDEMRFEEFIHLVRIRYLKIRKNSESMKKKSEINWNCDDLYLEDANDNKIKDVVDFGNFVPKKCHILRLKVNGIGEFPEWFENMWDLTPDIDLLMELPEDYNFEAAITDLIVSSLAKQTINSIFLIKILHISTLTLSFIYQDNALQAVWFNGKDNRKLIGYGPMTSI >RHN82499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54288035:54289068:-1 gene:gene6669 transcript:rna6669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative coatomer delta subunit protein MEFVVPQADSSAFFPISVRFTTTDTFSDLKVTNIIPLKGGNPPKHAQRTQLITENYQVV >RHN61229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34006381:34012549:-1 gene:gene23669 transcript:rna23669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ternary complex factor MIP1, leucine-zipper MQVRCKLIMDQTCSVSSHKRSNSDPMKWRIMKDEFVNNSEASYQPKVELGNLKENIESKKRQYHNMDLQSSLTQEELGNLKQSIESKKKQNNNMDLQSSLTQEAAKELIKEIGILELEVVYLEQYLLSLYRKRFNEHISSLSTKERRLESASYINKSTSALSGNDSIPDIHKGASVVPPSGALSDKEVSVPCSSEIISTSDQGGLQLKECNNQLEPESVLDSSIHRCYSALSQQTACSIEASSENIKTKAADSYHSLPLFMLEQTQCAKSHSTSLAEQFGNSYTDNDVETPNWLCEEMIKCISAIYCELTESPFLCRKNALSPGYEVPSKNQGSKWGSQWKKNSIFNLNSSNHFHVKGSKKLSGPYCSMIRIQKLCTDKEKLKEIEYMLRRFRSLVSRLEEINPRNMKHEEKLAFWINVHNTLVMHALLVYGISPNNVKRMSSVLKAAYDIGGHNVSVEMIQNFILGCSLPRPGQWLRLWFPSKTKPKIRDVRNGYAIRHLEPLLLFALSTGSHSDPAVRLYTPKRVLEELQSAKEEYIQSNIAITKEHKIILPKIVDSFAKSSGLGASDLAEMVMPHIHESQRKSVHEFQSKTNWKGIELTPHDFTFHYLLSKELAW >RHN63594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52562954:52565232:-1 gene:gene26316 transcript:rna26316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEENVVNTNSSPSTLDLEHEAIPSNEASSREAGGWRSVKYIIGNESFEKLASMSLISNLTVYLLTNYNMSGIFVVNVVQIWNGSSNIASLVGAFISDTYLGRFRTLLYGCIASLLGIMTITLTAGLHQLRPITCDDNDRPNCQHPKDWQLGVLFTGLGLLSIGAGGIRPCNIAFGADQFDTNTAKGRGQLESFFNWWYFTFTIALVIVLTGVVYIQTNVSWTLGFAIPTVCLAFSIIIFLFGRGTYIYKKPQGSVFTDMAKVIVAACRKRKLQLSNRTLYDHVSTLSESENIRLVQTDRFKFLDKAAIIAEPSELNNQGIAKNGWRLCSLQQVEHLKCLFGILPVWVTGICCFIVMDQQSTFGVLQVIQTDRSIGSHFKVPPGWMNLISMTSLSFWICIYECIYLPIMRIINKRATRRMSMGCRIRIGILLSILCMLVAAVVEKKRRDSALKHNSFISPVSFGLLLPQFALSGLNEAFAAVAIMEFFTLQVPESMRTVSGAIFFLSLSIANYTGSLIVNIVHKATSHKGKTPWLGGQDLNHTRLDYYYYLIASIGVLNFIYFNFFACHYLISGKEIEKAEVQPIASVKGESDEQDDEEKVLDRVGTR >RHN63020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47668474:47671609:-1 gene:gene25668 transcript:rna25668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MKFSFHRSFPTAILVLFMGLCDASSSLKSTYIVHMAKSEMPESFEHHTLWYESSLQSVSDSAEMMYTYENAIHGFSTRLTPEEARLLESQTGILAVLPEVKYELHTTRTPQFLGLDKSADMFPESSSGNEVVVGVLDTGVWPESKSFNDAGFGPIPTTWKGACESGTNFTAANCNKKLIGARFFSKGVEAMLGPIDETTESKSPRDDDGHGTHTSSTAAGSVVPDASLFGYASGTARGMATRARVAVYKVCWKGGCFSSDILAAIDKAISDNVNVLSLSLGGGMSDYFRDSVAIGAFSAMEKGILVSCSAGNAGPSAYSLSNVAPWITTVGAGTLDRDFPASVSLGNGLNYSGVSLYRGNALPESPLPLIYAGNATNATNGNLCMTGTLSPELVAGKIVLCDRGMNARVQKGAVVKAAGGLGMVLSNTAANGEELVADTHLLPATAVGEREGNAIKKYLFSEAKPTVKIVFQGTKVGVEPSPVVAAFSSRGPNSITPQILKPDLIAPGVNILAGWSKAVGPTGLAVDERRVDFNIISGTSMSCPHVSGLAALIKSAHPDWSPAAVRSALMTTAYIAYKNGNKLQDSATGKSSTPFDHGSGHVDPVAALNPGLVYDLTADDYLGFLCALNYTATQITSLARRKFQCDAGKKYSVSDLNYPSFAVVFDTMGGANVVKHTRILTNVGPAGTYKASVTSDSKNVKITVEPEELSFKANEKKSFTVTFTSSGSTPQKLNGFGRLEWTNGKNVVGSPISISWD >RHN48009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44246217:44248556:1 gene:gene42677 transcript:rna42677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MTGTNEVNLSESRSVVPLNTYVLISNFKLAYNLLRRADGTFNRDLAEFLDRKVPANAIPVDGVFSFDHIERNTGLFNRVYLPSSSENESQWGVKDLEKPLSTTEIVPVIVFFHGGSFSHSSANSAIYDTFCRRLVSVCKAAVVSVNYRRSPEYRFPCAYEDGWNALKWVKSRKWLQSGKEKKVYVYMAGDSSGGNIVHHVAVKACEEKAEGIEVLGNILLHPLFGGEKRTDSEMRLDGKYFVRLQDRDWYWRAFLPEGEDRDHPACNPFGPKGEKNLKGLDKFPKSLVCVAGLDLLQDWQLAYVDGLRNFGQDVKLLYLKEATIGFYFLPNNDHFYCLMEEIKNFVNPNC >RHN45799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25737186:25741218:-1 gene:gene40203 transcript:rna40203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MAETSISEPEIVAKPELIYRCKKCRRIVASEENMISHERGKGESSFKWNKRSSGSWENKNQPDDCTSIFVEPMKWMQAVQEGQVEEKLVCMGCKARLGYFNWAGMQCSCGAWINPAFQLQKSKLDECYM >RHN57542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39934690:39936278:-1 gene:gene33103 transcript:rna33103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MAFTLERCEDNMVFTMESQKSVPAPFLTKTYQLVDDPLTDHIVSWSDDETTFVVWRPPEFARDLLPNFFKHNNFSSFVRQLNTYGFKKVVADRWEFANDYFKKGAKHLLCEIHRRKTPQHYQQQYYEQSPQIFQPDESICWIDSPLPSPKSNTDILTALSEDNQRLRRKNFMLLSELSHMKNLYNDIIYFIQNHVSPASPFEQRSNNSATILKLVELDSSSPQLPNDKDCNSSSVKLFGVPLCGKKRVHPSNLD >RHN40575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17770385:17771187:-1 gene:gene46738 transcript:rna46738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine/threonine-protein phosphatase with EF-hands MGVVIIDGTTVRDFIADDTHFTNSINEQFTSLDLNNDGVLSRAELRKAFESMRLIESHFGIDVTTPPEQLTRLYDSVFDTFDGDGSGSVDREEFKNEMKKIMLAIADGLGSSPIQMVLEDDDEMNLLKKAADLEASKNAAV >RHN80194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36021105:36025008:-1 gene:gene4092 transcript:rna4092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSIAPIDSMTSLNSDLFYDILRRLDGPALASLACTCAAFCSISKEESLWENVCASVWPSTKREDVKSLISSIGGFRKFYADCFPLIVNKEVGEYQWNNHLEYPDDWTEAEYYGDTNEFESITPSDFVSIVDIRFKDKPICSKVLSGIPNANDNGGWFYNCPFRIDLLTYADRDDNNAGEVTLSVSNGLPPITSMERERKDGKLWHELRDGLLLSWILVNKKIKQAANLASWSPLDGQRHWPTDKDFVIRFGSVLPAKDILPSQVVQCILAMKFRVVHIEEEGFETTLKLTELSMQLEDMEGAHVNGKNSLHILKEALSCRRSKNYSEVIESCHMYSKVQNELKEEKMRSESRLDTLCILSGIAAFMTFWYYVL >RHN78541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16103421:16106535:1 gene:gene2121 transcript:rna2121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MAMEEGMIGIEYTKDGTIDLKGNSVLRSKTGGWKACSFLVVYGIFERIAYFGISSNLFVYLTKKLHQGTVISSNNVNNWVGTVFMTPILGAYIADAHLGRYWTFVISAFIYLSGMCLLTLSVSLPSLKPPKCHEMDVTKCKEASTQTLVVFYVALYIIAVGTGGTKPNISTIGADQFDDFDPKEKSLKLSFFNWWMSCIVFGSLFAFTVIVYIQDNVGWTLGYALPTLGLAISIITFLAGTPFYRHKLIKGSPFISMAKVIVAAIRKFDAVVPDDPKELYELSLEEYTKKGKFRIDLTQTFKFLNKACVDTGSSTSNEWMLCTITQVEETKQILSLIPIWVATFIPSAMIAQINTLFIKQGTTLNRSIGNLKVPPASLTACTVLTMIITYIIYERLIVKIMQKLTKNPRGISLLQRMSIGFIIHIVIMTVASMIERHRLSVAKKHEVPLSIFILLPQFILMGVAEALLEGAKMEFFYDQAPESMKSLGTSYSLTTTGIGSFLSTFLLSTVSHVTEKHGGRGWILNNLNASHLDYYYAFLAILNVLNFVFFVVVSKFYVSRAEVSNSLKVLETKLTEKKASSIN >RHN49390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54467059:54470877:-1 gene:gene44214 transcript:rna44214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribose-5-phosphate isomerase MASLSLSSPPSLSSSFFNASSRLNLRTPSSLKLRTKPLSFSVKAITLTQDDLKKLAADKAVEYVKSGMVLGLGTGSTAAFVVSKLGELLNSGELTNIIGVPTSKRTEEQARSLGIPLSVLDDNPRLDLAIDGADEVDPFLNLVKGRGGALLREKMVEAASDKFVVVVDDTKLVSGLGGSGLAMPVEVVQFCWKYNLIRLQELFKEEGVDAKLRVDESGKPYVTDNSNYIVDLYFKTPIRDANAAGAEISSLEGVVEHGLFLNMATSVIIAGKTGVEVKDK >RHN75756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44812691:44814524:-1 gene:gene12001 transcript:rna12001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MVRLGFLLFVSMLIFIISLSSAYNFNVGGNDGWGVKPSRHYNSWSERMRFQVNDTLYFKYHKGSDSVLEVNKQDYDSCNTKNPIHERDSGHSTFLLDKSGPFYFISGKVSNCLKGEKLHLVVLSPHHGKGHQGPSSSPFVAPVHSPATSPAWIAPTPSATRWNAPSPSAIGWNAPTHSPSQSPAWKAPSPSATSKTAPTQSPVWNAPSPSATGWTAPAHSPTQSPTWSASSPSATGWTTPTHSPTQSPAWHAPSPSATGWTAPAHSPTKSSGWNAPTPSATGWTAPTHSPAQSSAWNAPTPSPANIHAPTPSPTDEDAPKPSDIDNDSPAPSPGHSGSRRLSGFVGVSVVVALVLGSFAF >RHN53136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42209716:42211474:-1 gene:gene37906 transcript:rna37906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein strawberry notch, P-loop containing nucleoside triphosphate hydrolase MQQLVQWCGPKFDGLIIFDECHKAKNLVPEKDKKPTKTGQAVLDIQAQLPEARVVYCSATGASEPRNMAYMVRLGLWGAGTFFPDFGEFLGSVLSLIFSFFFLK >RHN66653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15743059:15743405:1 gene:gene14691 transcript:rna14691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLFGRRPFGHDQTQERILREDTIIKARKVEFPARPTVSNEAKVILLHENSTLFYVQSQLASTIYLNVQILSSVSQCH >RHN63543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52084663:52090058:-1 gene:gene26255 transcript:rna26255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycoside hydrolase family 47 MIPRRYFLTCLLIFLLVLSTFSFSHSQPHWSSKKKRLGEKVRNMFHHAYDNYMTHAFPHDELKPLTKTFTDSLSELGNLKLERLPQDYNGSALSLIESLSSLVIMGNNTEFEKGVRWLSENLKFDVDARINLFECNIRVLGGLVSAHLLASDSSKKLLQGSYKNELLGLAEDLGKRFLPAFNTPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGALSKLTGDPIYESVALRALRKLWSMQSLLKLFGTTLDVSTGQWIEHSSGIGAGVDSFYEYLLKAHILFGKEDYWRMFHSAYVAVQKHFRHGPWYHFNCKKHVFVLLACLSADMRTGRATYWQLTSLQAFWPGLQVLIGDISAANSSHREFFYVWKKFGVLPERYLLDHQMLHPTEKYYPLRPEFAESTFYLYQATKDPWYIEVGELIVNSLNLHTRVEGGFASIKDVTTMQLEDHQHSFFLAETCKYLYLLFDDSFMVDSNYVFTTEGHPLPVLSTWHEELPQTYIPTNWTTLKRQPANRASAMSLQVCPAMTLNSGQHIESACHISDVRSDHRCLTDNDCGVDATTCRRRSCSMAGYCGLWLFI >RHN58779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4817938:4818423:1 gene:gene20723 transcript:rna20723 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDSDVSWMVPIEVMLGSLNHGEVQACSISDVPEKLREANEDAYKPKNISIGPLHRGATRHLQLMEEPKWHYMRELLDILKLDKVICASYGGSNNNIVEEKEPEEIAKIMIIDGCFLLELLIRLGDYMDNQTTTSYNNNLILQTEEKMLSVLNDVTMLEN >RHN61955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39619828:39624221:1 gene:gene24472 transcript:rna24472 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGWAVSEKARPTSQGISCFGDSRSCRFWISCFAISGSNLRYSGYQTT >RHN66347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11131611:11132246:1 gene:gene14311 transcript:rna14311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MPWMQKMPTWKTEQIEELGLLWGKESEDSRKVKVVLDMLQPPITLKSLHIGLYGGTSFPNWVGNSLFYNMVSLRIDNCEYCMTLPPLGQLPSLKDLKIYDMKILERIGSEFYCVQEGEGSNSSFQPFPSLERIRFQIMPNWNEWLPFEGNSFAFPCLKTLELYNCPEFRGHFPSHLSSIEEIQIEGCARLLETPHTLHWLSSIIKKKSKKI >RHN48328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46684397:46684855:-1 gene:gene43034 transcript:rna43034 gene_biotype:protein_coding transcript_biotype:protein_coding MFPATIPFPAASSSAADDVVRCFPLPFSKTTMFSTSHVSGEDADKSHLQLILYFIMASLFISSVLLSSHHHKRCPPYHHTHFRFDLPSFPVTTKTFYSIFKGLSLKNTRDGFFQAVSISQSPLYVLFFSVMCYVFFTSFTLSVLESIPYAIL >RHN67592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28439275:28439556:-1 gene:gene15795 transcript:rna15795 gene_biotype:protein_coding transcript_biotype:protein_coding MMFRLLLIVWLLKLLRLLVVLLNNVVVLLLLIGLGRVQYLGCTSPGHPLCATPTSASPFSNWVCNLPLTMCTGGHRLLDKSLPLWHVKHNYTT >RHN72850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11230404:11230650:1 gene:gene8612 transcript:rna8612 gene_biotype:protein_coding transcript_biotype:protein_coding MCYISLKKKKNGMCYIVIRRLVNNLFLFGTSFFFYVKYSLYSFLWDLVTKITSINKTCWNNKFVTNMYDYY >RHN62248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42012017:42015456:-1 gene:gene24802 transcript:rna24802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGCVVPAELSLDLRPTFVPKTIANFLFHLSTIQTTSDKLSKLHDFLSRLEDELNKIDAFKRELPLSMLLLNDAILVLKEELEKCTSKNSVPVLEEFIPLKKEIDQSEENKNNDRDKNNNNECSKDKKNWMSSVQLWNNNTTTSNNNVSDHHHHHKLNKLETTKKREEGQSVTVAEDLFQSCSSNRNGGSAFMPFSAYSSVPVTTVTLSAPKEEKEEPVRNRLSFLTPEVKSLREGFGSRGSRSSSNRAVSSSSPPTVQPSLRAAPLQPQQTSRKQRRCWSPELHRRFVNALQKLGGSQAATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRVPAASGTDQSVVVLGGLWMPQEHYNDSSKGSSTASGSPQSPLHLATGSRGGTSPTEGDSMEDDDDEKSESYSWKSHIHRHGKVGV >RHN41863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33653345:33665784:-1 gene:gene48227 transcript:rna48227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-VIIa-2 family MGHSIFSKISIKSSTSTVGFSATTSSAGRNLKDQILERPNLKVFAFIELKAATKSFKSDTLLGEYGFGKVYKGWLDEKTLCPCKAGFGMVVAIKKFNYESTEAFQEWQSEVNLGRLSHPNLVKLLGYCWDEDELLLVYEFIPNGSLENHLFRRNPNNIEPLSWNTRIKIAIGAARGLSFLHDSEQQAMYRDFKPSNILLDGSYNAKISDFGLDKLGPSGGQSHVTPRLMGTYGYAAPEYIATGHLYVKSDVYGFGVVLLEILTVMRALDTKRPTGQQNLVEWVKPFLSNKKKLKGIMDGRIEGQYSPKAAVQAAALSLKCLENDPKQRPSMKEVLESLEVIEAIQVKTKRSNNNSHQPPVLQAARH >RHN53656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3157406:3164177:-1 gene:gene28586 transcript:rna28586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative MINDY deubiquitinase MDMVASSSSSSSPTNEPPPPPQHHDLQHQLQQEQQLSSPIIKDFLHKTKIIQFLGRTTPIVLQNDNGPCPLLAICNVLLLRNQLEGLSADIGEVSQEKLLSLVAERLLDSNSNVNNKDAGYVENQQQNIADAIDLLPRLATGIDVNLKFTRIDDFEFTPECAIFDLLDIPLYHGWIVDQQDRDTATAIGSKSYNALMGELVALETQNIETLPKNNPEEEDCVDFVAATTAALGVPSPSLSKTRSFDDSPCSVSDQAPRKGDLEEEEELLRALKMSEVDSIDLISDPVVGHANGNGGEVSFDMDENMCDNQAVTVDSGVDLGKNTGKESNDFHESETFIPDDSTASSKDYNEHTSSTSTLEEAANPFLKNDAVSGFHQSASMEPEKSTEQNDVVEKHKLDALVQNKSAVIHSPEKYSVSVFESCADATMGDEKVHNQSSLRSIDHKTSDESQGLDATGVPCLSASHTDSDSSVIRFHQTDASEAFPSTVDGSEPMYEGEECVLDTKTGNFENREPVYEGEAVLQEQADKSTLDALDPRAKEEITPEQGELVKSFLRNNASQLTFYGLFCLQAGLKERELCVFFRNNHFSTMFKFEGELYLLATDQGYINQPDLVWEKLNEVNGDTLFMTGNFKEFKVESLENNTTWDENNVMTNTADYLASIESATQAGLDINSDLQLAIALQQQEFEQQPPRQTQQTPSVSGTSRLVTGPQAPRSTGRNPPSSPKPDARSKDKCTVM >RHN69228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41944429:41952232:-1 gene:gene17669 transcript:rna17669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RanBP2-type MCTIKQSASNTFLKIKHKYFARIDFNFFLLGQKPKFPILAYYLFSLACAYRFRAFDLPPRSRSRRRISLSLSLPGFPSQHSLLTVMSQLDNRNSSASKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAVKPLQAPQAYSSAAPYLGSNAPPSIYIGAPPYGSLFNGSPVPPYEVPFTGGSAYQYSYGSRVPAGSPYRPLHLAGPAPYTSGAVVGHGGIYAMPQLLDRYGLGVPIGPGAMGTRPGFFRDDKSQKKGPDATRDNDWACPKCGNVNFSFRTVCNMRKCNTPKPGSQASKSDKNTKQKMPEGSWKCEKCNNINYPFRTKCNRQNCGADKPAESEKSPSSSPDQNEQ >RHN39136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4359116:4360327:1 gene:gene45135 transcript:rna45135 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDMRSEEEEVEDFGKNNHSKTQVPLFLFISPSPSYSSLSPSIPSRNLRHTPPSLSLCPLLPPFSPTPNPPPTPGLSYRTPSPTKILIYPPPPIPSTNSTSTNNNNKPNLLPPTLVLVTKLKEMGRKRYRKQKRVEVERDMKRV >RHN40313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15232001:15236342:-1 gene:gene46456 transcript:rna46456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MNTFSNLRGPKPSTYGVFISCGDDTRFSFTGFLSHSLNNRGFYTAINHRDSIQHCRIFIFIISRDYASRLDELVNIMDLFAKGNGRQQRILPVYYHVNPSDVRHQSGSFGEALSSFYNNLLVSDFEVEKRNTVLRQVADFRGWHLDPARGIYEHQYIEEILQEVSKHLACSIGLDHRVEKVMRCLNYRSNDDGVCMVGICGNPGFGKTTLARGVYHFCGGIDFDCCFFFDNVGEHLMKHGLVHLQQMLLSEIVGHHAGTMFESLDIGIPSTIKHILYQMKVFLIIEDVHDSEVLRAIVELTTFFGSGSKVIITTQEKHLLEHHGIEIIYEVEKLDKKEAFQLLSLKAFKSMNFKSNYLSILERAETYASGHPFLLEVIGSNLGGKDVEECEFVLDQYEMIPNHEIQKILQVNFDALGKHCQRMLINIANQPKEQELSLVEDTLYKMYGACPKQDIRVLFDKAFIKSNELGQVKLHGLTLDMVRENSPIEYPCKHSRLQKDILEENMEYPCKRSRSSKDTLEETVEYHKQEILPSSNDGSMMRDPMEVEYQPCSSSSSQRSILHISEV >RHN42941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42071162:42072136:1 gene:gene49449 transcript:rna49449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MEGQLQEAPQNNGTTTTNDNNQIVSPHSPLPPPPGRAHHDNMYIVQFPKDQVYRVPPSENAFIVESYRNTTNDKKKKKQRGCCCPRFLLTIVLILVTIVAVVGITLATLFFVFNPEGPTFTITRFEVKNVTRPPHYEIYLKAKNPNKRLGIVYVNSEASMLFDDTVVAKGKFTKLMEQSVDASTQFKVVVTRTSKALPKKMAKMPVNFELDMNLGVRMTASGLKTWVMTSHVVCKFKVNNLGKDSKILSQSWVNSFKQY >RHN74224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30455289:30455688:-1 gene:gene10250 transcript:rna10250 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGGPALLSCLHPLRDGPDSNGHSSQIDVDKYAIMHRVQINACDLRILDPLLSYPSVILGREKAIILNLEHIKMIITADEVSYLFFQCVNMLIDDGE >RHN46808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35023123:35024178:-1 gene:gene41339 transcript:rna41339 gene_biotype:protein_coding transcript_biotype:protein_coding MMKWKIAMSTSYKKNSYFSRDVISQSLEGYRSLLLLCFQRLP >RHN72625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9235563:9237408:-1 gene:gene8368 transcript:rna8368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-alcohol O-fatty-acyltransferase MDGEINNFIMVWTIAASTMAYSHTIGKLISQGTSRLIALIPAIIILFLLPLRLNSIHLGGPSSFFIGWLSTFKLFLFAYNKGPLSTNPSLSLLHFISLSLLPIKFQNQPNINHNNNNPSKTNIYKKDHESKSNSKSTLTYASATMIIILALLIPLYSKKENFHPKFVLFLYSLHMYIGLEFFFALASTFIRKLLSIDLEPQFNKPYLSTSLQDFWGKRWNISVNRVLHPTIYEPVVNFSTRWIGRKWAPLPGILATFTMSAMMHEVVFYYIKREKRSWEAWEPSWDAMCFFILHGVCLVVQVGVKKMFGDKVRLPTVVSWLFTVVFVWCTALWLFVPALVRCRVYEKASRELNALTEFGRDVYHVARVAFFYLKKEKVGRY >RHN43889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49243232:49245778:-1 gene:gene50524 transcript:rna50524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylethanolamine-binding protein MMAASVDPLVVGRVIGDVVDMFIPSVGMSVYFGPKHVTNGCDIKPSMAINPPKVTLTGNMDNLYTLVMTDPDAPSPSEPSMRELIHWIVVDIPGGTNPKRGKEILPYIGPKPPVGIHRYILVLFEQKGPIGMVEQPTSRVSFNTRYFASQMNLGLPVATVYFNSQKEPQAKRR >RHN59583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12271399:12280599:-1 gene:gene21632 transcript:rna21632 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKRFLNKLFKFIKCFFLNVRKFRNHVSFFLKRNRLRNLAFINNSFIRHLISHNFRRLLSLISNSRRRISNSFLISGGSGNSGSGNSSSYNSISLLFFLNNSFRGGCGNFFSRFLRFSSHLI >RHN75032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38871824:38875527:-1 gene:gene11180 transcript:rna11180 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSKCHHLPIPLLLTHNHNHLFKPSLLPFLHTTKPTSSFSISVIPSLSTSSSSLTDGPIEIPYDSTPSLLSSTDDPSFIQIAASLLLTGAISVLLFRSFRRRAKRLKQTQFRSSGEKSVKEEALETLKAMGTASIETTKGPPSPVQTFLGAISAGVISLILYKFATIIEAGLSRQTISDDFSARQITITVRTIINGLTYLATFIFGLNSLGLLLYSGQLALKSFTGDSIEKETENKSADQSSLSNLSVETRINDAELSGRNEEQSSNDAQ >RHN41297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28948820:28952167:-1 gene:gene47604 transcript:rna47604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylsterol monooxygenase MAFIIESAWQYLITNFSDFQLACLGSFFLHESVFFLSGLPFIWLERAGWLSKYKIQAKTNSRESEERCIIRLLVYHFGVNLPVMIFSYPVFKYMGMQSSLPLPSWRVVLTQIIFYFILEDFVFYWGHRILHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPAITGPHLITLWLWMVLRVLETVEAHCGYHFPWSPSNFLPLYGGSDFHDYHHRLLYTKSGNYSSTFTYMDRIFGTDIGYRKLKALKNAEVEYSSEQKKQ >RHN51132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14229126:14231544:-1 gene:gene35516 transcript:rna35516 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLANSLQHLEYNRLFLSNVSRVSLPHFLYEAFSHTPWYAKELRDKDALEEPLKNTSTSWDKSKERRKKSKKATKRTLSELICKKSLDHAN >RHN44893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9541444:9544139:1 gene:gene39068 transcript:rna39068 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSCFPPWNKEPTFIIVPQHYIILPHHLPTLFFLLHATPPPTSSNLLFHYFSEEFKRIVLSQF >RHN58013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43113375:43114216:1 gene:gene33618 transcript:rna33618 gene_biotype:protein_coding transcript_biotype:protein_coding MDHCFPYSDYWSNSTSTLFTKESKECEPIVEMPASPELIDDDEEIYHDYTYESDEEDIESDEEDIESDEEDIEDIPTFNISSQESSSCLPMYGNSFEEFDHGVNASQSLVAFHPNATNSHLSKMKNASRLKTERTVYVLTDNHPLLAEYPSREHDDPSPYLLVVWRPGTPSVFIIIN >RHN39726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10021174:10025354:-1 gene:gene45786 transcript:rna45786 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRKHDSPHRCRMGMIDRSNKYQVLIHKAWEVAQAPFKNLLMMGFMMWMAGNTVHLFSIGITFSALWQPISALQSVGKMFEPYKDAKVELLGPKLVFIALNLGGMLLGVWKLNSLGLLPTHTSDWVSSLAPAQEVEYSGGGLNFR >RHN60860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31062202:31085608:-1 gene:gene23247 transcript:rna23247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MNMSKTNGIIFTKDPYIEKAEPRKIAGISYSTLSDKDITKIGEAQVWQDRYYDAFKKAVEGGVLDPRLGPANKSSPSCATCHGNFTDCQGHYGYLPLAQPVFNVGFLSTIVKILKCICKNCARILLDKDHIKREKYFEKMNNPKLDGLQKMAVFDDIMKNFKSAKKECPRCGYINGSVKQQRATLSILHDCSKANNDDVAELQSALPHINNYRACNDLTSRTLYPAVVLELFKKMHGKDCTLLYIAEKPENLIITNIAVPPIVIRPSVMMDGQSNENDITEKLKKIIQANAIMQQESVETQKYQDAYLMLQFEVAQYINSDVKGGPYNLQMSKPLTGFIQRIKGKQGRFRSNLSGKRVEYTGRTVISPDPNLKITEVAIPIHMARILTYPERVTHHNIEKLRQCVRNGPDKYPGARMVKEAGGNSWNLKILTRTRRADELKFGDIVERHIEDGDVVLFNRQPSLHRMSMMCHRARVMPWRTLRFNESVCNPYNADFDGDEMNIHVPQTEEARTEALLLMTVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRSTFSLICSYMGDGMDPVDLPTPAIIKPVELWSGKQLFNILLRPHANVRVYVTLTVMEKNYGSKLDDREREFKTMCPDDGFVYFRNSELISGQLGKVTLGNGNKDGLFSVLLRDYKSYAAASCMNRLAKLSARWIGNHGFSIGIDDVQPKDKLIKGKEKTIEDGYKKCDGYIEDFNKGELKLAPGCDAAQTLESKIFSTLNGLRDTTAKVCMETLHWRNSPLIMSQCGSKGSPINICQMVACVGQQSVGGCRATNGFIDRNLPHFPKKANTPDAKGFVKDSFFTGLSATEFFFHTMGGREGLVDTAVKTADTGYMSRRLMKALEDLFLHYDYTVRDANCSLVQFCYGDDGMDPAGMEGKNGKPLNFDRLFLRSKAICPADGDDTILSSSDVHKVLHEKLSEVGMSKLVEKNASNNDITPAVGFSTDFLKSLQSFVGENTKLTESITEDDSNNLKNLKNFISRISGISRRQLEVFLDICLSRYRIKKIEAGTPIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIQEIIDGARNIKTPIITAILEREDNAETARAVKGRIEKTNLGQVAKSIKVVYSYTLAAVFISLDMGIIEKAGLNIDANIVKESILQTGKLKLKPENIKILDIKKLQVEPQGCSRSEILFQLNNLKNLLPSVVVKGVKTVERTVLEIKKNKTDNGKESETYTLLAEGEGLKEVMGIEGVDGHKTVTNHIIEMRNVLGIEAARYGIIDQIQYTMKQHGMSIDVRHIMLLADMMTVRGQVLGMTRHGIQKMGRSALMLASFESSTDYLFDASLRGKGDPIEGVSDCIIMGKPIQIGTGMIEVKQRLDPPVLPQGARPILSRV >RHN67844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30792262:30793994:1 gene:gene16086 transcript:rna16086 gene_biotype:protein_coding transcript_biotype:protein_coding MLMCCLIDWVSHVPLIPGHIWCWMIHSCGAKHLRKLISCCNVMENP >RHN41433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29960629:29963659:1 gene:gene47751 transcript:rna47751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MGIHNNPKGTKEIVIDECPLLKDEKIEVEIEHGDDGSNSNKNNESAASFAGSVFNLSTTIIGAGIMALPAAMKVLGLTIGIASIIFLALLTHTSLDILMRFSRVAKAQSYGDVMGYAFGSLGRLLFQISVLFNNFGILVVYIIIIGDVLSGTTSSGSHHFGVLEGWFGEHWSTGRTFVLLITTLVVFAPLGFFKRIDSLKYTSGLAVALAIVFLVITAGITFVKLFNGSIDSPRLLPNITDMTSIWNLFTAVPVLVTAFVCHYNVHTIDNELGDSSPIQPVISASLVLCSSIYILTALFGFLLFGESTLDDVLANFDTDLGIPYSNILNDVVRISYALHLMLVFPVIFFSLRFNLDDLVFPSASSLELDNWRFSSITTGLIFLLYVAANFVPSIWDVFQFTGATATVCLGFIFPAAIALRDPHSIATKKDKILSIVMIILAVFSNIVAIYSNADALFRKHQSKSN >RHN59849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13974834:13987953:1 gene:gene22010 transcript:rna22010 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDPTNLSRTFKYLLATQFLSRGIPFIFNTWIVRHLTEADYAMYAVQFHLFVTCVLFLSREGFRRACMRMDMKSDGTSMEEGVVKLLKVAWMSVPLGILVTIVACLFVFWWQQISYFSPYGQAVLINGCACILELLAEPLYILSQNLVLLELRLMVETVATFSRCLTMYFLIVNLTGLEKSIIFALSQAAYGACLFLGYWGYLLLYRKFRYSYLFPFREGRVFGFDQQLSKMCILFTFQSFRKLILQEGEKIVLVWFDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYTTFARSASGEYQGKHKTLGSCLTESLKLVLLIGVVFMAFGPSYSYSLIRLLYGKKWSDGEASTVLRYYCFYVIVLAMNGTSEAFMHAVATESQLKRSNDSLLVFSLISVVLNVLLIKLSGSVGLIMANSLNMTLRILYSAMFIKEYFKGSSSFSFRGCLPSGWIILLLSGVITLVSENVFLDQDNFWPTFMIHFSVGLACFCVSSYVIYCREKPFIKKIIRFRDHSD >RHN63817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54223005:54224328:-1 gene:gene26570 transcript:rna26570 gene_biotype:protein_coding transcript_biotype:protein_coding MACVLSCCIQNRCLSISSPLPQKSSSGTTEVACTAKKSLAITDSRR >RHN50414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6929565:6934519:-1 gene:gene34696 transcript:rna34696 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFECKTMPGGATVSSSSGHRFHCLPPLQLTKSPLVSVHPQSISCFHAKLFFKSNRFSFVPVRAADSSTTTASQSSSDVPIDSRTLVPDDEISITKISFGTIGLSLGVSLLSYGFGAYFNILPGSEWSAIMLTYGFPLAIIGMALKYAELKPVPCLTYSDAYKLQEKCATPILKQVKSDVTRFRYGDEQHLEEALKRIFQYGLGNGIPRRSAPILQMVREEVTQDGKYSLVLVFEAKALKLSDFEQRQAKFTSFFGPGITAEVGNGENDLYEVRLISNTDPNAASSP >RHN48276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46246202:46250935:1 gene:gene42977 transcript:rna42977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain, nuclear transport factor 2, NTF2-like protein MASYQGSVSAAQVGSYFVGQYYQVLRQQPDHVHQFYSDLSSMIRVDGDYTETASDVLHIHNIVTSLNFSTIEIRTINSLDSWDGGVIVMVTGVVKNKDINRKQKFVQTFFLAPQEKGYFVLNDIFQFVDEDVVHPNLVPVASDRIDSQPHVSASFAEPPASDYGFEEEARDYVNSVHIDDDPVDKYSLPEQQQQQLQEDFETEVVVDETPVQEASPPVHNVAHTIRETPAAPVEESFEEPAKKTYASILRAKGQSALSAAPQHAPPPSEYNHVTQPAVQQSVAQPAFQQSSSASAYVSESGPEAAEEGYRFEEEEVTSVYVRNLPADITEAEIDQEFKNFGRIKPDGIFIRVRQEIGVCYAFVEFEDVVGTQNALQASPIQLAGRPIYIEERRPSTSSATRGGRGRGRGRGSYPTDAPRGRFGGRSSGRGYYQDTSDYSRPRGDGYLQRGSR >RHN46487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32207288:32210120:-1 gene:gene40978 transcript:rna40978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MIRESVGALTKLEFLSLRDCTNLVGIPDTFYKMTSLITLDLCGCSEFTKLPLREISPSPLQSLIYLDLSFCNIYEEPKVIGELRCLERLNLQGNNFTTLPSTLSRLCNLSYLNLSHCHRLQSLPKLPTNRGPSNSVGRYFKTTSGSHKHRSGLYIFDSPRCMEHPSSSGGCSTECPFNSELLYRWVMRLVQEPVLYRCGFDIVFPWHGGTKDSYKSSAFSKLFRFHHKLKGGSIVRINNFVRDVDWVGFLFVAIFESNDHNYQSLSSPPLPHPFYLSFESENTEERFDMPLNLEQNMVDGKHYIWMIFISREHCHFVETGAQITFKACEGLIIKEWGLRVLNKEDTWGYRMGMSVDLPLQNVKVKRSRSSSRFEPKIQLPYNWLVSDKDEAEKDAAKRKEIGLSNLGLSTVYFPPPPPWFSISPEGMHFV >RHN44325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3551773:3552252:-1 gene:gene38428 transcript:rna38428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MTRKKVKLAFIINDSTRKASYKKRKKTIIKKVRELTILCGIPACAIISDPFDSKTEVWPNLKEAKQVIERYQNSYMKDERKNVNQESFLLQRITKAKEQLRMQRHDNHENELNILMFWYLQNNKLPDDVTVSDLKDLDKLIEKNMKEIDDKMASLSLSN >RHN58136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44012267:44012726:-1 gene:gene33748 transcript:rna33748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MVDYAWSHAVIYDETYKTIRRSCDFNSSDSWKNEECTNGVDEVLKQYNEIDIYSLYTSVCFATFIFKLMFVPLLIVQKVEKKGQENKRAIILLHRTDTY >RHN44936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10104093:10105235:1 gene:gene39118 transcript:rna39118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNTPSPSPVFLPEELIAVLLSFLQVKYLIRMKCVSKSWNSLISDPRFIKMHLHQSARKPHLALLSMYQKRVITVPVSRLLQNPPFTIAADPSYSWDFMYVASFVGSCNGLLCVNYHAYKISEKSSLHFYNPATRILSKKFWYSNENLKNMYPMKRYTFGYDSSSDTYKVVMYGLFSDSKTKLNGTRVRVFSLGDNVWRDIEDITVAFINHDVYFSGSVNWLALENCFNQLGTWNYDSKCFTLGQFVILSLDLGTETYTRLLLPRGFDEVPHLEPAICVLMSTLCFCHDLKKTDFVIWQMKEFGVEESWMKLLTINYQNLKPISWLPLHLSEDNNTLVLENKQDGHVTIYNLRDGRVDRIITSRAGLAHSQNHVESLVSIC >RHN64977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63410090:63411910:1 gene:gene27861 transcript:rna27861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton pump-interactor MEDNGVEGGKATALGKDNGKLEKDSGAGDTIIFGSFGDGFVEGDANDDVADSNTPKNVAEEFPAPMQIHSFYFFRWRPYDDPSIKAKIDQLDKEINKKNEARFQITEALNAKWSKRSELISQIKILRGDNKQLQSIINEKMEEIQPLQQALRKLRNLNNAGRRRICSSEEELNDTIYSLQYRIQHESIPLNEERQIVREIKKLEDTREKVIANSAMKTKLEDTIGPKDAIQDQVKLIGGDLDGLKKERQAIRSKIKQIEDVLETIYTNIQSLQEELIDVTQKREQAFESIQKLRKQHNEGNSYFYQNRTLLTKARDLAAKKDVTAVYELLQTEGEKFMSLWKGGKTFRADYEKRIMSLLDMRQLGRDGRVRNPDEKPLREELKPAAELEALPKAVAKQPKEEPKPSPVETLPAQKQTKTKSKDLKTKSDNKDLEAINDDYEFENPHKEISAAKVPKIDPAKLKQMKREEEIAKAKLAAERKKKLAEKAADKAALKTQKEAEKKLKDREKKAKKKSGAVTTPLEEQEDVEVEAIEQEMVNDVVEAPAPVKEKVAKESGVRFRSRAKGPESIQKAIMKRKKSNNYYTWITVAALLVLLPLVLGYTYLL >RHN46473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32062390:32064978:1 gene:gene40962 transcript:rna40962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB family MEGHHLQHHQQHQHQQHQQHHQQHQQRQHQQHAHNIIGTSSVNVDVTDRFPQWSIQETKEFLMIRSELDQTFMETKRNKQLWEVISNTMKEKGYHRSAEQCKCKWKNLVTRYKGCETMEVEAMRQQFPFYNELQAIFSARMQRMLWAEAEGGSKKKGVHVSSEDEEELGNEESEGDHKGNIKKKKKKGKMIIGGGGNDNNGSNNLKEILEEFMRQQMQMEAQWMEAFEARENERRLKEMEWRQRMEALENERIMMEQRWREREEQRRIREEARAEKRDELITALLDKLTREDM >RHN40331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15403904:15404302:1 gene:gene46476 transcript:rna46476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MFIGPFWDHMLGYLKESIIRPNKILFLKYEDLKEDVSFNLKRIAEFVGFPFTQEEENNEVIENIIKLCSFESMKRSKGNQSGIIGVIDKEFFFRKGEMGDWVNYLSPSMIEKLSKVIKEKLSGLSLSFKGCP >RHN40228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14402770:14403333:-1 gene:gene46351 transcript:rna46351 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIRVKPNKTDAWTWSNVSFKSKWLSGELRLPIFLFNDVTPYFFRNLIAYEMCPDVRYNYECCSFFTFMDSLVDNAEDVKELRLAGVFQNLLGSDEELAKLFNDLGDDLPTKMYCNNSYTNAVAYSRKYLLIKIQIEKHYTNKWKTWLAQAYNTHFNTPWAMIAFLAAMLALVLTFIQTWCAIYPK >RHN60338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25556100:25558393:1 gene:gene22639 transcript:rna22639 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKAPTWSDQWGSGGLGSDEYDDGEITKKNSKSSGSGKKMENAKAMASVSMDKAKSAAIVGADKAKSAAVVGAQKVKTGTSAGLKWIKNQYQKKTSK >RHN74568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34786138:34786866:1 gene:gene10657 transcript:rna10657 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSAPESSSNMQQEVVPIYEIKGRTMSLEEWDLKIQTENHVDFVSLAYHGCNISKYYEAQGLVGYFNLLNGPTYQTLVRLFWVRASIYDREASKLEEAEKVLINPELEGKSKREMGLEPFVSTEIKSSIMGIPVHISKEIISFILRRPAEGTYKVGIKNVKISPWNEIVHQTIFNSKEKGVYADLCMERKMMLKIQNENLLPKCGDSDQPSLEHKIFLHLFITRERTNVSRYIFMHMIQ >RHN74591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34950761:34951563:1 gene:gene10685 transcript:rna10685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine-rich transmembrane CYSTM domain-containing protein MSHFNNQQQTPVSYPPQAYSSAPYVTAPPPMGYPSKDGSQGYPQQKISDQTTSRGDGFWKGCCAAICCCCALDICF >RHN55629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20235490:20236545:1 gene:gene30836 transcript:rna30836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MPPLPIDLVAEILCRLPIKLLLQLRCLSKSFNSLITDPKFAKKHLRLSTTLHHLILESRDNLGELHLIDSPISSFSNFRVTLTKLSHPFILNTFSWRMCTCDGILCFTPSTSKDNFVVLWNPSIRKFKRVPPLGYQCRLFSNHYSFGYDPFIDNYKIIVVYFSRESEKNEISVHTLGTEYWRRIQDFPFSGHIGGPGIFVKDTVNWLAFENVDNNGLFAIVSLDLETESYEIISIPDVNSDKYWSLEVLRDCLCIYVTSDLDLDVWIMKEYGIKESWTKLYSVSFVGGQMYDIRTLYIFEHDQILVELHDWERTQHLIVYDSKIDTFNIQDIENGSLLKNPKVYIESLISP >RHN72653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9448129:9455228:1 gene:gene8397 transcript:rna8397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo-like helical protein MKGLFKPKPRTPTDIVRQTRDLLLFFDRNTESRDSKREEKQMTELCKNIRELKSILYGNSESEPVSEACAQLTQEFFKENTLRLLIKCIPKLNLEARKDATQVVANLQRQPVQSKLIASDYLENNMDLMDILIVGYENTDMALHYGAMLRECIRHQIVAKYVLNSPHMKKFFDYIQLPNFDIAADAAATFKELMTRHKSTVAEFLSKNYEWFFADYNSKLLESSNYITRRLAVKLLGDMLLDRSNSAVMTQYVSSRENLRILMNLLRESSKSIQIEAFHVFKLFAANQNKPADIVSIFVANKSKMLRLLDEFKIDKEDEQFEADKAQVMEEIASLEA >RHN78090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11803353:11803772:-1 gene:gene1605 transcript:rna1605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin 11-oxidase MCRQILTNDETFKIGYPKSTMEVTRCKFFWRSSQEEHKRVKRLISVLTMGHNTLEMYLTCMEDIVINSLEEISSMNHQVEFLKEMKNISFQVIVDILIGSYNQHIITKIGDSFTEIYGALFSMPINLPGFAFHKGLLVI >RHN66420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12196770:12198974:1 gene:gene14396 transcript:rna14396 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaB MALRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFEAWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSVISLLAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWAGHLVHVAIPGSRGEYVRWNNFLSVLPHPQGLGPLFTGQWNLYAQNPDSSSHLFSTSQGAGTAILTLLGGFHPQTQSLWLTDMAHHHLAIAILFLIAGHMYRTNFGIGHSIKDILEAHIPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLEHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDVLLSSTNSPALSAGRSIWLPGWLNAINENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLVHFSVGYIFTYAAFLIASTSGKFG >RHN58151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44125529:44127719:1 gene:gene33763 transcript:rna33763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MKVSMIFLVSTLLLLSSSAFAKTVSPPSPPAESPTPAPAPAPTPDFVNLTELLTVAGPFHTFLQYLQSTKVLDTFQNQANNTEEGITIFVPKDSSFASLKKPSLSKLKDDEIKQVILFHALPHFYSLADFKNLSQTASTPTFAGGDYTLNFTDNSGTVKINSGWSITKVTSAVHATDPVAIYQVDKVLLPEAIFGTDIPPVLAPAPTPEIAPAADSPTEQSADSKSSSPSSSPDRSSSYKIVSYGIWGNLVLATFGLVVVIL >RHN66707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16921260:16921568:1 gene:gene14763 transcript:rna14763 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHSSFVDDEGVNGACGCPLLPLKSHIKGLAPVSDQECDICCCCVL >RHN51575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20142298:20143497:1 gene:gene36039 transcript:rna36039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDSKLIRTLKSLLCSLFICFSPPPLPDLPFELVAEILCRLPVKLLIQLRCQSKSLNTLISDPKFAKKHLRLSTKSHHHQLILPCLDDKYVAMVNSYPLNSVMGKFTQQDFPYGGKHSIGQPYHSIAGSCNGIICLALPMPRGNVIKRSELVLWNPTIRKFKRFLYFETPRDAYGCTLFGFGYDHIIDGYKIIALSFYRCGTNIFKTQARVNTVGTDSWRMINGQLPLSNGRFELLKFVSGALNWISYRDDGNNSVISFDLVNESSRELLQPDYGGESMPDVILSVLRDCLCIFALTRQFSSVWLMKEYGNEESWTKLFHVPYMEEDPFHPFHGKPLWIFEDDKVLMECMSLQNRKMNMAIYDFKSGTLCPNISKAGVWNDPEVCTESLISPKSLISPDF >RHN56178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28542073:28543862:-1 gene:gene31539 transcript:rna31539 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLPLPNFLLFPSNHQFSSSNRTPKINIMHLSKLFHSTSYSLMNEFLIHERILLMLRYDTGCFTCFFRSG >RHN76415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50066032:50067362:1 gene:gene12738 transcript:rna12738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor OFP family MPKKLQKTLQDYLNKIKNKNPQPQIRLTKMLSGCKHPKTPSFSLDNGRNISSSNAVNNNNNKINDAATLADVDRFLFENFKSLYFKDDEETEQNNNNNNKNKRISDEKNNEEPPKRIGGSWLLESPRFITTPPQDLCGSARFFVKPGNSGSLMEDALSLTNSDEADSSNSNNSNSSSTASPSKQVIVVNHDDHNHHTLPENCVALLSYSPSPYDDFRRSMQELVESKYGKIENNQRKIDWDFMEEILFCYLNVNEKKSHKFILSAFVDLITVMRKNSEAAPAKPCSVRTVRIGREVRKKKTKQVTIEFGSS >RHN63852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54467142:54470291:1 gene:gene26606 transcript:rna26606 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGLILKLLQAMNTDTRVTGDHRSPLLQLIGIVPALSSSDLFSNEGFYLNLSDSLNSTYVLLSHPDTDLILNNRLQLGQFLYVDRFHFHSPLPSVTNIRPLPTRHSFVGTPEPLVARISPTTRHFTIQPLSDSDDPLSLYLSTNTPQSPVQINQQQQQKINNVHSRQPLAPRDNLPTPKRFSSPATAKRSQSAGKFGKVSAERDPSPAGKMKRSSSPVPSKCVVPSLVSAREENRKVSREAAIIVPSRYRQPSPTARKQPSPNPRRASISPGRRLSGGIKFSPAVGDSTAKKKMVAGISKISDMLAGSAKTTRKNWDEHNVEGEAKEKSVGSKTRVDSQLILRTQVAMSRRLSDVKSRKSDDNDSSSVDENTIDSSPQSCLDLEKPKFAGLGGITIHEKKWTDGSVPLDAVSGKLSRLGKDAMERKALASAAAAAALEEANATECIIRNLSMFSDLCSVCKAKNPLPTIDKFFSIYDDVLRSIAMTESVANSHDDSIPTCQSKSISLWVEAALATDLQIVSLLTENDINTPSELPKRLSRPHSLSTSKSQGNGMKETLELGTSLLSEMQMWFLRFVEESLEAGFKVFGESANGGKKALPLDGGSIAIVLSHLKRVNAWLDRVVSKGNHSLTDKIEKLKRKIYGFVIQHVGSTFDNKASLASS >RHN38550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:126398:127754:1 gene:gene44503 transcript:rna44503 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQVVSRSSTFKEDININGRHELLDDNEPNSAPPIINTNSLAAQAIKASASRRHPSHSFALLNSSSNNSSLQHDNHHRSKSFDSYGDASKSGFWGVLAQKAKEILEDDHDHDTQKLRSYSFNIPPGARTQDGRAIGESKLKGCEDSNQLIWNPWQQQSMNSHSSIHETQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKARCAQLEEENKLLRDKEGREKGQNRADDDLIRLQLETLLAEKARLASENEVYSRENRFLREIVEYHQLTMQDVMHFDESMEEDTDLDLYGPIDTTQNGNIIPLMLSPRSQLSTQQMQSKSIFSVSQRQQHDQNA >RHN44257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2960037:2962412:-1 gene:gene38353 transcript:rna38353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, peptidase, FtsH MKDCSPDTVTETFHCSRTCSYYYLRLPSAKFEMEPNTGVTFEDIAGVDEAKQDFQEIVEFLKTPEKFSSVGAKIPKGVLLVGPPGTGKTLLAKAIAGEAKVPFFSLSGSEFIEMFVGVGASRVRDLFNKAKENSPCLVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFNSNTGVIVIAATNRPEILDSALLRPGRFDRQVTVGLPDIRGREEILKVHSNNKKLDKDISLGVIAMRTPGFSGADLANLMNEAAILAGRRQKEKITMKEIDDSIDRIVAGMEGTTMTDGKCKILVAYHEVGHAICATLTPGHDPVQKVTLVPRGQAKGLTWFIPSDDPFLISKNQLFARIVGGLGGRAAEEVIFGETEITTGAAGDLQQITQIARQVRLRAHLLHII >RHN75266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40759185:40761070:1 gene:gene11441 transcript:rna11441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monodehydroascorbate reductase (NADH) MEGQEYLKKSKVIKIDSKKSWEHYISYATNQSYPVVVHFSAFWCVPSIQMNPFFQELASNYQDVLFLTLDVDEVKEIASKMEIKAIPTFLFLNGGTLVDKIVGANPDEIRKRVDHFIQSTPS >RHN43821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48757475:48760049:-1 gene:gene50451 transcript:rna50451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ccc1 family protein MAGNGDEHRLNLEPEKQSLLNRHTEKHFTAGEIVRDIIIGVSDGLTVPFALAAGLSGANATSSIVLTAGIAEVAAGAISMGLGGYLAAKGEADHYNRELKREQEEIVTVPETEAAEVAEILAEYGIEAHEYGPVVNALRKNPQAWLDFMMKFELGLEKPDPRRALYSAMTIAIAYVLGGIVPLIPYMFIRNASEAVLVSVVVTLIALLIFGFVKGSFTGNKPIRSALETALIGAIASAAAYGLAKAFHP >RHN54733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11702288:11710825:-1 gene:gene29811 transcript:rna29811 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNNNNNINITDDILGDEWDEDFLNSVIEAETDAISSSFKPHPTNPSSSSTSFSNHHQFQQQQQQQPPKPFVSGGFSPPRELSQRPAPTLFDTHDKDIEFERFKEHREPRCASKQIVNLEKECLKLKNDRCKKEDQPKFVSSENEEDNARAKRSKSIENGRDFGIRAPDPPKASSTFQSGVSSNYPTGETTAKDKGVETEIVTHRVAQDLPSDDLSAHLDLSQNLLAVWGSPSNKMLRSDVVSKLFASCQKEIHYLFGYMSTSPPSEITPKPILDVISSRVPLHYLNDCFHTPKVHTPEATKVSHLYNALTKIAHETDVLETLIPPLLDLCSMENLSMYTAYCSEAPTGIGKGFQKKCTLLRDSVFMDGICIGKDLLDFDGLDGVIDGKPFNEDMLSRKACNYPSALQPHVNWSNIFDIMHHISMRITEENVRLETVSIMILLFLRSSAYFEREKFSQNTVFKTISELLKKDAGLRVKEKTLRLLYLVLNCPKLLATFCRGCKEGDSSSGTDDNASVPDFENFKIILLRLADCLSFIRCGLLELKISRKAILVLSFLASSGQPGFEIFMGHRLSITLSVNYLQSILQLLLSENLFEAQADNEMPEIFKERTFLMREILILLNRLVSSPSYSAIVIRGLTEKRAMANLTFEVATGLSRKGNENEQQDSMVKQIRRTEIVDLACQFKNRVETHIPDHLG >RHN43371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45230693:45236832:-1 gene:gene49933 transcript:rna49933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytosol alanyl aminopeptidase MWPCHQCQNTVTVCLRVLLQILTCLVQFLSRRYRPGAEVVRMYKTLLGSQGFRKGMDLYFKRHDGQAVTCEDFYAAMRDANDADFANFLLWYSQAGTPVVKVNTSYNPEGHTFSLKISQEIPPTPGQSVKEPMFIPIAVGLLDSTGKDIPLSSIYHDGALQSVSSNDQSVSTTILRVTKKEEEFVFTDIFERPVPSLLRGYSAPIRLESDLTDDDLFFLLANDSDEFNRWEAGQILARKLMLSLVDDFQHNKPLVLNSSFVDGFKRILSDSSLDKEFVAKAITLPGEGEIMDMMKVADPDAVYTVRSFIRKQLASELRSEFLKTVENNRSSGEYVFDHSNMARRALKNIALAYLASLEDQEFTNLALQEYKTATNMTEQFAALASVVQNPGKTRDDVLADFYDKWQNDYLVVNKWFALQAVSDIPGNVGNVRKLLNHPAFDLRNPNKVYSLIGGFCGSPVNFHAKDGSGYEFLGDIVLQLDKINPQVASRMVSAFSRWRRYDEIRQKLAKAQLEKIMSTNGLSENVFEIASKSLAA >RHN78305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13887755:13888057:1 gene:gene1843 transcript:rna1843 gene_biotype:protein_coding transcript_biotype:protein_coding MLECLPSLIKFCSSECFMKFPLLEKVIVGECPRMKIFSARDTSTPILRKVKIAENDSEWHWKGNLNDTIYNMFEDKVCLFRMAFGFLFSLVFFGCIVVDF >RHN62970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47183279:47187808:1 gene:gene25612 transcript:rna25612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative caffeoyl-CoA O-methyltransferase MAINNGEEQQNQLIGDADLAHKTLLQSDALYQYILDTSVFPREHPCLKELREMTEKHPRNHMAIPGDEGQLISMLVKLINAKNTLEIGVYTGYSLLSTALALPSDGKVLALDKSREYYELGLPMIEKAGVAHKIDFRQGLALPLLDELLQDENNKGTFDFVFVDADKNNYLNYHVRMIDLVKVGGLIGYDNTLWSGSVAAPPDAPMMDFIRNLRGYVIEFNKHLAQDSRIEICQLSIADGITLCRRIK >RHN56836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34094185:34094637:-1 gene:gene32295 transcript:rna32295 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRIQERASKKHGISAEEKKLVMKPLIIGLNMPLVIAFGPCIFFCLELIPAFCKNIGIGSSINFLLKKNSKLLVLVPALCKNIDIGATWCEMIGPRGTSLVLCFFNRKLTERPIPIFLQSAGTNAKQKKVQRPMPKFDKSAGTNDTFKP >RHN63837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54360603:54361749:1 gene:gene26591 transcript:rna26591 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKPKPEDPKPENSGAVVQHQKLCLSIDMDKRLVYGYTELKIAVPEIGIVGLHAENLGIESVWVDGEPTEFEYYPHQYSQNDDEKRWSSVTSPSSAADAAVSVYLSSLEKELVPNLLINCFKPSKTETEQQQKEQPVTENGFHSAAAAEPLKQVIVAVL >RHN59794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13277725:13278138:-1 gene:gene21947 transcript:rna21947 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKAISVEQPEKQSCNTYYNPIRARTTAKNRRVGLLSNMEVGGAEGSRCSSHHHKRRLHLKMYWIPGGGGEFCVERWQRKETLKVRVFEDPSTPTFFQGKYGDGVPAHNPTIKLVNDLGDNVFRVRVSIPEPMPLS >RHN51231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15237067:15237246:1 gene:gene35632 transcript:rna35632 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl32 MAVPKKRTSISKKNIRKNFWKKKGYWTALKAFSLAQSIFTGKSKFVTNKIKMLDWNNIN >RHN68884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39360612:39362920:-1 gene:gene17300 transcript:rna17300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zeaxanthin epoxidase MEGIVKSLYLPKIPKSQTCSGSLLNSPLLLHSNATSVTFNSATHHLHKCFLFQQPGGVIHPKAQEKVNLGAIHASKATTPTTTTTQRWILEPVGDGDSRHIGFKVERPGAYEITSNEVTVGRVPEKADLVIPVATVSGVHARIRIKQDNLLVIDLDSTNGTFIGDKRLKPGVVTTVSSGSYITFGDTNLAMFRVSKIEEKAADTVGEPEGELENDGKSDSTETSSTSS >RHN73128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13643503:13643960:1 gene:gene8912 transcript:rna8912 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYISVSFVSVLLVVTTMINGAYGAEGDDGEPLPPGLQKLCDDWYWKCYDYPNSVYCQWYNKRFCLAAPITCDSSPKPESTLP >RHN42267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36881213:36881974:1 gene:gene48687 transcript:rna48687 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGRNNIESDVVACNSPKRKSIKPVSQDIQRKKKMIVSNMESKPKQYREQSEIDSDEALSEIFEGFKMPEFKELKGFENFRIIVDGRPIEEELSTEVRSKYYRLCYSQQVFLHDNVIKGLHYKLIAGIISEIVNIADAIKVSVISTPRVEFSNWDKTLLAFEHLGMNVEFLRVRLRRLVSIAYETGDASEIRRYMAYRTEQHGQVEDEIKNMETKLEELKEACSGFTNYLESLKSKAEKHEHKFQKEVAANW >RHN38578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:414571:415497:1 gene:gene44533 transcript:rna44533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MGYCLDMEYYLPVLAMVLTQFIYSGMNLSIYSGMESKSIYSLPRNSRSYSLNLTSFSWIFMTSLIGITLYQNLYFEGLYLSSSSTATAMINLTPAVTFVIATFVGMEKVNIQSLRTIAKIVGTMICVTGAVSLSMTLLKGPKLLNADHKMPSKTMMTTTLESDENWLIGCLFLLGSSVAGSIWLILQVFDCNNISITFSG >RHN48116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45138751:45141908:-1 gene:gene42792 transcript:rna42792 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFQVIRNGFYQIKYVQHKGSDAGKVILEVVVSILRLRYTFWTASRHHDFSFPAQVLFGALLVLILFILIQVSFPLGKLSHMIHGCFTSIIFCGCIVYHTYNHIKRFSYYLWSCGLKNIKLQHIIFQCCFMKL >RHN45979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27486532:27490983:-1 gene:gene40409 transcript:rna40409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MENTTLKHVDHDEHEEEIPDSFCCCVCLDLFYKPIVLSCGYMCCFWCIHKSMSGVRESKCPTCRHQYYHFPTVCQLLHFLLLKLYPVAYNRRTNQTLALH >RHN77971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10960739:10978830:-1 gene:gene1484 transcript:rna1484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MKKNPFTFSHFVASNNKNHTLFVSHSPIVTATFHRHVRHPSLRRRTSNANNITMCMSCKQLRTVILFQGKTGYSNPFSTKMRCCNFLPFKFSKMCYRMKHKFTDQKVVEIDQHGVKSTVKLRKKVFALMDHNIPDLIGNGSGEMKSKMNRKDAVDDFDISLMCRIFPSITLGSAPQVGLYDGTTAYSETNIISGTKDFEQHLYDSSLTSSPLRKDDSFPCPLTPQSVSSIYEEKLDQVTREDSQKKVGLQSQSNLTLNELSLDTSVNCLPGLTKKQREQLDNCGFHTLRKLLHHFPRSYANLQNAHGNIYDGQYLMFVGEVLSSRGVRANCSISLLEVIVGCQIADKESDIEHVTDKVGKTRTVYLHLKRFFRGTRFTFKGFLNSIANKYQVRDIACVSGKVKTMPAKDHYEIREFNIDVLEDGKDLSLCAKERPYCIYPSKGGLNPTFLRDIIARALHALPVIVDPIPKDIREEFGLLSLHDAYFGIHKPKDISEADLARKRLIFDEFFYLQLGQLFQMLEGLGTQVEKIGLLDKYRRPENNTVCTKEWSCLTKKVLELLPYTLTSGQLQAVSEIIWDLKRPVPMNRLLQGDVGCGKTIVAFLACMEVIGSGYQAAFMVPSELVAIQHYEHLLALLENLDEVKFKPTVALLTGSIPIKQSRLIRKGIQTGEISIVIGTHSLFADSVEFSALRIAVVDEQHRFGVIQRGRFNSKLFYKSSISSLEDAITDKSSKTDDYMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPQGRIPVQTYAIEGSDKGFEDAYKMMMDELEDGGKIYLVYPIIELSEQLPQLRAASADLKVISDRFPGYNCGLLHGRMRSEEKEETLGKFRTGELNILLATQVIEVGVDVPDASMMVVMNSERFGMAQLHQLRGRVGRGTRQSKCILISSTASGLNRLKVLEQSSDGFHLANMDLLLRGPGDLLGKKQSGHLPEFPITRLEVDGNILQDAHVAALKILSASHDLEQFPALKLELGMRQPLCLLGD >RHN69493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43850466:43856410:1 gene:gene17972 transcript:rna17972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin regulator PHD family METDEEGMENSDNTECVEGVRCLKRKAIDDGLPISNGNGVVEESRHSESEALNDLAMFANGSSVADVAEVLKSLKNGLNGNCVAEEARESVSEAVNDGAVFSNGNSVADVAEVLKSLSNGVNGNCVAEEARESISEAVNDRTVVANGNCVAEEARESTSEAVNDGGVVVNGSRVAKEARELISEAVNDGAVFSNGNGVNEEVGVLKSEDVNDGVVFSNGNGVAEVPVVLKSDVLNNGVNGNGVTEEAGVLKSEAVSNGVASSGGFDSGDGGSGGVTCLRTYKRRKYDKSSSKGKAQEDCGKCVENASHIADQVVKEPLDATLGNTADDCAHRHWGNVVLKQLYQSLGNSNGGIKGCIGEALIRHQSCATTVMGTSKIGKDGQEFSSQFDRLSHRTRAEDNGHANVMQNGSSSEPHGHGVTEMCQRVLCNLLTSDKFNSLCKILFDNFQATKPESVFDFSVITLRMKQKVYEQSPELFLSDIQQVFGKLQDTFKEIVALSNSLSNISTDSYSKLVGISAQSTSEDEKQAELDSHMKPEQTEECAGCSSCGERADGTDCLVCDSCEKVYHLSCIELAVNEIPHKSWYCANCTTSGFGSPHENCVVCARLNDTKMPKKIIGDESLPTNEETLDEFDDDSNDCYDGIQIWTGGKETLSCKVCGNKAIKGEKIRICGHPFCPSKYYHARCLTSKQLNSYGYWWYCPSCICQVCLTDQDDSRIVLCDGCDHAYHIYCMKPPLDSIPQGKWFCRKCDAGIKAISQAKKAYESNQLRTGETVSKPNANNNCNNKFVEELESCGGMDMLLTAANSLNFEENLTENQFE >RHN76802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1361694:1374727:-1 gene:gene179 transcript:rna179 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSKSRTDLLAAGKKKLQQYRQKRENKGDKGGSSRGKSSKKSSKHQLSESDADSASVTSTGSSQVTDGNFETNSDPNVVITETLESQSLASSGNAENVNPSVDSSSVAMAYDTDKKTDLDSTTKLALQGGGVHEKDSESSAQDRGGSSQNVGANVANDVYLTSSSAPDNVDPSFDSSSVAVTYDTGHETELDFNAKLELKGQGVYENDSELSSQDQGGSCHNVSSEVAKDVSLNASNREGGTQDHASEPVALMAPYASITTALGESVIDEKESEKRETSLPLSEDIPNTFVVQTSEDQVTDLGAMQEADDLGMMKSFQSTDAILDDQKEIPLFEAGGSDQSLPGIALQNAITEEASHEAEQLDMPVELFSSLVGSAVDDPTPELSIRDSTRSLDLSPISDARSVDLSQLAEFIRGLNEEEYQFLLKARETVSDADPLTSSSVLPDHDFSEAFQRLKEELFLANMMQNIFNRQLVEQLELQSESDYHRDQLIGELSQLQVSHNEVNENNRRLSEELANCRVELQNNYSKSVELQNQFDTAMAGVEALSARVVELQISFEMSQKDSSDLSTELADCRSLISSLQDEKKGVSETLDLVVAEKNKLEEEKEFYLCESKKLATEFSSLKSSMEGVEVENSNLIDRISLVTEESNKIKAEVEHLLHEVDRLSLDLVENKDLVASLQAENSNLNENLSLSVDKNKNLEDENQSVVLENQRLSSEIVSLQEQLSIQKGECTKFEGDLKKATMHLEQLSNENVLLNSILDEHKAKIEEMENKQSQQPSQPRDLGTQAHDGWDQSKGLENEVTEDSRQMDQGIDEGAAGGPFETTPEQENFNDSLEFVSLWTGLNGVENVLAKLEKAINELRSQSVISDGTGEKVSSPAVSKLIQAFETKVDEHEAEISQSTDVQLQSKSFIMLEEQVGNLRKLLPKCKLDVQSAAALFKGEQDGRKIGDAKYSDLEHQFEGLQQHCSDLEASNIELAVQYEIVKQLLGDIQEKKCQLEEICEALKQEDIRLKAKNSELYEKLGFCHSKIIELHAEMNDVKQSSNEMSSVIGSQLENLQKEVNERAMLLEQGWNATISDIFELVAKLNKLVGETSNTTVSYDTREGFDIRHLLEASVSAASEMIFDLQKKLEATNVDHEIMSMSYKEMTSKCDHLLGRNEMAIDVLHKMYSDLRKLVPSSGLSLDEDKKIDEQSEALPDLLNFSSYETIMKHLGDMLIEKLELESVTKKMKSELVQKETELEELKMKCLGLDSVGKLINNVAGALNVETPNIEINTSPLLYLDSLVSSLVQKTKEAEIQNHTTKEDFGSKEMELDELKEKVHYLDTLHLENENEIFVLKESLHQAEEALSAARSELREKTNELDHSEQRVSSIREKLGIAVAKGKGLVVQRDGLKQSLAETSTELERCLQELKLQDTRLHELETKLKIYSEAGERVEALESELSYIRNSANALRESFLLKDSMLQRIEEVLEDLDLPEQFHSSDIIEKVDWLVRSVVGNSLPMNDWEQKDSAGERSYSDAGNAVTDSWKDDSQLQPDLGDDPGGRSYSDAGLAVTDTWKDDSQQQPDSEGDFLKNFEELQSKYYRLAEQNEMLEQSLMERNSLVQRWEELVNKIDMPSHLRSMEMDDRIEWVGRALAEANHHVDSLQLKLERYESYCGLLNADLEESQRRLSALHEDHRAHTSEREHLSEKLEALRHECEKLSVQTRGTELENENLHNEVTSLKDQLEQKAEIEEQIFTIDGKLKKLRDIVGDALSESVTEYRVSDGANIDSLEELLRKLIENHAILKDQLKWKAEIEEQIFTTDGKITQLRDLVGDALSESETEYRVSDGANIDSLEELLRKLIENHDSLKDQLKQKAEIEEQKDDPTLHNEQADIDRYKKDLEAALSELEQLKEEGERTLEKQISLSGEVEALSKRIGELQELLNQEEQKSASAREKLNIAVRKGKSLVQQRDSLKQTIGEMSVEMEHLKSEINKREHTIAEHEQKLSQLSTYPDRLEALESESSLLKHRLEENEHHLQEKEYSLKLILNKLGEIDVGGEGHVSDPVKKVEWVGKLCADLHNSVASLEQETRKSKRASELLLAELNEVQERNDSFQEELAKVADELVDLRRERDSAEAAKLEALSHLEKLSTSHEEEKKSHFYELVELKSSMIQVWKGFSEVQNLLAKAFFTDLESFRNVEAGLESCMKGNNTPYVMGSSFSEEHDGILRKSSDDKKSSVYAESWSEFGTIDHYNDNTIIDSFRLFRHKLQEFMVEVSSLKERIHVHSSLAQEQDKTVSKLMTNVQRVITSQRESCEKMKTEVSKQDLQLVALRGNIAHLYESCINSVAVLETGKAELVGEKVEFSDPGINLKTLSFDEEISEECIKTMADRLVLATNGFASIKTEFLDANQKEMKATITNLQRELQEKDVQRDRICADLVKQIKDAEAAANSYSQDLESLRTQEHNLKEQVEVIEGEKKILEQRIKELQDKQGTAAAELEDKVRSHSGLLAAKDQEIESLMHALDEEEMQMDELTKKNAELEKAVQQKNQEIENLESSRGKVMKKLSVTVSKFDELHQLSANLLSEVEKLQSQLQEKDAEISFLRQEVTRCTNDDLRASQLSNQRSLDEIVEFFKWVDTIVSRDGMDDLPPDVKSDTQVHEYKEILHKKLMSLILELENLREDAESKDEMLQAERNKVVELNHKAETLEKSLHEKESQLNLLDGVEETGKEVGTSSEIVEVEPVINEWTTTGTFVTPQVRSLRKGNSDYVAIAVDEDPGSTSRIEDEDDDKVHGFKSLASSKIVPRFTRPVTDLIDGLWVSCDRTLMRQPVLRLGIIIYWTIMHALLAFFVV >RHN67037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23168503:23169024:1 gene:gene15169 transcript:rna15169 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVLRSQGFVTNFEPRKRSASSSKCEPSAKIPPEFPSHKLVGCTVTIGKSSDDGSNTSQGDKIVDDDAPSGSIPKLLKTMSFEKSVEDGLLAEKYVDGGAPLPAKDNTPTPLIFVDDCKHVLEDGNESEEARLSTDTICQSENQTESYSYLSELIVEELNRELADSRKWLQS >RHN68506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36053891:36054619:-1 gene:gene16869 transcript:rna16869 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRSQLLILSDEFIEIMKKIGINGRHCTVGGSMILIRSGIVNVGTRIASGGDNYDLVELAEEEY >RHN67260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25269979:25272783:1 gene:gene15432 transcript:rna15432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEMVVSLVIDQLVPLLRDEAKLLRGVHKEFADLKDELESIQAFLKDADRRAAADGDNTSEGVKTWVKQLRVAAFRIEDIIDEYMIHVGQQPRDPGCVAILDKIAHLLKTMTPRHRIAAEIQDVKSSVRGIKERSERYGFQPSFEQGSSSSRENRNAKWHDPRVAALYIEEADVVGFKAPRKRLIDWMVKGTEERTVVSVVGMGGQGKTTLAKRVFDSKDVIGHFDCHVWITVSQSYNVEGILRDMLRKLHQQKGSSPPMNISEMDRDSLTDEVRKYLRQKRYIVVFDDIWSKHFWEYFQFAVFDNKKGSRILITTRNLDVAVSKSSSIELHGLTPEESLELLNKKVFKFDCDGCCPKELIGIANDIVKKCNGLPLAIVAIGGLLSTIENSVFEWQRFREHLNSELKTNAHLIGIEKILSLSYDDLPYYLKSCLLYFGVYPEDYEVKSKRVTRQWIAEGFVKEEKGKTLDEVAEGYLTELIHRSLVQVSSLRIDGKTKGCRVHDLIRDMILAKNEDFNFCKHISDDGQTSLGGIVRRLSITTIDDVLRECIDQSHVRSLFFFGNKVISLKLLVSVEIPTKYKLLKVVDLEDVFNNIPNNLGNFTHLKYLSMHLKITIDEVPKSIGMLQNLETLVLRGFGVFELPKEIRKLRKLRHLIGYRLSLIQLKDGIGEMKSLQTLRRVSLDMDGAAEVIKALGKLKLIRDLGLVDVPKENESILSSSIKEMQQLEKLRVLNFKDDNFVDLNLISLPTMLQKLILQGPLKEFPKWMLDLQNLTVLRLAWPCSDKDPLQSLKSLQHLLSLYLDLSEYEGLQLHFQDGWFQKLKGLVVLYSSRVREIIIDKGSMPSLKILKVIKFPNLKNIPTGIQHLEKLEFIYITEVDDKIEKRSSAEDWNWIMEHVPSVTIYSNELQGVRNLALSYSRCVFSSYNLFFRY >RHN60750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30131605:30136806:-1 gene:gene23107 transcript:rna23107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DHBP synthase RibB-like alpha/beta domain-containing protein MAWSMERCDLGLDVKTGVVHPATDAYAAEAVETLKAGKVIAVPTDTLYGFACDACSLEAVNRIYEIKGRKHTSPLAICVGDVSDINRFALTDHLPHGLLDSLLPGPVTVVLSRGESSALERSLNPGFDSIGVRVPDCNFIRLIARGSGTALALTSANLSGQPSSVCIKDFEKLWEHCASVYDGGLLPSGRAGSTVVDLSTPYKYKILRPGSAKEETVAILEKHAFVEEV >RHN79602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30465422:30468161:1 gene:gene3415 transcript:rna3415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MSESHEARVVCCIGDIHGFIDKLQNLWSNLENTVEPSQFKTATIIFLGDYCDRGPHTRQVIDFLIALPTRYPNQKHVFLAGNHDFAFAAFLHLLPPPYDGSEFSEGWKEFKHCEEREGWFNGDGYEKMHVQGRRWSGSIKTKFNVSKGRVYQGSVNDAGPTFQSYGVSHGSAGFHWILQSQERIHSPYLAP >RHN70480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51722821:51727616:1 gene:gene19061 transcript:rna19061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MEEMSVAVPLIAGNSVCDNQTIATHMDVSAIKMMANAELISNAITTISADTTFISSGEDHIGDNLDDVVGVSAVPPPLHGREGEILLLNMISQSSDELLVPEVDEDDSLSLEGDPIIYSTLSVTSENGSVCGDEFFSAEDNSYFRARSSMDIDKNISSVEIVARAAVIDESNVETDIMSEPLAVALSIGDETGVRSVPLPTTVALHQLPLKKGVSGTVGRSVFELDCTPLWGFTSLCGKRPEMEDAVAIAPRMLKIPIQMLNGNSKYDGMNKDGMNKDFSQQTIHFFGVYDGHGGSQVANYCRDRMHLALIEEIELFKEGLIIGGTKDDCQDLWKKAFTNCFSKVDDEVGGKVNGDPVAPETVGSTAVVAIVCSSHIIVSNCGDSRAVLCRGKEPMPLSVDHKPNRDDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPSIIPEPEVTFIPRAKDDECLILASDGLWDVMTNEEACDLARRRILLWHKKNGSKLSLVRGEGIDLAAQAAAEYLSNRALQKGSKDNITVVVVDLKAQRKFKTKT >RHN72922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11875727:11877898:-1 gene:gene8688 transcript:rna8688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MISSPSSYLAILILLISISLTKSASIEENFVQCLSFYSDKAAPFYASIYTPNNASFNNILNSSAQNLRYLVPSAPKPEFIFTPLTDSHVQVAVTCSKKLGIHLRVRSGGHDYEGLSYVSEIETPFIIVDLAKLRDVNVDIGDNSAWIQAGATIGEVYYRIHEKSDVHGFPAGLCTSLGVGGHITGGAYGSMMRKYGLGADNVLDAKIVDANGNILDRKSMGEEFFWAIRGGGGGSFGILLWWKIKLVPVPKTVTVFTVTKSLEQDASKIVHKWQEVAPTIDENLFMRVIIQPAASTANKTQRTITTSYNAQFLGDSEKLLQVMKESFPELGLTKQDCTETSWIKSVMYIAGYPNDTPPEVLLEGKSTFKNYFKAKSDFVREPIPETGLQGLWQRLLEEDSPLMIWNPYGGMMNNFSESDIPFPHRNGTLYKIQYLTLWQDGDKNASKHVDWIRKLYNYMTPYVSKFPREAYVNYRDLDLGMNKKNSTSFIQATSWGNMYFKDNFNRLVKIKTKVDPENVFRHEQSIPPLPVSTMQLKDKKCKRWE >RHN45036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11018621:11020112:-1 gene:gene39232 transcript:rna39232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MNEYNLIAEECNPNFLIDVEELLSHDIANEINETFSPKLSSDSSFQFQMPCFDNNPNSSTTEMENMNHSTQTSDESSRKRSRGNHGHDHIMAERKRREKLTQNFIALAALVPNLKKVDKYSVLVDAIKYLKELKKRLEELEEQNEKTKIESQVIVTKPGIYSDDNSSTCDESIHSVVGLPFQVEARVLGKYILIRIQCQEHKGLIVKIMVEIQRFQLFVVNGSVLPFGDSLIDVTIIAQVETISLWLYIFFNK >RHN58231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:110515:111686:1 gene:gene20118 transcript:rna20118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MENVEHRIVEVNGIKMHVAEKGEGPVVLMLHGFPELWYSWRHQILDLSSKGYRAVAPDLRGYGDTEAPESVTNYTCFHLVGDIIALIDSLGVDKVYLVGHDWGAIIGWYVCMFRPERVKAYVCLSVPFRPFLGRDPKINNYDAFHAKYGDDYYVCRFQEPGKAEAELAEVGVAYFLRNMMTTRKPGPPIFPKGEYGTGFNPDMPDILPSWLSEEDLDYYVTKFNKTGFTGGLNYYRNLSLNWELTSPWSEVGVVNVPVKYITGDCGLVYTTPSMKEYILDGGFKKDVPGLEEVVVQEGIAHFNNQEAAQDISNHIYDFIQKF >RHN67712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29603832:29604556:1 gene:gene15931 transcript:rna15931 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLFICLSGNPHIQNYFEFDLIFSFEDICSSFLCIYRICPFNTSQ >RHN46572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32888917:32892559:1 gene:gene41072 transcript:rna41072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTVILKFVYIAIFFVSLIFGVVSGKKKCASESDCYTMFPVPHFIVMTCIEKKCHITGIYY >RHN44924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9980025:9980457:-1 gene:gene39102 transcript:rna39102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MRIKCYFCDKYGHDESICHVKKKFIKQNNLNLSSERSHLNRSESSQKAGKAKKTCFYCNKSDHKR >RHN65514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2805751:2809009:1 gene:gene13361 transcript:rna13361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MLHKMDDKSLILQVRQYLKHKKYLIFFDDVWQEDFSDQIEFAIPNNNKGCRIIITTRMMQVADFFKKSFLVHVHNLQLLTPNKAWELFCKKAFRFELGGHCPPELKFMSKEIVRKCKQLPLAIVAVSGLLSTKAKTVTEWKMVSQNLNLELGRNAHLSSLTKILSLSYDSLPYYLKPCILYFGIYPQDYSVNNKRLTRQWIAEGFIKCYERRTPEEVAEEYLSELIHRSLVQVSIVEGKVQTCQVHDLFWEVLIRKMKDLSFCHCVHDDGESIVVGSTRRLSISTNLNNVLKSTNNSHFRAIHVLEKGGSLENLMGKLCSQSSILKVLDIQGTSLNHIPKNLGSLFHLRYINLSYTNVQTLPKSVGELQNLETLDLRETLVHELPHEINKLEKLRNLLVRHSNYKGNYSLLGYTTGVRMQKGIKILTSLQNLYHVEVDHGGVDLIQEMKMLRQLRRLGLSQVRREHGNALCAAVAEMKHLEYLNISAISEDEIIDLNCISSPPQLLRLHLKARLQKLPDWIPELECLVKVRLSFSMLNYDPLQSLKNLPNLVSLCLWDNCYDGEIFHFQNGGFLKLMTLNLRCLYKVNSVVIDNGTLLSLEHLTLEKIPQLKAVPSGIKLMHKLKDIHVTDMPAEFVESFDPDKGQDYSIIKHVPLVFVRHSHGPNLFDYDIRTIHSSSKES >RHN47048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36783231:36786414:1 gene:gene41599 transcript:rna41599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MESQDPPNLTPPLMNIPSPQTQNNNTSLPFFFTPSLQNYPLESQDQGLGGIEWGNFFLGQNNNNLLVGDAKETLKVDNIQQCTSSSSNLVIHNNESGSYQLHEEEKGNKLEEKRVKGGRVKKTTKVPRFAFQTRSVDDILDDGYRWRKYGQKAVKNSKYPSYYRCTHHTCNVKKQVQRLSKDTSIVVTTYEGIHNHPCEKLMETLTPLLKQIQFLASL >RHN49950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2566356:2573191:-1 gene:gene34187 transcript:rna34187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MGGSDQKNVSINVKKKKKNGSFKSIFMHADVLDCFLMAFGLFGAIGDGIMTPLLLFISSKLMNSIGTISGTSSNNFVHNIYENAIVLLYLACASFVACFLEGYCWTRTGERQAARMRVRYLKAVLRQEVSYFDLHITSTSEVITSVSNDSLVIQDVLSEKVPNLLMNASMFIGSYIVAFTLLWRLAIVGFPFIVLLVIPGFMYRRTSMGLARKISEEYNRAGTIAEQAISSIRTVYSFTGENKTIAAFSNALEGSVKLGLKQGLAKGFAIGSNGVVFAIASFMTYYGSRMVMYHGAKGGTVYNVGASLALGGLTLGAVLSNVKYFSEASVAGERIMDVINRVPKIDSENMEGEILEKVLGEVEFNHVEFVYPSRPESVILNDFCLKVPSGKTVALVGESGSGKSTVVSLLQRFYDPICGEILLDGVAIHKLQLQWLRSQMGLVSQEPALFATSIKENILFGREDATYEDVVDAAKVSNAHNFISLLPQGYDTQVGERGVQMSGGQKQRIAIARAIIKMPKILLLDEATSALDSESERIVQDALDKVAVGRTTIIIAHRLSTIQNADIIAVFQNGKIMETGTHESLAQDENSLYTSLVRLQQTRNDQNEDPASIMNRGHMQNTSSRRLVSRSSSFNSMTHGGDDINNFVDDIVNNVVIADDHNNNDDKNNKKKEKVKVSSFQRLLAMNVPEWKQACLGCINAVLFGAIRPVYSFAMGSVISVYFLEDHDEIKRQIRIYAFCFLGLAVISMVVNVLQHYSFAYMGEYLTKRVRERMFSKILTFEVGWFDEDQNSTGVVCSRLAKEANMVRSLVSDRLALVVQTISAVVISFTMGLIIAWRLAIVMIAVQPLIICCFYTRRVLLKNMSSKAIKAQDECSKIASEAVTNLRTINSFSSQDRILKILGKAQQGPSHESIRQSWFAGIGLACSQSLFLCTWALDFWYGGKLVSQGYISAKALFETFMILISTGRVIADAGSMTNDLAKGSNAVGSVFAILDRYTTIEPDDFEGYKAKNLIGKIELLDVDFAYPGRPNVMIFQGFSIKIDAGKSTALVGESGSGKSTIIGLIERFYDPIKGIVTIDGEDIKSYNLRSLRKHIALVSQEPTLFGGTIRENIAYGAYDDKVDESEIIQASKAANAHDFISSLQDGYDTLCGDRGVQLSGGQKQRIAIARAILKNPKVLLLDEATSALDSQSEKLVQDALERVMVGRTSVVVAHRLSTIQNCDLIAVLDKGIVVEKGTHSSLLSLGPSGVYYSLVSLQRRPTNTIVGSSHEIN >RHN69233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41993597:41995198:1 gene:gene17675 transcript:rna17675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein DnaJ, cysteine-rich MGPLVISQLATGLSVLAGAVLVKQVMDQKPMAGPSFPRCPSCNGTGRVPCLCSRWSDGDIGCSTCSGSGRMGCSSCGGSGTGRPLPARITIRPTNRPS >RHN70447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51470055:51474835:1 gene:gene19026 transcript:rna19026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protoporphyrin ferrochelatase MNALSHSSLLPNRYPQSLHRRRFSLSCSDIQNLTRVTCYSDCNKSTSQASLFLCPGSTNTRIGSRNLVSRSFYSADASTYNGLTVQSPTHAAQEKVGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQQPLAKLISTLRAPKSKEAYASIGGGSPLRKITDDQALALKRALEAKGLSSNIYVGMRYWYPFTEEAIQQIKKDGITRLVVLPLYPQFSISTTGSSISVLEQTFREDAYLSRLPVSIINSWYQREGYIKSMADLIEKELESFSEPKEAMIFFSAHGVPVSYVENAGDPYRDQMEECIFLIMQELKARGISNEHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYRELALESGIKNWARVPALGLTPSFIMDLADAVIEALPSAAAINAPTSTSEDMDKDPVKYFAKMFFGSILAFLLFFSPKMITAFRNHVI >RHN68896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39418109:39418435:1 gene:gene17312 transcript:rna17312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate oxidase MLGLYMNIIFWVLGYGERKFKLGVDEKKCNLTSARLRNTAVTFPYGWTALRFKADNPGVWAFHCHVEPHLHMEMGVIFADGVYKIWKIPTDTLNCGAPAKKFLNNTRY >RHN67308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25864161:25866686:-1 gene:gene15488 transcript:rna15488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDVAIYCKKSSFIEVYELQPLTNEQSFELFNKKTFQFDNNGCCPKELIDISFEISRKCKGLPLAIVAIGGLLSTKEKNVFEWQKFCKNMTLELKKDSLLAGISKILGFSYNDLPFYLKPCFLYFGMYPEDYEVGSRRLFRQWVAEGFVKEERGYTLEEVAERYLTELIHRSLVQVSSVRIDGKTKSCCVHDLIHMMILEKSEDISFCKHISEDDLSSLFEIIRRHSLTTYSKDFPACSENSHVRSLFLFKNGSQQMEDDHFMRRILTEYRRLKVLNIEGAMFLNLNGNMGSLIHLKYLSFYGSYIEVAYPEILESIGMLQNMETLDLRVSSYFHKLPKEISNFRKLRHLLGRGMSLLQLKGGIGGMKYLETLSKVRIDEDGIELLRELENLKKLRKLGLDNIQREHGSALSSLLKELRHLEKLHIVAKSYRYFLNEVIDIHLESPPPVLQNLKLNGVLNKFPRWILRLQNLLKLKLVGSRLTDNPIEHLDKMSNLLSLSIINKAYKGEILHFHDGGFQNLKELYITDLPDLNSIVIDEGALPSLKKFQLSRIPNLETVPAGIRYLKKLEVLNVFGVPHVEMYPKL >RHN75005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38653567:38658253:-1 gene:gene11151 transcript:rna11151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant AUGMIN subunit 7 protein MVTRQMEAIQKKLAMLNYSRANAPAQSLLFSSMERYALLEWLFFRLLGDKSPFSQQNIQGDALDRDEETAPIQCTSFHSPFLKKFQNDLVDIAQHISFHCNKFCALFLILYLYIAIEKRCSVSYINLLKINYQCCDILVLFYLAEIAKFLGITTTVDTEAIQGHGSYEERTEMLRLIVDLVEATIYADNPEWRLSLVAKDIQLIDSIAEKQAQIFSEDYKLFPADVQIQSIYPLPDVSELESKLSEQSKILLNLQQKVDDLASKHAYNPDEEYAEVESQLRTYLESFLQTARTFNLIYTKV >RHN67611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28652813:28660361:1 gene:gene15817 transcript:rna15817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative villin headpiece, villin/Gelsolin, ADF-H/Gelsolin-like domain-containing protein MPIINKDLDPAFQTAGANPGLEVWCIENQQLVPVSESDLRKFYTGSAYIVLNAVFPKNGPPHYDIHYWLGNDTKKVDSSLASDKALELDAALGSCSVQYREIQGQESQKFLSYFKPCLIPIEGVFTSKQGNLNGEYQVSLYTCKGDYVVHVKEVPFLRSSLNHEDVFILDTALKIFLFSGCNSTIQERAKGLEVVQYIKDNKHGGKCEVATIEDGKFVGDSDVGEFWSLFGGYAPIPRDPPSSQESVAPIVKLFWINLQGKLCPIGSNTFSKEMLESDKCYMLDCDNEIFVWMGRQTLLTERRTAIKATEDFVRNEGRSDKTHTTFLSEGLESTNFRSHFTNWPKTVETRLYEEGREKVAAIFKHQGYDVKELPDEVDDEPSIDHSGTIKVWRVDGDELSLLPVTEHTRLYSGDCYVVQYTFPGNGRDETLFYAWLGSRCEMEDKTAAISHINTMADSSRNNPVMAQIHEGKEPAQFFSILQRLIIFKGGNSSGYKKRIEENGTVDETHNENLVALFRVQGTSPDNMQAIQVNQVSSSLNSSYCYIVQTEAAMYTWIGSLSSARDHTLLDRMVELLNPTQLPVSVREGNEPDIFWDVLGGKAEYPKEKEIQGFIDDPHLFALKITKGDFKVKEIYNYTQDDLITEDVLLLDCQREIYIWVGLHSVVKSKQEALNLGLKFLEMDVLVEGLSLEVPIYVVMEGYEPPFFTRFFLWDHSKANIIGNSFERKLAILKGNSRDSTPNGHKSGSIISNGRRRSSSPLPRSAGSDYRQLGNRRFSSPTPAAKKLLEESPANNSAATELSSSNETASLTEKDKNVDDESLSIYPYEQLRVVSPNPVTGINLTKREAYLSHEEFHEKFGMPKSAFYKLPRWKQNKLKMSLDLF >RHN61755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38066668:38069908:-1 gene:gene24248 transcript:rna24248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription repressor PLATZ family MGGGGGNNEEENKWPPWLQPLLQTSFFVQCKVHSDSHKSECNMYCLDCNNGALCSVCLASHKQHRTIQIRRSSYHDVIRVSEIQKFLDIAEVQTYVINSAKIVFLNERPQPRPGKGVTNTCQVCDRSLLDSFSFCSLGCKIVGTSKKLRKNKMLSQKDGSDGEESMNGISNGSGRNRSHSFTPSTPPPTAVSYRTVKRRKGIPHRAPMGGGIIIEF >RHN80801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40952897:40956553:1 gene:gene4768 transcript:rna4768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative D-amino-acid transaminase MEVIHAWSSPRSLSTSLMYSFSQRNDIEVLDEPLYAHFLRVSGFDRPYRDQLLSNMESDGNKVVNDIIYHPGNTKYRFVKHISKQRVLGLPEDLMKKGKHFILIRNPLDILSSFDKVVPPSFFELGLLELVQIYNELSEIGNPPPVIDAEELLKDPEATLRGLCDDLEIPFQPEMLSWEAGPKPVDGLWAPWWYSNAQKSTGFEEQNKYPEPFPFSLYELLEQSLPLYNMLRRHVKKKTSLLSSHLPPPDLPVPANEKLLAWVGDEIVTRESAKVSVFDSVVQGGDSVWEGLRVYKRKIFKLEEHLDRLFDSAKALAFENVPTRDEIKEAIFKTLIRNGMFDNAHIRLSLTRGKKVTSGMSPSLNLYGCTLIGKNLSFRK >RHN41104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27003523:27007673:-1 gene:gene47393 transcript:rna47393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MKESSKRRKPGEEEDDGQGSNTNKDRLSSLPDSLLCHILSFLPTKTSVCTMSLVSHRYLHLWKHLQDFDFYDVYYPDSDEEAENFKDFAIFVNAVLSMRSTRDIRKMRLSCGQSQLDSFAERSVDTWIRTAVGAHLEDLHLALFSSEGEGFKLPLPVLSCTNLLSISLCGEIFVELEQSWHVCLPSLKTLQLEIGNVDVNSVDILLSACPILETLELSFSTESLAKLRVPSSLKSFKFTIENDTEVCLEIDTPGLKYLSLTNITFGNATSIGNLHNVEEAYLDASSESESVDPLLTLLQALSGIKRLVFRCYAAKRRLLGTEPIIDFPKMHFSEFRCLLHLELILPTFDPFLYDVLQKCPILQALIIHNDKDTSPVKHSLTAKPKSVPNCLVSHLTYIHFKGYTGYWHEKEFAGYVLQYGLVLKTMLISGFLSNESKKSTKYHYRRKFSNMPRGSTVCQVKFD >RHN68655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37504893:37512470:1 gene:gene17035 transcript:rna17035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP-dependent synthetase/ligase, AMP-binding enzyme domain-containing protein MANTNPTLTQSHSNNTIDLNTGYCSLSRTFHSLRPIAPLPPPSQPLSLTEYALSLLSTTTAVSNNTTALIDATTDHHLTYPTFLRQIKSLSSFLQSHTTLSKGHVALILIPSSIHVPVLYFSLLSLGVTIAPANPLSSPSELSHLVHLINPVIAFSTSSTATKIPKLPLGTVIFDSPSFLSVLNSTIDTDTELRPVETSQSDTAAILFSSGTTGRVKGVLLTHRNFIALIGGFCFLRHGIEDHEPHRVSFFPLPLFHVIGFFMMVRTMAMGETLVLMQRFDFGGMLKAVEKYRITHMPVSPPLITAFTKSELVKKYDVSSIRSLGCGGAPLAKEVAESFKAKFPNMEIVQGYGLTESGGAVARMIGYDEAKRHGSVGRLAENMEAKIVDPVTVEALSPGYKGELWLRGPTIMKGYVGDDKATVETLDSEGWLKTGDLCYFDSDGYLFIVDRLKELIKYKAYQVPPAELEHILHTNPEIADAAVVPYPDEDAGQIPMAFVVRKPGSNITAAQVMDYVAKQVTPYKKIRRVSFINSIPKSPAGKILRRELVDIALTSGSSKL >RHN67621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28732068:28736785:1 gene:gene15828 transcript:rna15828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MHTKISVKIFLVMGKSLFQESLKALEADIQYANTLALGHPRDKEGGCFQMRLSYSPVAPLFLSLVQWTDYRLAGALGLLRILIYVTYGNGKTTISIYERKASIRQFYSIIFPALLQLQKGVTDLEERKQKEVYANRYQKKTDFKDRRESKIDIEREKECGVCLEVKAKVVLPNCCHQMCFKCYREWCLRSQSCPFCRDSLKRVNSGDLWIYTDTSDIVDVGTIFKENCKILFLYIEKLPLIIPDPRHVSYDPFFR >RHN55495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19016697:19022183:1 gene:gene30685 transcript:rna30685 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIQSLPGYYSMMRDLNEESSSCGWPLFYGDKTPTNGKYYDSYLPSATTDACSVHDKDVVKRMMLEHEAIFKNQVYELHRLYRIQRDLMNDFKRKELLRNQMPVEASFCSGPLTSQVTTEDGRKWHVSGFPVGNSAYAKTTVSGAAGVHSPLGSVQGISNQAGPFPLPDGCSLKDVGMLESTRPSKVRRKMFDLSLPADENVDSDESDEKISDEKTSGSTLFLPDRGCKNGKEDDRGKTCCQDTSRSEQSLRRNGFADLNEPVQVDETYDSPFVHVPSNSVAATECSDLTASAKQKLQFFGSPREHLLNSRQGTESWARNNGYLENNGNGEGGIPSLAEEGHAKNNLQPVPQVLKQEKLLLSSHTMQHSYNKAHEPASDYVDGRNKADVWTEKTASERNHEYSVNKHPESVLPLHRPGLFPAAPSYDLSRSWSHSAASWGMASCSLSQKLMSVQTPSCLNLSGSINRNFQSQQSNGRLEQCWPLNINSKPNPGIQYDLPLRNGFYPGSSSGAKEPSMNMSSISYDYPNHNNEKKSRKDIDLNVILSNGSYNNNLVPQSSVGLMDGDALSWLRAKSARTNEAQNTDRSSITAEETSFLHTASLSMKGETGKGPSGNFMHGVTSVSCSNNIDQRRIEVSKSSSTKKILGVPIFDMPHISPKKELSSITSPSVSMRAPSEAEVVENKHKNRMLDINLPCDANGLEFDKEGFIETVVSKTRSPTAVVDSRNQIDLNLSMSEDEGSFTTIPSADTKKKDKIDLEAPAVPKSEEDLVPEENKLETSLVSPQIPQVAVEQPQDELMRNAAEAIVVLSSISRDQVHTVIDSPSESSMEDPLGWFVDVVSSCKDNLESKCDNSKGKDGEDDEGLDYFESMTLKLEEMKEEDYMPKPLVPENFKVEETASTLPTRTRKGPARRGRQKRDFQRDILPGLVPLSRNEVTEDIQTFGGIMKATGHSWQSGLTRRSSTRNGSGRGRGRPRRQTQVTPSSSPPVVTNESSTPLTQQLNNIEVALEDRSLTGWGKTTRRPRRQRGPPAGNSLLIPIT >RHN68476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35872773:35878601:-1 gene:gene16838 transcript:rna16838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NSF attachment protein MADHLARAEDFEKKAEKKLSGWGLFSSKYDDAADLFDKSANSFKLAKSWDKAAATYLKLANCHLKMESKHEAAQAYVDASHCYKKTNISEAVSCLDHAVNLFCDIGRLSMAARYLKEIAELYESEQNIEQAVVYFEKSADFYQNEEVTTSANQCKQKVAQFSAQLEQYQTSIDIYEEIARQSLNNNLLKYGVKGHLLNAGICQLCKGDPVAISNALERYQELDPTFSGTREYRLLADVAAAVDEEDVGKFTDVVKDFDSMTPLDSWKTTLLLRVKEKLKAKELEEDDLT >RHN48805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50408386:50411665:1 gene:gene43558 transcript:rna43558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydroneopterin aldolase MDALIGGDKLILKGLTFFGFHGAIKEENTLGQKFVVDIDAWMDLKPAGISDHLSHTFSYAEIYLIAKEVIEGPALNLLESVAQKIAISTLTIHKEISAVRVKIGKPHVAIQGPVDYLGVEILRRRSDLAD >RHN74220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30420371:30421278:1 gene:gene10245 transcript:rna10245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKILQYKLINIFCFLHTKQENMSKNILFNCAIILFLSLFLVTYFERFGPCSSDSDCPSFLCDHDGVMKCFSNGCSCVDPSD >RHN45488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21353985:21358355:-1 gene:gene39833 transcript:rna39833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin MSNPRKRNFRIEGFKHGVVMDPQYGDKTWIILENAIHQIYNHNASGLSFEELYRNAYNMVLHKFGERLDSGLVATVTSHLQEMARSVEATQGSSFLVELNRMWEDHNKALQMIRDILMYMDRTYIQTIKKTTVYELGLNLWRENVLHSNQIRTRLLNMLLELVHSERAGEVVNRGLIRSITKMLIDTGPSVYVEEFENPFLLASTEFYRAESQIFIECCGSGDYLKKAEMHLNEELDRVSHYLDPSTETKITTLVEKEMLENHMLRLIYKETSGLVNMLGDDKYEDLGRMYNLFSRVTDGLLKIREVMTS >RHN62364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42949430:42950618:1 gene:gene24932 transcript:rna24932 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPHFEVSSEQVLPFCNGFLSLSSNLNVFGKYKRLFSPALMPIRCPRDTLLSLKNDAITSVSRLEEESEEKLVEGICSDNEYGSTGAAGSDSTTLSLIFRKPRPNGHPLGISSFCEE >RHN44816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8770867:8771151:-1 gene:gene38981 transcript:rna38981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose synthase MDISSCIFNIITHFHSPGIRLCLGICSAYGKFVVELFLEPFTASFRCPTLNKSIGNDVKFLNCHLSTKFFHYKKSLHPLQEGKGINFLASLQLC >RHN41009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25742337:25743892:-1 gene:gene47278 transcript:rna47278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MATKLFLMLILLSHVASSLSELCHPQDKMALLQIKKELNNPTILSSWKPHTDCCKIWNGVNCFRSKNRVGYLTISHDNNLRSKFPLSIGNLPYLESIFFYHLPNLTGPIPIEPISKLLKLKTLTISSTGMSGTIPDFPAQMKNLFNLDLSSNHFSGSLPPSLFKLPKLEMIRFYNNSLTGSIPSSYGYFNKKNLPSLLLSDNQLYGKLPLSLARLNTTVIDLSYNKFEGDASMLFGSNKATREIHISNNLFKFDLGKVELSKTMTTLDVSHNQIYGKFPMGIENISWLNVSYNRMCGKIPKGGNMHIFGVNSFFHNRCLCGSPLPNCK >RHN77497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7243314:7244288:1 gene:gene960 transcript:rna960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L31 MAQCITNTFVQGKPFLPLPTKNVLQNQKGKKVGNFGVYCKKKDIHPKFHEDAKVYCNNELVMTTGGTQKEYVVDVWSGNHPFYLGNRSALMVSDDQVEKFRKKFGELSQIMEIPVLKGEIVIPSRRKGIKSGGGKKK >RHN46596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33041447:33042166:-1 gene:gene41100 transcript:rna41100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MGKFLCFFVFLFQPQKLPLNIQQHQATMDSESQQSNNQLHVIFLPFPTPGHMNPMIDTARLFAKHGVNVTIITTHANASTFQKSIDDDFNSGYPIKTQLIQFPSAQVGLPDGVENFNDGTSLEILGKISRRIPMLQDSIEVLFQELQPDCIVTDMLYPWTVESASKLNIPRIYFYSSSYFSNCAFHLVSDNLVSDTQKFTIAGLPHTIEMIPLELPDWLRTKNSDPAFFEQVFESKKEF >RHN39758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10289030:10291202:-1 gene:gene45820 transcript:rna45820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein METPIHQVLKMERPKEFIAAITDQKDLWKLSVRVKDKWTVVKDGKEHLELLIVDAKGHDIQVLIPTAYKSVYDKTLEVNSTYTLTNFHVVKNDVLFKVSDHKYKLIWTGGTTAVDVNLNDISNTHIKYKPFAEIVSSKWRPDLLVNVIGVVQDMGYCQLNEGKKLQVNFTMKDIRFSYTLVIILQYLLPYNFFLRVFNQLKFLSCYDSL >RHN50324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6158248:6159507:1 gene:gene34596 transcript:rna34596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MKEITMSDMHINNIKKWKLNDSVKQKVEEMSFGFLVMLREKLLNIEKDLSLPLNFVSALVSTYNVEDSCFTFGNGADKFHLDFGLDDILYLTGLPINGMPVSGMIHEDNVQLLETHLALSKPVAEDFLTINSKQGMTSGVDLRKLLAHFHKPEIIDEVDVGILAKAFIFYGLGYVLLPIWTSVGQPHYLPLLGEEIKKYAWGAAVLAHIKGDLDDIVRSQAKSSISCFSLALTIFALERFPILTRELVLDLPTKVPLSLGWIDMIVNHFRPKSSKRKSYAELEGNFNNMSVEEINWMPYNRVKVSRDFEDQLRLRYVVAPCINFYSAYMVRPDICYRQLGLAQEEVKSIEIPNKLLLKPSALKGIDLRSYVGGETGPKKKKVYTYPQLHEMWANRFTQSIIALPDEVLKYLLLFFFFKI >RHN71160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56844309:56844670:-1 gene:gene19810 transcript:rna19810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MVAFFSSRGPSTLSKNILKPDIAAPGVAILAASIANNVTDVPKGKKPSPYNFKSGTSMSCPHVSGLAGSIKSRNPTWSASAIRSAIMTSG >RHN72475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7975823:7977388:1 gene:gene8191 transcript:rna8191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAAMAEATAESQNTILPEDLIIEILSRFEPSDYLQLRCVCKWWKSLVLDRYFLEKHIRISVTEIMYLVCNAVKRLKPFELHRKEEEDEEAVEEEDFDDDEEDFDDDEEVVEEEDFDEEEEDVDGAGSEEEKESAWKVVIEFLRQKEAVPLDNFLKILRGIKEQYESIRDDMQIAEDIVKCLQNILQVNNSILKI >RHN74096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27558441:27560051:-1 gene:gene10072 transcript:rna10072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MQADKCPGPDGFNPGFYQHFWDTCGHEVYQAGCHWLASGAFPPHVNSTNITLIPKGDSHNSMKDWRPIALCNVVYKIVAKVLANRLKQVLDKCISINQSAFVPGRSILDNAMVAIEIVHYMKAKAKGKSGDVALKLDISKAYDRLDWDYLREIMIQMGFSSRWVSWIMLCVETVDYSVLVNGASVGPIVPGRGLRQGDPLSPYLFIICAEGLSSLIREAERCNNIRGTSICTNAPVVSHLLFADDCFLFFRACESEAVCMKNILTTYEEASGQAINLQKSELFCSRNTPNDLKNLIATTLGVRQVLGTGKYLGLPSMIGRSKHATFKFIKDRIWSKINSWSSRCLSQAGREVLIKSVLQSIPSYVMSIFLLPGSLINEIEKMLNSFWWGHNSANSRGLHWLSWERLSVPKVYGGMGVKGLKAFNMAMVGKQAWKLVSSPESLITRLLKAKYFPRSDYFGASIGHNPSYVWRSIWSAKDVIRCGFQWSIGTGKHIPVWDHPWINNVARILPSTHHQLEWPSITVSDLLITPQKQWNM >RHN38794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2047477:2050144:-1 gene:gene44761 transcript:rna44761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MAKPHELKPLYATLLNKCFSSKNINSLKIIHARTIRLGISHDDFIRTKLVSCYASCSKLHQANILFSFTNRKPTFLNNSLIRAYLSLNLFKETLSLFREMRLSYKPFDCHTLPLVLKSCAGLSALRLGKQVHGAVLVNGFALDLKNSNALINMYAKCGELEFARKVFDGMCERNEVTWSTMIAGYGMHGRFEEVFEMFNRMVEVGERMDNVSFTVVLTACSHGGFVEKGREIFEMMKVRFGVKPDVRHYTCMVDMLGRVGLVEEAEKLTLKMDVRPDEALVGALFGACKTHGRVDVAERVAERFYGTELSVVASSI >RHN60657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29178358:29181436:-1 gene:gene23007 transcript:rna23007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase, Xyloglucan-specific endo-beta-1,4-glucanase MPLSSQSFNFFHMVPIFTFVVLSLTIFGSNAQGPPSPGYYPSSKISPISFSQGFRNLWGPQHQRLDQSSLTIWLDSNSGSGFKSLHSYKSGYFGAAIKLHPGYTAGVITSLYLSNNQDHPGNHDEIDIEFLGTTPGKPYVLQTNVYMRGSGDGNIIGREMQFHLWFDPTQDFHNYAILWKPSEIIFLVDDVPIRRYPRKSDATYPTRPMYLYGSIWDASSWATEDGKYKADYKYQPFVGRYTNFKLQGCTIQSPASCQSPSVSPSGYGSLSPQQYMAMQWVQSKYMVYNYCHDPRRNHNLIPEC >RHN65341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1312317:1315143:1 gene:gene13167 transcript:rna13167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eugenol synthase MEANKSRILVFGGTGYIGKYMVKASISLGYPTLVYTRPINSQTPTSKIQLCKEFSSIGVTLVEGELEHNQIVAVIKQADIVICTFAYPQVMEQLKIIEAVKVAGNIKRFLPSDFGVEEDRVKPLPPFQGFLDKKRKIRREIEASGIPYTFVSANCFGAYFVNFLLHPYENKKDIMVYGTGETKAVLNYEEDVAMYTIKVANDPRAHNRIVVYRPLKNFITQNELISLWELKNGQILNKVFAPEEDIVKLSQILPPPHNIPVSILHSVFVQGDLVNFELEEDDLEASQLYPNYNYMSIDQLLDKFLVDPPPPASASFE >RHN66325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10899886:10901150:1 gene:gene14285 transcript:rna14285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MLCVYIIGFANDNENDITEATLRNAVSATEEGFLDFAKMNYMHQPNLGYVGSCCLAGIIWKETLHVANLGDSRVVIGTMVNKKIRAEQLTRDHNCNDEAIREELRAMHPDDPNVVINDNGSWRVKGFITVSRAIGDAYLKRSEFTLRESFPKLEIVPEPFTRGVLSAEPEMHTRVLTDNDKFIIFASDGLWDFLSNKKAAEIVQKNPRNGIAKRLLSTALAVAAKRRKVTYRKIQAAATGRNNVSRRSFHDDISVIVVFLDKTSFPRQPVLNLSYTGSSDMPVQSDFAQFGLTTSRLQALGLLWL >RHN43329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44948175:44957689:-1 gene:gene49884 transcript:rna49884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubby-like domain-containing protein MTRVYPHQESTTSSESHNRCLNRSCNKRETYTVWMKSLVLHSNGCTVYDSNGSIVYRVENYDRKGGREVTLMNLKGNVLCTIKKRLLAFGCWEGHKYCNNSSLRRQEEQPWFKVKRCHKVISGKIACQIKVGSQNMCIERISIGKSFAFRIVNKNGEIIAEAKQKQSSSGVVLSNDVLTLDLAAGTDHSLIMALITVYGLICGKM >RHN57324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38116993:38124821:1 gene:gene32849 transcript:rna32849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEYLYGFASAISRDLVCGVIGQLSYPCCFNNFVQDLAKEESNLAAIRDSVQDRVTRAKKQTRKTAEVVEKWLKDANIAMDNVDQLLQMAKSEKNSCFGHCPNWIWRYSVGRKLSKKKRNLKLYIEEGRQYIEIERPASLSAGYFSAERCWEFDSRKPAYEELMCALKDDDVTMIGLYGMGGCGKTMLAMEVGKRCGNLFDQVLFVPISSTVEVERIQEKIAGSLEFEFQEKDEMDRSKRLCMRLTQEDRVLVILDDVWQMLDFDAIGIPSIEHHKGCKILITSRSEAVCTLMDCQKKIQLSTLTNDETWDLFQKQALISEGTWISIKNMAREISNECKGLPVATVAVASSLKGKAEVEWKVALDRLRSSKPVNIEKGLQNPYKCLQLSYDNLDTEEAKSLFLLCSVFPEDCEIPVEFLTRSAIGLGIVGEVHSYEGARNEVTVAKNKLISSCLLLDVNEGKCVKMHDLVRNVAHWIAENEIKCASEKDIMTLEHTSLRYLWCEKFPNSLDCSNLDFLQIHTYTQVSDEIFKGMRMLRVLFLYNKGRERRPLLTTSLKSLTNLRCILFSKWDLVDISFVGDMKKLESITLCDCSFVELPDVVTQLTNLRLLDLSECGMERNPFEVIARHTELEELFFADCRSKWEVEFLKEFSVPQVLQRYQIQLGSMFSGFQDEFLNHHRTLFLSYLDTSNAAIKDLAEKAEVLCIAGIEGGAKNIIPDVFQSMNHLKELLIRDSKGIECLVDTCLIEVGTLFFCKLHWLRIEHMKHLGALYNGQMPLSGHFENLEDLYISHCPKLTRLFTLAVAQNLAQLEKLQVLSCPELQHILIDDDRDEISAYDYRLLLFPKLKKFHVRECGVLEYIIPITLAQGLVQLECLEIVCNENLKYVFGQSTHNDGQNQNELKIIELSALEELTLVNLPNINSICPEDCYLMWPSLLQFNLQNCGEFFMVSINTCMALHNNPRINEASHQTLQNITEVRVNNCELEGIFQLVGLTNDGEKDPLTSCLEMLYLENLPQLRYLCKSSVESTNLLFQNLQQMEISGCRRLKCIFSSCMAGGLPQLKALKIEKCNQLDQIVEDIGTAFPSGSFGLPSLIRLTLISCPMLGSLFIASTAKTLTSLEELTIQDCHGLKQLVTYGRDQKNRRGEIVQDDHDFQSFTSMFQSLKKISVMRCHLLKCILPISFARGLVKLEAIEITDTPELKYIFGHCSHQYPNKYQIELPVLGKVALYDIPNMIAICPENYHATCSSLQLLVMNDVSLSMNNLMVDSVATHSDLSSDKTDEGETSMSIEKKLMSFIIENGSEIEGIFQMKGFPSENGQQVISWLEDLKCVNLPKLMYIWMGAKHSLSLQHLHKINICNCPKLKSIFSISVLRVLPLLKILVVEQCDELDQIIEDDAEENENVQSPQVCFSQLKFLLVTHCNKLKHLFYIRTSHVFPELEYLTLNQDSSLVHLFKVGLGARDGRVEVSLPKLKHVMLMQLPNFNNICQGIVEFQTLTNLLVHNCPKFSITSTTTVEDMLQSFDRDKEFDFYLRPHLHDISCTTNGHVFLTSKNKNKEIQDLESQEQKLSPIPLPNLTEELVKEHSMAEPCLNQQKPLGESRVTEEGTESMNAETVASSILSESDSSHSCSLATSQCRLHSHYEISFSQTETYTNKENEVHPIYIGEDLGANDLNPMEEYDEGQITTLCVSEVTADNLVAKALSDLEESLRMPLKDIASSEANSLCLLTALNFLSHLSLQDAALSDGLQAIIDTMHTEFPSILCSFKHAFITIDKFSVTEAQHDEAAITLSSKISKANSSLDDAQQREAALKEQIIQLEKEIIHLQEEKDKCIQETIGYKTELENLRKDKNQIVEDQMKARQQIFEVDYKWSALRSQFQYNHIIARNPS >RHN69408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43214614:43218348:-1 gene:gene17876 transcript:rna17876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MPSRWQLLLLFLLSTTVTSTMPVQTTFSVKLFHRFSEEMKPVQVQTGDWPDRRTLHYHEKLLRNDFLRHKINLGGARHKLLFPSQGSKTMSFGNDFGWLHYTWIDIGTPSTSFLVALDAGSDLLWVPCDCIHCAPLSASFYSNLDRDLNEYSPSRSLSSKHLSCSHRLCDMGSNCKTSKQQQCPYTINYLSDNTSSSGLLVEDIFHLQSGDGSTSNSSVQAPVVVGCGMKQSGGYLDGTAPDGLIGLGPGESSVPSFLAKSGLIRDSFSLCFNEDDSGRLFFGDQGSTVQQSTPFLLVDGMFSTYIVGVETCCIGNSCPKVTSFNAQFDSGTSFTFLPGHAYGAIAEEFDKQVNATRSTFQGSPWEYCYVPSSQQLPKIPTLTLMFQQNNSFVVYNPVFVSYNEQGVDGFCLAIQPTEGGMGTIGQNFMTGYRLVFDRENKKLAWSHSNCQDLSLGKRMPLSPPNGTSSSQLPADEQQRTKGHAVAPAVAVRAPQKPSVASSQTSYMISYWRHWHCHWLLLFHLLSVFY >RHN59359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9836085:9838420:-1 gene:gene21384 transcript:rna21384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MNFTIHYKEIKSFTIIWMQIGKNMVETLKLVYVIILFFSIFLCIAVSNSSFSEIIDSACKTDKDCPKLHKVNVRCRKGKCVAI >RHN56777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33678379:33679566:1 gene:gene32227 transcript:rna32227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEIIKFICLTILFLSLFLVAAEEDIGGHLECVEDEDCMEESCPIFSVHKCKNSGCECDEMFR >RHN75700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44406817:44411812:1 gene:gene11938 transcript:rna11938 gene_biotype:protein_coding transcript_biotype:protein_coding MIYNKGSMHSNLDCFVRCTTPVVQSQFLPKSEITNLNGLWQPWEREKVEYFTLGDLWKCYDEWSAYGAGVPITLTSGETLVQYYVPYLSAIQIFTSNSFRDETESGDCETRDSYSDSFSDESECDKLWRWDGTSSEEGGYEQDCLWHLNDRLGHLYCQYFERSNPYGRVPLMDKISELAQRYPGLMSLRSVDLSPASWMAVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQGMDLDDDIEGGKEKKKEGESISLPAFGLATYKMQGGNVWIGGNRGRDQERLMSLLSVADSWLKQLRVQHHDFNYFMGIRHG >RHN73939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21844393:21844822:1 gene:gene9839 transcript:rna9839 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLRSIHCSTYGVADFLLFYPKVTIKELDSVIEDGMFVATITGFLEGEDWWFPYITGKRVDIDALSLIGEQNVAGYKLHIISRFREKFNVCDGTDAAVFVLFNGDLA >RHN67416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27033284:27037727:1 gene:gene15605 transcript:rna15605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RIN4, pathogenic type III effector avirulence factor Avr cleavage MSDNGRPLPKFGEWDVNDPASAEGFTVIFNKARNEKKTGGNPESPGKTATEPHSKPALDPAKPQSKKWFCCIQNPPAES >RHN60462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27043492:27044846:-1 gene:gene22775 transcript:rna22775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAIASTESKMVSSSSSSTSSITTMHHDILHTHILTHLDAATLATTASTCSHLRHLCMDNDLWKKICTETWPSLLDSTTSHVISTFPNGHRSIFSDAFPTLHHFSSHSKSNHPPSPPPPEELISAVDIHYKGKPVFSRVQRTETKKNMFLTSSLYIGTLEPNELVPTPVKFVRKNEEWMKNLEENLSLSWIIIDPTGKRSANVSSRKPVLVRRHWLTRDMEILFAVTMAGETRRSTEMVQCMVKVTCCGKVGGELYMREVSLVMEDMEGGEVSGKEGVGILMKAMEFGERKKVSEVGEMKERYERFLGLIRERREMKFKRQKVRDGVSTIVAFVVCVWFCYLAGL >RHN65090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64123753:64126152:-1 gene:gene27987 transcript:rna27987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MEFKFSFLIISSFIFLILCLTKIYKQKIKVKNEVHKLPPGPWKLPLIGNLHQLALGGSLPHHTLRDLSNKYGPLMYLQLGEISAVVVSSPDLAKEIMKTHDLSFVQRPELLAPKILSYDSTDIVYAPYGDYWRQMRKICTSQLLSAKRVHYFSSIREEEVQKLIQSIQVSLSLPLNVTKTAFSLVSTFVSRAAFGKKSKYEDELLSLLKQTVEMASGFDPADLFPSFKPIHLITRTKAKLQNMQKKLDKILESIIKEHQSNSIHGKQGENLVDVLLRVQQSDNFDIPITDDNVKAVLWDMFAAGSDTSATVIEWAMSELMKNSRVMKKAQSEIREAVKGKKRIYESDLHELSYLKSVIKETMRLHPPFTLLPRECREACNIDGYEIPIKTSVIVNAWAIGRDPKHWYDAKKFIPERFNDSTGFDFNKLNNNNSFDYMPFGGGRRMCPGISLGLANIELPLAALLYHFNWELPNGMKPEDLDMTEAFGAAAARRNGLYLIPTPH >RHN46649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33711660:33714989:1 gene:gene41167 transcript:rna41167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WEB family protein MEAEVMKTTSYQAEPGSSSKNNKKMDSFRTEIDTSAPFESVKEAVNLFGGVGYWKPFHNLPSLTSHSKHHIEELDREKLEEQARALEKELILKERETLDVLKELEKTKRLVEDLKSKLQKEESETILNLGMSVCDQRLIVEEKEVKENQSSPSEVLQPMKECSMPSRVSSPDLILMELKQAKLNLTKTTHDIADVRATVESLNKKLEKERISLEKTRERFTQNCSKMSCLEEELNQTRLRLQVAKGAASGDPLDVTTELHRLSSEAERFRKKRESAKSEVLKALSEIELTEAMIKTAEIRLVAARKMKQASRAAEAATLAEINALSSHEGTPEECMQKHEEITLSVEEYTTLTRKAREAEEQSKKRVADAMLEVDEANSSQMDVFKRVEEATEEVQTCKKALEEALQRVEAADRGKLEVEEALRKWRSDGQKRRSSTNSCMKFKNSGPSDQRRDIRLLDVNGLNLVNDEAKPVLRPTLSIGQILSRKLLIPEEFEEPMVHGEIVSVKQKVSLGHMLGKHDDSPLFDEQTEKENGQKKQFSAKRKKFGFARFSHLLSKQHKKKKKPMLNLR >RHN52365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34344515:34349029:1 gene:gene37030 transcript:rna37030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAVQSPSSSSSLSSSSCNNFNYDVFISFRGTDTRFSFTGNLYKALSDNGIRTFIDDKDLQSGDEITPSLLKNIEDSRISILVFSENYATSSFCLDELVHIIHCSKEKGSMVIPVFYGIEPSHVRHQNSSYGEALAKHEEVFQNNKESMERLRKWKKALNHAANLSGHHFNFGNEYEHHFIGKIVKDVSNKINHVPLHVADYLVGLKSRISEVNSLLELESNDGVWKIGILGTGGMGKTTLAQAVYNSIADQFECKCFLHDVRENSLKHGLEFLQEQLLSKSIRFETKFGHVNEGIPVIKRRLSQKKVLLILNDVDKLNQLENLVGEPGWLGHGSRVIITTRDKCLLSSHGIKKIYEAYGLNKEQALELVRTKTFKCNKTDASYDYILNRAVKYASGLPLALEVVGSNLFGKSIEECESTLDKYERIPHADIQKILRISYDSLDEEQQSVFLDIACFFKWHEKEYTQELLHGHYGYCIKSHIGVLVDKSLIKFNSDPNVSEFLAVTLHDLIEDMGKEIVRQESIKEPGRRSRLWCCDDIVHVLQENTGSSKIEMIILKYRPSTEPVIDMNEKAFKKMTNLKTLIVEDDNFSKGPKYLPSSLRVLEWSGFTSESLSCFSNKKFNNIKNLTLDGSKYLTHISDVSGLPNLEKLSFHCCHSLITIHNSIGYLIKLEILDAWGCNKLESFPPLQLPSLKELILSRCSSLKNFPELLCKMTNIEEIELHRTSIGELPSSFKNLSELRHLSISFVNLKILPECLSECHRLRELVLYGCNFLEEIRGIPPNLNYLSAIDCKSLSSSSRRMLLSQQLHDAGCTNIILPSGTEGIPDWFEHQSRENTISFWFRKKIPSITCIIIVPDYVVHEKFLFLNGKEITLTDRLFYYVDHDDDVVIWGHAFLFDLKLEQRINESFANETDELYEAFKNNEWNHVELKCKIYGRNDWSDTEEDEEEINILGSDEKEIKIGIHVSWKEKSNTEGDVVFTNPSSRKRKLDEYLFNYSNTSLSQFVPPLKKQRLMEVRVSETEEDADYFSKQFLIGMH >RHN50074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3882279:3882845:1 gene:gene34326 transcript:rna34326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-rRNA-processing protein TSR2 MKVSSEMKVEVSEEMKVFKEGIQLVINSWWEIQSFIKKERGGIHSSRKVDELCHSIFSWFIESKEESLRLASAKITLPQRMFRFVGIIGSLDDSVKRLCELVWAMYEECNTGDFTSVELIKEVSLTLARRLIQKQVCTSYDINDSGGRLQGQSLNEFREGVDLVMEKLWVDCGPNPHDMLEDITEVDF >RHN56005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26664132:26673577:-1 gene:gene31317 transcript:rna31317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MNHLPRIFGYRNKSTTEMNSKKKKQPKLQRRNAVKNFNYDAQPSSSSPESSESVSSSILCTRSMDFYDRKSFRVEGVDGEFDIICRSLGLNGPEDFSIPAAAWEAMKVRSSSDVLPRLNVTEFDETKVSDEIDEVGVVECDDRVLVRDSPAESSVGDSGGEVNVSIRDSPAESSGCCTGGEIRVSVRGFDETSSCSTGSGGIKGIRPPMLKPPPGVRVSVVDDTCSTWDILRDFAPEGEGKEREEEEEEEKVDEVEEKGEADEVGLKIEEEENGDIIGEFSRSCSFTTSQEDDSSSTTTDTRSNSISPNVRLKPVITPGSWQKGELLGRGSFGTVYEGISEDGFFFAVKQVSLLDQGSQGKQSVVQLEHEIALLSQFEHENIVRYIGTEMDESNLYIFIEFVTKGSLLSLYRRYKLRDSQVSAYTRQILHGLKYLHDRNIVHRDIKCANILVDANGSVKVADFGLAKAIKLNDVKSCQGTAFWMAPEVVRGKVKGYGLPADIWSLGCTVLEMLTGQVPYAPMECISAVFRIGKGELPPVPDTLSRDARDFILHCLKVNPDDRPTAAQLLDHKFVQRSFSQSSGSASPHIPRRS >RHN80774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40765934:40766332:1 gene:gene4740 transcript:rna4740 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSLIFFYFLLCRFETIVVWIDVHAQFNGGEPQRLKVRCLSVTLRGLKDKLTQFNQGVNPRDIRRVEYVRCKRPTLDEGRASFTWVELTNDENVTSMFWEHNMFQWIDMRVTLLRSTEDIIKSLIPPEDRH >RHN44246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2872129:2873929:1 gene:gene38342 transcript:rna38342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MAMTKFFASLILALIAISMLQTLVMAGNDQGGHLYDNKSQYGPGSLKSYQCPSQCSRRCGQTQYHKPCMFFCQKCCRKCLCVPPGYYGNKAVCPCYNNWKTQQGGPKCP >RHN81536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46828691:46830620:1 gene:gene5596 transcript:rna5596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like cupin domain-containing protein MESVKVVKVKAIEATPATFKDYGQVIEASSDGEGFGPNDAQLDLSKGGIPRFYIMHLENRPLEFSNITHHASVTQCLGSIGGNVWYLGVAKPSIVDSNEIKDDLGKTVVKSRSGHFYVPPAIEDVQVFKVSGSKFLKLNRGTWHAGPLFKSDTMDFYNLELSNTNVVDHTTHNFKKDNGVTFTINE >RHN80255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36576419:36579091:1 gene:gene4158 transcript:rna4158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine hydroxymethyltransferase MSVIICYQYLNLTHKGHLSHNFASQYFEIMPYRLDESTDLIGLEKTAAEFRPKLIVASVYPPDVNYSRIRKIADEVGAFFMMDMTHILGFVAESVLADPFEFCDIVATATLKVCVIVAFQHWLKLGVNHFRPITFIHTIVQVVANCIALANRLVEHGYKLVSGGNDNHMVLVDLGQFGIDGALVKKILNMAFINGNKNLVSGDKNALVSNGISIGTKAMTTRGFNEKEFELFADLIHEGVLLSFEAKSLVLGSMDQDFMNVFISPEFSLGDKVSDLRRKVQALAPSSGSKMFGYGLRFYEFCDIA >RHN79838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32699811:32709555:-1 gene:gene3685 transcript:rna3685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MGSRGQKRPEMVDELPADKRACSSLDFRPSSSTASVQTDISSTQSTMEPHDDMDTSSSASSHSEEEPEKDSADGSCDSDEMEHYHSTLHEYHRQRLSSDHGKFKTIISSLSGQTEPSGQLAVLTELCEVLSFCTEGSISSMTSDLLSPLLVKLAKHESNPEIMLLSIRAITYICDLYPRSAGFLVRHDAVSALCQRLLTIEYQDVADQCLQALEKISREQPLACLQAGAIMAVLNYIDFFSTSIQRVALSTVVNICKKLPSESPTPFMEAVPILCNLLLYEDRQLVENVATCLIKIVDRVSHSSEMSDELCKHGLIQQVTHLLSVNGRATLSQLIYNGLIGLLVKLSSGSVVAFRTLYELNISITLRDILSAFDLSHGVSTSQLVGGHCNRVYEVLKLLNELLPGLEKDLNNQLALDKESFLANHPDLLQKLGMDVFPMLIQVFNSGASLSVCHGCLFVMYKFVCLTKSGMLVELLKNANISSFLAGVFTRKDHHMIMLALQIAEIILQNFSDIFLKLFIKEGVFFAIEALLTPERSSKLVYPSGIQLSLDSGQRSASREVPKCLCYTFSTAQSPTSSETKKCKLDKDSVHYLAEHIKTKYLAPELYDSEKGLTDILKNLRALSSDLLSMSTDVGALTVHEEKINRVLDQIMDKLIGKEEVSTFEFIESGVAKALLNYLSLGHYMGENKGMHGVFGHNAVIEKRFEALASICLRTFQPLSGDTPLSILIRNLLSALTSLEAFPIILSNVQKMRNSFATVPNGCAVPYPCLKVRFVKEEKETCLSDCAEDFFTVDPFSSLHSIERYLWPKVSVKSAEDEKFSSSQVVLQPESPPLQLPSNTRSCVDEVPAISERAGMSTDLCETQGEEPKTAHPRPDQAVNVNAGESSSGIQIAEQEMHFVAEPDSKLGKEHPASCSNKAADKLIFYLEGQPLDHKLTLYQAILGQIIKQNGSGVSAKLWSHVHALTYRAAVKPEDIMPSDCHSSSQDFPHDKVLAFYQRTPFLSDMFYSELVSDLEKSSPTYDILFLLKSLEGMNRFIFHLMSRERMCAFAEGKVDNLDSLKITVPSVQLNEFVSSKLTEKLEQQMRDSMAVCIGSMPFWCNQLMASCPFLFSFEARCKYFKLAAFGQPRIPHNNSETVNDRRLSHGALPRKKFLVYRDRILESATQMMKLHASHKVVLEVEYDEEVGTGLGPTLEFYTLVCQELQKSGSGMWREDASSYTIKTNLQAEETGIHSLYGLFPRPWLSTQDTSGGIQFSEVTNKFFLLGQVVAKALQDGRVLDLHFSKAFYKLILGKELYLYDIQSLDPELGRVLHEFQALVNRKFCLESVCEGNSELEQGLSFRDSRIEDLCLDFTLPGYPDIVLASGSDHTMVNMRNLEDYVSLIVDATVKSGISRQVEAFKSGFNQVFPIENLQIFYEEELERILCGEDDSWAINELADHIKFDHGYTASSPPIVNLLEIIREFDHGQRRAFLQFVTGTPRLPPGGLASLNPKLTIVRKVYRFY >RHN82463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53982248:53982514:1 gene:gene6631 transcript:rna6631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MDLSGGYYDVGDNVKYRLPMAFTITTLLWAAISGEASNNFMRWPSESNQSHKKMTTSKIEPEIAKYILLGVAKHKNIPTNSIKKKKLG >RHN50678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9327113:9329257:-1 gene:gene34996 transcript:rna34996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-acylglycerol O-acyltransferase MCRTETFNLKYKCYIAHQSYEVNSKGIEIFWKSWLPKESKPKAAVFFCHGYGDTCTFFFEGIARKLASCGYGVFAMDYPGFGLSEGLHGYITSFDQLVDDVIEQYSKIKENPEFKSLPCFLFGESMGGAVALKAHFKQPKAWNGAILCAPMCKISEKMVPPKLVVKMLIAMSNVLPKNKLVPTNDIGDAAFKDPKKREQTHYNVISYKDKPRLRTAVELLKTTEEIEQKLEEVTLPLFILHGEADTVTDPSVSKALYEKASSSDKKLELYKDAQHALIEGESDETITQILGDIISWLDEHSLKHNIETS >RHN39071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3929484:3930272:-1 gene:gene45063 transcript:rna45063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MPHELIIQILLRLPMKSLIRFKCVCKSWLTLISDPHFANSHFQITSKTHIHRLVIISTPNLKTRSIDFETSLNHDSACAPLDLNFTHDLSYFNVEIKGSCNGFIFMHSSSDILLWNSSIGVHKQIPLSPINSNLDDGCFGYLYGFGYDQSTNDYLVVSMSCDPALANISSHLEFFSLRANTWKEIEGIHFPYINASHDPRVGSLFSGSIHWLAFRHDLSINVIVAFDLTERKLLTDDFYCDPKNCDLWVFRGFVSLRGGCYS >RHN61085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32855560:32861200:1 gene:gene23508 transcript:rna23508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative six-hairpin glycosidase, beta-L-arabinofuranosidase, GH127 MMEAFVYVFLALILCGCANSKECINNLPQSHTLRTELMASKNETWKKEVMMYQSHVHVTPSDESAWQEMIPKEMFLTQENQRDWAAKYREMKNADVSKPPVGFLKEVPLGDVRLLEGSIHAQAQKTNLEYLLMLDVDRLIWSFRKMAGLPTPGAPYGGWEKPDQELRGHFVGHYLSATAQMWASTNNNIVKDKMSALVSGLSACQEKIGTGYLSAFPTEFFDRIENLEYAWAPYYTIHKILAGLLDQYTIAGNPQGLKMVTWMVDYFYNRVMNVIQKFTVNRHYQSLNEEAGGMNDLLYRLYSLTRDPKHLELAHLFDKPCFLGVLAVQGNDIADFHANTHIPIVVGAQLRYELTGDLHYKDIGQYFMDIVNSSHAYATGGTSVGEFWRNPKRIADNLKSAETEESCSTYNMLKVSRHLFRWTKEVTYADYYERALTNGVLSIQRGTDPGVMIYMLPLGLGVSKAQTYWKWGTPFDSFWCCYGTGIESFSKLGDSIYFEEEGKHRSLYIIQYISSSFNWNSGTVNKKNHNRKSGQLLLTQTVVPASSSDPFLRATFTFSPTAAIGTSSTLNFRIPSWTLANGAKALLNSETLPLPAPGNYLSITRPWSASDKLTLQLPLTIRTEAVKDDRPEFASLQAILYGPYLLAGHTTSIWDIKGVTNKAVADWITPIPSNYSSQLVSYSQDINKSTLVITNSKQSLTMEILPGPGTENAPHATFRLIPKDADGKTVMLEPFDLPGMTVSHQGPEKPLIIVDSSHGGPSSVFLVVPGLDGRNQTISLESQSNKDCYVHSDMSAGSGVKLVCKSASETSFNQANSFVSGKGLRQYNPISFVAKGANQNFLLEPLFNFRDEHYTVYFNLQG >RHN60476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27203135:27206569:-1 gene:gene22790 transcript:rna22790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M76, ATP23 MDEFDSSSSSSTKSEKKALNECESMIQKGLKTPTARFLREHLEKAGCPVQDNFFKAINCDQNHAGGYVPGEGIVVCANQIEMQDEVNRVIVHELIHVFDDCRAANLDWTDCAHHACSEIRAGHLSGDCHYKRELLRGHLKIRGQEQECIKRRVLTSLAANPFCSGSTAKNAMEAVWETCYNDTAPFDRA >RHN71429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:101261:112988:1 gene:gene7032 transcript:rna7032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MASNHMSAVTSRAGSTNDALYKELWHACAGPLVTLPREGERVYYFPQGHMEQLEASMNQGLEQQMPSFNLPSKILCKVVNIHLRAEPETDEVYAQITLLPETDQSEVTSPDDPLPEPPRCTVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMTQQPPWQELVATDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRLMRQQSNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSRSEFIVSINKYLEARNHKLSVGMRFKMRFEGDEVPERRFSGTIVGVEDNKSSVWADSEWRSLKVQWDEPSSILRPDRVSPWELEPLVSTPPANSQPTQRNKRSRPPILPSTMTDSSLQGIWKSPADSPPFPYRDPQHGRDLYPSPRFSSTATSFLGFGGNSPASNKSMYWSSRLENSTEPFSPVALEESGEKRQGTGNGCRLFGIQLLENSNAEESLQTAPLSGRVGDDRSVPSLDVESDQHSEPSNVNRSDIPSVSCDADKSCLRSPQESQSRQIRSCTKVHMQGMAVGRAVDLTRFDGYEDLLRKLEEMFDIEGELCGATKKWLVVYTDNEDDMMMVGDDPWLEFCSVVRKMFIYTPEEVKKLSPKIGLPSNEEGKPSKLDSEAVVNPEDRSSIVGPGC >RHN49533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55450208:55452544:-1 gene:gene44375 transcript:rna44375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MEETTKRKRDSIINELVHGKELANQLSNHIILSSNETNEFLIDKIISTYQKALTMLNVGDGKMKDSHSSFTNESPKSEVIIDQEFNHNALFKKRKSMPKWKEQVKICTNTGLSEGSLDDEYSWRKYGQKDILGAKFSRGYYRCTHRNGQGCLATKQVQRSDEDPTIIEVTYRGRHTCTHSQAKPLKREFPSKLKLKIKGLEKNKLHNDIKNQLQTKGTSFTSKAELDVKRKDLEETKEDIFPWFSFPSPSIGSENEIMMESNFFNLSEYQLGYTGLCQNGPTSESDITDTVSAPTSVTNSPILDLDILLQRGDFDTDFPLNTPEYFSS >RHN78641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17004927:17006992:1 gene:gene2270 transcript:rna2270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MSCPLFRFTFFPFSKLLQDIVHDTWRWLFDPTHGYMVREAYRFLTNNGELVDMTLVDDVWHKHIPSKVSLFVWRLLCNRLPTIDSLVRRSLWAHVWHWLGISSVHLGDFRNHFIQYTNMTGLSRVTHSFL >RHN67887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31193187:31194931:-1 gene:gene16132 transcript:rna16132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MLFQAISYTPSFLRPLPQSFWHLLLIIFFSFMVIKTIRYHLVENPKKAKLPPGPKPWPIVGNIPEMLANKPATRWIHKIMEELNTEVACIRLGNVHVIPVTCPTIALEFLRKNDATFASRPISMSTDIISKGFLTTAVTPFGEQWKKMKKIFVNELFSPHRHQWLTNKRNEEADNIMFYVYNKCKNANDNGLVNVRIVTRHYCCNLMRKLVFNTRYFGEGSNDGGPGLEEVEHVDAIFTLLRYVFAFCVSDYMPFLRGLDFDDHERRVKDAMSIVDKYNDPIIERRIQKWKDASKTSADSEDLLDILISLKDANNKPLLTSEEIKAQALELVLGGVDNPSHAAEFAIAEMINQPDLLQRATEELDNVVGKQRLVQESDIPNLNYVKACAKEAFRLHPITIFNPPHVAMDNITVSKYMIPKGSHVLLSKQGLGRNPKVWNEPHKFQPERHLKNDGSMVVLSEPDLKFISFSTGRRGCPGIVLGTSMTMMLLGRLLHGFDWSGPPNESTIELLKYSNGDRYLGGPIVAIAKPRLAAELYHQ >RHN51526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19376207:19376730:-1 gene:gene35981 transcript:rna35981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatid cohesion factor MAU2 MEAPAEGLWGLADHHEKLGQIAKAVKCLEAICQSDVSFYPIIEVKTRLRIATILLHHSHNVHHAKSHLQGCQLLLKSTQTCLELKCKVYSLLSQCYHLVGDIKYQKKILFEGLQLAASSAGNNEYIFFPFLLNFCLFLRSWKLGLGYLGE >RHN72105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5022158:5026365:1 gene:gene7778 transcript:rna7778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VII-2 family MKHLFRFLILLTLISLTQSSSSCNNENKNLISKAFQSVSGFNTTLFQTKSFNCSKGQINIIELPSKNLSGNISWRYLRNMTNLVFLDLSGNYLQGQVPNWFWSSSSNLSTVNLSNNRFGGTIAFKTKPISQNGSTLQNLNLSHNRFTNQLHLSFFQNLKILDLSHNNLNTLPSGFQNLTKLNYLDLSNCNIIGNIKPISYLTSLSFLNLSNNSLNGSFPSDFPSLNNLKFLNISNNNFKSSTSLNNFIKKFGKSSFIHNNFNLNHYNTTKKPNIHSNSNSISTLKHHHQQQLHVTKTKPIQTKPKHKQKSKTKTMIIVAVTSASTLIFVVLCLCAFFGYRRKRKLAQKNKWAISISKPMTGLTTTVKMEKSGPFAFETESGTSWVADLKEPTSASVVMFEKPLMNISFMDLMNATSYFGKDSQLAEGRCGPVYRAVLPGELHVAIKVLENAREVDHDDAVDTFVDLSKLKHPNLLPLSGYCIAGKEKLVLYEFMSNGDLGRWMHELPTGETNVEDWSSDTWEIQNGTGSRASSPEKMGWPTRHRIALGVARGLAFLHHAGSRPVVHGHLVTSNVLLADDFEPRIADFGFRKFGQQCPPNCSTETDVYCFGVVLMELLTGKPGTAETVVWVRKLVRESHGVRALDDRLKLGGGDLESQMVESLRVAYLCTAESPGKRPTMQQVLGLLKDIHPKNGLE >RHN75085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39253032:39255150:-1 gene:gene11238 transcript:rna11238 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSRLHHHHHHHERENPSPMNSPKSNVSNCMSSSNSNSNGIQIPTPPLTPKTIPRSDSNPYPTTFVQADTTTFKQVVQMLTGSSETTSTTPTTTKPTTKPNQQQDLPQQTRNFNIPPIKTAPKKQGFKLYERRNSLKNSVLMLNTLMPNFSQNSNFSPRKQQQEILSPSLLDFPSLALSPVTPLNDDPFDKSSPSLGNSSEEDKAIAERGYYLHPSPITTPRDAEPQLLPLFPLSSPRVSQSNS >RHN69562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44424170:44428358:1 gene:gene18045 transcript:rna18045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MVKSWFFWVLIVVGYGCFLETPIRVEGYPIEDFIVKLPGQPKVEFKQYAGYIDIDVRHGRSLFYYFVEADHDPHKKPLTLWLNGGPGCSSIGGGAFTELGPFYPTGDGRGLRRNKKSWNRASNLLFVESPAGVGWSYSNTTSDYNNYDDTSTANDTLLFMLKWYEKFPSYRSRGLFLTGESYAGHFIPQLANTILDYNAHHSTGFKFNIKGVAIGNPLLQFSRDTQATYEYYWSHGMISDEIGLTIMNDCNFDASYDNLSKSCKDAMVDAQDIVSDYIDNYDVILDVCYPSIAEQELRLKRMATKISLTVDICMDSEIYFYLNLPEVQKALHANRTNLPYPWSMCSGVLNYNDADHDINILPVLKRIVQNHIPVWVYSGDQDSIVPLLGSRRHIRELAHDLNFNITDSYRVWFHRDQVGGWVTEYGNLLTFATVRGAGHIVPYAQPSKALHLFSSFVRGRRLPKTTRPKIIDEITYE >RHN54979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14175595:14183652:-1 gene:gene30094 transcript:rna30094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MDDSTQPLLTPKPKEQRHGINTNFPSPPSNTAIFTAAAPDMDLITSPKDFFKQFIVESKKLWYLAGPAIFSFVSKYSLGAVTQIFAGHVSTIDLAAVSVENSLIAGFSFGLMLGMGSALETLCGQAVGAGKLDMLGIYMQRSWVILFSMAFPLCLLYIFAGSILKFIGQTTEISEAAGTFALYMIPQLFAYALNFPVAKFLQAQSMVIVIAVISGVAMVLHPVFSWLLMVKFGWGLVGAAVSLNGSWWFIVVAQLGYVFSGKCGIAWNGFSFEAFRNLWGFFRLSLASAVMLCLETWYFMALILFAGYLKNAEISVDAFSICMNILGWTVMVSLGMNVAVSVRVSNELGAVHPRTARFSLVVAVITSILIGLLLALVLIISRDKYPAYFTTDKEVQDLVKDLTPLLALCVVINNVQPVLSGVAIGAGWQAAVAYVNIACYYLFGIPVGLILGYKVNLGVKGIWCGMMSGTILQTCVLLIMVYKTNWNKEASLAEDRIRNWGGPRQVVEAKEENIQET >RHN68457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35707464:35726004:-1 gene:gene16817 transcript:rna16817 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMTNMYMTKFDRLPSKNEQLLMRKKGSWRIRRKKMYRQENPDDLLYGFGNKWIQLRHQVIFLMELSLINC >RHN76970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2829270:2830456:1 gene:gene369 transcript:rna369 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLIQTVDIKKSMHLKLCLKFIDTFVLRLLTRQAYCFRLSVMFHREVVDNLSMELPKAVSKFAGISSSSLDMAISIIDQFIVKCGPEYMLFVLCYQTLGEASKAISAAACIIPPLSEISKGNFTVKFFNSGYNV >RHN40461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16504696:16505481:1 gene:gene46613 transcript:rna46613 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLCTLIIVVIFALTLILSLEVNVAKARTYPSSSNVSKMLSSFQSLSHKKGSSKSSQEVSASLKRIPPSRPNPTQNKLKPRIKD >RHN78616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16793117:16799954:1 gene:gene2242 transcript:rna2242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSTGPTINATSTVPLIITPGDLPPATTLPSQTPPSSKESPSPLPSISTGAIVGIAIGAVVILMVLLLLCICCCKKKKRRRDEERCAREQSDRERSQHQNRRLSQRTKAKQKKVQGPKLKNDSKSPSPAPIQSGQLPVVASPKSTHASISSRQPPHAALPTLTAPPLVSSSGGSGSKPSGHKLPPSLGTGLKSETNKAEMATPFEVKTRPFVFIKDINVKKDFWKMAVKVRDKWTVVKDGREHSEMVIVDAKGTDVQVIIPTEYKAETDKMIEENTTYTLSNFLVLTNDLSFKASDNKYKLIWTGGTTAVDPNVHDIPDKDLKFKPFAEIVDRKWRSDLLYHVIGYVHEIGYRQGVSKKRQVNLTLKDLSDISLNCTLSEDYAAKFDKFNNDNKESGPVILMLKYGKIKEEGKYLSVTNTYGATKMLINADISHIKTFRESLPKNDQMMTQSQVMCTQSSAGSQFSTDDDLLSNPLIMPLSDILQLEQISYVVTVAKIEKVNSTKFGWYYLACDKCGKIAKGDKPPYTCEKGHNTEKTIERYKLEMDVLYGDTKATFVFWDRESTQLLGISAAQLRINMIQAGITNRLEYPMLMDSIGGKTMAFKIKWQPKCKTISVVCYRQGDVLAKLVSSKFPEAVIDDLHAESADDVENTPDGLTVTEEVTMSVDVSATAEYNPVTLSQLTPMSDKSQGKTSNDTSDDKFTLKRVKVIKTEKK >RHN59077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7315885:7319065:1 gene:gene21064 transcript:rna21064 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGSSKDLQKLRILCVECGSDLQQTQYIARFLDVLKATNCQNLEASASSTTSEIFDMYASPLIDD >RHN61680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37459904:37464399:-1 gene:gene24168 transcript:rna24168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative B30.2/SPRY domain, concanavalin A-like lectin/glucanase domain-containing protein MDTLQATYKDEEEDEEMIDPSTVTTTTGPSVEPPAASTVVEMELIEAPPVTTTTPSNDGKSELDPSDEPSDDSAEQKNSPKQKREQPDEDEEEPLPKKQKQLSSLNPVKEEPISLPEVNNAAAAVPIPTTTTPVNGTATTKKTKKKNNNVWATKSKKKSKKNKNNNNTNTNNNNHTNGGEDSVLITPVQRFPDKSDDTPEMKICLSKVYKAEKVELSEDRLSAGSTKGYRMVRATRGVVEGAWYFEIKVVRLGESGHTRLGWTMEKGDLQAPVGYDGNSFGYRDIDGSKIHKALRENYGDEGYGEGDVIGFYINLPDGDKFAPKNQNLVWYKGQRYAYNPDAKEDPPEVVPGSEISFFKNGVCQGVAFKDLFGGRYYPAASMYTLPHEQNCTVKFNFGPDFEFFPHDFNERPIPKPMVEVPHHGFENQVENGALTEKKSNGELNESKSNGESTVKKSTGESTEKKSNGESTEKKSNGESYEQKSNGESKEKKSDEEAEKKSDEEAKEKKSDEEAREKKSDEESNEKKSDEEVEENKS >RHN72788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10687958:10688159:1 gene:gene8544 transcript:rna8544 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFAPVTHTTSSLFSLAISVSASSHELAHNLCIGVAACGISNVVLI >RHN71594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1222166:1224918:-1 gene:gene7209 transcript:rna7209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MKGVWNGVHGLKPVILMVLVQIAYAAVNVLYKLAINDGMTVKVATAYRLAFGSAFTVPLALISERNKRPKLTWRVLFMAFLCGLFGGSLFQNLFYEALALTSATFASAIYNLIPAITFIMAISCGFERLNLRAAAGKAKVLGTLIGIGGAMMLIFLKGLEINIWPFHINLMHPHHQHQNSHVASVHADFGSKWLGVLCAVASCFSFALWLIIQAKMSKEYPSHYSSTALMSTMGAIQATAFGLCVERDWSQWKLGWNIRLLAVAYSGVVASGLVVIVTSWCIKMRGPLFASVFNPLMLLFVTIVASLMLDEKLYLGSAIGAVLIVCGLYMVLWGKSKEMKRIAQLVPSKNTQEAEAIQVVVMSTPMVDDHDKLHVDNLSKNGEINSIGGAEVVK >RHN48543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48321226:48323514:-1 gene:gene43273 transcript:rna43273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbonic anhydrase MQSPIDLSSDRVSVMIPEFGDLKRNYKPQHATISNRGHDVAVTWEGDVGYIDINGTHYFLQQSHWHWPSEHTINGRRYDLELHMVHVSTQPDGTNKTAVVGVLYKYGSPDPFLSKLVNYIIEVPDEEEEKSIGVIDPSKIFKSAKMYYRYMGSLTAPPCTEGIIWTINNKIRTVSRGQVKLLKNSVLKYYAKRNARPVQILNQREIELYDPKAKNIPNY >RHN80278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36762104:36774746:-1 gene:gene4183 transcript:rna4183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Actin family MDYLKSALPSQIMSDRGSNLVVINPGSANIRIGLASQDTPYNIPHCIAHYTKQVPKKNVQDQMLNSQVTTAQHMEREKAYDVIASLLKIPFLDEEPSSNSFPRKMGRVDGHMPHRKDSPFTWTNVYEEVTSSSSVSALDTASKAETSESLNPKEEGTDLKEIGASNQKFRKFICGEEALRISPTEPYCLCRPIRRGHLNISQHYPMQQVFEDLRAIWDWILIEKLHIPRNERNMYSAILVLPETFDNREIKEILSLVLEELCFGSAVVHQEGLAAVFGNGLSTACVVNIGAQVTSIICIEEGATMPSTAKTLTFGGEDISRSLLYTQRHHQTWPQIRTDMLTKPIDLLMLNQLKESYCEIREGQLDAVAVVHSYEDKVLPGSHKTRLTALNVPPMGLFYPMFFVPDVYPPPPRTWFNDHEDMLEDTWQIDFSRRSDMSDTFYPNVNGGMPMWESYPPFSTKPKKEENLGLAEAITNCILSTGRIDMQRKLFCSIQLTGGVALTNGLVPAVEERVLHAIPSNEAIDTVEVIQSRANPTFVSWKGGAILGVIDVGRDAWINREDWIQSGIHVGSNRKYKDSYYLQAQAMCYMNS >RHN61686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37535399:37536318:1 gene:gene24174 transcript:rna24174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MNIRDEGKTQLIDPAVKGTLNVLKSCAKSPSVKRVVITSIASVVYNGRPRTPEVVVDETWFSNPDLLWEQKLDAGSETYRTAAFRWINVKDVANAHINAYEDASASGRYCLAERVMHFSELVNILRCMYPTLKFQTSKFFFSSNSLVARIHLLR >RHN43476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46170374:46178829:1 gene:gene50060 transcript:rna50060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-related protein 12A MENLISLVNKIQRACTALGDHGESSALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHKSDEGTREYAEFLHLPRKRFTDFAAVRKEIQDETDRETGRSKQISTVPIHLSIYSPNVVNLTLIDLPGLTKVAVDGQPESIVHDIENMVRSYIEKPNCIILAISPANQDIATSDAIKISREVDPTGERTIGVLTKIDLMDKGTDAVDMLEGRAYRLKFPWIGVVNRSQADINKNVDMIAARRREREYFNSTPEYKHLANRMGSEHLAKMLSKHLETVIKSKIPGIQSLISKTINEIETELSRLGKPVAADAGGKLYAIMEICRSFDQIFKDHLDGVRPGGDKIYNVFDNQLPAALKRLQFDKQLSMENIRKLITEADGYQPHLIAPEQGYRRLIESTLVTIRGPAEAAVDAVHSLLKDLVHKAVSETLDLKQYPGLRAEVGAAAIDSLDRMRDESKRATLQLVDMESGYLTVDFFRKLPQDVDKGGNPTHSIFDRYNDSYLRRIGTTILSYVNMVCATLRHSIPKSIVYCQVREAKRSLLDQFFIELGKMETKRLSSLLNEDPAIMERRSGLAKRLELYRSAQAEIDAVAWSK >RHN55872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24928265:24928493:1 gene:gene31150 transcript:rna31150 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRNDKIFNGVHYDVDDIVEEIKVLSWRWLLSRTNKNTCLYYEWCWDPI >RHN42073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35221700:35228505:1 gene:gene48464 transcript:rna48464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidate phosphatase MLQSFHMTATATLCYNPSNNFLRTNQPKQRYLKNSSFSPSLSASRSFTCGFVPFNLWVKSAMDGFVRTANRDGKSDGHIEVLEQEAFVDRSSESEPKFLFQEVESTLNRLVEQVDMLSVLLKQLLNQKRPSTVKSDPGMPSSHSQSIFFAVMFSILSSVELLSINALTITSSCLALAFGSYLSYLRVSQKLHTVSQVVVGAVVGSMFSILWYWLWNAFVLDAFVSSLWVRIIVVLVSAVFCLGFLLHVVRHWLKDE >RHN82629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55289053:55289530:1 gene:gene6804 transcript:rna6804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter MYFFVHLFISMPNPSILYSNVKKLLTPFVCEKVLFLEGGGQMFICQIAIGTMIALKFGESGEGSLTKGEAELLLFFISAYVAAFAGSWGPLGWSDQVKFVLLRFDLQVKLSMLP >RHN79521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29842732:29844464:1 gene:gene3322 transcript:rna3322 gene_biotype:protein_coding transcript_biotype:protein_coding MCFNSIVFLRVLCNLHSSPNLSGLCEVNMKNRANTRRISFGKFVLYRTSL >RHN41176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27824474:27825178:-1 gene:gene47467 transcript:rna47467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVNLSSCLLLFLLSLHCFVASLATYTKNITTDQYALLAFKSLITSDPYDILSNNWSTTSYVCSWVGVTCDERHNRVNSLKISNMRLGGTISPNLGNLSFLVILNLSHNSFSGQFPKEIYRLHRLKVISISFNEFEGGIPEVLGDLSRLQILKLGANNFSGFPPQSIGNLRQLKSLDISQNMLSGPIPQTISNMSSLEYLGLQYNYFSGKPSFDFLCHIFYISCIHTIYDFIISI >RHN67346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26308242:26309430:1 gene:gene15526 transcript:rna15526 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQHTLQRKESGCPIYICNYCNQLGFESSYQCLNSNCTYILHTQCVGRIVELVEHRPTTECVERQRIDEGVQRIDEGVQHRPARRIRKRDIFRLSANLLISVTFDPSSVFDTAATIVDIVESSDRNLSDSRS >RHN78114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12021358:12022387:-1 gene:gene1635 transcript:rna1635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuole morphology and inheritance protein MAEILVQRAGSPDEFTSLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETIEELRAINADPAEAFDVGAILSIARRCNSSEWEATRIEALHWISTLLNRHCIEVHRQSKS >RHN48138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45331046:45334151:1 gene:gene42824 transcript:rna42824 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTMKIQPIDSQIPAERIKPVVKSRLKRLFERQFSGVLKNPPPEKIAGDEPHLRKDGSNNGFIDFEPNSVCLAKMVQSFIEENHEKHSVSCARNCFNGNFDDGSDSELHALGGGSFGEAYEILKGLVACPNVNERNLLADTTKIIEKNKTLCKRKDDNCRKFVIDGLLSLGYDASICKSRWEKSTFCIAGEYEYIDVVIGKERVIIDVDFRSEFEIARSTKAYKVILQTLPYVFVGKSDRLHSIVAIASEAAKQSLKKKGLHVPPWRKLEYVRSKWFSPYTRITPPMFCLWSREISEETERKQRILIGDESGQSGGESESKVVVEWKPPELKPKNSVQTGVKVVTGLAAVFRENP >RHN51391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17142695:17143057:-1 gene:gene35821 transcript:rna35821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MGYEPNTITLTTLIKGLCLNGKVNEALLFHDHVLALGFHLNHVTYGILINGLCKMGQTRAALQVLRQIEGKLVNTNVVMYSTVIDGLCKDKLVIDAYGLYSEMIVKRIPPTVVTFSSLIY >RHN50307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6002119:6003011:1 gene:gene34579 transcript:rna34579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate adenylyltransferase MIYLVCHFILQVAAYDTKVNKMAFFDPSRAKDFLFISGTKMRSYAKSGENPPDGFMCPSGWKVLVNYYESLQTEEASQQPVLSS >RHN79871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33046718:33049406:-1 gene:gene3720 transcript:rna3720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L29 MAIKMFELRSKTKADLLSQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREVYKNKKYLPLDLRPKKTRAIRRRLTKHQASLKTEREKKKEIYFPLRKYAIKA >RHN72796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10740616:10748107:-1 gene:gene8552 transcript:rna8552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Mg2+ transporter protein, CorA-like/Zinc transport protein ZntB MSDAEDQSHSSRNKWQPESYKNHPARDLNSGSDLWTDGLICAFEFVRGKKRPVKSKSSSNITNRPHFDGRYSKMHVPSNGVIFDDDKEVPIFQVGQSHSPEEHGGDHWVPIGWSRISELVQAVQVDAVWSSHQFEFDDSEDDFTAADLAAPYWERPAGPRWWCHVSAGHPAVEAWLSNAQWLHPAVGLALRDESKLISERMKHLLYEVPVRVAGGLLFELLGQSVGDPHVDEDDIPIVLRSWQAQNFLVTVIHIKGPVSKINVLGITEVQELLSTGGYNVPRTAHEVIAQLASRLSRWDDRLFRKSIFGTADEIELKFMNRRTHEDLNFFNIILNQEIRKLSAQVIRVKWSLHARDEIVFELLQHLKGNGARNLLEGIKKSTREMIEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAVFGGVGVVLTIITGLFGINVDGIPGATNTPYAFGVFTAILIFLGAVLIVVCLVYLGLKTPIAEEQVEVRKLELDEFVKMFQHDAENHAQVRKNVSRNNLPPTAGDAYRRGDFLVIQ >RHN40348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15523464:15524237:1 gene:gene46495 transcript:rna46495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein MIZU-KUSSEI 1-like, plant MGSLHESQTTTGQPPPSPTTGQPPPSPASVNTCPPTVRMPINLQPANSKSKQNSTNKLFGKFRSMFRSFPIIVPSCKMPTMNGNHRTSETIIHGGIRITGTLFGYRKARVNLAFQEDSKCHPFLLLELAIPTGKLLQDMGMGLNRIALECEKHSSNDKTKIVDEPIWTLFCNGKKMGYGVKRDPTDDDLYVIQMLHSVSVAVGELPSDMSDPQDGELSYMRAHFERVIGSKDSETYYMMMPDGNSNGPELSVFFVRV >RHN57804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41808833:41809472:1 gene:gene33392 transcript:rna33392 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSFPPQQPTRIDPYNVPTFNYHNYHIYTQLCICVKVAYFAYIFHFHFHFNMALYLSNIFGCFSESSSPQSKRYECNGDVCVLRNPKENINKKASNTNNKQKQFSRFSIKWSPRSRF >RHN48341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46785559:46786001:-1 gene:gene43048 transcript:rna43048 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVGEGSSLGENGGGGGRGLRPGDECVISCVGSLCGDGDCGC >RHN59932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14934663:14940706:1 gene:gene22103 transcript:rna22103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MKRLRSSEDLHSYGEKNGGDKNGVKDSSNLNRSFSSTGQRSFYYKQENVRKSLISSSSSSRYERDRTVEEDREGSRLVRKRSEHDFDGFDRRKGFDRDRYSRDSRDGGYSGDRNLIHRSESFCGGSRREFPKGFRSERDRSRREGSVSSWRRGLKDFDESSRGSGGGSRVEERVVRSPKGFSRDVKSPSWSKDSESEQSKKRNSESPRVFREVKSKSKSPSVSKDSESEQSKSVSGVEVKKSEEMLQQVQSGSGSEMEEGELEPEPVRETELKPAPKDEAAGSEIQQTSEDKQAQKKKNECHSGDADVVMEEKQTLSSKEEAKCTQDIDSEVKVAGKEVCELPKTQDDPTNEISVAESEIGTTSNVDDKKNVCLNGDDTRCKEEMEKGTDKGKAMLNEEEREEDNGVGGNKPESIEGSTENDVADEVKGETMESVSVINNVKDKGKSISVTPDVAHSSKDGLWIDRGSNDLATCPVDDMEGPSRRGFELFSTSPVRKAEKSDSLVLKKENDDSLAMGQLDLSLSLPNVLLPIGAQETATQAPGSPSQARSVQSLSNTFCTNSDGFTASMSFSGSQSLYHNPSCSLTKNSVDYEQSVGKSVGSRPLFQGFDWQALSQQGDPKQKEVPSSQRTSMNGNGSLYQPQASWGVLDTQALKGQHSRALEGSSKMGSGLEKQLSFHKQISGQSRRHDDVRSPTQSVGSHDNGSNYSFEKKRERSSGGLHRTTSQKGQEQLLMGGLDFVKTIIARIISESVPVMSRKFHEMSGQYMTHMKEGIRELMLNADSHGQILAFQKILQNRSDITLDVLVKCHRVQLEILVAIKTGLAHYLHLGDNISSNDLAQVFLNLKCRNVSCRSQLPVDECDCKLCVQKNGFCRECMCLVCSKFDNASNTVSWVGCDVCLHWCHTDCGLRESYIRNGNSTTGTKGTTEMQFHCIACDHPSEMFGFVKEVFQNFAKEWSAEYLYKELEYVKRIFSASKDIRGRQLHEIADQMLPRLTIKSNLPEVLRRIMSFLSDCDSSKLAMTTNFSGKEQGKENSVVAGPSQEAAWLKSIYSDKAPLLERPASILPRFDQNDKRTMVQELQLSSVQKDFGFDELDSIIKIKHAEAKMFQTRADDARREAEGLKRIALAKNEKIEEEYVNRITKLRFTETDEMRKRKLEELHGLERAHREYLNMKMRMESEIKDLLSKMEATKMNLAM >RHN52046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30840360:30842444:1 gene:gene36661 transcript:rna36661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MSSQRRSSSRIQAKQKEVQEIQLRRKAEGVEAEEKSDEVEVSPVKSRKRVRRSSSRKSVVKDVVEEKETTEKKKEEVVVEGKPTKKKKKKKSALDEKRELMEVWNEKMVAETENAGGALSDKSSTEMVKETIRTFNKHYLHLVQEEDERVAEEIAEFEKSNGGKKSAKKKSPRKKSPKKDAKPKEEKHPSKRPSKRPDLKAITKMMQNNEILYPGKRIGSIPGIEVGYQFYSRAEMVAVGFHSHWLNGIDFIGKSYSKTYKLNLPVAVAIVISGIYEDDLDNAEDVVYTGQGGHNLTGNKRQIQDQKLERGNLALKNCCKQEVPVRVIRGHNSSSSYTRKVYTYDGLYKVDKCWAEKGLSGFTVYKFRLRRVEGQPTLTTNQVYFTMGRVPQSTAEIRGLICEDITNGQEVVPIPATNLVDDPPVPPPPGFKYLKSLKVAKSVKLPVSASGCKCNGKCIDPNTCECAKRNGSEFPYVSKDGGRLIEAKDVVFECGPNCGCGPECVNRTSQRGLQYRLEVFRTAKKGWAVRSWDFIPSGAPVCEYTGILGRTEDVDCVLENNYIFEIDCLQTIKGLGGRERRSKNVTYASSLLEKYDDQDSESAPEFCIDAGSTGNVARFINHCCEPNLFVQCVLSTHNDLRLARVVLFAADNIPPLQELTYDYGYALDSVLDSDGKVRQMACYCGAAGCRKRLF >RHN56236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29133855:29134871:1 gene:gene31604 transcript:rna31604 gene_biotype:protein_coding transcript_biotype:protein_coding MKILPQQQLNGFVNMDQLLTLLFNDLTNEGLVKKSNKHRAVFLGDQELEGVHQFQSFIDQYNMDYISLNDMEKQSSILDGTVDFVFTSNFPASSQFIDRTLKTNGIAAVVILNAAAFHKPSNYKVAYMRRFQKVVMAMKKTTTSPVKLGSQRKLLGYATEAKRAALQKLEDVLLEPPRAASGKSRVYLKRTKYLPDLMGDTLESYPRRVFIDVGLPQKDGGSGTDWFSKNYPTRNKNFEMYKIETVVEGSPTTQVEMSDWLMKNVKDEEYVVMKAEAEVVEEMMRSKSIMLVDELFLECKPQGLNLKRGTRGRRAYWECLALYGKLRDEGVAVHQWWG >RHN66201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9674915:9678810:1 gene:gene14141 transcript:rna14141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MVDQVKQPTIMEKVTGQLHRRSGLSSGIRGYEGTYRHPAMAQRFSYGNYSNAALMNPMAPTCRATVDLSAVATTASPVFVAAPAEKSHFVIDFLMGGVSAAVSKTAAAPIERIKLLIQNQDEMIKSGRLSEPYKGIGDCFKRTMADEGVVALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDSKAAKKGGERQFNGLVDVYKKTLATDGVAGLYRGFNISCVGIIVYRGLYFGLYDSLKPVLLTGKLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSFDAFQQILKNEGAKSLFKGAGANILRAVAGAGVLSGYDKLQVLVFGKKYGSGGA >RHN77790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9444979:9447129:1 gene:gene1281 transcript:rna1281 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MANFHNFAFLLTMFFLLLTEPVTSKNPCTEKCGKVRIQFPFHLKNNTTNHTNPQGFELSCTDKGETMLEIPTIQLKLFIKRIDYKAQKFQIYDPKNCLARQLLKLGNLSVSPFQFQLPEFDTRRNINISFFHCDSNKECPILLRDSSHDFIDPKLVSCRKVSEVLNVGWMIEEWEDDVAESLIMKWSKPNCSFCEVQGKKCKWKNGTRNGEVECFVCKSDGIARSTVLLITTGVIVGSMILLLLANGFLRIYRYFKMKGDDITRIENFLEDYRAMKPTRFTYADIKRITNGFKENLGEGAHGAVFKGMLSQEILVAVKVLNETQGDGNDFINEVRTMGKIHHFNVVRLLGFCADGFHRALVYDFFPNGSLQKFLAPPENNDVFLGWEKLQRIALGVARGIEYLHIGCDHRILHFDINPHNVLIDDNLSPKITDFGLAKLCPKNQSTVSITAARGTLGYIAPEVFSRNFGNVSYKSDIYSYGILLLEMVGGRKNTNVLGEETFQVLYPEWIHNLIEDKDVRVNIEDEGDVRIAKKLALVGLWCIQWNPVDRPSMKTVVQMLEGDGVNLMAPPTPFDSIGANRTNQVIPPRHLNFELEVIPEID >RHN58327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:825952:829633:1 gene:gene20229 transcript:rna20229 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKKQVNKSNDGPIPNFSIGLTQLEQEQASDDGKKGKKMEKKMEKRVKKTKELEPSFSIGLTQMEEEEKNVTDGDKNKKQKTKKAKGKEKKNST >RHN64371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58554319:58554665:-1 gene:gene27184 transcript:rna27184 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLFPVKGTDELIFLQSIQHGQNYTIHRLFSTRRRRRRTQTT >RHN79252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26667277:26668025:-1 gene:gene3013 transcript:rna3013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQREKNMTETLKFVCVMFLFLSMFLVTKVTDCTPQKKVTDVSHPCTTDKDCPKVQHGYKLRCRKGQCVHI >RHN80604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39351050:39353602:-1 gene:gene4546 transcript:rna4546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AT-hook motif nuclear-localized protein MANRWWTGPVGLGGMDNSVTSSPLGKPDLGFSMNQSAVTGVNNMNNNNNEEEEDEKENSDEHKGGAIETNTSTRRPRGRPSGSKNKPKPPIFITRDSPNALRSHVMEVATGTDISDSIVQFARKRQRGICILSASGTVVNVSLRQPTGPGAVVALPGRFDILSLTGSVLPGPSPPGATGLTIYLSGGQGQVVGGGVVGPLVAAGPVMLMAATFSNATYERLPVEDGDDQEGHQGGGGDDESPTRAAGMGQLAIGSVGEGSSIPPGYNNVGGNLGVSNGGQQQLLNNHEAYNNSPWGHASHGRPPY >RHN70162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49208024:49210954:-1 gene:gene18717 transcript:rna18717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target SNARE coiled-coil domain-containing protein MSNSLRGGSFYGDAAPFRSREGLSTRTPAASSDEIQLRIDPVGDFDDEITGLRGQVRKLRNVAEEIGTEVKSQKDFLEQLQMTVAKAQAGVKNNLRRLNRSIVRNGSNHIVHVVLFALICFTIVYLWSKMSRK >RHN44309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3395051:3396442:1 gene:gene38412 transcript:rna38412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MTRKRKISETLVEDINPYETIKEWNEVMKEPSSLEKRSRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACILRGSNTRTNFLPCSKSSSRNHVLSSKITNLLFQKLKERNYPCGCGRSCEPLNCGKIQENEADADKIAVAMSLPRPQNPLYCTKMQENVADTVKTAVVMLQRPQNPLYYDWSCKPLYYGKVQENTADAVEIAVAMPQRPQNSLYCGHILSCGPQFKTISSPSCSSNSLFINQQQKKQGNVYGNESTYFTNEQFIDFFDGPEEDCCTINSIEFSNNSSAQIDCITSSFESCLTEKDEFICKEKETTSSDERVEDIEENTGLSFNQDFQFLDNVDDAAMNYYSAFNIDHEDIEKHVELEINDDSDESSMLRNAMKRMKYERKFSASLYAFNGIPECLKLKLESDNKMGREFSNELTNLEMACSKKKRMLIRRMNDTYNL >RHN70960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55426033:55426642:-1 gene:gene19596 transcript:rna19596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast envelope membrane protein MLKEYPETHFQNLRMEIYKETIQLIETNNQDRIHTILHFCTNIICFLILSGYSIRGNQELIILDSWVKEFL >RHN53501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2121724:2125227:1 gene:gene28413 transcript:rna28413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol 4-kinase MSVADVALRPILKESEKNSHCHHRRSSSESILIYVTVDGAVTPMRVLESDSIASVKMRIQTRKNVSWKKQNLVSNGRELSRNNAEMKEYGVTTGNVVHLVLRLSDMIFIVVRTMCGKEFEFQIDRHRNVAHLRNCIKRKGKGFVDLVEEEQEFFCSGEKLDDKRIFDDICKNDDDVIHLIVKKSAKVNATFVHNKDLEVSVVADNLNSNSNQNLNNQNQDEVVKVIEQPYGFDFLLEPIFVNPRINYFPFLWDMIDATFNGLKKGNKPVRSSEGTGGTYFMQDLKGVEYVSVFKPIDEEPMAVNNPRGLPVSVNGEGLKRGTKVGEGAVREVAAYILDHPKAGPRLVSGEAIGFAGVPPTVMVRCLHEAFNYPDGGDRGSSRMDHKVGSLQKFMSNDGNCEDIGPGAFPVQEVHKISVLDIRMANADRHAGNILFRKELNGETMLIPIDHGYCLPEKFEDCTFDWLYWPQARQPYSPDTVDYIYSLDAEKDIELLKYYGWDVPVESARTLHISTMLLKKGVERGLTPYAIGSIMCRENLNKESVIEEIVSEAQKSLLPGTEESVFLEFVSQIMDSRLGELSK >RHN76854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1817618:1820734:1 gene:gene236 transcript:rna236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zf-FLZ domain-containing protein MALGSNEKRKSIFKAPLLYVGLGMDSDSLRSPTSPLDDVTLLSNRGNTLRTSPPNQGQKRGWNCTKVGLSIIDSLEDCSKFSRNVLLSSEFNKGSFSPNPSPQMITKVTNCNHCLDSVMASKSLPKDFFKLPYTKNNSVFHKGESNVVFEIGEPLLEHELPFGKSMSCSLDLYSPIKNSKFDSKCENFCLKPVSFPNTLLPVSLSASEIENSEDYTCVISHGPNPKKTHIFCDCILEVHADDDVKKHQNKNEEEGSSSPVVGRLETPNQFPSAEFLTFCNHCNKKLDEGKDIYIYRGEKSFCSLACRAFEIMIDEELEKSNEPPENSIELESGEEHFGSGIFTAV >RHN82618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55235028:55235594:-1 gene:gene6793 transcript:rna6793 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEKMDPSKQLSRKQNKAFLILCVLARDITEQAFKEIAVFSHKLKRWHPLAAGVAVATLHVCIEMS >RHN73293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15160606:15166147:1 gene:gene9091 transcript:rna9091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdenum cofactor sulfurtransferase MGAASSSSTTSETESSTTPDAKVTGLFIYPVKSCRGIPLSNAPLTPSGFRWDRQWMVVNSKGRACTIRVEPRFALIDVELPPEAFLQHWEPTTDSFMVLKAPGMEPLKVCLNKQYEVEDDVTVWEWTGSAWDEGAEASQWFSDYLGYPAKLVRFNTASEVRKVDPDYVKGQHQTFFSDGYPFLLVSQDSLDSLNELLEEPIPMNRFRPNILVEGCGPYSEDLWRDIKISRFSFQGAKLCGRCKVPTVDQETAMYGDEPTKTLMKIRSGEVLRPNSDKNKKMVYFGQNVVWNWNESSAKGDEKVLKLGDPVYVIKKVSSPAEAAA >RHN78832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18824407:18826654:-1 gene:gene2488 transcript:rna2488 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILPSIPSNPLPNFIVIVTKEEFNLFYSVDRQLFTRLLVALDREISQSINVMAFLMWLERKSKYYNLVAKILQQWSDVMLSNLADEAVVILNCIGSSHYPNTFVGKSKLPLIQHICRFNVTLEFFHEKRLEVITGVTKIINDVCVRAFTDIIEKMNYDRTMKEQDLYLANMYGDVNIANNIQSNLVPFSPNVIPMMEQQIDVPQVNEILANLNLDDIYISDTGIVTSTDNGDDKRKEIKKLVDDRTLFMTFSKGYPISENEIREFFTRKFGNIIDNLIMQEVNPPKQSLYARLVVRPEAMDVIDHFLDYNSRMKFSINGKHVWARKYIRKLPLQDVGTSQYSP >RHN73702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19072187:19082675:1 gene:gene9550 transcript:rna9550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-ketoacyl-[acyl-carrier-protein] synthase II MASSLTSPLCTWLVAACMSVTCDSHSSQSHRSRRRSRNNHLNLSSPNRMSRSGKVMAVALDSAQEVTTTKKPPIEQRRVVVTGMGVVTPLGHDPDVFYNNLLDGVSGISEINGFDCAQYPTRIAGEIKSFSTEGFVAPKFSKRMDKFMLYLLTAGKKALVNAGITEEVMDELDKTKCGVLIGSGMGGMQVFHDAIEALRISYRKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIKGEADVMLCGGSDAAIIPIGLGGFVACRALSQRNNDPTKASRPWDINRDGFVMGEGAGVLLLEELEHAKKRGATIYAEFLGGSFTSDAYHVTEPRPDGAGVILCIEKALANSGISKEDVNYINAHATSTPAGDLKEYQALIHCFGQNPELKVNSTKSMIGHLLGAAGGVEAVATIQAIKTGWVHPNINLENPDEAVDTNVLVGSKKERLDIKAGLSNSFGFGGHNSSIIFAPFK >RHN67338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26197389:26199967:1 gene:gene15518 transcript:rna15518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDLQTIFPFTLFSIFLLSIIVTLKLRKKITRIDSTPDIPPGPWKLPIIGNIHNLIGSLPHRKLRELSKKYGPLMHLQLGEVFFIIVSSAEYAKEIMKTHDVVFASRPYTLTSEILLYDSTDIAFAPYGDYWRQLRKICTVELLSIKRVQSLWPIREQEMNHLIQRIASDEGRVINLSHELISMMYTFTSRAAFGKKYKEQDEFISLVREAMHIAGGFYIGDLFPSTKWLQNLTGMRPRLKKLHKKIDRILEKIINDHKETKSRTEEGLVEGEEDLIDVLLKFEDVSSSDLDFCLTKRNIKSIIVDVFVAGSDTASSIINWTMTEMLKDQRVLKKAQNEVRVMFNKKGKFDETFISELKYMKAIIKEVLRLHPPAPLLIPRECGQACEIDGYHIPIKSRVIINAWAIGMDSKYWTDPERFYPERFIDSSIDFKGTNFEYIPFGAGRRICPGMNYGMANVELALALLLYHFDWKLPNGMINEDLDMTELFGAAVVKKDDLYLIPIIYE >RHN75006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38660254:38662004:-1 gene:gene11152 transcript:rna11152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MRRFFIFNPWIIVAFIVFLPVLVSLRNPRGEPEPENTITQTTSSSSFSHTSFRSVILAANTFPFDFNQRIRDGSNLQYNFYRDSCPQAEDIVRSAVTDIYFDHRDLAPSLLRLFFHDCFIQGCDASLLLEDNGDRNGSYEKQAIPNQTLKGFDKVDLIKEEVEQACPGIVSCADILALAARDSVLLGGGPFYPVLTGRRDSLQSFFHEATDQIPRPDDNLMRTLHLFNLRGFNARETVSLLGGHNIGKIGCDFIQQRLYDFQGTGQPDPSIPLDFLSQMRLNCPDNSKNNFSSNGTFSSFTASKPMNVHHSNDKGMSYMQALSSAVPSGASFDTHYYQSLLRGRGLLFADQQLMAQEKTARLVSAYASDDGSTFRMDFARVMLKLSNLDVLTGNQGQVRLNCSRLVSS >RHN63573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52311042:52327005:-1 gene:gene26291 transcript:rna26291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exportin-1/Importin-beta MELQNTVKDALNALYHHPDDTVRMQADRFLQNFQRTLDAWQVADNLLHDPSSNLETLIFCSQTLRSKVQRDFEELPPTAFRPLRDSLNNLLKMFHRGPPKVRTQISIAVAALAVHVPAQDWGDGGIVKWLRDEMDSNPEYIPGFLELLTVLPEEVLNYKIAARPERRRQFEKELTSQMEVALNILTACLSIAELKEQVLEAFASWLRLKHGIPGSVLSSHPLVLTALSNLNSELLSEASVNVISELIHYTTAGNIDGVSTNAPLIQVIVPHVMNLKSQLSDSTKDEEDVKAIARLFADMGDSYVELIATGSDESMLIVHALLEVASHPEYDIASMTFNFWHSLQLNLTRRESYISYGNEACIEAERNKRLQVFRPAYESLVSLVSYRVQYPEDYQDLSSEDLKDLKHTKFAVADVLTDAASVLGGDATLKILYMKLVEAVSGNSSNEQKEWRPAEAALFCIRAISSYVSVVEAEVMPQIMALLPKLPPVPQLLQTVCGTIGAYSKWLDTAPCGLSILPSVLDILMNGMSTSEECAAAAALAFRHICDDCRKKLCGCLDGLFHIYNRTVSGEDSFKVSAEDSLHLVEALSMVVTELPLDDAKRALEALCIPVISPLQEAINQGPESLSKSSCRQLTIHIDRFAYIFRYVKHPQVVADAIQRLWPIFKAIFDVRAWDMRTMESLCRACKYAVRTSGRFMGLTIGAMLEEIQSLYRQHHQPCFLYLSSEVIKIFGSDPSCADYLKNLIEALFHHTTRLLTNIQEFTARPDIADDCFLLASRCIRYCPQLFIPSPVFPSLVDCSMIGITVQHREASNSILHFFSDIFDLANTTTGEPFLPIRDSIIIPRGSSITRILVASLTGALPKSRVEVVSYTLLALTRSYGMLALEWARKSITLIPSTAVTDLERSRFLKALSDVASGGDTNGLSVPIEELSDVCRRNRAVQEIVQDALRPLELNLVCIS >RHN49039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52004279:52009248:1 gene:gene43823 transcript:rna43823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MANIPDPDIDDDFRDLYKEYTGPAGSGSVQERAKSNKRSNAGSDEEDEVRDPNAIPTGFISRDAKVWEAKSKATERNWKKRKEEEMICKLCGESGHFTQGCPSTLGANNKSQELFQRIPARDRSVRALFTEKVISKIERDIGCKIKMDEKFIIVSGKDRLVMAKGVDAVHKIREEGEQKGSSSSQINRSRSPPPHRSRSPPPLRSPVNSRFQRSEPQRSHSAPRNTPQFPQRFGRQERAVDDRVREDTQKFARDSQQAYGNSASRGRSSQSRSPRQASYTGNSYNSFDGQSHNMGTYRNDGWDSHRRESGIQPGQQFDHNAPPHTLEELELEYKKEAMELMKIRDREEDEENLKHREAIRDLREKYMNKVALMSSTHAKQWDEFFQLDAQRRQQQAIQQMSPGYRGYKQQNFADYDRSPVNPPYGGNNLPLESRNRFSNSMEPYPTRPHGNFGEFQRRGDFAKAYNRY >RHN48967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51450843:51451448:1 gene:gene43744 transcript:rna43744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MTTRSFTVIIVAHVCVFMITTSIAQLVIDTNGEPVEAGDEYFIRPIITGNGGTTLVTRNGSCPLDVGLEQNSDLPGLIAVFTPFSSDHDDEDVRLSRDLRVQFLASNCGQSSEWRLGERDATSGRRLITTGRDDGTVGSFGNFFRIVQSQTLGIYNIQWCPREVCPSCWFECGTIGIIRENGKSLLALDGGDALPVVFQKE >RHN43128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43418011:43422969:1 gene:gene49656 transcript:rna49656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MAQARYSRIDNKRSPSSYCSTVTIVVFVALCLVGLWMMTSSVVPVQNVDESTKNEVKGQSEAKDQATDITNSNPQNFEDKKGDLPQESTKEDNNAKQSENNHVMPKKQEEKSDEKPEDKSPEDTKMTYIDPNQNKKTSDSDESNNKSVSDESNNKSGSGEDNKKSDSDVSEKKSNSDEREKKSNSNDNKSGSDASENKKDESSETTDNKTEEKADQSGNQESDESSNEKKTDENTKNQGSNELLPSGAQSELLNETTTQTGSFSTQAAESKSETESQKSSKQSTGFNWKLCNVTAGPDYIPCLDNLQAIRNLKTTKHYEHRERQCPEDPPTCLVALPEGYKRPIEWPKSREKIWYSNVPHTKLAEYKGHQNWVKVTGEYLTFPGGGTQFKHGALHYIDTIQQSVPDIAWGKQTRVILDVGCGVASFGGFLFERDVLAMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPARVFDAIHCARCRVPWHIEGGKLLLELNRVLRPGGFFVWSATPIYQKLPEDVEIWNEMKALTKAMCWEVVSISRDKLNKVGIAVYKKPTSNECYEKRSKNEPSICQDYDDPNAAWNIPLQTCMHKAPVSSTERGSQWPGEWPERLSKSPYWLSNSEVGVYGKPAPEDFTADHEHWKRVVSKSYLNGIGIQWSNVRNVMDMRSVYGGFAAALMDLKIWVMNVVPVDSPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLVHADHLFSKLKKRCKFEAVVAEVDRILRPEGKLIVRDTAETINELESLVTAMQWESMWRPTELETVEYAIGSIPNSRQ >RHN81014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42620326:42621000:1 gene:gene5003 transcript:rna5003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MGFLESFLLNGVHIKTTVTNKQQEVDNLLWSFLRPANYNGPKVIGFDVELSMFENKVSEEEIYDNSECATLHLCNGQLCLIIQLCHLDSVPTSLLNFLRLPDYTFVSVGIKDDLAKLKKEYGIRCRNAVELGPLAASVLKVPRLAFCGVDELTVAVNKLDLGKHRPLNTLYKDWGQSNL >RHN72553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8582971:8583375:-1 gene:gene8279 transcript:rna8279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stigma-specific protein Stig1 MKFINTLFLLALLMVLAITLSSATSSLNDEPNSSLQGTSYFLNRKQNRISMSCDKYPKICYIKGSAGPDCCKNKCVNFTIDMFNCGRCGKKCSFPRICCEGKCVNPRSNKKHCGKCGNKCDSKGSCVYGMCSYA >RHN45701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24672532:24674507:1 gene:gene40084 transcript:rna40084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MLTYQAYNPNLQPPENKTPSYIQALFLFAGLYAIATGLGGMRATLAAHGADQLDQRNKSLISSYFNWYFFSICTGGLLATCVMVPIEQKYGWSTSFLILLFVTSLALCTFASGFALYTYKRPSGSPLTRIIQTLVVSAKNMKVSTGGSVNHEVTEVLLAREQSQHKFKFLNKALMDQNIGVAQVKETKTFIGLLPIFVTTIMMNCCVSQILTFSVQQGNLMNRTIHNFTIPTQSIAFVPIIISLTFIILFEQFKKKNKHKDASNTKFYKPLFRMGIGLALVSTSMFVASIIESKRLEAFNNGKTLSVFWLLFQYILLGLSDTLTLEGMLEFFYSEAPESMRSICISLSWCSSSMGFFMSSVLVTLSNSVSGRFGKEWFGGKDLNHSRLDLFYALLCVINILNFLLYVYFAKRY >RHN79872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33064208:33065335:1 gene:gene3722 transcript:rna3722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronate decarboxylase MKQLHKQQSLNHRREEEMGSETPPYSPKSMKHTRTLPRSINYLLREQRLLFILVGILIGSTFFIIQPTLSRISPPEAGLFLPRSGLVRFNTGNEGASLRVGRIPAGIGGRRLRVVVTGGAGFVGSHLVDKLIGRGNDVIVIDNFFTGRKENLVHLFGNPRFELIRHDVVDPILLEVDQIYHLACPASPVHYKYNPIKTIISFFSILCCLFIYIHASI >RHN67626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28755157:28763313:1 gene:gene15833 transcript:rna15833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Smr domain-containing protein MLGNDCDLNLAVVRDVLCQCGYDVEKAMDVLLDLAANERSSNDRNSDYKVDNMDGTRFLVDYDHNDSLIDRRSECTSLSSEGDFSDNIWGLGSFGRNYAEVLTSSKANSHISPGCTKLELPQKVLESLFNIPKSSEHDKGTTDWRNIAKKMQSLGPGFDGRPNVAEPQQRPRTKGEEYHAFRQDARQQWDSVKGYFQKAATAYTNGDRGYAAYLSDQGKEQTKIAQKADTKASHDIFVARNKDIENVITIDLHGQHVKPAMRMLKLHLLFGSYVPSVQMIRVITGCGSHGFGKSKLKQSVTKLLDKESIEWREENRGTVLIKLDGWREYNFLDADSDSDSD >RHN78443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15142439:15148770:-1 gene:gene2010 transcript:rna2010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MEAATSTCFFCGRIPSKSKLASSSSFGSFCLLTRNNVTTIMCKTSLKTHNRVVQNITNSNETKVPSTTKRRRRRRKNDAAIEIQLPFENMNKNLHVHENIGDPIGWKDVGKSVVSWIRESMKSMAFEIASAELLEDLEFSEMKQRMGPGLTFVIQAQPYLNAVPMPLGLEVTCLKACTHYPTLFDHFQRELRDVLQDMQSKGLVEDWRQTQSWKLLKELANSAQHRAVARNATQPKSVQGVLGMDRERVKVIQQRIDEFTQNMSELLNIERDVELEFTQEELDAVPKQDDASDPSKPIEFLVSHSQPQQELCDTICNLNAISTSTGLGGMHLVLFKIEGNHRLPPTTLSPGEMVCVRTCDSKGAVTTSCMQGVVENLGDDGYSITVALESRHGDPTFSKLFGKNVRIDRIQGLADTLTYERNCEALMLLQKNGLQKKNPSISVVATLFGEAEDAAWLEKNSLVNWEEEKTNGALGSESFDKSQQRAIALGLNKKRPLLVIQGPPGTGKTGLLKQIITCAVEQGERVLVTAPTNAAVDNMVEKLSNVGINIVRVGNPARISKTGASKSLGEIVNAKLASFREECERKKSDLRKDLRQCLRDDSLAAGIRQLLKQLGKSLKKKEKQTINEVLSGAQVVLATNTGAADPMIRKLNAFDLVVIDEAGQAIEPSCWIPILQAKRCILAGDQCQLAPVIFSRKALEGGLGISLLERAATLHEGILTTRLTTQYRMNDAIASWASKEMYGGLLKSSKTVFSHLLVDSPFVKPTWITQCPLLLLDTRMPYGSLSIGCEEHLDQAGTGSLYNEGEADIVLQHVFSLIYAGVSPNAIVVQSPYVAQVQLLRDMLDGVPEAAGTEVSTIDSFQGREADAVILSMVRSNTLGAVGFLGDSRRINVAITRARKHLAIVCDSSTICHNTFLARLMRHIRHFGRVKHVEPDSFGGGFGLGMNPMLPSMD >RHN82774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56264267:56267488:-1 gene:gene6960 transcript:rna6960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CDP-diacylglycerol--glycerol-3-phosphate 1-phosphatidyltransferase MMSGLKLSASASTSICFLLRSAKSRTKPILISFSNNIPYRNIHHQHERDTTKFPISSSSSSFSDKLGVSGKALVSTLPPQPEQPEPSKLLTLPTILTLGRVAAVPLLVATFYMDGWRGTAATTTIFVAASVTDWLDGYIARKMNLKSQFGAFLDPVADKLMVAATLVLLCTRPLDVGVFAQLPWLLPIPAIAIIGREITMSAVREWAASQDSKLLEAVAVNNLGKWKTATQMTALALLLATRDSSHGGAAFVVGSGVALLYTSAGLALWSLAVYMRKIWKVLLR >RHN44595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6609747:6615556:-1 gene:gene38733 transcript:rna38733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MKLDLVFLGPKTGYNNVFWVTVSHNYNISKLQHDIAQRIDVKLDEDDERIRAKILSLAFEKKGKSILILDDVWKYIDLQKVGIHPKVNGIKVILTTRLKHVCHQMDCQTNDIIQMFPLCCLKESEDEVDEDKVDEGWELFMLKLGHDETPRTLPHEIEEIVRCIVERFKGLPLGINLMARTMDGNDDIHQWKHALSRLQKLEMRQVMEEVFKVLKCSYDNLMEKDLQNCFLYCALFSIDDEGWKINKDELIMKLVDNGQINENMSLEEIFDEGNTILSKLESHSLISSTNNSSVYTHPLVRNMACYILKECQRNVIVKLNKRLTEIPLSHRWATDLELVHMRDYDIEEIPEGMSPNCPKLFALILNELSISRVPESFFMYMNNLSILDLSYNEDLESLPDSITKLRSLVSLILKGCDSLKHVPPLGELQRLSRLVISNTSIGEVKGLEKLIKLKWLDLSCNKSLNLELGSLSNLTKMQYLDLRNTCAMMAVKDVQGMNMLECFGGTFDCKDYDCYRKTKLELKAYHLTFANVCGQEIRIDDYVDLKKFDSDPSTKTIQFGDCKNLGHKLPKDLTCLHILKNIHWVRLCDALSLNHSLRMIDIISCRQLESLFCSSGSCSFCTNIHKLEVLELQRLESLTVVYKVVDQSLSRSGIFSCLKYFNISKCNRIETLLTPPLVQGLQNLEKISVSHCKSMKEIFSVSNCDEEDSTSSIALPKLTKLLLWDLPQLKIVCKGRIHYGTSPPKLVINLCPRLDKHPTI >RHN51083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13800528:13816525:-1 gene:gene35458 transcript:rna35458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAEPSKVIHVRNVGPEIAENDLLLLFQPFGVITKLMVLRAKNQNIPSAIRAVEFYANAQPSIRGRNLYVQFSSHRVITSANQSQGREDEPNRILLVTIHQVLYPMTVDVLQQVFSRHGCVEKIVTFQKSAGFQALIQYETRQGAVTARGALQGRNVYDGCCQLDIQFSNLDELQVNYNNDWSRDFTNPNLPTEQKGQSSQSGSGDAGMYEGIQGSGPRQAGSSQMGNAQAIEAAFGGSLPPGITGTNERCTILVTNLNPDRINEDKLFNLFSIYGNIVRIKLLQSKPDHALVQMGDGFQAELAVHFLKGAMLFGKHLEVNFSRSPNIIPGADTHEYTKSNLNRFNKNAAKNYRYCCSPTKIIHMSTLPQDIVAEEIASLLEEHGVIVNCKVFETNGKRQALVQFESEEEATEALVCKHASLISGLVIRISFSQAQNI >RHN39558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8194231:8196180:-1 gene:gene45589 transcript:rna45589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubby-like domain-containing protein MGKVHPQALASSTTCNFTSKQESFTLWMKSLVLNGKGCTVFDSNGQIAYRVDNYNSNHRDQVHLMDQRGNTLFTILKKQYKLSRFWEGYKLPATRNDQKGPCFRVGKTYSISKWDSSYEVELGLDKNQPYNYKIESSTCKPACKIMDKNGVIVAELRRKKSTCGIDLGNDVFTMVVEPNIDLSLIMGVVVAYSLIKSKM >RHN82569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54824822:54827148:-1 gene:gene6742 transcript:rna6742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MAFLHRIGKSTLKTASFQFYRCYTHLPHASTPKPKTLPTVMPYSHSLFHSTRPINVSQTVSNNVSFYSALVQFQSIPRNEDHDTNWLPFNHQIKDQYVKSVVDDAMSSEIKVMEKVHPQQSISLSSTGEVAEDSLRNDVYTAAAYGDLEKLRRLVEQEGCLVTEPDGLGYYVIQWAALNNHTAVAQYIIDHGGDINATDRNGQTALHWSAVRGCIEVAELLLKEGAELNSADKYGYQTTHVAAQYGHTAFLYHLVSKWNAEPDVPDNEGRSPLHWAAYKGFADFICLLLFLDSHRGHQDKEGWTPLHMAAMKGNLEACRVLVKAGGKKEDMMVTDNTGLTPSQLVSHNHEQVAFFLENSLRMFEVRLESLGDFVVLSGLKCIISMLFFTYIYSVVLATNMPTLTTTTGLFGWFGALLATVGFVMFHMCSKKDPGYIRMNGHYDIKKMQDYEPFLLAGNWSQLCSTCKIVRPLRAKHCSTCDRCVEQFDHHCPIVSNCIGKKNKRDFFALLVLETSAMLVIGVVCLKRLIHLPLPSSFGIKFVGSISFLIADYIFFWPMLGLTVEQASNISSNLTTNEMINHERYSYLKGPDGRFRNPYDHGIKKNCSDFLINGYNEDLEYVEETNGDSCSHKPI >RHN43648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47475403:47478054:1 gene:gene50257 transcript:rna50257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase MTNFYKVVFILALLFLAVDAKHKTKKKIKKSKDHHKIFKNSIFTVPYDNGDDNNNNYGDNNSPLYDNGDDGNNNYGDNDAPTFKDLKPVEEDILKPVEEVAKKVDFELASIGRWDLISPDSGVSAMHINLLPTNKIIIIDALIYRVSRIKFPDGVPCVPYKELRTQEDKVDCFAHSAEYDIETNQVRPLKMIGGDPWCSSGGLAPDGTLVSTGGFMEGEKSIRYYGGGCQNCEWREYDGTLSENRWYGTQQLLPNGEFMVIGGRRSFSYEFIPQEGQKSGKPNLFPFLYETSDLDENNLYPFVHLVPDGNLFIFANNRSLLLNPTTNKVVRTFPVLPGGSRNYPASGQSALLPIDLNAETTKAEVIVCGGNLHNAFVLAEQKKIFLPALQDCNRLTVTEPNPKWDSEMMPSRRTMGDALILPNGELLFINGAQYGTSAWWDADQPNYTPVLYNPDKPKGLRFKAMMPTQIARMYHSTSAVLPNGKIWVSGSNTHETYKDNDKYPTETRVEAFSPPYLDEAFNKYRPQINQGATKKELKYGNTFETNFSVEDGAGLTANDIKVTMYAPPFTTHGFSMGQRLIILKIDELVAQEPGSYTVRMAAPPSGAIAPPGYYLLFVVHRGIPSTGTWVRIE >RHN67761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30041577:30043991:-1 gene:gene15988 transcript:rna15988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MILMIHSPIFFTIFILLFHFQHSLSFSLSVERHENDIIVSPKGTFTAGFYPVGENAYSFAIWFTQKHKNLSNPTVVWMANRDQPVNGKRSTLSLLKTGNLILTDAGQFNVWSTNTNSSKKLELFLCDTGNLILREHNTIVSNFLWQSFDSPTDTLLPDQSFTRYMQLISSKSKNDYSSGFYKLLFNYDNLLCLIYDGPQVSRVYWPVPWLLSWQSGRSTYNSSKVAILSPLGEFSSSDNFTITTSDYGTLLQRRLSLDHDGNVRVYSRKHGQEKWSVSAQFRIGSCNIQGICGPNGVCSYNFRSGRKCSCLPGYIRIDNQDWFQGCKPSFQLSCDNKTSSNTRFQHLPHVKFYGYDYGTYANYTYKQCKHLCMRLCECIGFEYTYRKQSGTYSCHPKTKFLNGFHSPHFQKSIFLLLPKNNIDFSHENIVKDDSLVCSQNAPKQLVRLYVKGKDNRSVKFMLWFASGLGGIEFFCFFMVWCFLLKNRKHSSEGNHNYVLAAAATGFTKFTYSELKHATKNFSQEIGKGACGTVYKGVLSDNRVAAIKRLHEANQGESESEFLAEVSIIGRLNHMNLIGMWGYCAEGKHRLLVYECMENGTLADNLSSNKLDWSKRYNIAMGTAKGLAYLHEECLEWILHCDIKPQNILVDSDYQPKVADFGLSKLLNRDDFDHSKFSRIRGTRGYMAPEWVFNMEITSKVDVYSYGVVVLEMITGKSPTTGIQIKDKEELCHERLVTWVREKRRKGLEVGCWVEQIVDPKLGSNYDVKKMETLADVALDCVKEEKDVRPTMSQVVERLLRDEHN >RHN53132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42205691:42206479:-1 gene:gene37902 transcript:rna37902 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPLERIWIWTIQSPKTSPSKQEYGKNSDSNNSIQLILSLGRCRCVLLRCVRHFFFI >RHN81364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45260883:45263938:-1 gene:gene5389 transcript:rna5389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MISTGINLVMTVIGFAVSTMFIVFVCTRLICARIHMNANRRRSFLIASRSNLSLMERGCQGLERIDVAKFPVKKYSDKFFAAEENSQCTVCLSEYQDEDTLRILPHCGHSFHMACIDLWLQQNATCPVCRVSLREFSETTRSMQPVYSSHHGMESFDTHHYHCIMADNGLLTRTPDNRGVNPIQEDQFPSEGGGAVDMDSITSLSQGDFIKDEEKKHVESPSNL >RHN73200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14317412:14328672:-1 gene:gene8989 transcript:rna8989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MKPYQFSSHNNLLTMSTSSWLTSPSCTLLPVDSSSSTPELIIQWLRFILLSPCPQRLLVSALDSLFLLFLLAFAAQKLYSRFYSRANTSSSITKPLLQDKDSDYRITFWFKLAFLVTTLLAISYTVLGILAFTQTNKLSSWKQIEALFRLFQAITNIVIVILMVHEKKFKSSKHPLSLRIYWIANFVIATLFAASAIVRLITVGEEKLELSLKIDDIFSLVNLPLSVFFFVISVKGSSGIHVIRISDVVATYRSISTDRTLSPYACSSFLSKTGWFWMNPLLNKGYKTPLKLEDVPSLPLDFRAEKMSELFQSNWPKPEENSKHPVGVTLFRCFWKQIAFTGFIAVIRLCVMYVGPLLIQSFVDFTSRKDSTTSEGIVLICVLFAAKSVEVLSVHQFNFHSQKLGMLIRSSIITSVYKKGLRLSSSSRQAHGTGQIVNHMAVDAQQLSDLMMQFHPIWLMPLQVGAALALMYSYVGLSVLAAVFGTSIVFLFTLYRTKSSNSFQFKIMTSRDSRMKATNELLNNMRVIKFQAWEEYFGNKIQQFREAEHGWIAKFLYYFAVNIGVLGTAPLAVTVLTFGTSTLIGIPLNAGTVFTITSIIKILQEPVRTFPQALIMISQATISLGRLDEFMVSKEMDENAVQREENCDGDVAVEIKDGKFSWDDKDENEALTVEELVIKKGDRAAIVGTVGSGKSSLLASVLGEMFKISGKVRVCGTTAYVAQTSWIQNATIKENILFGLPMNLEKYRETLRVCCLEKDLEMMEDGDETEIGERGINLSGGQKQRVQLARAVYHDTDIYLLDDVFSAVDAETGSFIFKECIMGALKDKTVLLVTHQVDFLHNVDSIMVMREGRVVQSGKYDELLKAGLDFGALVAAHESSMEIAETSDKTSDESAQSPKLARIASKEKESALEKQSSLDKPKSDKTAAKLIEDEERETGRVNLKVYKHYFTEAFGWWGIALMVAMSVAWMLSFLAGDYWLAIATADDSGIPSFTFITVYAVIAVVACIVVMVRALLFTYWGLKTSQSFFIGLLQSILHAPMSFFDTTPSGRILSRVSTDILWVDISIPMLVNFVMVAYLSLFSILIVTCQNSWETVFLLIPLFWLNNWYRKFYLATSRELTRLDSITKAPVIHHFSETISGVMTIRCLRKQNAFSQENIDRVNASLRMDFHNNGANEWLGFRLDYMGVVFLCIATLFMIFLPSAIVKPEYVGLSLSYGLSLSGLLSFTITMTCSVENKMVSVERIKQFTNLPSEAPWKIADKSPQNWPSHGTIELHNLEVRYRPNTPLVLKGVSLTIEGGEKVGVVGRTGSGKSTLIQVLFRLIEPSAGKIIIDGINISNVGLHDLRSRFGIIPQEPVLFQGTVRTNIDPLGLYSEEEIWKSLERCQLKEVVAAKPEKLDALVVDGGDNWSVGQRQLLCLGRIMLKRSKILFMDEATASVDSQTDAVIQKIIREDFADRTIISIAHRIPTVMDCDRVLVIDAGFAKEYDKPSRLLERPSLFAALVKEYSNRSA >RHN55116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15261416:15265526:-1 gene:gene30246 transcript:rna30246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNLQGALHIKHLERVKSVMNAKEANMSSKCVDKLQLRWEVNEESQLQENVEEILEVLQPQTQQLQSLDVLGYTGSCFPLWMSSPSLKHLNTLQLVHCKSCLHLPHLGKLPSLKSLTISSMSLVKYIDEESCDNGVAGGFIRLEYLVLEKLPNLIALSRDDRESILPNLSKFQITECPELLGLPCLPSLIDMCIRGKCNTDLLSSIHKQVTLESLMFQYNEELTCFPDGMLRNLISLKTFDIFWLCKLEQFPSEILNISTIQEIYITKCDNLKSLADEVLQGLHTLKKLSIELCSGIEGLHLALQHMTSLQSLTLSYLPNLASLPDWLGNLSLLQELCISQCPKLTCLPTSIQCLTGLKSLEIYGCSELGERCKENTGEDWPKIAHVQHIVIQNIGMFCGRGGRSYSVDWWV >RHN51974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29406844:29412022:1 gene:gene36561 transcript:rna36561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M48 MAWYRRGKLALNGFHTLASSVSSQNSILLPSNRILQSGYLNLGNKNASFNGFSSFSSIFQPSSRILQSGYLNLGNKGASFNGFSSFSSISKRLGKMGSENNKNVRVGSQGGNFNGFSSFSSISKRLGKMGFEINKNIGLGCKGGSFNGFSSLSKNFRRLDKKGFEVNKNFRRFYYVDPKNVQHFKPRGPNNWFQNPRHVMIGVVVGSGVFITVYFGNMETVPYTKRTHLILMPKEMEKSLGESEFEKMKAGFKGKILPAMHPESVRVRMIANDIVEALKRGLSKENVWSDIDYASENSVFGEESGKETINALTENEGKVGGNWHREDEVLDDKWVQKSRKKGQERGSQPNTSHLDGLNWEILVVNDPMVNAFCLPGGKIVVFTGLLEHFRSDAEIATIIGHEVGHAVARHSAETMTKSMWVLILNLILYQFVTPDIVNTMSSLFLRLPFSRRMEIEADYIGLLLLASAGYDPRGAPKVYEKLGKISGDSKLLDYFATHPSGRKRAELLAQAQVMDEALTIYKTVREGHGVEGFL >RHN43897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49314788:49316967:-1 gene:gene50532 transcript:rna50532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MYLFHFGLARASFSDTASTIYWTVTTLDVLKYILYMHVIYNPCLMRYTPGIDIWSIGCIFAEVLTGRPLFPRTNRKNQLDIMTDLLGTPPPESMVNQPVPFSKKFPNIDPLALRILERLLAFDPKNRPSSEEILEYQPQMLEEYLRGGDQTRFMFMYPSGVDRFNRQFAHLEEHYGKGGRSSPLQRQHASLPK >RHN50895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11541210:11542613:1 gene:gene35244 transcript:rna35244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone 7-O-glucosyltransferase MKDTIVLYPALGSGHLMPMVELGKLISTHHPSFSITILILTPPNKNTNTNKGTLSPQEQYIANVSTTFPSINFHYIPPISFPTTLPQLLCTLEVCQHSNNHVENILHSISKTTNLKAVILDFFTYSASQVTKKVEIPTYFYYTSGAIFVATFLHFPIVYENATKPIKDLHMPLQIPGLPKSLSTDDYPDGFNDSISENKVLQDMLDSMKTTRECDGIIVNTFDGIEGRAIKALNEGLFIPDGIPSIFCTGPLIASSYGEDENGCLSWLESQPIQSVVLLSFGSMGRFSKAQLNEIALGLEKSEQRFLWVIRSELDLEEQSLDELLPEGFLERTKERGMVVRNWAPQGAILKHDSIGGFVTHCGWNSVLEAVCEGVPMVAWPLYAEQRLNKVILVEEMKVALELKDSKDGFVSGTELGDQIKELMDSDKGKEIRQIIFKMKISAKEAREEGGSSLVALNKLAQLWKQN >RHN66888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20865665:20868090:1 gene:gene15002 transcript:rna15002 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVTYQLTLYSSHLLIFTSLSIFTILYSPLTPHSTALQNLFTHFSYSISLSSYQQEIMGKKITYVSFSMFTVLVALLSQYLVIPVISTTVEDPHSVFSDSLCPHRSTSPSHGSSSPPSHGSSSPPSHGGGYYTPTPPSTGCGYSPPHDPSTSTPSHNQTPSTPSNPPSSGGYYNSPPSTPTDPPVTLTPPSPSTPIDPGTPTVPTPPFLPSPSPFPGTCNYWRTHPGIIWGILGWWGNMGNAFGVTNIPGFSPGLTLPQALSNTRTDGLGALYREGTASFLNSLVNNKFPYTTDQVKDRFASSLHSNKAAATQAHLFKMANEGKMKPRP >RHN60501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27390128:27394350:1 gene:gene22822 transcript:rna22822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase 3 MKVESRSHNVHHAHGEEEKVMTRKQKAESKAHEVEHSPKKAKVEDEKNGHTNGKSASDVVQEYDEFCKATNEQLSLEQMKEILEANDLDSSGSDLEITRRCQDLLFFGALEKCMVCNGNMEFDGRRYGCRGFYSEWSSCTFSTREPPRKDEPIKLPDSVQNSPVSDLLKKYQDPSKRPQRDLGLAIKPFTGMMISLMGRLNRTHQYWKKTIEKHGGKVANSIIGASCLVASPAERDRGGTSKLADAMERGIPVVREAWLTDSIEKQEPQPLESYDLVSDLSVDGKGIPWDKQDPGEEAIESLSAELKLYGKRGVYKDTKLHEQDGKIFEKDGILYNCAFSLCDQGRKLNDYCVMQLIVVPENSLHLYFKKGRVGDDPSAEERLEECENVDNAIKEFVRLFEEITGNEFESWEREKKFQKKPLKFYPIDMDDGVEVRHGALGLRQLGIAATHCKLEPMVANFMKVLCSQEIYKYALMEMGYDSPDLPIGMVTNLHLKRCEEILLEFIEKVKTLKETGPKADAIWSDFSQKWFTLMHSTRPFIFRDYQEIADHAAAALEGVRDITLASHLIGDMSGSTIDDPLSDTYKKLGCSITPLEKNSNDYEMIVKYLEKTYEPVKVGDIEYGVSVENIFTVESSACPSYADIVKMPNKVLLWCGSRSSNLLRHLHKGFLPAICSLPVPGYMFGKAIVCSDAAAEAARYGFTAVDRPEGFLVLAIASLGNEITELKSPPEDTTSLEEKKVGVKGLGKKKTDESEHFVWKDDIKVPCGSIIASEHEDSPLEYNEYAVYDPKQVRISYLVGVKYEEKDAVIDTAE >RHN48128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45252459:45253407:1 gene:gene42813 transcript:rna42813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAENLKFVYLLILFISIFLVIIVSHSITPWLKKNCVTDKDCPKVAKINIRCRRGQCVQVF >RHN41655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31820811:31822054:1 gene:gene47998 transcript:rna47998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MQIYPKVYGDNRTRFFIYWTADAYQQTGCRNVICPGFIQTNKKVVIGGTLTPTSTYDGNQLGIPLSIWRHPGTGNWWLEFATGNYLGYWPSSIFTHLKDPAEEVHWGGEIINSKFPQATSTQMGSGHLPDEGFRKAAYFKNIGVVDSNNQPIPLPENKYFHYVEKPKCYGIRGGHDQDWGDYFYFGGPGRSENCP >RHN38398.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000028.1:15141:15500:1 gene:gene50784 transcript:rna50784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSKSKKNQRSSIPIEECDRDSSLPDSIICHILSFLPTKDTVATSILSKRWKPLWLSVFTLDFTNHNVFIKTTTLCLCCHVYSVMLSCNNTLPIQSFRFKSCAACKPKVITQLIIAAIQR >RHN57502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39592302:39594527:1 gene:gene33051 transcript:rna33051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLQLKHVISKVKLKTLFPYTSIFTNTWNASSYSSSSSSLSPLPKSSFPITQNDVASTFKTWFQNHHLYHDPLLLRIYQILSSNDNFSASLTSLNLPITTSFVLRVLHYGADNNYILPCLRFFDWVGHQPGFYHTQATFTAIFRILARAKLMPIVIEFLETFGKRVFDHKVCFIDLLVVGYAIAGKPEIALNVFGKMRFQGLDLDIVGYHILLGALAKDNYFNSFDVILNQIRSKGYATRATDTIVVKFLCNQGRLDEAENYLIGLLDSGKKLHGSEVGFLVVSLCERKKVEHAVELVKEFRNSGLNLFEHAYGDCIKGLVKGGRFDEALEFFRQKRESEGYVPRWYRYNMLICRLLRESRLQEVYDLLMDMKESCIPPDMVTMSVVLCFLCKAGMVNAALQLYESRSQFGLNPNNLAYKYLILNLCWDGSVKEAYSVLKTFIGNGLFPDTQTFTTLANALCRECKVDEMKELMHLAWERNFTPSHITYDKFISALCQAGRLEDSYLVHGDHNNATARLSYGRMVKSFIKFKRGDIAARLLVKMKEKNFELTRIWCTAVIGSLLDMENPKSRVFNLLDMLTHGKPDAKIFNFFIDGAVHANNTELSREVYELMLRNNIVPSSSSQRLVLIGYLKSGKISDALNFFHSLRRQGTVSKKVYQSIIFALCKSYALLIGKIP >RHN67033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23082468:23084349:1 gene:gene15164 transcript:rna15164 gene_biotype:protein_coding transcript_biotype:protein_coding MENPAPPSEEHVKRERSAKYSNPKPKPPRISEVIKDNGKLIPHAVKLWVESYEKDQRSAMDELLTTLVEDWKCILYMLLDENFSISDKSVTNLVRLLCASVKKAFGERIEVFENNKQDITVAMMKCYPLLLRKFISDKTKVSLLAGTGWRIFLADAFQDLRNVLQLMKEAFFKHGDKDPLRACVKAINFCCKESRGELQDFARNKLKELEDEIIDKLKSAIKEVEDGGDEYSLVNLRRLHELQLSRYVSIDNLHEEIVMVLRNYRNVEDEVVGLLLQLLHFHLAWSLMSIIYGGSVSAASINSFLSKRDTLLSELDKIRSEFVGKARELLFVFLFLSPT >RHN78603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16589071:16591700:1 gene:gene2228 transcript:rna2228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphate-transporting ATPase MSGELGVLNALDVAKTQLYHFTTIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTEPNPTRPGTLPPSAQSAVTGVALVGTLAGQLFFGWLGDKLGRKKVYGLTLILMVVCSVASGLSFGSSPKSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILGGGIVALIVASIFDHKYKVPTFEENPAASLLVPQFDYVWRLILMFGALPAALTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVELEVEEEKVQKMTSDKRNSYGLFSKQFAARHGLALFGTCSTWFLLDIAFYSQNLFQKDIFSAIGWIPPAKEMNAIHEVYKIARAQTLIALCSTVPGYWFTVAFIDHMGRFAIQMMGFFFMTVFMFALAIPYDHWSKEENRIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTKTDKGYPTGIGIKNSLIMLGVINFVGMLCTLLVPESKGKSLEELSGENEGEGAEATEQEGPRFENVA >RHN54825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12664724:12666548:-1 gene:gene29916 transcript:rna29916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MASLGNPKAWVPYMNSKDCSQGFCSVYCPQWCYAIYPPPSPSPFEFPDEDSSPNFSPLVIAIIGILASAFLLVTYYTIISKYCGRRESSASESREANDEFEDDHHHHHNPSIHEPWHVSTNGLDETLIKSITVCKYKKNDGLVDVTDCSVCLNEFQDDESIRLLPKCSHAFHLPCIDTWLKSHSNCPLCRATIFAFNSSNSSAATLHLPAPVIENPSTNEVSLETQHPNEVLDVERDNELDVVEEVPIPKTEFRALSDLGNLRGRHSVIEIRDHNEDYHESIRRSFSMDHSFQSGVLNVDDVNVMHMNQEQDCSQGEGSSKRLRGESESSKCSYRRKVLHCVLSPIAMKRSFSSGRFFLSRSGRGRLGVLPL >RHN76722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:697241:701402:1 gene:gene92 transcript:rna92 gene_biotype:protein_coding transcript_biotype:protein_coding MFDTYRNHVSEGVEVNIFLEGFERLNNCSTVYKVDDKGKIDLGGQLKLTAGFGENASISVMFEGEPKFRQEFSLARRILRIASEVPDFCATGGQLENIEFEIVNADGDVDMKIHNDDQECQFHMLTIKSGLSNADESIRYTFKHGRCTVPSIRVPEIEGSFCFEASYSQYTELCLIRKVQVIKMSNVKDVAQHLSPDKNTFPLKELSTLTHDNNLMISVLNSDGKKFDDICQLGQKINEYEDYLKKFNDQEDETQKELLMLQDNVQHYQLGNADLLFATTKEEMTTKIKNMENSAASVLCSLSAREKQQNHFLEDIIGVVALLGSVQSPELSRMLAEYLGEDQMLGVICRSLDTAISLEKYKQNGEIDYVHALNAAEAASLGKAISRRFHVMGFEDIRPYRGNLQNDSQRKLALPDPKLSNRTPEGFMGYAVNMIELNTHHLQARTASGHGLRETVLFSLFKKLHVYKTSESMMAAIECIENGAVSLDGGIIRENGTLSLGFGNPYIYFPCGNKMDIPPEATQMLNQIEKKKALLLKIEKGRKTVSKHLKKSREKFKKKELRYKKHIDTTMKSFAEALKQNPEIGTPMLDLGD >RHN40204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14200057:14205585:1 gene:gene46326 transcript:rna46326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap2/NF-YA family MPGKPETDDWRIEHSEQVQLPSSIYSHHQPWWLENGSKPSSADQLNGSIMNDVTHSEPLVSPSLSLTDNSGGDVAKEHRNIKHTLSSTTASMDKHLYPNSQRELVGHSIVLTSPYSNAQFGQILNAYGQQAMMNPQLYQMHHARMLLPLKMEEEPVYVNAKQYHGILRRRQSRAKAELEKKVIKVRKPYLHESRHQHALRRARGNGGRFLNTKKPEHNDSNAALEKGNNTGTTSGTNNENQGSSNVSQHMHKMQGFNIGYHDGNGFTALCHSQANGKQEGDFFGKKRESNGAIK >RHN64443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59129702:59132463:-1 gene:gene27262 transcript:rna27262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative autophagy protein Atg8 ubiquitin MGKINSFKQEFSLDERRKESQSIIVKYPDRIPVIIEKYSRTDLPELDKKKYLVPRDMSVGQFIHILSSRLRLTPGKALFIFVKNTLPQTASLMTSIYQTYKEEDGFLYMCYSSEKTFG >RHN43843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48897191:48898831:1 gene:gene50473 transcript:rna50473 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLFDGDEDAYWWILSTESYFRATGKSEMAKMMVAALAMRGEALKWWLWWSHRHSRSNWDTFTTALLWRFKHEWRHLLPVLEEETEEACELSQSAVKLVTDIAGANNLNPSPCSKLVEKPPITSDLVDSVTTDAGEHDLDFPLKLEVPLVQLEITTSIGQIQQRSVNIVMENNKFCNRSLSPPSPLPKPPDPCAATDSDRDSDFDVDSNSFVFLPDDATNHYSCFLQPQPAPRTKPLYDFFRSQISPPQALELLLTHPPTSPFSVLPPASSLPPKPPDPLIALLPLTPPTPSLPPKPPDQSLATADTKFPIHISFSLPYFDPPTLNLQLTTKAQSLIEEGGYSNPQSTLYLSISTIRKSSTEMKGFSLMTKHGDLDYPVKLSLKAIPPPKPPDKSDIPAIRLKLRNSSAYVEKKELPPMFNLPHRPPAKPPHYSHHIELNEVSVDWVQFRFFHKSYGRKSFHYSIWWKIYKGLETRSVVWCDNYPEVFPTFKCKREFECAKVKIVTIIHEDYEYCIYLLVIRLCNISQCVLSLPESEYVILLGF >RHN72639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9300907:9302040:-1 gene:gene8382 transcript:rna8382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MFMEIPSLLVDLYANGRIAYSVIKDFVKICLNSKDKKLQGVYLEVLLEFCELLRRTGCKSDDRLYVFCRDSFASLLTLVGGVSFHNPKGKVMLRGVFDCVHEIAYKLLRFLDLSMNCPTGEGLSFKVVLDFVKFSDHLRMGLAMHQATSDEFLNCAICYTEGPLFAGVVDQLHIVFIKLLSKMDKCLEVMEDCLVNKKHGKGDGAAVHNGWSHYIIILKVLFHISKFYSSAQEQFWGLFLRRKNVLPHMIVRYVKKTDDHRWLLENRIVTDFESRRHLVMMLFPYLNDEILGYEMLIDRSQVLAESFGYISQAMPRSLQGDLLMAFKNEKATGPGVLREWFVLVCQEIFNPRNALFVACPNDHRRFFPNTGEFCLDI >RHN58508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2358068:2363233:-1 gene:gene20427 transcript:rna20427 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVCGSKRSFFEEQLPPSPPLSKRLRCSSSTSPIRFPTIPSLFDQLRNLFPHMDQLVLERALQECDNDLDAAIKSLNELYLGAAGGNSGTAEESEIDVNVDAGKLENDGNASASENQSTLNSLPADGAEWIDFFVREMMVATSVDDARARAARMLEVLEKSISERARAEATDALQKENLMLKEQIEVLIKEKNSFKNAFRIQHERLSDYDNKNQELQHLKQLASQYQEQIRTLEMNNYALAMHLKQAQQSNGFQGHFPPDIF >RHN74551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34658133:34660622:-1 gene:gene10639 transcript:rna10639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, non-heme dioxygenase domain-containing protein MAQISNTSNSLYNFIVRDGNGIKGLVDSGLLEVPKIYIQPINERINKLETKPCDMPPIDLSKLNGKEHEKVVNEIVRAAETLGFFQVVNHCVPLELLESVKDSAHAFFNMPPEKKVVYRQNVSTSLKMRYQTTFAPEIENVLEWKDYINMVYSSDEDALQYWPNECKDVALEYLKLSSKIVKVILEILIGKLGVELDDSKIESLIGLKMVNMNYYPACPNPELTVGVGRHSDAGTITVLLQDGIGGLYVKAEDENDVGKVEWLEIPPIPGALVINVGDALEILSNGKYKSSEHRVMTTSNQSRVSVPLFTLPKFTEKIGPLPELVKKDGLAGYREVLWKDYMDNFYGVAHEGKKTLNFAKINSS >RHN52694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37805705:37805984:-1 gene:gene37392 transcript:rna37392 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSGALPNPKLQTSVLSICINVSGMFWMIPFGVSVAGRLVLHAELY >RHN81464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46150261:46156119:1 gene:gene5511 transcript:rna5511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MKNSRMKRFVSLPVLGVLSLMLFVYYTTIFILLDDWVGLRTSPGTLNSFFFTLFASLSLFSFFLCVLTDPGHVPSSFYPDDVESTANAKDNAEQKKCDKCFGYKPPRTHHCRVCRRCVLKMDHHCLWINNCVGYWNYKAFFDFIFYATLASIYSMVLFISYVLQKEWGHNKESSLKLFYVMYGTIVVGLTITLLTLTGWHVYLILHNMTTIEYYEGNRAKWLATKTGQSYRHPYNIGAYKNITLILGPTMLKWLCPTAVGHLKDGVSFPTLRDNS >RHN60436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26715381:26721192:-1 gene:gene22748 transcript:rna22748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAMQLPSSSSSFSYRFTYQVFLSFRGSDTRNGFTGHLYKALTDKGIHTFIDDRELQSGDEIKPSLDNAIEESRIFIPVFSINYASSSFCLDELVHIIHCYKTKGRLVLPVFYGVDPTHIRNQTGSYGEHLTKHRKNFQNNKKNMERLHQWKLALTEAANLSGHHFSPGYEYKFIGKIVKYVNNKISRHPLHVATYPVGLQSRVQQVKSLLDKGSNGGVRMIGLYGIGGMGKSTLAKGIYNFIADQFECSCFLENVRENSASNQLKHLQEELLLKTLALKIKLGGVNDGIPHIKERLHTKKILLILDDVDNLEQLHALAGGRDWFGCGSKVIITTRNKHLLSNHEIEIMHEVEGMSTENALELLRWMAFKNYNVPSSYEEILNRAVAYASGLPLAIEVVGSNLFGKSIAECESTLDKYERIPHENIQKILKVSFDALDEEQQSVFLDIACLFKGCRLAEVEEILQSHYGYCIKSDIRELVDKSLIKCHLEVTLHDLLEVMGKEIVRKESPKKPEKRSRLWCRNDIVHILQENKGTSKIEMIYLNSRSTDPVIDWNGKAFKNMTNLKTLIIKNVSFSKDPKYLPSSLRVLKLNGCSSESLSSIICSKRFEDMKVLTLDECQYLTHIPNVSGLPNLEKFSFRLCDNLIAIHDSIGNLNKLEILNASGCIKLESFPPLWLPSLKELDLSFCERLKSFPELLCKMTNTKEIGMCITSTTELPFSFQNLSLSLQQCEMLRFPKHNEKMHSIMFSNVEELDFNPKYLPYECLQIFLKSCVNVKYLSLSDGNFKILPECLSECHLMRTLQLNFNEHLEEIRGFPPNLKYLEAFGCKSLSSSSRRMLLSQQLHEAGCTRFSFPNGTEGIRIPDWFEHQSRGQSISFWFRKKIPSINFIIILPDVEYMFDLNLFVNGYDCTPLEDQLDWLTYSGHTYLFEMNLEENVELCKIFHAINLESEMDKALLKNEWIYVEFSIQVSEYLNKRLRRADMGIHVLKEKNNTNEDVIFTNPYSRKRKFDEYVAASLSEFHPTLTDHRFVEVGVSETEILQQQHLALVSGMRNLVLTETIGKEQHG >RHN65993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7377322:7378980:-1 gene:gene13902 transcript:rna13902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAKEGIMDVATQYPGKLTFRVIITCVMAASGGLIYGYDHGVSGGVTSMDSFLKQFFPSVYEQQINTKASSNQYCKFNSQTLTFFTSSIYISALISSLGASSLTRMMGRRATMILGGLFFVSGALLNSFAQNIAMLIIGRLLLGFGIGCANQSVPIYISEMAPSQYRGALNMCFQFSITIGMFAANLANYYCAKLWNGEGWRLSLGLGAVPAVIFVVGTLFLPDSPSSLVSRGRHEAARKELAKIRGTDDVDAEFNDIVAASEASDQVKNPWKTLNKRKNRPPMVFAIMIPFFQQFTGLNVITFYAPILFRTIGFGSQASLMSAAIIGGFKPLATLVSIVLVDKFGRRTLFLEGGAQMLVCQILMAIAIGVTFGTSGNPGQLPQWFAITIVGVICIYVSGFAWSWGPLAWLVPSEIFPLEIRSACQSITVAVNMTSIFIIAQFFTEMLCHFKFGLFLFFSGFVIIMTLFIYKLFPETKGVPLEDMQMVWKKHPFWGKYLEKESKKKTVKQDT >RHN68042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32336023:32337012:-1 gene:gene16329 transcript:rna16329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SNARE associated golgi family protein MIRLAGEGSWFHQFQVVALFRTSPFPYTIFNYAVVVTDMKFWPYFCGSVAGMVPEAFIYIYSFVFLFSLYDSLNSSRMQS >RHN78289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13693282:13695140:1 gene:gene1825 transcript:rna1825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MEILISIVGKIAEYTVVPIGRQAKYLIFYNGNFKTLKDHVGDLEAARDRVLHSVERERGNGREIEEDVLNWLEKVDGVIKEANQLQNDSRNANVRCSPWSFPNLILRHQLSRNATKIANNVVEVQGKEKFNSFGHLPPLDVVASSSSTRDGEMYDTRESLKKDIVKALGDSTSCNIGIYGLGGVGKTTLVEKVAQIAKENKLFDKVVKAEVSKKPDIRRIQGEIADFLGLRFEEESIPGRAERLRQRIKMERSVLIILDNIWTVLDLKETGIPVGDEHNGCKLLMTSRNQDVLLQMDVPKDFTFKVELMSENESWRLFQFMAGDVVKDSNLKDLPFQVARKCAGLPLRVVTVACAMKNKKDVRSWKYALRKLESHDSLDVLTYSALELSYDSLDSDEMRDLFLLFSLLPGNDVLYFLKVAMGLDILKHVNTVDDARNRLYTMIESLEASCLLLEVKVDGKIQMHDFVCEFAISIACRDKHVFLMKQRDDQEWPTNAFLQRCTHIVVNHCHMHELPQTIDCPNIKFFCLASGNRSLKIPDDYFEVPNRSSNIVFEFLRFGKYGCNRSFKKFENSLPLEFFNDQVAKTNRATDSIENA >RHN82293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52634979:52635358:-1 gene:gene6447 transcript:rna6447 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLEGEAVALLDAIHFVVGNRWDRVVFEFNSATLVQALSSPGHGDSEFYAIVSSIIFQLSLHSNFEVKFVRRQANMVTHSLARAACSWASHRIFYSYPSCIEHWLIKDNS >RHN79002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20779306:20811841:-1 gene:gene2678 transcript:rna2678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDILISVVAKIAEYTVVPIGRQASYLIFYKGNFKMLKDHVEDLEAARERMIHSVERERGNGKEIEKDVLNWLEKVNGVIQMANGLQNDPRRANARCSTLLFPNLVLRHQLSRKATKIAKDVVQVQGKGIFDQVGYFPPLDVVASSSTRDGEKFDTRELLKEDIVKALTDSTSRNIGVYGLGGVGKTTLVEKVALIAKEHKLFDKVVKTEVSKNPDIKRIQGEIADFLSMRFEEETIVGRAQRLRQRIKMEKSILIILDNIWTKLDLKEVGIPFGNEHNGCKLLMTCRNQEVLLQMDVPKDYTFKVKLMSENETWSLFQFMAGDVVKDSNLKDLPFQVAIKCAGLPLRVVTVACAMKNKRDVQYWKDALRKLQSNDHTEMDPGTYSALELSYNSLESDEMRDLFLLFALMLGESIEYYLKVAMGLDLLKHINAMDDARNRLYTIIKSLEATCLLLEVKTGGNIQMHDFVRDFAISIACRDKHVFLRKQSDEKWPTKDFFKRCTQIVLDRCDMHEFPQMIDCPNIKLFYLISKNQSLEIPDTFFEGMRSLRVLDLTRWNLLSLPTSFRFLTELQTLCLDYCILENMDAIEALQNLEILRLWKSSMIKLPREIGRLIRLRMLDLSHSGIEVVPPNIISSLTKLEELYMGNTSINWEDVSSTVHNENASLAELRKLPKLTALELQIRETWMLPRDLQLVFEKLERYKIAIGDVWDWSDIKDGTLKTLMLKLGTNIHLEHGIKALIKGVENLYLDDVDGIQNVLPHLNREGFTLLKHLHVQNNTNLNHIVDNKERNQIHASFPILETLVLLNLRNLEHICHGQPSVASFGSLSVIKVKNCVQLKYLFSFTMVKGLSHLCKIEVCECNSMKEIVFRDNNSSANNDITDEKIEFLQLRSLTLEHLKTLDNFASDYLTHHRSKEKYHDVEPYASTTPFFNAQVSFPNLDTLKLSSLLNLNKVWDENHQSMCNLTSLIVDNCVGLKYLFSSTLVESFMNLKHLEISNCPIMEDIITKEDRNNAVKEVHFLKLEKIILKDMDSLKTIWHRQFETSKMLEVNNCKKIVVVFPSSMQNTYNELEKLEVRNCALVEEIFELNLNENNSEEVMTQLKEVTLSGLFKLKKIWSGDPQGILSFQNLINVEVLYCPILEYLLPLSVATRCSHLKELSIKSCGNMKEIVAEEKESSVNAAPVFEFNQLSTLLLWNLHKLNGFYAGNHTLLCPSLRKVDVCNGTKLNLFRTHSTRSSNFQDDKHSVLKQQPLFIAEEVIPNLEKLRMDQADADMLLQTQNTSALFCKMTWIGFNCYDTDDASFPYWFLENVHTLESLVVEWSCFKKIFQDKGEISEKKTHPHIKRLILNKLPKLQHICEEGSQIVLEFLEYLLVDSCSSLINLMPSSVTLNHLTELEVIRCNGLKYLITTPTARSLDKLTVLKIKDCNSLEEVVNGVENVDIAFISLQILILECLPSLIKFCSSECFMKFPLLEKVIVGECPRMKIFSARETSTPILQKVKIAENDSEWHWKGNLNDTIYNMFEDKVGFGSFKHLKLSEYPELKEFWYGQLEHNAFKSLKHLVVHKCCFLSDVLFQPNLLEVLMNLEELDVEDCDSLEAVFDLNDEFAKEIVVQNSSQLKKLKLSNLPNLKHVWKDDPHYTIRFENLIDISVEECESLTSLFPLSVARDMMQLQSLKVSQCGIQEIVGKEEGTNEMVKFVFQHLTSITLQNLQELEAFYVGVHSLHCKSLKTIHFYGCPKIELFKAEPLRYKENSVNDELNISTSQPLFVLEEVIPNLELLRMEQADADMILQTQNSSSLFTKMTFVGLSGYDSEDATFPYWFLENVHTLESLIVEMSSFKKIFQDRGEISEKTHAQIKKLILNELPELQQICEEGCQIDPVLEFLEYLDVDSCSSLINLMPSSVTLNHLTQLEIIKCNGLKYIFTTSTARSLDKLTVLKIKDCNSLEEVITGVENVDIAFNSLEVFKLKCLPNLVKFCSSKCFMKFPLMEEVIVRECPRMKIFSAGNTSTPLLQKVKIAKNDEEWLWQGNLNDTIYNMFEDKVGFGGFKHLKLSEYPELKEFWYGQLEHNAFRSLKHLVVHKCDFLSDVLFQPNLLEVLMNLEELDVEDCNSLEAIFDLKDEFAKEVQNSSHLKKLKLSNLPKLRHVWKEDPHNTMGFQNLSDVYVVVCNSLISLFPLSVARDMMQLQSLQVIKCGIQEIVAKEDGPDEMVNFVFPHLTFIKLHNLTKLKAFFVGVHSLQCKSLKTINLFGCPKIKLFKVETLRHQESSRNDVLNISTYEPLFVNEDVKVLANVESLSLNKKDFGMILNSQYSRVQFNNIRHIIVGEFYNEEATFPYWFLKNVPNLERLLVQWSSFTELFQGEKIIRTEKEPEIIPQLRKLTLWNLTRLQCICKEGVQIDPVLHFLESIWVYQCSSLIMLVPSSVTFNYMTYLEVTNCNGLKNLITHSTAKSLVKLTTMKIKMCNCLEDIVNGKEDEINDIVFCSLQTLELISLQRLCRFCSCPCPIKFPLLEVIVVKECPRMELFSLGVTNTTNLQNVQTDEGNHWEGDLNRTIKKMFCDKVAFGKFKYLALSDYPELKDVWYGQLHCNVFCNLKHLVVERCDFLSHVLFPSNVMQVLQTLEELEVKDCDSLEAVFDVKGMKSQEILIKENTQLKRLTLSTLPKLKHIWNEDPHEIISFGNLHKVDVSMCQSLLYVFPYSLSPDLGHLEMLEISSCGVKEIVAMEETVSMEIQFNFPQLKIMALRLLSNLKSFYQGKHTLDCPSLKTLNVYRCEALRMFSFSNPDSQQSYSVDENQDMLFQQPLFCIEKLGPNLEEMAINGRDVLGILNQENIFHKVEYVRLQLFDETPITFLNEHLHKIFPNLETFQVRNSSFVVLFPTKGTTDHLSMQISKQIRKLWLFELEKLEHIWQENFPLDHPLLQHLECFSVWSCPSLKSLVPSSISFTNLTHLKVDNCKELIYLITYSTAKSLVQLKTLKIMNCEKLLDVVKIDEGKAEENIVFENLEYLELTSLSSLRSFCYGKQAFIFPSLLHFIVKECPQMKIFSSAPTAAPCLTTIEVEEENMRWKGDLNKTIQQIFIEKSHILINMLNETIIYPRICKAQETCEK >RHN63820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54237353:54237758:1 gene:gene26573 transcript:rna26573 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLITVLSIFLIVLIFKCNSLMPFSTIHCKSIITDMMGECKPYYMDHNSQPYDSCCSAFLTVAFVILNWKKSTIYLSMPQTRPTCLLLVEYPSPVKVSTTAPSLGT >RHN51317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16129988:16130335:1 gene:gene35740 transcript:rna35740 gene_biotype:protein_coding transcript_biotype:protein_coding MPIETTDLTNFLDSPPSPHISSSNTSTILNFDHVFTNPILHHHVQHHRHQTTLLVPPTTQGYTNESTRITTDWPQPATHGRSLIARVLRPFPRSDTMPFPNGSRLMVPWSQLEHE >RHN50884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11416983:11421668:-1 gene:gene35233 transcript:rna35233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MKTLSSSNTFNFIHQSFIPCNCNPSSSSSSSSSSSLVSFKKTPKTTSLQKLNTESKQQSKRVFFLDVNPLCYEGTNPSLHYFAKWLKLFLSHQVTQSHPVIAVFDGERGSEYRRNLLPSYKANRRKFVARGGGGGGGSGHVGRFYGVISDVLQKCNVPVIKVDGHEADDVVATLAEQVLKKGFRVVIASPDKDFKQLISDNVQIVMPKPELQRWSFYTAKHYRDQYNCDPQSDLSLRCIIGDEVDGVPGIQHLVPSFGWKTALKLIQKHGSLEALLNAAAVRTVGRPYAQDALTKYADYLRRNYEVLALKRDLNVQLLEEWLVDRNTHNDTVALSNLFKYLEESKEPITMRNFVNRPANTSAYVRKKHRNQISVGTDVM >RHN59866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14187398:14188724:1 gene:gene22031 transcript:rna22031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MIILFLIVLIGLKTSGIGAQSIGICYGRVANNLPPAKEVIDLYKSNGIERMRIYDPFQETLEALRKSNIELVIGVPNEDIKSIANSKVGGANLEVVISESGWPSHGDVAASVENAQIYYENLIKHVSNGTISRPNQALETYLFAMFDENKKGPAEIERHYGLFTPNKQLKYQISQLLMSSNSTTPQPEEGRISSNSTTPQSEEGGILSMCFSLLFLYFI >RHN74287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31500331:31502679:-1 gene:gene10325 transcript:rna10325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative unspecific monooxygenase MSVVMSPDLGRVEYLNKALDPMLGDGILRANGKSWIFQRNLIMAELFMSKVKTMLGDMEESALEIIKKWERCITESKEGIAEIVIESDLKVLSEDIISKACFGSDYTQGKHIFERLNAIQAKLSKTSTLLGFLNLSFLPNKDRREIWKLKKEVDVLIMNIIRDREIENQKNNNNKKHNDLLQKIIEGVANEKLLNASGKGTLKAGHDMNQLIIDICKSIYFAGSESTALSVVWAMYLLAVYPEWQQRIRTEISETFGNLPPSFTDITKLNQLKKLQMVILESMRIYGPAVTNSRETLAEMKIGNLVLPKGLYIWMFVPLLHRDTDNWGPDATEFKPERFANGLSGACKYPQAYLPFGYGSRHCLGQNFTLTEIKIVLGLLVNNFEFKLSPNYVHCPASNFLLVPKYGVKLLVSKVDHNKGK >RHN54969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14082394:14083229:-1 gene:gene30083 transcript:rna30083 gene_biotype:protein_coding transcript_biotype:protein_coding MESQMIFANAEQLIVVCIWNMCEVYMNTFTTQITIQMVTNCFSKISAVLILPPLLEKSTFLLVNSGWLVVVSCILLNLVVFFSLTMFIKILFKHYYN >RHN40813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21577634:21579938:-1 gene:gene47027 transcript:rna47027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I, cationic amino acid transporter MTPPTSSSSTTTTTTAPSKPRSYWRWSKQDFFPEPSFQTGETYRTALANTCPRLKDRLLNRSTDSHELLVLPKASENTMTRCLTWWDLTWLAFGAVVGSGIFVVTGQEARFDAGPSIILSYAASGFSALLSALIYAEFAVEVPVAGGSFSFLRIELGDFLAFIAAGNILLEALVGAAGLGRSWSSYFATMIKNDSDYFRIRIDSFKTGFNLLDPLAVVVLLITNGIAASGTRKTSILTWLSSIVTIFIIGFIIVIGFIHGKSSNLTPFFPYGVKGVFNAAAVVYWSYTGFDMVATMAEETKNPSRDIPIGLIGSMSMITVIYCLMALALVNMVKYSEIDAGAAYSVAFVQIGMKWGKYLVSICALKGMTTSLLVGSMGQARYTTQIARSHMIPPFFALIHPKTGTPINATLLTTLSSCVVALFTSLDVLSSVFSVSTLFIFMLMAIALLVRRYYARESTDKSDLWKVLSCLFVVVGSCIVGTALWNSGLFGWIGYTVAACVWLLATLVMSLLPKKKQPKVWGVPLVPWVPSLSIATNLFLMGSLGSEAFFRFLICTGVMLLYYLFVGIHATYDVDHKIGQESNHDVEGAN >RHN44919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9922423:9922722:1 gene:gene39096 transcript:rna39096 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIVVKAIELFHHLIYDLTLLLAERFLLHIKLASLFFFLFLQLLCITQFEDFLSLILCSLPCLRVVIVALSFWDP >RHN52669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37551450:37552734:-1 gene:gene37364 transcript:rna37364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MMRSTNSVTAEKVSNYIHDDLAFSILSKLPLKSLKRFSCVRKSWSRLFENPNFMNMYRNYFISSTYEEDGSYPVLMRRMVHHVLYLLFGERFENKVKLDWPPPFQEDNIGIHILGPVINDNFCLYQGREPVVILWNPGTEEYKVLPPSPTESPVIYEEVYYYVHGFGYDHVRDDYKVIRYVEYLDVRDDFEDDMEGEPISLLRDDMWEIYNLRSNSWRKLDLDLPRAHRGWVGVYVYMNGVCHWYQDEFEHKGYLVSFDLSNEVYCTTPLPLYMNDGFDSVFLLRYLMVLNDHIALISNYVEMTTFHISILGELGVKESWTKLFIIGPLPCIEHPIGEGKNGDLFFSRKDGELVRYNLSTQVIDELGLKGEFSCCQIVNYMESSLPIGQIID >RHN39032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3651177:3656184:-1 gene:gene45020 transcript:rna45020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MISSTSKFYTVFMFICLVVLLISASEEEEKICEINYHHTQSEYGCDKSSCNEVCINIEHATYEAKEKNCRVKHHTNVEHTNGCDKSNCNEVCINKDHATYGYCVPSIPYFSFCFCYFC >RHN71584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1170989:1172304:1 gene:gene7198 transcript:rna7198 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPELYTKEIFQLLVSAYSTISVEDAALFLGMSDDGATSCK >RHN67508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27830909:27835361:-1 gene:gene15703 transcript:rna15703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MTELKLSETRDLTRIERVGAHSHIRGLGLDSSLEPRAVSEGMVGQVSARKAAGVILQMIKDGKIAGRAVLLAGQPGTGKTAIAMGMAKSLGLETPFAMIAGSELFSLEMSKTEALTQAFRKAIGVRIKEETEVIEGEVVEVQIDRPAVAGAAAKTGKLTLKSTEMETVYDLGAKMIEAIGKEKVTSGDVIAIDKASGKITKLGRSFSRSRDFDAMGPQVKFVQCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWKEEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENEMSPILVVATNRGITTIRGTNYKSPHGIPIDLLDRLLIISTQPYTEDEIRKILDIRCQEEDVDMSEGAKHLLTKIGVETSLRYAIHLITAAALACQKRKGKTVELDDINRVYNLFLDVKRSTQYLMEYQSQYMFSETGEVDEDDTNAMVQ >RHN41136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27337153:27348869:1 gene:gene47426 transcript:rna47426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP deaminase MDPSSSSPQSLHLAMAALLGASFMAISAFYIHRRTVDQVLHRIIEIRRTPRTDEPDYISEENYDEDDYDDDMTGFDGGEEIETDTDERNYQRTLSRSVDENMNFLRSVRVSSSMPDVVSATEWFRDGRKNRSSSHENLHSVPLGLPSLRTRSKHESSQISSSYKRIASVGIIKTPRSPGRNAFDNVDDSDDDGTQLSDDNHIPFYPVTGDSTNSYGLNPTVPLGVDDVNCAKNQMFGEVSKEAGADANMNGGMTDSTSVNVAGNDLVFVNNVLSTRSTVLEPMNIEEEEVCKMIQECLDLRKKYVYKENVLPWKAEPVETNSDPFHFEPVEATGHHFKMEDGVVRVFASKTDTEELFPVASATSFFTDMDYILKVMSIGNVRSACYHRLRFLEEKFRLHLLLNADREFVAQKSAPHRDFYNIRKVDTHIHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGKYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKQVLLDLEASKYQMAEYRISVYGRKQSEWDQLASWFVNNALYSKNAVWLIQLPRLYNIYRSMGIVTSFQNILDNVFIPLFEATVDPNSHPQLHLFLNQVVGFDLVDDESKPERRPTKHMPTPAQWTNEFNPAYSYYLYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDSDHLAAAFLLCHNISHGINLRKTPVLQYLYYLAQVGLAMSPLSNNSLFLDYHRNPLPMFFQRGLNVSLSTDDPLQIHLTKEPLLEEYSVAAKVWKLSACDLCEIARNSVYQSGFSHQDKLHWLGDKYFLRGSEGNDIHKTNVPSLRISFRYETWKDEMQYIYAGQATFPEDVDP >RHN45729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25076429:25079576:-1 gene:gene40119 transcript:rna40119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MNQDKAEKFTNMILDRTIFPRSSINTTASDPEGKFLVLNVCIDMPPKVTSDGNWANHDQAAMPMQSTLPLLELQILTIFAITQCFHLVLKRLGVPYFVSQIMAGLVLGPSLKFSKTWTGFKNILFPYGTEDVISVISLIGYAFFLFLTTVKMDFTMITRTGRKAWTIAFCSFLIPMFFGLLVCYRFQEFWKLEMGNFEAKNLPVIVIGQSGCYFAVIASLLSDLEILNSELGRLALSTAMVMDSFNSIVTGIGTAFISSIKTDSHDNGDGKGTLKAFLNVFYYLCFMVVTPLVLRPILKWFVKKTPEGRPMKKVYMYIVFIIALAVGMLGLLTKQSVLGGICIVGLIVPEGPPLGTEMIKQLELFCSWFLFPIFVTSCAMKIDLSVYVKSDYIYVWLGIIVAVHLFKMLVTIGICWYCNMPMADGLCLALMLSCKGLLSSEALFVLSINVLVIGTLARIGVKYLYDPSRKYAGYQKRNILSLKPNSELKIVSCILKPSHIIPIKNVLDICSPTSSNPLVIHILHLLELVGRSSPVFISHRLQERVGSSSHTFSEAVIVTFDLFEHDNAGTASVSTYTAISPVRFMHDDICYLALDKLASIIILPFHLRWSEDGSVESADETTRSLNTKVLERAPCSVAILVNRGHSSPFNHNENSKQIAMIFLGGSDDREALCLAKRTIKEDTYHLVVYHLVSTIKNDEFTSWDVMLDDELLKGVKGVYGSVDNVTYEKVEVENTSDTTEFISDIAIQHDFIIVGRRNGIKSPQTQALASWTEYPELGVLGDLLASPDTNTKASILVVQQQVMPKAS >RHN61404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35417248:35418384:1 gene:gene23868 transcript:rna23868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylecgonone reductase MEDCYRLGIAKSIGVSNFGIKKLSMLLENAEIAPAVNQVEMNSSWHQGKLREFCKQKGIHVSAWSPLGGYKLSWGSPAVMENLILRKIAEARKKSVAQIALRWIYQQGVIPIVKSFNKERMKQNIEIFDWELNQEELDKINQIPQCRLLKAEMFVSDNGPYKSLEELWDGDP >RHN51267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15588932:15592057:-1 gene:gene35678 transcript:rna35678 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSHLHHNHQFLFTNLPISLTTHHFSSPSPLHKFHHNSHTIYAINPSQEVFLPLLNKLITAKVELSPIFDAIDESSSNQVTISVVLSVAIAVFLFPTIQRRIKRAKQLKYRSSGVKKSSLNSTKGLMGSTRKKSKNPPSPDKALLGAVMAGVIAVILYRFTTTIEASLYRQTISDNFSVRQITITIRTVINGLCYLATFVYGINSFGLLLYSGQLALNTYEKGSSSGKKIESKIMENHINSNKNEDQSSNNSQ >RHN47685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41562025:41573950:1 gene:gene42311 transcript:rna42311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MPSLSTNHSSSNKKQLSLSSSSSIPNDAAATPPCTTAAEPQHFVSLCQEASRIFPSFISQSAFVVEISDIESTDSLSKGTAKIWLSESSMIASSLAPGSLVSVSIPSSSKGIAESHNFPLTSLTAECVKCYGLETCKALGDGAAGNYFAIATVFPAKKVLKNGARLSSILSFAMGCPPFGTCVFVHPLQKQSLVYNCKELYLQLASCKSVQTLKVNNFPSLDLSNSKSCAQSENDIVASPKTPSYASKFSNDSLYSNPVIEDLASSVTNYNGQSVASFDVSKTLANGNSKKLLETCATSSLYSRCLLVRNFVTLPMLSEFFIFQVMDIKKVSATIPCPLNGSNNSNLEDSDTVEKENVAFAVNWETKVFLYLPSNAAFEESTQRDLLCLKLDSRHDNISKLGGLSKEEMFLKDLIFYSKNDILSRFCQYNTGVLLHGPPGTGKTSLARLCAHDAGVKFFSIGPKIVTQYGENERALHEVFDSALQAAPAVIFIDEIHAIAPTRKDGGEELSKRLVVTLLSLMDGIRRNEGLLVIAATNRLDLIDPDLRRPGRFDKEIEIGVPSKAQREDILRTILCEIDHSLSETQIEELASITHGFVGADLVGLCEWAHFLCPRHSEKTPNSSEEHILKVSYEDFQKARLEIGPSAMREVTLEVPKVKWEDIGGQKKVKNQLLEALVWPQKYQDAFATIGTDPPTGILMYGPPGCSKTLLARAVASEAGLNFLAVKGPEVFSKWLGESEKNVKSLFDKARANAPSVIFFDEIDSLAVTRGKDGDGVSVSDRVTNQLLIQLDGVRKRVDVAVIAATNRLENIDPALLRPGRFDRLLYVGPPNKMDREEIFRIHLCRTPRDSDVSMKELAQLTNGYTGADISRICQQAAFKALEENFDASVVTMKHFKTAIEQVQPTETQSYQKLSAKFQRAVFSDHGLPRANATRPAAQGLKFFGVLIIFIFIFCFLYKAQKH >RHN41482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30338405:30339314:-1 gene:gene47813 transcript:rna47813 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHRFSSSGTYPSLKLVTAKTAEHLLITVRGTLLDSNFTRRTNTPAPSNG >RHN42453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38483420:38486836:1 gene:gene48897 transcript:rna48897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative remorin MKFVEDKGCYSNNGSAAQDTHKGKDTTTGTAAAAATTTTRVSNHHRINMGKPTPSKWDDAQKWLVGLSKGGEKGQSKSKPRNSNADDLRLIAPVPQKEEEHDDDGCHDFMTTTSSEYEAEDSKKVECDDESIWRMNNNSKVLAENKNTIHVQSICFRDMGTEMTPIASQEPSRTATPIRATTPTTRSPIHSGTSTPMRNQNGLLQMEAASGNNGSTTRQCGEESSPCKMVEKNKIEDQARKLSPLESRAMAWDEAERAKYMARFKREEMKIQAWENHQIRKAEMEMKKMEVKAERMKAMAQEKLTNKLAATRRIAEEKRANAQVKLNDNALRTTERVDYIRRTGHVPSSFSFTFKLPSICW >RHN70589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52544631:52546879:-1 gene:gene19185 transcript:rna19185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLSRIPPFYGDSTYEVFEVVITAYLRFPSRILCSVYSSAKDLLRK >RHN51284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15790271:15794113:-1 gene:gene35700 transcript:rna35700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDFSQNTIAIGLLSTIFLFIILFHHSKSNKTKQPPMVAGTWPIIGHLPLFSKSQATHHLLGSMADKYGPIFTIKLGTATTLAINNWKTAKECYTTNDIAVAYRPNLVAFEHMTYNYAMLGFAPYGPFWREMRKIVTLNFLSNHQINLLTHICVSEVQTSIKELYNFWKNRKDENGYLLVEMKKWLNELAFNIVLRMVAGKRYFGESVMVKEEEANRCLNALRDYMRLTGVFPIADAVPFLRWFDFGGHEKNMKQNFKELDGVITEWLDEHKKKKSEGVDKSKEGQDFMDVMLSTIDGTNIHGFHSDTVIKATTMALVLGATDTSSVTHTWALCLLLNNPHTLEKVKEEIDLHIGKERLCILESDINKLVYLQAVVKETLRLYPASPLSGIREFREDCQIGGYDVKKGTRLFTNLWKIQTDPSVWPDPLEFKPERFLTTHKDVDVRGNHFELLPFGSGRRMCPGISFALRSAYLTLANFLHSFEVSKTSNEPIDMTAVVETTNIKVTPLEVLIKPRLSPNYY >RHN62083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40611980:40618272:1 gene:gene24616 transcript:rna24616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin repeat-like-containing domain, exocyst complex component Exo84 MESSEEEDDFPSIESIIPQSKVDSLYQSQTEKGIRKLCCELLDLKDSVENLCGNMHSKFLAFLRISEEAVEVKHELIDLQKHISAQDILVKDLMTGVCHELDKWNQSSNDDEIQHEHELLEPLSNERSDQKTLFLENIDVLLAEHKFEEALEALDAEEKNSAELKVSGNNSSDEGSAYKSALIERKAVLEDQLVGIAEQPSVSFPELKKALDGLIKLGKGPVAHQLMLKFYGSHLQKRIEALLPSSSFCPETFPFTLSKMIFSVISMTIKESGLIFGDNPVYTNRIVQWAEWEIEYFVRLVKENAPSSETVSALRSASICIQASLKYCSILEPQGLKMSKLLLVLLRPSVEEVLESNFRRARRVVLDMAESAECLPLSPQFASSLSAIATTSNSMLVESGMRFMHIVEEILEQLTPMAVLHFGGNVLGRILQLFDKYMDVLIKALPGPSDDDNLPELKEAVPFRAETDSEQLAILGIAFTILDELLPNAVLSTWMLQNESKEPNSGLMEIVGFNTNASVELKEWRKQLQHSFDKLRDHFCRQYVLSFIYSREGNTRLNADIYLSDNKEDLDWDSGPLPSLPFQALFSKLQQLAIVAGDVLLGKEKIQKILLARLTETVVMWLSDEQEFWGVLEDNSVPLLPLGLHQLILDMHFTVEIARFAGYPSRHVHQIASAIIARAIRTFSARGINPQSALPADEWFVETAKSAINKLLLGGASGSETSDIDEDHIIVHDEVDSDSDTVSSLSTMDSTESFASASMAELDSPSNLSDPDN >RHN60833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30752292:30764273:1 gene:gene23218 transcript:rna23218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative V-type ATPase, V0 complex, 116kDa subunit family MDHFTEKLPSMDLMRSEKMTFVQIIIPAESAHRIITYLGQLGLLQFRDLNAEKSPFQRTFVNQVKRCAEMSRKLRFLMDQVNKAGIMSSHSVLQSDTNLEDIETQLAEHEHEIIEMNSNSEKLQQSYNELLEFKIVLQKACNFLVSSHGHALSEERELVENVYSNEDFVETPFLFEQETMPGPSKSNQSGLRFISGIICKSKVLRFERMLFRATRGNMLFNQAPADEQIMDPISTEMVEKTVFVVFFSGEQARTKILKICEAFGANCYPVPEDISKQRQITREVSSRLADLEATLDAGIRHRNKALSSVGGHLAKWMDMVRREKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKAQIQDALQRATFDSNSQVGAILHSMDALESPPTYFRTNSFTNPYQEIVDAYGVARYQEANPAVYTTIIFPFLFAVMFGDWGHGICLLLGALVLIAHERKLSNQRLGSFMEMLFGGRYVLLLMSLFSMYCGLIYNEFFSVPFHIFGASAYKCRDSSCRDAHTTGLVKYREPYPFGVDPSWRGSRSELPFLNSLKMKMSILFGVVHMNLGILLSYFNAHFFGSSLDIRYQFVPQMIFLNSLFGYLSLLIVVKWCTGSQADLYHIMIYMFLSPFDNLGENELFWGQRPLQILLLLLALIAVPWMLFPKPFILKKLHNERFQGRNYGVLNTFEADLEVEPDSARQHHEEFNFNEVFVHQMIHSIEFVLGSVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNLIIRLVGLVVFAFATAFILLMMETLSAFLHALRLHWVEFQNKFYHGDGYKFRPFSFATLTEDEN >RHN71199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57156049:57162480:1 gene:gene19852 transcript:rna19852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prolyl oligopeptidase MGTLDEPLQYPAARRDDSVVDDYHGLKIADPYRWLEDPDAEEVKEFVEKQVQLTNSVIQQCETRSKLNETITKLFDHPRYDAPFRRANKYFYFHNTGLQAQDILYVQDSLEGEPQVLLDPNALSEDGTVSLNTFSVSEDAKYLAYALSSSGSDWATIKVLRIEDKNAEPDNLSWVKFSSISWTHDNKGFFYSRYPAPKDGEVVDAGTETNSNLYHMLYYHFLGTDQSGDILCWKDHENPKYSFGGIVTDDGKYLLLYISEGCDPVNKLYYCDMSDFPSIFESFFSANSPLPFVKLIDNFDAKYDYIANDDSVFTFLTNKDAPKYKLVRVDLEKPNTWADVLPESDKDVLESACAVNGNQLIVSYLSDVKHLIQVRDLKSGSLLHELPIDIGTVYDISARREDNVVFISFTSFLSPGIVYQCNLGTGTPDLKILREIAVPGFDRSEFQVNQVFFPSKDGTKIPMFIVAKKDIVFDGSHPCLLYGYGGFNISITPSFSVSRIVLTKHLGFVYCIANIRGGGEYGEEWHKAGSLAKKQNCFDDFISAGEYLVSTGYTQPRKLCIEGGSNGGLLVGACVNQRPELFGCALAHVGVMDMLRFHKFTIGHAWTSDYGCADKEEEFHWLIKYSPLHNVRRPWEQHPDKSIQYPSTMLLTADHDDRVVPLHSLKLLATMQYVLCSSLEKSPQTNPIIGRIDCKAGHGAGRPTKKMIDEAADRYSFMAKMLEAHWIE >RHN38391.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000029.1:2738:6510:1 gene:gene50790 transcript:rna50790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-1-phosphate adenylyltransferase MFPYDECEYGIVQLKNADVEKRDPSTVVAVILGGGAGTRSNWSAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHIARAYNSGTGVTFGDGYVEVLAATQTPGEQGKKWFQGTADAVRQFHWLFEDIEDVLILSGDHLYRMDYMDFVKDHRESGADIIFLVCQWMTARASDFGLMKIDDKGRILSFSEKPKGAELKAMQVDTTVLGLSKDEALEKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEVIPASAKEFYMKAYLFNDYWEDIGTIRSFFDANLALTEHLREYAFIEHSVVGIRSRINSNVHLKVWCNHSTVFKKMTVYLYSALHIYLPDLLVMWKVSVYRLIFLSFAGSRLLAAQLAEGRVPIGIGENTNQGVQEADRSSEGFYIRSGITVVLKNSTIEDGLVI >RHN81540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46843453:46844636:-1 gene:gene5601 transcript:rna5601 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKESKIKSSSITKTTTQTEQNTQKYHKVEFKWPANKEQD >RHN66245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9996360:10001193:1 gene:gene14190 transcript:rna14190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant organelle RNA recognition domain-containing protein MFRHQTNIIILLRTSSKRHYCLWSSKKDPDLESALSRNKRWIINNQIKNIILRYPNNQIPIQTLQKKFKTLDLQGKALNWISKYPSCFQFHQDHVLLTKRMMELVHEEQSLKDSLESVFVPRLAKLLMLSLNNCLNVMKINEIKNSLGFPDDYLIGIVAKYPDLFRIRNESGRRSSMVVELMKWNPDFAVSEVEALAMKNGVEVNFSCCLPSSWVKSLEKFREFELVPYVSPYSDPRGLVEGSKEMEKRNVGLVHELLSLTLWKKISIMKLGHFKREFFLPDKVNVLLLKHPGIFYVSNKYRIYTVLLREGYVGSQLVDKDPLVVVKEKFGEIMQEGLHEYNQRRRLVNIEKRRNKGLPLNRVDEDHMKGRRRRRNREVFDEDDEVERENGNKLGGLLDPEERKRFYKVLFDDDGS >RHN44340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3698438:3702105:-1 gene:gene38448 transcript:rna38448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MGLKLTKQGSPPKISSPASLQLHERMIHYLTKNFIRNLVSKQRRRILIAGYDLDMSYITDRVLAMSFPAERMRAMYRNPLWQVKSVLDMRHLEHYKVYNLCIEEHYDPAQFYGRVEEYPFDDNHVPSLEMIKDFCESVNSWLTRDPKNIVVIHCMAGKGRTGLMVSSYLTYCGMSADEALQLYADRRTTNNEGVSIPSQRRYVGYWESLLSVPRGAGYGPPKVTLPQPCSRELRRVRLYDTVNIDTVFFVISELQEIPNEVYRPSVEVCRSCCREVKKGYQRTNSPRYYISTIPQGDEDGEQSEIEEPRVVVQMDTESPAIYQKSCLDHYFDKPIQVTGDVRVIFYEKMIGGRLFYCCFNTAFIRNSLLQLTVNELDKVGKKGRSICGPTFCLELLFGPANTGYSSSSISIGENSSDDSL >RHN68058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32421930:32425304:-1 gene:gene16348 transcript:rna16348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MVKKNNKRKKEEIAEGCCFSCKDGGQMRVCDSRDCFKAYHAECVKQDASFLTNDNRWCCGSHFCYLCGRASKFRCFCCPIAVCGKCFYDAEFATVKTTKGFCRHCSKLAFLIETNADIDSDGEKIDMRDPDTVESYFLEYYQVIKKKEGWNSQDVYIARDIIKNGNIKRDLEPYERGEGEDTGESDASDFIGSDSDDLVGTSRVKPVKRKKNKVKSINGKVTKDKKKDFVGWGSRSLIDFLKNIGEDTTKAFSEIDVASIIHKYCQKNQLFDPKKKKMVICDANLITLLRQRTINKNNIQKLLASHFADNFEETDSNISSSEERDDNEAFKFRKHRNLNSTIKSCQNVRSKELPSGFAGIISSNIKLVYLKRSLIEELLKQPDTFAGKVLGSYVRTKSDPNDYLQKNSHLLLEVTGIRRSSNQDEINQEILLRLSNVPKDVSISQISDVDFSEEECQDLYQRMTNGQLKKPTLVSLLYVSH >RHN78612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16727926:16730925:-1 gene:gene2238 transcript:rna2238 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFSGTPGTVLGLILRMSQFIFATGSIASIATTPSFFYYTSFCFLIASMALQIIWSFVLALLDAYALLKKKVLLNPVLISLFVVGDWVTATISLAAASASAGLTVLYFHDMGHCHFGEECQKYQISVAFAFMSWISISISSLIMLWLIAAG >RHN68314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34508665:34509334:1 gene:gene16650 transcript:rna16650 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSMSNSISRCSLGASYVGDLMLQRSMKSLCLDGDKNLFKSSVVSSSIRILNYIQNDL >RHN78468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15402581:15404605:-1 gene:gene2036 transcript:rna2036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MRIYNPSKTTSSTIHYTRSPLISVSWNSIVSVYSHCFVPNDAVFLFREMTVGYGILPDTVGVVNILPVSGFLGLGLCGKQVHGLCVFERMRFKDVVTWNAMVTGYSQNGRFEDALSLFGKMREEKIELDVVTWSSVISGYAQRGFGCEAMDVFRKMCGCRCRPNVVKLMSLLSACASVGALLHGKETHCYSVKFILKGEHNDDNDDLAVINALIDMYAKCKSLEVARAMFDEICPKDRDVVTWTVMIGGYAQHGDANHALQLFSEMFKIDNCIVPNDFTISCVLMSCARLSALKFGKQIHAHVLRRSHSNSDVLFVANCLIDMYSKSGDVDTAQVVFDSMSKRNAVSWTSLLTGYGMHGRSEDAFRVFDEMRKEALVLDGITFLVVLYACSHSGMDFGVDPGVEHYACMVDLLGRAGRLGEAMRLINDMPIEPTPVVWIALLSACRIHSNEELAEFAAKKLLELKADNDGTYTLLSNIYANARRWKDVARIGYLMKRTGIKKIPGWSWVKGRKGMETFYVGDRTHLQSQKIYETLADLIKRIKANFSLHDVDDEEKGDQLSEHSEKLALAYAILTLPPGAPIRITKNLRICGDFHSAITYISMIVEHEIILRDSSRFHQFKNGSCSCKGYW >RHN75203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40137228:40138885:-1 gene:gene11373 transcript:rna11373 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATKAWVVASSIGAVEALKDQLGVCRWNYAFRSLHQHAKNNIRSYSQAKKLSSASSAAVSNKVKRSKEESMRKVIDLNCWGPSTARF >RHN41732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32472684:32473737:-1 gene:gene48084 transcript:rna48084 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPRVPWQTMLLFQAHEHFAIPRAIGSLNLSLSRVACTKFAPTRPVTTTTAAVRDGLAPVHRK >RHN59695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12659392:12659868:1 gene:gene21782 transcript:rna21782 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhJ MQGHLSAWLVKHGIVHRSLGFDYQGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHLTRIEYSLDQPEEVCIKVFVPRQNPRIPSIFWVWKSADFQERESYDMLGISYDNHPRLKRILMPESWIGWPLRKDYIAPNFYEIQDAH >RHN55877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25022561:25027537:-1 gene:gene31156 transcript:rna31156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thebaine 6-O-demethylase MFQSINHQIHQRQKHWTHQISVHQMAMHGTSLLVPSVQELVKQPITKVPEQYLQPNQDLVVVCNTTSLPKVPVINLHKLLSNDTIELENFDHACREWGFFQLINHGVNTLLVENMKKGVEQFFNLPIDEKKKYWQTPNDMQGFGQLFVVSDEQKLEWQDMFYINTLPLDSRHPHLIPSIPKPFRDHLETYCLELKQLAFTIIGRMEKTLKIKTNELVEFFEDAIHQGMRINYYPPCPQPEHVIGVSPHSDMGALTILLQANDVEGLQIRKDGQWISVQPLPNAFVINIGDMLEILTNGIYRSIEHRGTVNSKKERISIATFHRLQMSSVIGPTPSLITAERPALFKTISVADYINRYLSRQLDGKSNLDNVKIKVEMDK >RHN43459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46067662:46068138:-1 gene:gene50041 transcript:rna50041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-fatty-acid--CoA ligase MAEDKSFIVEVEKARDAKDGRPSMGPVYRSCFTKDVSPPPIQGLDTCWDVFRSLSFLPHTYFRRSRFFILFFLFPFLHDTTSKLWFSEVIAVGNVKVFVLCKHCQSRTIRLNLFRDL >RHN67726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29768133:29773008:1 gene:gene15946 transcript:rna15946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MRSLKIVERFKSIQVHALSSSSETNGDSKTKPHNVNRHRTILSWSKSKFNNNNTTTSEFANLVSLQLPSTDTIEPSIEPYLKPINLVETLAELYQRIEFCSTQNEKVTLFVELFSVLYGLGDQKLLRRCLRNARQNAEDVISKVVLSAWLRFERRDDELVGVCSMDCGGYNVLECPKKNLENGFSPFSINDHCKCTQEEKKHENFDNDECVCLSDEESDVLFCVGNEEIKCVRWRIASLSEPLNAMLCGGFLESKMLKIDFSGNGLCSEGMKAVEFYSRTKRLDLFGPNTVLELLSFANRFCCEEMKSSCDSHLASVVGNVEDALILIEYGLEERAKLLVVSCLQIFLRELPNSLHNSKVINFLCSFESKEKLENLGCATFLLYYFLSQVAMEESMVSKTTAMLLERMKECAAEKWQKGLALHRLGCVFLERREYKEAQHCFDEAVELGHVYSIAGVARTKHKQGQPYSAYKLISSLIFEYKPVGWMYQERALYNMGREKGFDLDFATQLDPSLSFPYKYRALEKVEEKQIKEGIMELDKFLGFKLSPDCLELRAWLYIALDDYDSAIRDIRALLTIEANYITLHGRIKGECLVQILKSKIQKKNQADCWMQLYQQWSSVDDVGSLAIIHQMLENEPGKSLLEFRLSLLLLRLNCQKAAMRSLRLARNHSTSMQERLIYEGWILYDTGYRDEAVTRADRSIEIQKSFEAFFLKAYVLADTNLDPESSSYVIQLLKEALKCPSDGLRKGQALNNMGSIYVDCGKIELAKECYNNALAIRHTRAHQGLARVYHQKNQRKAAYDEMTMLIEKAESNASAYEKRSEYCDREMAKADLDVATHLDPLRTYPYRYRAAVLMDEQKETEAVEELTKAIKFKPDLQMLHLRAAFYESMGDLSSALKDCQAALCLDPSHAATLDLYQRIQKLNF >RHN42164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35985357:35993363:-1 gene:gene48569 transcript:rna48569 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSLRSDSSSCCHRCVVLSWCHCQRTLLLELIMANHCDFSANHTLGL >RHN54812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12562253:12571428:1 gene:gene29900 transcript:rna29900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MESKEGDERKKEHKVSMLKLFSFADSYDYVLMFIGSIGAIVHGASVPIFFIFFGKLINVIGLAYLFPKEASHKVAKYSLDFVYLSVAILFSSWTEVACWMHTGERQAAKMRMAYLKSMLNQDISLFDTEASTGEVISAITSDIIIVQDALSEKVGNFLHYISRFIAGFTIGFVRVWQISLVTLSIVPAIALAGGCYAYVTIGLIAKVRKAYVRAGEIAEEVIGNVRTVQAFAGEERAVRSYKAALMKTYVNGRKAGLAKGLGLGSMHCVLFLSWALLVWYTSVVVHKNIANGGESFTTMLNVVISGLSLGQAAPDISAFIRAKAAAYPIFEMIERDTVSKKSSKTGRKLSKLDGHIQFNDVCFSYPSRPDVGIFTNLNLDIPAGKIVALVGGSGSGKSTVVSLIERFYEPISGQILLDKNDIRELDLKWLRQQIGLVNQEPALFATSIKENILYGKDDATLEELKRAVKLSDAQSFINNLPERLDTQVGERGIQLSGGQKQRIAISRAIVKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTIVVAHRLSTIRNADVIAVVQGGRIVETGNHEKLMSNPTSVYASLVQLQGASSLQRLPSVGPSLGRQSSISYSRELSRTGTSIGGSFRSDKDSIGRVGGDDVSKSKHVSAKRLYSMIGPDWPYGFFGTLCAFVAGAQMPLFALGISHALVSYYMDWETTQREVRKIAFLFCGGAVITITVHAIEHLFFGIMGERLTLRVREMMFTAILKNEIGWFDETTNTSSMLSSRLESDATLMRTIVVDRSTILLQNLGLVVASFIIAFLLNWRITLVVLATYPLIISGHISEKLFMKGYGGNLSKAYLKANMLAGEAVSNIRTVAAFCSEEKILDLYADQLVGPSKHSFRRGQIAGLFYGISQFFIFSSYGLALWYGSVLMGKELASFKSVMKSFMVLIVTALAMGETLALAPDLLKGNQMVASVFEVMDRKSEIKGDAGEELKTVEGTIELKRINFSYPSRPDVIIFKDFSLRVPSGKSVALVGQSGSGKSSVISLILRFYDPTSGKVLIDGKDITRINLKSLRKHIGLVQQEPALFATSIYENILYGKEGASDSEVIEAAKLANAHNFISALPEGYSTKVGERGVQLSGGQRQRVAIARAVLKNPEILLLDEATSALDVESERIVQQALDRLMQNRTTVMVAHRLSTIRNADQISVLQDGKIIEQGTHSSLIENKDGPYYKLVNLQQQQNHQS >RHN55499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19062148:19073814:-1 gene:gene30689 transcript:rna30689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, Macro domain-containing protein MYSPVAASSATSQDVLPNDNGDFVVTLDQVPRWIDAEHILENDNGDSPLSSPYFPDPLAFQSGTGNGAGGSVSRFPVDHEINSRLYLWRGDPWNLEVDAVVNSTNEALDEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKVSNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLRSIAMGCIYTDAKNYPREPAAHVAIRTVRRFLEKQKDNVTAVVFCTTNTIDTEIYKRLLPLYFPRDKHEEEVALTKLPADVGDENGETTIDERKIRIKPLPKKKVSKPPREPVDLPVSDVGLVRRTSSYLDSFLDPAFMSLIKDPDERRLEQWEKTVQAQRSWTFANLLGFGDLGGPSLSAAEEYSLHSRYLSKAKSLNLSEIADMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVHYVVKEFEPIIQKPYTIVYFHSAASLQVQPDLGWMKRLQQILGRKHQHNLHAIYILHPTLGLKVAVLALQMLVDSVVWKKVVYADRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >RHN73164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13988466:13990691:1 gene:gene8951 transcript:rna8951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MGGNDNNGGQGQGKKYALLGVSCILLVAMVGAVAVSLTKGDDKEQTAQISNSQKNVDMLCQSTEYKETCHKSLENASSTDTKELIKAAFSATAEELRKHINNSALYQELGKDSMTKQAMEICNEVLDYAVDGIHKSVGTLDQFDFHKLSEYAFDIKVWLTGTLSHQQTCLDGFVNTTTKAGETMTKVLKTSMELSSNAIDMMDVVSHFFKGFDPSQYGVSRRLLSDDGIPSWVSDGHRHLLAGGNVKADAVVAQDGSGQFKTLTDALKTVPPQNAVPFVIHVKAGVYKETVNVAKEMNYVTVIGDGPTKTKFTGSLNYADGINTYNTATFGVNGANFMAKDIGFENTAGTNKHQAVALRVTADQAIFHNCQMDGFQDTLYVQSQRQFYRDCAISGTIDFVFGDAFGVFQNCKLICRVPAKGQQCLVTAGGREKQNSASALVFQSSHFTGEPALTSVTPKVSYLGRPWKLYSKVVIMDSTIDAIFVPEGYMAWMGSAFKDTCTYYEYNNKGPGADTNLRVKWHGVKVLTSNVAAEYYPGKFFEIVNATARDTWIVKSGVPYSLGPM >RHN80023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34428944:34430884:-1 gene:gene3897 transcript:rna3897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ALOG domain-containing protein MDSCNSDNSCSLTNSTITTNSSNNNISNAIVGSSSPSGSTTTSSRYENQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPETNPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPPQQQPQQLQLQQQQPMQLQLPLHLHHHHQHQLPPPGATQ >RHN53296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:761754:765668:-1 gene:gene28187 transcript:rna28187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAGGGFATSGGGEFEAKITPIVIISCIMAATGGLMFGYDVGVSGGVTSMHPFLKKFFPAVYRKTVLEAGLDSNYCKYDNQGLQLFTSSLYLAALTSTFFASYTTRTMGRRLTMLIAGFFFIAGVAFNAAAQNLAMLIVGRILLGCGVGFANQAVPVFLSEIAPSRIRGALNILFQLNVTIGILFANLVNYGTNKISGGWGWRLSLGLAGIPALLLTVGAIVVVDTPNSLIERGRLEEGKAVLKKIRGTDNIEPEFLELCEASRVAKEVKHPFRNLLKRKNRPQLIISIALQIFQQFTGINAIMFYAPVLFNTVGFKNDASLYSAVITGAVNVLSTIVSIYFVDKLGRRMLLLEAGVQMFLSQIVIAIILGIKVTDHSDDLSKGYAIFVVILVCTFVSAFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNMLFTFVIAQAFLSMLCHFKFGIFLFFSGWVLIMSIFVLFLVPETKNIPIEEMTERVWKQHWFWKRFMEDDNEKVSNADYPKIKNNPNSQL >RHN61471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35696980:35702623:1 gene:gene23942 transcript:rna23942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MELLKLINMSLTLKLFSITLFSIITIVCAQNAAQTITYDGRSLLLDGKGELFFSGSIHYPRSTPDMWPDILDKARRGGLNLIQTYVFWNGHEPEKDKVNFEGRYDLVKFLKLVQEKGMYVTLRIGPFIQAEWNHGGLPYWLREVPDIIFRSNNEPFKKYMKEYVSIVINRMKEEKLFAPQGGPIILAQIENEYNHIQLAYEADGDNYVQWAAKMAVSLYNGVPWVMCKQKDAPDPVINACNGRHCGDTFTGPNKPYKPFIWTENWTAQYRVFGDPPSQRSAEDIAFSVARFFSKHGSLVNYYMYHGGTNFGRTTSAFTTTRYYDEAPLDEFGLQREPKWSHLRDAHKAVNLCKKSLLNGVPTTQKISQYHEVIVYEKKESNLCAAFITNNHTQTAKTLSFRGSDYFLPPRSISILPDCKTVVFNTQNIASQHSSRHFEKSKTGNDFKWEVFSEPIPSAKELPSKQKLPAELYSLLKDKTDYGWYTTSVELGPEDIPKKSDVAPVLRILSLGHSLQAFVNGEYIGSKHGSHEEKGFEFQKPVNFKVGVNQIAILANLVGLPDSGAYMEHRYAGPKTITILGLMSGTIDLTSNGWGHQVGLQGENDSIFTEKGSKKVEWKDGKGKGSTISWYKTNFDTPEGTNPVAIGMEGMAKGMIWVNGESIGRHWMSYLSPLGKPTQSEYHIPRSFLKPKDNLLVIFEEEAISPDKIAILTVNRDTICSFITENHPPNIRSFASKNQKLERVGENLTPEAFITCPDQKKITAVEFASFGDPSGFCGSFIMGKCNAPSSKKIVEQLCLGKPTCSVPMVKATFTGGNDGCPDVVKTLAIQVKCG >RHN45334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17017624:17018014:1 gene:gene39613 transcript:rna39613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MFIGVFFVEKPLILGVGVNSTLEHKIKSLPQQPKVGFQQFSVHVTLDTHHRSLFYYFVEAEVDPASKPVVLYLNGGPGCSSVSQGAISEHGPFRPTTKGTI >RHN62004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39997165:40001030:1 gene:gene24524 transcript:rna24524 gene_biotype:protein_coding transcript_biotype:protein_coding MINLNSKPFFHLSLLLLILFSSSTESLRFELKSSLTKCISEDIKSNSMTVGKYTIVNPNEGYPLPDSHRITVRVTSSHGNNYHYGDRVQSGQFAFAAAEAGDYMTCFWAVENKPEVTLTIDLEWKTGVAAKDWPNVAKKGQVDVMELELKKLQDTVTSIHDEMFFLREREEEMQELNRNTDNRMFWLSLLSLFICLSVAGLQLWHLKSFFEKKKLI >RHN69101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41036584:41038231:1 gene:gene17530 transcript:rna17530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MAAGGGLPDDCWEYVISKLVCDNHWYLDTLSLVSKQFLSITNRSVYSLALICNPWPLYCLFQRFPNLKSLDLSGFRGDLNTLLSRFPPWCSITSLNLSNHPTFPVLGLQTILKNTTISSTLTSLTCSNIISLKSIDITFIADSFPFLQDLNISFPLGLSGYEDYNNALKVLTQKLSKLCKVNLSGNIDDSSLLQLCLNCEFLEEVVLFYCPRITDDGMASAICHRPTLTSFSFCNHWAAIEEKNITSSLINSLANLKSLTSLDPPPLRKLVLKDCSNYTYSGIYYLLSKCQSLKHLDIQKATFLNDPLFNKLCAFLGDLVFINVSGCELLTNFALFALLKNCPLLTEIKMESTGIGKVSMPSQDLVVYHQVKSLHLASNSCLRDEDIHMFAFLFPNMQLLDLSSCFYIKEGIDIVLKKCRKIRHFKFVRCRQANLCLINYEASKLEVLNLSNSRIDDKVLYVISMICPRLLQLDLQFCNDVTEKGVRLVVEKCIHLREINLQNCRKVSGNIVSWITFSRPSLRKIAVHFHS >RHN81317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44816388:44818114:-1 gene:gene5335 transcript:rna5335 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRLQADDFNDLFFKSAVSLSHVELSKWCCCRAITCNHGNFVMCMAIFFKSNLLDSNPK >RHN46542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32612418:32613470:-1 gene:gene41038 transcript:rna41038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVMEAQDCQLPPEMLDIISKNLDFDDLFQFAGVCKSWREFHKIYCINFLASQAPLIFQTSSYSKDDYSFYSIPNQRAYRLKMGSLWGYSYSGSSSGYLIMAGDNDTLLLINPFRRRKKVINTSVLRGKFSSSSCRALLAFAKGSKEFVVVVSCTSHHSLHVYQSRDSGWVTHSIRGNPWNVVDFVVLHNTIHLITDKADIGILNVNSTNIKFLELNNAPSVTFSRLRLVSCDEQLLVVHFVPEKVLDVYKIDFSTMNYEKLETLGDVAFFYSSWTNFRALRNPSRWGYESNSMYCICLFAECHVYSVDDNKLRKHIVASGSLQTPGRSNFYWLDWCFPHLHDEVDYSLVK >RHN75814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45308464:45312354:-1 gene:gene12067 transcript:rna12067 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEASDDGREPVPMLPSFSRVSSLEKCSSSVVKKRDFEFHDNNSLHLSVKKSKVSPYHDHDKDGDNISLSKKLRDKSFSSLKKELTLLEELFKECKRKQKVEEKRLQSIKRDTEECCKELQNKKNQVSVVRRIDEARKEVQEKIDDCINEFVVKKGQLFLLENLIGERKLELKVKEIELNQVIDNIDKDRERKEAELKALSQQIAEFTLEFKAKQKELGAVNKLIGEQAEKLESGRKKALNIISEMKNSIAQVKEFESKQKRFDDRVKEIESKEKQCEERAQKLDSREKQLEGRLKEFESKEKEFEGHMNELESEKKHFKNWVKEFDAKKKQVEGQAMELELKEMQHEGRVKKFESKEEEFECQMTDSVSKQEHFENRMKDLELKEKKFEDRVKELEFQKKHIESQMKELESNEMQHEGRVKKFESKETELEGRVQELESKRKHIVGMRKELKLTVRPLIGQVKEFYSKEKQLDSQLKELESKKKLFECQVKEFESKEKHHEVQVKERESKEREFEGQVKELESRKKHFKSQVEEFKSKEKQLEGRWSELESKENKFKAKVKELNLKEKQFEGLVKDPASRKKYIDEEKESVESYMDDQSSRAFGGRSLQLDTSEKTDGVESLFNSILVNLQESSDPSKLVLEMILNPIIPQWEKGDNAVVIVDYQIYLLEQLMRISPDIKPCVRKEALKLAFDLKANMNDNTENSLAVLGFLLLLSIYKLLDSFDEDEVLELFAFVALNNIAVELFGSLGFANRVSGMII >RHN39746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10202107:10207394:1 gene:gene45807 transcript:rna45807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MARVKHIPRPGKRTRRSSSSNANESEEKKKRRNRPGTVALREIRKFQKAVNLLIPCAPFVRCVKQITNQLSMEVSRWTAEALLALQEAAEEHLVRMFEGGMLCALHAKRVTLMKKDLELTRRLTGIGCAR >RHN63244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49648784:49650232:1 gene:gene25923 transcript:rna25923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MASPLLFLLLITLFISHSSSQMLLLPLTHSLSMIEFNTTHHLLKSTSTHSLSRFHRHKHHHHNQLSLPLSPGSDYTLSFNLGPHSQPITLYMDTGSDLVWFPCTPFNCILCELKPKLTSDPSPPTNISHSTPISCNSHACSVAHSSTPSSDLCTMAHCPLDSIETKDCGSFHCPPFYYAYGDGSLIASLYRDTLSLSTLQLTNFTFGCAHTTFSEPTGVAGFGRGLLSLPAQLATHSPQLGNRFSYCLVSHSFRSERIRKPSPLILGRYNDEKQSNGDEVVEFVYTSMLENPKHSYFYTVGLKGISVGKKTVPAPKILRRVNKKGDGGVVVDSGTTFTMLPEKFYNSVVEGFDRRARKSNRRAPEIEQKTGLSPCYYLNTAAIVPAVTLRFVGMNSSVVLPRKNYFYEFMDGGDGVRRKERVGCLMFMNGGDEAEMSGGPGGVLGNYQQQGFEVEYDLEKKRVGFARRKCASLWDRLNRDKN >RHN71305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58102202:58103219:-1 gene:gene19969 transcript:rna19969 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLNSKSNFHGRSNSLPSRPHPLMLKCNEHLDTLLRASNETTSSSSTSLCNKIGGLRDLIECVEKLIQLPLTQDALLHEHQENWVNNLLDGSLRLLDVCSAAKDALLHTKECTRELQSIIRRRGDGLELTAEAKKFMTSRKVVKKAISKALANLKGNTKHSNILSTNNDHQTVALISLLQDVEVATLSTFQTILQFISGTTQSKSNSWGSISKLIQPKRVACSLLTDESEFSQVDVALQSFVFTKNRKVEGINDLQNHLEKTESCLQDIEEGLEFLFRRLIKIRVSLLNILNH >RHN81487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46319234:46325991:1 gene:gene5536 transcript:rna5536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl-diphosphooligosaccharide--protein glycotransferase MVAMEQPLLRIALLFLFLHFSILVNSFPSQHLQIINAERRIDLNSHIIKVYLTLKVENSGTTPASEVHLAFSPAEAEHLAVLKAAATTGKRKKKTYVPLDVKSAEIPDGPNGSKFFPVTLLTPLSKGETTTLEVLYILTHSLEPFPVEISQSESQLVYFRDSAILLSPYHVKQQTTFIKTPTARVESFTVVEPTKRAGTELKYGPYDEQTPYSYSPVLVHFENNNPFAVVEELEREIEISHWGSLQITERYKLVHAGARHKGVFSRVEYQTRSGASGVASFKHLLAKLPPRVHSVYYRDEIGNISSSHLRTDFLKSELEFEPRYPLFGGWKSTFLLGYGLPLQDFLFESPDGRRYLNFTYGCPLAQTVVDKLIIKVVLPEGSKDPAAVIPFQVDQHLETKYSYLDIVGRTVVVLEKRNVVPEHNIPFQVYYNFNPIFMLAEPLMLASVFFLLFAASVTYLHMDLSIRKS >RHN46367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31035301:31035649:-1 gene:gene40849 transcript:rna40849 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIFLLWFGPFIFVFAAFFAICTLFLCCLNPCMLKIFSKNLQKLSHVS >RHN50503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7719611:7719949:1 gene:gene34795 transcript:rna34795 gene_biotype:protein_coding transcript_biotype:protein_coding MMKREEEDTSDRHNVFVAGLRSPSGRENRWQRSSSDRKSYTGIFGTVKFPLQMELSDMKTRQDRKLAMTVPKFTAEDGGEKSFWELVRPLRRRGKFMSSLKSSFKIRCISIA >RHN44017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:902128:904200:-1 gene:gene38061 transcript:rna38061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylethanolamine-binding protein MRIKSMNPLVVCGVIGDVLDPFTNSVSLRVVYENNKEVSNSGELKPSQIVNPPRVQVGGNDLRTLYTLVMVDPDGPSPSNPNMREYLHWMVTNIPATTGTTFGQEIVSYENPRPTSGIHRVIFVLFRQPCRHTVLAPGWRQNFITRDFAEFYNLGLPVAALYFNCQRENGSGGRRLII >RHN74791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36701794:36702270:-1 gene:gene10908 transcript:rna10908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MPSSATLNDLTYLEVRKCKGLKYLITTPTARSLDKLVLKIKDCNSLEEIITGVENVDIAFTSLEILMLECLPSLVKFFSSKCFMKFPVLEEVTVSECPRMKIFSAGNTSTPILRKVRIAENDSELLWKGNLNDTIHIMFEDKVRLLQPLVYNVCVCVL >RHN40895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23899704:23902578:-1 gene:gene47139 transcript:rna47139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-disulfide reductase MATIIESIAVVSRTSASIAPAVALRSSPKFPRYVGLRPNPKPRPIFSTHCIASRIASRNSRVVCEAQDTAVDVPAITDANWQSLVIESESPVLVEFWAPWCGPCRMITPIIDELAIQYTGKLKCYKLNTDESPSTATRYGIRSIPTVMIFKDGEKKDTVIGAVPKSTLTSSIEKFL >RHN43492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46283708:46287574:1 gene:gene50080 transcript:rna50080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ssDNA-binding-TF family MDDAETKGRIEETVLKILQESNMDDVTESKIRKQASNELDLNLSQPPFKAFVKQIIEDFLLQKQQEQQNEKKEEEEEEKQQVKQEGASNRSTVYDDSGDLVICELGKKRKVTIQDFKGRTFVSIREFYTKDGKELPSSKGISLTQEQWLAFKKIVPDIEQAIQKKESRV >RHN40751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20282403:20289579:1 gene:gene46948 transcript:rna46948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosome-binding ATPase YchF/Obg-like ATPase 1, Beta-grasp domain, TGS-like protein MATKLVPSLVHPLKSRFFGNTLLTKQSSFHLYGVQPKLSYSSSSKISMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDSRLQVLSDLSKSQRMVPASIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFDDNDIIHVNGKVDPKSDIDVINLELVFTDLDQIEKRLDKLKKGKTKDSQSKVKEEAEKTALEKIRVALLDGKPARSVTLTDFERESVKQLCLLTMKPIIYVANVAESDLADATNNDYVTGVKNVASELQSGIVTISAQVEAELSELALEERQEYLKSLGVSESGLGNLIRATYDLLGLRTYFTSGEKETKAWTILAGMTAPQAAGVIHSDFEKGFIRAETVAYDDFVAAGSLGAAREKGLLRSEGKDYIVREGDVMLFRFNV >RHN40768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20634419:20641125:-1 gene:gene46969 transcript:rna46969 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQQTTGGGGGGGSSNNNAVDFDLPDEILAVIPTDPYHQLDLARKITSMAIASRVSSLESDTGRLRQKLLEKDRVINELEDRVSTLTRASQQAHSALNNAVEENVKLTKERDELAATVKKLSRDFAKLETFKKQLMRSLADDNPPQAETIDIRTCDQAVPKDDDVNGYTTHNSYRGSADVGKTIDEAPRYSGQKFSLTPYITPRLTPTATPKTAGSPRGYSAAVSPNQTSGATSPSKVSYDGRSSLSSWYPSSQQSSAANSPPRGRSIPGRTPKIDGKEFFRQARSRLSYEQFSAFLANIKELNAQKQTREETLRKADEIFGSDNKDLYLSFQGLLNRNVR >RHN52622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37173524:37178072:1 gene:gene37316 transcript:rna37316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BRO1 domain-containing protein MGCIGSTPKDSGGNRRRPGSIGEVSVYVPGLRIPKPVDFSQSSLGDYLSKNIIERISALRTRIVVMASQEGPTITRTKRKSVTQHGGSTLANLLQALEDYLPVLLGLVKDGSHLQYKVQFVWMNQEDEKEETTMSNAWYEVLSVLHLMSMLLLSKANLLLLPRSSSDGHQQRVSDESRRTSIDIFLKASGYLDCAVKHVLPQLPAELRRNLPVDLAEGVLRALSLQALGQGVDIQIGMAIDSAKATLAVKRRLACEMLKCWQQAQDNIMNLPLANGWGEKHHLLVKWKYVEAKAVAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESKKLCEAFNTAPPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYTHERIMETAPTLPEFSLALKPDEYQLPLVDSSWRTENIKVTQTHSNHLNGDK >RHN61857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38911202:38913742:1 gene:gene24361 transcript:rna24361 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCPKCKIYLFFPIGGIFITGCYEKLLSSPEPEARLVRLIF >RHN44276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3099992:3100141:-1 gene:gene38376 transcript:rna38376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L34Ae MVHRFTYCNRHTYTTKFNQHRVVKTPGGRLVYHTTKKRASEPMCPVTGN >RHN42816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41217739:41220155:1 gene:gene49308 transcript:rna49308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant organelle RNA recognition domain-containing protein MFRSLFSSARNYGFLDSVQQGYIYLQKFSLVNIKLKWVKDRTMDSVVVGKRDLKAAGILVSVIYSSSEDYVPIYRLSRHRGQLGLPDEIKLAVFIRRYPNVFVESYSLDSGGSPVPCFGLSSEAMKIHREEVDILRENSLEFRDRLCKLLMLTRDWMLPLQTIDQLKWDLGLPYDYQDSFVMNHPEKFSFVRLPDVRVGLKLLFWDDKLAVSELEKNASLQQQVEDIKNGTLAFPVSFTRGFGMKRKSMEWLREWQKLPYTSPYADASHLDIRTDVSEKRVVGVFHELLHLTLHKQTERKNVSNLRRPLALPQKFTKAFERHPGIFYISKKNDTQTVVLREAYNGGELVQKHPLVKIREELANLLKKGLLDRSRGVYKKSIDANLVEEI >RHN70898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54851585:54853119:1 gene:gene19530 transcript:rna19530 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLLAINDVFRRPEPSPARCPPSPPSTIANSQSETHSSHISAHFRDWKSHKINHFISDFKLMSVFFILVKCVLKFDF >RHN74592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34951557:34956913:-1 gene:gene10686 transcript:rna10686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MARRGLMEQDLSTLDVNKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPMSYKAYGSGKEDSPMCDVPGFENSKMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADSAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFISPPNMIVIRSFDVNKPGYEVDEIKGGVAGGSILRGVLKVNQFIEVRPGIVVKDESGNIRCTPIYSRIVSLFAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVFVELEVNFFLLRRLLGVRTKGSERQGKVSKLTKSEILMLNIGSMSTGAKVTAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGITLDVPPAPLLS >RHN51523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19329593:19331566:-1 gene:gene35978 transcript:rna35978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MMKLVLKVDIYDDRTKQKAMKTVSGLSGVESVSVDMKDKKLTLTGDTDPVHVVSKLRKWCNAEIVSVGPAKDEKKKEEPKPDVKKDQIQLLEAYPHYYYMQQPQYIQYSSVSRVEQDPVGCVIC >RHN43053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42843668:42846648:1 gene:gene49575 transcript:rna49575 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTQHTRSFSKKKTSVSSSSSSYHHHHRQPSPPIHRRRPPSLSLKPPRFSPLCSTYLLTHSTTLFFPLFTSRRFSTAPPPLPLRIRNQPHACHHLLSVEKWNPSSTASPPG >RHN76782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1254239:1256290:-1 gene:gene158 transcript:rna158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione-specific gamma-glutamylcyclotransferase, gamma-glutamyl cyclotransferase MVFWVFGYGSLVWNPGFEYDEKVVGFIKDYRRVFYLACFDHRGTPEYPARTCTLEHKKGAICWGAAFCVRGDPKKEKMVMQYLERRECEYDKKTLVNFYKKGDSLKPAFKGVVVQIATACGPCGNNRDYIFLLEKAMYDIGHEDDMVIELANEVRKVLSVSGNVHPNDKKLFGRLKALLNILH >RHN62567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44386906:44388454:-1 gene:gene25159 transcript:rna25159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEQVNNNRLCVSMEELGFNAENGSNRGNWRKNKHRDNDGVPKVFTSKNLETERKRREKLTSRLLVLRSLVPIITNMNKASIIEDAITYTMKLQHEVESLTLELDGMEPRREKRAEPKQREFSSVDEMNKMGLQEEVQVAKVDGNKLWIKLLIEKKRGRFNKLIQDLNDLNIEMVDLSVTTMAGAYLITASLQDMSGEPLELYRIPTIIKY >RHN70882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54711769:54712327:1 gene:gene19513 transcript:rna19513 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVIAKHKSVDRGNVSVADIKFPLPYRPKSFSLVIVSDTLDYLSLDTSIKLFLIWRDEGFPTNLKAKVADVSKFGRAVTGFYIMLCYLYMLLSLTLDLDLQPF >RHN47977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43971661:43973516:1 gene:gene42640 transcript:rna42640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MNYPMKTLTSSIIEDRHSSIYIPYQLLRYFIKKSNSKEETKKPTMFSPKFYSIFTVLIFLLLNPSHAQLTSTFYSNTCPSVSSIVRNVVQQALQNDPRITASLTRLHFHDCFVNGCDASLLLDQGGNITLSEKNAVPNNNSARGFDVVDKIKTSVENSCPSVVSCADILALAAEASVSLSGGPSWNVLLGRRDGLIANQSGANTSIPNPTESLANVTAKFAAVGLNTSDLVALSGAHTFGRGQCRFFNQRLFNFSGTGKPDPTLNSTYLATLQQNCPQNGSGNTLNNLDPSSPNNFDNNYFKNLLKNQGLLQTDQELFSTNGAATISIVNNFASNQTAFFEAFVQSMINMGNISPLIGSQGEIRSDCKKVNGS >RHN44658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7349918:7350262:-1 gene:gene38802 transcript:rna38802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative perakine reductase MLQDLPRFQPENLQQNQTIFDKVNELATKKGCTPSQLALAWLHHQGNDVCPIPGTTKIENFNQNIGALSVKLTQEEMVELESLADSVKGGRYVEDKSTWKYSDTPPLSFCKAAQ >RHN76527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50963565:50966080:-1 gene:gene12868 transcript:rna12868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubby-like domain-containing protein MTLCMDSDSAAENMKELQEEGNYVFKEEIHYTVLKTSLFFSGDGFTVYDSHGQLVFRVDSYGPDSRDLDELVLMDPDGRCLLTVRRKRPSLHQRWEGFKGERRDGDKPTFSVKRSSMIGRARTGVTVEVYDNPGEEYHIEGCFSQRCCTVFNGMKENVAEIHRKVDPTTGVMLGKEVLSLCVKPGFDAAFAMGFVLVLDQINGDGSIGDDATAEPTVHPTTEE >RHN77094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4039533:4042625:-1 gene:gene513 transcript:rna513 gene_biotype:protein_coding transcript_biotype:protein_coding MELETVAFKRVGSRTSVLFDAPRDHDDDQGKVCSTTSSSSIGRNSDDDDDDEVSSERSMDENEAESKYNGGALDCMEALEEVLPIRRSISNFYSGKSKSFTSLADVVTTPSVKDIVKPENAYTRRRRNLLAFNHGWDKNKNFPLRSNSGGISKRTMSLSRSALALAVALSNSDSSSSFTSDDSATSTSYSSAPSSPLPPRHPGNRVSSLASPLQRNFFSLADLHHCAIAATMKMPSSSIENETTHHPS >RHN65065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63972049:63976309:-1 gene:gene27958 transcript:rna27958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentacotripeptide-repeat region of PROPR MLAFCLKELRVRSYYFGSDLQNFRRTSSSWNLILSSRKLHDASSTAPPVEKKLKLLDYASMRQEETIERRTQLCESESDRKTLISDALKSIDIRWYVANFKVDHIIRKMENLTGEILPASSMYEKLVLYYIATVDKVDVAIDLVDVMSEAGLTLSSHAMQSLLETCSETDQHFRVFEIYSIMSRHPHHFELNGKICWLLVHCCVVMKDFECAYKMVNELQKKYFKYKTTMYNAIMAGYFFEKNKIGGLEVLKQMRDANVKLDSYTFSYLIENCETKEEIKKYYEEMEQSGIHLTKEVFVALIHAYVPCGEYYEERVCLFKYVVFLRFLFWSDLVKDNKDVLWNLHGELERVLLLLKELSGQDWVDGCRWAIRYSVQNKNLSSTIELFKQLKDYYKNDAPERSDPLYFGSKKLVFNVDEAYFLMLEIRSTTYLQFGLDLLDLIKKELGLVPSQTCLDVLLKLCALSEDLNNANLVWREFASAKCLYTTFSYLSMYKVLLAAGDHKSADIMANQIPSILSGYVSKLKERYSAKKDDELVSDT >RHN79352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27904221:27910679:1 gene:gene3130 transcript:rna3130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zein-binding domain-containing protein MDSETVPSPRDLLKCCDCGCSCSLVAQCSEKWMRSVKRKHDEFKLDSELPVSVARVDIVNECVVLREMVSMQQRTIQDLNEELEEERNSASTAANEAMSMILRLQREKAEVQMEARQFKRFAEEKMTHDQEELMSLEDLLYKREQIIQSLTCEVQAYKHRMMSFGYTEDEVEGDQYDDIPPYEYPPLKCNVLYNGMDVDNDDTDIEKYAFGETPDDRLRSLENRISQMEKTPTYSQVDGDFIGKNVSEKVIVGQSPNLTSHARKFSSDSATFGGMCKEIGSEFPTESPKNNNKYKKDYFSQPEDNSNLKKVDNASEGDDTSDRIYTIDSVHSGAPDNGFTGSKGGVFDDYATSPKESGNHHADFEDPYIKKLYMRLQALEADRESMRQAIISMSTDKAQVVLLKEIAQHLCKEMSPQRKISTSKPARSRYLSIFKWITSIVFWRNKAHQSKYMFGLPTDSMGLLLLLDKRTNARPQRCISSTQLGD >RHN41275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28796020:28799359:1 gene:gene47580 transcript:rna47580 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDRSKVASMIYNIYKNNGKGIGYSEEKSKEYTLKSYCDCIKDELKSTFVPEGTVAVTAVQSEPEASGSKAKITSKPENLKSKVMTKPDPKTAKIKILKRSEPVPQSLIKPKPRILKSKDQKNRI >RHN40237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14514345:14518831:1 gene:gene46360 transcript:rna46360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative annexin MAFNQELEAITQAFSGHGVDEKSLIAVLGKWDPLERETYRKKTSHFFIEDHERQFQRWNDHCVRLLKHEFVRFKNAVVLWSMHPWERDARLAKEALKKGSISYGVLIEIACTRSSEELLGARKAYHSLFDHSIEEDVASHIHGNDRKLLVALVSAYRYEGTKVKDDTAKSEAKTLSNAIKNAQNKPIVEDDEVIRILATRSKLHLQAVYKHYKEISGKNLEEDLNDLRFKETVQCLCTPQVYFSKVLDAALKNDVNKNIKKSLTRVIVTRADIDMKEIKAEYNNLYGVSLPQKIEETAKGNYKDFLLTLIARGG >RHN72692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9793539:9794534:1 gene:gene8439 transcript:rna8439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MSYKVNVAFFLCLNIIFFTMVNCNYVPEIPVPIPDPIYNPSPKGTCPIDALKLGVCANLLNLVKVKLGSPPTLPCCSLIQGLADLEAAACLCTALKAKVLGLHLDVPISLSVILNNCGRNNSGFKCT >RHN80882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41554013:41554394:1 gene:gene4858 transcript:rna4858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol 3-alpha-galactosyltransferase MSPDIITAATNITNTQSKATRRAFVTFLAGNGDYVKGVVGLAKGLRKVKTMYPLVVAVLPDVPQEHRNILTSQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWAVSNLSHSLNFSS >RHN64577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60162017:60174056:1 gene:gene27405 transcript:rna27405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DENN domain-containing protein MTKEEEEEDIARAVVASIHSSKDDNNNGISQLQRFQYQVTELFKGFSSVQNENTNYNPEILTTLKRQWAANFHLKYMGHRSLKEPSRLFESMVVVGLHPNCDVQELHRQFVDRKSDGSGKLRSSLGCENQSRVEPNIEPQVLFVYPPEKRLPLKCKDLLSFCFPGGLEVRAIERTPSLSELNEIFFGQEHLKQMDLSFVFRLQGADNSTLYGCCVLVEELVQKPSGLLSLISDKQPSHSSLRRCILTTQRCYCILSRLPFFELHFGVLNSIFTQERLEKLTKSVEDSNLEFVEGRYDEENSEGNSESVLVSDGIIEHRFHENSLISQLRVRTSTSENIVDDGPPENLIVDGEPRSYKEIIDYDSVVPIEYETDRSTAKEESGPIISEDSDGHPENLMVDGELQSYKDGIYYDNVVLTEHETDSVEAKEPGPTVSEDGDGHQVNLMVDGDLQSHKARTVNGIVVPTEHETYTEAAKEESGPTISEDSDSHLENQMVDGEIQSYKERVDYDSVMPTEHETDRAEAKEEPCCTISEDSDGHPENQMVDRELHPYKERIDYDNVVPTENETDRTEDKEESFPRISEDSGGHLENAMVDRELEPYKEKIDHDSVVLTEHEIDRTTAKEESGPTISEDSDQYGDAFATNKELEDRNLPNAILPLLRYCQYESSKSSCSFQASPCEDKNFRSDIDDNETEDASFSGQENLNDLNDILEWAMENDHGPLQIICEYYRIGCPSRGSSLTFHSLEHLHPLEYHRPAETVLCRTGSTVDLKSCSTGQELADAQNTLAMEEATSLSVWTTACLCGTLRLENVLTFLAGIVLEKQIVVACSNLGILCASVLSVIPLIQPYRWQSLLMTVLPNDMLEFLDAPVPYIVGIKNMTSEVQSKFTNVIVVDANRNQVTSPTIPQLPRQKELISSLRPYHAALVGESYLGRRRPVYECTEVQIGAAKGFLSVLRSYLDSLCYNIRSHTITNVQSNDDKVSLLLKESFIGSFPYRDRPFMKLFVDTQLFSVHTDIVLSSVQKE >RHN60693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29595204:29596862:1 gene:gene23047 transcript:rna23047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MHQYIYIEFNDTQRFTKNYKPRCYHSIETMGKLSFLFITLTIVMSISTTTSQSPFQNFLNCFSQSLNSSISDIIYTPNNISFSTILNMKIQNKRFKTTTPKPLAIITAKDDSHVQATIQCLKSNKIQIRIRSGGHDFEGFSYVSDVPFVIIDLLHLNSVDVNLQEETAWVESGATLGKLYYTIGKKNNSLAFPSGVCFSVGAGGHFSGGGYGNMMRKFGLSVDNIIDAKIVDVNGNILDRKSMGEDLFWAIRGGGGASYGVILSWKLKLVQVTSQVTVFNVKRNVNENVTDVVYKWQLIAPKLHKDLFIRLQFNVVHIGQKIVQVSFIGQFLGTIERLLPLVNESFPELGLKKSDCFSMPWVNSTLFWYDKPIGTPLEVLLDEPKDPQPTYIKGQSDYVKKPIPKEIIECIWELIIKGESLLMQWNPYGGRMEEILPSETPFPHRAGNLFLILYYNNWINESSQAIERHVNFSRSVYEFMTPYVSNSPREAFLNYRDGDIGANHPSNVTKMDIARTYGSKFFKGNFERLVSVKTKVDPENFFRFEQSIPTRS >RHN77688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8660030:8661972:1 gene:gene1169 transcript:rna1169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MIRTLCEMFHSEASSFHLPVRGMTITLDDVSNLLHVPIKGRSLDFEKKVSREHGVSMMTRYLGMSAAAVAKACKAKYGAHLTFVALKRLYEDHLIVARQLDVPQSREELKERDRRREWCVRSFLIYLVGCTLFTNKTDKHTEVINLECMVDLTAMNRWLWGGMKLAHLYHYLTDSVQPRTKTMSGCVTLLIVINSIMFIYLIRFFFLCSSI >RHN59090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7406043:7407109:1 gene:gene21078 transcript:rna21078 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVYKAIVQYKNGKQRSNISSWFCDSPSYSYMKLSAGDSGRFQNFDASSSSPSSNSTNSRTQIVASSGGDVRSPRRRLNSHRVST >RHN55610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20047606:20047920:-1 gene:gene30813 transcript:rna30813 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLRQSWDSMVENDADQQFQMVVSKKKKNNQKRQAEASKGSYPRRLMVTTSKGFQLKLKFLFLSFFDPEGFGLCPPSFLYFIFFLFIYLLGVAVGDPSASSFA >RHN69698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45631572:45633069:-1 gene:gene18204 transcript:rna18204 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKNRNRSSKCLIYIYTRKYQLPIPLLHLAISFLPCHADHHGGL >RHN76666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:267336:267584:-1 gene:gene29 transcript:rna29 gene_biotype:protein_coding transcript_biotype:protein_coding MKWVKLANLGDIVLFLGSLCSFSASASALDLCVPKGNCVIIMDNIFSNAPCVFLDLDDGRLLPLIDYAEYFELFVPPQKWIK >RHN77626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8238442:8238828:-1 gene:gene1098 transcript:rna1098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endosulphine MSDGNNVADDVKKHELDENNSLKKVCDQEDTNNGNSMPLTQHEEDDGKKHELNEDSLNKDIINNEKSKPKYGRLAKKPPLISKGRAYFDSADWALGKHGAQLRPKLQPTHKEVLSRRSTYAPSGDSQE >RHN78441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15124135:15130165:-1 gene:gene2008 transcript:rna2008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylenetetrahydrofolate reductase (NAD(P)H) MKVIDKIRSANADPNKVVFSFEFFPPKTEDGVDNLFERMDRMVAHNPSFCDITWGAGGTTADLTLEIANKMQNIICVETMMHLTCTNMPVDKIDHALETIKSNGIQNVLALRGDPPHGQEKFVQTEGGFACARDLVQHIRSKYGDYFGITIAGYPEAHPDVIGADGLATAEGYQSDLAYLKSKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFIRMTGFCKTKIPAEIMAALEPIKDNEEAVKAYGIHLGTEMCKKIMAHGIKTVHLYTLNMEKSALAILTSLGLIEESKISRSLPWRRPTNVFRVKEDVRPIFWANRPKSYISRTIGWEQYPHGRWSDSGNPSYGALTDYQFMRPRAKDKKLIEEWAVPLKSIEDIYERFRLFCLGKLRTNPWSELDGLQPETKIINEHLEKINTKGFLTINSQPAVNGEKSDSPIVGWGGPGGYIYQKAYLEFFCSKEKLDALIEKSKDRPFLTYMAVNKEGIWKSNVGQTDVNAVTWGVFPAKEIIQPTIVDPVSFNVWKDEAFEIWSRGWASLYPEADASRKLVEEVGSSYFLVSLVDNDYANGDLFGVLADF >RHN38703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1389864:1390820:-1 gene:gene44664 transcript:rna44664 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEAPPTQPEHPSVNDRQRLATVVSYSIQLLLLISSFLFTNVEGPIHHYFINHEDVRKLFYSFMTAFHLLFVFSLFSTIVQRPRNGSLIECLVLLGINFYISIVFVHYFKDDYTKYAIIFWVSFIVLIIIFKRKDFCDQLKFLMHVTGISYLFWGIIAVICYCCGYCCGGCYQYCNHNNWFCYCIGQKLEVVEEEEEPLIQRNSNAV >RHN58478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2086237:2087334:-1 gene:gene20396 transcript:rna20396 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNSSIILKGEVCLNKPINMKINISSAASFDENLNSSNFLKTNNGI >RHN59994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16225633:16230539:-1 gene:gene22173 transcript:rna22173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MALLQFMAMESSVDEGFWHRLSSLKLNQLGIDDSPLPIIGFYAPCSHPRVSNHLTLLAESLPSESSEASLVPDSSHGNRNRCSVPGILYNTNTMESFHALDKPNLLKEEARKIWDDIQTGRAVEDCSVLSRFLLISFADLKKWSFHYWFAFPALMLDPPATMVNISPASQWLSIEEAESLSAACNEWRGSKSTAGVPFFLVTIDPNSRSTVRPLKDWEACQSDAQKILFGFYDPCHLPNIPGWPLRNLLALISARWNLKSVQFFCYRENRGFADMSLSLVGEALITVPQGWKDAVPNAVGWEINKRRKGPMCINLAQSMDPTRLAVSAADLNLKLMRWRALPSLDLSALSSLKCLLLGAGTLGCQVARMLMAWGVRKITLVDNGRVAMSNPLRQSLYTFDDCLNGGEFKATAAVESLKRIFPAVEAEGIVMAIPMPGHPVHSREQDSVLDDCRRLHDLIDAHDAVFLLTDTRESRWLPTLLCANANKITMTAALGFESFLVMRHGAGPFSDLSSETANSSSADSSVKDANGKHRLGCYFCNDVVAPTDSTSNRTLDQQCTVTRPGLAPIASALAVELLVGILHHPQGIFAEADINSSVTGATESSLGILPHQIRGSLSQFSQMSLVGYSSSSCTACCHTVVSEYRNRGMEFILEAINHPTYLEDVTGLTELMKSATKFSLEWDKDIDNVDEDEDCFEI >RHN58054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43386445:43395174:1 gene:gene33660 transcript:rna33660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MEKVDTEIIPMPFPPYRRSHFRSHTYTTLLRILSHLSSSTVPDNGNVGMGQENQEKEPENSELKVGADVKDPKGPIPLKEIHDVHETIESVGDKGKDLSANAMVLDDAAAFLMGGDEQSTQTDGFHLEQTIMDELELVVKGDEDPVCDDSLIPLNCEKQNSGSEVDLMDYRVEHVEHLRSGTNTSESVSEVQSQVQGELNQLDVEGFNVSESTKGQASDSVISSTSSIQIERQQKETELVTLVCPLAGSIPTIQEGEFEKEEQYGHKVDEATHSSLDLDTNTEALNMTEDGGLLDSTILKDKCETQNEEKSEKLICVKNTTNSSDILIDEGDLEEGEISGEISMDGNTFDVSSADAIVSEQMKLDEIEKPGSSFENMTSPFNTGISFQGFPSNLFTVNAIQDTPTLTKNQVLRKGFMEETSHGNSSVVQIVDASRNEQSGPDPKKKKNKKQMVDASGSKRGPGSQEKKTRKRKKYRKNKAEKNREPGGKRPKFIPEQKPKPKQCRHYMKGRCHEGDQCNFSHDAIPLTKSVPCAHYACGSCMKGNDCPYDHQLSKYPCSNFVSKGSCYRGRCMFSHQVQTSQDIPTPTNACKPELKSPLSSGNTNFSTPLNNHGTGSVQQTNSNSKGIYSHTNVEHKVTDVSQTKPTSAPKGIRFINVANLSPTTPKQDTVQPNKGNLVHGGTCADKGQNTVEISKKIPAVMPKGVNFLSFGKGSVCSFKLSIQSTAQEMILKLPQSVNFGLSEHSVSLLNKDDHGKAIDITAQNLPQTALFSHEISDKNQSMAERMKSRFLEKDSTDDSVRDHSHCKSAQEVNKASDNSQASTVTSATLLASPFVSLQSSEGLVSGCPKQASNIGQRALISTLAFAAEHESNIKMKCPTGASPV >RHN49139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52761564:52763699:1 gene:gene43936 transcript:rna43936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteolipid membrane potential modulator MGTATCIDIIVAIILPPLGVFLKFGCHVEFWLCLVLTLFGYLPGILYAIYAITK >RHN48293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46385591:46394114:-1 gene:gene42995 transcript:rna42995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative iron-chelate-transporting ATPase MEGGGSFRIGSSSIWRNSDAAEIFSNSFHQEDDEEALKWAAIQKLPTFERLRKGLLTSLQGEATEIDVENLGLQERKDLLERLVRLAEEDNEKFLLKLKDRIDRVGIDLPTIEVRFEGLNIEAEAHVGNRSLPTFTNFMVNIVEGLLNSLHVLPSRKQHLNILKDVSGILKPSRMTLLLGPPSSGKTTLLLALAGKLDPKLKFSGKVTYNGHEMNEFVPQRTAAYVDQNDLHIGEMTVRETLAFSARVQGVGPRYDLLAELSRREKHANIMPDPDIDVYMKAIATEGQKANLITDYVLRILGLEICADTVVGNAMLRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQIVNSMKQFVHILKGTAVISLLQPPPETYNLFDDIILLSDSHIIYQGPREHVLEFFESIGFKCPDRKGVADFLQEVTSRKDQEQYWEHKDQPYRFVTAEEFSEAFQSFHVGRRLGDELGTEFDKSKSHPAALTTKKYGVGKWELFKACLSREYLLMKRNSFVYIFKICQICIMAMIAMTIFFRTEMHRDSVTLGGIYVGALFYGVVVIMFNGMAEISMVVSRLPVFYKQRGYLFFPPWAYALPAWILKIPLTFVEVAVWVFLTYYVIGFDPYIGRFFRQYLILVLVNQMASALFRFIAAVGRDMTVALTFGSFALSILFAMSGFVLSKDRIKKWWIWGFWISPMMYGQNAMVNNEFLGNKWKHVLPNSTDPIGVEVLKSRGYFTESYWYWIGVGALIGYTLLFNFGYILALTFLNPLGKHQTVIPDESQSDGQIGGGRKRTNVLKFIKDSFSQHSNKVRNGEIRSGSTSPSTSSDRQERVAAETNHSRKRGMVLPFEPHSITFDEVTYSVDMPQEMRNRGVVEDKLVLLKGVSGAFRPGVLTALMGVTGAGKTTLMDVLSGRKTGGYIGGNITISGYPKKQDTFARISGYCEQTDIHSPHVTVYESLLYSAWLRLSPDINAETRKMFIEEVMELVELKPLQNAIVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLLKQGGKEIYVGSLGHNSSNLISYFEGIHGVNKIKEGYNPATWMLEITNSSKEVDLGIDFAEVYKNSDLYRRNKTLIEELSTPASGSKDLYFTSQYSRSFWTQCMACLWKQHWSYWRNPVYTAIRFLYSTSVAVLLGTMFWNLGSNIEKEQDLFNAMGSMYSAVLLIGIKNSNAVQPVVAVERTVFYRERAAGMYSAFPYAFAQVVIELPHVFVQSVVYGFIVYAMIGFEWSVVKVLWYLFFMYFTFLYFTFYGMMAVAMTPNNHISTIVSSAFYSVWNLFSGFIVPRPRIPVWWRWYSWANPVAWSLYGLVASQYGDLKQNIETSDRSQTVKDFLRNYFGFKHDFLGMVALVNVAFPIAFALVFAIAIKMFNFQRR >RHN71122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56622032:56622622:1 gene:gene19771 transcript:rna19771 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDAVVSNLTTIYVAVIACIKLYGFLCARTFTGVFVVMISTTVVGLILMATLTWDVSRKATYAFNRHHPPPLICKGGICWHGVAVRSPASQVRFRIPQQIFPIPNAAF >RHN38730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1626408:1629605:1 gene:gene44695 transcript:rna44695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MSIMENGEISTMKVLLGAILVVLAHLLHVFVLKPRSLRAKLQKQGIDGPSPHFYFGNISEMKSLLLQAHSLKDGVPTSISHNWLSTVFPHILKWRKQYGPIYLFSSGSIQWLMVTDIEMVKEIVLNTSLILGKPSFLSKDNRPLLGKGILSSSGLFWAHQRKIIAPELYLDKMKTKVGMIVDSTNIMLRSWETKLEKDGVSEIKVDQDLRNLSGDIIARACFGSNYVEGREIFSKLRELQNIICKIFAGIPGFRYLPNKSNRQMWRLEKEISSKISKLIKQRQIDAHDEQDLLQMILDSAKKCESDGDSFLPNATSRERFMIDNCKNIFFAGYETTAITTSWCLMLLATHPDWQDRVRAEVLKICGKDGIVDANQLKSMKTVCFYTIYVLKSHVPIHYVLARAIKWVGRSRFGLVDPLTMVIQETLRLYPPALSVVRQAFEDINFKGIQIPKGMNLQIPMPILHQDPELWGHDAHKFNPERFANGVHGACKIPQVYMPFGMGPRVCLGQHLAMVELKVILSLILLKFQFSLSSSYCHSPSYHMLVEPGHGVALHMTKI >RHN60848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30892489:30901054:1 gene:gene23234 transcript:rna23234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MNNNVGKKSLAEQNHALNDRKSLNNRVVNEGPGSESSTGNDTRFSKVTKDGNSGLKGSIRINERLQISQQPPPRNPVTYWEKFLPVTSIKVLLVDDDDSTRNVVCALLRNCGYEVNAVSNGLQAWKVLEDPGNHIDLVLTEIAMPFVTGIGLLCKIMSHKTLKNIPVIMMSCHDSMGIVFKCLSKGAADFLVKPIRRNELKNLWQHVWRRCHSSSDSGSESGTNTRKFAKSRSICAFDNNTGSSDENDYGSRGLSVQDGSDKGSGTQSSWTTNLAQVSSSSRPVSTHNQSHDAPDSTCAQVVHTKPENIRSMCVHATEKEFHEPIDHPDDIAMGKDLAMGISLNTQQKHALQELSNNPMRKGVNKMSDIDGMQLNKGQSNVCEKVQLEEDSDKNRMQENQGTNFGVADSSSPHAESRDLNTSNGFSGFAKTKASCCFKQHPSLELTLERMGEVKDDEHVTGDECNVLRHSNLSAFSKYNTASAYQAQTGNVGSCSPLDNSSVAPITDTIHNFTSHSNATPPNQQSNGSNNINDLNSTNTYLSTKPENFDKKPKSAGGIDSFISSEFQTVQNNSISAPQKKTSSQDEYAGIVKEQVRGSEQGFQVEHTHHQLQHCNRIADKAAVDLQSVHDLLLKSTTKDAQQCTLSNALGGPAESNGTNYGLDGSAVESDHGSNGKGGSNTLTIRMINVENHDVAAGSIGVGAIDRINIGIVSYAERIALREAALTKFRQKRKERCFDKKVRYHSRKKLADQRPRVRGQFVKQIVSDTKEEEIKESEELVSMDNSSDVPQQYQSKC >RHN55780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23674901:23679616:-1 gene:gene31033 transcript:rna31033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA glycosylase MESKHYLNVDNPFSKCACKGNHLRKIEVEQECKVRVLSRFFHKVEECKVEHIPQRVVSRSTKSIEDLDLYRYQSEKVSRECVNKREHEGTDSYPLPPKIPKDSRKRPKVEKPRKSKRKTKPFLKADRCREAYKRKTLDNNWVPPPSGFEFPLLQEHHFHDPWRVIVICMLLNRTLGKQTKQVLDNFFELCPDAETCMQVKREEIEEVIKTLGFQVKRSRSLQRFSREYLTETWTYVTELHGVGKYAADGYAMFCTGKWDEVVPDDYKLNEYWNFLHERHP >RHN58554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2753991:2755413:-1 gene:gene20476 transcript:rna20476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller MWSNLPFDVLSSIFSFLSPDSLARARSTCKNWHTCGTSITKYKPHESTPWFLALPIRNYQKSCCYAHNPITKKWHEISLDFFPFQTSLKPIGPIGSLILLKVTNSTTLQLVLCNPFTRQFKYLPKLNVSRTNPACGIVTILEPNDVWCFPCFRIYVAGGMSEDKHGGATYEPTVEIYESCIDTWKIVGSMPVEFSVRLTVWTPNENVCIEGTRTTTLYWITSARAYSVMGFDVGNNCWREFSVPLADRLEFATLVRWNGGLGLIGGTCGGNVCVWEMSEGDKWCLVDEMPSELGVRLLCGKRNWEGVKCVSDDDVICLYRELGYGMVICRKVANMGRWEWLWVDGCDYIKGKKVLNCPIRGILVHPTLASSSIFF >RHN81333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44945608:44945904:-1 gene:gene5354 transcript:rna5354 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEEKIRGTNPAESNAGKPKSPEVGGDSGVEIEPRWDSVAEVPRDETNFKPTAPEKQRRRRRRGIMNTEMKSNSTQPQNGDLRETEHQKRIAKRNLG >RHN45703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24692163:24696401:-1 gene:gene40086 transcript:rna40086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MSEENGEGGEEYLFKIVLIGDSAVGKSNLLSRFARNEFDSNSKATIGVEFQTQMVEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALVVYDISRRGTFESIKRWLDELTTQNDSTVARMLVGNKCDLENIREVSIEEGKALAEEEGLFFMETSALDSTNVQTAFEIVIREIYNNISRKVLNSDSYKAELSVNRVTLVNGSGSKKNMFSSCCS >RHN51753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24320947:24323049:1 gene:gene36270 transcript:rna36270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MAPSPAPVENNGETTTTTVATPTLESQRSIPTPFLTKTYNLVDDKSIDEVISWNEDGSTFIVWNPTVFASNLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFSNEYFRRGEKRLLCEIQRRKICSPTPSPSSVSVSASTIAAETTTATVAVPSPMPLTVIPTVMQMISPSNSGEEQVISSSSSPARAPAELLDENERLRKENHLLNKELADMRLLCNNIYSLMSNFANNNSQTDGGAQGSRESGMTAVNPKPPLDLMPAVANRSSGEEMNPKLFGVAIGAKRVRENGCENGEKEDDTLLRLHQPGSTDVKSEPLDCSDGGNDRTAWLNQSRRKNQSLSK >RHN52864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39669246:39671785:1 gene:gene37589 transcript:rna37589 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPVVEVRDMHILVMVGVRCIPVVAVRDRHILVMVVVMGMVVGDKVFDVALAMMVVEVLHKVAVEVVEVLHKVVEMAVVVNRLVVEVSVLEEVVGVINMDIRVEEVSEVVVVANEVVVEVSELVVEVVSYSSKELVEVVRIQEQVEAVVVVNLVVEVVET >RHN44236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2654990:2660705:-1 gene:gene38329 transcript:rna38329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L34Ae MVPICGAEFSIDNHEIESNFSESKIEAEEEEFYECFEEISKTVSHESNQIESNCSYVEDEKVREFGSENVDDHSGNFDLEKEEEKSKLVFKFQYQNWNCNFSEELKGNLSESSDFDKRGDVSSSVNKYEFISGKSFSQFLDEPKASNFAVKEFFIHPNDNVPLVKNHVVDDSAGLLSENCEEVVGGEVVDSFSEKLHFHIEEVSEKLKNSEQEVVNGKIDDNFSENLREEDVSDKLKNLREPCVRNFLSDDDFICSSSDTDSISSLDDGFLSDTDFGTTTDFDTLGNNEEENVEDLDFKDEESIESLDFGYEPDDFGEEDEDIMNELGKLEEEIRQEESLKQNSKSLTAFDLDESNRFDTLWEHQDLIEQLKMELKKVKATGLPTIFEDSESPRIMEDLKPWKIDEKFQQGSSTTNELPKFYRSYRERMRKFDILNYQKMYALGLMKSKDPLKSFSIHKKSSSTITCILPRGINSFFRRNRNIDADPMKKFIRELYSDLEMVYVGHLCLSWEFLHWEYEKALKIWESDQYGLRRFNEVAGEFQQFQVLLQRFIENEPFQGPRVENYARNRCAMKKLLQVPVIKEDKGKDKKKYRKREVDNDAITSDMLVEILEESIRTIWRFIRGDEDASNLTIKCLKEQHVELQDPADSQLLVEILTDLQKKEKRLREVLRSGSCILKKFKKHEDETDPVLYFFSQVDLKLVCRVLNMSRITTDQLAWCRSKLNKINFVNRRIHVEPSFLLFPN >RHN51608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20958280:20959607:-1 gene:gene36081 transcript:rna36081 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFGTTINRTYKWLPNHEQDIKKAYHHKASHGYQNTMYRPRKQLRRTLIL >RHN39881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11361501:11365847:1 gene:gene45963 transcript:rna45963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative unspecific monooxygenase METCTALLLLTAITAYLLWFTFISRSLRGPRVWPLLGSLPGLIENCERMHDWICDNLRACGGTYQTCICAIPFLAKKQGLVTVTCDPRNLEHILKTRFDNYPKGPTWQAVFHDLLGDGIFNSDGNTWVFQRKTAALEFTTRTLRQAMARWVSRAIKDRLCTILKKAEVQGEPVDLQDVMLRLTFDNICGLAFGRDPQTCATGLPENGFAAAFDRATEATLQRFILPEVFWKVKKWLGLGMEVGLSRSLAFVDQHLSSVIEKRKVELLSQQKDGSLLHDDLLTRFMRKKESYSDKFLQHVALNFILAGRDTSSVALSWFFWLVIQNPKVEEKILREICTVLMETRGADMEKWTDEPLGFEEVDRLVYLKAALSETLRLYPSVPEDSKHVVKDDVLPDGTFVPAGSSVTYSIYSAGRLKSTWGEDCMEFRPERWLSLDGTTFIMHDSFKFVAFNAGPRICLGKDLAYLQMKSIAAAVLLRHRLAVVPGHRVEQKMSLTLFMKNGLRVNVYNRDLKGVFATIQNEKEGEIQGKVLNDLKCNGT >RHN51395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17169028:17169354:1 gene:gene35825 transcript:rna35825 gene_biotype:protein_coding transcript_biotype:protein_coding MDDETISRIITEISDLRETHHTENPQPLSEKSLSSLQTLLNHSQPLDPLYDAVSPSHLIPPIDTAMDSSPPPHSLLASHVFLSFLPKRPRFHSLHYSLLSLIPSLPPS >RHN52584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36820892:36826542:1 gene:gene37278 transcript:rna37278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MLHRSHSLMASLTDRFKYDLFLSFRGEDTRHGFTGNLWKALSDRGIHTFMDDEELQKGEEITPSLIKAIEDSNMAIIVLSKNYASSTFCLKELSTILYSIKDKGRCVWPVFYDVEPSDVRKLKRSYGEAMVEHEARDHSNMDLLQKWKNALNQVANLSGFHFKNGDEYEHVFIGKIVEQVSREIIPATLPVPDYLVGLEYQKQHVTSLLNDGPNDKVQMVGIHGIGGIGKTTLALAVYNSIVHQFQGSCFLEKVRENSDKNGLIHLQKILLSQVVGEKNIELTSVRQGISILQKRFHQKKVLLLLDDVDKEEQLEAIAGRSDWFGRGSRVIITTRDKRLLTYHGVERTYEVNGLNDQDAFELVILKAFKNKFSPSYKDALFAQYGSLLDVNKLPRLKAFKTDEVFSGYVHVILRAISYASGLPLALEVIGSHFFNKTIEQCKYALDRYERIPDKKIQTILQLSFDALQEEEKSVFLDIACCFKGYKWTRVEQILNAHYDNIMKDHIDVLVEKSLIKTSMSGNVTLHDLIEDMGKEIVRQESPEDPGKRSRLWSSKDIIQVLEENTGTSKIEIICPSSRIEVEWDEEAFKKMENLRTLIIMDGQFTESPKNLPNSLRILEHHLYPSWGLPSQFYPRKLAICKIPSYSTSFAWDDFFKKKFKNIRVLSFDHHKSLTRIPDISGLVNLEELSFQDCVNLITVDDSVGFLGNLKTLRAMRCIKLRSIPPLKLASLEELDLSQCSCLESFPPVVDGLVDKLKTMTVRSCVKLRSIPTLKLTSLEELDLSNCFSLESFPLVVDGFLGKLKILLVKYCRNLRSIPPLRLDSLEKLDLSHCYSLESFPTVVDGLLDKLKFLSMEHCVKLTSIPSLRLTSLERFNLSHCLSLERFPKILGEMNNITEIHLDNTLIQELPFPFQNLTPPQTLYQCNCGVVYLSNRAAVMSKLAEFTIQAEEKVSPMQSSHVEYICLRNCKFSDEYLSTGLMLFTNVKELHLSDNQFKILPKSIEKCHFLQRLVLDNCEELQEIEGIPPCLKTLSALNCKSLTSPCKSKLLNQELHEAGNTWFRLPRTRIPEWFDHQCLAGLSISFWFRNKFPVIALCVVSPSTWDDSRRPVRVIINGDTFFYRHGENKRLSPEVYHLHLFHMQMEKLNNNMDKALLENKWNHAEVDFGFPFMYSGIHVLKKKSNMKDIQFTNPDKDDNIELTQYKRNSDSLVSVIASDVDKCE >RHN48885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50901916:50907397:-1 gene:gene43650 transcript:rna43650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative paraneoplastic encephalomyelitis antigen, nucleotide-binding alpha-beta plait MAETKEESKSNNEESVKLFVGQVPKHMTEDELLTLFKEFAIVDEVNIIKDKATRASRGCCFVICPSRDEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFIGMLPKNISEVEVSALFSKYGTIKDLQILRGSQQTSKGCAFLKYETKEQALAALEAINGKHKMEGSSVPLVVKWADTEKERQARRAQKAQSQASNVGHDSQHPSLFGAMPMGYVPPYNGYGYQAPGSYGLMPYRMPPPMQNQPGYHNMMPHMNQGNALRPDLGPNMNPRNYHVPPASYVGSYPAVPGLQHPMAYPGGMISPRPLNSPPGSVSPSGGNGNSATSSGSSKNSGGGQAEGPPGANLFIYHIPQEFGDQELANAFQPFGRVLSAKVFVDKATGVSKCFGFVSYDSPEAAQSAISMMNGCQLGGKKLKVQHKRDNKPGKPY >RHN43446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45953573:45956839:1 gene:gene50024 transcript:rna50024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MRIDSSFNFNHKNYLIKLLLTLFFSVLAFRLLFFQSLPTLTESQTPPQTSSSLLSDLQQLPLQNQLSPTDTGKCDYFNGDWVPNPLGPIYTNETCDLIESHQNCMKNGRPDREFLYWKWAPTDCELPIFDPQRFLNLMRGKVWALIGDSISRNHVQSLLCTLSKVEKAVLVYHDEGYKSKSWHFPLYNFSMSVIWSPFLVEAAIFEDINGVSSSEVELYLDKLDSKWTDQYLNFDYIIISTGKWFVKSAIYYENDTILGCHGCSSNKNLTDLGFDFAYRKVLKNIFNFIVSSNHKGLILYRTSTPDHFENGEWFSGGTCNRTEPIKEGEMEIKLLLRILRDIELEEFKKAASKASKNGVNLKLADFAPLSLLRPDGHPGPYRQFQPFAKDKNAKVQTDCLHWCLPGPIDSWNDIIMEMVVNG >RHN46519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32452194:32455810:-1 gene:gene41012 transcript:rna41012 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MNMREEEAYGSECSKASISINEDGCEISEGNEDEESNKQNINNGGISSSNSTIEENCEKKSSVRPYVRSKFPRLRWTPDLHFRFLHAVQRLGGQERATPKLVLQLMNIKGLSIAHVKSHLQMYRSKKVVDTNQVLADHRLLVDNGDRNVYNLTQLPMLQGYTPNQTSSYRCGSYGDASLAMYENMVQMSSISDSRADFYGKMIERTNNNIINIQGHSIFQMDSSNFRELSTTKVHEPNDNFLSFCGHESLRDDLQVQPRAQDLISNDNLPANQVEELKTMKRKASDMDLELDLSLKLNSRNDHKCIEEHEIDSNLSLSLYSQSSYVCKRMKEEQDGSKEQEKGVNISLDLTI >RHN52215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32760010:32764205:1 gene:gene36862 transcript:rna36862 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHNTHSQLHPASKFPSFLLSLCLNQFTNLPWRFPYPTSHFMLLCFKKVWRKQWCLLQGFNPAAEGMNNLLSFKGLEALD >RHN41734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32480712:32483602:1 gene:gene48086 transcript:rna48086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PH domain-containing protein MALNPQLFPNGMPVAFVNEMFVLARDGVEFEVDKIPGAGSHGGRLKAKGIIYLSNIRMVFVAKSPVDGLYAFDMPLLYINGEKFNQPIFHCNNISGFVEPVVPADQHRALYSTHSFKIIFKEGGCGTFIPLFFNLIASVRQYNQHANVPTESRVDPLQASQTPVDEMMRHAYVDPNDPTRIFLQQPNADSQLRRRTYQPQTDGGHV >RHN72126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5179511:5183646:-1 gene:gene7801 transcript:rna7801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MKSCEEWKPFMIMIAIDISFAVVNILLKKVLDEGMNHLVFITYRLSIATIFVGSIGYFRERNSRPPLTFRILCYLFFSAVVGASVTQYFFLLGIQYTSATFACAFVNMVPVVTFLLALPFGIETVNIKCNSGRAKIFGTLVCIVGALMLTLYKGKGLFNFSHHESSVKLAATRTPEKWTIGVIALILGTLFWSSWFILQSKISKRYPCQYSSTAIMSFFGAIQSAVICLFIDHDLSIWVLRGKIQIIAILYAGIIGSGLCFVGMSWCVKKRGPVFTAAFSPFVQIMAALIDIPVLHEQLYLGSVLGSILVMLGLYILLWGKSKEMKNRLIMLAEKAEETKEQEPQIQHLTVSCESRFHNIDIK >RHN46623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33374479:33375082:1 gene:gene41137 transcript:rna41137 gene_biotype:protein_coding transcript_biotype:protein_coding MMFNFLKKGKFLCPNPTCKCFWEVVANAEKIEDPPLLRSKNINST >RHN56763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33524641:33525549:-1 gene:gene32206 transcript:rna32206 gene_biotype:protein_coding transcript_biotype:protein_coding MRVITNFSMLLLITCFLCLILSSAQTSFDGIVSARSLDDQLQDCAFKAFSTPKTGVPYDAQVPSNLTGIKVSAMRLRSGSLWDKGVQSYKEFEIPEGVIEQPYFKRIVLVYQNLGNWSQYYYPLSGYSYLAPVLGLLAYDGTNLIASNLPELELSAYHKPILVNFSYVKNTSPYGSLAKCVYFDLFGSIKFDTLLNGNVCPIFEQGHVSIVVESNASPQTHWKNEFKFKIVIVCLAGGIVLLFLFGLLISRMRRAKQETKIKQMELEADCSETLKIIYIGNAKVPSALGTRTPPKIENDYVP >RHN72285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6448245:6448856:-1 gene:gene7979 transcript:rna7979 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLNQVFKCILITLFFLFFLMIPHTASSSSPNSSNNNQEIQSQNPSSTNTELSHYHQVFYLKNADPPMFLSIQERIKKRKMNRNKNKNMMKQKKHRKHKKKIVKNMVNSRPFSVMLPKGFVPPSGSSPCHNDQPNSVSFHCYLTSTEP >RHN71176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56972358:56977624:-1 gene:gene19826 transcript:rna19826 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTMEKQNNTRVSSFQKNENTRFFFFFFFFLLSSSPPATLSPIHRRRPPSLSLNPLTFSPFCSTHLLTQLNHSLLPTFHLPTQ >RHN53835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4606269:4613860:-1 gene:gene28787 transcript:rna28787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloroplast envelope protein translocase, IAP75 MSMEKGAGKPPPPVLVLHDRYGGCVGDLPIYDAFTLGGPYSLRGYNMGELGTARNMLELAAEFWIPVKGMHVCMVSQSMGMI >RHN80496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38607221:38608215:-1 gene:gene4431 transcript:rna4431 gene_biotype:protein_coding transcript_biotype:protein_coding MENLIGTRLYFCFNCRNHVAVHDDVISKTFQGRNGRGFLFSHAMNVTIGPKVDMEFMTGLHTIADVYCCDCNQVLGWKFERVYEETQKYKEGKYILENSKIVRESL >RHN44763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8210297:8215258:-1 gene:gene38924 transcript:rna38924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MALICTIPPYITKHKNVTPFEIHKFVKHLCSLSLHYPHEFDHCFKSHSFYASLIDNSTHITHLYQVHNQLVLSGLQHNRFLMTKLVNQSSNLGQIFYARKLFDEFPDPDLFMWNAIIRTYSRNNMYRNVIEMYRWMKWVGLHPDKFTFPCVLKACSELLDFGLSCLVHAHVIVYGFGSHVFVQNGLVALYAKCGRIGMARMVFDRLYDRTIVSWTAIVSGYGQNGEPWEALRMFDQMRKTDVKPDWISLVSVMRAYTDVDDLEQGRSLHGCIIKMGLEEEPDLLISLTAFYAKCGEVTVAKSFFDKMKTPNKVMMWNAMISGYAKNGHAEEAVELFQAMISRNIKPDSITLRSAVLACAQVGSLKLAQWMDDYVRKSKYGGDIFVNTTLIDMYAKCGSVESARLVFDRASVKDVVMWSAMIMGYGLHGQGWEAIYLYHAMKQEGVCPNDVTFIGLLTACSHSGLIKQGWELFHCMRDFGIKPRNEHYSCVVDLLGRAGYLKQAYVFILKMPIEPGVSVWGALLSACKIHRCVTLGEYAAQKLFSLDPYNTGHYVQLSNLYASSRMWDRVAYIRVLMKEKGLTKYLGYSVIDINEKLHTFHAGDMSHPQAKEIFYELQRQERRLKEVGFVPHTESVLHDLNYEEKEENLCIHSERIAVAYGLISTAPRTTLRITKNLRACVNCHSAIKLISKLFEREIIVRDANRFHHFKDGLCSCGDYW >RHN48819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50492287:50492487:1 gene:gene43574 transcript:rna43574 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRFLLRLKSSCTERGIMRRWMPWMTPSGRITSSGLRISAESTVLAPVGFVVRWRRWLERVWWWR >RHN81557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46988746:46992644:-1 gene:gene5622 transcript:rna5622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative receptor protein-tyrosine kinase RLK-Pelle-LRR-XI-1 family MQLGSIKLTKFFCTFGFKRNGRPSANAVIEEIDGNKSEVVEQFALCRLRCYQEVTKQLMDLLNKLSIQPHMLLQATSILKGEHSINQIHISSNAQDGFISACGKIESLKLLNFSGNVLSGFLPSFHGFPELETLDMSFNNLSGNISMQLDGMVSLKILNLSYNNFIGKIPTKLGSSMVLEELVLSNNSFQGTIPDQILSYKNLTMIDFKSNILSGSIPLDIGNLSKLETLSLSSNNLGGNIPMSLMSITTLVRFAANLNSFTGAIPLGITKFLSYLDLSYNDLSGSIPEGLLSPSQIVLVDLSNNMLKGPVPRNISPSLVRLRLGENFLTGEVPSGTCGEAGHGLTYMELEKNNLTGLIPPGLSSCKKLALLNLADNQLTGALPPELGNLSNLQVLKLQMNKLNGTIPIQISQLQQLSTLNLSLNSLHGPIPSEMSNSLVLLDLQGNNLNGSILSSIGNLGKLMEVQLGENKLSGDIPKMPLNLQIALNLSSNQFSGAIPSSFADLVNLEILDLSNNSFSGEIPPSLTKMVALTQLQLSNNHLSGVLPAFGSYVKVDIGGNNVRNSSNVSPDNFPRAGKKGKSVVAAVLIAIAAAIFVVGMVTLLVVSMSLHCCRVNDQQAQSSENENLDFPQVIQSNLLTPNGIHRSNIDFSKVMEVVAKTSNVTLKNKFSTYYKAVMPSGSIYFAKKLNWCDKVFPVSSLDKFGKELDALAKLDNSNVMIPLAYIVSANNVYILYEFLSNGSLFDVLHGGMKNTLDWASRYSIAVGVAQGLDFLHGFASGPILLLDLSSKSIMLKSLDEPLIGDIEHYKVIDLSKSTGSLCAVAGSDGYISPEYVCTMKENVYSFGVILLELLTGKPSVTKGAELVKWVLRNSRNQDYILDLNVSKTSESVRNQMLEILEIALVCVSTSPDERPKMKTVLRMLLNAR >RHN80229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36324283:36329424:1 gene:gene4129 transcript:rna4129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MEQEEHEVYGADIPDEEVDMDADIDAEHQEGDEELASNHTTKELEDMKKRLKEIEEEASALREMQAKVEKEMGAVQDPAGSSVSQAEKEEVDARSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEADAVQNALILNETELHGRQLKVSAKRTNVPGLKQYGRRPAGFRGRRPFMPAPFFPPYAYGRVPRYRRPTRYRPY >RHN59802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13412272:13415977:-1 gene:gene21958 transcript:rna21958 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGEVSLSSSEGKRNYVVKLSSNSNKTKMALQTQFVTSFTTKLQHSFSPTFTIKSEKPSEPSDSIPNKTGVGFGPSNGNNTKRKQKGQRDRASIIRRNPVEKPAFVSEQLQQQPQVQEESAVEKGFILAWLGFGSVILVEGIALAASGFFPDEWDNFLVKYLYPSFTPSVLLFLAGAVAFGVVKYKQNEKLTDQK >RHN43471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46138562:46138987:-1 gene:gene50054 transcript:rna50054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MASSHKVFIIVALVIIALVPSTYTVESRQHSNPSNLMSLEARLKVSGEPSNCWQSLFKLQACSGEIITFFLNGETYLGNGCCKAIRVIGHDCWPNMVASLGFTNEESYILEGYCDEVEDVHSPPPPTPQVSFVVEHKEIVP >RHN70117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48894216:48898483:-1 gene:gene18668 transcript:rna18668 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQSINGTMSTKTTQDLAIEGHKYLEETIQHAFKILSSMNDELCNPVWWSTSPSSATSPNAPSSNGDANSENSGQHADGAAPSGGAGGALDEARLRYKDAVAGLRSVLAAIPNSQKANTFDGGSADSPMDEAEIEKLEEQASSLRKELGNKNLHLKILIDQLRELITDISTWQSPFST >RHN80683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40040669:40046591:-1 gene:gene4639 transcript:rna4639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 9,9'-di-cis-zeta-carotene desaturase MMTSLIHCPATSLSTTRPGDSVAFFVPSRFTKTRSYKPRVRCSLDSNVSDMSTNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIYESRTFIGGKVGSFVDKRGNHIEMGLHVFFGCYNNLFRLLKKVGAENNLLVKDHTHTFVNKGGQIGELDFRFPVGAPLHGINAFLTTNQLKTYDKARNAVALALSPVVRALVDPDGALRDIRNLDSVSFSDWFMSKGGTRTSIKKMWDPVAYALGFIDCDNISARCMLTIFALFATKTEASLLRMLKGSPDVYLSGPIRKYITDRGGRFHLRWGCREVLYDKSADGSTYVTGLSLSKATEKKIVEADAYVAACDVPGIKRLLPSEWREKEFFNNIYELVGVPVVTVQLRYNGWVTELQNLELSRQLKKATGLDNLLYTPDADFSCFADLALASPEDYYIEGQGSLLQCVLTPGDPYMPLPNEEIISRVAKQVISLFPSSQGLEVTWSSVVKIGQSLYREGPGKDPFRPDQKTPVKNFFLSGSYTKQDYIDSMEGATLSGRQTSAYICDAGEELVALRKELLAQSKDDIKFTNTKDELSLV >RHN69875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47022686:47023959:-1 gene:gene18404 transcript:rna18404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain-containing protein MALLPILHLLLLPLLIYQATIPSAAVDPTIGFTRLSLDSSNFIVQKPYDVSQSERYSFINGVHKFWIYPTDKPFETGSSTQPRTEIRIRGYDYTSGVWQFEGQGYVPGGTSGVCIMQVFGANPTSTTSQLRVYDGSLNYYRDPVLSPNIYNRWFKVNVIHNVGANNVKVYIDGDLKYDTSGRGDANHYFKFGVYVQNDPSSYMESRWKDIKLFRR >RHN67584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28406426:28408377:1 gene:gene15785 transcript:rna15785 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKKSIKKRAERNLHGKCCITRIPWRFEFFPIEFLKGVGERVTKAMCLSSVRRSSNRVSPSSMGRSKTIGVSVDYFRAAAVEDCIELFHIQSSFSRSNSLTIPPREEFTRFSFRKFD >RHN50696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9560251:9560938:1 gene:gene35018 transcript:rna35018 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVLLLSFLVRNKNQEHSIVSSTSFCTIKDDSCLNARWTQQREWSNYESELEKNLEENILQESKVCIYLV >RHN40643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18771293:18779718:1 gene:gene46826 transcript:rna46826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MVTLKVKSRLGSTRPSESRPTVGGGKNFKVFSENDRIQVGDGSTMTSVREVGAASRKSAIAANKGVISNATSNSKGDFKSMEKSSKTYGASGNMNARKALTDVTNAQGSSTTAAKRNISKIKVSAGSKTKTVGIPLRKSFTVREIRSPSHGGVQLDAPSGGLRVSLGDRKNSSSNGGQPVATKDRFARKPMLPTTTSTSRKSLPVTGLRASLGDQKNSSNGGQSVATKDRFARKPMLPTTTSTSRKSLPVPRRVNRVEMNNTKENAGSSETANGQRGLPSKLTTGRRVSSQLTNARSNLWKTRVSDGFVQMVTSNAYQVSSRKSVKPVVKTTVKASTSQRTLKSKSISGQNKSKPTSTIASKDEEKVTSSLSDNSSVVFSNDANQRHQPSDGECSLKIDLSELIPENNLVVISDDTNQRHQPSDGESSLKTDLSELIPRKSSSRRKSYTTSLMEKSKILKENGEVREQDNLPSIDDECNHLEVSEYIDAIYQYYWVTEAHSQALSNYMSIQTEITPHMRGVLVNWLIEVHFKYDLMPETLYLTVTLLDQYLSQVHIKTSDMQLVGLTSLLLASKYEDFWHPRVKDLISISAESYTRDQMLGMEKLILRKLKFRLNAPTPYVFLIRFLKAAQSDMKLEHMAFFLIDLCLVEYEALAFKPSLLCASALYVARCTLQITPSWTPLLQKHARYEVSQIRHLFYHLSFSVSKLCDFSLC >RHN76681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:380149:381360:1 gene:gene45 transcript:rna45 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSLKSRMENSMVVANGKVNKHIPNDLIFFVLSKLPLKSLKRFGCVSKSYALLFENPNFMNMYCNNFISNSPSYCDDTCLLLQEIISPNSTEEHSVMYLLSGERFENVVKIDLPPPFCKDDYDIHILGSVSVNGTFCLMQLDKYFLLWNPTTEEFKAIPPSTIDLAPPDLDHFWDKHGFGYDHVRDDYKVIRCVELDPDLIESFCDNLGVEHTLILEDFFDAPSWEIYSLKSNSWKKLDFKLHVRKIDGARAYMDGMCHWHGGDRGSIMGQYLVSFDLVNDVFITIPIPSYMKFDWCFELVHLMMLNGSIAFISNHRNTAYEISILGELGVKESWTKLFTVDLLPLIERPIKSDTDLIFFERKREGEGLSWFNLNTQIFEELDAKGQHVHYHVAIYKKNQRRIE >RHN64553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59984936:59987190:1 gene:gene27378 transcript:rna27378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MSLSASEIAQKLNLQPHPEGGFYIETFRDHSVQLSTSHLPSEYKVDRPVSTCIYFLLPSGTMSRLHRIPSSETWHHYIGEPITVVELNEKDSSVKFTCLGSDLTKDQTPQYTVPPNVWFGSFPTSDFAVSANGGSFVKGSERDGETHYSLVGCTCAPGFQFQDFELAKRSYLISRFPQLESLVTTLTFPE >RHN80364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37461989:37462216:-1 gene:gene4279 transcript:rna4279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycolipid transfer protein MFIELWMCHLQQNTKMEGTVFAPTLEGMKHIKSEQGEILSQPFLDVCKHILPVIGHYSTSFDMLLLQDEDNERKT >RHN48420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47352234:47355600:1 gene:gene43134 transcript:rna43134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MLDLNLNADSSLPLSSVVQMEDSSGSFDSSVVNAADTEDSCSYGDAYGYNFAILNNNASVDNSTISGELQHSGAGEAGVRTIQLFPANESGMISPSESSGWMNFSSDHHGGVPEQTAVVASRPQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNVSDYDEDIKQMNNFTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSELDAARAYDKAAIKCNGREAVTNFEASSYEGELTSQADNDDIKQNLDLNLGIAPPSNSDVQMMNMHHNGSGLQVQRNWDDMPVDKSVMFEDSGSRSLNVQSSYGFPIASEHQPPVWSGTNYFPVCKERAIENRMESAPVPNWAWQLQSPYNNGSTLILPNFSAAASSGFPSTTAADSQIHFPNITYLHPHFPTPVTNSSPIPSFYCRS >RHN55008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14330495:14334785:1 gene:gene30125 transcript:rna30125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LysM family MASLIQLLSIFLPLLASSLPTIFSIEVSMKKAYMEPYKCSTKMRTCNASLYHINYNHNIEQIANFYSIDPSQIKPIIRSTKQDYLVKVPCSCKNIKDLSGYFYETTYKVSPNETSVDIMNLIYSGQAWQVNEDLVANENVTIHIPCGCSEFESQIVVTYTVQQSDTPTSISLLLNATIDGMVRINQILGPNPTFIDIGWVLYVPKELKGSPLYHGKEKKHKWVIIIGILVSVTLLSVITLIIFILRRNKAYETSKYDPKTVSKRSFGNRTISLRNHEFHKEYMEDATQFDSERPVIYDFEEIEHATNNFDETRRIGVGGYGTVYFGMLEEKEVAVKKMKSNKSKEFYAELKALCKIHHINIVELLGYASGDDHLYLVYEYVPNGSLSEHLHDPLLKGHQPLSWCARTQIALDSAKGIEYIHDYTKARYVHRDIKTSNILLDEKLRAKVADFGLAKLVERTNDEEFLATRLVGTPGYLPPESVKELQVTIKTDVFAFGVVISELITGKRALFRDNKEANNMKSLIAVVNKIFQDEDPVAALEAVVDGNLLRNYPIEGVYKMAELSHWCLSEEPVDRPEMKEIVVAVSKIVMSSIEWEASLGGDSQVFSGVFDGR >RHN77794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9484720:9485365:1 gene:gene1287 transcript:rna1287 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYEVAPVNLSPEDEEIEKSAVTGSIPSVVMIVKVVNLNWEMGF >RHN73422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16268365:16268688:-1 gene:gene9234 transcript:rna9234 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKGEKCSLFIAGCLDTPACDSYCKDQWSGGIGICSNERCICNFECGGKAGKKAPKRDCNASTGPCSKECGDSCCNNKCASKYNQGVGYCRSLLPPLAMCQCVYAC >RHN73695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18998106:19002258:1 gene:gene9543 transcript:rna9543 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTMDEVVEIDTLEKNLLEENEGNEEEVLYAASFQEMEKNFIKYQTVQWILYSLLLILAWGIGLLMLLYVPVRRFILRKDIRSRSLYLTSNAIVYKVTRPVPFPCLGVLHKEKHVLLHSVADVVVEQGYLQSLFGVYSLRIENVGVRRPPSDNVKILGIANPNAFSRRYLIAVMMQLSNMRNEIVSRQVSTLEDGSHLMMSPSKSLRYDSTHSEDLLLLQKLEEVGSSVKRIQTLFEAQQSPTTETID >RHN72313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6706895:6707561:-1 gene:gene8011 transcript:rna8011 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGKSKHDVASDNTTILQRKKSSVTFKENETETKDINNNVDNTSSAAEEQKEIESVKEAGVEENKDDAAKDVKDGEAMEKINDEGKKEEDVVEEPSAEVEKKDDHASIKNDEKINADGAADTEEEKKLAEENEKSDVVAQEETSAKEEVVENTNVSASEAEAEAGGENN >RHN81898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49639170:49639968:1 gene:gene5995 transcript:rna5995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-severing ATPase MSRWDGLLSKPDEKIIILAATNMPFDLDEAVIRRFQRRIMVGLPSAENRETILKTLIAKDKHEDLDFKELSTMTELRIQWK >RHN48517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48119546:48126289:-1 gene:gene43241 transcript:rna43241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MSKESEEIKTTEQWKWSEMQGLELVSDDNNSSSSDPFKGNLPTVTEEGVTATVSDSQNQVQVSKEMEEHKKDGGSKEKVKSAPAVGFGELFRFADGLDYILMTIGTVGAIVHGCSLPLFLRFFADLVNSFGSNANNLDKMTQEVVKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTKMRIKYLEAALKQDIEFFDTEVRTSDVVFAINTDAVMVQDAISEKLGNFIHYMATFVSGFVVGFTAVWQLALVTLAVVPMIAVIGGIHTTTLAKLSSKSQEALSQAGNIVEQTVVQIRVVLAFVGESRALQGYSSALKVAQKLGYKTGLAKGMGLGATYFVVFCCYALLLWYGGYLVRHHETNGGLAIATMFAVMIGGIGLGQSAPSMAAFTKARVAAAKIFRIIDHQPGIDRNSESGLELETVTGLVELKNVDFSYPSRPEVLILNDFSLSVPAGKTIALVGSSGSGKSTVVSLIERFYDPTSGQVMLDGHDIKTLKLKWLRQQIGLVSQEPALFATTIRENILLGRPDANQVEIEEAARVANAHSFIIKLPEGFETQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVIQQGSVFEIGTHDELFSKGENGVYAKLIKMQEVAHETAMNNARKSSARPSSARNSVSSPIIARNSSYGRSPYSRRLSDFSTSDFSLSLDASHPNYKHEKLAFKDQAGSFWRLVKMNSPEWLYALLGSIGSIVCGSLSAFFAYVLSAVLSVYYNPDHKHMIREIDKYCYLLIGLSSTALIFNTLQHFFWDIVGENLTKRVREKMLTAVLKNEMAWFDQEENESARISARLALDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLIAVFPVVVAATVLQKMFMTGFSGDLEAAHAKATQLAGEAIANVRTVAAFNSESKIVRLFASNLETPLQRCFWKGQISGSGYGIAQFALYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFDLLDRQTEIEPDDQDATPVPDRLRGEVELKHVDFSYPTRPDMPVFRDLNLRIRAGKTLALVGPSGCGKSSVIALIQRFYDPTSGRIMIDGKDIRKYNLKSLRRHISVVPQEPCLFATTIYENIAYGHDSATEAEIIEAATLANAHKFISSLPDGYKTFVGERGVQLSGGQKQRIAVARAFLRKAELMLLDEATSALDAESERSVQEALDRASTGKTTIIVAHRLSTIRNANVIAVIDDGKVAEQGSHSQLMKNHQDGIYARMIQLQRFTHNEVIGMASGSSSST >RHN39849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11064701:11064948:-1 gene:gene45927 transcript:rna45927 gene_biotype:protein_coding transcript_biotype:protein_coding MDERQVRMKLIMRLLLGPHNDYKEMLAHDAKKHWEEIETLKANEMKELMGAGTLEV >RHN78218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12886836:12888874:-1 gene:gene1752 transcript:rna1752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MAFHQHYGRGSEAHGMHFYLTVQHRQLQDHDLMVPRNFVDKYWKGMSNPISLKFPNGYECKMFWIQLGDDVWFLNWKRFARSLRYGDLLVFQYKGGSDFYVIILDDSKLEIDYSSMQYNDDHDSNKHCKLEESDDDCIEILNDIATNSATPHGTNIDKTKFNMNATKQNVSGYEGRTSSCKHNTGKRKLFAGTSSSRHVLSVKYPHKLDGQRKEREKLIWILIPANKNSQCVPNEFLSKHEDLHGKFVELKVGGKSWFVKLNYYLQGSRFHAGWRKFQEECKLKKGDICLFELIDEKKCVFKVSFVTKIS >RHN50081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3917489:3918704:-1 gene:gene34333 transcript:rna34333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MQIWIQKYQTLVWLEHFSESKLRKTQIGWPEHSNGYMPPEYARSGHFSTKSDVFSYGVIVLEIVSGKKNRDFSDSEYSNYLLGYAWRLWTEERALELLDESLGQQCTPSEVVRCIQIALLCVQQRPEDRPEISSVVLMLINGEKLLPKPKVPGFYTEKDVTPELDSSLANHELFSTNELSITEIVAR >RHN80119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35289480:35293339:-1 gene:gene4006 transcript:rna4006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative primosome PriB/single-strand DNA-binding protein MFLGHFVCQKSNCLAKIKLEIDRQFPPYHRVKRQQQTEMALEQTVSLSSTRFRNLTTFPQNPNFIPKFKLPFSTTLSSKPIHRNFNLKCSNSITNNGAASYPKPPEISWNKDLSNSVNLIGFVANPIEIKHLPSGKVVAWTRLSVKKNASQMSWIHLTFWDELAHVASQHVQKGHQIHVSGRLVTDTVDSVDGKQQTYYKVVAQQLNFIDRSDSPVRSHDQDFDFITSDDNGKKASYATNGMTGSVVELWQAFFANPGEWWDNRRNKRNPKAPDFKHKDTGEALWIDGRSTPPWVKSQLEILDMRMGSYTGQNGRMPVDMVSADEMLSF >RHN71779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2572052:2572372:1 gene:gene7414 transcript:rna7414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MIQRHLGTKKVISISISIFKFMIQSKDLEGTFRTTRRASASSSSRLTNHVDDYDEENVSSRTRLLVEMDSEDCCPSILICYKCGEKLKNLTAVEAHHITEHSGNHK >RHN67417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27039567:27049991:1 gene:gene15606 transcript:rna15606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MKRIRRDTSMMRQKNRQFKSLKQIPDFSKCDSTLSAGRDSSRNPTSLCQHCVDNENNTPLMRHGPAGEKTLCNACGIVWAKKRKLRDLSKGRVDLSVEQSDLDALIDVNVVEGELPAIQNEQNPLVDVNITYFEGELSVIQNEQVISDDHSKAVAGKGSNNTSNPCDEGISEDPSKTITPKGSNNHTLNPCDEDPLIDVNITVHDGKLPVIQNEQVIREDPSKAIVGERSYNHGLHLCDEDLLIDVNITVFDGKLPVIQNEHGISEDPSKAIVGERAYNHALNLCDEGISEDPSRALGVEGSNNHALSPCEDALIDVNVVEGELPAIQKEQGIAEDPSKGIIVELEGSSTHALNPCDKPMKSYTFSEIIATAESLLAFLDKDLPSASEVDYTSSRAKYRRIVLETTTVAGQTVRNDITRRMMDLPVPLQQIIEAWLDVLASGVETPSEDSSERPLRTTFSEPPRSRETFVHPATPRSVVAATGDEVGPSTSRVVQEEPVGEEVPVVVPEPVVSPQLNSIHSSHLIQS >RHN62016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40075386:40077197:1 gene:gene24540 transcript:rna24540 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRLLQHSKVPFDQLPSSSSSSSSSSSYTKCVRYQSLACLRGDNIKVLPEKVERKPVVVMKATMTTTNNLTISQPALVPQGLSELLAEIVASIRNAMLVVLFRGAVIDRKITRNLHPQMLIEKAIMDCRFFTLFAVAGSLLGSVLCFLEGCVLVIKSYAHYLHMLSQPLNQGHLVHLLIEAIDMFLVGTALLMFGVGLYVMFVGSWTTGKQKESSGLLYIMKSPPRWVGMQSIEQAKSKIGHAVMMILQVGLIEKFNDIPMVTGLDLACFAAALLTSSATIFVLSKLNQH >RHN54880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13188234:13188968:1 gene:gene29977 transcript:rna29977 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRIHLHELCNNGNRQRNGYGNATTMVEIGDNSHNGEDDNHCDTHNGNAVPS >RHN57752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41488668:41491261:1 gene:gene33336 transcript:rna33336 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLTTQTQIITLRFNPFHRHKLHTTLASMSQAKSKRPICPSCSKPTRTCLCSRILTPPIPNSVNVTILQHSLECNHPLNSTRIAKMGLNNLTIATVSDVNFESRFFIQLFDPNSNSAEKGSFFREFEETHDLFYAKDSNFIDIDTDSASLKNDVIHNYTVENVNDEVKKIPFRRSCDDLTGGNGRPAITFTIGKYGAITSLSHIWMKQCESNELLTFDKILSCPEACEALSKGFLVKKFQRKQLNREEVEEYEEFELEVSSGSVLLFPSDNAVSVGELDVVGFEVKNLIVLDGTWAKAKRIYSENPWLNILPHLKLEVNEMSLYGEVRNQPKAGYLSTIESIVFALKAVGENHKGLDNLLDTFESMVGDQRRCKDERLSKLLPSCGSP >RHN74955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38135707:38137292:-1 gene:gene11096 transcript:rna11096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MISTMFDSSKPVLSAVASIMLMQTVANELIPRELLNFVQSGLSHLFCQSPTRFTVVVEEFQGMRRNHVFEAAEAYLGTKATVSVERVKAGKSEDHKKLEFNIDRNEEVSDVFEGISVKWKLICIQVDKSRIRSYSDDSSAVSEIRSYELTFHKKHKNKIFDSYLPYVIEIANQMKQGNMAIKIRSNNEYDDYEYKYVWNHEPVKFNHPMSFNTLAIDEGLQRDIMNDLDKFVSAREFYRRTGKAWKRGYLLYGPPGTGKSSLIAAMANYLNYDIYDLDLTNVEDNKSLKQLILDIPNRSILVIEDIDCNINLQNREEEKEVNGDNKVTLSGLLNAVDGLWSCCGEEHIIVFTTNHKDRLDPALLRPGRMDKHIHLSYCNFSAFKKLVINYLCITEHELFEKIEQLLGQVQVTPAEIAEELTKDCDATECLQDLIESLQAKKMIKEEMIE >RHN52003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30124077:30127234:-1 gene:gene36605 transcript:rna36605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation efflux protein MTDFHHHHQHRPHRLSVPPRTTTPNPNPNSTFLYSSPTLTPTPTPSKSKHRLSSSSSFSFKSNPNPKSNSKSISFIFLLLFSLRSLYSLFPFLRSSSPSFSLFPFSFLVSLLSFFLTLTFSLFSFSSSKHPFVKSKQKLLLFSFSSITNSQQRILICKSILLALVFLLRFSALRYCGTASMIIAEFMGTVAARRFQRNRRNSFGWVEIRGFLSLFLGLFMLSFGWDRIECFPFGGNGVDKCVKVWQLLLPFLAGFLACYEQCVSVDDYGSFKQLDRKRVRLITLFFTTIVLFVPAVISFFVYESGEDSVAFGNLVWPLANTVVFGVLLSENYFSSGGGGDDLLSFKDSKREFLVMFMCTLILELFYYPNISLWGLLICGLLLYVAVRDLDPFDSNGIGFEDESSQFLSEMVMKPIRHILSERKSRKIALFLLINAGYMVVEFVAGFMSNSLGLISDACHMLFDCAALAIGLYASYISRLPANNHYNYGRGRFEVLSGYTNAVFLVLVGALIVVESFERILDPQEISTNSLLVVSVGGLVVNVIGLIFFHEEHHHAHGMSGGSCSHSHSHSELHNDHSHHHHERHESNQEFISVSTDCKDISCSSDLGHHNHSSHEKKVEFLADNRSIQSFKHHNDDARKHKLHEHGHDHHNHTGQHDHHEHPHDHHSHTSHHDHHEHPHDHHNHHDHHEHAHHTGHHDHDHDHHDHNHHVDHHDHNHHAGHHDHSSDCHDHNHHDHQHHDADHHNHDALPVNKKHSHRHIDHNMEGIFLHVLADTLGSVGVVISTLLIKYKGWLVADPACSIFISVLIVSSVIPLLRNSAEVLLQRVPRAHEHDLKDSLSDVLKIKGVYGIQKFHSWSFTNTDVVGTLHLHVATDTDKISVKSQVSHLLHNAGIKDLTMQVECVR >RHN72740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10350487:10354768:-1 gene:gene8493 transcript:rna8493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine phosphatase superfamily MHSTTCSTVNSPFHHHLNHRSNIRNSNFRLRAKSSILIPKQDTRLKEPFSDSISRRLILLRHAESSWEHPSLRDHDRPLSKSGKEDAVKVSLKLQQLGWIPELILSSDAARTKETLKIMQEQVQELLDAEVHFVSSFYSIAAMDGQTADHLQKVICKYSRDEMLTVMCMGHNRGWEEAASMFCGASVELKTCNAALLESAGKSWNEAFVAAGFGGWKLQGILKPSS >RHN42315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37363526:37365592:1 gene:gene48743 transcript:rna48743 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGFSCEDHSEEKEREFTLIEETSVTTQNSIIMEVYHHHHHQRVDNNTDFFPVEHPMEPPDEDRPVKCPMPESSVISDERMHEKRNTESIRKRGEMSGSGQRTAGMDTDAPARGVRKRHHTLTHGSSGDIVMTPLMRMPHLPPLQSQNITIFQVLQQLDKFES >RHN74188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30026724:30029196:-1 gene:gene10203 transcript:rna10203 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSFGRMLDLVTTLFPTSPAAATFFPLFCERSRIILSFCFICKL >RHN66232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9935327:9936409:-1 gene:gene14176 transcript:rna14176 gene_biotype:protein_coding transcript_biotype:protein_coding MAADWSQLPRELLQLISQKLNRELYLIRSRSVCSWWRSSIPNYYQKHYLPVKFGQLSCQLFGSHTNVDAISYLIKHDIFLIKPPKHKQTLHQCPWLIRIGPNFDGKTQLLYPFLFNSYKPLPSCFNNVLDFNKLSVIHLRQMSYIYCRCCLKSYVATCQGEQPLVFLTTDLHGNPIMFRCGDDRSKTIPNMSANMSTFRGRYVCYFRGRPCMVDKTGRTVMIESDLSYHFIAEPVIGGESKFLVETSDFQLLLVDIYKNDDRVIVRIDVFRLDEKQKKWVKLTNLGDLVLFLESDYSFSACASDLGLGSGNCVIYNNGITLDFNMSVFHLDQGRISPLSDYPDHLKMFGPPPEWIAELHS >RHN81039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42827720:42830962:-1 gene:gene5033 transcript:rna5033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase MNTGLSMALLRPSSFMILLAYGALIVLASLTPCWYLMVTHIEKSVNLHSANIVSQLQSEIEYSAELLHPMKSSSTNLSTLLSSTLNSTNITFSDVHTKVAPLLFQALKTIPHLTQISYIGMEGLFFTHYNDGDQVLAMYSNSSSGGASNKTLYYIQHVNHDTGMVFGEAIISNNTINIDASWINGTNNNISHEFASLGTKLNNVSDLLFMNSARINKIGGISLGFSTKVITDYITRIVDRQGTKSYLATKDGKVIVKGIQNIRLMIFNDSVSIQAVNGNGDLIRNEGAVSCKDQAVGSSLNIHDTPYLIHCYPIDIMGIESVHVLAVPQNGSLIFNPSHKGKGLTLLIVMMVMIFIAILSFLFLNLGVTKREMHLCASLMQQKEATEQAERKNTNKSLAFATASHDLRAYLAGLIGLIEMSSKLVVTNSKLETNLKPSSELETNLKQMDNCAQDLLGLLNSILDTSKIEAGKMQLEEEEFDLSNLLEDVVDLYHPMAMKKGVEVVLDSCNGSVIKHSRVKGDRRKLKQVLCNLLSNAVKFTDEGHITVRAWTQKAKLQNSKTKTNHKSVMKHLSWLFNKKDKECEDIEAVNLAQQDPCLMDFVFEVDDTGKGIPKENHKSVFENYVQVKENSVGQVGTGLGLGIVQSLVSIFFFIYYSKIIVRKLSL >RHN55787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23803999:23804483:1 gene:gene31044 transcript:rna31044 gene_biotype:protein_coding transcript_biotype:protein_coding MFIDLHCNSTQTRHRLRGRESTQIQCHERVLYASASRPAAVTRAQVFPRRDVHGFLTSYASRPTVHGRREKEAEILLYRDQDSVWPRRGMNKR >RHN78463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15385356:15387329:-1 gene:gene2031 transcript:rna2031 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYPTLDELTNKISSRNLEKIRKLKSAMTRLTARVQKVREEIEHLMDDDEDMADLYLTRKLIGLSSPISKSGAENWFASSPTTKSKSVATFLSDENDVDELEMLLEAYYMQIDGTFNRLSTLRGYIDDTEDYINIQIDNHRNQLIQLEIFLNSAELSLAFYSVVTGILGMNIPYGWENNHGYMFKWVVIFTGIFSISIFLTIVASARKKGLVGS >RHN63615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52700925:52708627:-1 gene:gene26339 transcript:rna26339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative YLP motif-containing protein MDRQWRPNPNLNPRPIQYQTNLCPNCLTPHFPFCPNPSPNWPPPPPPPNPTINNYDSDFDRTFKKPRIEDDERRLKLIRDHGLNPPQFQHPPPPPPPQYHPPPPPPPPHIHNTPIYHPQQHHSEFNSPFHDPNFHHFQPQPVDNHIHNHNFNARESHHPYPYPNPYPNGSNNGFSDNNAQMEASRFYRPPLPTSPPPPLPMDPPMYFSAPKKPPSLFPVTSSAPHEPHPFPQPYFHTTKPEFSTAFPTEEPSKQYLGDAQPFSINPLAAEKPKFVDASQLFRNPLRTSRPDHFVIILRGFPGSGKTYLAKMLRDLEVENGGDAPRIHSMDDYFMTEVEKVEDSDGPKSSSSGRNKRPVTKKVMEYCYEPEMEEAYRSSMLKAFKKTVEEGVFTFIIVDDRNLRVADFAQFWATAKRSGYEVYILEATYKDPVGCAARNVHGFTQQEIEKMAEQWEEAPSLYLQLDMKSLFHGDDLKESRIQEVDMDMDDDLDDALVAAQGREADKAVVRPVKDGEGSIKDGKRWDAEEEHPTEVRELGKSKWSEDFEDDIDQTEGMKGNINALSGLIHQYGKERKSVHWGDQV >RHN70090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48682748:48684012:-1 gene:gene18637 transcript:rna18637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein FAM214 MLNLCDKLTDQVLNNPESTPIHTFFCNYDLSDMPAGTKTFLRQKITLTSSRSMSTNGKEIQTDSDTDAKFSRRCLVDEDCDTFLNGSSKINNNSLNNCIMLYALHLRFMCPLPKKHSRFVPTRNSDPPPSEARNLMHNEHERSFYLYDDMRVVFPQRHSDSDEGKLNVEYHFPSNPKYFDISS >RHN42817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41221181:41232821:-1 gene:gene49309 transcript:rna49309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSIWNYVVTAHKPTNVTHSCVGNFTSPQDLNLILAKCTRIEIHLLTAQGLQSILDVPLYGRIATLELFRPHGETQDFLFIATERYKFCVLQWDTEKSELVTRSMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCPKPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWSQNSLDNGADLLIPVPPPLCGVLIIGEETIVYCSANGFKAIPIRAAITKAYGRVDPDGSRYLLGDHTGLLSLLVITHEKEKVTGLKIEPLGETSIASTISYLDNAFVYIGSSYGDSQLIKLNLQPDPKGSYVEVLERYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRVVRNGIGINEQASVELQGIKGMWSLRSSTDDPFDTFLVVSFISETRILAMNLEDELEETEIEGFCSQVQTLFCHDAVHNQLVQVTSNSVRLVSSSTRELLNEWHAPSDYSVNVATANATQVLLATGGGHLVYLEIGDGILQEVKHAQLEYEISCLDINPIGENPNQSQLAAVGMWTDISVRLFSLPELNLITKEHLGGEIIPRSVLLCAFEGISYLLCALGDGHLLNFMLNTSTGELTDRKKVSLGTQPITLRTFSSKNTTHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELTIGTIDDIQKLHIRTIPLGEHARRICHQEQTRTFAICSLKYNSASAEESEMHFVRLLDDQTFDFISVYPLDTYEYGCFIISCSFSDDNNVYYCVGTAYVLPEENEPTKGRILVFSVEEGKLQLVAEKETKGAVYCLNAFNGKLLAAINQKIQLYKWVLREDGTRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAIEERARDYNANWMSAVEILDDDVYLGAENSFNLFTVRKNSEGATDEERGRLEVAGEYHLGEFINRFRHGSLVMRLPDSDVGQIPTVIFGTINGVIGVIASLPHEQYVFLEKLQSNLRKVIKGVGGLSHEQWRSFNNEKKTVEARNFLDGDLIESFLDLKRSKMDEISKAMEVSVEELAKRVEELTRLH >RHN77690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8675852:8679513:1 gene:gene1171 transcript:rna1171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II MVWLQPVTCPSSSSSCFVSGSSRCSLSSVNYFAPKPRKHHLICNASWQELAGVLLFSAIPFTAVKVIANSPLGESLQRKMEETKQLAVKNSSKFKAQAAKARKQSFWYGQGRPRWLGPISYDGYPSYLHGELPGDYGFDIAGLAKDPVELQKYFNFEILHARWAMLASVGALIPELLDLLGVFHFVEPVWWRVGYSKLQGDTLDYLGIPGLHLAGSQGVVVIAICQALLMVGPEYARYCGIEALEPLGIYLPGDINYPGGALFDPLNLSKDPEAFEELKVKEIKNGRLAMMAWLGFFTQAALTGKGPVQNIVDHIYDPFHNNLLGSLNSMKLH >RHN44402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4282626:4284887:-1 gene:gene38521 transcript:rna38521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MILKISFVLKSTLIIFSTISPKFILIIIYKSKGKTNTTHITNIVTTLINQITMSSVPFLFPQTHSTVLPNPSNFFSQNLLSTPLPTNSFFQNFVLQNGDQHEYIHPYLVKSSNSSLSVSYPLLLFSTAMLYQVFSPDLTISSSQKTHTNIPKNHVISSYSDLGVTLDIPSSNLRFFLVRGSPFLTASVTKPIPLSITTIHSIISLSPFDKKKTKYTLQLNNNQTWIIYTSSPINFNHDGSEVKSGPFSGIIRIAVVPDSNGEKILDKFSSCYPISGDANIKKKFGLVYKWQRKNSGDLLMLAHPLHVKLLSKSNNHGVTVLNDFKYKSVDGDLVGVVGNSWNLKTDSVNVTWHSNKGVAKESNAEIVSALVNDVKKLNFSSITTNSSYFYGKIVGRAARFALIAEEVSYPKVIPIIKNFLKETIEPWLDGNFKGNGFFYEKSWGGLVTQQGINDSSADFGFGMYNDHHYHLGYFLYGIGVLAKIDPSWGQKYKPQVYSLVKDFMNLGQRDNKNYPTLRCFDPYKLHSWASGLTEFEHGRNQESSSEAVNAYYSVALVGLAYGDKDLVATGSTLLALEVNAVQTWWHVKAENNLYGGDFAKENRIVGILWANKRDSALWWASSECRECRLSIQVLPLLPITESLFNDGVYAKELVEWTVPSFKNKTNIEGWKGFTYALQGVYDKKNALKNIRMLKGFDDGNSFSNLLWWIHSR >RHN59121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7702271:7708096:-1 gene:gene21111 transcript:rna21111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S54, rhomboid MAQEQPPLQIHSTTNNNNNMVHPVSLPVHHNHAREVKHYKKWFPWLLPFFVVANIVVFVITMYVNNCPKNSVSCIARFLKRFAFQPLKENPLLGPSSLTLQKMGALDVDKVVHGHQGWRLITCMWLHGGVFHLLANMLGILFIGIRLEQEFGFVLIGLLFVISGFGGSLLSALFIQSNISVGASGALFGLLGAMLSELITNWSMYDNKIAALFTLVIIIVVNLAIGILPHVDNFAHIGGFLAGFLLGFVFLIRPQFGWVNQRYARVEYSPTRATPKFKKYQCILWVLSLIILIVGLSGGLVALLSGIDANDHCSWCHYLSCVPTSKWNCHTEAAYCLSNQLGSQLNVTCSSNGKSSTYLMQDPTSSQIQQLCTQLCN >RHN43681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47676578:47678335:1 gene:gene50295 transcript:rna50295 gene_biotype:protein_coding transcript_biotype:protein_coding MSMILRAWNWYQNSLSVHPVRTQVATSGVLWAVGDVTAQYITHSAAASSSSKKRLQLSATKAADDKFVIDWRRVAVTSMFGVGFVGPVGHFWYEGLEKFISHKLQLMPQTARSVATKVAMDGLIFGPVHLFVFFSYMGLSAGKTIPEVKEDLKRNYFPALVLEGGVWPIVQVFNFRYVPVKYQLLYVNLFCLLDSAFLSWLEQQKDAAWKKWFQPFHSANGKGGKL >RHN39029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3633286:3634716:1 gene:gene45014 transcript:rna45014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MAKGTHIAVVSIPLFSHQSSIIEFCKRLIHLHHHIHVTCIFPTIDAPIPATLKLLESLPSSIKCTFLPPINKQDLPQDFIGENDLATAQSMPCLRNLLRLLCSISTCTPVSALVVDPFASQALEIAKDLNLLSFIYFPLSAMITSFNLYFPTLHEQVSCEYKDHIDPIQIPGCLPIRGQDLPPEFFHDRSCVPYKLFLQHCKNSSLAHGFLVNSFSKMEASTGRALQEELNKTTKLVYMVGPIIQSGSNCSEESNGSICLKWLENQTPNSVLYVCFGSGGTLSQQQINELALALELSNQKFLWVLREPRNSKDVDYKIAKIGNDDNDLLKFLPHGFLERTKEQGLVVPLWAPQTKVLSHTSTGGFLTHCGWNSTLESIVSGVPMITWPLFGDQRMNAILIVEGLKVGLKIKFNENGIAEREEIAKVVKDLMLGEKRSEIRQRMEELKNDAACALAEDGSSTRAFSEFGTQIGNLLL >RHN50548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8053747:8056443:1 gene:gene34846 transcript:rna34846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MVGILFQFLGFYKLATSINNVIAHNHLHFFRRQKKVMERKTLASLCFFLIVLLAAQVVAQIVPCKTRNRNFKSACIAVSGDNEECDHDCRRVGGWYGGSCKNQKCVCDC >RHN81295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44632031:44633680:1 gene:gene5311 transcript:rna5311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAPKGEKKPAEKKPAEKAPAEKTKAEKKIPKEASSADKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >RHN69120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41184929:41185278:1 gene:gene17549 transcript:rna17549 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHNLYCSCDLPFLYVVCLCVIIELVFTEDALQLDPVSSSSFFFFFFFVNSLRLAFASCFYLQNE >RHN55446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18479471:18480366:1 gene:gene30627 transcript:rna30627 gene_biotype:protein_coding transcript_biotype:protein_coding MMHTKKNLTGITGLSEAKVDKICEAAEKLLSEDSSVCNEALLLIGLRMQLRLLKLESEEHLEGRMLVSSDEDGVEVSSEMLEGNALWRTEDDWESSYIIDVLSEAQTDNNLVWQSLECPVSVSVFEDLEEWCSDLTTCSRSERRLLFDRINSGIVMIHEQSTDPQPWVRNAAKHFGPTRINGLQDALFQMLGNQGKVEDDVLRKESRWLKLSDDIDVIGIEVERMILDDFVAEIAGI >RHN61224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33987777:33989880:-1 gene:gene23664 transcript:rna23664 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glb1-1 MGTLDTKGFTEEQEALVVKSWNAMKKNSAELGLKLFLKIFEIAPSAQKLFSFLKDSKVPLEKNTKLKPHAMSVFLMTCESAVQLRKSGKVTVRESSLKKLGANHFKYGVVDEHFEVTKFALLETIKEAVPEMWSPAMKNAWGEAYDQLVNAIKSEMKPSS >RHN82513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54367889:54368880:1 gene:gene6684 transcript:rna6684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclear pore complex, NUP2/50/61 protein MLNAYFLTAIFVVLKFGDIEVLSVMEDAKNALQSSKKRAAERELTRDTPLDDEEDDTDLEAGTFKKASDEVLATKRIIKVSRRQQNNSDPSSNPVAGIRLAAPTVPTAQPGEEVANAMSWGASVRPEHQDSLFKQWGASVRPEHHDGPFKQGTVSWGDSVHPKHHANTINEWGRVYWDGSKQQSH >RHN62086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40628646:40630350:1 gene:gene24619 transcript:rna24619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MGASLSELEQPPQSQPQQTHQHSQLQPHQHRQNEPTNKEELRLPSRRENLLLSPQYHTKSPSSKKAVQNSTVVKCDETQSATKPLIKELSLSHNYEHILKDADSPVDKSSREKMCDQLYAGVFLHHKTKKYWVEKNSKANCFMLYARALSITWAEDPNYWKWIQQKDVSEGTTEVAELKRVCWLEVHGKFDTRKLSPGILYQVSFIIMLKDPAQGWELPVNVRLVLPGGKKQQHKENLMEKLRARWIEVPVGEFVVSEKDGGEMEISMFEYEGGMWKQGLVIKGIAIKPKIFT >RHN41380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29650246:29650662:-1 gene:gene47698 transcript:rna47698 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGISKESQNESLQNMTMVEIKASNECYEKSNSTGSSNLWKFRQNMNLRSNSDHKDSLVLLNASDPKNSRKPKVENIVNKKRKDEKHKNGLSAYEKLYVSNKTRKDSNKRRSFLPYKRQLLGLFTNMNGLSRNLHPF >RHN65442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2275180:2281866:-1 gene:gene13281 transcript:rna13281 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSYRQGDAEYFADEYEMEDVEDEIDIDGESINREGGDAESDVDEYDYSSSKAVDTTAAQARRGQDIQGIPWDSLSITRERYRQTRLDQYKNYENILHSGDRSGKDCKSTDKGYSFYEFRRNSRSAKSTILHFQLRNLVWATSKHDVYLMSQFSVTHWSSLTCTSSEVLNVSGHVAPSEKHPGSLLEGFTHTQVSTLAVKDNLLVAGGFQGELICKHLDRPGVSFCSRTTYDDNAITNAIEIYDSPSGAVHFTASNNDCGIRNFDMEKFQLSKHFRFPWPVNHTSLSPDGKLLLIVGDNPESMLVDSQNGKTIAPLSGHLDFSFASSWNPDGVTFATGNQDKTCRIWDMRNLSKSVAVLKGNLGAIRSIRHSSDGKYMAIAEPADFVHVYDVKSGYEKEQEIDFFGEISGISFSPDTESLFIGVWDRTYGSLLEYGRRHNYSYLDSMI >RHN81700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48255567:48258194:1 gene:gene5781 transcript:rna5781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVLYRLASCTTCSLYLFGVCVLESILPIREFHLYFVVEIMMRNYNINTLNALLVLFSIVGFNLATNNGNTKCKERERRALLTFKQDLQDEYGMLSTWKEGSDADCCKWKGVQCNIQTGYVQSLDLHGSYRRRLFGEINPSITELQHLTYLNLSYLNTSGQIPKFIGSFCNLRYLDLSNSGFDGKILIGSNILFLCVKSGLYQIPSQLGNLSQLRHLDLSDNELTGEIPFQLGNLSLLQSLLLSSNSNIRINNQIEWLSNLSSVRILDLSDVQNLNDSSHHTLQFLMKLPSLEELHLSNCSLSDADILPLFDSHVNFSTSSLTVLDLSLNQLTSSSMIFDWMLNYNSNLQHLDLSNNLLRGTIPNDFGNIMHSLVSLNLTSNYLEGKIPKSIGNICTLETFDATDNRLSGQLDFMTSSNYSHCIGNLSSLQELWLWNNEISGKLPDLSILSSLRLLVLNVNKLTGEIPASIGSLTELQYLYLGGNSFEGIISESHFTNLSKLEKLDLSDNSLTMKVSNDWVPPFQLLTLGLSSCNMNSRFPNWLQTQNELSIISLSNVSNISPTPLWFWGKLQTLVGMSISNNNITGMIPNLELNLTNNTMINLSSNQFEGSIPSFLLQAGALFLSNSNILEILDLSNNQIKGELPDCWNNLTSLKFVDLRNNKLWGKIPFSMGTLTNMEALILRNNSLSGQLPSSLKNCSNKLALLDLGENKFHGPLPSWIGDSLQNLEILSLRSNNFYGSLPSNLCYLTKLQVLDLSLNNISGRIPTCINNFTSMS >RHN78576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16397472:16397845:1 gene:gene2194 transcript:rna2194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MTPALIGNPGSHYVCFVVNLKSQKLQFMNNLIGETLHMKNGEATMYKKMFDVWLKEVEAFVTELHKKRKIKMSFQFNTFKWETPRVPTQTDKGSCGVFCMKFLAEWDGDNTQMESFKNWSKMR >RHN44908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9732929:9735391:1 gene:gene39085 transcript:rna39085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & lipid binding HD-SAD family MEHHSEGSVSSDTHKRKKCCNRHTSNQIQRLEAVFKTCSYPDEKQRLQLGRELAMDPTKIKFWFQNRRTQLKTQNERDDNCTLIQENDKIRSQNKAMREALQNVICSTCDGQKLRIENARLKEELVRVSSIAAGYTGSSSTLPNVPYQPAGLSHKEKSLMFDIATNAMQELIFLMETNEPLWMKSNNNGRDTLNLETYETMFPRTNNQLKNPNIRIEASRKSGDVIMNALTLVEMFMDPIDFVEQHKWMELFPTIVTIAKTIEVISSRTKDGLDGSLQLMYEELQVLSPLVPIREFYFLRYCKQFEEGWAIVDVSYEFPHNKHFASKFRGHRLPSGCFIL >RHN52417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35116299:35122966:-1 gene:gene37093 transcript:rna37093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MVVNVTIKKERRGTDTRYGFTGNLYKALTDKGIHTFIDENDLRRGDEITPALLKAIDESRIFIPVFSIKYASSSFCLDELVHIIHCYTTKGRVVLPVFFGVEPSHVRHHKGSYGQALAEHKKRFQNDEDNIKRLQRWKVALSQAANFSGYHDSPPGYEYELIGKIVKEISNKISRQPLHVANYPIGLQSRVQQVKSLLDERSDDGVHMVGLYGTGGLGKSTLAKAIYNFIADQFECSCFLENVRENSASNKLKHLQEELLLKTLQLEIKLGGVSEGISHIKERLHSMKILLILDDVDDMGQLQALAGEPDWFGLGSRVIITTRDRHLLTSHDIERKYALEGLCRTEALELLRWMAFKNNKVPSVYEDVLNRAVSYASGLPLVLEVVGSNLFGKRIEEWKGTLEGYEKIPNKKIHEILKVSYDALEEEQQSVFLDIACCFKGCGLEVVEDILRAHYGHCITHHLGVLAEKSLVQICTYHSGSIYKVTLHNLIEDMGKEVVRQESPKEPGERSRLWCQDDIVHVLTENTGTRNIEMIHLNCPSMENVIEWNGKAMKKMTNLKTLIIENGQFSRGPDYLPSSLRFCKWNGCPSKSLSSCILNKKFNYMKVLKLNSCQYLTQIPDVSGLPNLEKLSFQFCENLITIHNSVGFLNRLEILDAKYCIKLQSVPPLQLPCLKRLELAMCKSLKSFPELLCKMTNLKDIWLNETCMEFPFSIQNLSELDRLQIYQCGMLRFPKQNDKMNSIVFSNVNHLRIEKSNLSDEFLRILLMWCVNVENLVLSESNFKILPECLSECHLLKNIYVDGCKFLEEIRGFPPNLKIFHAKDCESLSSSSRRMLLSQQLHKAGHTDFYFPTGSEGIPNWFEYQIKVNEPISFSFHGKIPCITCIILNPESVEIPQVNLFLNGDECPLHWELNYENVLLPSKHTFLFDLGLKSRIYDNFNHMPEMIRTIKKNELNHVEIDWEKDDLHLSEDEIKKLRRLQMGIHVSWTEETDTEEEIDTEEDDFSDDEIFTESSSQMVIHYQEKSNTEQIDTEEDDFYRSAQMGIHVVKEKSNIKDHDLSEDEIFVPDEIKKLSSAQIGIHEKSNTEDVIFTNPNRTTTTTKPYYSQALFPNPPRKIRKFRPTLKKQRFVEVSKTESLQRRSLEETKSDGVKSLEVSETESLQQQYLAVVSGMRNLVLTETKEKHRFVEVGVSETETAHRWSLEETKSDGVKRFEVSETESLQQQDLALLSSGMQNMVLTDKKEKENNMTSMQEVYSELNGLDLEGHIQKICQVETTMVGRVYQT >RHN64744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61472295:61475424:1 gene:gene27595 transcript:rna27595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MEQDSPLLLCLKFCVFSNPSIRTSIFPPIKINHFKSNALSFKFPTHTDRYQSNEKLKAMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >RHN61657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37229081:37229965:-1 gene:gene24144 transcript:rna24144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MFEQNIIHKMRIYNPDQATLEASRGSLLSLVIGVPNEDIQSIANDISSANNWVQNNILKYTPGVNFRYIVVGNEINPSNDPTSQFVLRAMQNIYSALASANLQNQIKISTAINMGLLGSSYPPSAGAFSASAIPYITSIVGFLVNTEAPLLANVHPYFAYIGDPQNIPLDFALFKQQGNNAVGYQNLFDAQLDSVYAALEKVGGSSVKIVVSESGWPSAGGDVATIENARTYYSNLINHANSGNGTPLRPGQAIETYLFAMFDENQKPGAATEQHFGLFNPVGTSPKYILSFNK >RHN54031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6363565:6370483:-1 gene:gene29007 transcript:rna29007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative suppressor of white apricot domain-containing protein MWHEARRSEKKVHDMMDAARKRAQRRAVYLAKRRGDPMQSIQVVGSRSRAYRDDALYQATEDQQGLIPWNGKQDILIDRFDGRALLDFIRDSSFRRVQEKSEEEEELEEFVNFERYRDLIKHRRRGFTDEDALQHVNLEMEAKAAAPFASDRSNVSQPAATKGSYSQVGFSYDGNGKEESQISDDDDEEDEDDEDDEDFNSDDSNDEGMEIIAKEYGVKRYGWLVYMDKKAKEEQKRQKEIIKGDPAIRKLSRKERRKASQVEREREREATRIPGTRVLHHDPYRESRQSPTYEAYSRSRRSRSRSRSYSPSYARRYSRSSHFDDILRNKSKTPKIEYITEFGGSGEADGTRREGFSPPRSPTSRVDTLNRSSSGCILEALHVDPASGVSIDKGAKVLKPPVSTPSGSALAKLKASGSGGILKPQPGEKKETPQERLKRIMNKQLNKQIKKDTAAEQAKKREQERQRQEKLAETSRLSRYRRRSRSRSYSRSPPRRYRRSRSPSRSRGSRRYHSSSRSRSRSPSHTRSRSPSRNRSPPRSRSPYSRSPRLRNRSRH >RHN48802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50393691:50401744:1 gene:gene43555 transcript:rna43555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MTRLTEKLRLSFYIPTRFLSLLSLSSTLHLCNSIARFLHSQTLLPFFFSMDNNLFSDNVDDFSDDLDSSSYSLRLNRRLPDSDHLDFALDRVYLLPYRWWIDAEGEGDRVEGVLYTVCSNDDSESEILLHLKKEEDSEKIKSLEAGFLGRHYALVPEGVWLRALKRYNDFNNAAKDFVRLFYAEDCLPDLFPLQLRIFVSWETSSLVAKISEKENVPEFYKKACDIFNSAYDSLYIWDFSGQTTQLLLNDKPRVPNDSSGQPGKEVLLELQVHGLADSMKGSQSNGMVTDRSQMECTSNGDPVTMNGSTGAVIPYVTANNYFQGSSYRAVRSLGLTGLHNLGNTCFMNSAIQCLAHTPKLVDFFLGDYRREINYENPLGMNGELALSFGDLLRQLWVPGASPLAPKMFKMKLANFAPQFSGYMQHDSQELLAFLLDGLHEDLNRVKRKPYHEVKDADGRPDGEVAEEYWRNHLARNDSIVVDSFQGQFRSTLICPFCKKVSITFDPFMYLSLPLPSTTTRTMTVTVISTDGVTLPSAITVTVPESGTLKDLIGALAASCSLRDDETLLVAEIYTNKIFRVLEDKSDSLADIRDLDKLVAYRVQKYTEDSPVVVFRHELVVEIYKGRFDSKIFGSPLVARVSGISCGDDLRREFLNLMNPFLMQNSEETKDEYDKDEDVDGDDTKKLNEVDELGETDNSEAIESDAVSNSGAEDDIHLWTDFEFYLLLPGRGGTYKITSKEPLPAAMLSGNLEVAVVWSDKMLKKYDMSLLDPLPQVFRPQLITKRTQESISIYKCLEAFLREEPLGPEDMWYCPTCKEHQQATKKLDLWRLPEILVVHLKRFSYNRFFKNKLETFVDFPTNDLDLSTFVAHQTCPSPNCYMLYAIICHYGGLGGGHYTAFVRYGHDKWYEFDDSRVAPADEETIKTPAAYVLFYKKVQMKTKTLGSAV >RHN45978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27480449:27481115:1 gene:gene40408 transcript:rna40408 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQVYVLMMVNKVKDQLCFEYQCYWQLQKKKLAFFFCYSDDKVDIIC >RHN72412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7421215:7422232:-1 gene:gene8119 transcript:rna8119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acting on peptide bonds (peptidase) MLALMAEVTAESPNTILPEELMIEILSRVESSNPQELRCVCKLWKSLVFDTQFLENHLIRSFTEITVLLVTAFDKLKSFKTLQEEEDDEEAVDEEEDDEDAEEEEEEENGDEEEEDGDDDDDDVDVDVDVDDDDDDDDDDDDDDDDDDDGDDDDDDDDDDDVEGEDVEEEEPVMIVIDKLRQEREVSPLDNLLKILKYLKGKFETIRDDIQAVEDRVKCLQNFLLAYLKSSKSSSS >RHN66592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14446022:14447628:1 gene:gene14611 transcript:rna14611 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVKLATLAVFMLTQFCLIVQTKNVEEGQCPFAGRVCSQYESNACGDSEECICVSEWSHYDGGICKSRN >RHN82323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52820934:52823741:1 gene:gene6479 transcript:rna6479 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKRFMQLVEEKKKKIMERKEAPLKWEQKLEAAAKAKADAEAKEKKLKTAKHKKRSGSDTDSDRDSDDERKRASKRSHRKHRKHSHIDSGDHEKRKEKSSKWKTKKRSSESSDFSSDESESSSEEEKRRKKKQRKKIRDQDSRSNSSGSDSYADEVNKRKRRHRRRRPSKFSDSDFYSDEGECSDRKRGHGKHHKRHRHSESSESDLSSDESDDIHRKRSHRRHHKHHRRSHNTEVRSSDSDYHGHGGRSRSSEKSLDENSEEESKKSLHKRSGHHHHHRRRHCNKHEHHLDDERNHSLHLSPKANGKHEEELAKIGT >RHN70577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52443855:52444178:1 gene:gene19170 transcript:rna19170 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNVHLKNTDSTIDLTHHHVSVQEAFELCISHNPKTIISCHSHNRFHIDALICYRGFLRFTAGRIITKKTLFFIFATITNRVDPNHIQRIHDPFRIGASDLAT >RHN43845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48906519:48907876:-1 gene:gene50475 transcript:rna50475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S9 MEQVQCFGRKKNAVAVTHCKRGRGLIKINGCPIELVEPEILRFKAYEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAYYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >RHN42063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35160090:35163049:-1 gene:gene48454 transcript:rna48454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription initiation factor IIE subunit alpha, TFIIEalpha/SarR/Rpc3 HTH MIEPYNKLVKLAARAFYDDPTSKGDDQPKTGRSDNRGIDVVILDALTRKQWVREEDLAKDLKLNTKELRRRLQSFAEEKIITRDQRKETAKGAKIYSAAVAATADATKGKMGEEKVKLHTHSYCCLDYAQIYDVVRYRLHHMKHKLKDELENKNTVQEYICTNCGKRSQ >RHN55835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24588990:24593882:1 gene:gene31105 transcript:rna31105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MVSATSSLPSKEEVELEQKWVDNGNSRNAKWWYSTFHTVTAMIGAGVLSLPYAMAYLGWIPGTLMLLLSWSLTLNTMWQMIQLHECVPGTRFDRYVDLGKHAFGPKLGPWIVLPQQLIVQIGCNIVYMVIGGKCLKKFMEIACTNCTQLKQSYWILIFGAIHFFLSQLPNFNSVASVSLAAAVMSLSYSTIAWVACLAKGRVENVSYSYKGTSTSDLIFRIFNALGQISFAFAGHAVALEIQATIPSTPEKPSKIPMWKGAIGAYVINAICYFPVALIGYWAFGRDVEDNVLMSLERPAWLIASANLMVFIHVVGSYQVYAMPVFDLIERMMIKKWNFPPGLPLRLVARSSFVAFTLFIGVTFPFFGDLLGFFGGFGFAPTSYFLPSIMWLIIKKPKRFSINWFINWAAIYIGVCIMLASTIGGFRNIIADSSSYSFYT >RHN39477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7416979:7417545:-1 gene:gene45502 transcript:rna45502 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKQQQSQKQICNTGISQRPANEKPSTTTRKRTNQPNLSPPKAPTTLQKVRNGSDDLREGGFRLKGEQNHTKGRSWRGGGPTTPPSGHESFEEQLSTSTTLRTGTLQTRPKDTHRNYDGEAMTAQVQENADGRRVRRWCGEQLSTHHQHRSTLRWGRQLFTHNFIIPKLKDSFANLTLLFFKTIKNK >RHN46019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27786186:27786455:1 gene:gene40455 transcript:rna40455 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSERNEPGIGVVEAEAERRKKKGRKEGFRVLLVDGSVIYLDLGSFVGLLNNSINLTQNFRKLLFSFSKLLILLTQHELTPAQYFSA >RHN42913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41894543:41901015:-1 gene:gene49419 transcript:rna49419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase-like ATPase domain-containing protein MATPKEHVEVIRRTKFSIGGNPNPLTEDLHHAVKNLSAELYAKDVHFLMELIQNAEDNHYNEGGKPALEFVITSDDITATGAPATLLIFNNEKGFSPKNIESICSVGRSTKKGNRSSGYIGEKGIGFKSVFLVTMQPYIFSNGYQIRFNEKPCPHCSLGYTVPEWVEEKPTIVEIKQIYGVGKDLPNTTIVLPLKPDKVDPVKQQLSSVHPEVLLFLTKIRHLSVREVAENPTQNTITAVSISSEINFVTRKNMNAESYTLHLSAEENSNAEKECSYYMWKQKFPVRSENVVERRTDVEEWVVTLAFPNQERLHRGKSSPGVYAFLPTEMVTNFPFIIQADFVLASSRETILLDNKWNQGILECVPSAFMDTFKTLVVGSDQAPVSSLARMFKFLPIESSPFEKFNHVRDKIKAKLVNENIVPIETYTKQKHFYKPGEVSRLLSEFWNILSKARDEGVYLLNLSSHDGRKILSSSFDKSEYDNVLNFLGVKPVTVDWYAKCIQSSNLVDGVSEDLYLQLLLFLAKNWSSRFKGTNINSIPLIKYVASDGTPSSFSVYECTMHAKRVVMTDSSQSNACSWLINWNKEFSCAGNRFFMPESTQKAILRLAQKQTLMEWLANDVSVTNLNVYSFANVLCSSLKNNSKHAITYAHFLYHSLSMKYLSKREVDSLCSSMPLVDNYGCVIETRKGVLVPANVSKWADLIVSNPWRKENYVELGKEYLNTSSYAGQFTGSGKLIDFLTTHVEASDIPHISPPNAGFSAVDTPLTKNNAFLLLDWIRNLKHRGVHLPERFLECIKDGSWLKVIVNGYSPPSKSFLIRSPLGKILQSGSVLVDIPLIDESFYGDRINKYEEELKTIGVMSSCEEACKFIGRELMSRASSFTLSKNHVLLMLKFIQYLRRSLLPLDKFVNSIRDEPWLKTSEGLRSPVGSVLNDSGWKVASQISNIPFIDQAYFGEEIYSYKEELKLLGVVVGFNRNYQIVIKHLSPSNLASLTAEAVLLIMQCIKFLDDPSKILSSLKGTRCLKTNMGFKIPSECFLYDQTWGCILDVFNALPVIDHKFYGDNIFSYKNELKKIGVVVDFGDAINIFASLFKQKASQASFNQENVMSFLSCCRLLKGTVYRFPSDFSTIIHNQKWLYTKVGCYACPKQCILYGPEWKSISSITCLPFIDDSDKFYGTAIHEYKEELKNLGVVTELKHGVRFVPKCLNFPSDPSTITPESVFSLLECIQSLYEEHKLSIDDEFRKRLSRNWLKTHAGYRPPGMCLLFNSKWSSFFNPTDGPFIDANFYGPKIASFQKEFNAIGVTTDLEKGCSLLAGHLEILSDSETIAKIYRYLSEYNWKHEDKAAKKIWIPNGTEGGQWVRSEECIIHDPDKLFGLKFYVLEDIYDGKLFPFFTFAMKVRAKPSLDDYVDLWNEWESSLEELSYDKCWKFWMFILKHLGTNTEKKLSERLSKLPVTTGSKEIFLLDKKDVFIPDNLHLKKLFEQEKIFVWYPQQDFGPSSISKLYDIYRKIGARNISESLCKEESSLLNDGVEMVQVDHNGILFNLKGLIKLILGFLACSSLKMEPDKRHEAVQGLLNLSFLETKVPVTVSYNLSLSSGDIITKKDDKMVRWERQSSKFFIQKLDEPRGNALKYATYFSETISEGVLSENHDFVPALSELITLGFVLKFKNEDIEFLMESKNMQIFWEDEKLLSSAFPSD >RHN60680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29353335:29354112:1 gene:gene23031 transcript:rna23031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-coumarate--CoA ligase MKLFLYNNSGYYNNLEATKQTLGNEGCVHTGDLGYFDEIGQLYVVDRMKELIKYKGYKVAPAALEDLLVSHPEIQDVAGEVSIAYVVRSPNSSLSEEEIKKFIANQVKKS >RHN58603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3155918:3156169:1 gene:gene20527 transcript:rna20527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock transcription factor family MLLSELSHMKNLYNDIIYFIQNHVSPASPFEKRSNNSAAILKLVELDSSSPQLPNDKDCNSSSVKLFGVPLCGKKRVHPSNLD >RHN60918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31595654:31596888:1 gene:gene23313 transcript:rna23313 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAMTLVLLLLLVLSLFTTVLSEEVETLPATYSPTHIHMTLPSTGRRMLGFAGVFEEGVGATVEKHGEEIVKHAKDAVDVAQEVSSSYNPTSSYPLASYIITVIMVYVLYA >RHN69278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42282406:42282678:-1 gene:gene17723 transcript:rna17723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase (ATP-hydrolyzing) MRESPFNKKSSGSILDRAANKDTNQIEDLSAGSASNSPIAKNEVVEIAPQPARAKPHGANPNQNKYVVSGYESDNDSDEYAELSDFVEVN >RHN76701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:529070:530380:1 gene:gene67 transcript:rna67 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase MIWINFVVLHASLNQIIRAQMFITPVGSRLVISKKERKLFLIVPGDDSKRRLEQMASLATALTATKTEFSNELTYTAGMALGDANSPALERGGMQRLSVNVDRWSRVVFCMFSKEDTKALNLCRRMMERGECPPLTVEADKSIKESTIITEYVGDVDFLVNREYDNGDSIMTFLSASTLSIAGHLPR >RHN50181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4898967:4903148:1 gene:gene34442 transcript:rna34442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XV family MFSSSIFIKWSFFLLFLSSSNDAVSSFSDKSTLLRFKASLSDPSAVLSTWSSTANHCSFYGVLCDSNSRVVALNITGNGGVEDGKLISHPCSDFYKFPLYGFGIRRSCVGFKGSLFGKFPSLISELTELRVLSLPFNVLEGFIPKEIWNMEKLEVLDLEGNLISGSIPLGFEGLRKLRVLNLGFNKIVGMVPSVLGDIDSLEVLNLAANGLNGSVPGFVGKFRGVYLSFNQFSGVIPEEIGENCGKLEHLDLSGNLLVQEIPKSLGNCGGLKTLLLYSNLLEEDIPAEFGKLKSLEVLDVSRNTLSGHIPRELGNCTELSVVVLSNLFDPVGDGEFVTLNDELNYFEGGMPEEVVSLPKLRILWAPMVNLEGGIPTSWGACGNLEMVNLALNFFTGEFPNRLGLCKKLHFLDLSSNNLTGELSKELHVPCMSVFDVSANMLSGSVPDFSDNVCAPYPSQNGNPFEADDVMSPYASYFSSKAHERTIYASLGGNGLSVFHNFGQNNFSGIQSLPVVRDRMEEKSSYTLLVGENKLTGPFPTYLFEKCDGLDALLFNVSYNRLSGEIPSNISSMCKSLKFLDASKNQFSGQIPSTLGDLVSLVSLNLSRNGLQGQIPTSLGQMKVLKFLSLAGNNLSGSIPTSLGQMYSLQVLDLSTNSLTGEIPKFIENMRNLTNVLLNNNNLSGHIPAGLVNVTTLSAFNVSFNNLSGYLPSNSSLIKCSSAVGNPFLSSCRGLSLTVPSANQQGQVDESSMTSQTTGKDSNNGFNAIEIASITSASAIVSVLIALIVLFFITRKWKPRSRVGGSVKREVTVFTDIGVPLTFENVVQATGNFNASNCIGSGGFGATYKAEISQGILVAVKRLSVGRFQGVQQFHAEIKTLGRLHHPNLVTLIGYHACETEMFLIYNYLPGGNLEKFIQERSTRAVDWKVIHKIALDIARALSYLHDQCVPRVLHRDVKPSNILLDDDCNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKVLDPSFSSYGNGFNIVAFACMLLRQGRAKEFFATGLWDVGPEHDLVEVLHLAVVCTVDSLSTRPTMKQVVKRLKQLQPPSC >RHN69780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46212782:46216422:-1 gene:gene18294 transcript:rna18294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2,6-dioxo-6-phenylhexa-3-enoate hydrolase MKRIYLNCGQLGLTPPTSTTPHSSTHSCNKRPSRFMIFASSWLPNYASLNNTFIASASSSLGGSEQLLDVGSKKKRKEIAGIDQDELVDPKLLAEPDSCFCEFKGVHIHHKIYEYDAESNSQTQINKLCLPMILLHGFGASVFSWKQVMKPLAEATCSKVLAFDRPAFGLTSRVNLTSGNGDTKPLNAYSMAFSVLATLHFFDLLKAEKAILVGHSAGSLVAVNTYFEAPERVAAIILIAPAIFAPLTAPSFFKESGEDNQRKEDNSSVTRNPIVGLYKLLSKIIKYIAKAITEMMKPMINILNSLYKKLLSSILRSSPAIMLVRMAIDKFGAAAVRNSWYDPKQVSEHVLSGYTKPLRVKDWDRALVEFTAAMLLDEESNTKPELSKRLNEISCPVLIVTGDSDRLVPSWNAERLSRVIPGASFEVIKQCGHLPHEEKMEEFLSIVENFLRRLAGDSNKQDLQPVT >RHN74091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27436989:27438279:1 gene:gene10066 transcript:rna10066 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIITRESSKRRANRFIINVTTLMTVMVKRATLKLKAAPMTSEVKSPKKLLKNISNKAMPFIEKMKKKKKGKLEWGDGGVWQKTILMGDKCEPLDFSGVIYYDNKGKQVNEFPLRSPRATPVPGFFIGQKVQ >RHN40152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13674842:13675264:1 gene:gene46263 transcript:rna46263 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSGPYVGFVAYLTSASILVFVINTLLVSTFLYLHKSFMLFLLYKASFEIPSLCGSGCLLLVKHIVFVFVWREVSWPTMNPNALY >RHN82396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53403002:53404676:1 gene:gene6559 transcript:rna6559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MFYLAPNQSEVKLFFGCDSTKLPRELQRNTIGCSEENKTSSVVALYGDDKNASLVSKNCRDEVVYAMVENVVKGGIEESLRNGVRLNWIASDCNECNSTGGRCGFDKDVYSFRCYCTDRVHSAKCDTVAVRRKFQRVRIVIIVGSEAGVAFGLLMILVCWFRTKIFPPTFLLFGKENPTHQIIENFLKEHGPLLAARYNYSDVKKITNSFKSKLGQGGYGSVYKGKLHDENTVAVKVLNESKGDSEDFINEVASISRTSHVNVVRLLGFCLDGSKKALIYEFMPNGSLEKLIYEEKNPLTDDHQLDCKTLYDIAVGVARGLEYLHRGCNTRILHFDIKPHNILLDEDFCPKISDFGLAKVCPRKESIVSIFVAGEHQDILLQSCSPEILTGCHINQMFIVME >RHN75088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39276379:39277498:-1 gene:gene11242 transcript:rna11242 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRIFSCNFWFKNIGFSCFWVQDMGFFHYNIQFMHHWFHGRHSAWTIKERIIAYPPPPPKHNIKITSCPSHGRFDSHTRHGKGTTRNLNTE >RHN74133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28918873:28925570:-1 gene:gene10127 transcript:rna10127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MGTSSENAAAGGRGTAVPKEVDYANYFCTYSFLYHQKEMLSDRVRMDAYFNAVFENKHHFKDKVVLDVGAGSGILAIWSAQAGAKKVYAVEATKMSEHARALVKSNNLEQVVEVIEGSVEDVTLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPTGVMYPSHARMWMAPIRTGIVEQKLGDYQSSMGDWHNFVDETKAFYGVDMSTLAKPFAEEQRKYYLQTSLWSNLHPHQVIGTAGIVKEIDCLTATVADIEEVRSNFSLSITTENTKLCGFGGWFDVHFRGRSEDPAEHEIELTTAPSVDYCTHWGQQVFLVNPPTHVSEGDDLTVNFLMSRSKGNHRLMEVELGFEIQKHSGKLVAPFKNKYYIE >RHN39225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5097221:5106817:-1 gene:gene45229 transcript:rna45229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate dehydrogenase (NADP(+)) MLLPTIGVGMNSALDDMNLIQQAQRHHLVVREIGEEIDLEIGPGEDDPSFGNTTLIGAPLRESSAEEHGESKQMVTVSQLSNDAQDMSQNQQVKRKKKVVKRWREEWADTYKWAYVDVKDGTPRIFCSVCREFGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQSASKDKIMADKPVYVKAVMSKTAGSILEATLKRDPHEVEFIQAVQEVVQALERVIGKNSHYVNIMERLLEPERMIIFRVPWVDDRGETHVNRGFRVQFNQSMGPCRGGIRFHPSMNLSITKFLGFEQTLKNALSPYKLGGAAGGSDFDPKGKSDNEIMRFCQSFMCEMYHYLGPDKDLPSEEMGVGTREMGYLFGQYRRLVGHFQGTFTGPRIFWSGSSLRPEATGYGLVFFAQLMLADMNKELKGLRCVVSGSGKIALHVLEKLIAYGALPISVSDSRGYLVDEDGFDYMKVQFLRDIKAQHRSLRDYSKTYARSKYYDEAKPWTERCDLAFACATQNEIDQSDAMNLVNSGCRILIEGSNMPCNTDAVQVLRKANVLVAPAMAAGAGGVVAGELELNHECSLMNWSPEDFESKLQEAMKQTYQRAIKAATDFGYQKESPEALVHGAVISAFLTIAQAMTDQGCV >RHN46561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32778297:32779418:-1 gene:gene41059 transcript:rna41059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEPQTFICEDIAIEIFLRLPLKSLLRFQCLSKSFESLISNPTFVKYHVQRSQKNTNLLFKFHDYYRSFSMVNDKSLSTIVEDFDFGSRLKSKYKVVGSCNGLVCLIAEDFFKHRAKYLVCLWNPSTKSLSYKPSLLVHSRSWGPSCSMFGFGYDSLSDTYKVVVLNYKTPKNYESLEYEVNVYNKRYNCWRNIQNFPGYNPVTRNPGMYLNGTINWLATSKLDWEWNNTLYIVSLDLGNETHKKLSLPSCFDQAHRYGHCRAKPSLGILKDLLCFSYDDVERTQFVLWQMNEYGVESSWTQLLKLSYQALQIDQECILPPLGTFKNDYLILIESVEGRLQTTILDKQEANTKIPMNKLWLYTKDYVPSLVPPW >RHN50777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10440094:10441277:1 gene:gene35105 transcript:rna35105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MATVRKLIVEVIDAQNLAPKDGHGTSSLYIVVDFYGQRRKTRTLVRDLNPVWNETLSFNVGERNEIFGDVLELDVYHDMQHRPTRRENSLGQVRLSSTQFVKKGEEALIYYELKKKSLFNMVRGKVGLKIYYVDEEIPPRPSAPVPENPPTPSSEPPSVKVEESPPPPSEPEKVEPPPPSEAGPQPKAEEVNKNLRLKRNLFLNKFRFRKKL >RHN64463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59282040:59284516:-1 gene:gene27282 transcript:rna27282 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVAITPSSCSSSRRQPWLHFSQNLKVSCQIHHQGLFVTSDQE >RHN69653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45260474:45263126:1 gene:gene18148 transcript:rna18148 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVNTFTLSSTLPKIELRAGNSWSPSLSVNSQMVIQMRKESGGRRIWRRRKMIKKDESLPYKMERIPFLEEQVRKIKDEGKLLQLDIERLLLSEDNKYDFVNEIAAEANAYVESNMDEYGGEKKAILHVLSNRVNDAGFYRSEAYAESDPFKPGPHYLKEFYT >RHN61157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33536535:33537284:1 gene:gene23592 transcript:rna23592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MDPNELKRVFQMFDRNGDGRITKKELTDSLENLGIFIPDKELTQMIEKIDVNGDGCVDLDEFGELYQSIMDEKDEEEDMREAFNVFDQNGDGFITVEELRVVLSSLGLKQGRTVEECKRMIMKVDVDGDGMVNYKEFKQMMKGGGFSALG >RHN44237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2676372:2677147:-1 gene:gene38330 transcript:rna38330 gene_biotype:protein_coding transcript_biotype:protein_coding MTISFTFMTLNTPVLRILLISSCHLVFTWLIINIGSDVLTIRSRSSVKFIEEFFELSSKQS >RHN59427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10635665:10638139:-1 gene:gene21456 transcript:rna21456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MHAHVSCVTINIHINVYSLSTQINPHTKFLVKMSSHRFFSKFFYTLVLATLTTTTFSALTTNYYDYTCPNALSTIKSVVKAAVQRENRMGASLLRLHFHDCFVNGCDGSILLDSTPSMDSEKNANPNINSARGFEVVDEIKDAVDKACGKPVVSCADILAIAARDSVVALGGPSWKVKLGRRDSKTASRADADSGNIPGPAFSLSQLIKNFDNQGLNEKDLVALSGAHTIGFSRCFLFRDRIYKDNNINAYFAKQLQNVCPREGGDSNLAPLDSVTSAKFDVAYYSQLIKKKGLLHSDQELLKGGYTGALVRKYRRDTRTFYKDFAKSMIKMGNIKPLTGKQGEVRYNCRRAN >RHN73599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18000526:18004660:-1 gene:gene9428 transcript:rna9428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MELAGDGTPFDPRTVEAVFRDFKGRRAGLIKALTTDVEDFYQQCDPEKENLSLYGYPGELWEVTLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDTWLLSVAFYFGARFGFDKADRKRLFTLINDLPTIFEVVTGSAKKQTKEKPSVSSHNSIKSKSGSKARGSELAKYSKPPAKEDDEGVDDEEEDQGECAACGESYVSASDEFWICCDICEKWYHGKCVKITPARAEHIKQYKCPACNNKRVRP >RHN74862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37273184:37281807:-1 gene:gene10990 transcript:rna10990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MNTSSQFLFLSLIIIWFISLTAIIGANTIHPDEKKVLEVIAKSLGKKDWNFDTDPCSNKPNWATPTPPGHRLQLVVNNVTCNCSVAGDNFCHVVSITLKGQNLPGTLPPELTRLQYLQFIDLSRNFLNGTIPKEWGSMMNIRNISLPSNRLTGSIPVEIANISTLIQLDLTANQMSENIPHELGNLSQIRTLKLSSNNFTGELPATLAKLTTLQDFQISDNQLSGKIPDFIQNWTNINTLIIQGSGLSGPIPSEISLLSNLTDLRISDLNGLEYAPLPQLDNMPSLKNLILRNCNINGKLNDYLANITTLKHLDLSFNNLSGTIPSTYAEVSGAKFIFLTGNLLTGSVPAWKKNIDVDISYNNLSNSQGSQICQSDKLNLFSPSLAHNDIGTVSCLSGCPKPLYSLFINCGGKQAIVNKKSYDDDSDSSGAAKFHVSPTGNWAFSTTGIFIDGDQLGETYSPPNLNTLTMADTELYMDARVSPISLTYYGFCLANGSYTVNLHFAEIMFTEDQTYGSLGRRLFDIYLQGNLVQKDFNIANEAGGVGKKVVKLFKDVIVTSNTLEIRLYWAGKGTQSLPNRSVYGPLISAISVESDHPPGTISAGAVAGIVVAATIAIILVFVILWWKGCFGKKNSLARELNSLDVQTGIFTLRQIKAATNNFDISNKIGEGGFGPVYKGSLPNGTLIAVKQLSSKSKQGNREFLNEIGMISALQHPYLVRLHGCCVEGDQLLLVYEYLENNSLARALFGPEEHQIKLDWSTRKKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDANLDPKISDFGLAKLDEEENTHISTRIAGTYGYMAPEYAMHGYLTDKADVYSFGIVALEIVSGKSNTMYRSKEEAFYLLDWAHLLKERGDLMELVDRRLGLDFNKKEAMVMINVALLCTNLTSNLRPSMSSVVSMLEGNTVVPEFVSDSSEVMDEKKLEAMRQYYYQIEENKTSKSQTQTQSLLTDGPWTASSSSAADLYPVRLDSSYWEKRN >RHN58944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6113818:6115709:1 gene:gene20904 transcript:rna20904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQIGKNMVKTPKLVYVLILFLSIFLSMIVSNSSFLGTFISSCKRDKDCPKLYGANFRCRKGTCVPPI >RHN82656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55486349:55487734:1 gene:gene6833 transcript:rna6833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GRAM domain-containing protein MCRIRYKVAIPLKKVKCVRQSQNVEKPTQKYINIVTVDNFDFWLMGVLKYQKTFKYLEQAISQVHH >RHN82205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51936145:51937421:1 gene:gene6346 transcript:rna6346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MALELQTLNSSPTGATTTIPIPFPRFKQEENQERESLVKKKRSKRPRIGIGNPPTEEEYLALCLIMLSQSNNQIQSSPLKLNHKCSVCNKAFPSYQALGGHKASHRKSSSENQSTTVNETISVSVSTSKMHECSICHKSFPTGQALGGHKRCHYEGVINNNHNHNNSNSSGITVSDAGAASSSISHRGFDLNLPAPLTEFWSPVGFGGGDSKKNKVNVNLAGINEQEVESPLPVTAKRPRLFSGEDNDETV >RHN48412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47298721:47299779:1 gene:gene43126 transcript:rna43126 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKKQLISDNSKMMNHADHAALSCDEDDAPPHDIIDASSSTLSRPSSSPPLSADTQTFFNLKMMKKHVDPSWLTLSQPSSSPPLHKPLPSEYTPSSPPRPPPFEYTPLTPSTRPIDPQTFFYSELITSLPRPPNFDSNPNNLALIPKLPFHYHQEQEQDLSPPTNKVLGRRQRILPKHQPVKKRRLTKSMAVPPPFPWATSKRAILHTLDHMLSVVKLNTISGTLECKVCKFQQDIQFDLVENFEKVTRFIEERRNEMCDRAPVEWMNPVIPNCKSCGQEKAMHPLMTKKRNINWLFLLLGQMIGCCNLDQLKYFCKHADIHRTGAKNRLIYSTYFGLCKQLQPNTPFLP >RHN60181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22605124:22605732:1 gene:gene22431 transcript:rna22431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MSTRSLTIFILAHVWLLMATKSIAQFVIDTSGEPVEDDEEYFIRPAITGNGGGFTLITGNGTCPLNVGLDNTEGTLGAPVTFIPFSSHHDDFNVGLNRDLRVTFRTATSCGQSTDWRLGEKDATSGRRLIVTGSDDSAGSQQGNFFRIVQTQTSGNYNIQWCPKEVCPSCNIQCGTVGVIRENGKILLALDGGALPVVFQKE >RHN38717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1486024:1486901:1 gene:gene44680 transcript:rna44680 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNQIPVQNPNQEQNENENQDQVQKPDPEQTRHRNAMYWEKMKEIRNLTFWLHVVAGTLVTVEIQAVAQKIVEGLAPAPVVAKTVENVASVHLTDFIDPFFMVFEVLYAWFMFSAALSPPDSSNFEVILIMLLNGAFSYNYIFPIDDDRAHKVLIVWIVFAALLFLFSGEVTFNQLSDVGGAIKRIILWKHIWTAIDLGWGYICLFFLYINYKFWVCFGGGD >RHN75918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46150531:46150994:1 gene:gene12178 transcript:rna12178 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNLSHRIINRCRIKDQVRDSSQIDLPSHLRVRQGSLLVRCSISRLLKKLD >RHN81407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45642165:45644803:1 gene:gene5443 transcript:rna5443 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQKFQFKAIILDKTSTKLCYSATRRSIFFILFLLKMQHNFIFSEDKHIFLCVI >RHN69530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44177081:44178974:1 gene:gene18010 transcript:rna18010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-pyruvate monooxygenase MEVEIPVVIVGAGPGGLATSACLNKHSISNIILEKDDCHASLWRKRAYDRLKLHLGKDFCNLPHMPFPSDFPTFVPRVDFLRYLDDYVTQMKICIQYNRYVNDASFDVTDGKWRVCVHDTTLNVNEIYVADYLIVATGENCDPYIPMINGLESFEGEYLHCSKYLNGRLWYDKNVLVVGSGNSGMEIAYDVSTWGANTSMVIRSPVHYLTKEMVYIGMSLLKYISIEKIDKLMVFMSKMVNGDMSKYGLVRPKDGPFAMKKKGGRTPTIDVGCVKQIKKGKVKVYPAISSIKKGKIIEFADGKRGQFDVIVFATGYRSSVQKWLKDYKELFNENGMPKARFPDHWKGENGIYCAGFSQNGLQGIHFDALKIANDISFTVNAMKHHDAEANAEIKLLDE >RHN73820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20377213:20380083:1 gene:gene9693 transcript:rna9693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MDLIILLVLSLCITCCKVEARSKILLDETNNFTLSYSIEDFVADKFDCVDIYKQPALQHPLLKKHKIQLFPTFAKNIVRNRPSYGKTADDCPLGKVPIYNSRGGHQIITNSSSKLQIDDFQRHSKSNPGYHTVTLDTIQNTIFHGAYAGITGYDLSVQAKQYSMSYIWVESGSGTQLNSIKVGVGVFPSLYHDNQLLLTSRWTADGFKQTGCYNDNCPGFVQVNSNKDYSLGIVISPTNSIGPTEKDRSTGHWWLLMDPKSIQVGYWPRELFNHLGMGASKIRFGGQTYAPPNTNSPPMGSGRLPKEKFENSGFMGQLRIIDSQYNEADVKPENMKPYRDTNSNCYDVIYNGFEGRLHRQAFLYGGPGGRNCGI >RHN70501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51841179:51844656:-1 gene:gene19083 transcript:rna19083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spermidine synthase MFSIFFNSVLHKGISDYQEIALLDTKRFGKEVVDFCKKYLITNREAFAHKKLDLVINDDKAELEKRKEKFDIIVGDLADPVEGGPCYQLYTKSFYENILKPKLNENDIFVTQAGPAGILTHREVFTSIYNTIKQVFKYVIVYTTHVPSFADTWGWVMASDQPLFIGSEEMDKRIKERINGELLYLTGDWFHSSTTMNKTLSQSLQNETHVYTEENARFIPGHGVAYRL >RHN67187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24713874:24714751:1 gene:gene15344 transcript:rna15344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain, legume lectin MSSKLPSSFLSVSIIVALMLATTEPVTSQKTTSFDFQNFTSGQSDLIMQGSTAILPNGVMALTNPTNPNTGRVLYSNSVPIWDRTTGHVASFIASFSFVVEDYQGYMPADGIIFFLASHDTVIPPNSGGKYLGVVDGQNAFNQFVGVEFDSYANQYDPKYPHIGIDVNSLISRRTTTWNKVSGSLVKVNIIYDPLSKQLMVASTNNNGPISTIAHAVDLKAVLPENVKVGLSASITSNGRQTQHIHSWSFTSTLA >RHN48133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45279368:45280432:1 gene:gene42819 transcript:rna42819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAETLKSVYLLILFISLFLVIIVSHSVTSPWVLKQHCVTDKDCPQMGKIKIRCRNGECVQGF >RHN76994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3052314:3056651:1 gene:gene398 transcript:rna398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glyceraldehyde-3-phosphate dehydrogenase (NADP(+)) MSGSGIFAEIIDGDVFNYYADGKWNKSSSGKFVPIINPTTRKIHFKVQACTQEEVNKAIESAKIAQKLWAKTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAVTEVVRSGDLVSYCAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVVLAIPPFNYPVNLAVSKIGPAIIAGNSIVLKPPTQGAVAALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKSAMVPLQMELGGKDACIVLEDADLDLVAANIVKGGFSYSGQRCTAVKVALVMESVAETLVKKIKDKVAKLTVGPPEDDCDITPVITESSANFIEGLVMDAKKKGATFCQEYRREGNLIWPLLLDNVRSDMRISWEEPFGPVLPVIRINSVEEGIRHCNASNFGLQGCVFTKDINKAMLISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKIKTTVINLPTPSYTMG >RHN81504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46547699:46554351:1 gene:gene5558 transcript:rna5558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminodeoxychorismate synthase MDANFFRAYLLVEILVSRWVVRYHSLVIDSESLPEVLIPIAWTSSRTLPFIGSEVLDKYNGHELQTDQSIFLDSLLPEAGNGSSSLVEYGQTRNARVLMGVKHSTRPHYGVQFHPESVATCHGSQIFKNFREITDDYWLRCRSSYNKGKHANSDAHAQVSSASRLYRHLVHNNTEVNYKSLKLKWRKFDHLAGQVGGAKSIFCQLFGQEAENTFWLDSSSTEMGRARFSFMGGKGGSLWKQLTFRLSDQSDGSSKGGGHLSLEDSEGCVKTIFLEGGFLDYLNKELQSYRYEKDEYEGLPFDFHGGYVGYIGYDLKAECGVTCNHHKSKTPDACFFFADNLVAVDHKYDDVYLLAIHEESSSISQWLDDTEEKLLSLTGSVRMDLERQHSHPSTFSSHKAGFAAEKSKEQYIRDVKKCLNYIRDGESYELCLTTQIRKPVEVLNSLGLYLHLRERNPAPYAAWLNFPKEDLCICCSSPERFLQLDRSDMLEAKPIKGTIARGATEEEDKQLKLKLQLSEKDQAENLMIVDLLRNDLGRVCDPGSVDVPHLMEVQSYATVHTMVSTIRGKKRSDISAVDCVKAAFPGGSMTGAPKLRSMELLDSLESCSRGIYSGCIGFFSYNQTFDLNIVIRTVVIHEGEASIGAGGAIVALSNPEDEYEEMILKTKAPANTVIDYE >RHN38828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2305668:2312087:1 gene:gene44797 transcript:rna44797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MIDLNIVLILSLFLFDLVEKQTRSFKDISVCVHVQHKHHKDFCNTIPEMAEMRNKQVILKDYVSGFPKESDMNIVDSTITLKLPQGSNDVVLLKNLYLSCDPYMRIRMTKDTTAELGALTPGFPLTGFGVSKVVESGHPDYKKDDLVWGITNWEEYSLVPTTGMYKIEHNDVPLSYYIGILGMLGLTAYVGFFELGSPKKGENVYVSAASSAVGQLVGQFAKLHGCYVVGSAGTKEKVDLLKNKFGYDEAFNYKEEPDLNAALKRYFPEGIDIYFENVGGKTLDAVLLNMKLHGRIPVSGMISQYNLTQPEGVTNLAQIIYKRIRFEGFVISDYFHLYTKFLEFVLPLIREGKIVYVEDIAEGLENGPAALIGLYSGRNVGKQVQPIKGVLVKKYRFVDGVLVNSFLEMERGPIKALTDEGSENPLVYPVGPIIQTITSSDDDANMLECLSWLDDQQPCSVFLNNNILINVQTNVWPVLYVQHKHHKDFSDTIPEMAEVRNKQVILKDYVSGFPKESDLNIVDSTITLKLPQGSNDVVLLKNLYLSCDPYMRLLMTKDTTAGLGALTPGSPLTGFGVSKVVESGHPDYKKDDSVWSLTKWEEYSLVPTTGIFKIEHNDVPLSYYTGILGMPGMTAYAGFFELGSPKKGENVYVSAASGAVGQLVGQFAKLHGCYVVGSAGTKEKVDLLKNKFGYDEAFNYKEEPDLNATLKRYFPEGIDIYFENVGGKTLDAVLLNMRVHGRIPVSGMISQYNLTQPEGVTNLAQIIYKRIRIEGFVISDYFHLYTKFLEFVLPLIREGKVVYVEDIAEGLENGPAALIGLYSGRNVGKQVVAVAHE >RHN82788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56428836:56433029:1 gene:gene6975 transcript:rna6975 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSSFTLPFPPQPCLSRVVFASSFVRRSRSSRRSKRNNKLKLSDPTTTITTFSEPKLETVIDLSIFPSFHSNIRQFVSSGKEAYRDLQTLFTIDHNRRVIISCRPSTLHFVGTSAALTLIAFSVLRVFFELVSRFASWSSRNPSSYNKGIMVRRDRSLGGKEVVIGLSPIHSTTPALPIKRSLKNSNNNKVVSQRKLPKWWPPINNNNVNAFDMDLNEQDEYKRDAYRLVRSIIDSRMAAKDISKHDIIQLRQLCRNSGVQVSVEPTNIRESLYRASVNFVLDACSSAPTYSTSVHINGEDSQQFLAGFAENIGLENVRAATIVSAAVAARTRSCLLQAWALEMQGKHVDALGELSKICLLLRIFPPEESSPEMEMVSRGLEKHLKLEQRKHLMFLFGKVCSEDSHGIAREALGLTHSQNYCAGQLEDNIVP >RHN47105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37175085:37175396:-1 gene:gene41661 transcript:rna41661 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDNNNIKNNINNKNNLNKSGGDEINNFSDAKDRKFVDFSPKRSIAERRGFNKINAGLISFGATTPLVSPATRSPCLTIPPGISPTALLESPIMLPNSQVSL >RHN52599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37000796:37002286:1 gene:gene37293 transcript:rna37293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthranilate N-methyltransferase MSNHTTIVMKKVLECYKGFRDVKRLVDVGGGLGMNINMITSKYTHIQGINFDLPHVIQHAPSYPGVEHIGGDMFESVPNGDTIFMKENCMKLMKNCYDAIPDDGKVIVLEALLPNMPKNEVAWKSLSQMDILMMTHCSEGKERTKQEFMDMATNAGFRGIKYECCIYNFWIMEFFK >RHN50472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7374175:7378489:-1 gene:gene34755 transcript:rna34755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MQQVKKIGGITKSFNPSNWSHSIRNNSTNQASLKRALVLYKQTRHDTTHDPTVIPQLLKACDSHPFLPYVKSLHAESIKAGSDVDVFIGTAIVAAYAKCGVVCDARKVFDFMHERNAVTWNAMIGGYLRNGDAKSALLAFEEMPGKTRVSWSQMIGGFARNGDTLTARKFFDKVPYELKDVVIWTMMVDGYAKKGEMEDAREVFELMPERNYFVWSSMVCGYCKKGDVMEAEAIFRRIPVRNLEIWNSMIAGYVQNGCGEKALEAFGEMGVDGFEPDEFTVVSVLSACAQLGDLDAGKQMHHMIECKGIAVNQFVLSGLIDMYAKCGDLVNARLVFESCNERNVFCWNAMIAGFAVNGQCNEVLEYLDRMEESNIRPDAVTFITVLSACAHGGLVSEALEVISKMEEYGIEMGIRHYGCMVDLLGRAGRLKEAYELIKRMPMKPNETVLGAMIGACWIHSDMKMAEQVMKMIGVDSAACVNSHNVLLSNIYAASEKWEKSEMIRSSMVDGGSEKIPGYERKELCCEATSEVLKANED >RHN60171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22325640:22331292:1 gene:gene22415 transcript:rna22415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (non-electrogenic) MTIGSFFNKASRALTTHPFSSNFLLLCTISGGGVVALSESKSEAERPNIEDQQPRKTKVVVLGTGWAAASFLKGLDGSLYDVQVVSPRNYFAFTPLLPSVTCGSVEARSIVEPVRNIIKKRDGEIKFWEAECVKIDAADKKVVCRSNIENLVGSGEFSLDYDFLVVAVGAQVNTFNTPGVKENCHFLKNVEDAQKIRLSVIDCLEKAVLPSQSEEEQRSNLHFVIVGGGPTGVEFAAELHDFIQEDLIKLYPTVQDKIKITLIQSGDHILNMFDERISSFAEKKFTRDGIEVQTGCRVMSVDDKEITVKVKSTGEVCSVPHGLIIWSTGISTLPVIRDFMEEIGQTKRHVLATDEWLRVKECEDVFAIGDCSSINQRKIMDDILDIFKAADKNNSGTLTVEEFEEVMDDVILRYPQVEYYLNKKHILDLRVLWNDPQGNERKEIDIEGFKLALSVADSQVKTLPATAQVAAQQGAYLASCFNRVDDTEEHPEGPRRFSGSGHHRFRPFRYKHFGQFAPLGGEQAAAELPGDWVSIGHSTQWLWYSVYASKQVSWRTRYLVMSDWARKFVFGRDSSRV >RHN52386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34676297:34677865:1 gene:gene37054 transcript:rna37054 gene_biotype:protein_coding transcript_biotype:protein_coding MIETNLKKHKGEKAYWRIGFVISEIRMLVCTKKKEKRMLVTSYVQ >RHN47643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41215691:41222293:1 gene:gene42265 transcript:rna42265 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Araf1 MGFSKDSCCVFMLQLLIVVYLVVQCFDVQVQADLNATLVVDASQASGRRIPETLFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNIPSNIDPWSIIGNATYINVETDRTSCFERNKVALRLEVLCDGTCPTDGVGVYNPGFWGMNIEQGKKYKVVFYARSTGPLNLKVSLTGSNGVGSLASTVITGSASDFSNWTKVETVLEAKATNPNSRLQLTTTTKGVIWLDQVSAMPLDTYKGHGFRSDLLQMLVDLKPSFIRFPGGCFVEGDYLRNAFRWKAAVGPWEERPGHFGDVWKYWTDDGLGYYEFLQLSEDLGALPIWVFNNGVSHNDEVDTSAVLPFVQEALDGLEFARGDPTSKWGSMRAAMGHPEPFNLKYVAVGNEDCGKKNYRGNYLRFYDAIRRAYPDIQIISNCDGSSRPLDHPADMYDYHIYTNANDMFSRSTTFNRVTRSGPKAFVSEYAVTGNDAGQGSLLAALAEAGFLIGLEKNSDIVHMASYAPLFVNANDRRWNPDAIVFNSFQLYGTPSYWMQLFFSESNGATLLNSSLQTTASNSLVASAITWQNSVDKKNYIRIKAVNFGTSAVNLKISFNGLDPNSLQSSGSTKTVLTSTNLMDENSFSQPKKVIPIQSLLQSVGKDMNVIVPPHSFTSFDLLKESSNLKMLESDSSSWSSI >RHN47352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39098204:39099935:-1 gene:gene41942 transcript:rna41942 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSNSESLPLSKKILWRVLTDCSASSLFTTNEILTCNAP >RHN56206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28719926:28733722:-1 gene:gene31571 transcript:rna31571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperone DnaJ MRRFTLLSPYRRHFLSSIASQPLVHEIDSFRKLFSSHQSLLLSRSLFGCSFDTKKEDYLIPGIPFRYRYVYATAAYSSSAERNYYQILGVGENASQDEIKKAFHSLAKKYHPDANKSNPSAKRKFQDVREAYETLRDSKKRAEYDKMRAHGSENMGYGNNYDDAERFRKAYRSHFSDSFHQVFSEIFEEATTQFSSNIEVELSLTFSEAARGCTKNVSFDAAVPCDNCDGRGHPQNATRTVCPSCRGAGRVTIPPFTSTCITCKGSGRIIKEFCLSCGGSGVIEGIKEVKVTIPAGVDSGDTIHVPEGGNAAGSGGRHGSLYIKIKVDEDPVFSRDGADIYVESNISFTQAILGGKVEVPTLSGKMQVKIPKGVQPGQLQVFRGKGLPKHGYFVHHGNQYVRFRVKFPTAINERQRAILEEFAKEEIKEGNSSISEGNWWQQIIEYMTAPKSMLELSMVILFLVLMSKMLS >RHN58397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1412523:1417032:-1 gene:gene20304 transcript:rna20304 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLMRSDSDNNHFKKVKLESQQDDDHQLHSNKRPKFDFNSDSPKCDSGDDSGPISYNPLDEPSPLGLRLKKSPSLLDLIQMKLSQTYESKKKDQKGSASASAAAAAADSKLKASNFPATVLKIGTWEYKSRYEGDLVAKCYFAKHKLVWEVLDGCLKNKIEIPWSDIMALKANYPDDAPGTLEVILARRPLFFREINPQPRKHTLWQSTTDFTGGQASMHRRHFVQCPQGLLGRHFEKLIQCDPRLNFLSQQPDFVLDSPYFETGTAIHDHIELSDGLDRRSEGKAGIFGLHDVESGSGGQSSSSRSEQNLMGKAVENNFQEITSPSSVMNPHAIKGFRSRGPESDKFLSNLSQIKLPGLHPSMSMDDLVNHIEHCISEQMGPENSSFTNDRAVLEEFTQYLFNDSIFPPVSDEQSDEQNVMSRVNSLYCLLQKDPSAPEEKQVQNGNNVFDAAEDRKVDEGKSKMFDLGFQQDDDDDASGSQQQENGLSRKESAGDLLLNLPRIASLPHFLFPMSEDSGSHVR >RHN65924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6563694:6568532:-1 gene:gene13820 transcript:rna13820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MESSAEDYAAFEEKVQRTVYIDNMSPQVTESVIRTALDQFASVKSVKFIPNYLGPSSMPQCALVELDSAKKVKEVIAMIKQYPFMMSGMPRPVRARPGVMEMFDDRPMKPKRKMKCCWLEQSDPDFEVAVELKNLTRKHSSEIALMHRVLLKEEEKLAAQQAETLKVHYKKFRMIDGIMGDKTAHTLARKYNLAVADE >RHN52604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37039157:37041694:-1 gene:gene37298 transcript:rna37298 gene_biotype:protein_coding transcript_biotype:protein_coding MIWTIEDVLRSEDCKSFGSVSLPQQVDENLSHSFHKINVDQHCLDASDNNPSSSVELVNVIDSIFDSVERSSITKEELLQKIMNCLDFVEISMF >RHN82406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53489566:53490228:1 gene:gene6569 transcript:rna6569 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFAEEKESIVAMGNGSGADEGESEGEIVKAPLHNFDLPSSLKWGSQRQLRCQKVTDNGDGSSQREMVSTLESAMERISILRIAYGDDEGVDAMRERLTLDLKAEARRMKDVVLKKEKENSVGSGGEGSSKAAAREKSWNFRTRKGVAREAGKGLKIDEKKPNISSPLKGGGSTEKKTMKFSLSLTKKEIEEDFIKMTGQKPPRRPKRGPKNVQKQKET >RHN65550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3146405:3149426:-1 gene:gene13397 transcript:rna13397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MSSQLVPNTTTLIPTNIYKHPSPSLLDHCTSTKHLHQILPLIIKTGSYYNDHLFQTKLINMFFKHGSINEASLVFDTVEHKQDVLYHAMLKGYAKNSSLCDALCFYHRMQNDGVRPVVYDFAYLLQLCGKKFELEKGREIHGQVIVNGFEYDLFSMIGVMGFYVKCGEIDDAFKVFERLSEKDLVSWTSLIAGYAQNGYPKRALDLFYRMQEAGLKADSVTLVSILPAVADIKDLRIGKSIHGYALRLGFESKVSVINALLYMYFECGCERIARLVFEGMINKCAVSWNTMIDGYAQIGKSEEAFATFLKMLDEGVEPTRVAIMAALTACADLGDLERGRFVHKLVLQKKLDFEVPVMNSLLSMYSKCKRVDLAASIFENLKKKTNVTWNAMILGYAQNGCVNEALYLFCVMQSQEVKPDCFTLVAVITALADLSVNRMAKWIHGLAIRTFMDNDVYVATALIDMYAKCGATQTARKLFDMMHERHVITWNAMIDGYGTHGLGKEAIDIFDNMQKEAVIPNDTTFLSVISACSHSGFVEEGLHFFQSMKEDYGLEPSMDHYSAVVDLLGRAGKLHGAWNLIEEMPIKPGITVLGAMLGACKIHKNVELGEKAADKLFELDPDEGGYHVLLANMYVSASMWDKVAKVRTAMEKKGIHKTPGCSFVELRNEVHTFYSGSTNHPQAKKIYAFLEALGDKIRDAGYIPDTNSIHDVEEKVKEQLLSSHSERLAIAFGLLNTNHGTPIHVRKNLRVCGDCHDVTKYISLVTGREIIVRDLRRFHHFKNGICSCGDYW >RHN75189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40048344:40052650:1 gene:gene11357 transcript:rna11357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rhomboid protease MVEPKPFLSPVCKVGPYPLDIIATAASFHFSHRVSHRLRLHFLLRSCFQKLAPVFRLKDKWCQKYLQLKGLNYLQLSSDGLTSACSTSFSFFNGGRPEASSGNSDSKMSRKNPFNGRKWTNILLAANVLFYVAQLATQGKLLSWGAKVNSLIDKGQVWRLVTSSFLHANIGHLMINCYSLNSVGPTVESFSGPRRYLAIYFASSIASAAMSYRFCKMPAVGASGAIFGLVGSVAVFVLRHKDLVGGGKKDLQHIAQVIALNLAIGLSSTGIDNWGHLGGLIGGIAASWLIGPAWKHESTSLDGRRLFIDSAPMYNLFKITRVPKPWK >RHN57461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39320568:39326565:1 gene:gene33008 transcript:rna33008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative post-transcriptional gene silencing PAZ-Argonaute family protein MSSQENKECLPPPPPIVPPNVKPIKIEQEHLKKKLPTKAPMARRGLGTKGAKLPLLTNHFEVNVANTNRVFFQYSVALFYEDGRPVEGKGAGRKIIDKVQETYDSELNGKDLAYDGETLFTIGSLAQKKLEFIVVVEDVASNRNNANTSPDKKRIRKSYRSKTYKVEINFAKEIPLQAIANALKGHEAENYQEAIRVLDIILRQHSAKQGCLLVRQNFFHNDPNNLNDVGGGVLSCKGLHSSFRTTQSGLSLNIDVSTTMIVRPGPVVDFLIENQNVRDPFSLDWNKAKRTLKNLRITAKPSNQEYKITGLSELSCKDQLFTMKKRGAVAGEDDTEEITVYDYFVHRRKIDLQYSAGLPCINVGKPKRPTYIPIELCSLISLQRYTKALSTSQRSSLVEKSRQKPVERMRVLSNALKASNYGSEPMLRNCGISITSEFTQVDGRVLQAPRLKFGNEDFNPRNGRWNFNNKKFVEPVSLGNWSVVNFSARCDVRGLVRDLIKCGGMKGILVEQPKDVIEENRQFKGEPPVFRVEKMFADVLKLSKRPSFLLCLLPERKNSDLYGPWKKKNLAEFGIVTQCIAPTRVNDQYLTNVLLKINAKLGGMNSWLGVEHSRSIPIVSKVPTLILGMDVSHGSPGQPDIPSIAAVVSSRKWPLISKYRACVRTQGSKVEMIDNLFKPVSDKEDEGIIRELLLDFFHSSEERRPENIIIFRDGVSESQFNEVLNVELSQIIEACKFLDENWNPKFMVIVAQKNHHTKFFQPRSPDNVPPGTVVDSKICHPRNYDFYMCAHAGMIGTSRPTHYHVLLDEIGFSPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAASQVGQFMKFEDKSETSSSQGGINASLIPQLPNLHKRVCNSMFFV >RHN71415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58835436:58835744:1 gene:gene20096 transcript:rna20096 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCLNAMLNHSSQDVYSRQWYQNNFQKIIKLTQLLANVGRINGRLLDVNSNSTIFDDQIEHGTHTFKFLIRVFIGSPFVQHKIRQVLASNTYKTTYIYPFQ >RHN77499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7249619:7250523:-1 gene:gene962 transcript:rna962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative salicylate carboxymethyltransferase METVETLHMNKGVDETSYAMNSFLQRKIILLTNQATKKAIVEILCSTKRWPIMKMGIADLGCSSGPNALSVISEIVEAINETSSMMNQTAPKELMLYMNDLFTNDFNNIFASLPSFHKKLRQDMGYNNHDNHNGSNCFVSAVPGTFYGRLFPTKSLHFVHSSSSLHWLSQVSLFSKHLISIFCSYMHHVKK >RHN60850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30974976:30976502:1 gene:gene23237 transcript:rna23237 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MTRMFSTIKRHGSNSQQGPEAFTTEVETLSNASHKNIVQLIGYCWENEHKLLVYEYMNLGSLEAHLLDDHLRGFLDWGTRMKIATETAKGVEYLHDKMNPRMIYCDLKSSNILLGDGYDVKLSDFGCAKIGPKYASTKMFGTIGYFDPDYIKTGTLSFKSDIYSFGVVLLELISGRRAFDETRRDDEPNVVFWASPLFEDRFTEIVDPLLKEKFPERDLQKVIAIVASCVQEKADKRPDISQIVVELEALNKMKSQVDEAESSGAAERRVGQSST >RHN43875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49146138:49149226:-1 gene:gene50509 transcript:rna50509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein O-GlcNAc transferase MIYNTIFAKSFSRYEQKKLGYGAFVGFLLIVLSLCIFKPYLGPIYDLNIKLSIGVDTKLLMVNDTSGSLKIAEDDRIVPKNPVNATRISHLIAPKNPVNATRISPQMAKVADNATKTLINDTSISPPKAEVEKLETRKVEQEQPLCVSEARTEYCQTQGDIRVHGKSSSVYIVSRKTNSLAENVSWIIRPYARKSDAYTMSSVTKWSVKTVKPTHQVSQCTKYHSIPAVIFSTAGYTGNHFHEFSDIVIPLFLTCRQFNGQVQLIITDKKSWWISKHQAFLKKLSNYEIIDIDRDDELHCFPKVIIGLKRYHKELSIDPQKYSYSIKDFRDFLRSSYSLKRVSAIKIRDIGNQSKKPRLLILSRKTSRSFTNTNQIAKMAKGLGFRVIVMEAGRNMRSIANVVNSCDVLMGVHGAGLTNILFLPQNAIFIQVVPFGGMQVEWLATNDFARPSEDMNIKYLEYKIRLDESTLIQQYPLDHMIIKDPSSIEKQGWEAFRSVYFDKQNVRLDVNRFRPTLQKALELLHQ >RHN64707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61170991:61174095:-1 gene:gene27555 transcript:rna27555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial outer membrane transport complex protein, metaxin MAEVYTLVVRKPCFGLPTGCPQCLSSLIYLNFSQIPFQLDFHVNHPHSDKIPYIEVGDDYVAYNNEIEGIIECLKKDVGVVDLDSEVSSLPDWISIKAILTTWLHDALTYELWVGSDGSSANSIYYSDLPWPLGKILYSHKVRWVKLKHGITDDNAVVKKEEIYERANSAYGALSKLLGEKNYLFDESRPSSLDAIFLAHGLVALQALPESSTLRIKFSEHDNLVRYVHKCKTELKDAGTSPPSAPSGSRSQSTQRPKSKSIPKREKTKEEKTLKRKGKYFVAAQLVAVVVFLTLMVTFDITEGEVEDVDAGEY >RHN60885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31373026:31376121:-1 gene:gene23278 transcript:rna23278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MYHRAKSINHNLLSHYHHSTKNHAKTLIHTHSHQPQNQKPKPNHHKRKHIPFITEIKQIETSEQALSLFHHYNQLGYKHYYPSYAALLYKLARSKNFHAVETILTQMKNTDIQCNETVFIALFQHYGPVKAVELFRAMPKFNCARTLQSFNALLNILVDHGMFCEANDAFERCYEMGFRPNTVTFNIMMKGWLKKGEWENACKVFDEMLERKVQPSVVSYNSLIGFLSRKGDLDKAMRLVEDMRRKGKRANGVTYALLMEGLCSLGKYEEAKKLMFDMAYRGCKPQLVNFSVLMNDLGKRGKIDEAMVLFREMRKRRLKPDVVTYNVFVNYLCKEGKTAEAYKMLVEMQISGCEPNAATYRMLLDGLCRNGDFESGLSVLNLMFASRHCPLSDTFNCLVVGLLKSGNIDGGYFVLEEMVKRKVDFDLESWEAVIKYACSEDDKSGSMLTNILTCMSV >RHN72296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6563059:6564595:1 gene:gene7993 transcript:rna7993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein 50S-L18Ae/60S-L20/60S-L18A MYKEFRDTTLNGAVDLMYNETASRHRVCFPCIQIIKTATIPAKLCKRESTKQFHDSKDQVPIGFQENHTTTQEAEDNIQGQEAELVYVMWSSDESMLGFSKHSSCLSITRFCHADL >RHN66089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8473058:8474422:-1 gene:gene14004 transcript:rna14004 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSKFHYYFLIFKLCVTELTCKNKNCNTIEPIKSSPNSTTLSGYISS >RHN77729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9012375:9013331:1 gene:gene1215 transcript:rna1215 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVYYFLQPRIFLNLYFLAALFSTLNAYSFHFRELAMHIPFIMSLTILALLMLLSVNLVNGQNNDNSSVECPFHLSCSRYKNQYLEFPSKPVSKKFLVRDIDCKLKNLVLSDSQNCLPRFFLTNNFSLFYPFQSDSSNANITFFNCSSVGVHHLKSWDQRNPGVQDMLSCPIYATDSTDSAVELDLLSCTRMFDKVLPASARFDIMYNTVSLIWSEKTFPSQCLEYDQIHNKSKKNLTSIILVTTGAIVGSTMLVVLIGVFI >RHN70434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51418121:51418810:-1 gene:gene19013 transcript:rna19013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MERYMLPGLKSQIEEECYMNNIPPFTEELIPNLQNHTQQQNIVGPSDPNTSGFRHHLGSSFSLPSPKSNFSNLPNINDNINITSTTITNNPFGNVFNLDSHDVFQPPLSVEQLTSIWLKEFENKAEKDGNEEVGGGSNHDSNESVGVGKMGNHGKNEGNNYNANNNVGGSNNNIIDNNDEENCVINGNRKGKKKKTPSKSLIAEKKRRQKLSDNMHKLRSVVPKITKVS >RHN41381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29654779:29655150:-1 gene:gene47699 transcript:rna47699 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGISKESQNESLQNMTMVEIKASNECYEKSNSTGSSNLWKFRVLLNASDPKNSRKPKVENIVNKKRKDEKHKNGLSAYEKLYVSNKTRKDSNKRRSFLPYKRQLLGLFTNMNGLSRNLHPF >RHN62093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40685345:40687253:1 gene:gene24627 transcript:rna24627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MKGLFVLCLIFVIASPCLCQNYFNVVKYGAKGDGHTDDSNAFTNAWQDVCGSTRDTPTLIIPEGQNFMLQPLSFQGPCKSTTISVKIMGTITAPQSNANWKWDDNDGDYWIKFSNINGLIINGGGTVDGQGDSWWNNNGHIRPTALKILECDNLKLGPLKHINSPRNHISIVGCNDALISNLHIIAPKDSPNTDGIDISTSTNISVQHSIISTGDDCIAINNGTEFIYITDIQCGPGHGISVGSLGKDGDYSTVEEIHVSNITFRETTNGARIKTWTGGSGYARKITYEDIIVFKVENPVIIDQQYDALEPLKTKYNVLEGVSKAVKVSDVIFRNIRGTTNGKDAIDLNCARIGCTNIILEDIDIVDLDGKKASASCNSVQGSCSSCNPQVSCL >RHN61808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38530602:38537278:-1 gene:gene24307 transcript:rna24307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mak16 protein MTQMRIRMRKLSLKTREKITTLPRKEVKREARREEKAEKAAVLEKSIEKELLVRLQNGLYPGDICNANFEAYKKVIDMVEKSQAVEEEDEMIEEEEAGPEYVEFEEEDDIEDFGAFAVHESQGNGSDDENDGSAEDDETEALNQRKAKRKMTLASKKLEKDTLDSKSKKAKVLIEVEHDDAVERQRAVL >RHN47823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42801488:42804366:1 gene:gene42469 transcript:rna42469 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVSLKIDSMICFWIGMLHPFSTSSHIAFVLLCASSGEGNWSSFLLFPCLSGNDEE >RHN64087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56469373:56470775:1 gene:gene26867 transcript:rna26867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAPTHFRGGLNMMFQVATTFGIFTANMINYGTQQIQPWGWRLALGLAAIPTLLMTIGGIFIPETPNSLIERGSKEQGRKLLEKIRGTNEVDAEFQDMLDAGELANSIKHPYYNILKRRYRPELVMAICMPAFQILTGINSILFYAPMLFQSMGFGRQASLYSSALTGVVLAGSTFISIATVDRLGRRPLLISGGIQMIVCQVSAAIILGIKFGENQELSKSYSISVVIILSLFVLAFGWSWGPLGWTVPSEIFPLEIRSAGQSITVAVNLLFTFIIAQAFLSLLCFFKYGIFLFFAGWTALMTLFVFLFLPETKGIPIEEMSILLRKHWFWKMVLPDDAV >RHN45863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26376155:26376667:1 gene:gene40285 transcript:rna40285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSRSNPKEDRISALPNPIIWHILSFLPTKTAAITSILSKRWNPLWLSVLILHFEDETFQNMESFSHFMSSVFLLRDITLPLRSFHLKCSKASGIQPQDINRFVHAYEQWRSHVHSRVCTCYPSICTIPTYYFVIFTYEPPENICLHQIAPTIPSCLHCYYCCPNLFAWVD >RHN76519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50919375:50924684:1 gene:gene12859 transcript:rna12859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase D MAQILLHGTLHATIFEVDKLKNIGGGNILSKIRQNFEETVGFGKGTTKLYATIDLEKARVGRTRIIEKEHVNPQWNESFHIYCAHLASDIIFTVKDDNPIGATLIGRAYIPVEEVLGGEEVDRWVEILDVNKEPIHGNSKIHVKLQFFDVSKDRNWALGIRSPKFPGVPYAFYTQRQGCKVSLYQDSHISDNFVPNIQLAGGQTYQPHRCWEDVFDAITKARHLIYITGWSVYTEISLVRDSRRPKPGGDITLGELLKKKAQEGVRVLMLVWDDRTSVPLLKKDGLMATHDQETEEFFRGSEVHCVLCPRNPDDGGSIVQNIEIGTMFTHHQKILVVDSELPNEVGLNKRRIVSFVGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFPGASIEKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRKQGGKDLLVPLRELEDAIITPSPVTFPDDQETWNVQLFRSIDGGAAFGFPETPDDAAKAGLISGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSCYAWSADGIKPEDIGALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGVPESSSVQAILDWQRRTMDMMYKDIIEALNAKGLEENPRDYLTFFCLGNREVVKQGEYEPPERPEPDSDYMRAQEARRFMIYVHAKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPYHLANRQPARGQIHGFRMSLWYEHLGMLQETFLHPESEECIRKVNQIADKYWDLYSSETLEHDLPGHLLRYPIGVSSEGNVTELPGFEFFPDTKARVLGGKVDYLPPILTT >RHN43732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48040207:48043824:1 gene:gene50353 transcript:rna50353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L34Ae MPCPKEQALLKLFNNVSTSLQLLFLVLFSIAIFLVTFLTFTGRFPLIQRDQQYEYVYSDDEEDEEDEEAPEEYSCVHSSDKEERQRTHSEEFISPRESFVEGSEENDCSETLSMHQSPQVSDFENKEVGAFQENFQSRNVVDSVPNYVEFESSPTSSMINLNLYKSNRHHNDDQVGHGIIKNKKVQETSHSRDERFFVFASKQLNSKKLIVDEEKDDVDDFVYSSSECRSSTHCRESETEDEFSTSSRRSCPKWESYTLFQRYDEDNAFLERISARNKRHETESLRSIQMSPRSISERIANKLSSINKKPTDVGHNPYSELEAAYVAQICLTWEALSWNYTNFRYKHASQSRHDFDIGCPATIAQQFQQFQVLLQRYVENEPYEHGRRPEIYARMRLLAPKLLLVPEYHDSEEDQMDSDFHSKISSASFLKIMEGGIRTFMNFLKTDKEKSCQILTYYFRRNKRGMVDPTLLKLMKKVNQKKRVKVKDLSHLGKGLRKRKLKVEEEIEILMGLIDLKVVSRVLRMKELSEQQLHWCEKKMSKVRVVEGKLCRDYSTPLFFPSS >RHN64631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60615805:60616574:1 gene:gene27463 transcript:rna27463 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEQTTSKYDEANVFLMKLMDQEEEMLENFNKEISKMRQVERNYLENMSKDHEKAMLELEARRNELMSREMDLQKRQVDNDNESNKLYLERKQNEMAIEEQRKADEKMMHLAEEHKARI >RHN69550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44336994:44337743:1 gene:gene18032 transcript:rna18032 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSKKQEGIKSHMTCALKVDTNTKKWSETTTKILEKIKGVNYNFDTNEGMIYISGKADPQKILKRIAKHQKKVELCWVRTGEQYSYGNNMSAYPTTYPSGYYPPPSGSYYQNFDPYQNYDPYFGPQTHGYPPYNNYYM >RHN58924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5936081:5938398:-1 gene:gene20880 transcript:rna20880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ammonium transporter, ammonium/urea transporter MSLPTAYQEHLPSSPQWLNKGDNSWQMTASTLVGLQSMPGLVILYASMVKKKWAMNSAFMALYAYASVLICWVLLCYRMAFGDELFPFWGKGAPSLGQKFLTHQAKVPESTHFFKNGTEEASTTQPLFPMASLVYFQFNFAAITVILLAGSVLGRMNIRAWMAFVPLWLIFSYTVGAFSIWGGGFLYHWGVIDFSGGYVIHLSSGIGGFTAAYWVGPRLKSDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAANIDSSIAVLNTNICAATSLLVWTTLDVAFFGKPSVIGAVQGMMTGLVCITPGAGLSINFLKISSVPMINYLINNFLKR >RHN43598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47123894:47125006:1 gene:gene50204 transcript:rna50204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase, Xyloglucan-specific endo-beta-1,4-glucanase MASSYIRMNCMLIGAMIVSSLIATCCANFYQDFDLTWGDHRAKTFNGGQLLSLSLDKVSGSGFQSKKEYLFGRIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVSGNPYILHTNVFSQGKGNREQQFYLWFDPTKNFHTYSIIWKPRRIIFLVDNIPIRIFKNAESISIPFPKNQPMRIYSSLWNAEDWATRGGLSNELDAYGRRRLRWVQKYFMIYNYCNDLKRFPQGIPAECRRSRTTIL >RHN47274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38423892:38424323:-1 gene:gene41851 transcript:rna41851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MQDPTTFQPMKSNFPEQEHCKRYWAKGGALRNIPVGVGTRKVTKRSSNSKRSTTPSSSSPSTSSTSSAAKVSASVPETDPTQIHVDPIVRNFGEGLLTLLSSTTKTKSKVESGFVPDVIIDENAAVVQGGVVMVHKEILMHRA >RHN49368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54319018:54320850:-1 gene:gene44190 transcript:rna44190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase MSSITLILIFFILSSLIPHFHILIVSSSSSSPSPSPLPSLLSSPSSNQGEWELIQPTIGISAMHMQLSHNNKIIIFDRTDFGPSNLPLSNGRCRMDPFDTALKIDCTAHSVLYDIATNTFRSLTVQTDTWCSSGSVLSNGTLVQTGGFNDGERRIRMFTPCFNENCDWIEFPSYLSERRWYATNQILPDNRIIIIGGRRQFNYEFIPKTTTSSSSSSSSSIHLSFLQETNDPSENNLYPFVHLLPNGNLFIFANTRSILFDYKQNVVVKEFPEIPGGDPHNYPSSGSSVLLPLDENQISMEATIMICGGAPRGSFEAAKGKNFMPALKTCGFLKVTDSNPSWIIENMPMARVMGDMLILPNGDVIIINGAGSGTAGWENGRQPVLTPVIFRSSETKSDKRFSVMSPASRPRLYHSSAIVLRDGRVLVGGSNPHVNYNFTGVEFPTDLSLEAFSPPYLSLEFDLVRPTIWHVTNKILGYRVFYYVTFTVAKFASASEVSVRLLAPSFTTHSFGMNQRMVVLKLIGVTMVNLDIYYATVVGPSTQEIAPPGYYLLFLVHAGVPSSGEWVQLM >RHN82077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51111686:51114834:-1 gene:gene6196 transcript:rna6196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S8 MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLMVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >RHN79366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28112670:28113724:-1 gene:gene3148 transcript:rna3148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEETTASIGTLTLGDSLHTPPLVVCIPFDLIIEILCRLPVKFLLQFRCVCKSWNSLISNDPKFTKKHLHMMSTTKHRHLVTTTWIMAKELTVTSYPFDSLQLDSIFTSNPTQLDYSPIITSWQDGLIASCDGLLCFVIDQRLAVLYNPCIRKVKKLPSLDLPREEGTDSWRRIKDFPSMFRFGRHGVVVCGTVNWLTYYNSSDLGVIVSLHLGKESYQEIPVPDSGDFFLSTLDMMRECLCIFSRKRTDSFTDVWLEYGNKESWIKLISLPIFDVPYHTVYTRIVYISEDNNQVLLIFREDRRLKWVVYDCKMILLSV >RHN78656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17224234:17225965:1 gene:gene2290 transcript:rna2290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, peptidase, FtsH MGNDKKKTNSQSLAQWMKVAVVGSFQEALKKLGTLMTLIGGLILSFFYFRPFGKNQEISFQEFKIKVLEPGLVDHIVVSNKELAKIYVKNNESEEAQEGLGIDHHDFVRVTYSSETDWVSVFLILSTLLLAFGVLFHNKERIDGMLNFGKAHVTIVDKYAQNKVYFKDVAGCAQAKQEFMEFVRFLKNPKKFQELGAKLPKVFVGIGAARVRNLFKEARKCAPSIVFIDEIDAIGRARSSEGYSGSNDERERTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRHGRFDRQITADKPDIKGRDQIFQIYLNKIKLSHEPLYFSKRLASLTQGFTGAEIANVCNEAALIAARTEEAQVTMDHFEAAIDRIIGGLEKKNRVISKQERRTVAYHEAGHAVVGWFLEHTEPLLKVTIVPRGTAALGFAQYVSNENLLMTKEQLFDRTCMTLGGRAAEQVLIGTVSTGAQNDLEKVTKMTYAQVSIYGFSEKVGLLSFPQREDSSETSDK >RHN79735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31693941:31696858:-1 gene:gene3567 transcript:rna3567 gene_biotype:protein_coding transcript_biotype:protein_coding MANDIMLMSYPIQIKPRKKAFSNNASESLCRVISFNPNLHSTSLGSSKDDVVAISEL >RHN49561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55661557:55663964:-1 gene:gene44404 transcript:rna44404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MGSIRRMIWNEEEKTMVEAVLGARALDFLINNCLSNESGLIAVGESVDSLQNKLSDLVENSSSNFNWNYAIYWRLSKSKSGDYVLCWADGCCREPNEEEGGGGGVRETMSLRIEEEKKEEEEEEEGMKQSMKKWVLQKLHKAFGGSDEDNYAFGLDRVTDTEMFFLASMYFFFPKGYGGPGKLNNNNSNYQPQRQMPMQIDFSGANSRANSVRPVIAESELVADVEADQPSDERRPRKRGRKPANGRDEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYINELQAKLKIMESERESFGSSTSRDGLANSRSENRHQNTRVPPPDVDIQASQDEVIVKVSCSLDTHPVSKVIETFKEAQISVVESKLAAANDTIYHNFVIKSEGSEQLTKDKLIAAFTRESNSLQTQTLSI >RHN69743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45985157:45987428:-1 gene:gene18255 transcript:rna18255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MGEVKQEPPKEESKPEETPVEEKKEEQSEEKPKPPSPCVLFVDLHCVGCAKKIEKYIIKIRGVEGVVIDMAKNEVVIKGIVDPQGICNIITKKTKRMAKVISPLPEAEGEPIPEVVNSQVSEPVTVELNVNMHCEACAEQLKGKILKMKGVQTVETEHSTGKVIVTGTMDGNKLVDFVYRRTKKQAKIVPQPEPEPAPESKEGEKPAEEEAKPEEKKQEGGEDNKNESKEEKDGEEINGVHDEEDMMKRMMYYNYYNQPLYVVERMPPPPQLFSDENPNACCIS >RHN39793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10535263:10541185:1 gene:gene45856 transcript:rna45856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-hydroxy-tetrahydrodipicolinate reductase MSTLTLKIATNVFHRHQNNLSFFSNSTTTMRNFPISQKSRSRLIPTVSMSAATNVQTFLEKSAPSAQNTALPIMVNACTGKMGKAVINAAEAAGLNVVPVSFGCEEESGQTFEVCGREFLVHGPSDRESFLESVLDKYPNLIIVDYTVPDAVNGNGELYSKVGVPFVMGTTGGDRDLLYKTVQDSKNYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVLESHQASKVDASGTAKAVISCFNKLGASFDMDQIQLIRDPRQQVEMVGVPEEHLAGHAFHMYHLTSPDETVSFEFQHNVCGRSIYAEGTVDAVLFLAKKIEAKDPKRIYNMIDVLREGSMR >RHN42130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35622086:35626397:-1 gene:gene48531 transcript:rna48531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MRYTIWYLMDEIWEKAVETALEGEKDHVSARTLTLDGAVKCVQGRLPPPSLLERFQNLQHLSIANVGVSSLEQFPRLRSLQKLNLSDNRIAGGLEYLVEAGLESLRDLDLSNNRIQNFEDLAPLAQVKLVSLDLYECPVTRVKDYRSRVFGLIKSLKYLDKMDAEENERPESDDEEEDDNEDNEEDDDNDEEEDEEDPGSGEIDGGEEDRVFGVENGHSEGVDGVVDADEDEESDADEEVTESSRGRVNGVSHQENGFHVEPVDVEEDDDDEDSGEEIDEEEGDDEDVVEVNEIDDSDDDEDGVEFDEDDDDEDDDEVDNDEGDFAEPESTSGRLVSTEGEIDGHEQGEEDGDEDDNGETGEEEMAVEEEDDDDDGDDDDGEFEDDDEIAEEKEEDFGAGYLVQPVGQDDPLNDGAADIDDGEENEDGEEEEEEVDDEDVDDDDAQEVLPPASSHPKRKRDNDDEADEDDEDEAAFTKPSKKHH >RHN49108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52505957:52514057:-1 gene:gene43902 transcript:rna43902 gene_biotype:protein_coding transcript_biotype:protein_coding MMVNMTPRQTNLTPRLTPSLLTDHMTPNGFSKRPLSMKGQRWKHVVVEEKRRKKKVCKCLRK >RHN79001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20776467:20776820:-1 gene:gene2677 transcript:rna2677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, SWIM-type, FHY3/FAR1 family MNCVTSLNIVEGNLAIYHVLEEMLIGDRRKDRILKVSFDRDSHDVSCECSVFEFRGIVCRHVLSVCAQERVKNMPLKYVLVRWSKSIKRKHSYIKSSYNVTELKPQMDRFDSL >RHN80885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41567847:41571448:1 gene:gene4861 transcript:rna4861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MGVNANSDSKPITVEIKELKFTYPGIDGHPPPGSKPLIEHFSLNLFSGDRCLLVGSNGAGKTTILKILGGKHMVEPEMVRVLGRSAFHDTTLTSSGDLCYLGGEWRRDVAFAGFEVPIQMDISAEKMIFGVAGIDPARRAELIKVLDINLSWRLHKVSDGQRRRVQICMGLLKPFQVLLLDEITVDLDVLARADLLKFLRKECDERGATIIYATHIFDGLEDWPTNIVYVAHGRLELAMPIEKVKETSKLSLMRTVEVWLRKERDEDRRQRKERKAAGLPEFDKQVDGSRVVGGDPARAPVRVTNNGWAAGRLHSTIAGEENFLLSSNRVLRG >RHN68585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36788722:36795135:1 gene:gene16955 transcript:rna16955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SLC26A/SulP transporter MSPMDSPTDKHIDTNKMDERSLSASHDQQPYAYKVAIPPKQNLFKEFQYTVKETFFADDPLRSFKDQSTSKKLILGIEFIFPILNWGRSYNLKKFRGDIIAGLTIASLCIPQDIGYSKLAHLAPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTLLSNEIDPVTHAEEYRRLAFTATFFAGITQATLGIFRLGFLIDFLSHAAIVGFMGGAAITIALQQLKGFLGIKTKMFTTKTDIISVLKAVFSSAKHGWNWETILIGASFLSFLLVAKFIGKKNKKFFWVPAIAPLISVVLSTFFVFITRADKQGVEIVNHIEKGINPSSVHEIYFSGDYLGKGFKIGVMAGMIALTEAIAIGRTFASMKDYQLDGNREMVALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSIVVFLTLQFITPLFKYTPNAILAAIIISAVISLVDYQAAILIWKTDKFDFVACMGAFFGVVFVSVEIGLLIAVSISFAKILLQVTRPRTAILGKIPGTSVYRNIQQYTEASKVPGVMIVRVDSAIYFSNSNYVKERILRWLTDEEAVKGDYHTRIQFLIVEMSPVTDIDTSGIHAFEELHRSLEKRGVQLVLANPGSAVTDKLYTSNFANIIGQDNIFLTVAAAVANCAPKLDVNP >RHN71133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56725026:56727850:1 gene:gene19782 transcript:rna19782 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLFDQFKAFAESSHDFFDSIFGRRKSTEILKRLQRESFSDLMKLRDRQDKVERMISFYKSSKGGPFQEASTHVRGHMDFTGALLIKGDFNQQNLDIISRSGIKTGIDSRFVFQTAIGEENALAAEFVATQKGKEHHSDALEMPLSLAKLSYKANVNDSLSLMAVPVGAQCRDVAVGSNSFDQEKGLTDFSSFGPPLLKLHNGSAFGIAMRKSCFIASLAQFVAGLGTPSGSNTADNRYSTFLQLACQFPRGTKLSVLSSHQLPFVSKQLRKFGALTIPLVLSNQHEVSETEPEASTFRGTRTQVSGGSAAIMLESELDGFTKLGGWVEMNTLDPKSAQWAVTLTDVSEGSSGWGMSLGGIGAKHFQAESYLKFNMGDKFCLKPGLVYATDGDSKIASLMLRSDWSL >RHN79620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30638348:30640389:-1 gene:gene3437 transcript:rna3437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MLLSLFKGFSSSKGFRKIDTDKWEFFNEGFQKGKKHLLKSIQRRRTSQSQQVCNYVGSSSDAGKSGVEVEIERLRKERTVLMQEVVDLQQQQRMTASHAGNVNQRLQSAEQRQKQMVSFLAKLFSNPEFLARLKQKKEQKDIESPRVRRKFIKQNQNEEENLKDGKIVRYQPNWGNMNMSCETQELSPVSIENSPHYLSHDLAKEMSTGEEDFTSQIENISSDEYAGMHGIFMSNSETNIIEEGSSSFGLDEPLFKGKNVISPNEEVIPENFEGFQSIGTESIIKQEDIWDPSFNVDAGATSNCGNEMWDPMNYGISDFGVVTSGDMSDMWDIGFGSLGIDRWPADECPFDKIDSQGGKHDRPT >RHN79481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29427790:29433974:1 gene:gene3276 transcript:rna3276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-deoxy-manno-octulosonate cytidylyltransferase MHITEDNGSEAIIHFATVTFSPSAMTICSSSDSSSSSSSSSIDSSSSSNNNLKTWIIHGILFGVSIAAVAGARAYFNRFNKFRSRVVGIIPARFASSRFEGKPLVHILGKPMIQRTWESAKLAASLDHLVVATDDEKIADCCRKFGADVIMTSESCRNGTERCNEALQKLERKYDIVVNIQGDEPLLEPEVIDGVVKALQAAPDAVFSTAVTALKPEDAHDPNRVKCVVDNRGYAIYFSRGLIPFNKSGKVNQQFPYLLHLGIQSYDTKFLKIYPDLQPTPLQLEEDLEQLKVLENGYKMKVIKVDHEAHGVDTPEDVEKIEALMRQRNLS >RHN63435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51289051:51293628:1 gene:gene26133 transcript:rna26133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase CMGC-CLK family MEMERVFEFPHTHMDRRPRKRARLGWDVPETPKAQVELFFGQELGNISSYAPSGVPSEHTTSSLSVKGVARNGSPPWRDDDKDGHYTFAVGENLTSRYKIHSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYREAAMIEIEMLQQLGKHDIGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECIAFMHDLRMIHTDLKPENILLVSPDYVKVPDYKISSRSPNSYFKKVPKSSAIKVIDFGSTTYERVDQSYIVSTRHYRAPEVILGLGWSHSCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLPMHMLKRVDRHAEKYVRRGKLDWPVGATSRESIKAVMKLPRLQNLIMQHVDHSAGDLIHLLQGLLRYDPSERITAKEALRHSFFMRRSH >RHN56176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28517184:28518299:-1 gene:gene31537 transcript:rna31537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MNMVRNNFLSDNPSYHHNVSLVLVRDVPNRDIFKGVLYSFFGERFENRAKLNLPNPFQEGSEAPYRFHRFNILNLGDFNNFICVQCHLRHNSKWDARFALWNPTTDEFKVIPHSHNRFQPFGANASHDVMNFHSSSHVFGFGYDSCTDDYKMISYVTFSAPPFLECIGYEPLGDTPEPFWEIYSLKSNSWRKLDIVIPITQVFAGMEAKVYMNGMCHWCITINSDSDSYFEFESKLVSFDLNNEVFFTTPIPSDIYDGSPREERIWKQLVVLNGYIALITYEEQMTTCNISILSELSVKESWIKLFIVGPLHCVEEPFGMAKGKIIFKKKDREINWFDLRTQMIEELDIKGEYCDIAVYKEGLLPIGGINM >RHN81564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47059604:47060497:-1 gene:gene5630 transcript:rna5630 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGLKHTQKQVVCESTKVFDTFDELYKTTDVSDLNFSDQEGPSKEGDNVDVAEIVAIQKGIDPSTLPLHKFFDLLHEDCELPLGEVRLADKDPNHASSVTLLESAEIVEKVSKEIDRLDQDAHSKVSVNVENDTYEPVILKTKDTTLSKQHNKTGRQFFLSVDVVSAQSARDPSFNAQIALYENDTFGTSILMPVITSDAGLEPEKGRASKHVMLHADKLHISVTSPPELCSNAKQLPLNDIFVPLQSGYLNATIITPITTYDENFGPDKGRISQPANSKNTSEACKKSEKLLRKL >RHN55321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17297058:17298170:1 gene:gene30487 transcript:rna30487 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRVLQFYVMNLRSWLMHLILGNLHPNQTSLAIRPMVLIHHGSAHFATVIIILLTRVIVCMDSPHLQRTNSSQVNSAAHEGSEFGNDSSATLDKGTPPSLTHDQYTKLIALIQNSSIAPASASANLNQVCSSQTVGHPSTDRNGIVSVYSSFCNNITFGSWIIDSGASHHICASLHWFHSYSEISPMTIKLPNGHQIITKYAGTINFSADLTIKNVLYVPNFHINLISVTNLCFDSNCLVSFTNKKCHTGTESLEDDWFS >RHN72436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7603147:7604619:-1 gene:gene8146 transcript:rna8146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MLTAKSFMMFSKKASLVTVSSLLKLCNGCCSRHVANCIKDCNTSRAIYTAAKRWGFRLSGGYQADDSSDYQQNRAGDGKVNEAVDILQVLEKLYIHMDLQRCLQLMHVCGKTKSLEEARVVHRHALQHLHPLTVSTDNRVLEMYFECGSLDDAIDVFKSMPEYDLTTWHTMIMQLAKNGFPEDSIDIFTQFKNMGLKPDGQMFIGVFGACSMLGDISEGMLHFESMSKDYGIVPTMAHYVSLVEMIASIGHLDEALEFIEKMPMEPSVEVWETLMNSCRVHGNIELGDRCAELVMKLDPSRLNEKAKAGLLLGEISEQNKSTINNHLEVQSQVHEFRDRDAYDPKNYKICALLRGLRVQMKEAGYIADTGHVLHDIDQEGKEDALLAHNVRLAVAQGLFNSKVRSTIKVIQNRRFCGDCHTAVKFISKLVGREFIIRDAKRFHHMNNGLCSCHGYW >RHN72215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5860852:5862990:-1 gene:gene7901 transcript:rna7901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MDNENCFQGTKTLKQRREEERKVAEASGDTRAWNSLFMRPDTIVENVARKYGVSKSDLLDREADDLAVRIALGETQNLPYGATENELAKILGKFGSLDKIILASTKTLALVFFLSPSFHDMSMYFLYFGEALNYLEMVFQVVFLEPTEAKADFTGLAYKRYKKVIEVWRNYRLGLRCSI >RHN44782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8403846:8410347:-1 gene:gene38944 transcript:rna38944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ACT domain-containing protein MGIPSDDLVVIQHAKNANEPTIVTVNCPDKAGLGCDLCRIILEFGLRITRADISTDGRWCFIVFWVIPHPASLKIDWENLKTRLLSPCPSCLFSYNFNQRNPSPPPIYLLKVWIIDQKGLLHDINEILCNLQLTIQRVKVMPTPDGRALDLFFITDEMELFHTKERRDDVCQYLSEALGERCISSELQLAGPEYGHLQGFSSLPPAYSEELFGPELVDKVSLHPLSQDMTTLKTPTVTVDNSLSSVHTLLQIQCVDQKSLCYDLMRISKDSDIKVAYGRFNSSAKGFQNIDLFVQQKEDGQKIIDPERLKTLCTCLKEEMLHPLRVIIVNRGPDIELLVANPVELSGKGRPRVFYDVTLALKALGVFIFSAEVVRHSTQERQWEVYRFLLDESRDFPLNSSKARSQIVDKVRRTLMGW >RHN49471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55010796:55011385:1 gene:gene44308 transcript:rna44308 gene_biotype:protein_coding transcript_biotype:protein_coding MYVNLITSGSQPYHSLLMCHINIFGAYYFITEFCPRKWIYKPAIEELHQFLEFQLPRQCATMYGDLPLVF >RHN38903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2739821:2740186:-1 gene:gene44880 transcript:rna44880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Band 7 domain-containing protein MKFFGFSRAIIAYKLKQRGMNFDINKRKKVKKSSLGQCREALLDKLKIRVRMVEERSVLIMSRFGKYRALPVGFHRTVPFLDRVVKTISVEEQHLKTNELVHSVEMLQITLDTSFFTKGNL >RHN49274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53734236:53738199:1 gene:gene44088 transcript:rna44088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative importin subunit alpha MSLRADTSSSSSSSQRKNASTGVESEDGHRRRRAGGFNMIWKNILPGKPSFSKKRKLFRADTSSPMPTEGPPFPVYISDAEYDVIMAKGLLSDDPAAQLEATTQFGKLLSGGHIYLIDKVKQADVVPRFVQFLTRDDMPQLQSMAAWTLTNIAAGLSEHTNTVIEHGAVPLLVQLLSSGCDDGKEQALWALGNIAGNSLTARDLVLNNGALSPLLSLLWNPSTKKSTWRIAIWAFVNIIREKPPLTLEDQILPALSGLRELLLMPDESVQLYACSILYWLTQDCSDEMVQAIVEGNFCPRLVELLLYPESKVVVPALQVLGEIASGNDAQTQVLINCGALRCLKDLLTQSDKIILEEACYVILNIAGGNIAQKQDVIDADLISSLVRLTKADFDIRKEALWAISNVTEGTHEHIRGTDASMLERCLTGLKLKNILKAGEINKDKGVNVYAKMIEECAGSDKIESLQSYDNKTISEIVVYILETYFPGDLEAIAQEKP >RHN43804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48626648:48630118:1 gene:gene50431 transcript:rna50431 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPISHLFTLTLTPAVGFPPGSDPSAPITVMATKLFPLLFLLLFIAYFFHLVLFCSLKMKMFCSLKMKILNFFILISSMTGHTNLEI >RHN51233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15242534:15243139:1 gene:gene35637 transcript:rna35637 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps4 MSRYRGPRFKKIRRLGALPGLTSKGPTVGSELKNQSRSSKKSQYRIRLEEKQKLRFHYGLTERQLLKYVRIAGKAKGSTGQVLLQLLEMRLDNILFRLGMASTIPQARQLVNHRHVLVNGRIVDIPSYRCKPEDIITAKDEQKSRTLIQNSLESAPREKLPIHLTLDPFQYKGLVNQIIDSKWVGLKINELLVVEYYSRQT >RHN60102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20303708:20304834:1 gene:gene22324 transcript:rna22324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MSRDFDCFKDLKKEVDVWKIGFRVLDSWIVTASNGNQHMELIIGDAKFQMQKIIKIVDMMKAERLFESQGGPIIMSQIENECGPTEYEIGVSRFTEFGGPVPHRPAEDMAFSVARFIQKGGSLHYNNQTNLKL >RHN80698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40178375:40178911:-1 gene:gene4655 transcript:rna4655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MNPKISDFGMARMFTQQESTVNTNRIVGTYGYMSPEYAMEGICSTKSDVYSFGALLLEIVCGRKTIASMMLIAH >RHN46944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35917170:35919708:1 gene:gene41483 transcript:rna41483 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKQNKKRKSEKLYIFLKKNSNITVKKKVTAFHLISPSMEGPKAHVPVAPAATQQTLQTPSTKKRPFESDSNSTSNYIKIRALVRDLRPQFIQVLQTPDYKNCSASIEIRDKLKIVLKLYNDMKADVVSLAKQQPPRFKSPEQTQVEKTFARPPVVEDRQALGTHVVGGSAFGWNFITFPAMDSVYYGRTKEQFRSGKMTE >RHN82124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51411138:51415715:1 gene:gene6250 transcript:rna6250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MAPLITPVAAIVAGKINIKLRVRVVHVWTVSEFNNPNEDNSIHMLLLDDKLGKIQASAKKHLVPRIRSNVEEGSTYDIENVLVTKNDPKYQVTQHRFKLNLIDNTKFFKIDAATIPLNHFDFMPFNEILEAEREEKVVDVIGQVVERDELKERDVNGRRSKIMDLTLQDSESRRVHCTLWANYAERMNSFLAAHDPSSPVIVLIQQCKLKKYQGIMGVSNAFFGTKLLLEGDLPEAIEFKSKIDGGDVQVSQSISQNTTSTVVSLVDDMLQTKRMTIEDLIEATEVYYVTLYELK >RHN67217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25007449:25009574:1 gene:gene15377 transcript:rna15377 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQTTIQQFRLLDDGNVRLNTPQREDTEGLELLCWKRCREKKES >RHN72668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9578444:9578875:-1 gene:gene8413 transcript:rna8413 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQYLFMFLFLLGFAQSQSTTIHPFNHTDLQAAIGDMRAKIFYGFAMLLQMLNGTSQPIRELTFFMPGDRELSASAISANGIEDFILSHAIPMPLVFNDLSHFPTGTLVPSGMSSKMMRMIITVVEESSLLIMRKLFRLIFV >RHN69594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44751416:44753220:1 gene:gene18082 transcript:rna18082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MSETLRLAVAVLGNAASVSLYAAPMVTFKRVIRKKSTEEFSCIPYIIGLLNCLLFTWYGLPIVSYKWENFPLVTVNGVGIALELSYVLIYFWYSSPKGKVKVAMIMTPVLLVFCIVAAVSAFSFHDTAHRKLLVGSIGLGVSVALYGSPLVAMKKVIETKSVEFMPLPLSLCAFSASACWLVYGILVRDVFVAGPSVVGTPLSILQLVVYFKYRKARVVEEQKIGDLEKGSIELEKVVKVEKIVTNCEQC >RHN43521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46472874:46473510:-1 gene:gene50116 transcript:rna50116 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRMSLDLTGYFPTQIFPKRMNLNCPCSDLRVFHFRQKTIRLRDKILWIRVHEIMTCSMKVSFYKTIQIMNGH >RHN63919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55042567:55044481:1 gene:gene26675 transcript:rna26675 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQKSLASFRRQGSSGLVWDDKFLSGLNQNQLEQESASTGTLERSRSAGARPYRSVNVAPTSIDPPSPKVATCGFCGLFGKPVAASSAHKSNNPKSRKRRS >RHN51064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13516742:13518402:-1 gene:gene35435 transcript:rna35435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABA/WDS induced protein MAEEKHHHHFHHEDKSGYVEEVDYRKEEKHHKHLEHLGELGTAAAGVYAMHEKHEAKKDPEHAHKHKIEEEIAAAAAVGSGGFVFHEHHEKKEAKKEDEEAHGKKHHHLF >RHN38778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1909686:1912301:1 gene:gene44744 transcript:rna44744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MGTIDTTKPNFDSILSERIAFDETKAGVKGLVDAGVKKIPTLFHHQPDKYEEANNTSHVIPVIDLKDIDNQDPSIHQGIVDNIKEACETWGFFQVVNHGIPLSVLEELKDGVKRFYEQATEAKKSLYTRDMNRSFVYNSNFDIYSSPALNWRDSFACYLAPDTPKPEDFPAVCRDVILEYGKQVMNLGTLLFELMSEALGLNPNHLKDMGCAEGLIALCHYYPPCPEPELTVGTTKHSDNDFLTVLLQDHIGGLQVLYEDKWIDITPVSGALIVNVGDLLQLITNDKFKSVVHRVLANQVGPRISVACFFSTALKASSKLYGPMKELLSEDNPPKYRETSVADYVAYFRAKGLDGTSALTHYKI >RHN76115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47803430:47806319:-1 gene:gene12394 transcript:rna12394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MNSETETPSSTTSFWCYSCTRFIHILNQNNVVCPHCQNGFVEEIHAGQSPAVSLFADGIQSSRRQTSRRRRRNAGGSRSPFNPVIVLRGPREDTTAGVGADAGGGDSEGNTSSFELYYEDSNGTDLRPLPPSMSELLLGSGFDRLLEQFSQIEINGFGRSENPPASKAAIESIPTVEITDSEMESEIHCAVCKEQFELGSEARKMPCNHLYHSDCILPWLSMRNSCPVCRHELPSDQNASESRISGQIDEEAVGLTIWRLPGGGFAVGRFAGGRRAGEIQLPIVYTEMDGNNSNEDSRRISLTVGSNRVRERRGIRRIFRNFLSFFGNVNLNRSFSGSLFRRSSRRRSRTWVTEE >RHN76494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50770195:50771517:1 gene:gene12833 transcript:rna12833 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLQRSAVSFRRQGSSGFVWDDRFLQEEINKMNQNQNQQEQEDKDEIKEMNRDATSPQLGPINTVQRSRSNGGGRGYRTGKVSPAIEPPSPRISACGFCGAFGKVGEKRKPPKHRSR >RHN41536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30864074:30871563:1 gene:gene47870 transcript:rna47870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rhamnogalacturonan endolyase MMKMKVLSKKNKHVILYWWFGMIVQFCFLLCVCAEKTSLRGGINSLKSKSIVKLNTQDKHKLVVDNGIVSVSMARPEGYILGISYNGIDNVLDSENQELDRGYFDVVWNEPGEQNLSKSTFQRIHGTNFSVIAADENMVEVSFSRLWTHSMSGKNVPINIDIRYIFRSGDSGFYSYAIFNRPKGMPGIEVDQIRFVFKLDKDRFKYMAISDTRQRNMPAMKDRNTGQVLAYPEAVLLTNPINPQFRGEVDDKYQYSCENKDNTVHGWIGFDSDPPVGFWMITPSNEFRNGGPIKQDLTSHVGPIALSMFVSTHYAGKEVTMAFQEGETYKKVFGPVFVYLNTASSENDNATLWSDAVQQLSKEVQSWPYDFPQSQDYFPPNQRGAVFGRLLVQDWYFEGGRYQYANNAYVGLALPGDAGSWQRESKGYQFWTQTDAKGYFKITNVVPGHYNLFGWVSGFIGDYKYNSTITITPGGVIKLNSLVYNPPRNGPTIWEIGIPDRLTSEFHVPEPYPTLMNKLYTEGRDNFRQYGLWERYTEMYPTDDLIYTLGVNKNKDWFYAHVTRNTENNTYEPTTWQIIFEHQHDLKSGNYTLQLALASAADAYLQVRFNDRSVYPPHFATGHIGRIRGDNCIQRHGIHGLYRLFSIDVPSNLLLKGKNIIYLTQTNADTPFQGVMYDYIRLEQPPAT >RHN50907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11734053:11734229:1 gene:gene35260 transcript:rna35260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSLYVASHHNNSKQLCVVTFGDNRVIKAWDAVTGAKQYTFEGHEAPIYSVCPHHKESI >RHN50730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9868419:9870399:1 gene:gene35054 transcript:rna35054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSSLNLNNDGYGFSLDLNISSLPSPLPSSSSTPLLGHIGNNESRKFKIPFGISNPSVILPNESMVKNNEGNFGDERKNLSMKGYKEENEKFGNTKLSIRGHWRPSEDAKLKKLVDEFGPHNWNNIAEQIHGRSGKSCRLRWCNQLDPRINRAAFSEEEEEKLLHAHTLHGNKWSHICKFFPGRTDNALKNHFHVIMARKQRELLSSISRKRKLTFQIPANNNVASKSAITSPFDESNSTCTNLNLTTRPLLNYHAYGSQMSLLRERKVEAVDYGFAKICSAMRGCSQGHMGKLKSVDQSNHSYSNSEVSVSESVATNMNNGSILGESENVRDKIKVSFIDFLGVGDTYGTFC >RHN81893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49610709:49612385:1 gene:gene5990 transcript:rna5990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TCP family MEVNPNGGDVLCEENKTDSTEVSPSETTEPAAALMKEELTETENNSLIPVPVQTMTVAAAKRSSKDRHTKVEGRGRRIRIPATCAARIFQLTRELGHKSDGETIRWLLEQAEPSIIEATGTGTVPAIAVSVGGTLKIPTSSPARPDGEDAPVKRRRRASNSEFVDLNDQVSMCSGLAPIAQTAYGAAATSSGGGSGENGGGLVPLWPVVSNGVGSNTTGPFFMFPNSTLMNQPQFWAIPAAPFFNVQTRPISDFVSAMQMPQEPSNAATAYANSNASSTLAPSLSSATSTSCSATTSSSSATNTQMLRDFSLDIFDKKELQFMGCSSANLESSPSSN >RHN45169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12590002:12590355:-1 gene:gene39391 transcript:rna39391 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit K MNSIEFPLLDQKTKNSVISTTLNDLSNWSRLSSLWPLLYGTSCCFTEFASLIGSRFDFDSYGLVPQSSPRQTDLILTAGTVMKMAPSLVRLYEQMPEPKYVKLIYLSKCLETFEMPK >RHN55870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24903758:24905367:-1 gene:gene31148 transcript:rna31148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEDQIHNNTKRINTNSNSTTCLKLFGFSVQEENEDSSPPPPRQPPLEDGTGNTSPSGSTESVSGDGGASSINPTSGDRKYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQASRNAAVSFVRNPIISAFAPPHHLLSSPGQVMMPTAPPSWVYMPPRAAPPPFHVSVSHGCAFPSQNNSNNGNSYSIITGARSASAGVLPCVSGVGNSSPTLSTMGSQIQSLAQFGKLDGPSLSRFSKGDVGPNFDEGFGLDLHLSLAPP >RHN46934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35870385:35870705:-1 gene:gene41471 transcript:rna41471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I12, Bowman-Birk MQLNKLHAMMKISLLLFLGFIATMVDARLDPSPTTLNGVDFKLLNEVCCDDCSCSSSTFPPCTCNDSKRECNPGCDNCACETGPTCHCNDYFFSCNKCTNSKDGAN >RHN45327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16694144:16695710:1 gene:gene39600 transcript:rna39600 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTSQASRSLLESQTSQSESQASQSLFPLTESLFPLLSSQLELLFYSQLESLNRSSQSKISRSDFCSVRFLLIHVFNL >RHN57410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38830140:38830581:1 gene:gene32951 transcript:rna32951 gene_biotype:protein_coding transcript_biotype:protein_coding MYNEVLDAHEKSNNLENNLKDITKEHKNFIKEKEVIEKQQTTAFNKRAELDVKDLQEKISRNERDKGDAEKELKIFEKQIEDSMDELGKISPIYDGLVQREKDITMRYNVVVHVY >RHN76163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48141236:48145502:1 gene:gene12448 transcript:rna12448 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSNGNPNSTSPLPCLVSIPPITLSRRHRLSATTVEPRCPISFSKPMAWINLEGRVVNANEASAARTIGGGLSDELAFAWDLFPPIHRFLIVAVIGVAAAQSKKDREIFNLKKSVELRDQLLSSMQEKLDNLCEQFNSSKENTVAAVNKLSTKDGELQLDETFGSERIKFVDCGCWHCDEHSSFCNEYMGALSRRASGGNEVLQYKSPFSNEDQEERRMSGLSDLASSVTSAADIQFNNLAVEQDVYNLKRDSEEKETTIKELTTLLNSSEVANSKRVSELEDIIRRKNTTISKLKKDLVVLEQKVMQLSRLRRPSFSASIPNEIQLPQMRDNLIYDMDSTTSPSSSDSDSSPVNNVQDLPVDVIMPIKSSESTIGQMSTPAKSLNSSGGLIERRSKFRSAGPLKEIPSYQKSNAASSSSQKQLSPRKDLKKSRRRSLNGTKCASANKRWV >RHN59935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14969391:14970098:-1 gene:gene22106 transcript:rna22106 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIGIICLRYPLVLLITHRSPRLHSAFPCTLLSMRYLWLILLEYFYIHCMFIGKNSCPHRIDKTLDKSLYRGCNPHLMNRFLRMSWASNFIMVSEPVDFKGHLPIHAPIPKSATGRDDHSRTKAQRMLGVTGVYWEEKKNMSHIG >RHN73899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21384937:21385086:1 gene:gene9791 transcript:rna9791 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCCKLEHLKYFCKYNNHHRTGAKNTVLYLTYFELCHQLDPSGPFNVH >RHN69652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45256207:45256347:1 gene:gene18147 transcript:rna18147 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHLFGCFSFFDCSSLCWFISLVVVSSLVALLFLCLLFDYCSFFG >RHN59168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8135016:8136442:-1 gene:gene21161 transcript:rna21161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MGTVRVLSTDTIKAPKSSNQTIDLTPWDLRFLLGTTNPKGLLYHHPVEANQIQRLRHSLSSALAFFQPLSGRLKITEHNDNTVSCSVICNNAGVLFIHAAAENTCVADILEPTYVPPIVDSFFALTGVESYEGTSKPLLAVQVTELVDGIFIGCSFNHVVVDGESVWHFINSWAEISRSCCHHQISKPPTLERWFPNGVQQPIRFPFVYGDELSFSSSNEEKLCLSNRIFHFTKEKIVQLKSKINDEIGTIKISSLQVLLTHLWCSVMRSIKFDPQEEVFNIVVIGVRPRLVPPLPEYYFGNALISCMVKMKVGELVEEGGLCKGACEMNKLIVSHTDEKLKNHYESWLRNPSFARYPNMAKNNFIAISSSPWFDVYGNDFGWGKPVAVRSGYKGNGLITVFAGIEEGSIDLQVCLSHEILEAMGNDPQFMDVASN >RHN52412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35099817:35101069:-1 gene:gene37086 transcript:rna37086 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSNYSVLTRKDRFDLEEVMCDKEPTFMTSFCKSRDLCSLESDLMNCYCSDIM >RHN58517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2414992:2417547:1 gene:gene20437 transcript:rna20437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubby-like domain-containing protein MNNEELVIQDEYVYKEETNLTVLKTSRFFSGDGFVVYDCKGQLVFRFDSYGPNTRDKEELVLMNTHGRSLLTLRRKKPSLHQRWEGFKGERKDGDKPIFNVKRSSIIARSRMTITVEVHDNPGVEYLIEGCFPQRCCKIFNATKKLVAEIRRKVDPTTSVMLGKEVFMLCVQPDFDVSFAMGLVLVLDQINGENFFDNGTIETSVHPTTED >RHN41906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34016066:34017594:1 gene:gene48275 transcript:rna48275 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEPERVPATVIGFVQFLLVFGAVLCWVRGYLCCSVSFVEFLSRVWSLLCGWLPSFGLGPVAVWEDVSSVWWP >RHN60785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30394546:30398245:1 gene:gene23161 transcript:rna23161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRMEHTTKIQFCVYVLIIFLSLFLVVTNGDKPRYTPRNAVKIAECVSYTDCQGGCPACYMRLTWFFKYILFFLSVHGLPSRNS >RHN58891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5749680:5751980:-1 gene:gene20847 transcript:rna20847 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSELTQLVYLDVSSNNLTGTLPSFNMSKNLTYLSLFLNHLSGDLPSSHYEGLKNLVSIDLGFNSFKGNVPSSLLKLPYLRELKLPFNQLSGLLSEFDNLSLPKLEMLDLGNNNLQGHVPFSIFKLRTLRVIQLSFNKFNGTIQWNVIQRLHKLYVLGLSHNNLTIDVSFRKDHVDLSPFPEIRNVMLASCKLRGIPSFFRNQSTLLFLDLSGNKIEGSIPNWIWKHESLLYLNLSKNSLTSFEESNWNLSSNIYLVDLSFNKLQGPISFIPKYAFYLGYSSNKLSSIVPPDIGNYLPSINILFLSNNSFKGEIDGSFCNSSSLRLLDLSYNNFDGNIPKCFATLSSKLGMLNFGGNKLRGHIPDTISPNSCARRYLNLNDNLLNGTIPKSLVNCNKLQVLNLGDNFFSDRFPCFLRNISTLRIMILRSNKLHGSIECPNSTGDWEMLHIVDLASNNLSGTIPVSLLNSWKATMRDEGVLGPEFGHMFFDLDDNFHPVSFKSVLPTLGKSVSMNLIKLLGKMSRSIIDQVYSDFKILARYQDSIIIVNKGHQMKLVKIQSAFTYVDMSSNYLEGPIPNELMQFKALNALNLSHNALTGHIPSSVGNLKNLESMDLSNNSLNGEIPQGLSSISFLEYMNLSFSHLVGRIPLGTQIQSFDIDSFEGNKGLCGSPLTNKCGDDGNQGLPPPASETPHTNYESSIDWSFLSMELGCIFGLGIFILPLIFLMKWRLWYFKLVDDILYKFIPQLDFVYEQHKGKRYRTLRRRY >RHN64709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61180485:61181870:1 gene:gene27557 transcript:rna27557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MIANMSSSSSSSKVTLKSCYSVRPMEPTWYGRLPLSEWDQIGNITHVSTIYFYRPPQKWLTSPNKIATTLKDSLSKVLVPFYPLAGRLQWKESGRFDVECNSLGAQFIEAESSLTLSELGDFSPSCEYYPYLIPHIDYTRPIEDIPLVIVQLTNFKCGGASISLLISHAVADGPSALHFTSEWARLARGEPVRTMPYFDINVLSSRSNVNVNEWEFNEPPLLLGNSNNVEERKKKTTVAMLKVSKTQVEKLRKTANESWEKPSNGRGYTRYETLTGHVWRSASKARGHANDQQTSLGVCVDWRNRVEPNLPKGYFGNGTLDVVATSLAGDLISKPLGYASSRIREAIEKVNDEYVRMGIEYFKKQEDLTKFQDLHAKMGSDDKGPFYGNPNLGVVSWLTLPIYGLDFGWGKEVYMGPGTHDSDGDSLLLPSYDDDGSLLVAICLQEVHMDAFKRHFYQDIV >RHN39990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12089405:12089797:-1 gene:gene46077 transcript:rna46077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Homeodomain-LIKE family MSHRNTKCCQKDILAFVCYLGKQKLMRMLLKNFVTRYKRFSTKFTHEQRKKMLDFAMTSGWKIKNNYQNVVEEFCNEI >RHN56837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34102710:34104477:-1 gene:gene32296 transcript:rna32296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MSKGDNVVVLDFWASPFCARVKIALEEKGVPHVDNEEDLFGKKSELLLKSNPIHQKVPVFLHNDKPVLESGIIVSYIDQVWSSNPLLPADAYDLAQARFLADFIDKKVFDTGRSIWASNGEEREVGTRDFIEVLKHLEEALGEKDYFGGDVFGYVDIIAIPHSAWFLAYEKLGNFKVEDHSPKISAWIKRSLQRESVKKVLPDPEKVYQFVLHFRKISGLE >RHN45056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11228600:11230764:1 gene:gene39253 transcript:rna39253 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAYVANECLTFCSQYISGVETRLNRPRRNDDQMDSTLKDNVTFLHPIGRPLGVKKKQKFRLGKRRRVSRTKLEKKELTQAHRYVLSNCDAVSPYIDEHLELLKRQNRKQRLSQLEIDKQHGQKFTEWFKQRIHRLDEEGSRQVTDKLRWLARGPNEVVRRFTGYAINGFRFHTKERERYLKTQNSGVVVKTKTSKDEVNYYGAITDIFQLDYSNNYKVVLFKCDWVDIKKGVKKDKFGTTLVNFK >RHN63707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53413162:53414583:-1 gene:gene26443 transcript:rna26443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction METKNVDLPHELMIQILLRLPVKSLIRFKCVCKYWFSLISRDSHFANSHFQLSAAKHTRRVLFISTSARLTRSIDVDASVDHDSASLNLHFLLPESYSDLQIKGSCRGFILLHCSLTLSLYLWNPSTGFHKKIPLPPFASHLDDGIDYFYGFWYDPLTDDYLVVSMSVDPSHLEIFSLRANTWKEEIHFTQFSCVGVSEFEPKPGLFFNGAIHWFAFHLGLRLDVIISFDLIERKLFYIHFPDEFNREPHDCGLWVFGEFFSFWAMDYDNDTVEIWVMKEYKVRSSWTKTHVLPIDGIPTQYFSPLCSTKSGDIIGTDDLNRLVKYNDEGQLLGHRSYYDNLRQCQVAMYTESLLSLPGDNEQA >RHN59145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7870788:7874329:-1 gene:gene21136 transcript:rna21136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MDRTTDKHQQQHQQQLSLAKNVRQRYNEWIFRDVPSDITIEVCGVTFSLHKFPLVSRSGRIRRLVAEHRDSDISRVEFLNLPGGAECFELAAKFCYGINFEITSSNVAQLCCVSDYLEMTDDFSKDNLSSRAEEYLDCIVCKNLEMCVGVLQQCESLIPLADELKIVTRCIDAIASKTCAEQIASSFSRLEYSSSGRLHMSRQAKCDGDWWIEDISVLRIDMYQRVITAMKCRGVRPESIGASLVNYAEKELTKKSSLWNQSNQNKTDSNSTLHEKLVVETIVSLLPVEKLVVPINFLFGLLRSAVMLDCAISSRLDLERRIGSQLDLSTLDDILIPSFKHSGDTLFDVDTVHRLLVNFCQQEDSDDDLEDGSLFESDSPRSPSQTSLVKVSKLVDNYLAEIAPDANLKLSKFLVIAETLPAHARTVHDGLYRAIDIYLKAHQGLSDLDKKKLCKLIDFQKLTQEAGAHAAQNERLPLQSIVQVLYFEQLKLRNSLSTTGDDDIKPMHASWRISSGALSAAMSPRDNYASLRRENRELKLELARLRMRLNDLEREHVCMKRDMAKSGSRKFMSSFSKKISKLSLFGQHSSSRETSSPSRNSHRTDSKVIERTCASTE >RHN55042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14659017:14670074:1 gene:gene30167 transcript:rna30167 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:pi3k MTGNEFRFFLSCDINLPVTFRIERLEGNLPFPNSLNSENDDGTAELYVECALHIDGAPFGLPTRTRLESTGPSYCWNELITLTAKYRDLTAQSQLTFTVWDLSHGEGLIGGATILLFNNKKQLKTGKQKLRLWAGKEADGTFPTSTPGKVPRHERGELERLEKLLNKYERGQIQRVDWLDRLTFKTMEKIKERESLKNGSAHLYVVVDFCSFEHRVVFQESGANFLLPSPIASTNDIVIVWDPEVGKINPSEHKQLKLARSLTRGVIDRDLKPSSNERKSIQRILKYPPTRTLSGDDRQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQALELMGKWEMIDVCDALELLSPVFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLSLFLVQRALSNIELASFLRWYVAVELYDPTYAKRFYCTYEILEEYMMKMGAGANGEEDGFKRWQSLVRQTELTAQLCSITRDVRNVRGNTQKKIEKLRQLLSGLLSELTYFDEPIRSPLTPDVLITGIVPSESSIFKSALHPLRLTFKTANGGTCKIIFKKGDDLRQDQLVVQMVSLMDRLLKLENLDLHLTPYKVLATGQDEGMLEFIPSRSLAQILSEHRSIISYLQNFHPDDHGPFGITANCLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGSLFHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFYLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEACIHFFQDLINESVSALFPQMVETIHRWAQYWR >RHN62725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45449957:45458599:1 gene:gene25326 transcript:rna25326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endoplasmic reticulum vesicle transporter MDKVFNKLRNLDAYPKVNEDFYNRTLAGGVVTVVSAAVMLFLFISELRLYLYTVTESKLLVDTSRGETLNINFDVTFPAVRCSILSLDTMDISGERHHDILHNIMKQRIDANGKVIEARKEGIGAPKIERPLQKHGGRLEHDEKYCGSCFGAEESDDHCCNNCEEVREAYRKKGWALTNIDLIDQCQREGFVQKVKDEEGEGCNIHGSLEVNKVAGNFHFATGQSFLQSAIFLADLLALQDNHYNISHQINKLSFGHHYPGLVNPLDGIKWVQGNDHGMYQYFIKVVPTVYTDIRGRVIHSNQYSVTEHFKSSELGAAVPGVFFFYDISPIKVNFKEEHIPFLHFLTNICAIIGGIFTIAGIVDSSIYYGQKTIKKKMEIGKYR >RHN54933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13678409:13680571:1 gene:gene30035 transcript:rna30035 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVYEELDEAKGEIKKLKAELRGKKRSYKNLKRSHDVQVNQIQEAISKAEKLEQELLQKADEFIYADQIHECHNSNFSLVERQEQHGTNDQLKQFKPCTKECESEKSTLLDEISFLKSKLDSHIKVSQDLQHQLHMCKQLLAHEESQRKSIEVEVLDLKSKSEGLNSQKDKDIEDLRKALKIQEVYYKESKYSNEKLEQENQQLRKSLRELQESQDARASYSISMLRSNLRGLQKTHRECVKIFKARQVEWSFQLEQMSDNIDNYRYALEVKAATIEKLKKELECSQSFNIEMMLLNEEMFVMLLVLKEGISEHNELQNSQRKEHNIHKDMLEESTKCKTKMDLKEQLFEVYNALDKPNIELDDRTCEISEMEFELQMWKSFVKSLKNDLEESRVMRKALENSLLAQVDFNVRLKQKIDSLEHKLEEEENKINYLQLHLFVLEQALKERDAKASEPEQFRREFDSVVIEKCNVERTNEFEKEIPIKGKNMAKNELMKYVTSLKKEFISSLIPFNSQLMEKHAEIIQVQEVCDKITEAEALAIIEFEEKKLMIEELEDDINDMENKLKLQEENLSQLKLLACDIEMEIDAKQLKIKQLNDHLENKLRGSDVLLQKIKIENRSLLDNGARLSLERENLLSFIMGLGDKMNDCTTADTNLVHVLRSLVQSFEKECVGGMNLKNDDGLFVKENMIVHSPTGLNKPESLSDIRSPFVELDNKLQ >RHN64411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58845790:58850485:-1 gene:gene27227 transcript:rna27227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MNVFLVQILCFILLTTSTTISLFIKASEINVESYETDRIIDLPGQPSNPSISHFSGYINVNEEHGRALFYWFFEAQSQPSNKPLLLWLNGGPGCSSIGYGAVVEIGPLLVNQNGEGLKFNPYSWNQEANLLFVESPVGVGFSYTNTSSDLTILEDHFVAEDAYNFLVNWLQRFPQFKSRDFFIAGESYAGHYIPQLAELVFDRNKDRNKYTLINLKGFIVGNPETEDYYDNKGLLEYAWSHAVISDQQYEKAKQVCDFKQSQWSNECNQVMSEVFHDYSEIDIYNIYAPSCHSNSTSSVTVTNNVPESFSKVKNDYRLKRMRIFGGYDPCYSTYAEEFFNRIDVQSSFHANNIRENNNIAWKVCNNSILRTYNFSVFSVLPIYTKLIKGGLKIWIYSGDADGRLPVIGTRYCVEALGLPLKSTWRSWYHNNQVGGRIVEYEGLTYVTVRGAGHLVPLNKPTEALSLIHSFLTGDHLPTTTNTPP >RHN75716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44497310:44500931:1 gene:gene11955 transcript:rna11955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MMLIRSKICKTVNPYLVSRSIQMYSTPPIGFTELEKRILKNGNPKSSMLPILNQWVEQGGDVIEPVLKRIIARLSNSRRFSHALQVSEWISNNSNNDLSSGDIAKQLNLISKVHGLEQAEKFFKGIPEAKIGFKIYAALLGCYAEHKSLEEAEVIMKKIKELRPAHMTVCYNMMLKLYAQKGKYELLDRLMQEMKEKDICNGATFTIRLNAYANSRDIEGMEKLLMQMEADPMATVDWYTYSTAANAYMKVGNVEKGSAMLKKSENLVNAQTSRIPYESRRLAYESLQTMHAAIGNKDAVYRLWNRCKNLRNTLNSSYLCMLSSLVKLDDIEGAEKILQEWESGNTTFDIRIPNMMITAYCKWGLLDKAEAYIKRLLDDGKELDGSSWDRLSSAYKTDNAMEKAVQAIKKATLGSRPGWKPNAWNLVDCIKYLKEQADVEQALEILKLFKEKGHISDTTYDRLVSYVYGEIPDTTEASDLITQD >RHN78205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12784716:12790091:-1 gene:gene1739 transcript:rna1739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAAASSSTPFLEIRQENQSQITQQHQSSTDASSTAAQPLVPQKKRRNQPGTPYPDAEVIALSPKTLMATNRFVCEVCQKGFQREQNLQLHRRGHNLPWKLKQKSNKEPKRKVYLCPEPTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAHENARHPSNMNPLATHLFSTNHMSLGLSQISTQQGATNNNILSLGNIPCTPKYEHHLISPLHQSSSSSFGQQQQQQSMSSSPFFITDPNQTFQQDLHQGQFSNKQLHLHHGLMQLPDLQGNTNNNTTSSSVSPFNLSFFPNTNGNNTMHDQFNNISESHHHQHHEGFSSLFGNSSMQQQENMLSSPHMSATALLQKAAQIGSTTTTINNNNGVESDEQHQHLHGLMNSLGNGNTSIYDNNNLGQFHNVEDENKKMSSSQQSLVGNLCFGGSDKLTLDFLGVGGMVRNMNTTSGGGFSQREQQLHSMSAMNNMDPKLESTQGNQPF >RHN46943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35915257:35915646:-1 gene:gene41482 transcript:rna41482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MNLIELWGYCAEGIHRMFVYEFMYNGSLAQHLRSYTLDWRKRFGMALGTAKGLAYIYEECLEWILHCDVKPQNILLDSNYQSKVSNFGLSKLRNRKDSKLSSFSKIQETRGYMAPEWILNHSITYSLCL >RHN39039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3678532:3684131:1 gene:gene45030 transcript:rna45030 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSENRENPDEVVNNTFHHSSSSSSRHDWKNRIFIPTLLAGVAGAGTGLLSKHRKSLGLANVAASYAANFAIVTGCYCGAREFVTATRKTGPEDLWSSAIAGFGSGALLGRLYGGQFGAIRYSVIFAVVGTTADFTILKLKDGWRDYSKTIYQDIENLKKNENWLRLPEWFPIKVLDEEELAAKRAQEEQFLAQRARIRSLRDKEDS >RHN59751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12791744:12798587:1 gene:gene21863 transcript:rna21863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MAGGKQQKRSLSESSEQPAAPSRKMPKRSASAASKNLKEKSFSKSDKSDLIETKKNQVVEEEFLAVRMTAGQEDGRPNRRITDFILHDESGAAQQLEMLEINDLFITGLILPLEASADKKKEQGIRCEGFGRIESWDISGYEEGAPVIWISTDIADYDCQKSTASYKKYYDQFFEKARACIEVSKKLTKSAGGDPDISLDELLAGLARSMSGSKYFSGAASLKEFIISQGDFIYKQLIGLDLTLKANDKGFTEIPALAALRDESKKQANFANAQVMPSNATLRIGSGTGDEEMKDQMDSVHEEDEDAKLARLLQEEEYWKSKKQRKNHHPHEFIVFDNDYDVYDTEDLPRSMLHNWALYNSDSRLISLELLPMKPCSEIDVPIFGSGVMTSDDGSGFNLDTEDGQSSSASEQQATDGIPIYLSAIKEWMIEFGSSMVFISIRTDMAWYDKAIASKFCQLSSMNLVILVKYLCIFTQILEYFCYNCSYPYLLSFRYRLGKPSKQYSPWYDTVLKTARISISIITLLKEQSRVSRLSFGDVIKKASEFSQDNKSYISSDALAVERYVVVHGQIILQLFAEFPDKKIRKCPFVAGLTSKMEARHHTKWLMKKKKVLPRSEPNLNPRAAMAPVVSKRKAMRATTTKLINKIWGEYYSNHLPEDSKEVIASEVKDDDDETEEQEQEENEDEDAEVETVLLEGMQKPHSVSKQTKAFSDDGEIRWEGGPEGKTSSGLLLYRQAIVHGEVVSVGISVLVEVDESDELPDIYYVEYMFESKGGKKMFHGRMMQHGCQTVLGNAANEREVFLTNECRDLGLHDVKQINVVSIRKTPWGHQHRKANIIADKIDRERAEERKKKGPPTEYYCKSLYWPERGAFFSLPFDTLGLGSGVCQSCKLQEAEEEKDVFKVNSSKSSFVLEGTEYSLNDYVYVSPFEFEEKIEQGAHKSGRNVGLRAYVICQVLEIIAKKETKQAEIKSTDIKVRRFFRPEDVSSEKAYCSDVQEVYYSDETCTISVQSIEGKCQVRKKIDVPDGCAPGIFHNVFFCELLYDPATGALKKLPAHIKVKYSSGHTDDAAARKKKGKCKEGDSISEPDLERKTSNEKRLATLDIFAGCGGLSEGLHQSGVSSTKWAIEYEEPAGNAFKANHPEALVFINNCNVILRAIMEKCGDIDDCISTTEAAELSSKLDEKDLSNLPLPGQVDFINGGPPCQGFSGMNRFNTSTWSKVQCEMILAFLSFADYFRPRYFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAFGVSQSRKRVFIWAASPEDVLPEWPEPMHVFSAPELKITLSEKVQYAAVRSTTNGAPLRAITVRDTIGELQAVGNGASRTNMEYQSDPVSWFQTKIRGNMAVLTYHISKEMNELNLIRCQKIPKRPGCDWRDLPDEKIRLSSGQLVDLIPWCLPNTAKRHNQWKGLFGRLDWQGNFPTSITDPQPMGKVGMCFHPDQDRILTVRECARSQGFPDCYQFYGNIIHKHRQIGNAVPPPLAFALGRKLKEAVDSKSSK >RHN63799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54076508:54078047:-1 gene:gene26550 transcript:rna26550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor IF5A MVEVAQQFESMADAGAFKTFPQQAGTIRKNGYVLIKGRPCKVVEVQTSKTGKHGHAKCHFVGIDMVTAEKLEDIVPFSHNCDVPHVVEDGFVV >RHN56831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34064333:34065365:1 gene:gene32288 transcript:rna32288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase SIN MCSLNISSKRCKYIENVLQSIEMSCPNAKYGCREKISYIENRKHEEECIYELCYCPLSGCDFAASSEVLSNHVSHKHRDSHIKFSYGGSFIVSLKSNDETIVLQEENDGKLFILNNRTTLLGNAVNICCLGPNSSESEYSYDILASSQICKLKLQSFVKNVQRVALATLSSEFLVIPFASSEPLKLDICITPMMQIYVKDLTGKMIPLRVESSDTIENVKKKILDKEGIPMHEQRLIIDDGKQLEDNLTLANYNIQENSTIHLLIRLHGS >RHN42038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34988189:34989005:-1 gene:gene48427 transcript:rna48427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MLFLRPSFFRLYGLVCRYQSQRPDSDGIPRYKNSWHVVKETARFEGLPGFYKGITPSLLKHIPISSISFVVYENVLKLLKLASRNDWVFSFYFMMFLSFSRFWCYKICDPTMWTQFLNMTAFLPHVPSFSCPRLDTLKFDQRNFCTFLKSDIFLTFVL >RHN47973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43943144:43944976:1 gene:gene42636 transcript:rna42636 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTQKESLVSHFSHPHLLERTTTPSTTNIKCFGCNLRITHEHDEDYYYMCKTCPFYLHNVCYKTPLITNHPSHPNHDLFLLAIPSSSHATKTTFNCMACKKQCIGFCYHCAECNIFFDSLCITLPLSISVTHHPHKIKLEFSPPYDFFCDLCNNNKPSYKGWLYRCNICEFDIHIACAVKNIEPHLLREKGCDYNYKMMNLVAQKIGGGIRIDSAVSGWNKKLFSPLKKHSSSNGKTMILELELQETEHVSSEILEGITPLRDKMTPLSDDTSPPPSSHQFSDSYFSIDLNKSYSTNHDLRSHIRKEVNSDYINRSVVSSNSGQGEEEEERIGVVNYWLKNHPHKDKANAAFFKGGSDFEESRTNVVVKNSKERSAKWHVKDQTTSTVESEKANSSGWRKLLTCCL >RHN49257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53591948:53592383:-1 gene:gene44069 transcript:rna44069 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHLYFGRKGSQPLYLIFPRLFRIAENKDRKVAEMRFWRHNTWNSSSNWCSSLSYKLESPLINTLLQILLVFTLHFSCECCGRQCVIVYSSLIVS >RHN81683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48089282:48091725:1 gene:gene5758 transcript:rna5758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABA/WDS induced protein MSEEENRSRGLFHHKKHDDDDNRPIDTDSGYNKTSSYSTGDDDYNKKTSYGNDNSGGDYETGYNKNTDNYSNNETSGGYGSGGAKTTTGGGYGGGYGDSDTKTTTGGGYGGGYGDSDTKTTTGGGYGGGYGDSDTKTTTGGGYGGGYGDSDTKTTTGGGYGDDRREDVDYEKEEKRHSKHEHLGELGAAAAGGFALYEKHKSEKDPENAHRHKIEEEVAAVAAVGSGGFAFHEHHEKKETKEEEEEAHGKKKHHFFG >RHN39757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10284855:10285375:-1 gene:gene45819 transcript:rna45819 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTNMNGKEFENDEQEALKGKVDEKEEEKARAMWDCGSPLYDSYELVSLDYHINRNLMAFPSLHGSKRIITRCMHDSHDMVHIEKAKESFWVTSFRTFFMKMMKRKDNGDEKLKKNKEMRRGMARSIVDFLSCEGNKKLHE >RHN46283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30383677:30385193:1 gene:gene40757 transcript:rna40757 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MGTIHKFLYAMILFIHITLVVSGNFFEFFHKCTQDSDCPSLLCRNKSELPKCIAGFMCRCPNV >RHN79419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28652829:28656785:-1 gene:gene3209 transcript:rna3209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Rcd1-like family MENFPPQSPSLKNNEFLHVASVSNTIGNKMLYAECLVIELSNPDLRENALHLLSKMTDLFRDLAPLLWNSIGTIAIFLQEIITIYPALSPENLTPSQSTRICNTLALLQCVASHPDTKLSFMKANIPIYLYPFLNTSNKLAPFEDLRLASLGVIAAMVKVKTKEAIGFLLATEVMPLCLRNMEIGKELSKTVATFIVEKILSDDDGLAYICGTPDRFFAVGRALDRMLASVDNQPSPRLLKLMIPCYTSLTKNVGFFKPNPTPPS >RHN40243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14544186:14544665:-1 gene:gene46367 transcript:rna46367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FHY3/FAR1 family protein MVNTKDAPKKVDHFIQSTKRSYILSGSMSMLAIKIYIFRSRSEHFQINISNGHQNIRLELLRELTNNHYLQLIGTHNRFNKVKCTITSDCIGPAIEDKWMIILDMSFLIVHKYKHMVVLLSIEIGQSETFFNLCGAPSQIDRMAVIFYGKLFSLNLVHV >RHN65462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2424259:2426238:1 gene:gene13303 transcript:rna13303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, SWIM-type MSASNLVADQVWKQIESTQTVNDDQLYILHFLFGKNFEGATRIVDQRGVKKISGDPSGRFIFQVTGESRKKDQYLCFAENFCACYSFFYDVVNRGEQLCCKHQLAARLAASLGSYVEVKVSDEELALLLSKI >RHN74840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37087881:37091215:1 gene:gene10965 transcript:rna10965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LIM family MKIQCNVCEGAEAKVLCCADEAALCWECDEKVHAANKLASKHQRVPLSASSSHMPKCDICQEAFGYFFCLEDRALLCRKCDLAIHTANAYVSGHQRFLLTGVKVGLEATDHGTSSNSLKTDSGEKVSDTKSSSVSRKVSQMPQSSEYNEMLPTEAGGFGDFPPAKVSYGGGSNSGNMSQWTIDEFFGVNDFSQNYNYMDGSSNSRADSGKLEGSDSPILRSNEEEMEYDDYMDRVPDSSWTVPQIPSPPTASGLNWPKNPRYSFDNALFVPDIGFTSMQHPQNSSNFSRPRNHH >RHN57549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39972334:39972774:1 gene:gene33113 transcript:rna33113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Agenet-like domain-containing protein MRPPVKRVDYKVGDKVEVCSKEEGFVGSYFEATIVSCLESGKYVIRYKNLLKDDESELLMETLFPKDLRPLPPRVHNPWRFELNQKVDVFDNDGWWVGEIASEKILMEKSYYYSVYFDYCHQTIYYPCDQIRVHQELVWGDWIFEA >RHN58355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1055555:1055917:1 gene:gene20260 transcript:rna20260 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPYQRQISQPSSPVVSSSDLTTTTVVGYLKLITGTHATPTVVNTSDVDGHELQRGVVRKTLADRVIERFEEREKEKMMKEVRGDDGVGGDGGCGRWREERECCVGFLFLINKIKQIIK >RHN56679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32767628:32769987:-1 gene:gene32116 transcript:rna32116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MKVHMVIKIQRWLMQTKVWRFVGFASAAVGLLCYALSSSFNYLFGNWNLLKIILYTVFSFIICIMILYENIWKQSRSLRFKAHTAFLVLTITSVYSFYFDKVVNGKPDAYSLISCAAFAIMSLSFSRQSQCGFEVDLLYFFLGCLTVLLMKIKLELFIIGAGLSYSLLIFRSSFSSVEEIGLSEFQDENSVIIDVDSPHLANADIASTIEQLKNYLNLLQQKNLNLVDMLLKHVNEYDDSEIMLPSLNFMIDELPSDLINYLHETAKFMVSAGFEEEFSNVYINCRRKCLEECLINRLFGLQQINIMNENQRVKYVDNVIKKWITASEISLKILFPFEQRLCDHVFSGFASSATRCFTEVFHGATFQLLNFADAVADGSPSIWCLFKMLAIFETLHHLISKFNLGPDSSVKEAAVRVQNRLGEAIRDLFLKLNYLTFRVPAAKKVARSDGRHHPMAVQIISYVASACRSRHTLEQVLQEYPKVNNGVVLKDSFIEQMEWIMDMLEKKLNGKSKEYRDLALRNLFMMNNRRHIEDLLKRWDLETVFGNDWFQKNQAKFQQDLDLYIKKSWIMVSEFLKLDNNDYAALNDDVAEDILKEKLKLFNKQFEEMYRVQSNWFVYDKKLKEEIIISVGNTLLPVYGIFIGRFRDCLGIHANQYIRYGMFEIQDRLNNLFLMKNS >RHN53189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42685683:42688958:-1 gene:gene37967 transcript:rna37967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IXb family MSRLNGAEKKYGAGRVVAVAIENNKTSQHAVKWAVENLLPKDQCLFLIHVKQKSNSSTSTPCNSISFILLPYISSNDEDVMDSESRDLFDSFRVFCNRKNIQCNELLLEDTDIPKALTECISTHSIELLVLGACSKGGIVRRFRTTDVPTSVSKGAPPFCTIYIISKGKISSVKSATAPIPKPAVVATPQTIPQRGNQFQQPHAMPTRSPERSDPQMLRNYPPRPSAERHAAYGMRQGLDDDQEMISPFNRRGNKAYESSINESDISFVSSGRPSIDRIFPSLYNNDDLDSNSSISNGHPTGRLSSEYDARNSFASSYSGGGPRSIDLSSPLDNYSFSSQGSNGPSSIRLSDATDEVESEMRRLKLELKQTMEMYSSACKEALTAKQKAIELQRWRSEEEKKLEEAREGEGAAMAMVEMEQEKCKAALKAAEASQKIATLEAQKRMYVEKKSEMEASQRKKTEDSYTTARYRRYSIEEIEEATNRFSDSLKIGEGGYGPVFKCELDHTPVAIKVLKSDAAQGRQQFNQEVEVLSCIRHPNMVLLLGACPEFGCIVYEYMANGSLDDCLFRRGKQPPLPWQLRFQIAAEIATGLLFLHQTKPEPIVHRDLKPGNILLDRNYVSKIGDVGLARLVPPSVQDTVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDIYSLGIMLLQVITAKPPMGLTHHVGRSIEKGTFLDMLDPAVQDWPMEHTLHFAKLCLQCAEMRRKDRPDLGKIVLPELNKLRIFAEENMPMMMFRGGAAAFNPRSNNNYFINSSTSSTNQDIMSQSSSLSGSSGYESRSSTSSPLGRL >RHN65763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5117599:5121062:1 gene:gene13650 transcript:rna13650 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDSLLNRVAAGAAVGGAIGGAVGAVYGTYDAIRYKVPGALKIRHIGQATIGSAAVFSLFLAAGTLIRSH >RHN70668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53087262:53092220:-1 gene:gene19277 transcript:rna19277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysophospholipase MSNRNFSASSGSGNASRTFEFGRTHVVRPKGKHQATIVWLHGIGDNGSSWSQLLETLPLPNIKWICPTAPTRPVALFGGFPCTAWSDVGDISEDAPNDLEGLDASAAHVANLLSTEPPNIILGIGGFSNGAATALYSATCHVLGHYGNGNIYPINLSAIVSLSGWLPCSRTLRNQIGGSRDGIRRATSLPLFIGHGSADDVVAYEHGENSARTLSSAGFQNLIFRSYNGLGHYTVPEETDEVCRWLTANLALEGLRLN >RHN81647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47784269:47785844:1 gene:gene5718 transcript:rna5718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative naringenin-chalcone synthase MVSVSEIRNAQRAEGPATILAIGTANPANCVEQSTYPDFYFKITNSEHKTELKEKFQRMCDKSMIKRRYMYLTEEILKENPSVCEYMAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIVCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPVPEIEKPIFEMVWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPGIVSKNINKALVEAFEPLGISDYNSIFWIAHPGGPAILDQVEQKLALKPEKMKATREVLSEYGNMSSACVLFILDEMRKKSAQDGLKTTGEGLEFGVLFGFGPGLTIETVVLRSVAI >RHN50404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6845851:6847533:1 gene:gene34683 transcript:rna34683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MDTIWKLCFLMATLTIVLSNSTTTAKSPIQHFINCLSHSLVSEVTYTPNNTSFSTILNIKIQNKRFKTATTPKPLAIITVKDDSHVQETVKCAKSNNIQIRIRSGGHDYEGCSYVSDVPFVILDMFHLNSVDVNLQESTAWVESGATLGKIYYTIAKKSNKLAFPSGVCFTVGAGGHFSGGGYGNLMRKFGLSIDNIIDAKIVDVKGNILDRKSMGEDLFWAIRGGGGASFGVILSWKLQLVPVTPQVIVFDVKRYVSEGATDIVYKWQLIAPKLHKDLFIRVQPNVVQIGQEGKKVVQVSFIGQFLGKIERLLVLLSKKFPELGLNKSDCFSMPWINSTLFWHDKPIGTPLEALLDEPKDPQPLYKKYKSDYVKKPIPKEAIESIWKLMIEGEDLFMQWNPYGGRMKEILPSETPFPHRAGNLFLILYINIWSNESSEVSERHMNFSRSFYEFMTPYVSNSPREAFLNYRDADIGANHPSNVTRFGIAKTYGSKFFKGNFERLVSVKTKVDPENFFRYEQSIPTKIIVKVILNDQNKSHM >RHN50968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12477259:12481216:1 gene:gene35326 transcript:rna35326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MSKYFLKIFYLLQFLLLLTSGSTHEFKNTTIESVESKCIEREKQALLKFKQSIVDDSYMLSTWKDNNKDGDCCKWKGIECKKETGHVKKLDLRGDDSQFLVGAIDFTSLIVLQNMEYLDLSSNDFPGSHISEQIGSLTKLKYLNLSESLPRGRIPYQIGKLLELEYLDLSGMVYGTKGEIPSQLGNLTRLRYLNLRDNFNIVGEIPCRLGNLSQLQYLDLEGTSLTGVIPFQPGNLPVLQTLKLDVYLDLTNDNIKWLYTLSSLTSLSLRGMYLSFDSSHLQTIMKFFPNLRELRLVEFGLIDNDVASLFHSHSNFSNSLTILDFSSNMLTSSAFQFLSNISLNLQELDLSENNVVLSSHFYPNFPSLVILDLSYNNISSSQFPGIRSFSSKLQKLYLTSCMLTDKSFLVSSTSVVNSSSSLLILDLSSNMLRSSEVFLWAFNFTTRLHSLDLVGNSLEGPIPDGFGKVMNSLEYLYLSYNNLQGDIPSFFSNMCTLHTLDLSNNNLSGEISSLINKNSGCNRNIFTHLDLSHNRITGALPECINLLSELEYLNLEGNALEGEINELHLTNFSKLQVLSLSYNSLSLKFPLSWVPPFKLTSLKLASCKLGSSFPSWLQTQRYIVQLDISDTGLNDGVPGWFWNNSHAMILMNMSHNNLIGTIPDFPYKLYESSGVFLNSNQFEGRVPSFLLQVSRLMLSENKFSHLFSFLCDKNSPTTNLVTLDLSNNQIEGQLPNCWNSLSTLLFLDLSNNKLWGKIPQSIGTLDKLEALVLRNNSLTGELSSTLKNCRNLMLLDVGENLLSGSIPSWIGENMQQLIILSMKGNHFSGNIPIHLCYLRHIQLLDVSRNNLSEGIPKCIENFTSLSEKSIYTDETESQIYSTREGFTYLYGSSFEHYVFNTAIFWKGMERGFKHPEMRLNSIDLSSNNLTGEIPKKIGYLVGLVSLNLSRNNLSGEIPSEIGNLVSLDFLDLSRNRFIGKIPSTLSKIDRLEILDLSNNSLSGRIPFGRQLQTLDPSGFEGNLDLCGEPLEKKCPKDATTVNPQGSEIMVKMTIQFSMKDFTCAWG >RHN71595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1233500:1236505:-1 gene:gene7210 transcript:rna7210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MRDIRKVVHGLKPAMMMLMVQIAFASVNVLYKFAINDGMSVRVLTAYRLIFAAATTIPLALIFERKNRPQLTWRVVFMSFFCGLFGGSLFQNLYFESLALISATFASAVYNLIPAVTFILAVSFGYERLNFQTAAGKAKVLGTITGIGGAMLLTFLKGVEINIWTFHINLLHKGKNGTLDDNSGSKLLGIFFGLGSCFCFALWLIIQAKMSKEYQGHYSSTALMSLMGAIQATLFALCVEKDLSQWRLGLSIRLLTSAYSGIVASGIMVIVIAWCVGIRGPLYASAFNPLMLVLVAIAGSLMLDENLYLGSVIGAILIMIGLYMVLWGKSKETKKETHLEITSELQEIQVVVTSTIVDHDCSNNNNTNCKSIIVDMDNKHEYDNSSKGEQEGQGT >RHN69502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44009367:44012708:1 gene:gene17981 transcript:rna17981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ent-kaurene synthase MDSSQLLCELQIQSHVPKNFIWPKEYLEDAHEELQAPVVDLEGFLKGDNEATQHAAMLINEACLNHGFFQVINHGVDLHLITQAYVQMDTIFKLPLHRKESVYKAPGSMWGYSGAHAHRFSSKLPWKETFSFPYLENAVEPVVTNYFKSTLGDDFEQAGVTFEKYCNAMKELGMNLTELLAISLEVNDRLHYRELFEEGCSIMRCNNYPCCKQPSLVLGTGPHCDPTSITLLHQDQVGGLQVFVDNKWHTVQPLPNAIVVNIGDTFMALSNGRYKSCLHRAVVNQHKQRRSLAFFLCPKEDKVVRPPHDIISRDGTKQYPDFTWSDLLEFTQNYYRADESTLSNFTNWLLSSKSQNFTLINK >RHN45994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27621126:27624040:1 gene:gene40427 transcript:rna40427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MAVTIFSSLKIATLFLSLINFCAFEFALAGTTRHYQFDIGYQNVTRLCHNKRMVTVNGQFPGPRIMAREGDRLVIKVVNNVQNNISIHWHGIRQLQSGWADGPAYVTQCPIQTGQSYVYNYTIKGQRGTLFWHAHISWLRSTLYGPLIILPKKNVPYPFAKPHKEVPMIFGEWFNADTEAIIAQALQTGGGPNVSEAYTINGLPGPLYNCSKKDTFKLKVKPGKTYLLRLINAALNDELFFSVANHTLKVVEADAIYVKPFETNTILIAPGQTTNVLLKTKSHYPNAAFLMSARPYATGQGTFDNTTVAGILEYEIPSNTHHSASSLKKIPLFKPTLPALNDTSFATKFSKKLRSLASPQFPANVPQKVDKHFFFTVGLGTNPCQSNQTCQGPNGTMFAASVNNVSFTMPTTALLQSHFTGQSRGVYAPYFPSSPLHPFNYTGTPPNNTMVSNGTKVTVLPFNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNYDSNKDPKNFNLVDPVERNTIGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWIVLDGKLPNQKLLPPPADLPKC >RHN43146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43541223:43545477:1 gene:gene49677 transcript:rna49677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MAKTLYLLLVELIIQWHVPSPARMGDFGRLGHGDPSDLFIPHPIRALQGLRIKQISCGDCHCLAVTMENKVLSWGRNQNGELGLGTTKDSHVPQKILAFEGIRIKMVAAGAEHSVAITEDGDLYGWGWGRYGNLGLGDTNDRLIPEKVNIDGDKIVMVSCGWRHTISISSSGGLYTHGWSKYGQLGHGDFEDCLVPRKVQALSDKLISQVSGGWRHSMALTSNGQLFGWGWNKFGQIGIGHNFDCSSPMLVNFPHAQKVVQMSSGWRHTVAVTDRANVYSWGRGANGQLGHGDTKDRNVPTIIDAFSVDGCSEQHIESSNYPLPGKSFASLSERYAVVPNETALGSYATTSSDKSDKFDASVPENDVSLDSPTINQFETMM >RHN43550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46790652:46791743:-1 gene:gene50151 transcript:rna50151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MAGTMKKVDKIRQIVRLKQLMTRWKQISLRRCSLRSETTTEPCVNPRRQPPSGFVFVYVGSERHRFAIPARFLNFPVFAGLLDVTEEEFGLRGNGGLVLPCHVNFFTEIVKRLHKNEHKYGKLSLEEFVKMLSEVTLLESCKEKENVVVLAPLLERAFV >RHN50193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5010040:5013939:1 gene:gene34456 transcript:rna34456 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSCGGGQSSLGYLFGTGETTNNVQRANTQGEPLNGGRTQNASVASPASVASPASVASPAAVASPAKVASPARVASPPIDKETPAGIPGCLKNNYHRADGQNCGNFLTDRPSTKVHAAPGGGSSLGYLFGGPPGSN >RHN70966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55468989:55474288:-1 gene:gene19604 transcript:rna19604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rhamnogalacturonan endolyase MSSLGVQLSIQDHHVVMDNGILRVTLSNPEGIVTGIQYSDIDNLLEVLNEESNRGYWDLVWSSPTSTGTTGKFDVIKATTFKVILEDEDQVELSFTRTWDASLEGKLVPLNIDKRFIMLRGCSGFYSYAIYEHLEDWPAFNLDETRIAFKLRKDKFHYMAMADNRQRNMPLPDDRVAPRGQALAYPEAVLLVNPIEPELKGEVDDKYQYSCDNKDSQVHGWICMDPAVGFWLITPSNEFRSGGPVKQNLTSHVGPTTLAVFLSAHYSGEDLVPKFKAGEAWKKVFGPVFIYVNSPYDGSDPIKLWDDAKLQMLMEVQSWPYNFPESDDFPKWDERGNVCGRLLVKERYIDDDYLSANCAYVGLATPGEVGSWQRECKNYQFWAKADDDGYFSISNIHVGDYNFYAWVPGFIGDYKYDVVISITEGCDIDIGDLVYEPPRDGPTLWEIGIPDRSAAEFYVPDPNPKYINKLYVNHPDKFRQYGIWERYAELYPDNDLIYTIGVSDFTKDWFFAQVTRKKEDNTYQGTTWQIKFNLDNVNRKGSYKLRVALASATYSELQVRVNDPKTNRPLFSSGLIGKDNSIARHGIHGLYWLYNVNIPGSLLVEGDNNTIFLTQARGNIPFQAIMYDYIRLEGPATSSFSKKI >RHN77676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8558121:8560529:-1 gene:gene1154 transcript:rna1154 gene_biotype:protein_coding transcript_biotype:protein_coding MDARKKKKYYSFLCRTPSSMSSLAFNSSNYWVRLGTFIQASNKDILQGLINIWNTS >RHN49204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53281051:53281485:-1 gene:gene44010 transcript:rna44010 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSTRPIIPPDAMEVVSNRINHDMFSHLATEFTEKEVMAAIFQLKGASAPGPDGLTSLFYHNYWNIVGKDILLSTLNVLNNNGNPDMVNFTYISLIPKITHPSTTSDSDLLVFVMSS >RHN81484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46307343:46310883:-1 gene:gene5533 transcript:rna5533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c oxidase assembly protein COX16 MTTIQSQAGKESSTVEKTASTFKRWGRKGPFLRYGLPMISLTVFGALGLGHLLQGSKDISRVKDDKEWEIIEARKALSRTGPVNAYKPKKISLDDELKALQQKVDINDYEFKKIPKPNEDRRV >RHN74571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34812752:34815567:-1 gene:gene10660 transcript:rna10660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MGFTAKDEIEGNFKFNSDRLQDLKAFDERKAGVKGLVDQGILKIPTLFHHPPDKFSKSTNSTNTQHIIPIIDLANIGKDPNTRQEIISKIKEASETWGFFQVVNHGIPINVLEDMKDGVIRFFEQDIEVKKEMYTRDQTRPLVYNSNFDLYSSPALNWRDTFICDFALNAPKLEDLPVVCRDILMEYGTRLMKLGTILFELLSEALGLHSNHLKDLDCCEGNILLGHYYPACPEPDLTMGTTKHSDGSFLTVLLQDHIGGLQVLYQEKWIDVPPVPEALVVNIGDLLQLITNDKFKSVEHRVLANDIGPRISVACFFKAGLRAHEKLYGPITELLSEDNPPRYRETTFADYVAYLCAKGLDGTSVLQHFKL >RHN80177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35869836:35872116:1 gene:gene4069 transcript:rna4069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Seed maturation protein MSQEQPQRPQAEQFSEQEPIKYGDVFNVSGELASQPIKPRDAALMQATENQALGQTQKGSPASVMQSAAAVNTAAGLVSHGDISDIAKNQGMSVSKTKVGANRVITESVGSQVVGQFVEPDVPMNDPGLVLDKNAITIGEALEASALSQAGDKPLDQSDAAAIQAAEMRATGKNHTEPGGLGAIAQSAATRNTRTMPDLQKTTLGDVVSGAREKLGSDKAVTREDAEGVIGAELRNKADMRTKPGGVAASMAAAATLNQNTKSG >RHN51596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20474942:20475468:1 gene:gene36061 transcript:rna36061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase II, Rpb4 MFQIYLSVSLFHELLLMFNVLFHSLEENAGALTNFEVLDFLRAKGASKDPSRVLAKVAMSEYKVYDYLVKTPAGSQTRESVKEYFTAIKQHDLSEAEVLNVLNIRPASEVEIYHMLH >RHN44731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7839554:7842092:-1 gene:gene38887 transcript:rna38887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative angiotensin-converting enzyme 2 MGEPSSSSSSSSASYIHMVQHLIEKCLIFHMTKEECMEALSKHANIKPVITSTVWKELEKENKEFFEAYAKSKSKDERMSEEETNQMLQKIISDSSKGSTND >RHN65050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63856723:63859382:1 gene:gene27943 transcript:rna27943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleolar, Nop52 MATNASEAGRSLIKQLAFTQKSSREKALKLVLKSWLPSRSEQLPEEDAKKLWKGLFYCVWHSDKPLVQADLIDRLASLLLTLHSSFTVQYFSTFFLTMRREWSGIDALRLDKFYLLIRRFVSKSFSLMNKNSWDLEFVKLIVNCLDDATFASSDKLLQGKGVNYHVASVFLDELTPFLPVKVSVLEVLFKPFFTVVGKLPDKVLLGKIKIGLFDVLLKNGKKLLEIKKSGGDEVADGDIVNLGTIALGMGFASKLFELGSAPDCVQGNRKILFEMHREFLQLEKDAVNSGFEFSVPDSVDRDDEEVPDLVPIVEVDADVVQNGKLLKKCKKEKKGSVDKAKKEKKSKKKNKKSDASGLSSEMNSAENGDKNAANENGGNSIDEVVLTESVISNLQKQFEKVAAEAGLEDGVASLCATPKAAGDVSKKRKRTKNSKGKTSQDFDLNGGDAEDSAVAKSGDKSSKKVRFSMKNNLVWKPHSPLPPQSLRIPPSVTPRGSALKQGVPPGPIREMPLQNKKAKLKKAGRRTIIGVVPSVKRMKKLRSLSV >RHN75778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44986884:44987264:1 gene:gene12026 transcript:rna12026 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHAPPPRSSAPSVEVVVVAPPAQYAPSEEEVDMLFLLSMLVFCNSITSFVATSSVCILSIPLKVFSWKSMLALWSLSFYLLSCFLSKPYLSK >RHN49106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52487502:52493090:-1 gene:gene43899 transcript:rna43899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinacetylesterase/NOTUM MRRMNIILIIITVTFSSVRSQTQNLSPRYILENDNDVVSVSSSPLLPQPLMIPLTLIHGAVSKGAVCLDGTLPGYHFHPGSGSGANSWLIQLEGGGWCNTIRSCVFRKTTRRGSSKYMEKQLPFTGILSNKAEQNPDFFNWNRVKVRYCDGASFSGDSQNEAAQLQFRGQKIWLAAMEELMSRGMKNANQALLSGCSAGGLASILHCDEFQSLFPKSTKVKCLSDAGFFLDATDVSGGHTLRNLFGGVVNLQEVQKNLPKSCLNHLDPTSCFFPQNLIDHVQTPLFLLNAAYDAWQFQESLAPHSADPHGSWNNCKSNHANCNSSQIQILQNFRNQMLNDIKGFSTTSQSGLFINSCFAHCQSERQDTWFADDSPLLNNMPIAVAIGNWFFDRQVVKAIDCAYPCDNTCHNLVFNVGKSAMVDSQSTSDDIPTSMQYSRSTRLTFSAGLFVLSALLPITCS >RHN71534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:832958:833197:1 gene:gene7145 transcript:rna7145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MDTISNLPDDILCHILSFVPTKKPVATSVLSKRWTHLWHYVPNLHKLRLTQIDKSIYESMFMLLFYVESIFYISVSPHR >RHN82093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51227485:51231712:-1 gene:gene6215 transcript:rna6215 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMELAQIPELDLVSSSCEALESEQMKIGSQRILVSDHINAYQYSSEKVDSFVIDMDSFSSTINKDIINANSRTTLQRSLSRKGSQRGGDRNVSGNVTLQDKDIVPTTCSPKAALLGSCKSTVVPVGSTQNSTNPQQVHHQITVTTASNMCNTNAENKSITRRSSFGRSSSWLLDPKKVLLVFATLSSVGTLLLIFLTLSISKHNGVEH >RHN65881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6212725:6213459:-1 gene:gene13774 transcript:rna13774 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLPSYILPKFEIITSYGCRGFRSSENEEKLYPKVFENAMRVYNECGKSFLNVYSRNKTSNDVIEVCSPRRESYLDLSPERICWGELRHNKSSVCFWFRKKFPEIALWCDVRPQKHLDNMVLDFKFNVLVNGTKHLTSSCVYIFYAERETGQILCCDLQCRVEGVFSENEWNFVEIFCEIEHLIPCDSKRLMAYQYWTTKNILKNSFIYVYLENEEDGYHLCGNSYCLPSTEDKFQEMREIKE >RHN69703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45669643:45673331:-1 gene:gene18209 transcript:rna18209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MTSSFPTTTKTKVQRHHLADIKYQTRISVLLLPTTLSYTTINMIQIRLILLLCFLLSHFHVIICNNNTDKDILLSFKLQVTDPNNALSSWKQDSNHCTWYGVNCSKVDERVQSLTLRGLGLSGKLPSNLSNLTYLHSLDLSNNTFHGQIPFQFSHLSLLNVIQLAMNDLNGTLPPQLGQLHNLQSLDFSVNNLTGKIPSTFGNLLSLKNLSMARNMLEGEIPSELGNLHNLSRLQLSENNFTGKLPTSIFNLSSLVFLSLTQNNLSGELPQNFGEAFPNIGTLALATNRFEGVIPSSISNSSHLQIIDLSNNRFHGPMPLFNNLKNLTHLTLGKNYLTSNTSLNFQFFESLRNSTQLQILMINDNNLTGELPSSVDYLSSNLQQFCVANNQLNGSIPHGMKKFQNLISFSFEQNYFTGELPLELGTLKKLERLLIYQNRLSGEIPDIFGNFTNLFILAIGNNQFSGRIHASIGRCKRLSFLDLRMNKLAGVIPMEIFQLSGLTTLYLHGNSLNGSLPPQFKMEQLEAMVVSDNKLSGNIPKIEVNGLKTLMMARNNFSGSIPNSLGDLPSLVTLDLSSNSLTGPIPESLEKLKYMVKLNLSFNKLEGEVPMEGIFMNLSQVDLQGNNKLCGLNNQVMHKLGVTLCVAGKKNKRNILLPIILAIIGAAVLFASMIYLFWLLMSLKKKHKAEKTSLSSTTIKGLHQNISYGDIRLATNNFSAANMVGKGGFGSVYKGVFNISSYENQTTTLAVKVLDLQQSKASQSFSAECEALKNVRHRNLVKVITSCSSTDYKGDDFKALVLQFMPNGNLEMSLYPEDFESGSSLTLLQRLNIAIDVASAMDYLHHDCDPPIVHCDLKPVNVLLDEDMVAHVADFGLARFLSQNPSEKHNSTLELKGSIGYIAPEYGLGGKASTSGDVYSFGILLLEMLIAEKPTNEMFKEEVSMNRFVSDMDDKQLLKVVDQRLINQYEYSTQISSSDSHSGESGSISYSDGSNAHWMHKAEECIATTMRVGLSCIAHHPKDRCTMREALSKLHGIKQSILGL >RHN55367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17708370:17711662:-1 gene:gene30537 transcript:rna30537 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNSLMPVSLKPRYVVFSILPKISGKLFRLAQFLKDMVLREGKLMP >RHN45422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20560603:20561210:1 gene:gene39757 transcript:rna39757 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVISITTELMTLRRPIALAAFFRNAHFEVQVTQDKQQHPNDPLSSELAGAACRRRAESFFAFDLSSPQYCLDGIGSSGVLFTSSASFCFRLSPIDS >RHN76791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1307649:1310831:-1 gene:gene168 transcript:rna168 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKPSEITYEKALELLLGDKVRTVGRPKDPKTKVADVEAL >RHN50890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11501672:11502510:-1 gene:gene35239 transcript:rna35239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant organelle RNA recognition domain-containing protein MVTTINYMEIEEKTEKLTRKAILQIEQERLALADQVERAVTRLRKMIMMSNGLRLRLEHVRIARSALGLPDDFEYSVVLRYPEFFRLVDAKETRNKYIELVEFDPKLAKCAIEDARERVYRERGSEAEDIRFSFLIDFPPGFKISKYFRIAMWKWQRLPYWSPYEDVLGYDLRSIEAQKRMEKRVVATIHELLSLTVEKKITLLRIAHFRMAIVTPTFV >RHN54267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8153873:8156561:1 gene:gene29272 transcript:rna29272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monodehydroascorbate reductase (NADH) MAGSSEEGQVIGVHNVDAWNDILHRGNESKKLIVVDFTASWCGPCRFIAPFLAELAKKYTNAIFLKVDVDELKSVAQDWAIEAMPTFVFVKEGTILGKVVGAKKDELTQTIEKHVASANV >RHN47137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37421397:37422387:-1 gene:gene41696 transcript:rna41696 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSMNNPPATITPRHYNIHKLFLFCNYVLLGAASSCIFLTLSLRLVPSVCGFFLILLHIFTIAGAVSGCAAVGANRWYSAHMVATVLTAIFQGSVSVLVFTRTSDFLGELQSYVREEDGSVILKLSGGLAILIFCLEWVVLTLAFFLKYYACVEGGNTCRTVVLGSAKVQQDEDLKDWPWPFQV >RHN47129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37339125:37344352:1 gene:gene41687 transcript:rna41687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MLVLFLFIFLLLLFLFKFATAYGDFTLMSKKKPKHELIEDKVFWITGASRGIGEILAQQLASLGAKLILSARDEADLNRVKSQLKGKHADEAKILPLDLTSGEDSLRKVVDEAESLFPDSGVDYMIHNAAYERPKSSVLDVTEESLKATFDVNVFGTITLTRLLTPFMLRRGKGHFVVMSSAAGKTPAPGQAVYSASKYALNGYFHSLRSELCQKGIQVTVVCPGPIETANNSGSQVPSEKRVSAEKCVELTIIAATHGLKEAWISYQPVLAVMYLVQYMPTIGYWLMDKVGKNRVEAAKEKGNAYSLSLLFGKKKAV >RHN47554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40553643:40555823:1 gene:gene42168 transcript:rna42168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MKPTILLIFLMFLLFPFAFGDLKVGFYSSSCPRAELIVRQVVERSFNQDRSMTAALLRMHFHDCFVRGCDASILIDSKKGNESEKAARANLTVRGYNLIDEIKRILENACPSTVSCADIISLATRDSVVLAGGPSYNVPTGRRDGLVSTVNDVHLPGPESSISQTLQAFKSKGMTLEEMVTLLGAHTVGFAHCSFIGKRLGSNDSSMDPNLRKRLVQWCGVEGKDPLVFLDQNTSFVFDHQFYNQILLGRGVLTIDQNLALDSISKGVVTGFARNGENFRERFVDAVVKLGNVDVLVGNQGEIRKNCRVFNS >RHN66490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13012012:13012167:-1 gene:gene14490 transcript:rna14490 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L2-B MNPVDHPHGGGEGRAPIGRKKPSTPWGYPALGRRSRKKKYSDNLILHRRSK >RHN72196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5658690:5659049:-1 gene:gene7880 transcript:rna7880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MACETKIWLVLHLLLLVACYMQHYVHGQTQTSQVPCIFIFGDSLSDNGNNNNLRTNAKSNYNPYGVDFQEGPTGRFTNGKTSIDMIGNIIICTIKTNYNHNLSIFVLLEMLIVCSLSRF >RHN59197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8376425:8381286:1 gene:gene21195 transcript:rna21195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MGDQAGPSQSELSTQSNRKRGRGCTRMKKLKVKTAQGQKLQIEFQPSGIPSGENAKKFKYQVASFARKFASILINDWDVVPDDAKNDIWKSINDNWDIPNENIVKVKKKTMIYARGRWRAFKNSLTSRYLFKGDLSHKSPTEAYDFIDGRTWKEFVKTRDDPSFLEKRKNAQKAQANNKHPHLLSKGGYALLVKKMMQEKLKNRQEAAGDSDIPPPSPPQRHEKWKRARLKPSGEYTSEETRLVAKKIDSLVSNGFEQQGRKDILTEALGQPEHPGRVRAVGQGVGIREHFGSQFHSTQTVINDAQLAALKVDLTKQVKEQAPQSIYSHQNQNFPICSPNTAQHVSTNGSCSNVQSMPEEDIPKECELYIDGSIVAYANMYNLGPTIHNQVPTNDMVRVSITKVIDAKAQVPEPTDEVTTVAEAVNTFIKWQKRLLQVIANKDSLVSNGFEQQGRKDILTEALGQPEHPGRVCAVGQGVEIREHFGSQSHSTQSVINDAQLAALKVDLTKQIFADSTPFHKDEVKNFQECCQNMIMKFTEAKADSSPFKVDFDPRKLLDDMDEWSFFQIDRIHRYSTNSNSLKTKRMNL >RHN56470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31074114:31075994:1 gene:gene31877 transcript:rna31877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MITQFSIVCIQMRKSMATILKFVYVIMLFIYSLFVIESFGHRFLIYNNCKNDTECPNDCGPHEQAKCILYACYCVE >RHN66026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7739504:7742193:-1 gene:gene13936 transcript:rna13936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MDNKRVYLAIIATCLALFQGNMILVKGQFNYKEALTKSLIFLEAQRSGKLPPSNRVPWRGDSAVDDGKLDNVDLSGGYYDAGDNVKYGLPMAFTVTTLSWAAIFYKTEFEATNEMGNIQNAIRWGTDYFLKTSSQRNRLYVEVGDPVDDHHCWAPPENMKTKRTVKLIDSNTPGTEIAAETSAAMAASSIVFRHVDPKYSRRLLNKAKLLFELARSHKGTYDGECPFYCSYSGYNDELMWAATWLYMATRKSMYMKYIQEEAISASVAEFSWDLKYAGVQVLLTQLHFEGQKGLEAFKAHGESYICSDLPDSPYKQINLTPGGFIHMRDGANTQYATGTSFLFTVYSDLLAKYNQKVKCGNKEFDSAHLLDFAKMQMDYILGKNPEGRSYMVGFGKNPPTQAHHRGASVPNLSPNEEINCPTSFKKWLERDAPNPHELTGAIVGGPDINDKFNDKRTDSPKTEPCTYVNSLAVGVLAKLASLG >RHN74850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37147157:37147678:1 gene:gene10976 transcript:rna10976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MAELIHNPNAMSKLKEELQQIIGIGNPIDESDITRLPYLQAVVKETLRLHPSAPLLLPRKAKIDVKIKGYIIPQGAQILINEWAMGRNPNIWDNPTLFSPERFLGSEINFKGQHYQFIPFGSGRRICPGMPLAIRMLHTMLGSLINSFDWKLENGDRDIDQPLRAIPIRVNKV >RHN44772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8334248:8335728:1 gene:gene38933 transcript:rna38933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MITRLSTKFMNITISTMCKSNQIAKAETVLIDGIKLGLNPDIITYNTLIDGYCRFVGIDAAYNILNRMKEAGINPDVVSYNSLSSGAVRKCLLQKSLDLFDEMLQSGIRPDVWSYNILMHCYFRLGKPEEANGVFRDIFERGEIYPRICGVVDLFQRF >RHN69768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46140458:46141565:-1 gene:gene18281 transcript:rna18281 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFISPMMAVIGTGGKKESKNAVPVPESELKRRNDQLEKELKESKEREEQMRRQLQSAWERLRVAEEAEERLCSQLGELEAEAVYQARDYHDRIVSLMDQLSRAQSLLHIASSNSLL >RHN53344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1080078:1083786:1 gene:gene28243 transcript:rna28243 gene_biotype:protein_coding transcript_biotype:protein_coding MNANKKFSIKTMNLILQNYNLSYVVIRGLFPLPNCERYVCTFGSICNWKRTNLDGLFERYITTYIKP >RHN70358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50853299:50853613:-1 gene:gene18928 transcript:rna18928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MTMASHLFSKQNFKEKNVVFSPLTLHTVLSINAAGSEGPTQQELLDFLGSKSTEHLNSFASHLLSVVLKDASPTDGPRLSFVNGVWVEQTLSLQPSFKQIVASY >RHN57662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40877510:40878305:1 gene:gene33240 transcript:rna33240 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFLHIYVYKYLTYFLSKHAQIFSCYKPISCPSTIYHFFYILFPLFPTSMASCENNDTSLVDIDLEAFEIDENLLRELLEEEEGKSDVHGNKECIEESINVNPNMMDEEQEGQKHNCLEKNECHYVHDFEWLNMMDMMEPANPLDDVVTMNWFSDDVGRLDFDFGYVNGEFCPQICDGHFSNDANYGCLWGEY >RHN69324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42613127:42618094:-1 gene:gene17775 transcript:rna17775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MSGCSWGLPNMATMSVMVLLLLSIVRVTALPLHTNGRWIVNESEKRVKLACVNWASHLDTSVAEGLSHQPLDVISKGIKSMGFNCVRLTWPLLLLTNDSFASIKVRHSLHNLGLLQSISGIQANNPSIIDLPLIKAYQTVVKSLGDMDLMVILDNHVTQPGWCCSNSDGNGFFGDQYFDPDLWLMGLTKMATLFNGVTNVVGMSLRNELRGPRQNLNDWYRYMPKGAEVVHAANSNVLVILSGLNFDTDLSFITNQPVKLTFNGKLVFEEHWYSFSDSQAWTLENPNQVCGQVTSSFVRNSGFLLDQGWPLFVSEFGLDLRGKNMNQNRFFNCFMAVAAELDLDWAYWTLSGSYYIRQGVVDVDETFGILNGNWSQVRNTSFLQRISAIQHPFQGPGLLESEPYKVIFHPLRGLCVLSKSLHEPLKMGPCSNSDGWEYTPQNILLLKGTKFCLQGEGEGKQVKLGTTCSGPESTWEMISDSKMHLSYNVNNNGSTSSVCLDVDANNIVVTNSCKCISKVNTCDPASQWFKLVDSTRKLN >RHN45366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17960602:17966511:-1 gene:gene39655 transcript:rna39655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MGTQAPTATDIDEKLKRQKAIDDWLPISSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMAQLGWGPGVTVLVLSWFITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLIVEVGVNIVYMVTGGKSLQKFHDTVCSDCKKIKLTYFIMIFASVHFVLSHLPNLNSISGVSLAAAVMSLSYSTIAWAASAHKGVIENVDYGYKATTTPGTVFGFFNALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVIVAYIVVALCYFPVALIGYWMFGNSVDDNILMTLEKPNWLIAMANMFVVIHVIGSYQIYAMPVFDMIETVMVKKLNFKPTTMLRFIVRNVYVAFTMFIGITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRRFSLSWWCNYVCIVLGLCLMLLSPIGGLRSIILNAKSYDFYS >RHN40814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21582596:21584723:1 gene:gene47028 transcript:rna47028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SOUL heme-binding protein MQSMAVTTTTWKLALFLTLISVSLSGTLSYDIVPCKRIECPNYDVIEAGNGYEIRLYNSSVWISNSPIQDISLVEATRTGFLRLFDYIQGKNNYQQKIEMTAPVLSEVLPSDGPFCESSFVVSFYVPKVNQANPPPAKGLHVQRWKTVYAAVKQFGGFVKDTNIGEEAAALKDSIAGTKWSSAIEQSRRAGHASVYSVAQYNAPFEYDNRVNEIWFLFDLENGLHSM >RHN72735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10273401:10273997:-1 gene:gene8487 transcript:rna8487 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIQRFTENYNLLNHSHLNNEVHLSGSINWLTLHNYQRYCYNCKYISVEQFIIISLDLHTETYKELRPPQGFDEVPLIKPTLGVLMDFLCFFNVVKKTHFVIWKMTEYGVEESWTQLVKINLQIIGHKLEKRFTSWWVPLHVDKNCDTMILANCFEDILVVYNLRDDSVESVRITNGKYRLHGQHIKNYVESLVLGH >RHN79373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28167660:28168010:-1 gene:gene3156 transcript:rna3156 gene_biotype:protein_coding transcript_biotype:protein_coding MKILREYVCKGNDVANMRPRVYIDEEEIEVAISYLKNRFTATEEPFTEVVSKTTKKNLKKGFMFITPVLTGLTHELSEFVSFLALFLFLLVSLFFIFSFLLFVGCGVLPPAFCLAF >RHN44381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4045077:4047625:-1 gene:gene38498 transcript:rna38498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MVLMYVCVCRCHQQDQSPPTLSILTRLKQLRSVIEGNHVNGKMAIGKRKGGWKTAYILLANQALATLAFFGVGVNLVLFLTRVLRQDSAEAANNVSKWTGTVYIFSLLGAFLSDSYWGRYLTCTIFQLFFVLGLGLLSLTSWLLLIKPLGCGNEHTVCNEPTPLGTGLFYLSIYMVAFGYGGHQPTLATFGADQFDDDDKNIQNTYLREAFFSYFYFALNVGSLFSNTILVYYEDSGMWTLGFGVSLASAIIALISFLAGSRRYRYVKACGNPVIRVIQVFIAAARKWNVEPAKEDQLYEVDGHESAIKGSRKILHSQDIRFMDKAATWTLKDGNESGNQWRLCTVTQVEEAKCVLRMIPVWLCTIIYSVVFTQMASLFVEQGDAMNNKVRKFHLPAATMSVFDIGSVLVCTGLYRQVLVPLAGKLSGNPKGLSELQRMGVGLVIGMFAMVAAGATEFVRLKHVKPEEKASSLSIFYQIPQYVLVGASEVFVYVGQLEFFNGQAPDGIKSFGSSLCMASISLGNYVSSMMVKSVMKITTKGEELGWIPNNLNDGHMDWFFYLIAALSALNLVVYVFCARWYKGVNNVEGSVNLSCKENDEAINKV >RHN78084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11766829:11769788:-1 gene:gene1599 transcript:rna1599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MHLSIFFLLLFFLTNLQPSFSQQQHQKLTSFNISNSPWLPSQNITLTSPNTNFSAGFFPIPNSQNLFTFSIWFTKIPQSSNPLIWSIPTNLSSSSSLVITSKGELLLNNVSFVNHSNSSQLVLHDSGNLVFGNWTSFLNPTNTIVPSQNISGIEIVSSNDKYKLINSSFLILNDNSSSQYYNTQSPLVSMDDLGKMSYQSNSFLTSDYGDSRIRKLVLDDDGNLRIYSFYPEQKNTWVVVWLAIWESCKIKGKCGPNSICMPREDFYNSTYCVCPSGFEPVEGGSEKGCKRKIQLSSNIHFLRLDYVNYTSNGSMNQITANNYSICESNCTRNVDCLGFGFKYDGSGLCVLLRGKQLQYGYWSPGTELALFLKVDQKETEAPNFIGMTEVMQTTCPVRISLPLPPKDSNTTTRNIVIICTLFAAELIAGVAFFWSFLKRYIKYRDMATTLGLELLPAGGPKRFTYSEIKAATNDFSNLIGKGGFGDVYKGELPDHRVVAVKCLKNVTGGDAEFWAEVTIIARMHHLNLVRLWGFCAEKGQRILVYEYIPGGSLDKYLFRVKSKKSSGTGESESDLSHDTSLPDPSISDKPVLDWNMRYRIALGMARAIAYLHEECLEWVLHCDIKPENILLGDDCCPKISDFGLAKLRKKEDMVTMSRRRGTPGYMAPEWITADPITSKADVYSFGMVLLELVSGVRNFEIQGSVARSDEWYFPGWAFDKMFKEMRVEEILDSQICHAYDSKAHFQLVNRMVKTAMWCLQDRPEARPTMGKVAKMIEGTVEIMDPKKPTVFFLGEE >RHN51384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17020329:17021095:1 gene:gene35814 transcript:rna35814 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDKGLTVGVKRVQSTDDGLLVMCFDAETCPLSKAEEHLNYCRGCIRVYTRTAETLERRIAEANASTSAKNDIVSAETNTSNEDDETEKNKSSGT >RHN41220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28366770:28367708:1 gene:gene47518 transcript:rna47518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >RHN61649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37193716:37195006:1 gene:gene24136 transcript:rna24136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MGCNCEVHNYSRVDLAYSFHIVKTNTAMSLILLLIGVLLSSTALEFTGAQSVGVCYGGNGNNLPTKKAVVDLYKSKGIGKIRIYNPDEGILQALRSSNIEVILGVPNNVLKSLTNAQTASDWVNKYVKAYSIVKIKYIAVGNEVHPGSAESSSVLPAMQNIQKAISSANLQGQIKVSTAIDTTLIGKSYPPKDGVFSDAASGYIKPIVNFLVSNGSPLLANVYPYFAYVNNQQSIGLDYALFTKQGKNEVGYQNLFDAMLDSIYAALEKVGGSNVKIVVSESGWPSQGGTGASAGNAGTYYGNLIKHVKGGTPKRPNGPIETYLFAMFDENQKPGSEIERHFGLFRPDKSPKYQISFN >RHN52535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36446394:36458298:1 gene:gene37224 transcript:rna37224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFSSSSSSSMLPRYYDVPDSHFVPKKFQSFQFLKNTHFNFIPYSSSKINFISYSSTSTTFHSNNDVDDAVSLFNRLLRRNTTPPAFEFNKILGSLVKSKHYHTVLYLSQKMEFRGIKPNLVNCNILINCFCQLGLIPFAFSVFAKILKMGYVPDTITFTTLIKGLCLKGQIHQAFLFHDKLVALGFHLDQVSYGTLIHGLCKVGETRAALDLLQRVDGKLVQLNAVMYNTVIYGMCKDKHVNDAFDLYSEMVSKGISPNVVTYSALISGFFVVGKLKDAIDLFNKIILENIKPDGYTFNILVDGFCKDRKMKEGKTVFAMMMKQGIIPDVVTYNSLMDGYCLVKEVNTAKSIFNTMAQGGVNPDIRSYNILINGFCKIKKVDKAMNLFNEMHCKNIIPNVVTYNSLIDGLSKSGRISYALQLVDQMHDRGVPPNILTYNSIIDALFKTHQVDKAIALITKFKDQGIQPSMYTYTILIDGLCKGGRLKDARNIFEDL >RHN63442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51347844:51349163:-1 gene:gene26140 transcript:rna26140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative high-affinity nitrate transporter MAAHKLVVASLLLCCLSELCYGKDLFSSLKRTLDVTASPKHGQVLLSGVDKISGTWALNKTFPAGTDSSYKTIKLKLCYAAISQKDRAWRKTEDELSRDKTCQHKMLAMPYNASNKTVQTFEWLIQRDVPQATYFVRAYAFDSNDKEVAYGQTTNAGKSTNLFEINAISGRHATLDICSVVFSAFSVVSLGVFFYIEKRKGKSPKQ >RHN57213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37323752:37330678:-1 gene:gene32723 transcript:rna32723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NSF attachment protein MFGSKFEDAADLFDKSANCYKLAKSWDKAGSTYIKLANCHVKLESKHEAASAYVDAAHCYKKTNMNEAISCLDNAVNMFCDIGRISMAARYLKEIAEMCESEQNIERALVYYEKSADFYESEEVTTSANQCKQKVAQYSAQLEQYQKSIEIYEEIARQSLNNNLLKYGVKGHLLNAGICQLCKGDVVAITNALERYQELDPTFSGTREYRLLADVAAAIDEEDVGKFTEVVKEFDSMSPLDSWKTTLFLRVKEKLKAKELEEDDLT >RHN61270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34344881:34346204:1 gene:gene23711 transcript:rna23711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative organ specific protein MKSPHLSKEKMKSIFASFILFSLLLVADLSYARKDLGGYWKNMMNDQPMPEAIKELVQNQEVSDDFIRDFDVKPNVILYHTHVESKKKKEHVFVKNSQQELHGT >RHN43341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45026246:45030421:-1 gene:gene49898 transcript:rna49898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MDGREAMAFSGGPGSYYMHRGGAGVAGSGSGGFQLPPPGFRPLPNTGIIAQPNARGQGGDTSSMFSLETQSHNSHANFNHGINIGASSGAPSSDPVKKKRGRPRKYGPDGSVSLKLSPTSAPAKSTQEDSTTPSEKRGRGRPRGSGRKQQLAALGDWMTSSAGLAFSPHVITIGVGEDIAAKLLSLSQQRPRALCILSGNGIVTSVTLRQPASTNIGVTYEGKFQILSLSGSYLVAEDSGPSNRTGGISVSLSSRDGHVIGGSVAKLIAGSLIQVVVCSFVYGGGSKVKTKQETAANGESSEPHNDDKLASPASAPPGQNYVSSLTGMWPGSQPSDVKSVHAHTGFDLTRG >RHN45909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26752758:26755969:1 gene:gene40336 transcript:rna40336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MDFSYCAYLFSVVFILCCYLVHVSVGIDTITSSQFIKDPETLISKDGNFTFGFFSPKNSTNRYVGIWWKSQSTIIWVANRNKLLNDSNGIVTISEHGNLVVLNGQKQVVWSSNVSNITTNTSSHFSGFGNLVLLENTTGNILWQSIQQPSDTFLPNMKVSINKRTGKSLKLTSWKSPSDPSVGNFSCNSVERQNIFEVFIWNETRPCWRSGPWNRGVFTGIQTMKAAYLNSFQGEDDGEGNIVIFYTLANDREITIYHLNSQGIFEEIWWDDKNKEMKVTWKSQNTECDVYGLCGEFTSCNSLSSPVCSCLKGFEPRNTREWSRNNWTGGCVRRRPLQCERISNKTTGFFKLQMVKVPDFAEGLAVAPDKCKILCLENCSCVAYSHHDVIGCMSWTRNLLDIQQFQSGGLDLYIRLSYVEPNLYKTIFIASTVIIATLIIVICAYITWRRSSNHPANSSDNIIGEMSQDKLQELLKFDFQKVATATNNFDLSNKLGQGGFGPVYKGKLQDGREIAVKRLSRASGQGLDEFMNEIVVICKLQHRNLVRLIGCCVEGDEKMLMYEYMPNKSLDAFIFDPSKNKLLDWRTRHNIIEGIARGLLYLHRDSRLRIIHRDLKASNVLLDEELNPKISDFGMARIFGGGEDQANTRRIVGTYGYMSPEYAMQGLFSEKSDVFSFGVLLLEIVIGRRNSSFYDDEHNLSLLGFVWTQWSEDNILSLIDQEIYDHSHHNYISRCIHIGLLCAQELAKDRPAMAAVISMLNSETASLPPPSKPAFILRESMLNSKLPEECQNGCSINNVSITDISGR >RHN54542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10370942:10375051:1 gene:gene29606 transcript:rna29606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor and/or regulators TTF-type(Zn) family MERFFKSKLPVKRKLPSTEQQTNSQEQQLSLVSLSQEHQIEVNLEELPLDPGKRIKMSAYHPNDRDKIRKFYLRKGPFQPTKHAFPQRKMGSSLRRFCPSWYIEYGNWLEYSIEKDAAFCFCCYLFRPDVGKQSGGDSFVTEGFSNWKKKERLASHAGGPNSAHNFAWKKCQDFMKQNQHIEVVLSKQQPEQICDLYLSRLTTAIDCIRTILKQNDDSSDYVDQGNFLDLLKFLFECKESRSLVVLENASENDQLITPTIQKDILNVVALETTNAIISDLGDELFGIHVDEAHDISNKWKMVVALRYVNKKGSIVERLLGIVHVKDITALSFKMQIDELFCKHGLRISRIRGQGYDGASKVPEVFSGLKSLILEENPYAFYVHCFAHQLQLTLIAIAKNHIQVASLFNSLSTLLNVVGAPCKQHDVLRERQIVNVREALRKGKIPSGQGLDQEISLKQATDTCWSSHYVSLINLILMHSDIIDVLEIVKEDALNSDQRAEANGLLLLFEEFDFAFTLHMMKNVLGISYELSQTIERKDQDIIKVMNLVDIVKQLLQTMRDNGWESLLQEVILFCNTHAINIPRMENILCPKGKSRHGDKAQAITIEHHYRVELFYTIVDMQLQELNDRFTVTNTRLLLCMACLSPTNKFSTFDKTRVMEFANFYPHEFSPIELLMLDDQLENYITDVRSDDEFASLKGISDLSEKLVKTRKHIVYPLVYLLLKLAMILPVATSTTERAFSAMKFVKSTLCNRIADEWMNDCLVAYIEKDVFNNIDNEVIIQQFQNMKSCNEQL >RHN49652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:73581:76190:1 gene:gene33855 transcript:rna33855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase, cytosolic isozyme MSNIDIEGILNQLPNDGRVPKTKIVCTLGPASRSVEMIEKLLKAGMNVARFNFSHGTHQYHQETLDNLKSAMHSTGILCAVMLDTKGPEIRTGFLKDGKPIQLKEGQEITITTDYEIKGDPEMISMSYKKLPVHLKPGNTILCSDGTISLTVLSCDQEAGTVRCRCQNSAMLGERKNVNLPGVVVDLPTLTDKDKEDILQWGVPNNIDMIALSFVRKGSDLVNVRKVLGPHAKHIQLMSKVENQEGVMNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLVGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKIMARICIEAESSLDYGAIFKEMIRSTPLPMSPLESLASSAVRTANKARAKLIVVLTRGGSTAKLVAKYRPAVPILSVVVPVLTTDSFDWTCSDEAPARHSLIYRGLIPILAEGSARATDAESTDAILEASLKSATQKGLCKPGDAVVALHRIGAASVIKICIVK >RHN56060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27278798:27280445:-1 gene:gene31386 transcript:rna31386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MSLPLPPRVDPKIWQICAGPDVKIPKIHSKVYYFPRGHLEHACSSPTAATRTILDRYRSSIPCIVSSVDLFVDPHTDEVFAKLLLTPVTDQEPPPPVVPGQEDDDGDNLVSYVKTLTQSDCTRVLCVPIECSNLIFPKLDLDKSQSITVTDLKNQERGYTYTYSNSSRLHTGWLNFVREKKLVANDSVVFIKNSAGKISVGIRRKTKFTTDEADEGSENLTDEIKVLDAAELAEKNTAFDVVYYPTASGWRDFVVDAKTVDDAMKIGWKSGMRVKLPLKKYESSNSKMTISQLKGTISFVYNHSSNVPNWRMLEVNWDGLDIPQNPNLVNPWQVEVYNIPAPSTSSSTVNNPRLAESSSPQQIPHSMAGSSGTLPDT >RHN78478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15470045:15473806:1 gene:gene2048 transcript:rna2048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DYW domain-containing protein MQQCGKTKSLEEAKVVHRYVLQHLSPLKVSTCNGLLEMYFQCGSVDDAVSVFKNMIDRDLTTIYIMIKQLAKNGFAEDSIDLFTQFKRSGLKPDGQMFIGVFGACSMLGDIVEGMLHFESMSRDYEIVPTMAHYVSVVDMIGSIGNLDEALEFIEKMPMEPSVEVWETLMNSCRVHGNTELGDRCAELVEKLDPSRLNEKSKVCLLLIETSDITKNKKQNKPPDNNPVGNMNRIHEYRAGDTSLPENNMIYALLRRLRVQMKEAGYIAETRFCLHDVDQEDKEDALLGHSERLAIAKGLLNSPARSTIRVIKNLRVCGDCHTALKIISDLVGREFIIRDAKRFHHFKNGLCSCRDYW >RHN67986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31945208:31945547:-1 gene:gene16258 transcript:rna16258 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEYELNVLKTISKLLQSTDTTLDNEMLLATAYWRLIRRIVRTA >RHN42336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37459850:37466000:1 gene:gene48766 transcript:rna48766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MAWCLNQHMLSSVIIAVSVLLCLLSNHSSIAEKLPRFEHHLKPQQQSLNFLVVGDWGRKGNYNQSLVAHQMGIVGKNLNIEFVVSTGDNFYDDGLKGVDDPAFYESFVDIYTAPSLQQIWYNVLGNHDYRGDVEAQLSPILRQKDNRWVCLRSFILDTDNVEFFFVDTTPFVEEYFTDPGKHTYDWKGVLPLESYRAELLKEVDSALVQSTAKWKIVVAHHPIKSAGPHGNTQELEEQLLPILKSNNVDAYINGHDHCLEHIIDKESGIHFFTSGGGSKAWGGDIKPWDPKELKLYHDGQGFVSMQISKTNASIVFYDVFGKVLHTWSMSKKLKEAA >RHN63500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51796259:51799678:1 gene:gene26202 transcript:rna26202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MEATDNSSESTVSNDSQRLPGMVNWGPPTVISVFAGMLYGGSREASSSVSKDAEVMLKLGSTEDKRAQYRLMRDAMEKRFIRVTRGALVGGVRLGMFTAAFYNIQNLLAEKRGVHDVFNVVGAGSATASAFGLIMPGSFRWRARNMALGSVLGAAFCFPLGWIHLKMVEIANEENLAAHSDQREVKGGVSAAIERLEENLNKK >RHN54291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8360901:8362024:1 gene:gene29302 transcript:rna29302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MLMIDIGYVEVSSVNALLDGCSSIEILDLGFSAQSLDKVCVPPSLKRLKITIENDVGAYLEVNAPDLQYLNITQITFGEGFSLYSLPNVVEAYLDVFPTSLGSIVPLHNLFGALSGAKHLMLSRSTTKVKERSPILAWSPQPSIPNCLVSHLSFIEFKGFRGFPDEVSFVEYVLQNGHVLKTLIIADISLDLKKKYLILKLVSDVPRASAMCQLKFD >RHN44388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4129242:4129509:1 gene:gene38505 transcript:rna38505 gene_biotype:protein_coding transcript_biotype:protein_coding MQARFVHAPRVYQSFVNTVLMYINRENTLDDVIREVGLLFEGHAEDLIDELIYYLRVRGQR >RHN67422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27091940:27092290:-1 gene:gene15611 transcript:rna15611 gene_biotype:protein_coding transcript_biotype:protein_coding MRREILLRFRSLQGKLETKKRKRKNEVSVEKTVVTGAGRKGGKIAKVQYYKKVVYDGGEFEVGNDVYVKREDATSEEDPELEECRFFFFRFGDEIMTVVRSITVRFGSIFGIKKNV >RHN72404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7355404:7363675:1 gene:gene8111 transcript:rna8111 gene_biotype:protein_coding transcript_biotype:protein_coding MEISMRRSMEEVTKEAQEKGSDPLAWALEMYSNLNSSGKSVPSSELAEFLVSYICWDNNVPIIWKFLEKALILNIVPPMLLLALLSVRVIPCRHAQPAAYRLYLELVKKHTFELKSQISRPDYQKDMKSIDAVLHLSKIFGMSQSEPGIVVVEFIFSIVWQLLDASLDDEGLLEFTQEKKSRWAMLYQEMELDGRNNYTEQIENLRSINTLIAVEMIGKFLQDKVSSRILCLARRNLPAHWLSFVQRLQLLSTNSLALRKSKTLSPEALLHLTSDACMVLSKECKTHSQQKFRKVMAFEYLSSSASLCHGASHSALWIPLDLVLEDTMDGYQVSATSAVEEISGLIKTLRAINGTSWYDTFLGLWFASLRLVQRERDPIEGPMPHLETRLCMLLCIIPLVVANFIEEDEEEEQTTIDEKDGDPTDQWKEKRFPGKCRNDLVSSLQVLGDYQSLLTPPQSVIAAANQAAAKAMLFISGIAIGSAYYDCLTMAEMPVDCSGNMRHLIVEACISRNLLDTSAYLWPGYANGHINQIPQCMPAQVPGWSSFLKGAALTSGMVNALVSSPATSLAELEKIFEIAIAGSEDEKISAATILCGASLIRGWNIQEHTVHFILRLLSPPVPIENMEGNNYLINYAPILNVLFVGISSIDCIQVFSLHGLVPQLACSLMPICEVFGSCMPNISWKLTSGEEISAHAVFSNVFILLLKLWKFNCPPLEHGIGDTPSVGSQLTPEYLLLVRNSQLMSAGNIRKDRNRRRLSEIASLSSPNSVFVDSFPKLKVWYRQHQACIASTLSGLVHGTPFHQIVEGLLNMMFRKINRGNQPTITTGSSSSSGLGNEDASIGPKLPAWDILEAIPFVVDAALTACSHGRLSPRELATGLKDLADFLPASLATIISYFSAEVTRGVWKPAFMNGTDWPSPAANLQNVEEQIKKILAETGVDVPSLASGDSSPATLPLPLAAFTSLTITYKVDRSSERFLHLAGQTLEGLAAGCPWPCMPIVASLWTQKAKRWSDFLIFSASRTVFLHNSDAVVQLVKRCFTATLGMSSSPISSSGGVGALLGHGFKSNLCGGICPVAPGILYLRAYRSVRDIVFLTEEIVSILMQSVREIVCGVLPKQRLKKLKLTKDGIKYGQVSVAASMTRVKLAAALGASLVWISGGLTLVQLLINETLPSWFISVQRSDQEEKSNGMVAMLGGYGLAYFAVLCGAFAWGVDSSSSASKRRPKVLGTHMEFLASALDGKISLGCDPATWRAYVSGFVSLMVGCIPNWVLEVDVNVLKRLSNGLRQLNEEELALALLGVGGVGTMGAAAELIIDTEM >RHN60610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28784019:28801771:-1 gene:gene22950 transcript:rna22950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MAPIPPENGVDGDDEREEDEEDEEEEDEDEEVEEDDDEEEPRLKYQRMGGSVPSLLATDAASCVSVAERMIALGTQAGTIHILDFLGNQVKEFSAHASVVNDLSFDLEGEYIGSCSDDGTVVINSLFTDEVMKFEYHRPMKAIALDPDYARKTSRSFIAGGLAGNLYLNSKKWLGYRDQVLHSGEGSIHAVKWRASLVAWANDVGVKVYDTANDRRITFIERPRGCPHPELLIPHLVWQDDTVLVIGWGTSVKIASIKTNHHKSTNGAYLQVPVLGMTQVDIVASFQTSYFISGLAPFGDSLVVLAYIPGEEDGEKDFSSTAPSRQGNAQRPEVRVVSWNNDELSTDALPVHGFEHYKAKDYSLAHAPFSGSSYAGGQWAAGDEPLYYIVSPKDVVIAKPRDTEDHIAWLLQHGWHEKALAVVESSHGRSELLDEVGSRYLDHLIVERKYGEAASLCPKLLRGSGSAWERWVFHFAHLRQLPVLVPYMPTENPRLSDTAYEVALVALATNQSFHNDLLSTVKSWPSVIYSALPVISAIEPQLNTSSMTDSLKEALAELYVIDGQYEKAYSLYADLLKPEVFDFIDKHNLHDVIQEKVVQLMMLDCKRAVPLYIQNRELISPPEVVKQLLNADNKSDSRHFLHLYLHSLFEVNPHAGKDFHDMQVELYADYDPKMLLPFLRSSQHYTLEKAYEICIKRDLMKEQVFILGRMGNAKKALAVIINKLGDIEEAVEFVTMQHDDELWEELIKQCIHKPEMVGILLEHTVGNLDPLYIVNKVPNGLEIPRLRDRLVKIITDYRTETSLRHGCNDILKADCVNLLIKYYNEARHGISLGNEGDEPRVNMSDHRASQAFEKSLSLKTMEMKSKTGGGGRCCICFDPFSIQNVSVIVFFCCHGYHTTCLTDSYYTSSTKESEATPKEAEAYDDYNGYADDASDENEEETKSDGPRMRCILCTTAAG >RHN59380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10093519:10099444:-1 gene:gene21406 transcript:rna21406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGYAIDYLNHNEANPCLFGDLLDMNCCKNAIGPSSQYRGGGGGRSFRRGGRGRGQFNRGRGRGVGGGRHFPSHSSGPAISDVPGASAAAGATYEVQGSSSVSAQVQTAPVQPQPRGVFCDICKIECNTSEVMQVHLQGKKHLKNLKLHEAKQRRNPINGSQSSQNPTSELNSTDQSVIAQELEDPTKVMSSEIAADNKDEMMLQNNVGETSDVPAEEADGLRMENSGARDRGLKRKTRGAKGGRKQMRTTDGSVPEQHVAITCELCNVKCDTQRVYQAHITGKKHMKRAYGYQGPAGVGNQALIGLGNQALVGVGNQAPSDVVGPQALPGAAGLQALYPPDINALATAINAQVQQGDNDPQVLLAQLLVNALSQAQGSTTAAPNGTLAAQTPAPASVAGSGNDPQLVQTQVSEVAADVGVGNPTGEIKNEILSVPLESNAQEGSNVAPKIEGGNSETK >RHN63370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50744695:50747426:1 gene:gene26062 transcript:rna26062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MTQNPIQNPNSILPMAATTNHGGGGGATGAGGDDRVLATAQQILKSLKAPKEDREDMLLIFSTFDNRLSGITDLINGDDSKSSKSDDDDDDDEDLDRFEAAEKVILDDSSLSTESSRQSTSLFDPPNDPEVYFNAVDEIIQWMEHFTISPPINSAVKTGKIILDRADNAIQVAMSRLEDELRHVLICNTVPPDAVSRCSTNRRSSLSFSSHDGAGGFDDNSGSFGDAGDPGSHRFHERGVSLGDDLFVDLVRPESILNLKDIIDRMVRSGYERECLQVYSSVRRDALVECLAILGVEKLSIEEVQKFEWKSLDERMKNWVQAAKVVVRVLLSGEKRLCDSLFGELEDVKEMCFNETAKGCVMMLLNFGEAVAICKRSPEKLFRILDMYEVLRDALPELEDMVTDEFVITEAKGVLRGLGEAVKGTFAEFESCIRNETSRRPVITGDVHPLPRYVMNYLKLLADYSNAMDSLLEISEEALYHFKNDLGGDESQLEALSPLGRQILLLMSELEHNLEEKSKLYEDHALQQVFLMNNLHYLVRKVKDSDLIEVLGDNWVRKRRGQVRQYATGYLRACWSKALACLRDEGVGGSSNNASRMALKERFKNFNACFEEIYRVQTAWKVPDDQLREEMRINISEKVIPAYRSFMGRFSGQLEGRHAGKYIKYVPEDLETYLLDLFEGSPAVLHHIRRKST >RHN80161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35711163:35714236:-1 gene:gene4051 transcript:rna4051 gene_biotype:protein_coding transcript_biotype:protein_coding MEILPFEGPLHLDRLEELSLESLSTIHVESEFAKREKELSLKAKIVQERYQDLFKELKIIGSTYGKTIQLLTKKNNELQVQVKNLKGVDDGSSSYDYDYHYQLDVLRIKNVELEETAKKNLSTISELRKENSKLKDEKRGVERLLKSVDTKFRGLHQRVERLEDDTGRLMSVDASQDEEDVDDCDSRNDTVEGKSIKQKRGKGSLVKQAGREIMLKKSKGC >RHN67747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29939902:29942193:1 gene:gene15971 transcript:rna15971 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSVEQQLSLFRYLIQTRSFGDSTLRFLDSLLVSKDVKSLVEIRSSLNQLLKSESLSIIQSITAESVHNKLIVLDFFVCAFAIVGDLESCLALRYEALVVRELKSATIQLLHVSPLEWLNFVEDAVKNGFHAVAVKACENALRCIGNSDVQKLGRDVISDNLKANTISEITRLRNFSLASITSRSVQVQATEYLERKTREQQKLDLPYKEKRCLASTSFRNGIKRQNMRKLYKRQTLLRANSEMQGQHPNLS >RHN40939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24734035:24735350:1 gene:gene47187 transcript:rna47187 gene_biotype:protein_coding transcript_biotype:protein_coding MPALMLKRSSRVIPGFLGTPAGIITTSAPSKAFPSSSSPAYPGT >RHN39504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7669970:7671640:-1 gene:gene45531 transcript:rna45531 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGNRKQKKEPEDEVEQMLRAAQDEILLNLSLNSHLSRPSPSSSTIPNPNPNPTPDPELDLNLERRFQALKTKSKSQQPLDINARFDALKIKSNPAGATVSTSETQFRYESEDEEEEDEEVQIRKLIEWAKDSARLDPSPPSPSVSDDEDHDPPSSDESDDDDHHRRRKPVKK >RHN52888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39971184:39972996:1 gene:gene37620 transcript:rna37620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MAMGGGVELQEEVQHPISNLPFPTTLDQGHGAEEEQAHVQNNAIMLNAEILRVRKGKMPMNYFEDAPTQEIVATDEAKTVSSDEQQVPNTTIGVEASSIEGMMLLQHNDTSASGSSSQRKRKIDFSEHYKLPCTMINVAPKVVFRIEINEDKVNDGYRWHKYGRKEIKGNANYPRGYYRCTTKTCNVRKQVERDAQNPKYVIVSYEGKHNHGLPMITKKNPTNSSTTSTTVARGNASIVPPPYALAMTQPFTPLHRSESYGSYGMNCIWPNNNPFLNMVNNTMMMPYRYINGQHFRDCYAAPSIAASQAVPSIGEFQISPPLPKLHDLLQLSGYNIAAATMFPFEGAAPTNHEANDSLNNE >RHN50368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6513518:6513808:-1 gene:gene34646 transcript:rna34646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endo-1,3(4)-beta-glucanase MSTNNKINTHFLFPQGNSTVLPDPSKFFSPNLLSTPLPTNSFFQNFVLNNGDQPEYIHPYLIKSSDSSLSISYPNRSSSSKAIHQVFKPDLTITSS >RHN55853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24750246:24753651:1 gene:gene31129 transcript:rna31129 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLRFFVSPFRPPKPKSDNAEAIAMNFVNEQNRPLNALQKFKPKPKKTAIQKALNCLEKEELNQMKKMNADLQKQFEEQKKVICEVEAGHMLKIPVIVETGVCISRQTQNSKMRDKPTQSALSAVQNSHWSEKPASSSSRSHHESSGKSSCSSDWSFNLEKYRSEAQRLRERVRELADAEENVSLQREISSFSERETESKSVMTHTDQQHKVLTSKAEKMKGEILGLPQNLSELQDRWKIAEVTRHCLRRNFEENVNDINKKQMHLMRLNKIESALRRELESHKFEEILGLRQRLHERVRELADAEQNVSLQREISSFKQNLSELQDRCKIAEVTRDCLRRNFEEDEKEIQIQRLEKEKNEMQSALEKELDRRSSDWWSIKLEKYQSEEQRLRKRIRELAEQNASLQREIENRGSWFAARPLRTTRQMQDS >RHN50385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6643867:6648869:-1 gene:gene34663 transcript:rna34663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative quinoprotein alcohol dehydrogenase-like superfamily MMLVTWLLLLLVTLSLVPAALCSVCSRHLLSKPLIGDDGRIYVCSDKTFLSFETNGTIAWSIHVDYKCNVGLAPVHAGLGKIYLVAENRILKIDYGNIGISEPAVELFFGPEPGQKAETKIIGLSVSTLSSTVFINVKNRGLFAYLSHGRLLWSLGPALYQFGYRQGCRKNLTDCYFSSVPVLDQCEASIYIANTEGELYCLSVRGRDFRWIQDFSSLDKSFTITPGNNGHLYVTVPTRALILALDVFTGNILWQGSVGPLSKIDCAPVVDSNGWISIGSLDGFLYSFSPTGILKKFSRKNTENSIAQVGPFLDCSGFAVYSSQIEMEGKVSHGIGEYTVVSAIRPKAAIFTMLVPATGSIYWSEGYPGKLSTLLSKSDLSQFVVNEEILLTFIAASKVDTPLQCRTTGQKLASSCSQARNKLVNIYSGNERTIEFFLLFESFLLVLLIGLVRFCCTFWAKRKLQDQGLGSFLDKRCSLQLQKKALVKTISELEKKSAEESVDNEVYEKLGDTVRKKENIERKLSTTYSLGRDRTNSKSKSMLPLQIGKRKSYSFQGAKKQKLKMFDTLSDASSESSIEGERDMVEVLDSSAKEKGKTPMVEVTSTSSNDEDFINPLDVT >RHN71224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57354901:57362398:-1 gene:gene19878 transcript:rna19878 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,4-alpha-glucan-branching enzyme 1, chloroplastic/amyloplastic MVSSFAFNPLISSSFNIASTTHHTSTNKQHLVTQKPVQLALVYQNPNNGCKVFHFGSIYQKVSSGFKGVAVMTDDKSTMSSVEEDFENIGLLDVDSSLKPFKDHFKYRLKKYLDQKKLIEDYEGGLEEFAKGYLKFGFNREEDGIVYREWAPAAQEAQIIGDFNGWDGSNHHMEKDQFGVWSIKIPDADGNPVIPHNSRVKFRFKHSDGFWVDRIPAWIKYATVDPSRFAAPYDGVYWDPPLSERYEFKYPRPPKPKAPRIYEAHVGMSSSEPRINSYREFADDILPRIQENNYNTVQLMAVMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLIDKAHSLGLHVLMDVVHSHASNNITDGLNGFDVGQSSQESYFHTGGRGYHKLWDSRLFNYANWEVLRFLLSNLRWWLDEFKFDGFRFDGVTSMLYHHHGINMAFTGDYNEYFSESTDVDAVVYLMLANCLVHNILPDATVIAEDVSGMPGLGRPVFEAGIGFDYRLAMAIPDKWIDYVKNKKDYEWSMKEISSNLTNRRYTEKCVAYAESHDQSIVGDKTIAFLLMDEEMYSSMSCLTDASPTIDRGIALHKIIHFLTMALGGEGYLNFMGNEFGHPEWIDFPREGNGWSYEKCRRQWNLVDTDHLRYKFMNAFDRAMNMLDDKFSFLASTKQIVSSTNNEDKVIVFERGDLVFVFNFHPENTYKGYKIGCDLPGKYRVALDSDAREFGGHGRVGHDADHFTSPEGIPGVPETNFNNRPNSFKVLSPPRTCVVYYRVDESQEESNSANLGGVEESFAAADTDAIPDVSTENKEDAVVTDIL >RHN70653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53010830:53012430:1 gene:gene19262 transcript:rna19262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MDVICQAKSGMGKTAVFVLSTLQQIDPVPGQVSALVLCHTRELAYQICHEFERFSTYLPDLKVAVFYGGVNIKVHKDLLKNECPQIVVGTPGRILALAREKNLSLKNVRHFVLDECDKMLESLDMRKDVQDIFKLTPHDKQVMMFSATLSKEIRPVCKKFMQDVISHGQYYLIRSLFFILPTFPEVFSCLNAVVSSIFTSDRVSVYVLLFICPILLNNLLMSWSGVGTGLE >RHN66792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18866353:18869100:1 gene:gene14877 transcript:rna14877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxygen-evolving enhancer protein 2 MASTQCFLHPQYALTTPSRSLSQRQIVTNKPNHIVCKAQKQDVEDVAVSVVSRRLALSVLLGAAAVGSKVSPADAAYGEAANVFGKAKTNTDFLPYNGDGFKLLVPAKWNPSKEREFPGQVLRYEDNFDATSNVSVLVQTTDKKSITDYGSPEEFLSKVDYLLGKQAFFGQTDSEGGFDTNAVAVANILESSAPVIGGKQYFNISVLTRTADGDEGGKHQLITATVNDGKLYICKAQAGDKRWFKGARKFVEDTASSFSVA >RHN61997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39912472:39921882:-1 gene:gene24517 transcript:rna24517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin, winged helix-turn-helix DNA-binding domain, anaphase-promoting complex subunit 2 MEDSHSSLFNIGILDTLSEDQLHEILESYTLFCNATQSLLGGAGDLSYGAEFVSHVHTLCKHGLESLVRDHFLKVLEETFERNGSSRFWRHFVPYADFVSLNKNGDVNIDEDEIESVLCNALEEISLEKQYQEKCLLILVHALQSFKDQTSEERHNFEAERNYLTSKYQWTVSSVLMATLPRVFPAILHWYFKRRLEELSTVMDGEFTDDVSQNKDDMDLDEKGKICKDGEMDVDECYSDRRFSENSKLVKNIGKVVLDLRSLGFTSMAEDAYASAIFLLLKAKVYDVAGDDFRSSVLQSIQSWIQAVPLQFLHALLVYLGDSVSYESTSSGLKSPLAPKSSSCCPGIDTPSESLVRWKLRLEYFAYETLQDLRIAKLFEIIVDYPDSSPAIEDLKLCLEYTGQHSKLVESFISALRYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIRDYLRGRRDTIKCIVTMLTDGTGGNSSASGNPGDSLLEELNRDEEIQENFGIDDDFNTDDRQAWINATRWQPDPVEADPLKGSRNQRKVDILGMIVGIIGSKDQLVHEYRTMLAEKLLNKSDYDIDSEIRTLELLKIHFGESSLQKCEIMLNDLIGSKRVDTNIKATISQLPQTSVEVEDNAISMDKVAATIISSNFWPPIQDEPLNLPEPVDKLLSDYAKRFSEVKTPRKLQWKKSLGTVKLELQFEDREMQFTVAPVLASIIMKFQDQTSWTSKHLAAAIGIPVDVLNRRINFWISKGVIAESSGGDSSDLVYTIMENMAETSRNGGGSGNAQELLGGDEEEDRSVASVENQLRKEMTVYEKFILGMLTNFGSMALDRIHNTLKMFCIADPPYDKSLQQLQSFLSGLVSEEKLELRDGVYFLKK >RHN80451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38220504:38221311:1 gene:gene4380 transcript:rna4380 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGGKSLKRMKRRVTADYHDFFSFPSPSLAASESFSGGPFRSNVHSFLTKYALLPPPSALFPHLLTWQILFRVGEITEGGESGPAVICLDVVEEDVARSRSVYCDQCRVFGELTRFGFT >RHN49449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54875460:54895354:-1 gene:gene44282 transcript:rna44282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRM1 domain-containing protein MQGFTPNTDFSELHSTMRAIELASNSIQMQISPAASEAIILSLGQSSQPYKTCQFILENSLVATARFQAAAAIREAAIREWGFLNADDKRSLISFCLCYAMQHASSPDSYVQAKVSSVAAQLMKRGWLEVVAAEKETLFYQVNQAILGIHGVDVQFAGIKFLESLVSEFSPSTSSAMGLPREFHEQCRRSLERDYMKTFYQWTQEAASSVTNRIIESDSVVPEVKVCTAALDLMLQILNWDFRSNTSDTKINVFSAGVGQDGDSFRRPECHLVQPGSDWRDVLILSGHVGWLLSLYAALRPKFTYEGYWLDCPIAVSARKLIVQFCSLTGTVFLSDDGKMHERHLLQLLSGILEWVDPPDAVSKAIENGKSDSEMIDGCRAILAIANVTTPCVFDNLLKSMRAIGTLTFLSMWMSEVIKVLITRNTEDGTWSWEARDILLDTWTALLMPINTITVNDLLPSEGIKAAANLFGFIVECELRMASASAFNDEGDSDYLRASISAMDERLSSYALIARASIDVTIPLLTHVFSERVTRLNQGRGIIDLTETMEELYSLMLIIGHVIADEGEGEMPLVPNAIQTQFVVNSVEADKHPVILLSSTIIKFAEQCLNPEMRDSVFSPRLMESIIWFLARWSSTYLMSSDGIGEKILDSGHHYEHSSKKVLLSFFGEHNQGRVILDIIVRISLITLTSYPGEKDLQGLTCYMLLHSLVQQRHICVQLVALNSWHELANAFSTEKTLFLLDISHQRSLAQTLVRSASGVRNSEESSQYVRNLMGHIATYIVEMSSKSNLKNVAQQPDILLSVSCMLERLRGAASASEPRTQKAIYELGFSVMNPILVLLEVYKHESAVVYLLLKFVVDWVDGQITYLEAQETAAVVNFCMRLLQLYSSHNIGKISLSLSSSLLSEAQTDKYKDLRALLQLLSSLCSKDMIDFSSDSIEAQGTNISQVVYFGLHIVTPLISMDLLKYPKLCHDYFSLLSHLLEVYPETFAQLNSEAFNHILGTLDFGLHHQDVDVVSKCLRALQALASYHYKETGNGNIGLGAHAMGLKDSSGEVQEGLLSRFLRSLLQLLFFEDYSSDLISVAADALLPLILCEKGLYQRLGNELIERQANPNLRSRLVNALQSLTSANQLSFSLDRINYQRFRKNLNNFLVEVRGFLKTV >RHN70053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48357850:48364608:1 gene:gene18594 transcript:rna18594 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H oxidase (H(2)O(2)-forming) MGTDEVEGEIHNHRDSDTELIALHNGSFSGPLNKRVGRRSAKLNVSNSTSAIDLNHQEQDEEKAEQDYVEVTMDIQGDSVALHSVKTVPGNNGEDEKLVLLGKGMEKKRSFGASFVRTASIRMKHVSQELKKLTSFSKQVGPQKVYDRTKSAASHALRGLKFINNKTDVGWFEVEKQFDILSTHDAFLHRSLFAKCIGMNKESEAFAGELFDAMSRRRNIHGDSINKPQLKDFWDQISDNSFDSRLRTFFDMVDKDADGRITEDEIKEIICLSATTNKLSNIQKQAEEYAALIMEELDPDDTGFIMVNDLEILLLHGPTHSTRGDSKYLSQMLSIKLKGTYEYNPVRKRYRDAIYFLQDNWQRTWILVLWIGVMCGLFAYKFMQYRRKDAYEVMGHCVCMAKGAAETLKLNMAIILLPVCRNTITWLRNKTKLGIAVPFDDNLNFHKVIAVAVATGVGIHAIYHLTCDFPRLLHANSEKYKLMEPFFGKQPTSYWHFVKSWEGVTGIVMVVLMTIAFTLASPWFRKGRVKLPKPLNSLTGFNAFWYSHHLFVFVYALLVVHGIKLYLTREWHKKTTWMYLVIPIIIYALERLTRALRSSIKPVRILKVAVYPGNVLALHMSKPQGFRYKSGQYMFVNCAAVSPFEWHPFSITSSPGDDYLSVHIRTLGDWTRSLRVKFSESCLPPTHGKSGLLRAECMQGDSSPSTLPKVLIDGPYGAPAQDYKQYDVVLLVGLGIGATPMISILKDIVNNFKAMEEDEGTTIEEGTSSKSPRPSQHKRTGLNSFKTKRAYFYWVTREQGSFDWFKGVMNEVAEDDHRGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKNGVDIVSGTRVKSHFAKPNWRSVYKRIALNHPQTRVGVFYCGPPALTKELRQLGSDFSHNTTTKYDFHKENF >RHN60965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31934047:31938440:-1 gene:gene23370 transcript:rna23370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGNKIGKLTVCFTGNNNSNRKHDISILITDPLDEGLGHSFCYVRPDPTRLSSSKVHSEETTTFRTISGASVSANTSTPLSTAFMDLYSYGCFDRAAAFESSTSFASLPLQPIPKAFSGNFGGVGGGFLSSGPLERGFMSGPIERGFMSGPIDRGLFSGPIDKDSSGTGVDQFQRSFSHSGLGFSVRPRSRKEKWIRVLQRAISKTLSRGQGSIVTPIKGVPLKEPPEWILAGEKHNENLTVSSLNLSSEGSLEDDDSLGSQNLQWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDAPDYLLSNLYSFVHKELKGLLWDDGVSSDNSNLKPRDSVDDDVVVKEDMLFDDCSQCVDQEKGESISKKKKRGKNSKNKYKDAAKKHEENQRRWKCEWDRERLELDRRLKEQLSGGDDNSVNHSDVLEALSRALRKTEESYLDVADKMVMENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLAQKAEPDYWIGKIRQDLERINEETMHDLESWDDADKSNVVPSLSAFQLTKDHSTNVEEEVIRIIKEHPDDPCAVVNDRVKGSLKVTRAFGAGFLKQPKWNNALLEMFRIDYVGNSPYITCQPYLKHHRLGQKDKFLILCSDGLYQYLSNEEAVAEVELFITLQPEGDPAQHLVEEVLFRAAKKAGLDFHELLEIPQGDRRRYHDDVSIIVISLEGRIWRSCV >RHN54567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10540239:10541282:1 gene:gene29631 transcript:rna29631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ClpP/crotonase-like domain-containing protein MLSWTHSQTLYRTTSRPFFTSFQSHFSSSSSNTEDYPTGDFNFEPLIGYNKFLVRLNMKFALPSKRVKDGAYDPCISAVYLHIDHLSWGWAKLDEIQRQILNFRKSGKMVVAYVPSIQPTEYYLACACDEIFAPCDESFSPPHPQFGLFFGLTSHLIALFLKDSYLRGKRREEVENFINEGVYQVDKLKNEGFISSLVHDDHEVINLLKKRLGGVKSLPMISFEKYSKVRKWTVGISEAKEQIAIIRVSGTMGTDIVTSKFIEKIGMVKASKEIKAVIIRIDSTGGDVRDSQLMWKEIRSLPAEKPVVASMSFNV >RHN55746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22480347:22480655:-1 gene:gene30986 transcript:rna30986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Caspase-like domain-containing protein MCLVAICYLCYADYDFRELAKHVPEGCQFVMMMDNCNSAGLLDGSTEVIGDSHQSANVVKNKRQQSDFFKGFKMDKEPSDVLVSSCQSYESSVGRFIVVDRN >RHN52823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39216182:39221701:1 gene:gene37540 transcript:rna37540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MMQSSHRVPKLGSFRHSFLEKKEKLMSMKGGGGGGYSQIGIPLPESDDDDDYIQRRRWCSFRGFSDGIVEFWKKSKRVAGRAWEMGVSDPRKFVFSAKMGLALILISLLIFLKQPFPDVGKYSVWAILTVVVVFEFSIGATLSKGLNRGLGTLSAGGLALALGMLSKLAGPGQWEEIVIMISIFIVGFCATYAKLYPTMKAYEYGFRVFLITYCYVIVSGYRTGDFLHTATNRFLLIALGAAVSVGVNVCIYPIWAGEDLHYLVAKNFTGVATSLEGVVNNYLNCVEYDRVPSKILTYQASDDVVYSGYRSAVESTSTEDALMSFAIWEPPHGRYKMFRYPWKNYVKVSGALRHCAFMVMAMHGCILSEIQAPAEKRQVFRKELKKVSSEATKVLRELGNKVKKMEKLGEEDILFEVHEAVEELQQKIDKKSFILVNAELWEIGNRPRNENVSQDLLQMDEERHFLEYKSLSEAVLDLRSVRVPKSWEENLVTPDNIIKPANVVTDENMFRKPGTLSAHLSFQEDPTTKVEESKTYESASSLTLATFSSLLIEFVARLQNLVDSFEELGEKAKFKDPLEQQELVTSGWTRLFNCFKSKD >RHN45595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23458487:23469289:-1 gene:gene39971 transcript:rna39971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleolar GTP-binding protein MKAPSTLFLKTHFPSSISLLTNPKGKLNAKLLPHLCFCKSIQTSSYEVAKGSYVPTPTLEQIKENNMMEKPSRTFESVGAFQKLPIVMPSVDILGSALKKARRISATKGIVNIAKREKNKGAKQLDALMKELAVPLRTYVENFPNKTHLHPYERSLIELTLGDGYYEKVLGQVDGLRKRVVSVGKEHASLCAKSLTKREAEERLTEGLKIIEESFGQERKVVDDLLNIAKTLRAMPVINLETPTLCLVGAPNVGKSSLVHVLSTGKPEICNYPFTTRGILMGHIVFNHQKFQVTDTPGLLKRHDDDRNNLEKLTLAVLSYLPTAVLYVHDLSGECGTSPSDQYSIYKEIKERFDGHLWLDVVSKADLLRTSPVIYATEDRDLTQHELEKYRKSGPDGAINVSVTTEEGIHELKHRVNELLNLQMTKIKDASNNQEN >RHN53701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3457321:3458062:1 gene:gene28636 transcript:rna28636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deoxyribodipyrimidine photo-lyase MNYEGCKRKFDVDRYIAYVNKIVGELRKRKAENLLSEKEKVRRCCNPED >RHN78696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17626435:17633235:-1 gene:gene2334 transcript:rna2334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain, nuclear transport factor 2, NTF2-like protein MATPFPIPLTAAQIGTYFVGQYYHVLQNQPELVHQFYSDASTMLRIDGNARETATAMLQIHTLVMSLSYTGIEIKTAHSLESWSGGAIVMVSGSVQIKDNLRRKFMQTFFLAPQEKGFFVLNDIFHFVEDDLIHHHHHQAVLLAQSNLDSKLNVPSTINMPVSNYMPSGDIQARIVGRTNEVKENGVADNYGYSEQRIQRGPDSEHIREDNAAEDSNGSLHSSGNAVQDHLPASPEEPAGEPQKHTYASILRVAKGQSTPVASQPSHKNVSPSEWDYIPPSSNQQSTASANAFERSEPDAVEELPAAEYEDEIKSVYVRNLTPTVSPSEIEEEFKNFGRIRPDGVVIRSRKDVGVCYAFVEFEDMSGVHNAVKAGSVEIAGRPVYIEERRPNSNIPSRGGRRGRGRGSYQSDAPRGRFNSRSYGRGNGQDGGDREYNKPRGNGYYRPNPRQERGYSGHQMPRNGQNLAESS >RHN82037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50762247:50763812:1 gene:gene6153 transcript:rna6153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MIYLKESGKDWTVIWQAITQPCTVNAICGVYGFCNSPDNSTVNCSCLPGYTPFDPNFPSKGCYPNVALDLCAKNANSSASNITVVKIQNADIPNSIFFDLQRIDSSDLDSCSKEVMNDCFCMAAVLIDSVCYKKRTPLLNARISIPETSNRVTLIKVPQILQEDQNDSPSRVVLIVAASTCSMLAIVFATIAIYYHPTFGYLIKKETPPKPKPVDINLKAFSFQELREATNGFRNELDRGGFGTVYFGMTHHKNLVRLLGFCNEQNHRLLVYEMMRNGTLSSFIFREEDKDKPSWEHRAKIVVEIARGLMYLHEECDPQIIHCDIKPQNVLLDSNYTAKISDFGMAKLLMNDRSRTSTNVRGTMGYLAPEWLKNAPITAKVDIYSLGVMLLEILFCKRHIELNQIEDGTEGGDDMILIDWVQYWAKEGKLRDIVSNDVEVVNDFNRFERMTMVGLWCLCPNPTIRPSIAKVLQMLEGDSEVSVPPLFDGLMFELFMAKSLFLFLL >RHN80802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40957470:40959397:1 gene:gene4769 transcript:rna4769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative branched-chain-amino-acid transaminase MLIRAIILAEWKPPVYDNEHGIVLVTATTRRNAPNSLDSKIHHNNLLNNILAKVIYYSPLEFFTYNNSNGISYESLFGLVQVEGNNAKADDAIMLDKDGFVSETNATNIFIVKKGRVLTPHADYCLPGITRATFKVMDLVVKEQFILEERRISLSEVHTADEVWTTGTMGELSPVVKVDGHTIGNGKVGKITRKLQAIYKKLTEESGVPIQNYFET >RHN79605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30505402:30506060:1 gene:gene3418 transcript:rna3418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MKKRRAGRKKFRETRQPNNKTRVWLGTFSHPDMAAIAYDVAPLAFKGETASLIFPHAATSLPRLDSQTSSIRSIQFASTKAVEKHLSSCQDLESFVADIAMNGSESADYNVNGCVEKFLEDSDGFFWDEEEVYNMPGLINSMAEGLIMTPPALQRGFNWVGGETTMDLSLWEN >RHN70615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52742413:52748405:-1 gene:gene19218 transcript:rna19218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, synaptotagmin-like mitochondrial-lipid-binding domain-containing protein MGFISGMIIGIMIGMILVVAFARQESTRSKRRTDLAKTIAKFARMTVEDSRKLLPPNFYPSWVLNWLNSHLEKIWPFVNEAATELVKSNVEPILEQYRPVVLSSLTFSTFTLGNVAPQFTGISIIEEDSGPNGATMEFDLQWDGNPDIVLAIKTKVGIVLPVQVKNIGFTGVFRLIFKPLVAEFPAFGAVCFSLRKKVVGGDISTLPGVSEAIETALLFIQETIRDAIEDSITWPVRKVIPIIPGDYSNLELKPVGTLDVKLVQAKNLSNKDIIGKSDPFAVVFVRPLRDKTKTSKIIVSPYLFVSLSLCVCVVANHNIIIGHAQNNQLNPIWNEHFEFIIEDESTQHLTIRIFDDEGIQAAELIGCAQVSLKELEPGKVKDVWLKLVKDLEIHKDNKYRGEVHLELLYCPYGVENTFKSPFVRDYSLTTFEKTLKNGASDGEEEDNSISSSSSSSRRKSNVIVRGVLSVTVISAEDLPIVDFMGKADPFVVLALKKSEKKQKTRVVNETLNPVWNQTFDFVVEDGLHDMLIVELWDHDTFGKEKMGKVIMTLTKVILEGEYDETFILDDAKSGKINLHLRWTPQHKYREP >RHN59615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12535582:12536058:1 gene:gene21669 transcript:rna21669 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhJ MQGHLSAWLVKHGIVHRSLGFDYQGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHLTRIEYSLDQPEEVCIKVFVPRQNPRIPSIFWVWKSADFQERESYDMLGISYDNHPRLKRILMPESWIGWPLRKDYIAPNFYEIQDAH >RHN53854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4746615:4748063:1 gene:gene28812 transcript:rna28812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin 11-oxidase MDPENYPNPKEFDPSRWENFKARVGQFLPFGYGSRYCPGSDLAKLEITIYLHHFLLNYRMERINPDCPITYLPIARPTDNLSCKNYKSNINHGIVLSLFLSMLLEFFA >RHN48503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48006364:48007511:1 gene:gene43224 transcript:rna43224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MGKGASSSYSGGDYSLLSLIDEMNSKSARAGRAAAEAEAFAAAKAASIIIENPIRAPICSMLGHMGSGKTTLLDYMRGKVVPNPPPSNSTITNSATYFEMIPYHQSIRLPGLLFIDTPGQPLLTVSRSLSTALCDIAILVVDINKYTLQPQTVESIDLLHSRNKYFIVALNHIDQISGWKSFPNAPFRKSYHQQSSDAQKLFSSTFRKIIAEFKQYGIKADMFLNNNDILRQTVSIVPTSAISGEGLSDIKSELIKWTQKTMLNQLTYTDQLQCTVLDVKLLQGHGTAINVVLVNGVLHVGDQIALCGTQASFFHSIIFLIILTYLLPLPNQLSILGPNSYLNSSFMDSSSTQGT >RHN63640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52879211:52879958:1 gene:gene26365 transcript:rna26365 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLHLLHTPPCFSSSIQTQTHESSSSSTQTHKNPKKLEKQIQQYIFSNLHLFILNQTNLNPQLIPLYL >RHN49634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:56109431:56116724:-1 gene:gene44483 transcript:rna44483 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIGSKALRRRRRSSMGREGKKSKKPKKGSSTHEYESDTNNNNNSYSSSSSSRIRKQVDPETTKYLSQISNLFESDGVALEERSLICANALEETKGKEFEIATDYILSHTLETILQGCDVDNLCAFLHSSANQFPFIAMDRSGSHVAQTAINSLASHLQYDYDQHTHSLVEEALTLICNVIAANSLDVMCNCYGSHVLRTLLCLCKGVPLDNSGFYLSKSTTALAERLNFKQFSSNKDAFHSGFPILLNSLVSQMFNHATKYIKSLQLDQFSSLVFQTTLRVLAGNDELLLDVIPILLGCKNKNNAEGNLIETAIVPELKNLFKEPGFSHLMEVVLEVSPVALFNELFTKVFRNSLFELSSHQHGNFVVQALISHASDQDLMELIWDELRPNMEGLFQMGRSGVVASLIAACERLHINEHKCCQVLAKTVCLADESSKWIVPRLLFLDSYFNCEDKSSWIWQSGAKMNVMGSLILQSIFRFNSEYIKPFITSITSMDTAHVLEAVKNARGSHVIEAFLCSGAPGKQKRRLVAKLQGHFGEVALHSSGAFTIEKCFTACNLSLRETIVSELLAVQSELSKTKQGSYLLRKLDVDGFAASPDHWRSKQASKESTYKEFYATFGSSDTRSTKNDGFLADTSNNKPNSNNVKEMRKEIDQSLGSSSFLSTDGFNRNPKKAKQKNKKNAEIGGNEDDSSRKKKRSKKEKVESGYDTAGTKAAKTAKKRQRNRDASEASGKKLKTSDE >RHN76864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1914870:1918385:1 gene:gene248 transcript:rna248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK family MKVIKMKHVYVLAMVVVLVGTTVAADSATNTCSHTCGGQEIPYPFGIDDNNTSSECFLGRRLIPLTCNESKIYAGDMEVLNIDIFNAQIEASFYVSKYCGVHNYNKPRLNSGSYIISSKENKFITVGINSFGYFNSYRGGENKYSTGCLTRSFGLPRLIDNETCSGIGCCQVDIPPKMWNISIEAYNFNQNSSLYCSYAFVVKNGSYTFSTNHLSPKGFRYEELPVVLDWTIGEENCSTASSKKNGVNYGCKNNSHCDDKDTYFGYRCHCNQGFEGNPYHPNGCTDINECEKDLLHDCKTKAYCNNTDGKYNCFCPKGYSGNGTKEVGCQLDDENANSIVLLIVLGVGITFILLFLGTFSYLMYHKRKFIKMKEKFFEQNGGLILKQKLSTREDSSSQTRQDSSTQSAKIFTQDQLNKATKNFDENLIIGKGGFGTVFKGLLDDKRIVAIKKSKIIDRSQIDQFINEVVVLSQINHRNVVKLLGCCLETEVPLLVYEFVTNGTLSGFIHTQSNKVNNETWKTRLKIAAEVAEALSYLHSYASIPIIHRDVKSDNILLDRTNTAKVSDFGASRLVPLDQTEIATMVQGTIGYLDPEYMQTSQLTEKSDVYSFGVVLVELLTGEKPFCFGRPEERRSLAMHFLSCLKNDNVFEVIQDGLWNEENKQEIKEVAVLAAKCLRLRGEERPSMKEVAMELEGMRLMNKHSWINDDSNVEESRFLLHESSSSFYEPGDSITHGDIGYDSLKDHVLIALDDGR >RHN49915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2262998:2266329:1 gene:gene34147 transcript:rna34147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MAHLYPPISYTNTIQPHLTIMDLVLSSLINGTTIALLYFIPLCLILFGFSKVVHSKNKEAPIAEGAWPILGHLSLFRGTQSPHRVLGTLADKYGPLFTIKLGTKHALILNNWEMAKECFTTNDTVVSSRPKLVATEHLGYNGAMFGLAPYGPYWRQLRKIVTLEVLTNRRVKQQQHVRVPEVQASIKELFNVWTSKNNESYSSNYVLVELDQWFTHLTFNMVLRMVVGKRYFGLTTSSEEEESKRCVNALKKWMHLLGVITVGDIIPCLNFFDFGGYVKTMKETSKELDKIFDEWLKERRHKRTLVENLDDQGNQDIMDVLLSLLDGTTIEGFDGDTIIKATLLTMFSGGSDTSSVTLTWALCLLLNNPLVMEKAKEELDAQVGKERCLSEFDINKLIYLQAIVKETLRLYPPGPLSGPREFSKNCNLGGYQVIKGTRLITNLWKIQTDPSVWPDPLEFKPERFFTTHKAVDVRGNHFELLPFGSGRRKCPGISFGLQMLHFTLASFLHSFDILNPTPDAVDMSEAFGSTNSKATPLEILIKPRLSHNCYEFM >RHN55946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25887929:25888527:-1 gene:gene31240 transcript:rna31240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MISYYDIRAACSAMHALQDKPLGGRNLDIHFSNPKDNPSQKDINQGTFVVFNLDLSVSNDDLHQICGAYGEVKEIRESPNKRDHKFIEFYDVRAADAAVKELNQSDIAGKRIKH >RHN51474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18429431:18431956:-1 gene:gene35913 transcript:rna35913 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTYIWSLSPFSLLFQTVEMCSLFLISLVYFSLSSISLLPNTPLNMKICPCSPFTEASTL >RHN57018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35787445:35791684:-1 gene:gene32501 transcript:rna32501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase MGQKQKHALKSDPNDETKKKRRVGFSGIDAGVEAKDCIRIFLVSSKEEFDAPESFIINPVDLNSYFDDDGKIYGYEGLKINIWVSRISFYAYADIAFDSSADRGKGITDLKVALQAIFAETLVDNKDEFLQKYLMDKDFVSKSISTGEILKHKAFKHADSDDSNVEVVRLKAGNMATGQLYSHIIPLVLLLVDGSSPIDVTDSMWELYVVVQKKTDQQGEIQCLLLGFTAIYRFYHYPDNSRLRLGQILVLPPYQHKGYGRYLLEVLNDVAIAENVFDLTVEEPLDNFQHVRSCVDTQRLLGFEPIQHLVTKAVSLLKDGKLSKRTHSPRLTPPPSAVEDVRKHLKITKTQFLKCWEVLIYIGLNPIDKYLENFVSVISERVKYDILGKDSGTAGKQLIEVPCNVNEEMSFVMFKSGAGEDIAVQMDDNQTSQEEQLRKLVQDRVKEIQLIAEKVTSPLGSSEVAN >RHN45261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13878643:13879248:-1 gene:gene39501 transcript:rna39501 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEKANMPKYIFRCMIKALRDSQTINRIWIPYGRLISEILHQGWILKAVSETKIFSDKDLGTVTGKVINGSTLRHMNLIGKDDYKKLDTDLKESDVASNLMEDFPPICMKDPLDVRVSYNMKHFELIGETIKMEDVPENMYRGALRVASKKKRKLTKKEYLQEADDDEKASEPQKKKAKKPRKLKLLLRKKQLILVCQPS >RHN50054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3671500:3674402:1 gene:gene34302 transcript:rna34302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling ARID family MANGYFLDRLEAETFTEFHGNGGCLVEDRFDSADHVKGKQKSVFSQFFRVYLKENCSKGNVRLVPMILGDGKLLDLYQLFSLVKEKGWYDAISRIGLWDFVIDELGLDIRILALVKLVYEKYTSDFLHKGE >RHN52017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30405174:30407984:-1 gene:gene36628 transcript:rna36628 gene_biotype:protein_coding transcript_biotype:protein_coding MALETLLTRFKTAFSTTFNSIQPKTTPSSNPNPKRIAVLAFEVAGVMSKLLHLWQSLSDANIIRLQNDVVTLEGISKLISSDKSFLLKLAVAEFCDSLRLVTNSVAKFSLNCNDPSLKSFNQVFTEFVESGFDSFGWKLITPKEIESKHRRMERYVSLTLSLHREIDELSLLESTLKKTLLNNNNKFKNKISELEHKIVWQKQEVKNLKEKSLWNKGFDNVVLLLARFVFTSLARIKVVFGVGNGDSLPYLSRSLSASASVYPSDYKDHSFVSGPLKSQVSVSESVLNRKCLKLDETKVDLGNGFFESNCGVLKPPKGSLGDSGLSLHYANLIIVMEKMIKSPQLVGVDARDDLYAMLPNSIRSSLRLRLKGSIGFSACDPLLANEWKNALGRILCWLLPLAQNMIRWQSERSVEEKSLVPKKSNVLLLQTLVFADKAKTEAAITELLVGLNYVWRFEREMTAKALFQCTDNFNGYLSLYKPS >RHN43863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49078061:49080691:-1 gene:gene50497 transcript:rna50497 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIAKTLNRASYSWSLGLRAFYLSFPLFLWIYGPIPMFACCCLTSFSLYFLDTTTRITRDLHSDSFRKESDDVESAVEADYYPLAGNSLAQNAAVDNDPFKNGVLGVQTIRNNIMASNLLATTAITLSSLIGIFASSSWSSDDTSSILQSTSSIKRISITICFLVAFLCNVQSIRCYCHVSFLITAPTLRDKKAYMEYIAKTLNRASHSWSLGLRAFYLSFPLFLWIYGPIPMFACCCLTSFSLYFLDTTTRITRDLHSDSFRKESDDIESAVETDYYPLADLKQ >RHN76023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47049182:47051359:-1 gene:gene12294 transcript:rna12294 gene_biotype:protein_coding transcript_biotype:protein_coding MQDETEKETEQDEDDFREGEGEEQENKSPIVVRLTPEQMAQRQDTELKHIVFGIAASSNLWNTRKEYIKIWWRPKQTRGVVWLDQRVSTQRNEGLPDIRISDDTSKFRYTNRQGQRSALRISRVVTETLKLGLKDVRWFVMGDDDTVFVVDNVVRILSKYDHRHFYYVGSSSESHVQNIHFSYAMAYGGGGFAISYPLAVELATMQDRCIQRYPALYGSDDRMQACMAELGVPLTKEAGFHQYDVYGDLLGLLGAHPVAPLVSLHHLDVVQPIFPSMSRAQSIKHLMESINQDSSSIMQQSICYDKNRFWSISVSWGFMVQILRGVLSPRELEMPSRTFLNWYRRADYTAYAFNTRPVAKHPCQKPFVYYMSKTHFDTASRQIVGVYSRDQTKSPFCRWRMESPEKITSIVVTKRRDPLRWKKSPRRDCCRVLPSRKSSTLFLWVGNCRQGEVTEL >RHN82018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50604857:50606851:1 gene:gene6134 transcript:rna6134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MDFPNSMFLIVLTCVTIIVFLRSFFARITKPNYKLPPGPSPLPIIGNLLELGEKPHKSLANLTKIHGSIISLKFGRITTVVVSSANMAKEVLLTNDQFLSNRTIPQSVSVLNHEHYSLAFIPVAPLWKELRKICNTQLFSHKTLDASQDVRRKKMQQLLNDINQSSQIGEAIDIGTAVFKTTINLLSNTIFSVDLIQSNGEAGEFKDLVTNITKLVGSPNVADFFPILKMLDPQGVKRKQAKNVRKVLDIFEDLVNQRLKVREANGVDTNKDMLDAMLNISKDNEFMDKNMIQHLSHDLFVAGTDTTASTLEWAMTELIRNPEAMLKAKKELGKMIGCSVPLEESDISKLPYLQAIIKETLRLHPPVPLLLPRKAERNVDIGGYTIPKDAQVMVNVWTIGRDPTIWLNPTLFSPERFLGSDIDVKGRNFELVPFGAGRRICPGLQLANRMLLLMLGSLVNSFDWKLEGDMKPEDMDMNDKFGITLQKAQPLRVVPVRISK >RHN74539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34512362:34514566:1 gene:gene10627 transcript:rna10627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MFSIFIVFLLNFYKFCLIFFFRKNLYCSMGSLGGSVSLGDSLTAGNGTTRWLSPSGDFAFGFYQLPNQLFLLAIWYVKIQTDSIIWYANGDNPVPKGSTLVLNDSHGLMLTNPQGLELWRSSYFSLGRISRGLMKDDGNFLLLDQNYVVLWQSFNHFTDTLVPGQEMNSNNYLYSRQGEFNFSHGKFELHLQKNGDVLLNLINLHTNTNHDTQPDAYYDSGIVDPENQSSNFGTKFIFDKSGLFLYILKTKGTKFKIITNPNTTVSNGGFYSKATINYDGVFTLSYHPKDLRNGQRWIVAKTIPENICLNSTFNNGQSICGLNSNCTLRDDQRPMCTCLEGYSLIDSNNMYGDCIPNSQMKKIDSPSPLSNLKEDHDDKTKKRKGHDTLIILISILLGISVIIIVGLVCTICFGHNRKKIRSGATKKSVGDKNLRIFTFKEILEITNNFNEELGRGSCSVVYKGTIDVDISVAVKKLDKLFQDSDKEFQTEMNVILETHHRNLVRLHGYCSDDQHRILVYELMSNGTLASFLFTPLKPSWNQRVQIATGIARGLIYLHEDCCTQIIHCDIKPQNILLDDDYNAKISDFGLAKLLLINQSHTKTGIRGTKGYVAPDWFRSAPISAKVDVYSFGVLFLEIICCRKNVEHENASEEKRILTDWAYDCYKANNLDLLLENECEVVNEMSRVEKFVMIAIWCTQEDPSLRPTMKKVLQMLEEIVEVAIPPSPYLYGSFS >RHN44382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4065291:4080190:-1 gene:gene38499 transcript:rna38499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MGAAVLPDTAVLGAVLKRYPFVPRHRFTRGISSTLSVRNRSYSVADKRIFKSAHIVFSHNNGDDMFTDIVVDQDLGKNEVLGIEDELIAAKKSLSEAQDRQEAIEKERDQLLEELARSEARKQEYSAAILHDKEVAIRELEAAKSLFQKNLEESVEEKFSLQSKLVLAKSDAVDLAVQVEKLAEAAFQQATSHILQDAQFRISSAETTAAEAAHQIEKQIKDATEGTISSIVEKSKHAIERALAVAEEAGEHAKEAMETFIDGTSPFTEITSVQVENIKLQGMLSDLESQMMVARNEVARLNIELEHTRQQVKAFEQRAIDAEKALLDLQESHRKTTLQQEEEMKSLMEKMRKDVADKTKAISKAFKTDLKNIKATIEASKEVVVSKDNAYLRRCAALQRSLMTSEDALKMWKQRAEMAEAWLMKERKLDVEDEDSIYAVNGGRIDLLTDVDSQKWKLLSDGPRRDIPQWMARRIKAVIPKFPPKKTDVAEALTSKFRSLELPKADEVWSIAREKPKEGDALIEHVFERETIEKKRKALERALQRKTIKWEKAPEQKILEPGTGTGREIVFQAFNWESWRRQWYQELASKAADLSKCGVTAVWLPPPTESVAAQGYMPSDLYNLNSSYGSVEELKYCIEELHTHDLLALGDVVLNHRCAHKQSPNGVWNIFGGKLAWGPEAIVCDDPHFQGRGNPSSGDIFHAAPNIDHSQEFVRKDIKEWLNWLRSDIGFDGWRLDFVKGFSGTYVKEYIEASNPVFAIGEYWDSLSYEHGSLCYNQDAHRQRIVNWINATGGTSSAFDITTKGILHSALHNEYWRMIDPQGKPTGVMGWWPSRAVTFLENHDTGSTQGHWPFPRDKLMQGYAYILTHPGTPVIFYDHFYDFGIHDVITELIEARRRAGIHCRSSIKIYNANNEGYVAQVGDSLVMKLGQFDWNPSKENRLEGSWQKFVDKGSDYQVWLRQ >RHN62781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45792522:45797195:1 gene:gene25390 transcript:rna25390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L3 MSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDPTKPCRLTAFVGYKSGMTHIVREVEKPGSKLHKKETCEAVTIIETPPIVVVGVVGYVKTPRGLRTLNTVWAQHLSEDVKRRFYKNWAKSKKKAFTKYTKKYETDEGKKDIQTQLEKLKKYATVIRVLAHTQVRKLKGLKQKKAHLMEIQVNGGTVNQKVDYAYNFFEKQIPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKIYKVGKQDQESHTAITEFDRTEKDITPMGGFPHYGVVNHDYLMIKGGCVGPKKRVVTLRQSLLKQTSRLALEDIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >RHN56143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28118348:28120873:1 gene:gene31497 transcript:rna31497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MTQCFSLTETRNWCYRSTFTGAGLRSTITDLKDGTIMHCWIPKTRTESKPNLLLIHGLGANALWQWGHFIRSLTQLFNVYVPDLVFFGGSYTSRPERTEGFQAECVMKVMEMKCVRSVSVVGLSYGGFVAYSLGVKYKEFVEKVVICGSGVSLEEKDIKDGFFPVSDLDEAANILVPQTPQKLRELFGYAFFRPRRLAWLPSCFLHDFIHTMCREYVQEKRDLIRAIAKDRNLSDLPKISQPTLIIWGEHDQVFPLELGHRLKRHLGDNAQIVVIKNAGHAFCVEKAKEFYNTLKSFLVDSHS >RHN70945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55309583:55328360:-1 gene:gene19581 transcript:rna19581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative symplekin MAAPPPTKDQVLSLLAAANNHGDISVKTTSLKQAKDLLLSIDPSLAADLFPYLLELQSSHQPLVRKLLIQIIEEIGFRAVQHSPTLISSLLTFLRDTDATVVKQSIISGTNIFCACFEELILQFQQCGKVERWLEEIWMWMFKFKEAVFEIALEGGSVGIKLLALKFLEIFVLLFTSDISDSEKSATEGVRQAVNISWLVGSHPVLDPMVLMTEANRTIGILLKLLQCAGNTPGCLTITVVNCLAAIARKRSQHYDTILSALLDFDPNVQTVKGCHVPSIQYSLRTAFLGFLRCTYSPIIESRERLIRSLRAMNAGDAADQVIRQVDKMIKSADRFIRDARVNKDDQPSNQLPVSGESSRKRPVPHDNEQLANGHEAIAKRIRSGPDSDFTLPAQVNDSGRDHSSVNGVSPNVPVLESELTAVEQMIAVIGALIAEGERGAKSLEILISQIHPDLLADIVIANMKHLPKAPPPLARLESPSVTRPVGSLVSQSHVITTSASMSSVQSLTVSAQAQVSSTTAISAATTSSPSDTSNFSNLPADSKRDPRRDPRRLDPRRGAITPGGAAVSVTDDTAATHLESEDPVSFIKPASHHVASTDDDIQSNLTIKIENDDMISEGPPVPGPDRVSPKTETLEGPGDHQIMEANASMDPEVYSTDSKDENLSTANLLDDNETNGIDSSSILEFDQFSVDVQVSPTSEDTCLELPQLPPYIQLSQEQESKVKHMAISHIIESYNHLQGADCQQFCMPLLARLVAQIDNDNVIITMLQKHILEDHWRKGHELVLHVLYHLHSLMILDSAGNTSSSAVLYDNFLLGVAKTVLDSFPASDKSFSKLLGEVPFLPESALKILDDLCYSDVVDHDGKIIRDIERVTQGLGAIWSLILGRPQNRQGCLGIALKCAVHPQDEIRAKAIRLVTNKLFQLSYIAEDVEKFATKMLLSAVDHEVSNAAQSGPTEQRTEAQVESLEISGTSQVKEPKNDPAGVAKPSSQSVSSISFSEAQRLISLFFALCTKKPSLLQIVFDVYGQASRTVKQAFHRHIPNLVRALGQSYSELLHIISDPPKGSENLLTLVLQILTQDTTPSSDLISTVKHLYETKFRDVTILVPLLSSLSKTEVLPIFPRLVDLPLEKFQRALAHILQGSAHTGPALTPVEVLVAIHGIVPEKDGLALKKITDACSACFEQRTVFTQQVLAKALNQMVDQTPLPLLFMRTIIQAVDAFPALVDFVMEILSKLVTKQVWRMPKLWVGFLKCVYQTQPRSFHVLLQLPPQQLESALNKHANLRGPLASYANQPTVKSSLSRSTLVVLGLANETHVQQHLPTSLHHSETSTSVSGATLT >RHN65823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5644690:5645004:-1 gene:gene13713 transcript:rna13713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium permeable stress-gated cation channel 1 transmembrane domain-containing protein MNPHSLLASAAINIGLAFITLSLFSILKKQPSLASIYYAHRLSHHHYIHFDSSYHRFLPSISWISRAYHVTEDDILHSHGLDALVIIRLFKFGLVNFHSHSSKS >RHN67821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30501182:30508283:1 gene:gene16060 transcript:rna16060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein TIC110 MNPSSTLTPSSLIPSPFPFPLSSSTKRHRFKLPLPRSSSSSSVPPPKDLTGIENVVDKLSPPLRLATSAVVIAGAVAAGFHLGSKFGGSRNAAVGGAVALGAAGGAAAYALNAMAPQVAAVNLHNYVADLDHPLLLKKEDIENIANRYGVKKEDEVFKAELCDIYREFVSSVLPPVGEELQGDEADRIIKFKNALGIDDPDAADIHIEVGRKIFRLRLEVGDREADIEQRRAFQKLIFVSYLVFGEASSFLLPWKRIFQFTDSQVEVAIRDNAHRLYASKLKSIGRDIDPEQLVTLREAQHQYRLSDQLAENLFKEHTKKLIVENISVALDILKSCTRTVPGVSQAAEELDKIMAFNNLLISLKNHVDVDLFARGVGPVSFLGGQYDGDRKIEDLKLLYRAYVTNALAGGRMEDNKLPALAQLRNIFGLGNHEAEDITIDVTAKVYRKHLAKAFSGGELEMADSKAAFLQNLCDELQFDPLKACKIHEEIYRQKLQQLVANGELGEEDAAALLRLRVMLCIPQHTVEAAHSDICGRLFGKVVMEAIESGVDGYDAEIRKSVRKAAHGLQLTKEIAMSIASKAVRKMFITYIKRARAAENSKESAKELKKMITFNTLVVTELVNDIKGESADVSTEEVVKDVTKTEDEEWDLLPTLRKTNPDKELVKKMGKPGQMEITLKDDLPERDRTDLYKTYLLYCLTGDVTKIPFGAQITNKRNDSEYVLLNQLGGILGLSGKEIVDVHRSLAEQAFRQQAEVILADGQLTKAKVEQLTNLQEEVGLPEEYAQKVIKSITTTKMAAAIETAVTQGRLNIKQIRELKEAGVDLDNMVSKNMRELLFKKSVGDIFSSGTGEFDEEEVYENIPSDLNINKEKSRVVVRKLAETRLSNSLIQAVALLRQRNHIGVVSSLYDMLACDKAVHSQPLSWELPEELADLYTIFMKSNPAPEKLTRLQYLLGINNSTAAALRELGYRLIKTAVEEEEFVF >RHN57729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41356879:41363952:-1 gene:gene33312 transcript:rna33312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small ribonucleoprotein associated, SmB/SmN MSLSKSSKMLQYINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPAKGKKTTDGDREDRRTLGLVLLRGEEVISMAVEGPPPPEESRSKAVNAAAMAGPGIGRAAGRGIPPAPVIQAQPGLAGPVRGVGGPAPGMMQPQISRPPVSYPGGPPVMRPPGQMPYPGHPGQGPPQMVRGPPPPMPPGQFGQRPGGPPPQFGMPPPQYGQRPMGPPPPGQMVRGPPAPPRPGMQPPPRPGMPPPPGSGVPVYGPPRPGMPPPPNAPNQQQQQ >RHN45253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13709172:13711904:-1 gene:gene39491 transcript:rna39491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MKSDLSFSEKNSYLLGDFPKIPQEKSDIPAMVLLQPSSIISPTPSNYTCFLYNQSNEYPLNMANQYSRVSTVVPSYINPFSMIPAPSYRDANNNRSESNFFNDQIPMTFTPNNNKMEFMHEQLNTGKGIWDFSQQNLFQYSETSHSQVTPSLSTSVVYERLNTEKGIWDFSQQNLFPYDETSQSQVSPSLSTSVVYDENPSLLVKPRHQANLSSSGGFVNLPQHNDEGLILSEQKRQKRVDKTMEIQQRDFNTIKRLWTSDEDRYIKSFKVCTFSTIQYFHGFSLAPLNLVQLVEKFGLNNWVEIAKSMNGRIGKQCRERWYNHLQPDIKKESWSEEEDILLIEAHKAVGNKWAEIASRLPGRTENSIKNRWNSTKRSLNATSRPNRRNSLRGTLLHNYVSEITEAKNVQMELTNSMNVVQIANQTNFNITNTNPGPSHVRYETSNNGFGFEGSATPVEEHGGYAEMMLNGDDGMTSGYGTMNYGFGSYGMEFFPEFLPHGDNL >RHN51597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20478052:20480649:1 gene:gene36063 transcript:rna36063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase II, Rpb4 MFYLLLEMKILEENAGALTNFEVLDFLRAKGASKDPSRVLAKVAMSEYKVYDYLVKTPAGSQTRESVKEYFTAIKQHDLSEAEVLNVLNIRPASEVEIYHVNHRRL >RHN81277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44517732:44520318:1 gene:gene5293 transcript:rna5293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L6 MEAKFFRFLKIVGVGYKARAESAGRLLYLKLGYSHEVELSAPPAVRVFCFKNNVICCTGIDKQRVHQFAATVRNCKPPEVYKGKGIMYTDEVIKKKQGKKSK >RHN52752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38397964:38398686:-1 gene:gene37456 transcript:rna37456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MYTTIIDSLCKYKLVNDAFDLYSEMVAKRIGLDVFTYNALISGFSAVSKLNDAIGLFNKMTAENINPDVYTFNILVDGFCKDGRVKEAKNVLAMMIKDDIKPRVVTYSSLMDGYCLINEVNKAKEIFDSMAARGVMANVQSYTTMINGFCKIKMVDEAINLFEEMRCRKIIRNVLIYSFLIDGLCKSGRISYALKLVDEMHDRGQPPNIVIYSSILDALCKNHRVDQAIALSVDCAKVEG >RHN40484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16757904:16759777:1 gene:gene46639 transcript:rna46639 gene_biotype:protein_coding transcript_biotype:protein_coding MRREFTISMSMGNFLKSSGRKIAMATSRTLFISWPYLVASFVFWFKCSFGPLSIYLVSLWSLD >RHN75747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44753729:44756694:1 gene:gene11989 transcript:rna11989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton pump-interactor MENKETTSPTKHDERRMAINGDSEHVSRIAQQFYFVKLCPTDPNSISKIKKEENLIKKMNQDICEITESITKKMSKGEYLNSLSKYYPQSDLRNHLASNENIVGDLYMTLDQLNFINKVANGEWFGEKLDKNSLNYFKLHRSKSLAEEKQILRDIKILQKDVASFKSPSHLINSCCLPFYIMKLTYKQGGIEFCWNNENLDHIRKNYSLNDWGNLVIEIEIFKIQHLERVSGNDSVKANISSYESLKKRIEDKIKILCDESLKARREWMECRTKGRHDMKELEAINRELYSLREKLTEKHKKKDEAYQRILKLKKLHHEEILPYDQHCSLINKVHQLAKEKDIAALDKMSRSEVETFMLKWNNNKAFREDYEKKVLQSLERRELSGDGRRRPDKSCSIML >RHN60829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30710017:30733693:-1 gene:gene23214 transcript:rna23214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative structural maintenance of chromosomes protein MKRARSTYESSSSRVSPSLEAGIIKKLRLENFMCHSNHETQFGSNVNLITGQNGSGKSAILTALCVAFGCRAKGTQRASTLKDFIKTGSSNAVIHVEIQNEGEDAFKPEIYGDVIIVERRISESSSSITLKDQQGKKVFSRKADLQEIVEHFNIDVENPCVIMSQDKSREFLHSGNNKDKFKFFYKATLLQQVNELLESISAEITKARVIVEDLEAAIRPIEKELNELDAKIKMMEHVERIAVEVQQLKKKLAWSWVYDVDKKLEDQRVRIEKLKSRVPICQARIDKQLHQLEKLSESYSRKKAEIKSMMTSQVKQMKESLSHSLSSAKKEAFELQRDCKCKASNVQKMVQQVKKLELQMQGIHEQHVKNTQAEESDMEEKLKGLREEVDAAEFELKRLKKEEDILMTNIDKQKEEISSIAAKIQDHESKYNGIMHNVRDLQRQQSNKITAFGGNKVLSLLRIIERCHQRFRMPPIGPIGAHLKLHNGNKWAVAVEYAIGRLFNSFIVTDHKDFRLLKQCAKEADYGQLKIIIYDFSTPRLMIPERMRPNTNHPSILSILQCENDTVLNVLVDQGNVERQVLVNDYGIGKVIAFEKRIQNLKEVFTVEGYKMFSRGSVETILPPRRNQLYGRLSSSVEADIEKLSNDASNEQKTANDYRSDKRKAEVKLEDLYKKMNPIKRLCLHAGKDVASKKLTLEDEMRQQATESSSAPLSSVDEIVEEISEINQKMKEEQVLLEGLEQRRYEAEGKADDLKVKFDELCESANTELASLEKSENELIEIERQIDAATEAKDHYENFMKTNVLHDIKEAEEHYLELTKSREDNVKKASIICRQDDLASLGGCDGKTPEQISAEIESLNHTLRRESKRYSESIDDLRMLYAKKERKIIKRQQVYKALRQKLNACHNALEFRRRKFQTNATNLKHQLSWKFNGLLKRKGISGLIKVDYDETTLSIEVQMPQDTSNRAVRDTRGLSGGERSFSTLCFALALHEMTEAPFRAMDEFDVFMDPVSRKISMDTLIDFAEAQGSQWILITPNDTSLVKGGNRVKKMQMAAPRAYQM >RHN78348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14310272:14312088:-1 gene:gene1892 transcript:rna1892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MPRSRSIQNERPFVCSLENCKASYRRKDHLNRHLLQHQGKTFKCPIENCKSGFSLQSNLKRHVEEMHDENSTPPCSGENQKQFVCPEIGCGKVFRYASQLQKHEDSHVRLETIEVVCLEPGCMKFFTNSECLKAHVKSCHQYVTCDTCGTKQLKKNMKRHLRTHEASNSSEAFKCEFEGCDSTFSTKSNLHKHEKAVHFQVKPFVCGFPNCGMRFAYKHVRDNHEKTAKHAFALGDFEEADEEFRSRPRGGAKRKCPPTVEMLVRKRVAPPSQLENLLFVQDCQ >RHN39244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5250957:5252339:-1 gene:gene45249 transcript:rna45249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MSNNIVIIFDFDKTIIDCDSDNWLIDELGFTDLFNQLLPTMPWNSVMDKMMMEFHSNGVTIEEIEKVLQRIPIHHRIIPAIKSAHALGCDLRIVSDANMFYIETILKHLGISECFSEINSNPGYVNQEGRVRISPYHDFNKASHGCNNVCPPNMCKGLIIDKIKNTIYDGDNKRFIYLGDGAGDYCPSLRFKERDFVMPRKNFPVWDLICKDPSLVKAEIHGWCDGEELEQILIQLINKIIIEDNVQFIATDCKLQTLSIHVLESLPKALPVGP >RHN81065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43044538:43045863:-1 gene:gene5060 transcript:rna5060 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKNGNVGRRAWNFLRLSLLWARKSGVFRRKLATELRLVPKYLKRLGHTTTPTNQIHYFERELSFDETPMFNVKMYRPSSLRFHLPHIPCINPHVDFDYDFHDDDIVEYDNGRKSDLVDEGDYDEEFHHGVELCEEMGFREEVQEGEGIDKRADEFIAKFRQQMRLQRQISLLQYKKTPSRDTN >RHN46000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27650315:27650683:-1 gene:gene40433 transcript:rna40433 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFRNFLCLYHDFNGTDFVIWKMTKFGDDKSWTLFLKFSYHNIQMGHNLRVDHKRVDTLRLKLKPVHLSENIDTIVFVNNLQNQAVLYNFRNNTVLKSKINHKIRWFCTEDYVEVWFQLAH >RHN53187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42671436:42675313:-1 gene:gene37965 transcript:rna37965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MGGGMEAKKNKYIEDWGTARENLEHNFRWSRRNLLLVGIFGIALPVLVYKGIVKEFHMQDEDNGRPYRKFKP >RHN48378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47088103:47094311:-1 gene:gene43089 transcript:rna43089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diaminopimelate epimerase MAITATISVSITTPTRRSLSSINSILPSSRSISATPQPTFKYPNSRLVALASSMKTETPIKTTTSTSFLHHKESGFLHFAKYHGLGNDFVLIDNRDSSEPKISSEKAVQLCDRNFGVGADGVIFVLPGIDGTDYTMRIFNSDGSEPEMCGNGVRCFAKFVSQLESLHGRHSFTIHTGAGKIVPEVLGDGNVRVDMGEPVLKASDVPTKLSPNKDHAVVKSEIDVDGSIWNVTCVSMGNPHCVTFSNKGSQNLLVDELKLAEIGPKFEHHEVFPARTNTEFVQVLSNSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAGRDCTVDLPGGPLQIEWKDEDNHVYMTGSAELVYYGSLPL >RHN79461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29156866:29161838:1 gene:gene3255 transcript:rna3255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MTMSIYVPLQQEEDPDDEYTNQKMNKEAQYSGDADEMASQPRRRKGGLITMPFIIANEALGNTASLGILPNMILYLMGPYNLHLGQANQILLLSAAAGKFMPVVGAFVADSYLGRFLSVGLGSAVSFLGMALLWLTAMIKPTEGDQSPTSWEMAMLISAFILISIASGGVSCSMAFGADQVNIKDNPNNNRVLEMFFSWYYAFASISAIIALTVIVYIQDHLGWKIGFGVPAALMFLSTLLFFLASPLYVKIQKRTNLFASFGQVIVASYSNRKLPLPPKNSPQFYHHNKDSDLVVPTDKLRFLNKACVIKEFEQDIACDGSRINPWNLCTVDQVEEFKAIVRVIPLWSSGIMMTLSMGSSFGLLQAKTLNRHITSNFEVPAGSLSVINIGTVIIWIVFYDRVLIPLASKIRGKPVKISAKKRMGIGLFLSFLYSVNAAIFETIRRRNANNGVLEMSALWLAPQLCLAGISEAVNFIGQNEFYYTEFPSTMSSVAASLSGLGMAAGSLVSSLLFSIVENTTSRGGKDGWISDDINKGHFDKYSWLIAGISAFNILYYIICSWAYGPAVEELSKD >RHN58859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5487881:5488727:1 gene:gene20813 transcript:rna20813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKLGLIISSLFYFMTLMLIQNEGCNGCVENERMGLLEIKKYIVSQVEYYNKELSSWVDDRDHSNCCSWKRVKCSNFSSGHITKLSIQGLLFATPHPNMLNISLFRPFEELRLLDLSLNGFRGWIGNKGFPRLKKLETLDLTNNNLKGSILSSLNGLTALKTLKLSYNSIYNNYPTQGTLSFCNLFRLNCTFPPYLLLIWRFCTPSFANFILFKIIIKREENGLKIGCKIAQKKRGSAKSHN >RHN42613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39698765:39702522:-1 gene:gene49070 transcript:rna49070 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFSCPFAKYNDLEDDIDSMVVKSINFGNDEIKTPLRSISFKSEDLEPTILKSIGSGKMTIETAVSFKKTDFDNILSTNTLSFDKDDNSNTLISKKSREFDDLSLKSERQEVETIQSALLNPGSPKHIAALKLQKVYKSFRTRRKLADCAILVEQSWWKLLDFAELKRSSISFFDIEKHETAISRWSRARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYDKWLQCQSREPFFYWLDIGEGREVNLEKCSRSKLQLQCIKYLGPMERLAYEVVVEDGKFFYKHSGELLHTAAEDAHAKWIFVLSTSKSLYVGKKTKGSFQHSSFLAGGATSSAGRLVIEHGVLKAVWPHSGHYRPTEENFKEFITFLQENNVNLSDVKMDPVDEADELRSLRSSVHLRNHSSEEDYSETINGVEIEGTVVQDSILKNDHLMETESESALVTPITRQFQILGKKLSNLQIPKRGQLFEGQENEKESARQTYPSFQTESPTSSDQETEEALVSELEHTVPTKNFDDDNDIEIIPEEAILKRINSHKETKSYQLGKQLSFKWTTGAGPRIGCVRDYPCELQFRALEQVNLSPRSGSRTKSSFSLRSTTSLNSNVSCLSCLSGDATTEPLLVEDKSMTPRSEFSPLTRGTSVIPVINMS >RHN42730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40514823:40521179:-1 gene:gene49213 transcript:rna49213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 16S rRNA processing protein RimM MQIPTFTTISQRPFHPLGGIINYTFHRFKPFSPTKHFPIHFHFHSLKAAITTHHEPVVVVDDNEPRFVDIGYVSGVHGFQGDVRVKPNTDFPELRFSTPGRRWLKQKVMGGENVQEIELEEGREHSGQNCWILKFSGIDSAEEAKILIGATLLVTEEDRPELEEGEFYTHDLNGMRVFMKENGKLLGTVINVFNNGANDLLQISLDSSFDVLDKNGKPKPEEIEASGQLVLVPFVEAIVPDVDMNRREMHITPPKGLLELNLRFDDRSKKERRQLEWKERKKFQKRLIAAKKKLREMDQQHVFHGFQCGEKEQWSLLSDQIVGVNSRLLQEALQSLEQPANRWNAAELVSAMEEKRIRTVQISERSLLTGSKTKLVRNIINMKEKGVELISNGKMAIVLLLKEKENEGCIYDPEVVENEATETSTLHMLQNLLSDHEKFVKVNDRVSVPLILVSSAQQIQSLRNLFTRNNHFAFDSEKVWFLEEEKLPVVSSSLEGQNKYKILMKSPWEILQSPVGSGGFISLFSKHSITDNLINMGVEYLELCCPCERSVGGNSLLVGLVDAREAKIGIQISPTIADPDENFDMILSMEFVKKLTKQSYKLRFDATAKTNSFIESVDKDWVTVTSSTPNSYELSCSVYSSLNACPLDKVCIVEVRE >RHN66734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17897778:17900559:1 gene:gene14804 transcript:rna14804 gene_biotype:protein_coding transcript_biotype:protein_coding MARDSCLARVTAGAAVGGAVGGAVGAVYGTYEAIRYKVPGFLKIRHIGQTTLGSAAIFGLFLGAGSLIHCGKSY >RHN69485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43781769:43797186:1 gene:gene17963 transcript:rna17963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MGSCGEPAIAGDPSGLSVMEQPLSSEFPAELDSVQETCLEEACNGIVDGFVEEVHVGCSASFVENECENDDTLQLEKIDEDGCRNSLGVSSEDIEVTDLKSGGLCSVGNLQDKGNIDLPLESITSIVGDPSGLSVMEEPLSSGFPEQLDSVQESCSEEPCNVLDQSGMTDGSMGAGHVGCSASFVDAHVTARSEDALRNECENDDLSQLEKTNEDGCQNTLGVRFKDVGASDIKSGGLCSEENFPDEGYFDLQLESITSNNLQEHCDEHADQKDEKSIVLSSAGDDLEVMEGKNDDGADLLAGAVNRELNVRHSEVSSESDFVADLLVDCDQKYEPEEITKSEDSLPKVVERVDCNALDGMEANSCRQISPSLDAEVPSGALCIDTKLETTSDMLHDQKNGEDCDSICEEKIRAVVKNEITQSPFLDVEMPSGALFIDTKLESTSDMLHNQKTGEDCDSTCEEKLTAVVKNDITQSPLLDVEMSSGALFIEAKLESTSDMLRDQKTGEDCDSTCEEKIRAVVEKEITANSYVQALPSPYFHTTLRISPAIDSLSQPTLLDPGSELKNGILQLEDNLKPFYPESGQSSSVLMTNSTPKDVPDLLSKGDGDSINNNCAIDDSGQTNNDGKEAVEVDCITESIPLPPQRNSRRTKFGCKTQTRKASRKSKNKVSETHPGGGVKMNLEAARKKRSCLSKPARSCAWGSLGNVEQFFQYENELGVSEAMCQNEGKARNKSQGGTTIKNGASSSSLSSIPKHNVSTSTTRFRLKIKYGKENDLHCSNVPESVGGLASASYLGSDSGSQNVTGNSADKFSEVLAVNSLESFRNDLDKDCVVVNEQIVNSQLENSKIMEKLDVVAEETCHAVPPERVVEALVKPINNSVIDPGTSPDSEVIDSIPEAQVGERLEEDAHLAVLGSSDELNSNMDATFRKREKKKDNLIRSGNCITQDGSQDQPGNNIAKHSKNHRRKKNCSDMVSSLELPTSTELIKSVMSKELAAESLPRSGEIVLGVSTKALKVRSQEKVKGKTAGQTSGDHGFSEFQGSGDFPSSRRPLGRKLPKSLEPKVSKAKSKVSDSTSSQKTTTGRKEKQKEPSNKSEARGKGVSLKVTCEVEDHPHPDAVGNHKLDAVGKINPSDNRVSVNVSNLDMTPSFDLGEQPQSPRNAWVSCDDCHKWRRIPALLADRIDETNCTWTCKDSSDKAFADCAIPQEKSNAEINAELGLSYASGEEDVCEDSKNHKELEYRLPPVAQESTFTRILTNKFLHRSPKTQTIDEVMVCHCKPPPEGKLGCGDECLNRMLNIECVQGTCPCGDRCSNQQFQKREYSRLKWFKCGKKGYGLKALERVAKGHFIIEYVGEVLDMPAYEARQREYAVKGHRHFYFMTLNGSEVIDASAKGNLGRFINHSCDPNCRTEKWMVNGEICIGLFALRNIKQDEELTFDYNYVRVFGAAAKKCYCGSPHCRGYIGGGDPLNAEVIVHGDSDDEYPEPMMLTEDGVVKDSTPMPKYFDNVDTESSIHLLTDRDVLDKSTTAIDEDGSPGKHSSPGKYSSMNPASSVFPLHSVEVEDSKSNLPSSDRIEEISQQMEDTTSKPMPAVSKVLSNSTDSKESKSEMVEDGNGFSQSHLHVKTPQTSTSVKKAKGSANAANRLTAEVAANRLPVPSIKHKKVVEGASNGRFEAVQGKLNELLDGNGGISKRKDATKGYLKLLLLTVASGDRSNREAIQSNRDLSMILDALLKTKSRAVLNDIISKNGLQMLHKIMKQYRQDFKKIPILRKLLKVLEYLAAGKVLTPEHINSGPPCRGMESFRRSMLSLTEHDDKQVHQIARSFRDRWIRKQGRKRGYMDRDDNMLESHRNFNCNRNSVSHNHRHEQGLRPKEEEIDCGQRTMLVTTSTSADAGSQEGCSTPSLDGVEIKEAKKRKRKSRWDQPAETNSYSGPVIGSTNESQKINEEIPPGFSCPIRSLNSALNSGGPALQNTSHSGWPSSLVTTGQPKEKFNSRLPVSYGMPWSVAQQYGTPHAEITGCWATAPGIPFYPFPPLPPYPRDIKDCQPSNTNSMEIDQPAEVKQRDANCLVNCCSESDHTTPSPSTTGAKSEDTNVECEDAKHDSKRLKTDSSDLGENHFRQQKWNNSKIHRTWFKRNARRSNGNSSSGDMCSIDVGDASKESKVTSDSEDAIFRDEKGGK >RHN52056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31095117:31100888:1 gene:gene36673 transcript:rna36673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MASSVEKEGSAAVRDAGASEEPVWLDLPAPSGWTKKYFFPKSGTPTKYEVVFTAPSGEKIHTRRHMEEYLKKNGGPKVSEFDWGNGETPRRSARIIEKAKAAPLVEHESEPPKKRGKKSASNLKASKGKIDDKAAEGSEVVQKNDEERIRKPAKETKKRWWNKEWKIGQSSE >RHN60527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27720115:27720654:-1 gene:gene22848 transcript:rna22848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA synthase MLVSNCLFRVGGAAILLSNISTDSHRSKYHLKHTVRTHKGSQDTCYNSVFQKEDETNKITGVSLSKDLMSSAGFALKANIPTLGKFVLPLPEQFKYVSTFIVRKYINNKVMIYTPDFKLCFDHFCVHTGGKAVLDEIQKVLGLSDFQLEPSKMTLYRYGNTSSSSVWYELAYCEAKGRV >RHN69313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42528581:42530990:1 gene:gene17763 transcript:rna17763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MAFATILVFHFLLLAPVLIYSSHHVEDPESVVQQVQKSIIENQNRRKLGYYDACGTNNPIDDCWRCDPNWENNRKRLAECAIGFGRRAIGGKDGKYYMVIDSSDDPVNPKPGTLRHAVIQQEPLWIIFKHDMVIKLKMDLLMNSFKTIDGRGVNVHIAEGPCIRIQEKTNIIIHGIHIHHCVRGGSGYVSDSPNHRVRKERSDGDGITIYGAAHIWVDHCSLSNCFDGLIDVVHGSTAVTISNNYMTRHNKVMLFGHSDSYEGDKNMQATIAFNHFGEGLGGRMPRCRFGYFHVVNNDYTHWQQYAIGGSSSPTIFSQGNRFLAPDDDDHKEITKHFYSSKGEWENWNWRSEGDLMLNGAYFTPSGAGASSSTYAKASSMSARPPMLVASMTAGAGVLRCKKGYQCYY >RHN66858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20341277:20341603:1 gene:gene14967 transcript:rna14967 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGMKQFAEEVLLDDSFYTDVPIVNSFRSKFNISSTGHEEDVVVLSCDVDERVYDQEMAGDQEESFPMYMAVLEEFDVKIPFTPFDMDNALNMQDSGFEPRPPQKKR >RHN55814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24233015:24251092:-1 gene:gene31082 transcript:rna31082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Helicase superfamily 1/2, ATP-binding domain-containing protein MALDKEKLEEESAIHRFYKIILAWDYVTLDSENQRRNNGKATTSKLVKVKNQYKDVQDYENTYEPLILEEAKSQIIRGKDEDEGAEWKLGAVPNRDNNKSDQSHNKPDDFHFLEFPFDMEEGESISQNDLILISNEERVHDNTTHAFALVENVRKFSEPKVLRVRLYLPGDNLESRLFKMRSHISVEGNPLHFMRMCNLSTIAREYVAIRTISNLPFKDLILNAVGEDFSSEAEGWKIPLCLDEYVNDSFNPYQREAITAGLLSKTFVLIQGPPGTGKTQTILGILSTILHATPMRVQSKNGTFELKQVPQLPIEEKQRHWRLASPWLHGINPRDSLMPKDGDDGFFPTTGNELKPEAVTSTRKYRVRILVCAPSNSALDEIVLRVLSGGIHDENNRAYCPKIVRIGLKAHHSIKAVSLDELVKKKRASANISSTGKQNNASAGSNDDSIRAAILDEATIVFSTLSFSGSHVFSKLSRNFDVVIIDEAAQAVEPATLVPLANKCKKVFLVGDPAQLPATVISDIATNHGYGTSLFERLMQAGYPIKMLKTQYRMHPEIRSFPSMEFYNNSLEDGEGVKIQTERDWHRYRCFGPFSFFDIHEGKEARPSGSGSWVNVEEVDFVLLLYQKLVSLYPTLKSGNQVAIISPYKAQVNLFQQRFEETFGVSAEKLVDICTVDGCQGREKDVAIFSCVRASKEGGIGFLDDIRRMNVGITRAKSAVLVVGSASTLRRSVQWNKLVESAEKRDCFFKASKPYPSFLSVENLESMKRKTDEPPKETESADGVSNDARMDNVAEHTYQEQADDNDYGDGDADMGYGGDAD >RHN66317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10796030:10799224:1 gene:gene14276 transcript:rna14276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAAIGSAFLSATIQTLVEKLASTEFRDYIKNTKLNVSLLRQLKTTLLTLQVVLDDAEEKQINNPAVKLWLDDLKDAVFDAEDLFSEISYDSLRCKVENAQAQNKSYQVMNFLSSPFNSFYREINSQMKIMCESLQLFAQNKDILGLQTKNARVSHRTPSSSVVNESVMVGRKDDKETIMNMLLSKRETTDNNIGVVAILGMGGLGKTTLAQLVYNDKEVQHHFDLKAWVCVSEDFDIMRVTKSLLESVTSTTSDSNDLGVLQVELKKNSREKRFLFVLDDLWNDNYNDWIALVSPFIDGKPGSMVIITTRQEKVAEVAHTFPIHKLELLSNEDCWTLLSKHALGNDKFPHSTNTTLEAIGLKIARKCGGLPIAAKTLGGLLRSKVEITEWTSILNSDIWNLSNDNILPALHLSYQYLPCHLKRCFAYCSIFPKDYPLDRKQLVLLWMAEGFLDCSHGGKAMEELGDDCFAELLSRSLIQQLSNDARGEKFVMHDLVNDLATVISGQSCFRLGCGDIPEKVRHVSYNQELYDIFMKFAKLFNFKVLRSFLSIYPTTSYDKYLSLKVVDDLLPSQKRLRLLSLSGYANITKLPDSIGNLVLLRYLDISFTGIESLPDTICNLYNLQTLNLSNCWSLTELPIHIGNLVSLRHLDISGTNINELPLEIGGLENLQTLTLFLVGKRHIGLSIKELRKFPNLQGKLTIKNLYNVVDAWEARDANLKSKEKIEELELIWGKQSEDSQKVKVVLDMLQPPINLKSLNICLYGGTSFPSWLGNSSFSNMVSLCISNCEYCVTLPPIGQLPSLKDLKICGMNMLETIGPEFYYVQGEEGSCSSFQPFPTLERIKFDNMPNWNEWLPYEGIKFAFPRLRAMELRNCRELRGHLPSNLPCIKEIVIKGCSHLLETEPNTLHWLSVILRV >RHN72414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7426783:7427762:-1 gene:gene8121 transcript:rna8121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTAEATAELPKTVVLPEELIIEIISRVDSRNTLQLRPVCKRWNSLITDPEFVKKHFQSLFTDIRDLTSKALEQSNAFISQHNINNPVVPQEEGEENAEEEEEDEDVAFVDEEVVAAVDEEKDELKRVLMDLVSDMDNLLVNLRFIKDNTETIHVDMQTQSQALEDIMKCFRSFVRIYLK >RHN42109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35461444:35465718:-1 gene:gene48507 transcript:rna48507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inosine triphosphate pyrophosphatase MASKNPSYRIILGSSSKARKQILAEMGYEFTIMTADIDEKSIRREKPEDLVVTLAEAKADAIVQRLLNDGQLEADASTTLLITADTVVVYRGIIREKPTSEKEAREFVKGYSGSHAAVVGSVVVTNLVTGKRYGGWEGAEVYFLEIPDEVIDNLIDDGVTFNVAGGLMLEHPLTLPFVDAVVGSADTVMGLSKALTEKLIMEAL >RHN46897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35640256:35641796:-1 gene:gene41432 transcript:rna41432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MSFTGTLDKCKACDKTVYVVDLLTLEGIPYHKSCLKCTHCKGNLTMSTYSSMDGVLYCKPHFEQLFKESGNFSKNFQAKSSEKINELMNRTPSRLSSMFSGTLDKCAVCTKTVYPLEKMSLEGECYHKNCFRCAHGGCHLTHSSYAALDGVLYCKHHFQQLFMEKGNYNHVLQAAANKKNSTPPPEPADEEEPSKPEETEAQAEEKSETAET >RHN45017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10830812:10837976:1 gene:gene39210 transcript:rna39210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MPDETSSIDYAMEAASGPHFSGLRLDGGRLSSSPKSSFSINSILTKDSLLNQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLKPEELEHVHEYNFDHPDAFDTEQLLECTKKLISGQRVKIPIYDFKKHQRSSDSFRQVNASDVIILEGILVFHDQDVRDLMNMKIFVDTDADVRLARRIRRDTVERGRDINSVLEMYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLITQHIRTKLGQHDLCKIYPNVYVIQSTFQIRGMHTLIRDKEISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYTGVDFCKKLCGVSIIRSGESMENALRACCKGIKIGKILIHRDGDNGKQLIYEKLPKDISERHVLLLDPVLATGNSANQAIELLIQKGVPESHIIFLNLISAPEGIHCVCKRFPSLKIVTSEIDVALNDEFRVIPGLGEFGDRYFGTDD >RHN58345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:996380:999455:1 gene:gene20250 transcript:rna20250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MNKGTHSMKWVLLDFIQRCNDLRSFKQIHAQLLRSTLVDNDLVVTKAANFFGKHVTDIRYPCNFLKQFDWSFSSFPCNLIISGYGAGNFPWAAIRIYRWVVGNGFVPDVYTVPAVLKSCARFSGIAEVKQIHTLAVKTDLWCDMFVQNSFVHVYSICGDTVGASKVFDFMPVRDVVSWTGLISGYMKAGLFNDAVALFLRMDVAPNAATFVSILGACGKLGCLNLGKGIHGLVSKYPHGKELVVSNTLMDMYVKCESVTDAKRLFDEIPEKDIVSWTSMISGLVQYQCPQESLDLFYEMLGSGFEPDGVILTSVLSACASLGLLDYGRWVHEYIDHSRIKWDVHIGTSLIDMYAKCGCIEMAQQMFNLLPSKNIRTWNAYIGGLAINGHGQEALKQFGYLVESGTRPNEITFLAVFSACCHSGLVDEGRSYFKQMTSPPYNLSPWLEHYGCMVDLLCRAELVEEAMELINKMPMPPDVQIIGALLSASSTYGNVELTPEMLKTVRNFECQESGVYVLLSNWYANNKKWAEVRSVRRLMKEKGISKAPGSSLIRVDGKSHKFVVGDNNHPQSEDIHVLLNILANQTYLEGHIDTLS >RHN74226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30497543:30498577:1 gene:gene10252 transcript:rna10252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal peptidase I MAIRATFSFSGYVAQTLVSSASVRVANPRGVHECCILSRFFGSNQKPDLDRSSCGIGENFRRPKMSTYSTLAGDLLTEGLIWMMKSSAVVPGSSTGMMGISPFKPSSIIPLLQVSKWLPCNESVINPTTCINPTTWEIAAFKPPESRLLNLNQKEKNISWISKYSKDAKAVFTAFTVSLLYKSSLAEPKSIPSSSMYPTLEVGDRVLTEKFSLFFRKPHVSDIVIFKPPQFLKEFGYSSSDVFIKRIVAKAGDVVQVKGGKLLVNGVAEQEEFVLEPLDYELAPMVVPAGHVFVMGDNRNQSFDSHNWGPLPIKNIVGRSMFRYWPPSKEDQTVMVHNTSPSTA >RHN42959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42189308:42192541:-1 gene:gene49471 transcript:rna49471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan 1,3-beta-glucosidase MCNKFFYVNLLFIFNLSLFHNVYAAETKKNNLPLKAVNLGNWLVTEGWMEPSLFDGIKNNDLLDGTHVQFMSVKLQKYLCAENGGGTIVVSNRTKAFHWETFRLWRVNETAFNLRVSNKQFVGLEDENGENNLVANLDSPGNKETFEIVRNDDDPNKVRIRAPNGLFLQAISETQVSTKTVYEESSWEDSDPSVFKMTVLTDTILKGEYQITNGYGPDKASKIMRDHWKTYITEEDFRFMSENGLNAVRIPVGWWIAKDPTPPKPFVGGSLKTLDNAFTWAHKYGMKVIVDLHAAPASQNGRAHSATRDGYREWGDSSISDTVATIDFLAQRYANRTSLIAIQLMNEPQGVDLGSLKKYYQAGYDAVRKYTSSAYVIMSNPLDRDSKVLLPFVRAFDRVVIDVHYYNLFSDQFSNMNVKQNIDYIKYHRASDLRSLTTSNGPLIFVGEWSGDWKVKNALKKDSQQFMKVQVEVYSRATFGWAYWAYKCDSNNWSLKWLLENNYVKL >RHN79887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33232586:33232823:-1 gene:gene3740 transcript:rna3740 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAVFMKIFVLSLLEPVIDQNFYVIGMKYTSATFAAAMSNIFF >RHN76543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51118117:51123089:1 gene:gene12886 transcript:rna12886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MCRHKIVIIERSSRCHISTFSYTTPFFTTLSLSLSLSLSPSLRSIVWFHLVPPKMLARLAANRLNQIRQTFHQPSRAFSTALNYHLDSPDNNPNLPWEFNDANKAKVKEIISHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMDAVAKVIEVAPIRVYEVATFYSMFNRSKVGKYHLLVCGTTPCMIRGSREIEAALLKHLGVKRNEVTQDGFFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYYEDVTPEKVVEIVEKLRKGEKPPHGTQNPHRIRSAPEGGNTTLLSEPKPPPCRDLDAC >RHN39885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11388090:11388419:-1 gene:gene45967 transcript:rna45967 gene_biotype:protein_coding transcript_biotype:protein_coding MQFCLPSGLDEIPCFQPTLQVLLDRLCFSHDFKQTEFVIWQMKEFGFQESWSQLFRVNYFNLDIHNLPIKCGNPLLLPLCLYENGDTLISAYGGDDQAVIYNQRENKVK >RHN82523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54449199:54451105:-1 gene:gene6694 transcript:rna6694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MAKPNLHLLSFLFFFTTFLGLFNCASSLINPEDVSSWLALEETEDVNMVQTQRDSWKKCDFSVGKWIFDQTYPLYDSNCPYLTTAVTCQKNGRPDSDYEKWKWKPLGCSIPRFDALKYLGKMRGKRMMLVGDSIMRNQWESLVCLVQGVIATDRKKVIYDGPLMSFHAMDFETSIEFFWAPLLVELKKGSNNKRILHLDLIEENARYWKGVDILAFDSAHWWTHSGQSSSWDYYMEGNSIITNMNQMVAYEKGVSTWAKWVDLNLDPRKTRVIFRSMAPRHNRQNGWKCYNQRQPVKYFSHLHVPGPLVVLKGVLKRMRFPVYLQDITTMTAFRRDGHPSVYSKAISEKERQKQGNGQSSDCSHWCLPGVPDIWNEMLSAWL >RHN60419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26571761:26577601:-1 gene:gene22730 transcript:rna22730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal RNA-processing protein MAKVSKGKKVIRIGEKKKKSNMEQNADVETHVTVNNDVDNGMQLIKGKKAKVITIRERKNKDKNLVGREKPEVVDVVVEEKNVDHGMQVTEGKKVKVSTGRKRKNKDKNLVGREKPETVEVDLEEQNVDPEMEIIKGRKVKATTSRKRKNKDKNLVGRQTPEDEVDFEEKNDGVVEHSDSKEEEVKDSKKHRDSNVEAAIKPCKSKQAKKKRRKEVIKSPEKREQNHQDDIHIISSGDDDCSHGIKKWIMEYHQNRPGLEVLQNQIEDFLTTYEEKLEEERKAKEALAAEGGWTVVQHHKGRKKTTDSESGIAVGSVAQAALENKLAKKKNKEVGQDFYRFQKREAQRNELMELQSKFEEDKKRLQQLRAARKFRPY >RHN47436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39737177:39740942:1 gene:gene42037 transcript:rna42037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ricin B, lectin domain-containing protein MEFPFNHNTSNVTHTHHHRRDDDNNEQHYPPPGHNNLSSFNQPPPPPHHQQPPFYPNPSYPPPPQQQPHQPETQVFHTGHVSRNDNFNNYPQQPQPHQETQVFHTGHVSHENFNSYPQPPPPQSHHQQPSYGAAYPPAPPSVPDHTSAPFPNATVHHVSHETHNPHFPSSNVHHVNHEPTAPPLSSNRSTFKIVTKASPNYSLTIRRGEVVLAPSDPSDQHQHWYKDVKWSTKVKDKDGYPSFALVNKVTGEAIKHSIGASHPVRLIRYNPDYLDQSVLWTESKDQGSGYRAVRMVNNIQLNMDAFHGDKNSGGVHDGTTVVLWDWNKGDNQQWKILPYSAEGTVNGFEDS >RHN43851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48977435:48982337:1 gene:gene50481 transcript:rna50481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative splicing factor 3A subunit 1 MMLGSLPILPLPAPPTDGNLGPLPEAQLTQEEVEERNESSSIEEAAPTSVATHTRTIGIIHPPPDIRNIVDKTSQFVAKNGLEFEKRIIASNAGNAKFNFLNPSDPYHAYYQHRLSEFRSQNQSSTQQPGDSALLESGPPAPETDSNDVATTEKPDISAQFKPVRKVLDPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLTGLTSREINNPQFHFMKPTHSMFTFFTSLADAYSKVLMPPKGLTEKLKKSVPDMTTVLERCVNRLEWERSQEQARQKAEDEIEQERIQMAMIDWHDFVVVESIDFADDEDEELPPPMTLEEVIRRSKMTPMEEDTVEPGKEVEMEMDEEEAQLVKEGMDAVDDDEGKKSEIRVTEDPEPPIRIVKNWKRPEDRLPADRDSTKFVVSPITGELIPISEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISKNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKNDEQPKQVIWDGHSGSIGRTANQAMSQNIGSEDQNDAYNNESKNLPGPAAPPPRPGMPSVRPLPPPPGLALNLPRGLMHNMQYSNPNSIGLPMPPPRPGMHMMQSLRPAPPPPMQMPGGQHSMMGGQPPPMHPSISMNNQGIPIPPPPGSQFTPVPRPYAPHPHPSSGMMPMMHPPPPPQGVPPPPPPEEAPPPLPEEPEPKRQKHDDSALIPEDKFLAQHPGPARISISVPNVDEGNLKGQVLEITVQSLSETVGSLKEKIAGEIQLPANKQKLSGKPGFLKDNMSLAHYNVSGGETLSLALRERGGRKR >RHN40005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12232144:12233192:-1 gene:gene46094 transcript:rna46094 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAGNFKNGDWFHYHSTQTLVSIELPPSDNLGFIFYLVLSQVQSYRIGYHGSFGCECYLETTCGECISIRSFFVDESVLLNPHTPLHIFSDHLFLWYDAQCCKQIMEAVKEIKANDMSAIHNSKLTFKFFARTQDNMEAAIKECGFRWIYSSEGQVVEEEEGCESETSKETHTVEGSESDEQEETVPPAMNFQQSVYGTPNLEAVETKDLRSVLEELLHIGFGGDLML >RHN40510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17051346:17051944:-1 gene:gene46668 transcript:rna46668 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQICPLIFQSLSTQSYPFSSSYLFLAIVGSIEEVEGSLGQYFRCSENIKENDEKDLMGMVSSKIRSFVGNDLLSNEQGMVAARHFDAKHFGIEVDMWLGFEDAKKVDYIKSLCRSVLHFF >RHN55659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20747496:20752944:1 gene:gene30877 transcript:rna30877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MSSSSDDHPRIYDVFISFRGEDTRNTIVSHLHAALQNSGVNTFLDDQKLKKGEELEPALRMAIEQSKISIVVLSPNYAGSSWCLDELVHIMDCRESYGRTVVPVFYRVNPTQVRHQTGDFGKALELTATKKEDQQLSKWKRALTEVSNISGWRYNISRNEGELVKGIVEYILTKLNISLLSITEYPIGLESRVQQITKIIDDQSWKVCIIGIWGMGGSGKTTTAKALYNQIHRRFQGRTSFVESIREVCDNNSRGAITLQKQLLLDLFEIKQKIHGVALGKNKIMTRLQGQKVLVVLDDVTKSEQLKALCENPKLLGSGSVLIITTRDLRLLKSFKVDHVYTMTEMDKHQSLELFSCHAFQQPNPRDKFSELSRNVVAYCKGLPLALEVLGRYLSERTEQEWRCALSKLEKIPNNDVQQILRISYDGLEDYTQKDIFLDICCFFIGKNRADVTEILNGCGLHAYSGISILIERSLVKVEKNNTLGMHDLLRDMGRSIAGESSIKEPAKHSRLWFHDDVNDVLLKKNGTEIVEGLIFELPRTHRTRFGTNAFQEMKKLRLLKLDGVDLIGDYGLISKQLRWVDWQRPTFKCIPDDSDLGNLVVFELKHSNIGQVWQEPKLLGKLKILNVSHNKYLKITPDFSKLPNLEKLIMKDCPSLIEVHQSIGDLKNIVLINLRDCKSLANLPREIYKLISVKTLILSGCSKIEKLEEDIMQMESLTALIAANTGIKQVPYSIARSKSIAYISLCGYEGLSRDVFPSLIWSWMSPTRNSQSHIFPFAGNSLSLVSLDVESNNMEYQSPMLTVLSKLRCVWFQCHSENQLTQELRRYIDDLYDVNFTELETTSHAHQIENLSLKLLVIGMGSSQIVTDTLGKSLAQGLATNSSDSFLPGDNYPSWLAYKCEGSSVLLQVPEDSGSCMKGIALCVVYSSTPQNLLIECIISVVIINYTKLTIQIYKHDTIMSFNDEDWEGVVSNLKVGDNVEIFVAIGHGFTVKETAAYLIYGQPTAVEIEPIPEVDAQSSPDA >RHN78373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14521622:14525581:-1 gene:gene1919 transcript:rna1919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative G-patch domain-containing protein MKEKLSFSLPSSKSSSKSNKPSKTFNEDSSTNQQQKQLITEFDPSKPQTLIPKTLIPPIENQWRPHKKMKNLDLPITDSHSDHSLTFVPDTTVSDQPDNSSYGLNLRDNDKKPQSDDVVVDAPRPKASVEVSMLQKFKDDMERLPDDMGFDEYKDVPVEGFGAALLGGYGWKEGMGIGKNAKEDVKVVEVKRRTGKEGLGFVADLPPPSSKKGERNGRGETERKKKEERVVRIVRGRDVGLKASVVGRDGEDVVVLRVLGSGEEVKVKVEDVAELGSVEEERCLRKLKDLKIRGRDEEKGSKSKRGRDGVDERRVNGNGGVGGKEEKGRKQVSWLTSHIRVRVISRSLKGGRLYLKKGEVLDVIGPTTCDISMDESREIIQGVSQDMLETAIPRRGGPVLVLSGRHKGAFGSLIERDSDKGIGTVKDADTHERLNVEFEHMAEYIGDPSLLGH >RHN57846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42133621:42134336:1 gene:gene33435 transcript:rna33435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MATRYLGFATVVLTASILVIGIYAQVECGGNIPSIISQCKRFVEKDGPKIPPSKPCCAALKGANVPCYCKYVTPSIESIISIDKALYVAKTCQLQNIPTDKCGSYVIHHPAPSMA >RHN48239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46044147:46048464:-1 gene:gene42933 transcript:rna42933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MANKPFLIFSILLAFLAVAGGDSGQGSELDYSKLSGIIIPGFASTQLRAWSILDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCMLLDPYNQTDHPDCKSRPDSGLSGITELDPGYITGPLSSVWKEWIKWCIEFGIEANAIIAVPYDWRLSPSMLEERDLYFHKLKLTFETAFKLRGGPSLVFGHSLGNNVFRYFLEWLKLEIAPKHYIQWLDQHIHAYFAVAAPLLGATETIEATLSGFTFGLPVSEGTARLMFNSFASSLWMMPFSKYCRASNKYWKHFSGGKQVGTNTYHCDEEEFKSNFSGWPTKIINIEIPSTRGEIVETFAFSSKQSCYAAYGPHLPFVSSACRI >RHN78276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13521417:13521936:-1 gene:gene1810 transcript:rna1810 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYTFLVFYASKKYFPIILIFPLSIRIYVLIFAFISFTLFFNFCSLKFHICS >RHN47187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37738465:37744044:-1 gene:gene41753 transcript:rna41753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MPPKVVKRGSAAKKAKVSSKTSIQNPPQEPEPKPIKNHEDNHVDDSAVEENSVETVPNGVAMVENNGEEVKESIDEYEKDEHLDFEDNYHEYDPEEYVGDDDYDERGIEQDEGQEAGDEVEEEPEENVDEEEGDTGDEEVEEVEYVYEEVEGDDDDVAGDDEHASEENVHEHLADVKEEEQVEVIKETQKQKELEVFVGGLDKEATEHDLRKVFSKVGEITEVRMTVNPQTKRNKGFALLRFETVEHVKRALAELKNPVINGKQCGITITTCQDSDTLYLDNICKSWKKEALKEKLKHYGVESFKDLTLLEDDNNEGTNCGCAFLEFSSHSDSKDAYKRLQKTDVVFGVDKPAEVSFANSFIDLGDDIMAQVKTVFIDLLPPSWDEDYVRALLKKYGAVEKVELAKNMPGARRKNYGFVTFGTHAAAVECAESITSAGLGEGNKKAKVRARLSRPLPKVRGKHVPHRDISGRKIGRLERPSRSRPAPRSRPAPQSRPAHVFRRLGSRIPPARPSSARNRRPVTSIPVRARPASPPARSYRRLAAAYPKSSMKRDYGRPVDLPPPRSRVSADYGSQVVSQRGPSYRDYPARDSGYPDLHRDTSRTAPRRGYLDDGYDRRLERPPSPSPRLSYREGRPRDYDALSGSKRPYAAIDDISPRYADAGARQSRSRLDYDYGGSASRYREAYGDRLERSSLGYSGSRSSLSNQNSHGAYSSRQDPSYGRGSLGGSDGGIYSSSYGGDYLSRGSDVGGSSYSSMYSSRGMNGSSSYMSGSGGGSRSYY >RHN70450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51491893:51493652:-1 gene:gene19029 transcript:rna19029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MAEEAQYATLKRKYDDQPTATDIELEVANAKQRAQEVAARLLSVTGAPPLSYDPKRTKSDNGAPQSGFDSYDLKPQYSQQGGSYGSSKKIEIPNGRVGVLIGKGGETIKYLQMQSGAKIQVTRDMDADPNSQTRMVELMGTPDAVSSAEKLINEVLAEAEAGASVGGTRRMVAQSGGDEFVMQIPNNKVWGGNMCSCRHVF >RHN45400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19621550:19622103:1 gene:gene39720 transcript:rna39720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MFTLCREVRFINVHPKRASFPSDFLFGAGSSAMQIEGAAHEGGKGLGLWDDIVERHKG >RHN52978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40801266:40803865:-1 gene:gene37720 transcript:rna37720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MENSSSCRSEQTVEDGKLYRHLNSLIVSHLRHNNLTQAATAVASATMTPFNVQAPPNKILQLVANKGLAAEKDDLPRGNHLLLFKIWVHHCPCLVPVQQPLISDIKVSSKSFPRCARFSPDGRFVATRSADTSIKLFEVSKIKQMLLPDAKDGPVRSVVKTYYESRSKGCNFILFASYC >RHN82233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52182080:52182776:1 gene:gene6378 transcript:rna6378 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYQPFNLRLTPHIKPIFNSPAAFTKPLPMLKEVGGVWLRSGKAYGC >RHN80353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37373298:37378246:-1 gene:gene4268 transcript:rna4268 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKVNDAWNKYLLQLKLHPLRTKAITSSVLVGFSDAVAQKISGAKKLQFRRILLFMLYGFAYSGPFGHYLHLLMDKLFKGKKGNETVAKKVILEQITSSPWNNFFFMMYYGLVIEGRPLNIVMNKVKNDYPAVQLMAWKFWPIVGWVNYQYMPLQFRVLFHNFVGSCWAIFLNLKARSSVAIIKKA >RHN61568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36463666:36482498:1 gene:gene24045 transcript:rna24045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MEEINQKEEEDHPRAVEDSDNANEDTQYGVEETGEEEEDASENEEEEEEEENDTVDEDDQFIFGAGVNPLDFVRNNDSGVNLYQKFKDYHQKSIEYRALDNRKRKLPLQPHREETSSKKAGEDDIFGVNPAEVEEFINFGEGKRPRKKRSKKRGRQKGSKKKLDEKISQMLGDAHVHYANGRHKMAISVLHEVVRLEPNLPDSYHTLGLVHGAIGDHENEMGFYMITAHLTPKDPTLWKTLYVWSIGQDDIGQASYCISKAIKADPQDSSLRSHQAMLYAESQNYQKAAEAYEQVYQLCRENVDALKAAAKYYQKCGQVERSICILEDYLKNKPDGVNASVVDLLGAILMEIKAHDRALQYIEQSQVVGKELPLNLKVKAGICHVHLGNLEMAQVFFNDLKPENASKHVESITEVADSFMGLGHYNSALNYFKMLEGNSKNEDGLLYLKIARCYQALGERKQAIISFYIVLETLQDDVEARITLASLLVEEGKENEAISLLSPPKDSDSGEAHSEKPNRWWIDVRIKLKLCNIFQIRGMLTDFVDVCFPLVRESLNVATPKRKGKLLLPGKSKKKRLSTSDLLKRVEKLAAPETDSVFRGFKAVATSSDRLKASRAKKALEEKAIEKEKRKAEAAASGIDWRSDDSDDELQKPNTESPLCNLHKDEGYHQLLIDLCNALASLQMYREALEIINLSLKLAHISLSAEKNEKLRSLGVQMAYSTPDPKQGFDCVKGIVKQHAQSVAAWNCYYKVISRLENRDTRHDKFLRDMQEKYVDSVPPILISAHQFTLCSHHQDAARKYLEAYKLLPKNPLVNLCVGTALINLALGFRLQNKHQCVVQGLAFLYNNLEICKNSQESTELIDCPALQESLYNIARAYHHVGLVTLAAIYYEKVIAIKERDYPIPKFENENIDVNENHKPGYCDLRREAAYNLHLIYKKSGALDLARQVLKDYCSV >RHN61906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39242807:39246094:-1 gene:gene24419 transcript:rna24419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-40S ribosomal protein S27a MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGY >RHN47549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40522960:40523541:-1 gene:gene42163 transcript:rna42163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MLIVTCTKSYRLKKSELRSSLEKDSGTNECVRGYDLIDNVKEAIKVVCPLTVSCADIVALATRDVVPLSGGPTYGVPTGRLDGLVSNNEVNIPPPTFPVKVLSQFFMTKGITTEEMVALLGAVPSVLRIAGFSLIGFLALEVNRILPWTLRWMLNL >RHN40822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21711680:21712093:1 gene:gene47039 transcript:rna47039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MKPYLSCVNVAVFVFRFSVMFPMKKAEALPECDKKECNRWKEHCSKECLCIELFMGIGYCYPIPTAMKKLKEHPNLCQSHMDCINKGSGSFCSYYPNSEIQHGLCFTSKVEAERRYFEFEVLANATAKDMPMVVAAA >RHN74657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35539094:35540321:-1 gene:gene10762 transcript:rna10762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MADEVILLNYWPSPFGMRLKIVLAEKGIKHEYREEDLSNKSPLLLQMNPVHKKIPVLIHNGKPVCESLIAVQYIDEVWNDKSPFLPSDPYQKAQARFWADYIDKKIYEVAGNLWTKKGEVQETAKKEFIEALKLLEQELGDKNFFGGDKLGFVDVAFIPLYNWFRGYEAFGKISVDKECPKFFAWANRCMEIESVSKSLPDQDQIHDLIVQIKKKAGLE >RHN40177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13881215:13888178:-1 gene:gene46291 transcript:rna46291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdopterin synthase MAAEDDKDLIEIVENLNSIDVAKYMNFVSAPQAGAVATFSGTTRDTFDGKTVVELIYEAYIPMAIRCIKSVCSSARESWNLHSVAVAHRLGTVPVGGTSVFIAVSSVHRADALEACRYLIDEIKATVPIWKKEVYSNGEVWKENTEFLEKRSELGKKDVVADCSVKKSEIKEEKNL >RHN48717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49679008:49682916:1 gene:gene43462 transcript:rna43462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial fission protein ELM1 MSEMLDGAIRRVVVIGNGFAGAENQSIGLVRALGLSNHISLYRVTRPQGGINRWFKWLPVSIHKKLDSVIRKFCGNSRFLSLNHNVGISSILEADAHSIAKMARQTFYKEGPLLVVASGRDTINVATSIKRLASDNVFLVQIQHPRFLLNRFDLIITPRHDYYPLTPHAQRQLPWFLRRWVTPWEPPGRNVVLTVGALHQADSAALRVAASAWHNELATLPKPLLVVNIGGPAGNCPYGVDLAKNLVVMLQNVLWSCGTMRISFSRRTPEKISKILVKEFSTNPKVQIWDGEGPNPHMGHLAWADAFVITADSVSMLSEACSTGKPVYVIGAELCTWKFADFQNSLQKQGVARPFTGMENITESWYYPPLNDTAEAASQVIAALAQRGWTIRA >RHN74237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30669975:30670953:1 gene:gene10264 transcript:rna10264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-dependent RNA polymerase, eukaryotic-type MDGFNAAAMILCGIPLDEPFLRYHLTKLVKVEKKKLSQGKLYLEDCFYISLFPPFTKPQPYSLFFLSSSPRFSLVII >RHN67333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26118283:26118558:-1 gene:gene15513 transcript:rna15513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MLFSITSRAEFGKKYMEQDEFVSLVREVLHISGGFYIGDLFPSAKWLQNFSRRRPKLEKLHKKVDRMIEMIINDHKVKRSRGKEGFVEGRK >RHN64110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56629515:56633574:-1 gene:gene26892 transcript:rna26892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleophile aminohydrolase MLGVFSSSVVSPPDELVAAGSRTPSPKTTATALLKRFSESNGGSTVSVEVGDKVRFAYTHQNESSLQPRMFGVKDEIFCMFEGALDNLGRLRQQYGLAKSANEVVLVIEAYKALRDRAPYPPNHVVGHLSGTFAFILFDKSTSTLFVASDQFGKVPLFWGITADGYAAFADDAELLKSACGKSLASFPQGCFYSTAVGGLMCYENPKNKITAVPANEEDFWGATFKVEGATVLAARE >RHN42997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42466277:42467508:1 gene:gene49515 transcript:rna49515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAT dimerization domain, ribonuclease H-like domain, hAT-like transposase, RNase-H MAKRMMGKFKKYWDEHSVVLAMDPRMKFSRLADCYSKLDPSTCERKLQKVKTKLYMLFDKYSSKSTSSGMQTTVQGQSSTMPLQKNSESLSHGLFDELKMKHQQLVTETEKSQLDVYLDESDLGFLCNEDMDVLQWWKSNNDRYPDLSILACDLLSVPITTVASDFEFCMGSRVFNKYKDRMLPMDVETRICARSWLYNFVSDDGKDDEDDFEEIMNEIDGDVGDNDGEEDDE >RHN63908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54974584:54975333:1 gene:gene26664 transcript:rna26664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MVFPDNIPTSKMSPPQFATSNNDIVEVRPRFPMKNENFEQHSTLYQPHSLKRARISDNNRSNALICLPPKMVLPPSNRATHIFYKTRICTKFRFGTCRNGKDCNFAHGVEELRQPPGNWLELVSPCNDEQKQLRNWEEDQKFIHKMKLCRMYSNGEKCFFGSKCNFRHEDPAKSRDHSWKSGECSSISIGTIGSSKSFGDGIRAVNKPARGTYWKNNMCFRWQHQGSCPFGEDCHFSHGEAGNNNSSLC >RHN60568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28324496:28328967:1 gene:gene22895 transcript:rna22895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MGTLLPSSTAEHEKSEKQNSSLQIDEHQRDVDAGALFVLKSKGSWVHCGYHLTTSIVAPPLLSLPYAFTLLGWTAGIFFLVIGAMVTFYSYNLLSRVLEHQAQLGNRQLRFRDMARDILGPRWGRYFVGPIQFAVCYGAVVACTLLGGQCMKAVYLLSNPNGSMKLYEFVIIFGCFMLILAQIPSFHSLRHINLVSLVLCLLYSACAAAGSIYIGNSSKGPEKNYSLKGDTEDRLFGIFNALSIIATTYGNGIIPEIQATLAPPVKGKMFKGLSVCYTVVTVTFFSVAISGYWAFGNESEGLILSNFVDNGKPLVPKWFIYMTNVFTIVQLSAVGVVYLQPTNEVLEQTFGDPKSPEFSNRNVIPRLISRSIAITISTLIAAMLPFFGDINSLIGAFGFMPLDFVLPVIFFNLTFKPSKRSLIFWLNVTIAVVFSALGAIAAIAAVRQIILDAKNYQLFANI >RHN77653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8451866:8454956:1 gene:gene1127 transcript:rna1127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, homing endonuclease MVLKAPVVLPVSVSVSVSISVTTFSLNPNFFSSSMRSTFTLFRSLTLSTLQLPRTLPSLLHLRRFPRNCVPNAEQWLLHEDLPDNNDNDIFHFSTVEADEKRRLPRPEVEVKELSEVPELWRRSRVAWLCKELPAHKAGTLIRILNAQRKWLRQDDATYIIMHCLRIRENETAFRVYKWMMQRSWYRFDFALSTRLADYMGKERKFTKCREVFDDIINQGRVPSESTFHILIVAYLSSSVQGCLDEAFGIFHQMIQLGGYQPRLSLHNSIFKALISEPGNFSKQYLKQAEFIYHRLVTNGLDVHKDIYGGLIWLHSYQDSIDKERIEALRQEMLRAGIDESQEVLVSILRACSREGEVEEAEKTWCKLSQFESNPPSQAFVYKMEVYSKVGMPMKSLEIFREMKLKLGKTSVAAYNKIIEILCKAQESEFAESIMTDFVKSGLKPLTPSYVYLLNMYFNMESHDKLEEAFSQCLEKCHPNSAVYSIYLDSLVKVGKIDKAEDIFSQMFRDTSIGVSARSCNIILNGYLYSGNHLKAEKIYDLMCQKKYEIDAPLMEKLEYILSLRRKTIKKPMSMKLNKEQREILIGMLLGGLQIDSDDKNKNHIIHFNFDGNSVSHYVLKSHIHRQFYEWLPPTSKPSGDSENIPGKFCTIPSSHFGFYADQFWPNGQPTIPKLVHRWLSPCVLAYWYMYGGHRNSSGDVLLKIKGSREGVENIVKKFKAMSIDCKVKGKGKVFWIGILGSNTTWFWKLVEPYILEDVKDFSKAGVNTMGQDLTETQDINFSSESDE >RHN78816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18670992:18672471:1 gene:gene2471 transcript:rna2471 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQANSDIPASSASVVMLSAPDTQDNPQDVFHTPPEEASLHSSDVDVPLCAVNQADAGSQVFVDSVDSSGFVDCRKDSDLGFSEEDQLKDEIDAGFVPENLRGVVSVEFRVLERDISDLGESPAKKLKLGFQEDSLPRENVVGGEQVNADADSCREIPMDENSVPEENVETQVAPNAGDVNLNEGNNSICEVGEEGSGMHEDPLLRVLPDSIRSLSEKETASGLESGRVEEKKKYNVFDVLKFLAETSDKKEDDGLTLLETLKRSGVNLPRPSWWPEDMKSQLFNFDDKEERK >RHN66892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21016139:21020881:-1 gene:gene15006 transcript:rna15006 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRHSYLCMCDLFPIHLWQELSANVENLCPKVENIRFLKNLKVIKILGCEKLEIVFSTSMIMCLPQLLHIRIEECKELKHMIEDDLENKNSTHSMSTTKICFLKLRTLVVQRCNKLENVFPISICKELPELDALIIREAHELEEIFVCEEGDQKVNLPNLKVVAFTNLPSLYQTQGIHFQAVQNRFVQNCQELSLTNAITEHFINDTIDYMSEWQIDDDIMELANHLHGEIDTSIKPSHGNDNLEGSTPEKPVAESLSTTSATENEPPIQVIDHKQKGVEITVEEVTALTNSKTLKSSTRSKSLGSSSQDQSIQEGSTSGTKNDQDIQLVDLKQKSVRLSIEDIDNAASQETTQTNNNQVSLSPNIKEQFPMDDEIISKSKPSPSIIYPIASQFPLIPPKDFDLYLHMESLYRQFQEVSKGHSNGNENQSAQITKEFAAWIEAEAASWHKLTSSQLEVSASERLAGATLSTISEIIDEQLVAPKQKGSEISFEEGTTSTCDKTITSSTHLEVCDGKISIPSSSVVNMPATKDVDIGDSQETIAMEDINKLIEEDPLFALEKLLTGVQSYSIRSLLQELKILMDSSSDLDHLLSNQESKLKLVSLFHGLNQHQRLLPSNVKEFVEKVQNFFNDNIMRLTTSQQLLRKHNQLLDLKTELMNKLKSAKSTQTHINNESSTANAQIHELSLQIDDLKSVVNKCYVQKQKLKAECTEWAQQSKELLSALASTEINVIEAERMRNLATEGFANLKSSFPTI >RHN49890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2041583:2046239:1 gene:gene34118 transcript:rna34118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MATLLSSSSLHSIRLSSSTIPSSSSTSSHSLQFCNFPCHPRMKALSIKNKNRCLSVTKAVEDETQQEQEQVVTDVEQQQQQTSVTSEQPSVVVPISPSDTLTMLFQAEGTLNETAVPPLRKALEETEGVKNLKVNVFEGLATLELEKQTTIQATGVASSLVEIIQGLGFKLQTLNLSFDDEDAAAVDDEDVAAFA >RHN45775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25476924:25482399:1 gene:gene40172 transcript:rna40172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MGGGSTDSNHTDTLHEDSCFNEDFHFPSSPPTPRDSRGLQDKDNSVNEKNELDFGEVSEESVATSSAADSVIGERKRLGIYQEILQSYDALKIDSKNLKEAKEKILSYRPGTWTEKAKGLKLCDYDVPETTCLLLVGPSGSGKSSLINRISKVFDEDKFAPARAQVSYNSIRGNGTCFLREYMIPRDSNSICLYDTRSLSDDSHENNKMLKNWMTKGVRHGELVARGMDDKRLSKNLKLKGDKKGFFSSKSRKVNYVICVLNGLSVLNVIENAGGALEEWYIQQIVSTFNCPFLSFKDDKPVLVLTHGDLLSLSDRARVRAYLGELLGIPPTKQIFDIPDCDDLVTESAIVGMLRYTLEHADGNFPQKSNVMNKIHKISLSLFMILLILAIGFAIGLKQNNSVTYVTQQQAPQPHAGSREVHDTRPNVEGPINEPNIECPNLEVPKKEPKIKRPKLKVPKKEAKIEWHKIRHIW >RHN52147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31993656:31994687:1 gene:gene36776 transcript:rna36776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MSDSTPLLPWKARATISLLSLLFDASSRSNGTVNRRLFNFFSLNASPNSTPVNGVSTKDITVNTENNVWFRLFTPTVAGEVAGEVTGDGGATKTTSLPVIIYFHGGGFSFLSPSSIYHDALCRRLCREVFAVVVSVNYRLTPEHRYPSQYDDGEAVLKFLEENKTVLPENADVSKCFLAGDSSGANLAHHLTVRVCKAGLREIRIIGLVSIQPFFGGEERTEAEIKLDGSPLVSMARTDWWWKVFLPEGSNRDHGAVNVSGPNAEDLSGLDFPETIVFIGGFDPLNDWQKRYYNWLKKCGKKAELIEYPNMVHVFYIFPDLPESTQLIMQVKDFISKVSNSRL >RHN78312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13973231:13976644:-1 gene:gene1853 transcript:rna1853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MSLSSKHLKLLSRITFYHKLHSHSHTTTFNNSSNNNNIITSISNSFRTKQNWDTITHKFTSIKLTPSLAEQILLNLNNPTDAKNALSFFHWSTKTHRFQHTLHSYSITINLLLHSNLLTDAKALLESIALKNTQTHTVRAVVDSLLNDSVVSGSNPPVLDLLIKTYAKARLIDAAFDVIRYIDELEFCIGLSSFNALLHVAQRCNRFETVWEVYGYMILKRVYPNVVTFRIMIDALCKEGLLQRNVDNVDRIVEKRNSHSPSVIVNLSLILRMLEKEGEEEGKLVKFVTLLKRLLQKNLIGDSVAYSLIVHVKVRLGNLDFALEMYNEMVRRGFSENSFVYTSFIRAFCEKGRIEEAIGLMREMEGKGLRAYGETYECVIFGCADSGRLKECWSVFEEMLSAGFVPGCLLFDKVAKKLCENGEVGKVNDMLTLLLDKGLLPSDVIYSHLIDGYARKDEVQGVLKIYYEMEYKSMCPGLSVFSSMIQCLCRCGKVDDAEKYLRIMKGRLLAPNLSIYETLIAGHMLKGNVERALQLRNEMASLELQCS >RHN59108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7529323:7536201:1 gene:gene21097 transcript:rna21097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S10, serine carboxypeptidase, alpha/Beta hydrolase MNMMVPPSHNSLHYFCQILLSLVLLSLHMLTPLEAYGSKVEHLPGFQGPLPFQLETGYVGLGESNDDMQVFYYFIKSENNPQKDPLMLWLTGGPGCSSFSGLVYQIGPFVFEIKEYNGSVPSLVSRPQSWTKRSSIIFVDLPLGTGFSYAKNVTAHRSDWKLVHHAHQFLRKWLIDHPEFLSNEFYIGGDSYSGIPVPAILQEISNGNEKGLLPLINLQGYLLGNPITTYKEDNYQIPYAHGMGLISDELYASLQRNCKGEYINVDSRNELCLRDLQSFDECLSGINTFNILDSYCEDDSHLWRRSLTEVLKKSSSSHLTVPELSCQIYGFYLATKWANDENVRKALHIREGSIGKWERCYTTDFEREIFSSVEFHANLSKKGYRSLIYSGDHDAVVPFMSTQAWIRDLNYSIVDDWRPWFVNGQVGGYTRTYANRMTFATVKGSGHTAPEYTPEQCFPMFTRWISNLPL >RHN52496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36136898:36138802:1 gene:gene37182 transcript:rna37182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, leucine-rich repeat domain, L MKQLHALAGGPDWFGRGSRVIITTRDKHLLRSHGIESTHEVKGLYGTEALELLRWMAFKNNKVPSSYEDVLNRAVSYASGLPLVLEIVGSNLFGKTIEEWKGTLDGYEKIPNKKIHEILKVSYDALEEEQQSVFLDIACCFKGCEWEEFEDILRAHYGHCITHHLGVLAEKSLVKISSTSYHSGSIYDVRLHDLIEDMGKEVVRQESPKEPGERSRLWCQDDIVNVLKENTKFQNMKVLTLDKCEYLTHIPDVSGLQNLEKFSFAYCRKLITIHNSIGHLNKLERLSAYGCSKLERFPPLGLASLNELDLSFCESLKNFPKLLCKMTNIKEIGISYTSIGELPSSFQNLNELDELSVVECGMMRFPKQNDQMYSIVFSNLRKLSLSDCNLSDECLPIFLKWCVNIG >RHN69024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40374293:40375681:1 gene:gene17450 transcript:rna17450 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTMSDPPSNEFFQFYHQNFPNQTPPYTSIATNTTPASTLPPESTMMNPSSPTSASNLGPSEGRVSKPIRRRSRASRRTPTTLLNTDTTNFRAMVQQFTGGPIAPFAAAATSSSPPNFSTLAGLGLGPRASHPMNQAIMSHPFYQQQLQQQQQQQQYQQHYNMYSGNVNTQVGGDHDNMFFQRIMSNPRPTNNDNNINNVVSDHIHGGEGGFFPRTSSS >RHN39169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4648253:4650194:1 gene:gene45170 transcript:rna45170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MIINILASLSSFSVDDNPDLCMTESCKKKNFTVQLVASFSALVVILLISFGFWIFRRQKAVVTPSNSKERGSMKSKHQNFNYSEILNITDNFKTIIGEGGFGKVYFGILQDQTEVIVKRLSPSSMQGYKEFHSEAQLLMIVHHRNLVPLLGYCDEGQTKALIYEYMANGNLQHILVENSNILSWNERLNIAVDTAHGLDYLHNGCKPPIMHRDLKPSNILLDENLHAKIADFGLSRAFGNDDDSHISTRPAGTFGYADPVQVYYKKSN >RHN58837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5319374:5323371:-1 gene:gene20791 transcript:rna20791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MVGPVRPQIVLFGSSIVQLSYSDEGWGSILTNLYSRKADIILRGYCGWNSRRAVQVLDTIFPKNAVEQPSLVIVYFGGNDSIHPHPSGLGPHVPLEEYRENMKKIIIHLKSLSKKTRIILLSSPPVNEAQIHETFSNILGPLKRTNESCRLYSEACLDLCHDMNVKAIDLWSALQQRDDWSDVCFTDGIHLSHEGSKIVVKEILKVLDDADWNPSLHWKSMPNEFAEDSPYDPVAIDEKTTVNVSNWNFQKNFEWEKALSISKSSNGHHQF >RHN44407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4362225:4363771:1 gene:gene38526 transcript:rna38526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone-7-O-beta-glucoside 6''-O-malonyltransferase MAAAKNHIKIHEQCKVSPNSANQLSLPLTFLDLNWLRFHPVERIFFYTLPNSQSYPTFFFQNLVPRLKSSLSLALQHFLPIAGKIVWPSDSPKPIIQFNPNNDGVSLVLAQYDDDVVSFDKIVEHKSPQEASLSRLFVPHLESTDSFASIISIQITLFPKSGFSIGISTHHAVLDGKSSTMFIKAWSSICKSFEEETQSSNLEPFLKPFLSREVINDPNGFESVIIDSWNRISTHFDECNVRSLKIMSNFFEPKVKDSLRVAFELTREDLEKLNKSVLSKWNNIEEGSQEQEQPKKLSTFVLTCAYVSVCIAKAKQQYESNQKQKFCFGFAVDIRSRLDPPIPENYFGNCVTNQLIDTEPEDFTKEDGVVIVAKKIYSKIINMDKGFLDGIDTLLSRYMDIVSEGIQGIGVAGSTRFGVYEIDFGFGRPAKVETTSVDRGITIGLTESRDLKGGVEFGLVLDKHVMGFFNTIFHEGLCLD >RHN45201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12883939:12885463:1 gene:gene39430 transcript:rna39430 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVNKTEEDTGDPHAEVRFCVARYIQASVLLQGRNLKVV >RHN60001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16290767:16294177:1 gene:gene22182 transcript:rna22182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative equilibrative nucleoside transporter MVFTDSDSTLLLPSSSSSETTKKAPQDKYHLAYITYFILGFGYLLPWNAFITAVDYFSYLYPEASVDRIFAVVYMLVGLFGLTVIILYRHKSHAYVRINLGLALFVVSLLLVPLIDVFYVKGRVGFYGGFYVAVGAVGLSGVADALVQGSIVGSAGELPERYMQAVIAGTAASGVLVSFLRIFTKAVYTQDVSGLQKSANLYFAVSIVIMFLCMVLYNVAHKLPIMKYYDELKIQAVAVEDDNSPLTGSVWRSTVWDTVGTIKWYGVALMLIYVVTLAIFPGYITEDVHSQLLKDWYPVLLITCFNVFDLVGKSLTAVYLLENAKIAIGCCIARLLFFPLFLGCLHGPKFFRTEIPVTILTCLLGLTNGYLTSVLMILAPKTVKLQHAETAGIVSVLFLVFGLASGSIIAWFWVI >RHN41601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31421904:31422668:-1 gene:gene47939 transcript:rna47939 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIAIRMCSMNMTKLMRIDLSLRSYLGNRNNMLEKNLQIGKPPPYKTHSQGGSTSDDQ >RHN58963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6258026:6263219:-1 gene:gene20933 transcript:rna20933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-II family MGCFTILKRKKKKPDQIVYVKRVSPGEDSPTVLPEPQTHTRSLQSAPPSFKIRVKPIQPSNKATNNRIRALSAPSSLDDAEQDALATIEYEEQEGSKYRTGSWKEQRSPSPQPLPLPSPKGGGTLKTVGSFKLGIASSPLYASGPLPLPPTGSLRNFSYDELAAACLNFSSDRYMSESLSSTMYKASFGDDTSTSSSKKFEATVTRLRPSSQGLKEFINEVNTLASLQHPNLCRLLGFHAGDGSEHRMLVYERLYHGSLDRLLYGRSDGPSIDWNTRMKIAICAALGLSFLHEEGPFQAMYNEFSTANIQIDKDFSAKLSGYGCVGHVPKEEISSSSSAVGNLSMETLEKGMLTPKSNVWSFGIFLLELLTGRKNLDSRHPKEERNLVKWSRPFLSDNHRLSMIMDPQLKGRFPSKAASTIANIAQRCLQMEPSERPTMGTVVEQLKKIQDLKHSSRFPLQEPAQMSRSPSLNGINHPAPRPSFSPSPSSRALVSVSPPRWSGVSIQLPPRAFSSTLYLEELDRQESRKSASASRKASVEGF >RHN81886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49579611:49579989:1 gene:gene5982 transcript:rna5982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MVLSGVEREIIQSLDLHHQSKALEQALASQSVVQLGLLLVLPIVMEIGLEMGFRTALGDFIIMQLHLASVFFTFQLGTKAHYYGRTLLHGGSKYRPTDRGFVIFHAKFADNYRMYS >RHN74143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29143754:29144634:-1 gene:gene10143 transcript:rna10143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MFKVLNIVYAMIIFLSISFSITNSFKMFCRYDEDCPPRMCRLPQVPQCNEFICDCGMPVYKPYQNKYIKK >RHN78266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13427852:13430955:-1 gene:gene1800 transcript:rna1800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MSSNSKQNNIPTNEVEKVDDAYLSALLLCFSRIFPAILNAAIDLNLFDIIAKLQSSNEHSSFSASEIASQLPNQHHELAERLERMLTVLASYSLLTCSIRTNENGKRERVYALSSIGQYFALDKDGGSLGPLSTLIHRGCNSVWGDAKDAILDPNVKNLFQSINGTSFYQYTKTNKELNDVFNKAMGQSGPLEIKRILKLYKGFEGISTLVDVGGGVGETLKLILSQYPSIKGVNFDLPQAVQDAPTLPGLEHVGGDMFESVPSGDAILLKLVCHNWPDEECVKFLKNCHKALPKHGKVIVLDYIIPEVPNPSKISKHACAIDNLMFLVTTGKERTEQEFESLCKRAGFSKFHVACSDVSAMSGVMEFYK >RHN76929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2475332:2485113:-1 gene:gene322 transcript:rna322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AFG1, P-loop containing nucleoside triphosphate hydrolase MHPRKTVKFSEYEETMRRRIWSLLSNKNKLTFPIPISNSRVIPFLSYCTDPSRILNHNHPGPLVQYKNLVDQGKLQHDPYQESVATELQNLLSRLENYEREMEEYHVNLSNWEKKRENERRRILMDEVEKQQNDKDWWKRLNNKITERWTNSRKRPENVDPGVGKWVSYLKREKKLDSLVGRRPTAPPAPKGLYIYGNVGSGKTMLMDMFYSATEGIVKHRRRYHFHEAMLRINEHMHKTWKKQMEEKPLQSGISSWIMNLPFDTKAKEWLAAEERYKKEVQMKHILPDVADKFFLDREGEEKGANILCFDEIQTVDVFAIVALSGILSRLLSSGTIIVATSNRAPKDLNEANMVPEFFQNLLSNLEEHCEKVLVGSEIDYRRFIAQRSENRVNYLWPIERETINKFEKKWQDATGRFGGKVISNTISVMFGRTLEVPESCEGVARFTFDYLCGRPLGAADYIAVAENYHTVFISDIPMMSMRIRDKARRFITLIDELYNHHSCLCCLASSSIDELFQGTEEGTLFDLESFQFETEAEGSKLRRDVLAEGNVGSGGTPVGITSILSGQEELFTFQRAVSRLIEMQTQLYLDGVSNVHPYFQTQHKKFQKNRDNLLSESSSV >RHN56429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30803463:30807002:1 gene:gene31829 transcript:rna31829 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLSFFPFFLLQRTSMVGHVLKILIVQQIYASLPCKGCVFTVNVHVLGVSFAHDYVFSAKTKRANKCHARIFHELPFSYCVDN >RHN60126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21299337:21301272:1 gene:gene22358 transcript:rna22358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MMMRNYNIATLHALLVLFSIVGFNSATKNGDTQCKERERHSLVTLKQGLQDDYGMLSTWKEDPNADCCKWKGVQCNNQTGYVEKLDLHGSETRCLSGEINPSITELQHLKYLDLRYLNTSGQIPKFIGSISKLQYLDLSFGGYDGKIPIQLGNLSQLRHLDLSRNDLNGEIPFQLGNLSLLRSLVLSYNSDLRINSQSQGNVEWLSKLSSLRKIDLSTIQNLNDSSHHTLQFIMKLPSLKELYLRSCGLSDANILPLFDSHLNFSTSSLTVLALSSNQLMSSSIIFNWVLNYSSNLQHLYLSRNLLRGPIPDDFGNIMHSLVSLHISSNSLEGEIPVSIGNICTLRTFQAYENRLSGDLDLITSSNHSQCIGNVSLLQELWLSNNEISGMLPDFSNLSSLRLLSLVDNKLIGEIPTSIGSLTELKSLYLSRNSFEGVVSESHFTNLSKLKRLWLSDNSLTMEQ >RHN71057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56143822:56144743:1 gene:gene19700 transcript:rna19700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-transporting ATPase MYNRNCITKVFFDKAAVITEKDTVSNRWSVCTVTQVEEFKSFVKILPVSASTIALAISFAQVSTFFLSQASIMNRKLGNKFEIPTGSVPVFAAINGLILVPLYERLIIPFLRKFTGHHRGITSLQRMGVGLFISIIAMASAALVEKRRRDHYPQPNSMSVFWLLPQFFLIGTAEVFTYVGQLEFFYDEATDGTKSISSAMFLSLIGIGSWLSTALVVIIVVATGGQGKGWLRNNLNESKLDWFFWILTILNAVNFLVYLMVAIYHNGKESSVRDENMVELSNVHHT >RHN70423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51361833:51366704:-1 gene:gene19002 transcript:rna19002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MSASQKKRSRYQQVGMGKYKVPILLLCVFFNLCTILELKVDARTYSPLEREIEAKLKLLNKPAVKSIRSEDGDIIDCVSIYNQPSLDHPALKNHSIRMVPDFLLESQSSSTGVTSNSSSDVFQTWTKSGSCPEETVPIRRIRKEDLLRAVSLDRFGQKPLELFVNSTYNTNLNFHNLDGFVNLKNRADALLMAYAFNFIGAQANINVWNPKVEKPEDFTTAQMWLKATNGDNLESVEAGWTVNPKLYGDHKTRFFVYWTKDTYKSTGCFDLTCKGFVQTNKDVALGAILAPISIPFGQQYEINVGIFQDNNQNWWLKIKNNIPVGYWPAELFGNLKHSATTVQWGGQVFSYAVKTKPPHTGTQMGSGEEAYNKYKHACYMGAVRIKDYSQALKYPQFVTTHAGEPECYSALNIAPYGKDPVFFFGGAGRQPRYCP >RHN77677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8563079:8567984:-1 gene:gene1156 transcript:rna1156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA pseudouridine(55) synthase MESSEQQQKELEEVIKSLPSDVVNDLLSLGLCVRCIFRVFRVEASSVYAFTSLSPTYGDNNKLCTLCLGILQFRFHDDNNNTNVPLLISDMVKRQGYHSHHDSFSLEIIIPSIILNNDNTLRQYIKIKYGFKDNTTFISAKDAFNFSLLPPLQTLLGGRCNSNQQDASFHIRLTYTASKDDNNNNNKRRKTDEHNTTFTTSLQDQSSHCCKFLLETANQPCHFTYSCYRTPLYFGGRYLKYSRNVSQSRWIIDDERMGEASVEEIIGENILQACQADSFKFHAAGREDIDVRMLGPGRPFLVEVKNARQVPSELSVKDIEKKINTTENKLVRVKNMKLVGSQGWDLMREGEAEKQKQYAALVWISRPLKDEDLQHVTSLKDLKVMQKTPIRVLHRRSPLEREKIIHWMKLETIAGSSQYFLLHLCTQAGTYIKEFVHGDLGRTHPSIGSMLGCRAEILQLDVTDIKMECFLT >RHN82438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53816172:53818189:1 gene:gene6605 transcript:rna6605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MVWFGKISRQYQMTLDFLLHLLPHTFVLLWAVAPTLYYVHAQECDLSKGEWVVDDPYYPLYDASRDCPFIVQGFNCLRNGRPDQDYLKYRWKPFACDLPRFDGVKFLETYRGKKIMFVGDSISDNMWQSLACLLHIAVPESNYTFTRLTKHLSIFRFLAYEVSIVWVKDGYLVDTVRDREKGRIIKLDSVSSRYKWNGDVLIFNTYHWWFHTGETPIHFQVGNEIMKDMDNTEAYKTGLTTWSNWIDSNIDPSKTTVIFQGIAAAHSG >RHN80894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41629575:41631915:-1 gene:gene4872 transcript:rna4872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MNLPSSKPILSESPPNIFPFVKGECCNCGIKDRWLLHNVVVRGVDRRICTSCVLRLHPSSFCPCCFEFYENPLSTTSSAHRFVSCIKCSSLSHIHCLSSPPPPSYLCPPCSQPNFTFFPVPESPIDEKLSKIFLCACKVASSSMKKHFHLSRIRSERAVREAAMARKKTKEAIEHVFALQKLQGSIGLTNGNNVVVKKEELNGHSQSPNGPLISRVGANGIGSPVIGNKIGGGNGSDGRIGNNGSGKFGPSFKAF >RHN74101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27630349:27634609:-1 gene:gene10077 transcript:rna10077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDGILKFVYAMFLSLILFLVVIDVHACNVDHDCPQWYCFRPDVMKCIFETCYCGSGNYSKLLL >RHN66023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7726908:7729010:1 gene:gene13933 transcript:rna13933 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDPLHFLHIVRDIYGLSISNLCHYLQHTLSATVIQPLLSKHNILFEAATQLIFS >RHN71019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55833689:55838853:1 gene:gene19660 transcript:rna19660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-phosphofructokinase MVAEGFCLNQNGEELEAPPFILGLQPSALVDNVAHVDWSLLDRIPGEPGGSIPVAIEELENILKEVKSKSNSADSSVMKTLAGGSVANTIRGLSSGFGISSGIIGACGDDEQGQLFVNNMSSNGVDLSRLRKKKGHTAQCVCLVDELGNRTMRPCLSNAVKVQAQELMTEDFKGSKWLVLRYAILNLEVIQAAIALAKQEGLLVSLDLASFEMVRNFKQPLLNLLESGNVDLCFANEDEATELLRGEQNADPIAAVEFLAKYCQWAVVTLGSNGCIARHGKEMIRVSAIGESKATDATGAGDLFASGFLYGVVKGLSLEECCKVGTCSGGSVIRCLGGEVTSENWQWMYKQMQVKDLPTPDGICK >RHN59702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12672365:12672553:-1 gene:gene21796 transcript:rna21796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein Z MTIAFQLAVFALIVTSSILLIGVPVVFASPDGWSSNKNVVFSGTSLWIGLVFLVGILNSLIS >RHN60654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29134040:29140117:-1 gene:gene23003 transcript:rna23003 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPLDFELQDDLLVPLPINKKRKKVIGLDDLLNEHYKEQEKLAEKQKKQAKKKAKANEKKKYDDEDRKEAHLNRLVEKCHNQLKAFGEENEIPVWGVEVFGEQKPFPRLDFPDLGSCNFIQSFLNNRLNSVVELAADKGDSFLEGLLVNGWLSKLAFLSGHVEKPVALWAFNSMLYSSKEELQNSSSDFWCEILSSGNEADQFSVKVDWFPEYKDLRSALDTYGFLFKFSSSAAHESSDSNNGGPPQNIRAWIRFVTYCCRIKSKKAILSTIEAEEIVEIIICMFLDRRFQGLLVLLYDCLQAVVDYFTDQEWCSSCENVAKFIACRVSEDLNCIRTVECVSEDSSRCRQLRSAIAYQTMLFCFDGANNGEEILKSLDAINLKHKSCDFFKLYIHLVLAKNWILSNNSVEDNEVIHEMFCSFLRNCSTFISPTDLRSYASEVRHKASYLLNFSV >RHN68259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34046738:34047872:-1 gene:gene16590 transcript:rna16590 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVGKEFGVRILHEIESKCTSFFVFFFSCSTTQASPFLHLSGHPFS >RHN42671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40186291:40186909:1 gene:gene49148 transcript:rna49148 gene_biotype:protein_coding transcript_biotype:protein_coding MARFGGFLIIFLVLFSSLCLCLEGRKLVMGAEKQWKKMNMMKQSSRDGLFRSALPKGTVPSSSPTKKGHAVVVDEKLIERHLISMDRVLIVSVPSPGIGH >RHN71998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4267372:4268159:1 gene:gene7665 transcript:rna7665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLQELPTSLGVLEIEDCSSAISFLGDCLPASLYFLSIKNCRNLDFPKQNHPHKSLRYLSIDRSCGSLLTLQLDTLPNLYHLVISKCENLECLSASKILQNIVDIDISDCPKFVSFKREGLSAPNLTSLYVFRCVNLKSLPCHANTLLPKLEEVHIYGCPEMETFPEGGMPLA >RHN59330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9634631:9639649:1 gene:gene21342 transcript:rna21342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanylate cyclase activating protein MAKRDTSESNSQSEERTSSESEAEETVRNVSSSTMMSEYEKQRLERIAENKARLKAMGLPQMVSSLKTSTPIKKNMKKKKGKEKVEDDDDDEYIPQNEEEQERDESDSSSEQHEHDSDFENASGSRKRKVKNKSLKVKSRVTGKKHGGTSENVDEEEEALMQAIALSLQDSAEASFCSNKTIGNISKAEKKGSIQIQEDKGRKKNKKSFASRLQMTEDELIVHFFQLDEAGKGTVTIRDLEKAAITHDFSWTDKELVDMIRCFDSDGDGRLSLDDFRKIVVRCNLIKGPENS >RHN61168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33603526:33610943:-1 gene:gene23604 transcript:rna23604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-beta-hydroxysteroid-4-alpha-carboxylate 3-dehydrogenase (decarboxylating) MAVNADRFQDSNPKTCVVLGGRGFIGKSLVLQLLKLGNWIVRIADSTHSLNLHHSESLLAEALSSSRASYFHLDLTDKHRIAKVLEGSSVVFYFDVDSSNNGDHFCSLYKLIVQGAKNVIIACRESKVKRLIYNSSADVVFDRDKPLAYPWKVDNMLIDLKAQAEALILNANDIDGVLTCSLRSSNVFGPGDSELVPFFLKLARYGFTKFIIGTGDNLTDFTFSENVAHAHICAEEALNFQTVSVAGKAFFITNLEPMKFWKFLSLLLEGLGYRRPFIKLPANLVQYVLSVLKWLYEKSGPGYFNYPLLVHFIQLALHTRTFNCSAAQKYIAYAPIVSLEEGVTLTIESFSHLAKDSSFSRCCDRSKADKLLGSGKVADILLWRNEKASFTCFLGLVFLFYWFFLSGSTFISSAARLLLFATLLLCGHGFLPSKLFGFSIQRVPGSNFKISDTAVKDSVTITLHLWNKGFQNIKGLAQGDDWSIFFKVAGFLYLLKLFLSKLLTTLIGVGLVFAFMVFFVYEQYESEIDGLVDILITISKEFMVYLMRNLPVSVSRLLHYGDNFQHYQGPECGKDLR >RHN51243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15316459:15317866:1 gene:gene35650 transcript:rna35650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIPPRANVYPMIIFLFIFFVTMFVKVSHSHCVIDAHCPRNSADFIFHQGV >RHN56408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30669098:30673355:1 gene:gene31804 transcript:rna31804 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLKANAPMKSAFESLKIPSQAEGPGLPLDAPSMLHLSQCIMGGCHRTSLMIGALPKFILTEKALRITKSIMVEFALRLEQLPDRDVPAIMRLIADLTCKFFSVNLLLFLQYQITFKQ >RHN45468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21207350:21211031:-1 gene:gene39813 transcript:rna39813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative origin recognition complex, subunit 6 MDISEIAKKLKLSDSKLLIRKAAELRRLCDVHFDSSIIGVGEVAKAIICMEIAATKLGVLFDRSSAVKLSGMSDRGYIRSYNSLHNTIGVKLKLDVRELAIQFGCVRIIPFVRDGLKLYKERFLSSLPAARRASADFTRPVFMAVAFYLCAKKHKLKVDKIKLIELCGTSESEFSSVSTTMKDLCHDVFGVAKEKKDPKEVKTNRDLLDVLPSKRKAEDGGYLSDDGAEVSSYKKRKQMETKDYEKWKSSVLTSNKQDKKEVPSKKPKQTRLNFVKEAPETQKLEAM >RHN73814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20183299:20184763:-1 gene:gene9684 transcript:rna9684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MALQESITNQTKVSLDMARHLLLKQSDKNVVFSPLSLQIVLSLIATGSEGPTKQQLVDFLRFKSTNHLNSFASYLHSVLLKDVAHGRLSFVDGVWVEQTLSLQPSFKQIVRDNYKATPASLDFLTKVVEVTKKVNLWSEKETIGLIKELLPRGPVDRSASVIFANALYFIGAWNEKFDLSKTENCDFHLLNGNSVKVPFMVSKKMQFIEAYDGSKVLRLPYKKGQDTRQFSMYIFLPNAKDGLPALVEKMTSKYELLHEKLSLYDQLKQVKVGDFKIPRFNVSFGLETSDTLKGLGVILPFFPGGLTKMVDSIAGQSLFVSHIFHKSFIRVNEEGTEVAAASAARLSKGCSFSPPLNFEVNHPFLFLIREDLTGTILFVGQVLNPLDE >RHN72860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11295836:11311140:-1 gene:gene8622 transcript:rna8622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SIT4 phosphatase-associated protein family MFWRMAGLSTASPVETILDRDNFTMEELLDEDEIIQECKALNSRLINFLSGKAQVEQLVRYIVEEAPEDAEKKRTFKFPFIACEIFTCEVDSILKTLVEDEELMSLLFSFLDPNNSHGNLLAGYFSKVVVCLLLRKTGPFMQYVQAHQEIVKKLVELIGITSIMEVLIRLIGADEHMYANHVDAMQWIEETDVLEMIVDKFSSSDSPEVHTNTAETLCAITRFAPAGLSAKICSPSFIGRLFCHALEDSRPKSVLVNSLSICISLLDPSRISFGAYHSYNRQMTNGSAVTANPETVEGMLESLGDLLKLLDVSSAENLLPTTYGKLQPPLGKHRLKIVEFISVLLTVGSEAAEKKLIDFGAVQRIINLFFEYPYNNFLHHHVETIIISCLESKSSSLREHILRDCDFVGKIIQAEKNFTLEAGTDKPTSPAEGKSPPRIGSIGHLTRISNKLIQLGNNNSVIQEHLQGISEWTDWYMSVLSNRNAVENVSQWACGRPTALHDRNRDSDEDDFQDRDYDVAALANNLSQAFRYGIYNNDDDMEEVHGSLERDDEDVYFDDESAEVVISSLRLGDDHESGSSLFTNSNWFAFGEDKDREANEQSTGSLASPSPNAEEDVKNADEDYNMTANEDEDLADTATSSPEAEAEPKLEPVGTEKPVEWVEWRESLDASDPSEVLPNGELESESGNNDLDAAESSSPSTNVTVTKDEHTDAALLASLDENLSIGSSVPTQTESENPSSSASSSVDEKLAEVGGDSNKDTADDNKGIEQMSS >RHN53706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3490181:3491294:1 gene:gene28642 transcript:rna28642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MGKDLSDDQISSMKEAFTLFDTDNDGNIAPSELGILMRSLGGNPTQAQLKSIVAEENLTSPFDFSRFLDLMSKHMKPEPFDRQLRDAFKVLDKDATGFVSVNELRHILTSIGEKLEPAEFDEWIREVDVGSDGKIRYEDFIARMVAK >RHN75181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39999406:39999780:1 gene:gene11349 transcript:rna11349 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLFDYPSHSHIHLDGCIDSFTINNFDFNSKIESTMSVGKTKKTKRRTYKLMLETIPEEREICVDNNDNDIHPEELEKTYTHLEIDLQVLRKYFDMGLCVLCFSLGYMVSTKFHPRPKFSSFL >RHN57353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38324451:38328113:1 gene:gene32881 transcript:rna32881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative immunoglobulin E-set MAVHSTSKLNLTLCLSSILLLLSFSHAQAESHSLKYCEKGANYAVQISNVEILPNPVVRGEPFTFKIKAYTGEPILSGDLIYEISFAGIEGQPAIFHHALSEETPLPVAPGHFLLTHTELLPPVTPPGTYNVKLTFKDQNDKQLTCVVFPFTIGAKSSVSAI >RHN46399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31346626:31348113:1 gene:gene40881 transcript:rna40881 gene_biotype:protein_coding transcript_biotype:protein_coding MNYWCHSVSESLNFDDLSQQSISVSIVPERLKKSKEDAYVPRVVSIGPRFKGSREDLLLMEDIKMRCMKHLFLRTREIKSVDISILLLDCSHHINEMEFSIRGSYVADINQINQTELAKIMLVDSLFLLELLISKGLYDELPCHLNCPSPALEVLRDEDVLSDLTLLENQIPMFNPEWENKINNLVPSVLGYSHDSPVQTTKGINLLDIVHLFVNGKGESTTRVEKEEDHVVLDIIDTTQSRTQLKLNRCALRLLTAGVAIKPNLPKFGGSIFFFIGNILCVNGKHLDLDHISEEVKLEGMDFKFKFEKGELEIEQLHITKTTKAKWCNLIAWEHLQTKARGGSGGCKFTLAALIFNGLICSEDDVQLLKDKKIVVDYVKMSNQELMEFFRAIAFGVDHEVVDSSGYIQMVDDINNYFDTFFLKRIWKIVSSSFTYRHHGWLFRFMNRNYNFVATVLSILTVVQTVYAILAYNFPK >RHN53905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5221216:5225522:1 gene:gene28870 transcript:rna28870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Protein-PII] uridylyltransferase MDTVFHSRVDREIETLTERLHPARVCIDNNTCKDCTVVKVDSANKYGILLEMVQVLTDLDLIISKSYISSDGGWFMDVFHVTDQAGKKLTDRNLMHQIEKELCATRAKEDIDDEELQGCAEYSQSKYSKQIVSTENTALEMSGMDRPGLLSEISAVLVNMSCNVTSATAWTHNGRVACILYVEEASKPGPIRDPRRLAQVKEQLESVVVAHCEKGERNNVRLRNFAAGRTHTERRLHQLMYADRDYEGCRACHGDSSGDHKKGCDGTHVSISRCKDRGYWVVNLVCRDRPKLFFDTVCVLRDMQYVVFHAAISSKKSIADQEYYIRHKWNGLALRTQSEREKLILCIIAAIERRVSHGLRVDICTENKTGLLSKVTRVIRENGLSIPRVEIGMRGDDVVGTFYVRDPSGQEVKPNIVELLRQECGGSVDVVTDHKAPRKLSRTSSSSSSSTNSENSSIEDTPRLSIGSKLWSQIGKISSNLSPIKS >RHN50955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12233914:12236313:-1 gene:gene35311 transcript:rna35311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbonic anhydrase MRMKIALDTASDFIDDWVKIGLSSKVKVLKEHECNDFKEQCKFCEMET >RHN69483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43768648:43772511:1 gene:gene17961 transcript:rna17961 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAMSISTTSFLFLSPPSLPPLSRTPQPSFSSSFKLKPLILQTSPTTRRNPFLTRADDGDVESAGPDDYEMDEDEMEEVDNEKDFDIEYEANGDVDIATAQSMNFVSTQGWDPDTLVDYRINEDEFHKISLLDCDFFIRKPPDPDNDVYDFREMYVTPPDTDVYSIPKVLAPMPQKYIRCAQSDFGCDNVTEPPVDARRDPLYKSEREIEKAFLTKHYKNRRLGDPEFVLDFEEIYVIDSKTKSITRAKVLVTAPGGRTRDRKSDLLVIADKGNSFKIIHMSEKDDPTTVIERREWAQSREEMERHLRKLRDFSTSNWF >RHN67317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25914954:25921793:-1 gene:gene15497 transcript:rna15497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MSFFFSFHFLAHTATSFLTFFLNVKPVFLHGFSGFLHLLLLVAFFMSWLREKLTFIESRDSCKERIKNTLFTRTVFCFLSISVFNFLLFSFDYFSWYRNDFSKEKLVTLLDLAFKTVSWGVVYVFLERKLLCSCETRFPMQLFFKPWCVFYLFVSCYCFTVEIVLYEKRVLFPIQCLVSDVFSVCVGLFICYLCFLMKNEDEIEDSSLHEPLLNGNNTKETRGFDTVTPYSNAGIFSILTFYWVGPLISVGKRKTLDLEDVPHLDRKDSLFGAFPYFKDKLEAYCGDDINKVTTFKLVKTLAFSARKEILLTAILAFVNTLASYVGPYLIDNFVQYLNGQRKLENEGLILVSAFFVAKVVECLTKRQWVFRLQTIGIRIQALLVTIIYDKTLTLSCQSKQGHTSGEIINFMTVDAERVGDFSYHLHDLWLVVFQVLVAMFVLYKNLGIASISGLVATIIVMLANVPLVSILEKFQNKLMASRDKRMKATSEILRNMRILKLQGWEMKFLSKITELRKSEQFWLKRFLHTIAVIIFVFWSAPAFVSVVTFGSCIVIGVPLESGKILSSLATFQILQEPIYNLPDTISMMSQCKVSLDRIASFLCNDEMRSDTVEKLPKESSHIAIEVVDGNFSWDLSSPNAVLKNINLKVFHGMKVAICGTVGSGKSTLLSCVLGEVPKISGILKVCGTKAYVAQSPWIQSSKIENNILFGKDMERQRYEKVLEACSLKKDLEILSFGDQTIIGERGINLSGGQKQRVQIARALYQDADIYLFDDPFSALDAHTGSHLFKECLLKLLSSKTVIYVTHQVEFLPAADLILVMKDGEITQCGKYNDLLNSGTDFMELIGAHREALSALDSSDGEGTVSHKISTSQQDLCVSLPLGVDKIEEKKEVQNGGTNDEFEPKGQLVQEEEREQGKVGFSVYWKYITTAYGGALVPLVLIAEIMFQLLQIGSNYWMASSTPISKDMEPPVGGTTLLVVYVCLAIGSSLCVLSRATLVVTAGYKTATLLFNKMHLCIFRAPMSFFDATPSGRILNRASTDQSEVDTSIPFQTALCACSIIHLVGIIMVMSQVAWQVFIVFIPMTAISIWYQKYYIPSGRELSRLVGVSKAPVIQHFAETISGTSTIRSFDQVSRFQQTNMNLMDGYSRPKFNIAGAMEWLSFRLDMLSSITFAFCLLFLISVPQGVINSGVAGLAVTYGLNLNIIQAWMIWELSNLETKIISVERILQYTSIPSEPPLVVKENRPHDSWPSYGTVDIHNLQVRYTPHMPLVLHGLTCTFVGGMKTGIVGRTGSGKSTLIQALFRIVEPTFGRIMIDNINISSIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYRDEQIWEALDKCQLGDEVRRKEGKLESAVSENGENWSMGQRQLVCLGRVLLKKNKVLVLDEATASVDTATDNLIQQTLRQHFTDCTVITIAHRKTSVIDSDMVLLLNEGLIEEYDSPTRLLENKLSSFSQLVAEYTTRSNSSFHPQK >RHN68237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33937960:33939929:1 gene:gene16560 transcript:rna16560 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDYKERKCLMWEIIPNSIANAMLGNKEQNSKSEFEGAAILFLPKGFWLWHLTFWLLLFLCSSSLSYCPFKLNWGCFLQ >RHN45681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24436643:24438684:-1 gene:gene40064 transcript:rna40064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKILKFVYVPILYLSILLVLTIYDQVYFNYNPPCVSDKDCPSPKSPKSNIRCRQGYCVNLYS >RHN44746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8066142:8077003:1 gene:gene38906 transcript:rna38906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MMINRGLFGWSPPHVQPLTPVSEVSEPPESPSPYVDPNGEISATQTVEEEEMEEEDEMEPPPAAVPFSKLFTCADRFDWFLMAVGSVAAAAHGTALVVYLHYFAKIIHVLAMDDRQVNSQERFDRFAELALTIVYIAAGVFAAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIGLINCWQIALITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASMAEQAISYVRTLYAFTNETVAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRLLVIHGKAHGGEIVTALFAVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSSSSVSHDGTSLDSVQGNIVFRNVYFSYLSRPEIPILSGFYLTVPSKKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLNLEWLRSQIGLVTQEPALLSLSIRDNIAYGRDVTMDQIEEAAKIAHAHTFISSLEKGYDTQVGRAGLTLTEEQKIKLSIARAVLLNPSILLLDEVTGGLDFEAERAVQEALDLLMLGRSTIIIARRLSLIKNADYIAVMEEGQLVEMGTHDELLNLNGLYAELLRCEEAAKLPKRMPARNFKETGTFQIEKVSSASHSFNEPPSPRMTKSPSLQRISNVSHSRPSDVIFNFQESPNIESPPPEKMLENGQALDADDKEPSIRRQDSFEMRLPELPKVDIQSVHRQISNGSDPESPISPLLISDPKNERSHSQTFSRPHSHSDDASVTMREDREPRKRKPPSLGKLIELSFAEWLYAVLGSIGAAAFGSFNPLLAYVIGLVVAAYYRIDDQHHLEQEVDKWCLIIGCMGIVTVIANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDDEENSADNLSMRLANDATFARAAFSNRLSIFIQDGAAVIVGLLIGAVLHWRLALVAFATLPVLCISAVAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLKKIFKQSFLHGMAIGFAFGFSQFLLFACNALLLWYTAICIKNGYVNPSTALREYMVFSFATFALVEPFGLAPYILKRRKSLISVFDIIDREPKIDPDDNTALKPPNVYGSIELKNIDFSYPSRPEVLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQVLLDGRDLKLYNLRWLRSHLGLIQQEPIIFSTTIRENIIYARHNASEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVIQEALDTLVMGNKTTVLIAHRAAMMRHVDNIVVLNGGRIVEEGSHDSLVAKNGLYVRLMQPHFGKAIRQHRLV >RHN70024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48097684:48099856:1 gene:gene18564 transcript:rna18564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MNKARFGFFFILLILLTFEMVVQTEGRKHCREKSRLFEELCFNSEDCANTCRYEGFHLGGKCWGLFRTCYCKKKCR >RHN60960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31846728:31847583:-1 gene:gene23365 transcript:rna23365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKGNSSSSTTHDDQNLVDDISLLALHDHDEEIFRLCDETYAEELQIQEALLFSALMSNTTIDVKYEIQEVDTKVDLKQKQKQAFVGEYSLSPSYSSSQLKQSYCDICMEAKPVEEMFQNRKCSHSFCEYCVGRYLAAKIHKRVYQW >RHN65661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4190859:4191860:-1 gene:gene13529 transcript:rna13529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MATVVGEALLSASVKLLLQKMVSSEFIDFFWSMKLDVALLEKLKITLLSLQAVLNDAEEKQITNPAVKEWLNMLQDAVFEAEDLFDEINTESLRCKVEAEYETQSAKVLKKLSSRFKRFNRKMNSKLQKLLERLEHLRNQNLGLKEGVSNSVWHGTPTSSVVGDESAIYGRDDDKKKLKEFLLAEDVSDCGRKIGVISIVGMGGLGKTTLAKILYNDHDVKQKFEVRGWAHISKDFDVVIVTKTILESVTSKRNDTDDLNILQVKLQQCLSNTKFLLVLDDIWYGNYVDCWNNLADIFSVGEIGSRIIITTRNERVAATMQTFLPIHKLEPLQ >RHN43704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47846889:47851961:-1 gene:gene50320 transcript:rna50320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(A)-specific ribonuclease MASSTWLISSFFKFSFPTRASQIHCQCNTNSNSSSRGIQRRWVEAFDQSLASPERFTVASYNILADRNASQHTDLYVNVPSRYINWNRRQKILSEELFEWNPDIICLQEVDMYVELSNILVKAGYAGSYKRRTGDTSDGCAMFWKADKFRLLDGESIQYKNIGLRDNVAQLLVFEMSGSDSRRLLVGNIHVLYNPNRGEVKLGQIRFLSSKAQSLSEKWGNAPVILAGDFNSTPESGIYKFLSTSELNIKLYDRKQLSGQKRCRPAQVLGEKKETVGPFSSLDGLLDFWTDEEVKTATGDSECHLAVHPLKLNSSYATVNGSASTRGLNGEPLATSYHSKFLGTVDYLWYSEGIVPTRVLDTVSISDLLREGGLPCKKVGSDHLALLSEFSFSVAHNKSTDITAAAASPRVDIE >RHN70875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54698331:54699462:-1 gene:gene19506 transcript:rna19506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase chromatin regulator PHD family MESVASNSNSTTPNNNNNNNRPRPYRFKHSQPISDRIVRALRHGLRLLHRSGSTFFIFGATGNVYTVTLSSTPSCTCPDRTTPCKHILFVMIRVLGVSQNDACVRRKNLRPCHLQRLLNMPTLQEAVAGFTLRQRFHQMFFEGGSKKENIEMEDGTTCPVCLEEMEKEERLVACGTCKNVIHEECLTRWKRSSGRRSASCVICRARWRDRNEQDKYVNLSAYISEEDMLAQPYGDICTS >RHN75706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44453041:44455390:1 gene:gene11944 transcript:rna11944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MENIQHSHVEVKGLKLHVAEIGTGEKVVVFLHGFPEIWYTWRYQMIAVANAGYRAIAFDFRGYGLSDHPAEPEKATIMDLVDDVKDLLDTLGISNAILIGKDFGAIPAYLVAAVHPEKVASVITLGVPFILPGPSAVQNHLLPKGFYITRWQEPGRAETDFGRFDVKSVIRNIYTLFSKSEVPVAGDDQEIMDLFNPSTPLPPWFSEEDLTAYASQYEKSGFRFALQVPYRSLTVESGLIDPKVNVPALLIMGEKDYCFNFPGMEDYIRGGVAKNFVPKLETIYIPEGSHFVHEQFPEQVNKLIIEFLDKQSI >RHN73750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19581850:19583128:-1 gene:gene9611 transcript:rna9611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MIMLRIYFQTWTCRYVVYVKEGVYDETVTVTKKMVNLTMYGDGGLKSIITGNKNFVDGVRTFQTASFVVLGDGFVGRDMGFRNTAGAIKEQAVAARVQADCSIFVNCNFEGYQDTLYAQTHRQFYRDCVISGTIDFIFGHASAVFQNCQLVLRKPLDNQKNIITANGRIDSKSNTAFVLQKCVIKGEDDLPSTTKNYIGRPWKEYSRTIIMESDIPALIQPEGWLPWEGDFALKTLYYGEYDNVGAGAKTDARVKWIGRKDIKRGEALTYTVEPFLDGSWINGTGVPAHLGLYN >RHN82070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51039394:51045595:-1 gene:gene6188 transcript:rna6188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA methyltransferase, nucleic acid-binding, alpha/beta knot methyltransferase MGKKKKQRLTTDAETEPESNPINGNIDDSHSNKKLKKIKKNKTNEEIPTVSIAVPASIIDNVPTLELATRLASQIARAAAIFRINEVVVFDNRSNTDKGYTALDNSDDESSSVFLVRILQYLETPQYLRKALFPMHNSLRFVGMLPPLDAPHHLRKHEWGPYREGVTIKEKHLNSGATLVDVGLFKHVVVDQILEPGRRVTVAMGANRNLDSDLPREVVSSSKPREEGAYWGYQVRYARNISSVFCECTYKGGYDCIIGTSEHGQIIKSSELEIPSFRHLLIAFGGLAGLEESVEEDDNFKGKNAKEVFNLYLNTCPHQGSRTIRTEEAILISLQYFQEPISRAMLG >RHN38815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2213070:2216840:1 gene:gene44783 transcript:rna44783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (guanine(46)-N(7))-methyltransferase MSYCCGGWRVLQIHRSHKKLVYGIDTALVMLPLSLSPFRPLSSSSSLPFSSNTHLSSSISQVTAEQDQHQQNSRRKSSQLVALEYAELNLSNNLDMGHVRIRQHVNPLSSSFSTPAQVPDWNQVFADPALPLMVDIGCGSGRFLMWLAKRTPKVRNYLGLEIRQRMVKRAELWVKDLALDNIHFLFANAPISFKQLVESYPGPLQLVSILCPDPHFKKKHHKRRVLQKPLVGAIVDNLSPGGQVFVQSDVVEVALDMKNQFDEVDALSHIDALNSDILCDSEGWLLSNPMGIRTEREIHAEFEGGKIYRRLYEKK >RHN47340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39009246:39009551:1 gene:gene41928 transcript:rna41928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MRRRRRISEAATPPAVDKINSLPDEILSQILSLIPVEEAAATSILSKRWTHLWKFTDCIDFTDIILNDTDSTYSFNDSMSSILLSREAAGSLFLNRLTLEI >RHN50342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6343681:6348021:-1 gene:gene34617 transcript:rna34617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proline dehydrogenase MATRVVPQKIIKNLRFKTTTKPLNSSHPSATAAVASLLEREQPSPPQPSHQQPSYLDLNDGERLFSAVPTSTLIRSSAVLHATAIGPVVDVGIWAMQSKLLQTGILKDAVMAVTKRTFYEHFCAGEDAITAGKSIRSVNEAGLRGMLVFGVEDAHENDGCDRNLKGFLHTVDVSKSLPPSSVSFVIVKITAICPMALLERISDLLRWQQKDPSFNLPWKQDSLPIFSESSPLYHTTKKPEPLTPQEESDFQLANQRLQQLCKKCVEANMPLLVDAEHTTVQPAIDYFTYSSAIMHNKDDNPIVFGTIQTYLKDAKERLFLATQAAEKIGIPMGFKLVRGAYMSTESTLAESFGSKSPIHDTIKDTHNCFNDCSSYLLEKFANGKGSVVLATHNIESGKLAAAKAYEIGIGKVNHKLEFAQLCGMSDALSFGLSNAGFRVSKYMPFGPVEMVMPYLLRRAEENRGLLAASGFDRQLIRRELGRRLKAAIF >RHN64612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60424727:60430447:-1 gene:gene27442 transcript:rna27442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IXb family MSTPRSVAVAVSGGSGAAKGSRRALQWAMENVVPQADRLILVHVIPKITSIPTPAGGYIPISEADAHAFAAYVQDVKQKSEEIFVSFKKLCESNTIETFLLEDDNPANALLSFISESGVQILVLGSDDSNFITRKLKGPGIPTTILRCAPDSCDVYVVDRDRIVSKLADSLSSHSHEEGPRYTVSNQINKSDNGAGVGGQMSGISASSTELKVLKNFRFLSISEHSYIGLQTSSRRSSFENSTISEEANPENYGDYVDTISLRSFDSIASAYHEPLVMQEVERLQLELQNTISMYKQVCEELVHAQSKALLLSSESLEEAKIINASLKREETLRKIAAEEKAKYLKVMKELEESKSMFAKESYERQMAELNVLRESIEKQRIVDTLLSNDRRYRKYTMDEIKIATNNLSGDLVIGEGGYGKVYKCNLDHTPVAVKVLHQDTINKKAEFLKEVEILSQLHHPNMVLLLGACPENGCLVYEYLENGSLEDYLLNRNEKPPLPWFFRFRIIFEMACGLSFLHNSKPEPIVHRDIKPGNVLLDRNYVSKISDVGLAKLISDVVPDNITEYRESVLAGTLHYMDPEYQRTGTVRPKSDVYAFGVIILQLITARHARGLITTIEDAITNGSFRDILDKSAGDWPLNETIELAEIGLKCTSLRCRDRPELDTEVLPLLKRLSDMANASVKIGRSSAHTPSQYYCPILQEIMDEPYIAADGFTYEHRAIKAWLSKHNVSPVTKHKLQHSELTPNHTLRSAIQEWKSEVTLLD >RHN71123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56624832:56639015:-1 gene:gene19772 transcript:rna19772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MDTTTPKSCPYTVTVRRNPPRRARATPLITPFPNEEMLSHQPSQIPSTTSEKNENLKNPSENENLKVFLRIRPSQVQPPNQAPRVRTKTAWPKTQTKNIIATNNSKKKSSSSSCISINDSESVTLIVPSDLQDAKRVKLETYGGFTHVFPSNSSQVEVYDKMVKPMVDEFIKGKSGMLAALGPSGSGKTHTVFGIPRDPGMVPQVLRHIFKETEASRSFYIAIFEIYTERGKAEKLLDLLPDGGEISMQQSTIKGQKEVLISNAEQAETLIAQAVIKRATAMTNTNSQSSRSQCIINIRDVPKRCKGVVNSKSNDAVLTIIDLAGAEREKRTGNQGTRLVESNFINNTLMVFGLCLRSLLEHQKNPKKQLQKHFQNSMLTRYLRDYLEGKKRMTLLLTAKSGEDDYLDTSHLLRQASPYMQIKFNEVEPSNMVPKKRLHQASSIIDNAKQSPSLAHLKRMRLVGEHTVQNDEKGVEECNTLKKGTSTVCKLDVSSSVSLKSECDNHAGNERSHIIMRNFARVLWSVLKQYNSQLKVAEREILSLKESIGHEKEKNLELDMQLNEFRAACTCYKGRNEKSDTEDCSPVDLDHLHNFDQESFQETFDAVSSSEPRIDKSEEDHIPGKKSEREVSVSSSESRHHSALEIDDVSKETFNEESSSHPRDDEHILGTTCTEYSEKSDREVLVSLSNLEHHNALEVDDVVKIPSETLHEESSSHPRLEQSDDEHILGTTCTKYSEKSDREVLVSLSNLWHHNALEVDAVSKIPSETIQTQLSSEPGIYLSNEEPMLVSPCKQLDSENLDREVSLSLSKPGHHNALEETFNAESSSEPRLDQLDAEHMICTACSELDYEKSDRKLSVSLSKPEHNAFVVDAIDRIPCETFLSDSSSQPTLEQLDEEYMPGSTCTVLDSKNSDREVSISSTKPGHDNSLEVDAVNRIPSETFPSQSSSSEEPMIGPSCTQLHAETSDSLVLVASASSSKPGDHKDLEVDAKSKMPRELLDSSLSTKNGILVPSSPKDVSSTKTRSDIGVNNSCKPPKPKRTLMPSSSMLSRNLSTFDFLDDSEKLKGNRGTRKLGARDDPKRSNGSISLLNLLQARKGNIRH >RHN81823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49170675:49172723:-1 gene:gene5914 transcript:rna5914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MTGFEDLAEGCISSILSRTTPVDAGRLSVVSKTFLSAADSDAVWNHFLPSDVNSIISQSPSLANAPSKKALYLTLSDRPIIIDDAKKSFQLDRKSGKKCYMLAARSLHIIWGDDDRYWIWTAMPDSRFPEVANLRLVWWLEIRGMINNLALSPNTQYAAYLVFKLIDGYGFETLPVDLSVGVEGGHSSTKIVCLDPNVERRQNSRHARFYGRADRVVGLPRPGVRSDGWLEIEMGEFNSGLENEEVQMSVIEIKAGETKGNFFLEGIEVRPKVDN >RHN64643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60689560:60691994:-1 gene:gene27477 transcript:rna27477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MRMSAANSAFNWKSNNEEPASSGEHDSITAYALWEQINAGSVYGSLEFPKLTFSKNVKLRVGNNKISLLSVAVGLLNVGVHFETWNAGVLGPVTLKGLNEGTRDLSQQKWSYKIGLKGETLKLPTVSGSSSVQWVQGPSLAKRQPLTWYKTAFRTPAGNDPLALDMSSMGKGQVWINGRNIGRHMPGYIARGKCGDFYYAGTYAETKCQTNCGQPSQKWQDFDCIGKQSCMVTVTPENFGGDPCPGIAKKFSVEALCS >RHN57691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41082824:41088776:-1 gene:gene33270 transcript:rna33270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MDYLHMSLCTADSASLPDGWSRCVQFSFRVVNQIKDEYNLTKVTQLQFNKLQRDQGFVKFIPHGVLFDPSRGYLLNDTLVVEVEVLCNVDEKDTAEHLWERLKKDREVKEHKNKETTVANLYAFIKVVRDEDLAKQIGKDIYFDLVDHDKVGIFYVQRQKSFNDLKEEVAVAFGIPAQFQRFWLWEKRQNHTCRPSRPLTNIEEAGPWSVGCYVGRLFVNCTGKPSEILTSLNNLAGFDPDEEIELYEEIKFEPNVMCEPVYQKLTFQESELENGDIICHQKASAIDIVKHILYPDVPSYLEYVHNTLVPLFPSNTESKDEESFEEQNENIMAKETNVDKNTEAKQLKVNIDRSC >RHN58010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43100325:43100913:-1 gene:gene33615 transcript:rna33615 gene_biotype:protein_coding transcript_biotype:protein_coding MFQAKSLKLVALTLLLVVSYFTFEAYGNALGDPKCDPPCQQLSPPISGYPSYGAPPPPPSGYSIYAPPPPHHHEKGQSKCPPAGGVQCCTPPAPYIYGPPTNPYDTYGPPSTYAPPNPYTYVPYGGGERSAYVVMQFLVPFFMLFSSFILF >RHN80548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38993935:39001445:-1 gene:gene4487 transcript:rna4487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 50S ribosomal protein L30e MRIVRKDFVRNGPGSVKMVPVDSDDLWYAYNLIAPGDSIMAVTIRKVLREAANGGRDAERVKLKLEIKVEDGVDYDKEGSVLRIRGKNILENEHVKIGAFHTLELELQRPFVLRKDVWDSYALEVLQQASDPAASADLAVVLMQEGLAHILLIGKSMTVTRSRIEASIPRKHGPAIAGYERALNKFFENVLQAFLRHIDFNVVRCAVIASPGFTKDQFHRHLFLEAERRQLRPIIENKSRIILTHTTSGYKHSLKEVLDAPNVMNMIKDTKAAQEVRVLKDFYDMLSNDSSRACYGTKHVEVAHERLAVQTLLIADSLFRNADIPTRKKFVNLVNSVKDSGGSVHVFSSMHVSGEQLEQISGIAAILRFPLPDLEDMEM >RHN55927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25603562:25608219:-1 gene:gene31221 transcript:rna31221 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRGSTLVHLLVIVFCLVAFGFAVAAERRRSVGTIHKTPGTNETYCVYSSDVATGYGVGAFLFLLSGESLLMGVTKCMCFGRPLTPGGNRAWSIIYFLSSWATFLVAESCLIAGAKKNAYHTKYRGIIYAQNFSCESLRKGIFITGAIFIVVTMVLNVYYYMYFTKATTTPLSQKTNRVSSTVGMTGYA >RHN51321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16155854:16160983:-1 gene:gene35745 transcript:rna35745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MVFRNLEEEKLKNTYLSTNMREDDKDHDFFSHKFPTTSQVVEELKELWSMALPLTAMNMLVFVRAVVSVLFLGRLGSLELAGGALSIGFTNITGYSVLVGLASGLEPVCSQAFGSKNWELLSLSLQRMVLILLMAIVPISLLWLNLEKIMLFMGQDGKITEMAAIYCFYSLPDLLTNTLLQPLRVFLRSQKVTKPMMYCSLIAVLFHVPLNYFLVMVMQFGVPGVAMASVLTNMNMVVLMAGYVGLFRKKEMMLKWPGCGGGGGGMMVVSEGLGELMKLAVPSCLMICLEWWWYEIVTVLAGYLENPTLAVAATGILIQTTSMMYTVPMALAACVSARVGNELGAGKPYKAKLAAMVALACAFVMGFINVTWTVILRYGWAGLFTNDEPVKALVASVMPIMGLCELGNCPQTTGCGILRGTARPVIGANINLGSFYFVGTPVAVGLAFWFKIGFSGLWFGLLSAQVACALSILYVVIIKTDWEAEALKAEKLTMVEMVMNKDKKKNEECKGLLENENGNKIDMC >RHN80047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34597343:34598020:-1 gene:gene3923 transcript:rna3923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MPYRMSSSLFHNPDMEMLQSPSEISFESFGINHDFLSFDMVDFSSSSQTPVTENEPKQLMLKSSSSEAKEVLQNNNKMNQKSSLIGVRKRPWGKYAAEIRDTTRGGKRVWLGTFDSAEDAALAYDQAAFSMRGNNAVLNFSVQRVKESLQEIQYDCREGSSPALALKERHCEQRKMLSKATKNNKAGKQDQSEGSSVLVLEDLGVEYLEQLLTMSDHQSTSTSYF >RHN53839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4623465:4626060:-1 gene:gene28791 transcript:rna28791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting 55 MDDIPGYVRYCFNTGKLLFLALLVSGGIVLQILACALYNNWWPMLSAITYVLLPMPLLFFAGSDGSSMFSESDNSWVNFGKFLTGASTLGGIAIPSILIHAGVIGWAAFALELSSFFVFVLAILCFLWMSDEDSYSML >RHN69390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43061194:43061621:1 gene:gene17851 transcript:rna17851 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLKSETKSMSSSSKNQLLGCVVELSFLSEVVVSVRVVLEVVGIRFDGFGLVF >RHN56710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33060542:33062658:-1 gene:gene32148 transcript:rna32148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MDSRFQFVLFVVTFATILSPTIAKLTPNYYDRICPKALPVIKSIVKQAIIREPRMGASLLRLHFHDCFVNGCDGSVLLDDTPTFIGEKTAFPNINSIRGFEVVDQIKAAVTKACKRDVVSCADILAIAARDSVAILGGKQYWYQVLLGRRDSRFASRDAANTNLPPPFFNFSQLITNFKSHGLNLKDLVVLSGGHTIGFSKCTNFRNRIYNDTNLDTNFAANLQKTCPKIGGDDNLAPFDSTPSRVDTKYYKALLNKQGLLHSDQELFKGDGSQSDRLVQLYSKNSYAFAYDFGVSMIKMGNLKPLTGKKGEIRCNCRKVNQN >RHN55063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14827334:14829190:-1 gene:gene30189 transcript:rna30189 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLQVSSSIFIQALKVSTQFKDTELPVRMKVGKLADIVMGIMTLGSNSQALGSEAQVDGNA >RHN64773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61683567:61696065:-1 gene:gene27630 transcript:rna27630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosylmethionine--8-amino-7-oxononanoate transaminase, Dethiobiotin synthase MYRFPTVILSRHLHRRKLSSTTSQPLELPLSHPIYTIWGSNTAVGKTLVSAGIAASSLLSSPSPIKFHYLKPLQTGFPSDSDSRFIFNKLRHLHNRNPYNSRISISLSNRVLNVPPAAWTNQVKEINGFVGEDGSDSSELICKTLYAWEEAVSPHLAAEREGFVVEDSAVLGTLQRCFRDVVESGVGKERSEVLCIVETAGGVASPGPSGSLQCDLYRPFRIPAILVGDGRLGGISGTISAYESLTLRGYDVVAIVFEDHGLLNEGPLLSYVRNKVPVLVLPPVPKDPSNDLMEWFEGSFNVFNNLKEIMLSAYFERIQKLHEMPTKARDIIWWPFTQHKLVPDGRVTVIDSRCGENFAVFKAQKTEVIAPLFDGCASWWTQGPDAILQAELAREMGYTAARFGHVMFPENVHEPALDSAELLLQGVGKGWASRVYFSDNGSTAIEIALKMAFRKFSVEHGLIPDCHEDATNERSTELMVLALQRSYHGDTLGAMEAQAPSAYTGFLQQPWYTGRGLFLNPPSVSMYNNKWNISIPEEFQQENQKLESITFVSRDEIFHRRRDNSKLAPVYSSYISKVLSGFRGSSRIAALIMEPVIQGSGGMHMVDPLFQRILVNECRSRKIPVIFDEVFTGFWRLGVETAVDLIHCVPDIACYGKLMTGGIIPLAATLATDAIFDSFIGDSKLKALLHGHSYSAHAMGCMAAVKSIQWFKDPLSNPNITSEERLLRELWDDKMVRKISSHSAVQRIVVLGTLFALELKAEGDNAGYGSLYARPLLQKLREDGVYMRPLGNVIYLLCGPCTSPEVCNQLLVKLYERLEEFDVCKK >RHN51239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15293385:15294208:1 gene:gene35646 transcript:rna35646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQREKYMDKTLKFMIFLLFLSIFLLITIFNSNQNNNPKNNNPWACISDKDCQKVHGVNIRCRKGFCTVI >RHN56436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30848672:30851941:1 gene:gene31838 transcript:rna31838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA hydrolase MEKTKEILQRKLSQQETEALSRLQSVPAIRAGDNSSFYEHFILTGIKVEQVQPGFISCSFIVPPRLTDSTGKMGNGAIATLVDEVGGALVHQEGLPMNVSVDMSISFLSTAHVNDELEITSRLLGRKGGYSGTIVLLKNKATGESIAEGRHSLFGRHNSKM >RHN67826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30539990:30540565:1 gene:gene16065 transcript:rna16065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MKVVNYPYAGATAIELNYFKNRIGVQELATNNSLNVQFEWFKNIKPSLCKSEEGFVITTCVLFL >RHN57433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39122845:39124244:1 gene:gene32978 transcript:rna32978 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMEMCDHCCMIRQTIAYLSDGVYFSCCTDCGKVLSESIPQSSKTNESDGTVKRKRKTTGVKKTQKERAIRERTILTQAYTS >RHN64301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58030163:58032338:1 gene:gene27104 transcript:rna27104 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTNARRTLASILTRALSSSSSSGIASLNRTRFAFALSSASRQTLPIPHSFPVRFKSSGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIIMEFPDNPKPSEDEMVNSYVKTLAQVLGSEEEAKKKIYSVSTSTYIGFGALVSEELSYKIKELPGVLWVLPDSYLDVPNKDYGGDLFVDGKVIPRPQYRYAERAPSRNRPRPRHDRRRETMQVERRDPNQRQNWNQVQGEHMQPSNPMNSQNSASGGESHEMFNRRNSG >RHN81008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42581296:42583394:-1 gene:gene4997 transcript:rna4997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerol-3-phosphate 1-O-acyltransferase MAKVFRAYFLKSLFIFWYRFLLRQLKNLIVFHRTISNTFANTTQSQIKYQKFSSLLNRSDLNDHTLIFDVENALLKSTSLFPYFMLVAFEAGGLFRAIVLVLLYPLIFLSGEKMGLNIMVMICFFGLKAKDFRIGRSVLPKFFLEDVGSEIFEVINQGGKKVGVSNLPRVMVESFLKEYLKIDFVAGRELKMVCGYYVGLMDETKNLHALKQVHEGKGSLDMIGITRFNNIHDDEIFSSCKEVYAVSQGDKRSWKKLATKKYPKSLIFHDGRLALTPTPLKSLAMLMWLPYGIILSVIRITLAFTLPFKISTPLLTFSGLRLTSSIPENAHKSNGHGHLYVCNHRTLLDPLYISFSLHKKLIAVTYSLSKMSEIIAPIKTVRLSRNRDIDAKMMKTLLQQGDVVVCPEGTTCREPYLLRFSSLFSEMCDEITPVAVDTHVSMFYGTTASGLKALDPVFFLADPFPAYTVELLTQVRPSQFPRVSENAEECRFEVANHIQAEIGNTLGFECTKLTRKDKYLMLAGNEGVVFNRRNSIPRNSQQ >RHN63230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49542046:49547768:-1 gene:gene25907 transcript:rna25907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MGVVKSWLLPTNHTHPNTKNAICSRSIPWSVICGFMLFGLGLISLLTGHVVSHLEWYSQRFVHRSFFSTLDGNDHAPIDIWESQYSKYYYGCKERGRHFYPAIRERMSNGYLLIAASGGLNQQRTGITDAVVVARILNATLVVPELDHNSFWKDDSDFANIFDVNWFINYLAKDVTIVKRVPDKVMRSMDKPPYTMRVPRKSDPEYYLDQVLPILLRRRVLQLTKFDYRLANDLDDELQKLRCRVNYHALRFTKPIRQLGQRIVMRMRKMANRYIAVHLRFEPDMLAFSGCYFGGGEKERQELGEIRKRWTTLPDLSPDGERKRGKCPLTPHEVGLMLRALGYTNDTYLYVASGEIYGGDETMQPLRDLFPNIYTKEMLAEEELKPFLSFSSRLAAVDYIVCDESNVFVANNNGNMARILAGQRRYMGHKRTIRPNAKKLSALFMARHEMDWDTFSRKVKACQRGFMGEPGEMKAGRGEFHEYPSTCVCEKPFIDELSEDGIRPPKLAFRNLTIGTDAEINRGNEESFELPKPNERTY >RHN63449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51379879:51383320:-1 gene:gene26148 transcript:rna26148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative drought induced 19 type, zinc-binding protein MDLDMMASIHSTKHFSTLQSAPLHSENYSVIRYTDVDDDYQSDFRCPFCDFEIQVPLCSDFEEEYCSSPKNVVCPVCEENLGNNAFTQFTHSSSRKWGWKTEKSSIWSGNSAMFGKKLAARGNKQESIPDPLLSPFICNVPIPNYNNNHPDENSSLSNKDIEIHNAKRSGTDGVEQDEQEQWLRATFVQKLMLSTIFEENYS >RHN75963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46587072:46588489:-1 gene:gene12227 transcript:rna12227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ion transport domain-containing protein MIRKQSLSYKNNLYVAPSSKVFGRGELVLTYSKIALRYFSKGFWLDFIAALPLPQVLIWIIIPTLRGSTMANTKNVLRFFIIFQYIPRLYLIFPLSSQIVKATGVVTETAWAGAAYNLMLYMLASHILGACWYLLSIERQEACWKSVCNMEKSNCQYGFFNCHSIKDAPRVAWFIASNVTNLCSPNAGFYPFGIYADAMTSKVTSSPVVNPVLQTNLYTNEINQ >RHN71804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2715371:2718270:-1 gene:gene7440 transcript:rna7440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MLPVLLTLSIIYMTNSGTSASVNTITLPQLIKENETISSNNEAFKLGFFSPVNTTNRYVGIWYINQSNIIWIANREKPLQDSSGVITISHDYTNLVVLNGQKHVIWSSNVSSNLASSNSNVTAQLQNDGNLALLENTTGNIIWESGKHPSNAFIANMILSSNQKTGERVKATSWKTPSAPAIGKFSATIERFNAPEIFVWNQTKPYWRSGPWNGQDFLGLASNWLPTSANLKGFIIRREDNGSLVEITYTLPNSSFFATIVLSSEGKLVYTAWINMIQVRKRVVQQNDCDVYGICGPNGSCDLKNSPICTCLIGFKPRNVGEWNRENWTSGCVRRATLQCERGKYNGSALDGEEDGFLKLETTKPPDFVEQSYPSLDACRIECLNNCSCVAYAYDNGIRCLTWSDKLIDIVRFTGGGIDLYIRQAYSEISEYMLKIQSLLVLNAGQTHQENQSASPIGDVKQVKIEDLPLFEFKIISSATNNFGSTNKIGQGGFGSVYKGELPDGLEVAVKRLSKASAQGLEEFMNEVIVISKLQHRNLVRLLGCCIEGDEKMLVYEYMPNNSLDFYLFDPVKKKVLDWKKRLTIIEGISRGLLYLHRDSRLRIIHRDLKPSNILLDGELNPKISDFGMARIFGGSENEGNTRRIVGT >RHN39870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11233662:11241269:1 gene:gene45949 transcript:rna45949 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNLIHLCHQTLILLSVWLLFTSSYNNNNLCSAKDEQSSNTTDDSFFTVSSFSYPQTTLRPYDFRYIRVDIPPWFSAVSIALNSDVDLDVSRIERVPKSSLPIICFRDGSPPLPDALNTSLKYSAVSGINGLDMEQCFPMEKNITIKLTNEQISPGAWYIGLFNGIGAARTQSRMIIRGTSYSFSANISVEACSNSMMRGEFCNKTVNPLSCTASDVYNSSKATVMKPTMENAMTCKSNFETFCVQEDVPNLYSVDITNVIEELTITAGNIRFNITPSSNGSGASDVNLLCFARHGAIPANSLYDYASDLYKAPLVIRSPLVGRWYISILSVNLTKMLGETQDHDVKVCYSLESQMLQCPLGKAGPNCTMSSHALQTVLRRGPIPFESYYLPIGEGSSSANFPLEPLLDNSSNFKETNDIWTYFTFDIPRGAAGRNIHVRLSSDTKISYEVYARFGGLPSVDNRDYYYANKTTKSNQSMFFMLYDSSDKNIDFYIIYAREGTWNFGLRHVNTSSDSLKQQTIMSISLEGCPKQCSSHGDCKYSFDATGLTSYSFCSCDRNHGGFDCSVEIVSHKGHILQSIFLIGSNAAAILPAFWALRQKAFAEWILFTASGISSGIYHACDVGTWCALNFGVLQFMDFWLSFMAVISTFVYLATIDEVYKRAIHTVVAIFTALLSITNATRSTNIILVIVIGAVGLLIGWLIEMSTKYRSLSFSFGFSPNFLQSLLSIKQWFYNLVKTLLRRFRWGFLLAGFAALIMAGVSWMLETSDTYWIWHSFWHATIYTSSFFFLCSKANIVVDAENQLPRSENYALTRQDSFSRE >RHN39095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4092922:4093609:1 gene:gene45089 transcript:rna45089 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKLLDLSQPIDVPLLDATVAAFCSTASEQQRTAAGKVLMELQNNPDMWLQVLHILQNTHNQNTKFFALKVLEAVIQYRWNTLLADQQNGIKNFISDVIVQISGDEALFQSGASNETICENFMVILKLLNEEVFDFSRGEMTQQKIKELKLSLNGEFQLIYNLWLCVMVFPTNRAYMCNTFYIACFLILDSP >RHN71189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57079966:57082794:-1 gene:gene19840 transcript:rna19840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase TKL-Pl-4 family MNFISRHVIFPTRENGVSTSEASWLRFEKKIASGPFSDLYKGTLCNQDLAIKVLKQESLDENIHREFSQEVYILSKIQHRNVVKFVGACTKPPNLCLVTEYLSGGSMYDLLHKQKTVLALPSLLKVAIDVSEGMKYLHQNDIIHRDLKSANLLIDKTGVVKVADFGVARVRNRSGIMTAETGTYRWMAPEVIEHKPYDHKADVFSFAIVLWELLTRKLPYEHLSPLQAAVGVVQKGLRPKIPRHCHPKLVELLQWCWQQDPSLRPSFSEILEFLLHISKMVCQAVPDEMMNVI >RHN46268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30267088:30273697:1 gene:gene40736 transcript:rna40736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PI31 proteasome regulator MATDKSVLAVIRAARPTFRNQNDKIAFVVHSSFLASGYILTATGPQALSDTALSNPSNDEVSVDHWNELNDEYAFVYANSEKEGLKKVLVKCLVMNGKLLVHALSEGFKEPLHLEINVADYAGEDGGSNFSQQFKNLDKLVKKIDADILSKLDGTAHASSSTKSSKTSDSTRPETSEPVPGFGEPAGPNDYHPGFIVPPVPAGSGSDLFPGPGAGMYPSRGDHDFGGSMLVGPNDPRWFSGGTGGGIGGGPGFIGGLPGAPPGARFDPIGPPGVPGFEPNRFARNPRRPGFDAHPDLQHFRRDTDSDYI >RHN59309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9397104:9400325:1 gene:gene21317 transcript:rna21317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-YABBY family MSSSSSTSFSPDQQQQQQLSPSDQLCYVHCNFCDTVLAVSVPCTSLFKTVTVRCGHCTNLLSVNMRALLLPAPNQLHLGHTYFNPQTLMEEIRNAPSTNMMMNQLPNPNDLMMNTMRGGHHEEIPKPPPANRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDHQPVKKANVRQDSEDVLMKDGFFTQANVGVSPY >RHN75810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45287443:45289278:1 gene:gene12063 transcript:rna12063 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEASDDEREPVPMLPSFSRVSSLEKCSSSVVKKRDFEFHDNNSLHLSVKKSKVSPYHDHDKDGDNISLSKKLRDKSFSSLKKELTLLEELFKECKRKQKVEEKRLQSIKRDTEECCKELQNKKNQVSVVRRIDEAREEVQEKIDDCINEFVVKKGQLFLLENLIGERKLELKLKEIELNQVIDNIDKDRERKEVELKALSQQIAEFTLECKAKQKELGAVNKLIGEQAEKLESGRKKALNIISEMKNSIAQVKEFESKQKRFDDRVKEIESKEKQCEERAQKLDSREKQLEGRLKEFESKEKELEGHMNELESEKKHFKNWVKEFDAKKKQVEGQAMELELKEMQHEGRVKKFESKEKEFECQMTDSVSKQEHFENRMKDLELKEKKFEDRVKELEFQKKHIESQMKELESNEMQHEGRVKKFESKETELEGRVQELESKRKHIVGMRKELKSTVRPLIGQVKEFYSKEKQLDSQLKELESKKKLFECQVKEFESKEKHHEVQVKERESKEREFEGQVKELESRKKHFKSQVEEFKSKEKQLEGRWSELESKENKFKAKVKELNLKEKQFEGLVKDPASRKKYIDEEKESGKCAYSSYLLTEFHCNYSS >RHN72670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9588701:9590422:1 gene:gene8416 transcript:rna8416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress-associated endoplasmic reticulum protein MTTSRRVADRKVARFERSITKKAKKGFKFPTGPVLIGCFVFIIVGSCLFQIIRLAQRSGNVA >RHN73560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17678875:17681701:1 gene:gene9385 transcript:rna9385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, leucine-rich repeat domain, L MHTSVMCRSQSEYKFIGNIVEEVTKKINRTPLHVADNPVGLDYPVLDVASLLGIGSDEGANMVGIYGTGGVGKSTLARAVYNNQLSDQFDGVCFLADIRESTIKHGLVQLQETLLSEILCEKDIRVGNVNRGISIIKRRLQSKKVLLVLDDIDKAKQIQVLAGGHDWFGSGSKIIITTRDKHLLAINGILSLYEVKQLNNKKSLELFNWYAFKNNNVDPCYGDISKRAVSYAGGLPLALEVIGSHLCGRSLCAWKDALDKYEEIPHEDIHETLKVSYNDLDEKDKGIFLDIACFFNSYEMSYVKEMLYLHGFKAENGIEVLTDKSLMKIDDGGCVRMHDLVQDMGREIVRQESTLEPGKRSRLWFHDDIIHVLEENTGTDTIEVIIINLCNDKEVRWSGKAFKKMKNLKILIIRSARFSKDPQKLPNSLRVLDWSGYPSQSLPSDFNPKNLMILSLHESCLISFKPIKAFESLSFLDFDGCKLLTELPSLSGLVNLWALCLDDCTNLITIHNSVGFLNKLVLLSTQRCTQLELLVPTINLPSLETLDMRGCSRLKSFPEVLGVMKNIRDVYLDQTSIDKLPFSIQKLVGLRRLFLRECLSLTQLPDSIRTLPKLEITMAYGCRGFQLFEDKEKVGSKMFPNAMLVYKEGSPELLDMSSLNICPDNAIEVFSTSTLGINVDFMSKGILEGRGNWYQHESDKSSLRFWFQNKFPRITLCCAVEPPVCKDNMLLDFKLSVLINGTEQFTSSCNYIFSAEKIILLCDLVCKVERSYLEHEWNQVDILYEFKYPMTRTASRNPSWSFIYAYEDNKVGVKFLSQFVEGEETCRRFTTYIWFSRVARFVCELRNG >RHN70174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49345725:49347290:-1 gene:gene18729 transcript:rna18729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC transporter A, ABCA MTNTFSQLIQQYKALLKKNILISCRSKTSILLQMLSPVIFIFLISAIDKAIEVQTSTTTQYKSTIHPSPKPSPPIPPCENKFFVKEPCYDFIWSGDTNPKFHTIVERIMNNNPGRPIPISKVKSFHDKALVDQWFLNNPMQCPGAIHFWEKYDGVISYGIQTNSSSVQKRGKYEDPNFSFQLPLQLAAEREIARFLIGDPSFKWNVFLKEFAHPAMSPPSAVGSIGPVFFLAIAMFNFVLQMSSLVAEKELKLRQAMTVMGLYDSAYWLSWLTWETVVTLLSSILVVLCGMIFQLRFFLKNSFAVLFFLFFLFEFNMTGLAFMLSAFIGKSSSATTVGFSIFIVGYVTQA >RHN65980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7236364:7240118:-1 gene:gene13889 transcript:rna13889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl-diphosphooligosaccharide--protein glycotransferase MVKSTAKDAQDLIRTLWSAYSATPTNLKIIDHYVVFAVFTALIQVVYMALVGTFPFNSFLSGVLSCVGTAVLAVCLRIQVNKENKEFKDIAPERAFADFVLCNVVLHLVIMNFLG >RHN64368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58544744:58545860:-1 gene:gene27180 transcript:rna27180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MILIYTWLMVMELLGRIFTIVTNHLYHGSKGWKFALVLQGDLITFTRTGAKYTINIHRDVKTTNILLDENWEAKASHFPVLDYRKMILCAFLENNVYSSAVVLIEDEGKPAAGGKVYDAYVSHNAATLDIKEEILGIELDDSSSSHSFSQIVNSKRI >RHN72454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7818110:7819701:-1 gene:gene8166 transcript:rna8166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MNSLIHDIIIPKLFISYRKSETNLLISDMTKIASSFLFFIFISSLKASTHIQPNALVLPVTRDYATSQYVTLIHQRTPIVPIKLTLDLSGQFLWINCDEGYVSSSYHPVHCNTKQCSLTGSKSCRNCFLSEPGCNMNTCNLFPNNIFTRTNQIGEVALDVVAIHSTDGSKLGKIVTINNFLFTCGRTNLLKGLASGVKGMAGFGKSNISLPSLFASAFNFKTKFAICLSSSKTSSGVLFFGDGPYVFLPGIDVSKFLMFTPLIENPDNSAGPIFHGRPAAEYFIGVKSIRIDEKQISLNTSLLSIGDEGEGGTKVSTLNPYTIMETSIYNDFVDAFAKELEDVPKVKPVKPFKLCFNLQNLGVTRVGPAVPTIDFVLQNKDVFWRMFGANSMVQVSYGVYCLAFVDGGVEVTTSIVIGGHQLEDNLLQFDLHNSRLGFSSSLLSRQTTCANFNFTSST >RHN71559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1034528:1035026:-1 gene:gene7172 transcript:rna7172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAQRRSSKAAATADKISGLPEDVLCHILSLFTTKEAVATSILSKRLSHLWRYLNNIDFTDIEVYSSESNSRFNDSVYSVLVSRDTAAATGSHFINSFSLDIEYGNPHFAYHLSYPNFVKWVNLVVQRRLKHLLLHLHVGLLGP >RHN58843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5386969:5387335:-1 gene:gene20797 transcript:rna20797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S8e/ribosomal biogenesis NSA2 MIFLFTLMYNWQKSEDVTDEVKNSNNVQRKLEQRQKDNRLDIPVIEEQLGGGRLLACISSRPGQCGTADGYILKGKELEFYMKKLQKKMSNDAA >RHN78136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12188336:12192626:1 gene:gene1657 transcript:rna1657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thebaine 6-O-demethylase MEPKTKEFESSLVFPSVKELSSVPERYVRKDIEYPTIVSNKDSLPQLPVIDLSKLLSEEVKGPELEKLDIACKEWGFFQLINHGHSMEMLEDVKIGARELFNLSMEEKSKLWQKPGDMEGFGKMVDVYEDEPLDWVDLFYIFTLPSHLRKPHLFPNLPLPFRENLEAYCIKNRELAMNIFVLIGKAIGINSNDIRESLGEGGQSIRVNYYPPCPKPENVLGLKAHTDGSALTILLQNNEVEGLQIKKDGTWISVKPLPNAFIVSIGDVLEIVTNGIYKSTTHRAIVNSEKERLSIAAFYGPEWIGNISPISSLVTPETPALFKTIGVADFYNGLLSPENHGKSYIHDVLMIQNEDIKN >RHN57281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37809044:37818123:1 gene:gene32804 transcript:rna32804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RZZ complex, subunit Zw10 protein MESLFNTLNIRDLLSAQDLSDQNSPLSAPDLRLLIDRVDSHSHQIRSQVQSYLASHHDDFANLFSLCNDAVSQTVKVSDDLDTVLRLVSERPADVEVREVVEEMKGKSEELKVKRELLGLVGVIVGLNERLESVKEELKSGKLKVAAEGLKELKVALRIGEEDEREPLVYGLLRNEWSQCFEEIQEVLVKFMEKAVRFDGDLNQIEVKYQLEVHNLSGVQLQMVLEAMEVVGILEYGLAKVADLMIKYVITPFINRGQPLSFLEESNQDSALLKIVPSPDSKLEYLDGELLYSGIVLFIKFIYRSICFQNSSWIRSFGRLTWPRISELIISSFLSKVVPTDASKLPDFQKIIKCTSDFETDLKELMFISPSDDKDNRLSNFAENVEVHFAFKKKTEILAKARDLLLECDFSIPQEYTRDGSIWKNDGTSILSSSHVVDLIFLSERCLVSKAAKQLMELIHQTLQDICLSSTRVAMEFYHAARDAILLYEVVVPVKLERQLGGINQVAVLMHNDCLYLSQEILGFAFEYRTDFPSSMKEHAVFADLAPRFQLLAEDILQRQVHLVIYNLKEAIDSADGFQNTHQMQEFESAKFSIDQVVFSLEKVHIIWEPLLLPLTYKKSMCTVLESVFSRIARDILLLDDIAAEETLQLQRLIHLMLENLSSLFESLVTGDPNLSEFPAESLEDLIPSLRKIRKLSELLDMPLKSITGSWENKELISCGFTISEVEDFIKAIFADSPLRKDCLRRIQNTSF >RHN72801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10818680:10820197:1 gene:gene8559 transcript:rna8559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MLLIFLLHGVMEVLLKLFGGTLNITTKCMVLLVTVLILRVLLFPGFGGNEWRNFVFNDNLTSPSMSSYEFEIRQFKFLVVPQLVWGLNNQKIAFARSCLTARMLNRTLLMPSFSASLFYKEIDQLQPILFEKVFQFEKFNALCSGFVQLGRYNNVLNRTRVLEMTKGSGRKWTLERDLSQLKEYSKGSYDDYEVIEIIGKNPFLWHDHWPLKNYGKVFECLLLIDEIRNEAERVVSRIREVGNTQPIELQKDRSSSQPLPYVAVHMRIEIDWMIHCKKLEQRLNTNQICSSKKEIVERVRNSIIGLKKTPIAVYLAVADKLLNNSSIMEDWGEGFLPFEKKKLGVEGIYSKYPYLIQSAIDYEVCLQADIFVGNSFSTFSSLIVLERTQKMIGMSVASMCEADVRWPSYAYNIQGESNGAMRWITNMSESSLQTISYGTNHIYDCKGHI >RHN44572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6306831:6307298:-1 gene:gene38707 transcript:rna38707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MADPKAITLKLSILLIVMFNARDTISAIFPKVVVTIKNDIAPNPTPLDLTVHCKSKDDDLGFHTIKFREIYIFSFRPNVIPDLNTLYWCSFTWKGSPYHHYLDIYDERWDDCIHCNWKINNTNGGCKVLKEGPNFDKCIHWKSIELIDANITSRI >RHN81618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47592319:47598039:1 gene:gene5689 transcript:rna5689 gene_biotype:protein_coding transcript_biotype:protein_coding MATISLANGLKTLFSVLGTIMLAIVLYTVITDGLPFRKELLTPWMAATLIDFYINIAILSAWVIYKEANWISSILWIILLIGLGSIATSAYIVVQFLKLSSQESSQDPMYYVLLRQPHKSGTEPKTKCSIVATLRILFGILGVVMLGTLVYTLVTDGSPFRTELLTPWMDATLVDFYINVVALAVWVAYKESSWIYAVFWVILLICFGSITSCMYVVLQLFQISSQDPAYLILVRHSDRAENKYKGLSAEAT >RHN69284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42324870:42325312:-1 gene:gene17730 transcript:rna17730 gene_biotype:protein_coding transcript_biotype:protein_coding MYKIQLACVTSNHARDLSKLLLITVSVSLHFHILSRHIIFFILEYNFNSLLSLRTEFWASAFNYHSEYKNFLSLNFTLWLKHLLREAEITEQGSFRLILTQKLKEAEIISRTSSSD >RHN64778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61749632:61751680:-1 gene:gene27635 transcript:rna27635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MFSIARLKNWMSRDKGESVNVEKLHVAVLAFPFGTHAGPLLNLARRIAVDAHKVTFSFLCTSRTNAALFSGSKDYDEFLPNIKHYDVHDGLPEGYVPSGHPLEPIFIFIKAMPDNYKSVMVKAVAETGKNITCLVTDAFYWFGADLAKEMHAKWVPLWTAGPHSLLTHVFTDLIREKIGSKEVDDTKTVDFLPGFPELHVSDFPEGVIGDIDGPFSTMLHKMGLELPRATAVAINSFSTVHPLIENELNSQFKLLLNVGPFILTTPQRMVSDEHGCLAWLNQCEKFSVVYISFGSRIVPPPHELNALAECLEECGCPFIWVFKGNPEETLPNGFTERTKTKGKFVAWAPQMEILKHSAVGMCLTHSGWNSVLDCIVGGVPMVSRPFFGDQRLNARMLESIWGIGVGVDNGVLTKESTMKALNLIMSTEEGKIMREKILKLKESALKAVERNGTSANNFDTLIKIVTS >RHN47787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42527041:42527820:1 gene:gene42428 transcript:rna42428 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQQYNFFPTDLFYPRPQPEQSTTSPTVLPLKTPNGEDLPQTQQKQPARSMIKTHFL >RHN82310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52749218:52749904:1 gene:gene6466 transcript:rna6466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MSSVRKGRGRQKIEMKKISNESNLQVTFSKHHNGLFKKASELCTLCGADVALVVFSPSGKVFSFGHPNLDTVIDRFLSLIPTQNDGTMQFIEAHRNANVRELNAQLTQINNTLDAEKKIGDELSNLHKETEAKFWWACVVDGMNRDQLEIFKKALEELKKLVIQHAATRTLPFFVGNTSSSNIYLHHQPNPQQAEMFPPQIFQNAMLQLQTHFFDGSMIPHHVFNNMV >RHN66628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15315729:15316305:-1 gene:gene14662 transcript:rna14662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoesterase MGTRKTHSTTSKLLFIFFFTIFTFSLHNSHAIEENPIKTIVILVMENRSFDHMLGWMKKLNPNINGVTGLESSQLSVSDPNSKRIFFKNEAQYVDPDPGHSFQAIREQIFGSEDTSVDPAPMNGFAQQAYSMDNTTKMSQEVMNGFEPDHVAVYKTLVSEFAVFDRYDKTIHIPSIKHRHFSD >RHN54578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10598835:10599232:1 gene:gene29643 transcript:rna29643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKPYIFMLPMSWYVYLHLFTLALMWFATNRNVTTAQGNQTDHFALLQFKQSISSDPYGILDSWNASTHFCKWPGIVCSPKHQRFTKLKLPG >RHN62688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45173498:45174692:-1 gene:gene25288 transcript:rna25288 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTVVLMLVATLLVSSTFAQSPSSSPTISPVATPAISPSADSPAASAPIPVKNAPSPSPSAINSPPSPPPASSDSPAVSPALTPSSISTPPSEGPSENGAALNRFTVAGSAAVVVFAAALIL >RHN61090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32883712:32883855:1 gene:gene23513 transcript:rna23513 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVAGLSACQEKIGTGYMYAFPTEFFNRIEALQYAWAPYYTIHKV >RHN65542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3093966:3100468:1 gene:gene13389 transcript:rna13389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MTKKLILISILRFLSIITLFTKSLAQSPNYVGDDCHNSTEKSLTTTYKSNLNKVLSLLSSDAIVSKGYNHTSIGDNTVDAVYGLYDCRGDVTGSFCQFCVSTAASDILQHCPNRASAVIWYNFCILRYSNHNFFGNLTTNPSWQMLGSKNITNPQELDKGEDNMQSLISEATLETNKLYGMREFTLSPTEKRYGLVQCSRDLSDKQCNQCLEAMLDKVPKCCGTKIGWQVVAPSCLIKYDDFMFYKLIFQTSPPLPNSALAGEQGRSSKSNILIKIIVVVLVVALILISCCIFIVWRRKHSNKDGLPSKTTPISQHGHIQGEDTYNADLPIIPLIWIRQSTNNFSEFCKLGEGGFGPVYKGNLVDGTEVAIKRLSITSGQGSEEFKNEVIFIAKLQHRNLVRLLGCCIEDNEKLLVYEYMPNSSLDFHLFDEEKRKLLDWKLRLNIINGIAKGLLYLHEDSRLRVIHRDLKASNVLLDQEMNPKISDFGLARAFEKDQCQENTRRVVGTYGYMAPEYAMEGLYSVKSDVFSFGVLLLEIICGRRNGGFYLAEHGQSLLVYSWNLWCEDKSLELLDPILKNTYTTNEVIKCIHIGLLCVQEDAVDRPTMSNVVVMLASDTMTLPNPNHPAFSVGRKVVEGESTSKASNDPSVNEVTVTNILPR >RHN39009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3519212:3520971:1 gene:gene44993 transcript:rna44993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MATSAIEKIEMDVPIKATPQQFYEVLCNKTHHISNVCPDIVKGIELHEGDWGTEGCIISWNYVFAGKTCISKQRIEDIDKENNKITFKVLGGDLLEDYKSFKFIMQIVPQREGSVVRWIVEYEKLNNNVPNPHSLFHLSVEVLKYVDAHLAPEDKK >RHN45202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12885603:12886468:1 gene:gene39431 transcript:rna39431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSSHHSIPNVDRISALPDSIICHILSFLPTQQSAATTILSKRWKPLWHSLLTLHFDDRNFTDFATFRHFVYSVMLTRQTIRSFHLKCGLSSRCDPHDINRFVPVAVEKGIESISLDFSFTDFHFQIRLDTTFSSVFNCKNLVVLKLKKLLLNVVPQFHFPRLKTLHFDSVYPMGDDNEGFNTLVERCPVLQELETIDVRFRVPTDCVGGEFKCFSNLVRANISNYNWNIPFSWICNAKFLRL >RHN49513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55303953:55307668:1 gene:gene44353 transcript:rna44353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate 1-epimerase MGHSAAVWDFRAATEMTKDQNGVSQVVLRTPQGASARVSLNGAQVTSWRNEQGEELLFTSSKTTPKAQKAARGGIPICFPQFGNCGSMELHGFARNRMWVIDENPPPLPANDSSGKSFVDLLLKSSEEDMKCWPHSFEFRLRVSLTTNGDITLISRVRNINGKPFSFSFAYHTYLLVSDISEIRIEGLETLDYLDNLSQKERFTEQGDAITFESEVDRVYLSSPNIIAVLDHERKQTYIIKKEGLPDVAVWNPWEKKSKSMTDFGDEEYKQMLCVDAAVIETPVNLRPGEEWTGRLQLSIVPSSFCGDRLGLDRSVL >RHN61980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39726232:39729425:1 gene:gene24499 transcript:rna24499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MSEVKDPAIKLFGRTIPLLPLIIPANDSSFPSSSPPEFTSAIQHETEEPSRKELITAQDDEASQKTTEDLRALTTSSVVHENPPKTPSAETENSLLKSSTNVEQSETSVSEEKTLKKPDKIIPCPRCNSMDTKFCYFNNYNVNQPRHFCKKCQRYWTAGGTMRNVPVGAGRRKNKNLSTSHYRHLIIPEGAKLNSPNGIHSLGNGAAVLTFDSNPPLCNTMASVLNIAERTQNCVSNGFHHASSYGGENDHSIGVSVTASNSSERKSHTSTNGLVDKGVEGFPPQLQHIPSPFLPYTWNSAMPPPTFCPPNYPLAFYTPVTPPAYWGCMPPPWNIPCISPGSASVNESDSAHSSVPTLGKHSRDGNIITSVNSPKEKPETEHNSTESSVLIPKTLRFDDPSEAAKSSLWSKLGINNDKANSLNGGGMFNGFQSKGKDMNHSVGTSPLMYANPAALSRSRTFHEET >RHN52301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33656484:33658568:1 gene:gene36961 transcript:rna36961 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFNFKQSLPLQSSSSSLTITTTTVTKHSALFSVIITDSSSQLTTRVSSFSSLLYSLFIFVEIMCFMKIGTIIKLAEPSNENHERELLRLLLAS >RHN50814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10748898:10749881:1 gene:gene35149 transcript:rna35149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MENEQWCSICSKMVSPMNEYENKCPFCDTQFGDAMENLRDHNNDAIDLRSAWVFSLYAPIFLGLMNAFSPSLATISSQESTSRNDEEFEQETGNYNELVIGRRRRTSTYMMHLFRGLHVRMVSENENIEQNRNIDNNNNNNNNNNNNNSIFVIDPFNEGALIVRGPNLNHTNRSNENNINTTIGSLNDFVDGSGFDLLLQHLAQISPNGYASVNPPTKKEAIEAMESVINDEKLQCTICLEDVEIGDIAKEMPCKHKFHGDCIVSWLKLHSSCPVCRFQMPCEESNVLANLENGNRENQNNEVVRGRNGRRNWFPVLQSFNNFLPFP >RHN54379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9124794:9125136:1 gene:gene29399 transcript:rna29399 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKSKASPLFGLIESPFFPQSESSLYHGTLLKFPLAAGNTVSPPSSTSVLYISTVAMR >RHN76499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50786464:50790737:-1 gene:gene12838 transcript:rna12838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide deformylase MEAARRLVWRLRAFPMPVLSNGVVSLSSSSSCNNKIQLSSTKFSKFGSTLSSPSSETALLRKTVNKLPYIVKAGDPVIHEPAREVDHSEIKSDKIQNIIDDMILVMRKAPGVGVAAPQIGIPLRIIVLEDKEEFICYNTEEETKAQDRRPFDLLVILNPKLKNKSNRTAFFFEGCLSVDRFQALVERYLDVEVTGFDRYGEPIKINASGWQARILQHECDHLDGTLYVDKMVPRTFRSWKNVDLPLARGCPKLGPRA >RHN60774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30284172:30285839:-1 gene:gene23140 transcript:rna23140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MIKIQSLLSFSLAIIVSLLFSTTTTLSQLSPATAPIQPTLPAPTTPAAAPKPLVPSLPESPSDSTPDTAGTVDIVGILRKAKAFNVFIRLMKTTQLINQLNSQLLATKTGGLTILAPDDSAFSGLKAGFLNSLSDGQKLELLQFHVISDYVSSSNFDTLTNPVRTLAGDKPGKVELNVVSYGGSVNISTGEVNTTINGIVYTDKRLAIYKVGKVLLPMDFFSVAKAPGKAPSLAPEPSTDSAKAPKPDKDTSSDSSQVINPTEDKSSSVKIVYGKFVSLGLVLAFVMIMMQT >RHN43856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49030220:49035686:1 gene:gene50489 transcript:rna50489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MAFNRTSKGKPKSVRSRIVVLAAIVSAIAILFLLSSAVFTSGSQKSQYIKYSHKDRKYLYWGTRIDCPGKHCGSCEGLGHQESSLRCALEEAIYLRRTFVMPSRMCINPIHNKKGILHRSTNATSEDQWAASSCAMNSLYDAELMSETVPVIFDNSKEWYRVLSTSMKLGDRGVAHVAGVSRVELKENNQYSDLLLINRTASPLSWFMECKDRNNRSAIMLPYSFLPSMAAKKLRDAAEKIKAVLGDYDAIHVRRGDKIKTRKDRFGVARTLHPHLDRDTRPEFILCRIVKWVTPGRTLFIASNERTPGFFSPLSARYRLAYSSNYSHMLDPVIENNYQLFMIERLIMMGAKTLIRTFKEDETDLSLTDDPKKNTKKWQIPVYNADETC >RHN71573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1110172:1115335:1 gene:gene7186 transcript:rna7186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Lung seven transmembrane receptor MLFASSSLLLLSLLFSFLFTSFASIHIYDREPFRDVGNAFLLSGGSEGIASSISTASHTDSIHDGHSYIRFENITIRRRKSAARARGTVPMHIIIFEAADRDDIGGSVYGGQRAICCTSDLAKMGACKQGEVIRRPSATDTNWPVIIDLYFKGKSRIISLDSKEVSITKTGMYNLFFVACDPKLKDIVMSGKTIWKNPDGFLPGRMAPLKKFYVFMALAYVCLGIVWLLQYVRFWDDVLLLQHCISAVIVLGLFEMILWYFEYAHFNNTGIRPIILTTWVVTVGAIRKTIARLLILSVSMGYGVVRPTLGGLTSKVLLLGVTYFLASELLNITEYVGTINDVSGRARLILVLPDAFLDAFLILWIFTSLSKTLEQLQAKRSSVKLDIYRKFSNALLVTVTSSVVWIGYEVYFKATDPFNERWQSAWIITAFWDILAFALLCVICYLWAPSQSSQRYAYSEKVGEESDDEEAMSLTKGKQEGQGEISLVRQEKNGQTDASSDDEDDESEEDKRE >RHN58244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:229274:233171:1 gene:gene20133 transcript:rna20133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MSSNTKTNTLSSSSSSSSLSHEQKSNGVFGDVYAILKDVDILPNAFRFQLPHQAQDFLDKREVREFMSGALAGAMTKAILAPLETIRTRMVVGVGSRNIAGSFVQVVEQQGWQGLWAGNMINMIRIVPTQAIEFGTFEWTKRGMASLQEKWEQNESPKLQIGCINLSLSLSWISPTAVAGAAAGIASTLACHPLEVLKDRLTISPEIYPNLGAAIRNIYKDGGIGAFYAGLSPTLVGMLPYSTCYYFMYDTIKKSYCLTKNKKSLNRPEMLIIGALSGFTASTISFPLEVARKRLMVGALQGKCPPNMAAALSEVIREEGLKGLYRGWGASCLKVMPSSGITWMFYEAWKDILVVQKGISL >RHN72134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5234662:5235722:1 gene:gene7811 transcript:rna7811 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIGTMQLVLFFILFVCFCSCLEGRKLHIDSSKETKKIDPSTRDNLFLTSLPKGKVPYSAPSKRGNSVEVDEKLVARHLISTEPAEVRILLRSVPSPGAGH >RHN49925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2310048:2315124:-1 gene:gene34157 transcript:rna34157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MAGGQKTKRLSNSCILLIVIAGIERFAFKGVASNLVTYLTDVVDLSNSSAAKMVNSWVGFTSIMPLLVAPIADAYWAKYSTIMSSSFLYVMGLAALTTTALARSWHHKNRSMSSSFLSLSLYLISLGQGGYNPSLQAFGADQLGEDEELPCSNTDDTSSNKKALFFQWWYFGVCAGSLMGVTVMSYIQDTFGWVIGFAIPAISMLLSILIFSSGSSIYSYKEQDDDDLQDKKPFTKMFKSIKESALKCFHCEITLPNDKSENVELELQERPLCHENCESIKVINKDSKVSMCLLPNVKVMIKLLPIWTMLLMFAVIFQQPATFFTKQGMTMKRNIGSNFKIPPATLQSAITLSIILLMPLYNRIFIPFAQLITRQEKGINVMQRMGIGMVLSIIAMIIAALVEMKRLAIGRQMRSEGLLSEIVPISIFWLLPQYILLGISDIFTVVGMQEFFYGEVPKNMRTMGIALYTSVFGVGSFVSALMITLVEVYTSSKGTPSWFSDDMVEARLDNYYWLLAWLSSISLLLYTLLCKYYYNKSESDS >RHN45686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24449136:24460518:-1 gene:gene40069 transcript:rna40069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(A)-specific ribonuclease MASSSLFHFLLHHQKRSLCTKISSSKWKVKQVTISNFNDSLEEIKTNISNSDFIAISMEKTGSSSTTPWHRVQPFDTAETAYLKASQSAQRFQLLQFAVCPFSVTDSNNLVAHPYNFLLFPRDELKLGMPAYSFLCQTSHLATMARQGFDFNACIYEGISYLSRAQESVAKIRLGTSSPSLGVMKSSSPPTVADTVFIERIRSRIKHWRNTCKNSGTKTSKDDELINSIRNIISGSEQFKLRPCLNVDVCSDRQVQLILQMVVDFSDDLLPLVIPSKGGTTQAVRVVLTKSREDKEVLERELRSLEEEESKKIRGFREVIDLISASQKPVISHNCLNDCTLIHSKFIAPLPSEVDEFVSSLCKIFPKVIDVNYLLKKSGTMKKVTNIRNALSYMNTHFLALVEMEIPDQDTINKGKIDGLDALRLSYLFMKLCSVLKISPVVTESGNRQLAPQLEDFTNVFHSCSANIQESSCNEDVSVWTNNTRKANCEHLVFLWGFKFGMTAGMLKSVLRESHDIFLEEFDVKFVDKSCAFVVFWEPGLSKDFLNVMNDEQISGGLKELVSDGLRVTGYETYRTMCRLGLWEIDLAESLEKSLECSLNDTDIHSERKPEIPWCNDNVINLDDL >RHN46337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30877694:30879267:-1 gene:gene40818 transcript:rna40818 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNLSESVEQGDEVTLRVMVDKEINKVVYAEAGKDFVDALFSFLTLPLGTISRLVAKESDIEAVRFGSLSTLYQSVSDLDEHYLWSNTCKEMLLNPRNSMEAYCQQLKLNIDDTPAQYFTCKDWQSCRGFINGSRVTTYRNQKCICGRLLNKAASVKTDLTPVANGFVKETATFIIRDDLCVVDLLKLSLHSKTPLTDFIFKKEKILGNSNPTLRFRIGNGLPSDSNKGMKNMIVKVFLRKSKRKILFATADEDFADFLFSFLTFPMGGVLQMLEGFSSLSCIDILYKSMTELNAERCLTSQELKNKLTKPRIFANFELKNQILPIGTCPLTCTFDSGEPAKLVDPKSSLSGGYIKGPLTIMVTDDLVVTPMSSIDAVSYLERMKVPLNDVEEIFISIGVEEGLSILKASLTSTSALTNGLKQYIG >RHN77267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5544329:5545349:-1 gene:gene705 transcript:rna705 gene_biotype:protein_coding transcript_biotype:protein_coding MYINKVVYFAFREIETTGHLFASCIKTRLIWECIFKWMDWNVAGWEDELIDTFFAL >RHN70028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48141663:48142175:-1 gene:gene18568 transcript:rna18568 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRSYKTHLKNNEDFNFFKEEKASKAVQEEDEKEEDWLNLGLGLGSSTSSSSKKIVSQNPISASSSQTVLSCPQIGLGFEDKGLGLESIRKGKEGLEGLNCYNDHHHNGMLSSPPSSSSCQIMNPRGEDLATPIPSDSHHYFAGSNNVNHHRNQSGLWFTLRSFTNR >RHN71139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56741578:56741787:-1 gene:gene19788 transcript:rna19788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MERGIVVVCSARNSGRKPSTVSNDAPWILTVGATTIDRDLQSNVVLGNGKVIKVQTIQSSLYNIPLFTF >RHN64178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57098980:57100827:1 gene:gene26965 transcript:rna26965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB family MVSARVVVRAHVSFFPHQFGGVPPCPILSTSQNPPPTTPPPNYVTQRLQPPNPKPIHSSSSFFSSSLFLFLLPMSSPPSTTTPPPLSDPPTAVPLPLALPAPLPIPPSTRRLPPPCWSPEETLALIDSYREKWYSLGRGNLKATHWQEVADSVSNRCPNVTPAKTPVQCRHKMEKLRKRYRTEIQRARSLPHSRFNSAWVHFKLMDSMEKGPSPVKSENNDSDSADDDEDEDHEQDLYLDIKNGHGSNTRSINKLYKNGFGGGIGGGFSGGGGGGVVGGGFRIRYPPAQPESKFSSDQKYNPNPNLNQNYHTPPMATGTKGLGNKRERDPLTEVVSAIKLLGDGFVRMEQKKMEMAREIETMRMEMEMKRTEMILESQQRIVEAFAKAVSDDSNNNNNKMKPINKRIPSPQQP >RHN65329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1249825:1250624:-1 gene:gene13155 transcript:rna13155 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVSCYRPHLPERVLRQFGFVQNVPRHPAIGAPCTLSIYQMEQAFRDYNTHLISEEDRGQLVETDWQSKDGYLVWFYKVSHPKLIPDVPNNPPRPANIEVLLHEEHGVDPLTAMCRIKDLAIASIEQNSKLAGTPLYIVMEQIIAHATPATQYQSLRRKRRNVRQYS >RHN38485.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000010.1:533229:533453:-1 gene:gene50684 transcript:rna50684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase MQKVGQNILPTRENFLVARLRSSCMHCREVIVSGKRWFCTECEKF >RHN53247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:374493:375343:-1 gene:gene28137 transcript:rna28137 gene_biotype:protein_coding transcript_biotype:protein_coding MIKESNNKQSKFKHYILTPVRILNKAKGLMACSGRNACSSPVHIADTKSVVNNVNAPNGSERQSLREILRTAPINETRFVTNIGEKVVPIRNNIGSGGVVMQRRQRQRIAGYKYNRNKMSYQTEVRKMGRIDEDKPCYFEEDESDHSISKASLVYLYPRSSRTSTVVKNQTQ >RHN46586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32968178:32969403:1 gene:gene41088 transcript:rna41088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MNPMIDTARLFAKHGVDVTIITTQANALLFKKPIDNDLFSGYSIKACVIQFPAAQVGLPDGVENIKDATSREMLGKIMLGIAKIQDQIEILFHDLQQDCIVSDMLFPWTVQSAAKRGIPRLYYYSSSYFSSCAAQATSQNLVSDSQKFSIPGLPHNIEITSLQLQEYVREWSEFSDYFDAVYESEGKSYGTLCNSFHELEGDYENLYKSTMGIKAWSVGPVSAWLKKEQNEDVIVESELLNWLNSKPNDSVLYVSFGSLTRLSHSQIVEIAHGLENSGHNFIWVVRKKDGEGDEDGFLDDFKQRMKENKKGYIIWNWAPQLLILGHPATAGVVTHCGWNSILESLSVSLPIITWPMFAEQFYNEKLLVFVLKIVVSVGSKVNTFWSNEGEVAVI >RHN60132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21496907:21502374:-1 gene:gene22366 transcript:rna22366 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMQSRSNLDCFLHHTTPIVPSKFLQKHEIRNLNRLWHPCDRETVEYFTLSDLWNCFNEWSAYGVGVPINFKNEETLVQYYVPYLSAIQIFTTNNFREEIDSGSETRDSFSDSYSDDSECDKLWTSSEEGASEQDSLWHMNDRLGHLYFQYFEKSTPYGRVPLIDKINGLAERYPGLMSLKSVDLSPASWMAVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDMDLDNEMEETQEKRKDGEGISLQPFGLATYKMQGNLWVSGKCGRDQEKLVSLCSVADSWLKQLKVHHHDFNYFMGIRHG >RHN80419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37949873:37951781:-1 gene:gene4347 transcript:rna4347 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMKIQPIDIDSEKLVVVRNDAVKPVLKSRLKRLFVFDRQFSNASKTSTNFSEKPIVGGEVPLPGDKSDEPFEPSSVALAKMVQSFIEESNEKQNPVSKLTRNRCNCFNGNSNDSSDEELDFFGESIATGSFNDAGDALKSLIPCASVAERNLLADASKIVDKNSKVFKQKNDLRKIVAENLQSLGYDSSICKSKWHKTKSHPAGDYEFIDVFVEGERLIIDIDFRSEFEIARSTGTFKAILQFLPYIFVGKSDRLRQIVAVVSEAAKQSLKKKGMPVPPWRKSEYMLAKWLSTSCTRVNPSPLPTTAVTDSKEDLTDVAAESDCGEWELIFGDKISLQESETVSGGEKSSPTVAMPTWQLPAVKVKSVEKGSKVVTGFSLKP >RHN62713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45355362:45358785:1 gene:gene25314 transcript:rna25314 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLRTKTFTFIEGEAPTQWWNDIDDSDQWQRGIYYTLCAAYATVSFIALIQLVRIQMRVPEYGWTTQKVFHLMNFLVNGVRAVLFGLYKSVFAIRPKVFEQVLMEVPGLLFFSTYTLLVLFWAEIYHQARSEPAQKLRPAYFSINGVVYLIQICIWIYMTASKTVAGIEAAKLFLAVISFCAALGFLLYGGRLFFLLRRFPIESRGRQKKLYEVGSVTSICCTCFLIRCVLLAISAFDQEADLDVLSHPMLNLVYYLLVEIVPSALVLFILRKLPPKRVSDQYHPIR >RHN52774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38635935:38641633:-1 gene:gene37479 transcript:rna37479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CALMODULIN-BINDING PROTEIN60 MATKRVFDDSNDKDQDNPNDKRMRSSTSRPSFASVIETAVNVQNMRNFLGALEPFLKKVVSEEVDRAIGKCIPSSINRSPSLRIQAPRDQQPTLQLSFSKRLSLPIFTGSRILDVEGKPICISLVDKTNNNQIVPTSLPYPIKLEIVVLDGDFPHDENENWTCEEFNKYTVKERTGKRPLLSGELNLTMRGGIAPIGDIEFTDNSSWIKCRKFRVAVKVSHRSNQSIRIQEGMTEAFVVKDHRGELFKKHHPPMLSDDVWRLEKIGKDGAFHQKMMAKGIKTVQDFLKLAVIDTPKLREILGIGMSDKMWKVTIKHAMTCDMGSKIYRYRGPQFIILLNPICKLIKANINGQEFSSREYLNQMNKSYIDKLVGEAYTKWHELEEIDGVLNDNIALLTQGDQTVEQYPNNHQATIAPTYHQNQYYGDPSIENIASYVPSNNAQMASSEWLLNQGYTTTPNANGVPFGFTGSQSDGDMIPSSSGPRDINGFTRQL >RHN50953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12213806:12219782:1 gene:gene35309 transcript:rna35309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MDKFWSMICGDCPTENGGKPFCYDVKLLKDPSSCINHLLVIFFDVFLLIILSFNMILKSSSRPFRSLVQYSNLQLVSTIINGSLGFLHLCLGIWVLEENIRKNHDAFPLNWWLLELFHGSTWLFVSLTISLQIKQLPRAWFWMFSILIFFVSSILCPLSIFYAIGSRKLSFKATLDFLSAIGATLLLLCTYKACQCEDVDRETVESLYAPINMQLNDVTDSHLTPFAKVGFISKTSFSWLNPLMKRGQEKELQDEDIPKLRESERAKSCYSSFEEQLYKHRQNEPSSDSSVLWTIVRCHRREILITGFFALLKVLAISCGPLLLNAFILVSEGNESFKYEGYILAISLFFIKIIESISQRQWYFRGRLVGMKVRSLLTAVVYKKTLRLSNSARLIHSSGEIMNYMTVDTYRIGEFPFWFHQTWTTILQMCIALVILFGAIGPATIASMAVIVLTMLCNTPLAKLQHKFQSELMVAQDERLKASSEALVSMKVLKLYAWETHFKSSIEKLRNVELKLLYAVLLRKAYIVFLFWTSPVLVSAASFIACYFLKVPLRASNVFTFVATLRLVQEPILAIPDVVAVIIQAKVAFSRIQNFLEAPELQSENLKNMCNNDNLKGSVLIKSADFSWEGKASNPTLRNINLDVRRGQKVAICGEVGSGKSTLLATILGEVPNTKGTIDVYGKFAYVSQTAWIQTGTIRENILFGSELDDQRYQETLQRSALIKDLELFPYGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAHTAKSLFNVMIFFLHIHHIVQWNFLLKDGFESLQEYIMDGLKGKTLVLVTHQVDFLPEFDSVLLMSDGVILQAGPYHQLLTTNKEFENLVNAHKETVDSNQFPNFSFSQGYSTSRKMAQDIMENPFKETNGNQLIKQEERERGDKGLKPYLQYLNNMKGYIFFFVSTFSHLIFVVCQILQNLWMAANVDNPRVSMLQLILVYSLIGFSSAFFMLIRSLFVVSLGLQSSKYLFLRLMKSLFRAPMSFYDATPLGRILSRVSSDLSILDLDMPFSLCFSVGSTIIFYSTLTILAVVTWQVIIVVIPMVYVTLRLQRYYFTAAKEVMRISGTTKSYVANHVAETVAGAVTIRAFEEEDRFFEKNLDLIDINASAFFHNFASNEWLILRLETIGASLLATTALCMVMLPSGTFSSGYIGMALSYGLTLNASLVYTTQIQCTLANHIISVERLNQYMHIQSEAKEIVEGNHPPLNWPVAGKVEICDLKIRYRPDGPLVLHGITCTFEAGHKIGIVGRTGSGKSTLISALFRLVEPTEGKVVVDGIDISSIGLHDLRSRFGIIPQDPTLFNGTVRFNLDPLSQHTDQEIWEVLGKCQLREVVQEKGEGLNSTVVDDGSNWSMGQRQLFCLGRALLRRSRILILDEATASIDNSTDLILQKTIRTEFADCTVITVAHRIPTVMDCNMVLSISDGKLAEYDEPMNLMKREESMFRKLVKEYWSHSQSGNLH >RHN57233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37459926:37465345:-1 gene:gene32745 transcript:rna32745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-glycerol-phosphate synthase MEPLISLKGHFHVFPSFSSNPRTTIPPTQVITYRKNSIFTLSVRAQVDPNEGSATIATSGESVVEALKVKEWEVGMFHNEVAATQGIRIRRRPPTGPPNHYVGPFQFRLQNEGNTPRNILEEIVWNKDNEVAQLKERKPLITISKALGNAPPVRDFIGALRAANERTGLPGLIAEVKKASPSRGILRENFDPVEIAQSYEKGGAACLSVLTDEKYFKGSFENLELIRNAGVKCPLLCKEFIIDAWQLYYARSKGADAVLLIAAVLPDLDIKYMVKICKLLGLTALVEVHDEMEFDRVLGIEGVELIGINNRNLETFELDISTTKKLLEGERGKIIRERNIIMVGESGLFTPEDIAYVQEAGVKAVLVGESIVKQSDPGKGISNLFGKDISV >RHN76516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50896426:50897092:-1 gene:gene12856 transcript:rna12856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAAPPTTTDRISDLPDEIIYHILSYLPPKQIALTSLLSKRWKPLWRAMPNADRISALPFEVLSCILYNLPTKQFFVTAILSWRWRRVLNKILETNHIHYDDDSDSDMEEEEEEEEEEEEEEEQQEYCCSCCSYNTSCVL >RHN60976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32006789:32007648:1 gene:gene23382 transcript:rna23382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAPKGEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKEGGSAAGDKKKKRSKKNVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >RHN42095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35355490:35356657:1 gene:gene48490 transcript:rna48490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MATSWTARQNKLFEQALALYDRETPERWHNVAKVVGKSVEDVKSHYEILKEDVQRIEHGHIPFPRYKTNTNS >RHN62615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44702452:44712851:-1 gene:gene25209 transcript:rna25209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MASSEIEVVSSEPSKPQEHQLPPILDVFTASIHGDLQKLRFFVEQEGASVSNPDFNGYYALQWASLNNFHHIVLYLIQHGADVNAKDLMLQTALHWAAVRGAMAAADVLLENGARVEAADINGYRAVHVAAQYGQTAFLNHIVARYHADFDVPDNDGRSPLHWAAYKGFADTIRLLLFRDASQGRQDKDGATPLHWAALRGHSEACAVLVHAGTKEELTVKDNTGFTPVQLAYDKGHQNVAPFLSNQQRAHSKHWRGKLYCGLVTDIGYAPILLCVIVFLSFLFINSVVAAPNLKKITAVVGFWSWIALSSSVGSLIMFYRCSRDPGYIRRPGDLGTLNETEDPLLNIELNSSSVWTGNWSQLCPTCKIIRPVRSKHCPTCKRCVEQFDHHCPWISNCVGKRNKRDFFIFICLGTITSSVSAVIAVHRIWTSIPAVPAGETWIHQVLVRHPGLVAFLVMDAVVVVATTTLTVTQASMIARNVTTNELANSTRYEYLRGPDGRFRNPYNHGWWKNCADFLLLGHTDDDAIAWPPLQQVAT >RHN74315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31776629:31777246:1 gene:gene10354 transcript:rna10354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MFPSETIHVNFINNIITTNPRNVEYILLKKFYNYKKGKVYYAILDDLLGDGIFNDGDHVFFKKKNDDDHVWKFQRNLVRPEFTNPFTLDRAFQVMTEEVEKRLIPVFYSFTHDGRVFYVHDLMRRFSFDVMCRFSFGWDPYALQAALPHSDFGEAFDTTVRISAERASSVSPLIWKIKRYFNIGSQKKLKEATKIKINKNIFNAF >RHN59008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6613202:6618307:-1 gene:gene20989 transcript:rna20989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEKNKLNGKRGEVDDDMKWVHDSSFDHNGRVPLRASTGAWKASFFIIAIEFSERLSYFGIATSLVIYLTKVMHQDLKTAVRNVNYWSGVTTLMPLFGGFLADSYLGRYTTVIASCIIYLMGLVLLTLSWFLPSLKPCAHSSTCIEPRKIHEVFFFLAIYLISIGTGGHKPSLESFGADQFDDNHIEERRQKMSFFNWWNCGLCSGLILGVTLIVYVQDHVNWGVADIILTCVMTFSLLIFVIGSKFYRYRIPNGSPLTPMLQVIVAAISKRKLPYPSNPTQLYEVSKAEGNNGRLLAHTKKLKFFDKAAIVESEGNLAKEQSPWKLATVTRVEEMKLMINMIPIWIFTLPFGMCAAQTSTFFIKQGNIMNRKIGHGFEIPPASIFTISAIGMIISVAIYDKILVPVLRKISGNERGMNILQRIGIGMVFSVLTMIVAALVEKKRLKVVEMNPSHGSLSMSVFWLAPQFLIIGFGDGLALVGLQEYFYDQVPDSMRSLGIGLYLSVIGAANFLSSLLITIVDHVTSKSGKSWFGEDLNSSRLDKFYWLLAAITTLSVFVFVLFAQRYTYKNVQNNVVADCYDGKCDDGGAGRMV >RHN53074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41761582:41766687:-1 gene:gene37825 transcript:rna37825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, Omega peptidase MDSPLLGPNHHVSFNKFNRKFFLCFLLLFVAVTTIVGLGVRGNISFWILKGTERYNEGKTANQGHVIESELGVVAADDARCSAVGVSMLRLGGHAVDAAVAAALCAGVVFQASSGIGGGSFMVVKSSSSSKAQAFDMRETAPLAASQNMYQTDPEAKFLGALSVGVPGELAGLHAAWLKHGRLPWKTLFQPAIGLAKNGFTVSPTLEEYLANDENKIMSDPGLRNIYAPNGTLLKGGEVCSNVELGKSLEILAEEGPQAFYNGTIGEKLVKDVTEVGGILTVEDLRNYKVEITDAMTVNVMGYTIHGMPPPSSGTLALSLVLNILDSYESPDSVKGNLGIHRLIEALKHTFAIRMNLGDPDFENISGTSSEMLSRSFAQTIQRQILDNTTFPPEYYMDRWSQLRDHGTSHLCVVDADRNAVSLTTSVNWHFGAGIRSTSTGIVVNNEMDDFSTPTDISPDKLPPAPTNFIEPNKRPLSSMTPIIITKDDQLVGVIGASGGMSIIPAVTQVFLNHFILGMKPLDAVLRPRVYTKLLPDTVSYENLIDRDGGHIELSDESRLFLKERGHKLCVCQGKAITQLIVHTPKTPLNINRKIGENADSHVKRGTLTAVSDPRKGGCPAAV >RHN49036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51970982:51972479:1 gene:gene43820 transcript:rna43820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stachyose synthase MQCLEYVCDKYGGRVDLAKAYYEGLTKSIAKNFNGNGIIASMQQCNDFFFLGTKQVSMGRVDPNGDPMGAFWLQCVHMIHCSYNSLWMGQMIRPDWDMFQSDHICAKFHAGSRAICGGPIYLSDDVGFHDFDLIKKLVFPDGTIPKCIHFPLPTTDCLFKYPLFDKTIVLKIWNFNKYGGVIGAFNCQGAGWDPKEHKLRGFPECYNPIVGTVHVTEVEWDQKKEASHLGKAEEYVVYFNQAEELCLMTPNSESIQFIIQPSTFELYNFVQVKKFGGTIKFAPIGLTNMFNSGGTILDLEYVESGAKIKVKGGGNFLAYSSESPKKFQLNGFEVAFEWMGDEKLTLNVPWIDEAGGVANLG >RHN82758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56155368:56159622:-1 gene:gene6943 transcript:rna6943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-mRNA cleavage complex subunit Clp1, P-loop containing nucleoside triphosphate hydrolase MAYGGAGPAVTSSNSTPTIKQVKLEKECELRIEVSDSPLRLRLLNGNAEIFGTELPPEIWLNFPPRLKFAVFTWYGATIEMDGTPETGYTADETPMVSYVNVHAILEGRRDRAKKSPSDDSVLSQGPRVIVVGPSDSGKTTLSRMLLSWAAKQGWKPTFVDLDIGQGSITVPGCIAATPIEMPIDPVEGIPLEMPLVYFYGHTSPSNNVELYKVLVKELAGILERQFAGNAESRAAGMVLNTMGWIEGLGYDMLLHAIRTFKANVVLVLGQEKLCSLLRDVLKGEPKVDVVKLQRSGGVVSRPVKFRQRSRSNRIREYFYGLTNDLSPHSNISNFGDLFVYRVGGGPQAPRSALPIGAEPAADPTRVVAVNINRDLLHAVLAVSFAKEPDEIISSNVAGFIYVTDIDIQRKKITYLTPSAGELPSKYLILGTLTWLET >RHN67044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23212477:23213340:-1 gene:gene15176 transcript:rna15176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MKIAEGAAKGLEYLHEEANPPVIYRDFKASNILLDENYNPKLSDFGLAKLGPTGEKEHVSTRVMGTYGYCAPEYASTGQLSTKSDVYSFGVVFLEIITGRRVIDNSRPSEEQNLVLWAQPLLRDRKKFTQMADPLLEDKYPIKGLYQALAIAAMCLQEEADTRPLISDVVTALEFLANKKEEDGGQYTKETFASQGGNDNGNDEANVVHDDDDDDDDDDNEEDEDSDDDDEDADSDNDNDDKGHSDNDNDDKGHRR >RHN54870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13103900:13109004:1 gene:gene29966 transcript:rna29966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MMFLQQRSTIIIFLFSLLLIHLPKFFTTPDYPCKPPHSHYPFCNISLPISTRTTSLISLLTLSDKINQLSNTASSISHLGIPSYQWWSEALHGIATNGPGVNFNGSVKSATNFPQVIVSAAAFNRSLWFLIGYAVGVEGRAMFNVGQAGLSFWAPNVNVFRDPRWGRGQETPGEDPMVGSAYAVEFVRGIQGVDGIKKVLNDHDSDDDGLMVSACCKHFTAYDLEKWGEFSRYNFNAVVTQQDLEDTYQPPFRGCVQQGKASCLMCSYNEVNGVPACASKDLLGLVRNKWGFEGYIASDCDAVATVFEYQKYAKSAEDAVADVLKAGMDINCGTFMLRHTESAIEQGLVKEEDLDRALFNLFSVQMRLGLFNGDPEKGKFGKLGPQDVCTPEHKKLALEAARQGIVLLKNDNKFLPLDKKDRVSLAIIGPMATTSELGGGYSGIPCSPRSLYDGLKEYVKTISYAFGCSDVKCDSDDGFAVAIDIAKQADFVVIVAGLDTTLETEDLDRVSLLLPGKQMDLVSRVAAASKRPVILVLTGGGPLDVSFAESNQLITSILWIGYPGEAGGKALAEIIFGEFNPAGRLPMTWYPESFTNVPMNDMGMRADPSRGYPGRTYRFYTGSRIYGFGHGLSYSDFSYRVLSAPSKLSLSKTTNGGLRRSLLNKVEKDVFEVDHVHVDELQNCNSLSFSVHISVMNVGDMDGSHVVMLFSKWPKNIQGSPESQLVGFSRLHTVSNKSIETSILADPCEHFSFADEQGKRILPLGNHILNVGDVEHIVSIEIY >RHN58087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43655357:43659514:1 gene:gene33695 transcript:rna33695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-1-phosphate adenylyltransferase MASMATTGVIKVPRCSSSSSNIRNKAIQRSLSFSSSQLSGDKIVTVSGGAGRGRCIRKHVIVTPKAVSDSQNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNLGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEYLILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFSEKPKGDQLQAMKVDTTILGLDDERAKEMPFIASMGIYVISKNVMLDLLRDQFPGANDFGSEVIPGATSIGKRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMLDADITDSVIGEGCVIKNCKIFHSVVGLRSCISEGAIIEDTLLMGADYYETEADKSFLAAKGSVPIGIGRNSHIKRAIVDKNARIGENVKIINSDNVQEAARETEGYFIKSGIVTIIKDALIPSGTVI >RHN70728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53496686:53500920:1 gene:gene19340 transcript:rna19340 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVRNGYFPPSEARLKSSMAGSESALPQAEAETENQLTSLIYEMSNEAQSIMENMLKMIAEINQNSAVIEEEIEKCKGSAMERKTALDEEKEHCQKAAYAVLDMLNRN >RHN79000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20730072:20733659:1 gene:gene2676 transcript:rna2676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MQEMLIMKKNNIASRISIQDLFFDILIRISLKLNVVELLVASMVCKSWNEICRNHFLWTKLDLAPMLSIYPCCQELGVTI >RHN56401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30570920:30571793:1 gene:gene31795 transcript:rna31795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDEILKFVYTLIIFFSLFFAANNVDANIMNCQSTFDCPRDMCSHIRDVICIFKKCKCAGGRYMPQVP >RHN45834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26146370:26152998:-1 gene:gene40255 transcript:rna40255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl-phosphate beta-D-mannosyltransferase MKHIVKILSLVIAITALWIGLLQTSTIPQSHTWLLPIYFVVSLGCYGLLMVGVGLMNFPTCPQEALLLQKDIVEAKEYLKQRGVDVSTS >RHN48240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46054987:46056592:-1 gene:gene42935 transcript:rna42935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MCLLTLSVSLPSLRPPECAPGIADHNCPKASTLQKGIFFLALYIIAVGTGGTKPNISTMGADQFDEFEPKERSYKLSFFNWWFFSIFLGTLFSNTFLIYIQDNVGWAVGYGLPTIGLGISIFVFMVGTPLYRHKLPSGSPLTRMLQVYVATFRKWKSCVPEDPKELHELSMEDYACKGRNRIDRSTSLSFLDKAAIKTGQTSPWMLCTVTQVEETKQMTKMIPILITTIMPSTLMIQSTTLFIKQGITLDKRMGPHFDIPPACLTAFVTIFMLISIVVYDRVFVPVIRRYTKNPRGITMLQRLGIGLVLHVIIMVTACLAERKRLSVARENGLFGQHDTLPLTIFILLPQFALTGVADNFVDIAKMEIFYDQAPEGMKSLGTAYFTTSLGLGSFLSTVLLSAVANITQRHGHKGWILNNLNTSRLDYYYVFLAILSLLNFLFFVVVAKFYAYNVDVTQNKYGLEMILASTQEDNAKIRQSTPQPDAKSWENKAVVPSASP >RHN64124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56755784:56766912:-1 gene:gene26909 transcript:rna26909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MNPSITRSISTPSSSSSSATSWFSGIVRVGRTDSKMSSNSSVTVSASSDVTGPVVSKNKIRGVLFKYGPNPIQVAFKSGDFKRQVIFIGGLTDGFLATAYLEPLAIALDRENWSLVQFLMSSSYSGYGISSLQQDAKDLDQLINYLINKEDSEGVALLGHSTGCQDIVHYMRTNFACSRAVRAAILQAPVSDREYQSTLPQTAAMIDLAAKMISEGRGPEIMPREADPSAPITAYRYHSLCAYNGDDDLFSSDLSDDQLRMRLGHMSSTHCQVIFSMADEYVPDYVDKKALVERLCRAMGGAEKVEIEYGNHSLSNRVEEAVNAIIDFLKREGPKGWDDPWS >RHN65632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3943896:3950982:-1 gene:gene13496 transcript:rna13496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L27 MKRLFLIFVVMQRVIPGNIIVRQRGTRFHPGNYVGLGKDHTLFALKEGWVKFERNKLTGRKWVHVEPKEGHVLHPVFADVSASEPKVGVAI >RHN40621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18254420:18254784:-1 gene:gene46791 transcript:rna46791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MLLLPDQPIIEGIFYGSSLNQKNDGFNLNGTHESTFNIFPLRMKTGPGGKYIPDVSCASWRVIVEAHNIINRKTVPQECEEYVGNYMLGDQYRADSKFVNREGFFYARTLNLKERW >RHN66095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8543257:8546450:-1 gene:gene14010 transcript:rna14010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MAVLTDHISKEMNELNLIRCQKIPKRPGCDWRDLPDEKIKLSSGQLVDLIPWCLPNTAKRHNQWKGLFGRLDWQGNFPTSITDPQPMGKVGMCFHPDQDRILTVRECARSQGFPDCYQFYGNIIHKHRQIGNAVPPPLAFALGTKLKEAVDSKSSK >RHN50211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5175126:5177237:1 gene:gene34476 transcript:rna34476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MYMCLEGCKQSFLRCRRFIGLDGCFLKGYYGGMILAAVGRDPNDQMLPIAIAVAEGETKDSWLWFLKLLIADLGGKDFCQTITFMSDQQKGLLPAIDELLPNVEQRFCVRHFYSNFRKRYSGKALKDLMWKAAKASYHQEWEKTMREIRSINPEAHKYLMRTPPRHWSKSWFRFDSKCDVLVNNMSETFNSVILGPREKPIVTMFEEIRCYLMERWARNRSKMIELEAGAVLPNIKKKLLKERERSRFWMCRVSGYMLFEVYNRNQTSEQYTVNLDAQTCSCRRWMLSGIPCCHAITCYMDRKLDPDDYIPHFYRKEAYVSCYEPLIHPTNGQNLWETTPYPDILPPPMRKMPGRPKKSRNKGTYEKAKGANEKAKDPSLVTRKGKANNCIICKQVGHNKRSCKSNANTSQGETSSQAATQSGP >RHN81753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48676726:48680596:-1 gene:gene5838 transcript:rna5838 gene_biotype:protein_coding transcript_biotype:protein_coding MRFYIYRDMHSYIYICSVVPRRNGILEFGNQTNYRLEKVFYFSY >RHN58950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6165779:6166841:1 gene:gene20911 transcript:rna20911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MNFIIHYKQIKSFTIIWMQIGSNMAETMKLVYVIILFLSIFLGITLSNSAFSHFIPGCKTDKDCPKFYGSNVRCRKGKCVQLG >RHN54085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6817518:6822367:-1 gene:gene29068 transcript:rna29068 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxolaurate decarboxylase MNTEIKRHFVLIHGSCHGAWCWYKIIALLKSAGHEVTALDMAASGIHPKQVHELDSVTDYYEPLIEFLRSLPQDQRVILVGHSLGGMCISVAMELFPKKIAAAVFVTAFMPSPDLSYLSLLQENTQRIDSSLDTKIMLDDSPNDKRNGSMLFGPQFLATKLYQLSPPEDLSLALSLLRPARSYGDEELLQEKTKVTKDNHGTVAKVFIVCQQDKVLEHDFQLSMIERNPANDVKVIVDADHMPMFSKPKELCAYLQEIAETYY >RHN54509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10147763:10152033:-1 gene:gene29569 transcript:rna29569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MLSIQCRRRHKSDMAYLTALIGILLLFPAATSQAQNLKKETHSFGFFNATNEHYFQTLSTATIHFNALQVTPDSAGSSNIVPLFNNSGRVFYKEPFKLWDGSSSTGKLVSFNTSFLINIYRYNNGTPGEGIAFIIAPSLSIPLNSSGGYLGLTNATTDGNVNNRFVAVELDTVKQDFDDDKNHIGLDINSVRSNVSVPLDLELSPIGTRFHVLWVEYDGDRKNLSIYMAEQPSQDLPIVKKPAKPIISSVLDLRQVVSQNSYFGFSASTGITVELNCVLRWNISMEVFDNNKNEKNLSIGLGVGIPVLVLILAGGGFWYYYLCKKKKSDEHGSTSQIMGTLKSLPGTPREFSFQELKKATNNFDEKHKLGQGGYGVVYRGTLPKEKLEVAVKMFSRDKMKSTDDFLAELTIINRLRHKHLVKLQGWCHKNGVLLLVYDYMPNGSLDNHIFCEEGTSTTPLSWNLRYKILSGVASALNYLHNEYDQTVVHRDLKASNIMLDVDFNARLGDFGLARALENEKTSYAELEGVQGTMGYIAPECFHTGKASRESDVYGLGAVFLETVCGQRPWTKIEGYQFLVDWVWYLHREGRILEAVDQSVGNEYDVEEVERVLKLGLACSHPIASERPNLQMIVQILSGSVNVPHVPPFKPSFMWPAVDLASLASTDFTTTNTSEYTPINTSNSQSSMHVGFSDNSSLV >RHN60056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17824436:17831867:1 gene:gene22255 transcript:rna22255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MAQPNLSKKFDDYDDDDDDDDQPITFKRFAKKSPLHSEVKKATSNSHGGQSNGKITDVHSSNGQTSKPSPMKPCAGIAKQSNSPSLGDKPNIVNVKNETKPIEHSSKGYCEDSEDDDDDKPLSSKWKIKSNHDNKVVAPVVIKKSSQDSDDDDDDVPLSAKFLRKPNSRTSDKKPVSKVQKERQNGSSTSSKQQKSSTLPVKRELENCDSVHSSVKKSKVSDSAASIKTKQVSVKCELKAKEEEDDDDDKLPISHSSVKKPKVSDSAGSIKTKQVSVKCEIKAKEEEDDDDDELPISHRFKKSPKLTDKPSSTKKISTKVTKVNKSGSTSFKKQTKSKQTKNKSKRSGRDSEYSISSTLLPSSGDGQKKWTTLVHNGVIFPPPYQPHGVKILYKGKPVDLTPEQEEVATMYAVMRDTDYMQKDKFKENFWNDWREVLGRKHVIQNLKDCDFTPIYDWHEIEKEKKKQMTTEEKKALKEEKMKQEEKYTWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKKRIHPSDITINIGKDAPIPECPIPGECWKDIRHDNTVTWLCYWNDPINSKLFKYVFLAASSSLKGQSDKEKYEKARLLKDYIENIRAAYTKDFTSKDITKQQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVTREAPNKLMFNFLGKDSIKYENTVEVELPVYNAILKFQKDKSPGESLFDMLDTNKLNTHLKELMPGLTAKVFRTFNASITLDDKLNKDTKDGDVAEKIVVYNHANKEVAIICNHQRSVSKSHSQQMTKLNEKIDELQADLKELKVDLDRARKGKPPTKSSDGKSKRNLTPEALEKKITQTNAKIEKMQRDMRTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKMFTKSLLAKFAWAMDVDPDFRF >RHN56384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30470214:30471698:1 gene:gene31776 transcript:rna31776 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVFLFSFRHLFGLDCQIGFDSVQIQLMILVSSMLQIRRHEYSGELNIIVCVGFCTLSFYAINMDDVSMFAYSSFLFLENLYCINILYQFE >RHN49544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55540544:55541165:1 gene:gene44386 transcript:rna44386 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWKFYLFFRSPSFLAFPVRFSCFPLLRFGCSSLFRFGAGFFVPVRRKLFLFRFGSGFLLRFVSLCFRSVWMMICVYGLI >RHN77095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4062077:4068490:-1 gene:gene515 transcript:rna515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chalcone isomerase MKNDWLSWMDTDPNLFPFEPFLFFLDNSKTFYESSAIEEAFGRVSKFAGALLFWFSGGGSGGGSLRFGGGGGFGNVNLKVKPVITNNVAPRFGFGFGSKRKTCERVSLGKISSFVVRLFWREAKRIQSFPVLSLAAALVPPIQNLSSNLLSGPMQDPDVQMHGGMDQVPKDVERRGCPRLSISELSLANSTVEPKTGIEFPVVLDNLSAGDRNSSLGSEVLVGTGSKNMTIVKIKTLKVYAFGFYVHPYSLCEKLGPKYASISADELNDRNDFYQDLLREDINMTVRLVVNCKGMKINSVRDAFEKSLRARLVKTNPSADFDCLWTFGSYFTENIPIPLGTIIEFKRTVDGRLITEIGGNHVGSVHSKDLCQAFFGMYIGDVPVCEQTKKEIGTNIVNIIRNC >RHN62598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44595630:44596466:-1 gene:gene25191 transcript:rna25191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLSNSKCCQVNYDSVFIEIDFVRYEHFKDFCKQKEDPNDYLTSSWNFNNKTEGFICRFNGVECWHPDENKVLNLKLSSFGLKGQFPQGLVNRSSMTGLDLSINVLSGPISEDISSILSYVTNIDLSGNKFTGGILIDFANCTYLNTLKLDNNLLSGNIPKEFAMLNRLKVIGFSDNNLSGPVPMFQSLVVYNYSNNDELCGGVSLAPCSVGKFHQALKGGLIVGFALSFTCYIVVAFHISYSNGVPHMQLKKKKNINRRLNKAKELGKYIYSITSKTT >RHN70530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52066936:52071378:-1 gene:gene19116 transcript:rna19116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MADVMLMKEIEDKALLLGIDLSSIDLDSIHLPPGDNCGIPSDDDVDQEENLEFDSGFGNIIVVDNLPVVPKEKFEKLVTVVRKIYSQIGAIKEDGLWMPVDPATEKSLGYCFIEYNTPQEAELAKEKTQGYKLDRSHIFSVSMFDDFDRFMKVPDEWAPPPNKEYAPGENLQQWLTDAKARDQFVIRAGSDTEVLWNDAKLLKPDPVYKRAFWTESFVQWSPLGTYLATVHRQGAAVWGGATTFNRLMRYAHPQVKLIDFSPGEKYLVTYSSHEPSNPRDANRVVINIFDVRTGKVMRDFKGSADDFAIGGTGGVTGVSWPVFKWSGGRDDKYFARMGKNVLSVYETETFSLVDKKSLKVENIMDFSWSPTDPIISLFVPETGGGNQPARVSLVQIPSKEELRQKLLFNVSDCKMYWQSNGEYLAVNVDRYTKTKKSTFTGFELFRIKERDIPIEVLELENKNDKIIAFAWEPKGHRFAVIHGDNPKPDISIYSMRTAQNTGRVSKLTTLKGKQANALFWSPAGRFIVLAGLKGFNGLLEFYNVDELETMANTEHFYATDVEWDPTGRYVATAVTSVHEMENGFNIWSFNGKHLYRILKDHFFQFLWRPRPASFLTAEKEEEIAKNLKKYSKKYEAEDQDVSLLLSEQEREKRRVLKEDWDKWANEWKLLHEEEKLERENLRDGEASDEEEEYEAKDIEVEEVVDVTKEILQVEEY >RHN82335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52905156:52906223:1 gene:gene6495 transcript:rna6495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MVAVGVAVLMLFAYCIMRKVFPPAFLLFRKESSTHQIIEEFLKEHGPLPAARYNYSDVTKMTNFFRNKLGQGGYGGVYKGKLHDERIVAVKVLSESKGDGEDFINEVASISRTSHVNVVRLLGFWMIANCVARGLEYLHRGCNTRILHFDIRPHNILLADDFCPKISDFGLAKICPRKESIASVFGARGTPGYIAPELFSRHFGGVSHKSDVYSYGMMVLEMVCRRKNIKDLGLRCIKNEIDEEMVRKMTVVSLWCIQTDPLNRPAMHKVVEMLEGSLQVLEIPPKPFLSSPSTSPINLSSEML >RHN69565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44443191:44449048:1 gene:gene18048 transcript:rna18048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative organic solute transporter subunit alpha/Transmembrane protein MGLIDILCSSIFLFTVVESTSRSGSMWLHNVGVESTGTVSWTVFSASIFVLVALVLSMYLIFEHLAAYNQPEQKFLIGLILMVPVYALESFLSLLDSSAAFNCEVIRDCYEAFALYCFERYLIACLGGEDKTIQFMEGMSLTADSGTPLLKEAYAYGVVEHPFPLNCFLRDWHLGPDFYQAVKIGIVQYMILKMICALLAMILQSFGVYGEGKFEWRYGYPYLASILNFSQTWALYCLVQFYSVIKDKLEPIKPLAKFLTFKSIVFLTWWQGVAVAFLFSMGAFKGALAQELRTRIQDYIICIEMGVAAVVHLYVFPAVPYKRGERCVRNAAVMADYASLGTPPDPAEVRDCERSIRTRLGHHEEKEKKPMKFTHNVRDVVLGSGEIIVDDMKFTVSHVVEPVERGIAKINKTFHQISENVKRHDEERKRNTKVKDDSHLVPLESWRTEFSDVHDKLVEGSVSDSGLSDGKRHTHSKASTSRMRR >RHN59382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10136357:10136593:-1 gene:gene21408 transcript:rna21408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NRAMP family protein MSHHQQQQPLLEQQQEEIAYDSSEKVVVGTDEEKAYEADVDSGVRIPAFSWKKLPLFSGPGFLMSIAFLDLGNLEEDL >RHN47447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39838653:39839981:-1 gene:gene42051 transcript:rna42051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MDVCQNVQVSSECKQEKVLNPSCSNLSSSLDDLFSAQNMEVDVGMEWLSVFVEDCFSSPQSCVLLPSSVQNTTSTVSSKPSNTVKKPKQEQNESPFAVPGKARSKRKRLSAPRRPKDPLSILSNTLNPQNESLCSDPPLLKQAYWLADSELMVPKGEKEVTKDCEVVEKERFDFEGFVNNGQNPIPTRRCTHCLSQRTPQWRAGPLGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSFLHSNSHKKVMEMRMNVVSSINPPSE >RHN54440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9608951:9611284:1 gene:gene29481 transcript:rna29481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MSLCLCFNLDHLVPIHLNLWMYRRSTPITKEHIEINLGGLALEEDQHECNGLCDKADLVLETSIIELSIPHSTHKPSCMQIKVLKLESTIWLLAKARVIVNDSCYHASTHKPLIRRVILCENLTLYHHSSMSEEERELKRKWSLRRFLRYAESTYCIR >RHN39058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3833625:3834048:-1 gene:gene45050 transcript:rna45050 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAAEKELNVVKSRNEQKKKTLGLKKSVKAGRKSSRLMKLKTKAIKGAGSSMVQPMVLDESEEGTLTQEDHGVIKSGTCLSVLRGLPKLVMRSSKPN >RHN79914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33455532:33457325:1 gene:gene3769 transcript:rna3769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S4/S9 MGVYNRKPVVKRLASPSSKIYLCSGGVMVKVAFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARNLLTLDEKNPRRIFEGEALLRRMFKHGLLDETQNKLDYVLALTVENFLERRLQTLAFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGLPGRVKRKNLKAAAKKASGGDGDEEDED >RHN71668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1790042:1797425:1 gene:gene7292 transcript:rna7292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative splicing factor 3B subunit 1 MASDDEIEKTQEERKKMEKQLESLTELTFDTDLYGDSDKASYFPSIPATEEEETHDAEVIPRRNFQSYTGHDVAVPINNSNDAGDNEVVTSRRIIDREDDYRRRRLNQILSPDRHDAFAAGEKTPDPSVRSYADIMRDEALKREREETIRLISKKKKEEEEAGKAAPVAEKEKSQQNQQQKRRNRWDQNQNLEEGGVKKSKTSDWDAPDSNAMTPGRWDATPTPGRVVDATPGRRNRWDETPTPGRLVDSDATPGGVTPGGVTPGGATWDATPKLSGGITPTPKRQRSRWDETPATMGSVTPLPGATPAAAYTPGVTPVGGVELATPTPGALQGSFTPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPLGTPLYQIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEENEEELSPDEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIEHGLNDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRQHRGKVLAAFLKAIGFIIPLMEALYASYYTKEVMLILIREFQSPDEEMKKIVLKVVKQCVSTEGVEAEYIRTDILPEFFRNFWVRRMALDRRNYKQLVETTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVTNLGSSDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKEQLMGHLGVVLYEYLGEEYPEVLGSILGALKSIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGSAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPSLEDEHNNVYSRSELMMFI >RHN79819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32562207:32564018:1 gene:gene3661 transcript:rna3661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MAIKPSSNSSFLFLLLLLHFYLGKSQLQLNYYSNSCPKAEEIIKQQVTELYNQHGNTAISWVRNLFHDCTVNSCDASLLLATVHGVVSEQTSVRSFGMRNFKYVNTIKAAVEKECPLTVSCADIVALSARDGIAMLGGPNIEMKSGRRDSKESYANVVEEFIPNHNDSISLVLSRFQAIGVDVEATVALLGAHSVGRVHCMNLVHRLYPTVDPTLDPTHATYLKRRCPTPNPDPKAVQYVRNDLKTPMIIDNNYYKNILQHKGLLTVDEELATDPRTSPYVKKMAADNGYFNEQFSRAVQLLSENNPLIGDQGEIRKDCRYVNAN >RHN45498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21548368:21552799:-1 gene:gene39848 transcript:rna39848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plastid lipid-associated protein/fibrillin MAELGTGLVTTGDSLSATWRLLWTTEKEQLFIIEKAGLFGTKTGDVLQVIDVKNLSLNNVITFPPDGVFFVRSNIEIASEQRVNFKFTSAVLRGKKWEIPLPPFGQGWFDTVYLDDDLRVVKDIRGDYLVVDRASYNWKE >RHN61235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34046702:34052968:1 gene:gene23676 transcript:rna23676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MRKPKSKEFRKKQRFSEELDEINLLNSWIQSQKPESGSNPMSLPPLPNNSPVGRLADGTFSRYAGVARFEQLPISKNIKKALISKKTQDELRSKFVSMTDIQRASLPHALCGRDVLGAAKTGSGKTLAFIIPVLEKLYRKRWGPEDGVGSIIISPTWELAGQIFAVLKAIGCIHGFSAGLLIGGRQGVATEKECVNKLNVLICTPGRLLQHMDETPNFDCSKMQVLVLDEADRILDSGFKKELNAIISQLPKRRQTMLFSATQTKSVQDLARLSLKDPEYISVHEESVTATPTLLKQKVMIVPLDQKLDMLWNFIKTHLKSKTLVFLSSCKQVKFVFEAFKKLHPGIPLKCLHGRMKQEKRMAIYSEFCEKCSILFATDVAARGLDFNRAVDWVVQVDCPENVASYIHRVGRTARYKSLGKSVLYLLPSETKMLEKLEAGKVPVHYPPKPRKELLQPVSSLLASLLVKYPELQQQAQRAFVTYLRSIHLQKDKEIFDVLKLPIDEYSASLGLPMTPKIRFLKQKIKSKAVATKSILVEPEVPKKENVLEGSREKIDTVVFKDEEIENDLLHVADTSNEGDGKSAEIGELMPATRLLKKKKLKINMHRPLGSRVVFDDEGNTLPPLARIADPQSGNGTLIDPEQKAEYYKRMREDLKKADKEDKLVERQRLRDKKFKQKMKWKAGNEEEEDNQDNTSGSGDKTVNRRHKKSKIYFDSDNDEGERKEASRKQTEDQEELALRLLQSMH >RHN61702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37643012:37650854:-1 gene:gene24191 transcript:rna24191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative scramblase, tubby-like domain-containing protein MNRLKHGWRLLSKSNINNLLDSHPNALQTKLFATEAHQQLNRDFFVKLWVSDAKTQNPRARKSIKCPGLDLDPRWFSASPVHADAAAAAAVTSKPKPLLKQPPISQSVSEFSKPESPQEAKVAPLLARSNLLITRDIEWANLVLGFEQENRYGIVDACYPQSPVGLIREQSNLITRQLLRLRRPFVAHITDAMGNELFRVRRPFWWITSSIYAEIDGKEIGVVHRRWHLWRRIYDLYLGNQQFAVVENPGLWNWTFTLKDADGEVLAQIDRDWRGFGFEILTDAGQYVIRFGNSDPSSKIGLANAIEDLEVKRPLTLAERAVAVALAISLDNDYFSRHGGWGLPFIDVGE >RHN81205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44084384:44087778:1 gene:gene5217 transcript:rna5217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MTFRSEEEVIRYYMNYANRVGFGVTKISSKNADDGKKYFTLACNCARRYVSTSKNPSKQYLTSKTQCRARLNACVALDGSSTISRIVLEHNHELGQTKGRYFRLDESSGTHVERNLELNDQGGINVDRNLQSVGLEMNGCGNLTSGENDCRNFVQKVRRLRLGRGDVDAIHSFFHRMQKQNSQFYCAMDMDDKRNIQNLFWADARCRAAYEYFGEVITLDTTYLTSKYDLPLVPFVGVNHHGQTILLGCAILSNLDAKTLTWLFTRWLECMHGHAPNGIITEEDKAMKNAIEVAFPKARHRWCLWHIMKKVPEMLGKYSRNESIKTLLQDVVYDSMSKSDFMERWGNMIEHYNLHDNEWLKWLFDERHRWVPVYVKDTFWAGMSTAQRNESINSFFDGYVNSKTTLKRFVEQYDDALNDKIEKESMADFDSFNSTIACVSHFGFEVHFQKAFTIAKFKEFQVEVFSMIYCNTSFERLEDLNSIFSVTENKKVKEKVKDVVFKVSFNEKDFNLQCTCCLFEFKGILCRHILCVLKLIGKTDSMPSFYILPRWRKYVKRRYTLIKHGFEELQRVNMACDAFYEVASTMINSEDNLQKVMNRIKDLKTALSSKEPSSRITQEHTSVPNPVTRIVDPAVTHSNGYPLSKRKTSNVDQILKKKLARHKTPKNNQENKNDQSQEEGLLCTPILQETDDEEHLSDVNQVPYCPQIINQNISCSELLQAQHHKNDQPSS >RHN42144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35817964:35819436:1 gene:gene48548 transcript:rna48548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MRFTILILLCIFLLFSTQTLCTTTLEQDFKEAPKYYNSQTCKNIESSHHSNHTCSNSAVHVAMTLDVSYIRGSMAAILSILQHTSCPENIVFHFITSASVSILNRTINNSFPYLKYQIYPFNDGPVAGLISTSIRSALDTPLNYARTYLADLLPLCITKIVYLDSDLILVDDIAILFATPLRSTTILAAPEYCNANFSNYFTPSFWSNPSLSLTFANRERKACYFNTGVMVIDLQRWRKGEYTTMIREWMELQKRMRIYELGSLPPFLLVFAGRITPVDHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWARLDGNRACPLDALWAPYDLLKTRFALEV >RHN67538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28083409:28091105:-1 gene:gene15736 transcript:rna15736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase, H isozyme MGSKVETNGGSLVSAKVPAVANPLADKPDEIASNISYHAQYSPHFSPFKFELEQAYYATAESVRDRLVQQWNETYLHFHKVDPKQTYYLSMEFLQGRALTNAIGNLNIQDAYADALRKFGLKLEEITEQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQIIAKEGQEEVAEDWLEKFSPWEIVRHDVLYPIRFFGQVEVNPNGSRKWIGGEVMQALAYDVPIPGYQTKNTISLRLWEAKACADDFDLFLFNDGQLESASVLHSRAQQICSVLYPGDATEGGKLLRLKQQYFLCSASLQDIISRFKERRQGPWNWSEFPTKVAIQLNDTHPTLSIPELMRLLMDEEGLGWDEAWEVTSKTIAYTNHTVLPEALEKWSQSVMWKLLPRHMEIIEEIDKRFTTMISKTRLDLESELSNMRILDNNPQKPVVRMANLCVVSAHTVNGVAQLHSDILKSELFANYVSIWPTKFQNKTNGITPRRWINFCSPELSQIITKWLKTDKWVTDLDLLTGLREFADNEDLQAEWSSAKMANKQRLAQYVLKVTGESIDPDSLFDIQVKRIHEYKRQLLNILYVIYRYKKLKEMSPEERKSTTARTVMIGGKAFATYTNAKRIVKLVNDVGAVVNSDPEVNSYLKVVFVPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFALNGCLIIGTLDGANVEIREEIGEENFFLFGATADDVPRLRKERENGLFKPDPRFEEAKKFIRSGVFGSYDYNPLLDSLEGNSGYGRGDYFLVGYDFPSYVDAQEKVDEAYLDKKRWLKMSILSTAGSGKFSSDRTIAQYAKEIWNIEECRVP >RHN78047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11504425:11507594:1 gene:gene1562 transcript:rna1562 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPIPRIRALDTTMSHHLLLRITCNKQLRIRLPHLTLQLPSQTFTSRSTPNDSHKRNLFTSQRLHLSAINPQRHSLNCQVVSNLYIIIPRNHMRRIRNILPKLRNMKHIVQVRKMWWQTNSICHCTNSL >RHN54119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7048647:7059834:1 gene:gene29108 transcript:rna29108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase transcription factor C2H2 family MEILPCSGVQYAGESDCPQRGSGTAFVYQEEPNCPENVEQAKLVDGQLNGSLHNMQELEIERRDDGTQNVADLLTNSNCQCNGASCCNCQGEDQKGYGGFHDFDEDMINERYLTSENSLSVVDTIDSESPNNGREGDLSFSEPKWLEGDASVALWVKWRGKWLAGIRCARADWPLSTLRAKPTHDRKKYFVIFFPHTKIYSWADMLLVRSIDEYPHPVAYKTHQVGLKLVKDLTAARRFIMQKLVVGMLNIVDQFHLNALTETARDVKVWKAFAMEASRCNGYSDFGRMLLRIHNSILAHYISANWLQHSSHSWIERCQSTNSAESVELLKEELFDSILWNDVNNLWDSPVQPILGSEWKTWKHDIMKWFTPSPPLSSSKDTPRQISLDPYQTNLQVSRKRPKLEVRRADTHASKVEFKGADHAIALVNDPGFFKNQETLSTLEAEACKLENIGKVSITNDLSGNLTDKWNDIVVEAADSGFMHTRENELTPINEMAGVISAEPGSKNRQCIAFIEAKGRQCVRWANEGDVYCCVHLSSRFLASSGNAENPGQIDTPMCDGTTVVGTKCKHRALPGSLHCKKHRPYTETDQISCLPQNTIKRKHGENYTGSENMFSKDMVLVNVEAPLQVVPVPSIAGDSLHGESNLFGKPMHSEEGHVATEALNCIGSPPFDNKNPCREAPKRYSLYCEIHLPSWLKRARNGKSRIVSKEVYSELLKGCSSWEQKVQLHEACELFYRLFKSILSLRNQVPKDVQFQWALTEASKVTGVGEFFTKLILSEKERIKLMWGFNDEMDVTPVIEEQQPLLLMPPPINHSFDNENAIKCKICSTEFPDDQALGNHWMDSHKKEAQWLFRGYACAICLDSFTNKKLLESHVQERHHVPFVEQCMLLQCIPCGSHFGSSEQLWQHVLSAHHADFKPSKAHEQQAFSTGEGSVVKHDQGNSASMENNSKTPGGPRRLACRFCGLKFDLLPDLGRHHQAAHMGPNLVSNRPAKRGVRYYAYKLKSGRLSRPKFKKGLAAAASLRMRNKANANLKRCIQASKSIGLEETTTVQPHVTETTYISGLSENQCSAVAKILFSEIQKTKPRPNNLDILSVARLACCKVNLVASLEEKFGVLSEKLYLKAAKLCSERNVVVKWHHEGFVCPKGCNLLKDQALHSPLASLPNGFVIPKSVNFSDPASDEWEVDEFHCIINSQSLGSRKKAVVLCDDISFGKESVPVICVVDQELLHSLNADGSNEPDIISSKPWDSFFYVTKPIIDQSLGLDSESPQLGCACSYSSCCPETCGHVYLFGDDYADAKDRFGKPMRGRFPYDHNGRLILEEGYLVYECNRMCRCNKSCPNRILQNGVRVKLEVFKTEKKGWGVRAGEAILRGTFVCEYIGEVLDVQEAHNRRKRYGTGNCSYFYDINARVNDMSRMIEEKAQYVIDASKNGNVSRFINHSCSPNLVSHQVLVESMDCERSHIGFYASQDIALGEELTYGFQYELVPGEGSPCLCESSKCRGRLY >RHN58147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44081741:44083759:1 gene:gene33759 transcript:rna33759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CHR4 MGSVEIPTKVLTNTSSQLKMPVVGMGSAPDFTCKKDTKDAIIEAIKQGYRHFDTAAAYGSEQALGEALKEAIELGLVTRQDLFVTSKLWVTENHPHLVIPALQKSLKTLQLDYLDLYLIHWPLSSQPGKFTFPIDVADLLPFDVKGVWESMEEGLKLGLTKAIGVSNFSVKKLENLLSVATILPAVNQVEMNLAWQQKKLREFCNANGIVLTAFSPLRKGASRGPNEVMENDMLKEIADAHGKSVAQISLRWLYEQGVTFVPKSYDKERMNQNLCIFDWSLTKEDHEKIDQIKQNRLIPGPTKPGINDLYDD >RHN70102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48777275:48785354:-1 gene:gene18651 transcript:rna18651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA pseudouridine(32) synthase MMKRKREEKECMDIVWQTPANPPLPQDYIVRNGIRFVRPYYFEFIAHVKNRWAGKTIVDLFAEEFKGRPYEYYVSAVKCGRIQVDGEMVPVSYVVKSSQKISHFLHRHEPPVMACDVPILHKEPDVLTVCKPASVPVHPCGQYRKNTVVGILQAEHGLAPLFPVHRLDRLVSGLLIIARNATKADSFRQEIEAGLVKKQYIAKVVGEFPEDEIIVDANIDYNAREGRSTAEVRDSTKGKVASTKFTRISSNGTHSIVLCEPVTGRTHQIRVHLQSSGHPIANDMLYISEQTVDRSINGSTADRSACISDASLTSNFGEKVPKDYQENSNEDFRIDPMCTNCPNLAPKGYDTDEEGLWLHCMRYSGPGWTYECPYPDWAKL >RHN63440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51336642:51343314:1 gene:gene26138 transcript:rna26138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative quinolinate synthase MTFQQKYFFLFSTPLFNTYHYFVPIIINNNNIYYKSFFLYFRSISTHFCTSFTTMATTTLFPLSINPNHVLKPKRFILQPNQPLFKSLKSKTSISCSAVAFPKTTNPVAPLKLLGLAEEFRSLQEPADRMKRLLHYAELTPPMEETARVDRNRVMGCTARVWVEVKIDGEGKVRLTADSDSEITKGFCACLVWVLDGLEPEEVVKVSTEDLVGLNVGLPGTGRSRVNTWHNVLVTMQKKTKQLVAEREGKLKFEPFPSLIVTDDGVVPKGSYAEAQAKYLFPDELKVGELVNVLKEKKIGVVAHFYMDPEVQGILTAAQKQWPHIHISDSLVMADSAVEMAKAGCKFITVLGVDFMSENVRAILDQAGFNEVDVYRMSDELIGCSLADAAATSTYMEYLEPASKSTSLHVIYINTKLETKAYAHELVPTITCTSSNVIQTILQAFAQVPDLSIWYGPDSYMGANIVELFQQMTVMTDEEVAAIHPEHNVDSIKSLLPRLHYFQDGSCIVHHLFGNEVVEKIKEMYCDAFLTAHLEVPGEMFSLAMEAKRRGMGVVGSTSNILDFIKDRVQESLDRNIDDHLQFVLGTESGMITAIVAAVRSLLEPAKSSSQGAKVTVEIVFPVSSESISTTTPSLNPVEVGDIILHVVPGVASGEGCSIHGGCASCPYMKMNSLSSLLTVCSHLPDKENVISAYKAERFKLQTPNGQSVADVGCEPILHMRNFQATKKLPEKLVDQILHT >RHN67204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24916833:24921830:1 gene:gene15363 transcript:rna15363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target SNARE coiled-coil domain-containing protein MASSSDSWLKEYNEAMKLADDISGMISEHNSFPSSGPETQRHASAIRRKITILGTRLDSLQSLLSKLPVKSEKEMNRRKDNLANLRSKVNQMASTLNMSNFANRDSLLGPEIKPDAMSRTVGLDNNGLVGLQRQVMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLDEHVDVTDSRLRRVQKNLAILNKRTKGGCSCMCMLLAVIGIVGLVLVIWLLVKYL >RHN62061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40398392:40403258:1 gene:gene24591 transcript:rna24591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NusB antitermination factor MEGALLPLSSPSTSSSKLNHRFCFPSSLLNNHRYSHFPKFLPKPKINTVTSSPLSLKCSLRGSTLALDKDETSVSEITDTSSISLPKLDKTGRFCSPRAARELALSIIYASCLEGLDPVRLFEKRMNERREVGYEFNKEKLLEYNHMSFGGPPVIVETDEDANELLRNIQLESAIEEEVLAAPPKLVYSRLILRFTRKLLVAVRDRWDSHVPVINKVIPPNWQEEPAGKILELSILHLAMSEIAVLDTRHQIVINEAVDLAKRFCDGAAPRIINGCLRTFYRDLEASNNRV >RHN75257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40716327:40717902:1 gene:gene11430 transcript:rna11430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2AX MAGRGKSLGSSAPKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKAGPSKIVDDE >RHN57345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38268312:38273237:-1 gene:gene32873 transcript:rna32873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC transporter type 1, transmembrane domain MetI, ankyrin repeat-containing MVYFPQTLNVELCASESFNNTSLVSILPGAIVLPSEETDIETEPSEVTKILPNCSDNENKIELLDQVYRAGPMRSLSYSSLLIEIKTPTKNTVLHIAAQNGNDKIVSLVVEHAPTLLFEFNENNESALHIAARCGHISIVEKLLKAYANIERRDIRTAWLEYTNNLDSPKDYDEESNMEDLLENYDEDSNMKDLLEEDYDEKSNMEDLLKFVKIENVEGNTMFHEAMLCRDKKRIGGDKIFKACELYKIGDSSSKWCYEIVFLNVNHAKQSVLYLAVDSKDKEAVEVIMENCPSYVATPKGLSPVVAAIMKRNQEMLRVILQKKPTWIHSTDTYERLPLHYAASIGYLKGVELLLGICKCCTNQRDKYGYFSIHLASHGGHLKVVKKLLEYCPDPTEMLDTSFKRNILHVAAKNGKHELVQHILLQSRRIPELHKMINQKDKKGDTPLHLAAKSCHPKTVFYLTWDERVDLHLVNQNNQTALEFVNAISQFRDTSTREQLTRIALNSAGAKTRFKRLVHDKSRQSDSNSLQLGEGDTKSNKNKGQSDSKPNENNENVSNTTEPRYFFLTGSNKQYRDRVETLTLVSTLIITASVAACFAVPGEADGKANNLCHAMFQAFIIFITISLFSSISSTIILFLAKLGLTELVTFSLKIVLPLLGTALISLSLAFMTGLYTVISELTWLANLFMVVTPMLVVVVFLLYLVLFLPSSSTRKSMRYISYYPFLFLAWLTE >RHN77269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5572416:5580354:-1 gene:gene707 transcript:rna707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannose-P-dolichol utilization defect 1 protein MLSFELEVVGYTIALAYCLHKGLPFSAYGELLFLLIQALVLVAIIYYYSQPISTVTWIRPLIYCAVAPTILAGKIDPVLFEALYASQHAIFLCARIPQIFQNFSNKSTGELSFLTSFMNFGGSMVRVFTTIQENAPKSVLLGYGIGVATNFTILSQIVIYQKPQAAKEKKVK >RHN38473.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000011.1:143098:143294:-1 gene:gene50708 transcript:rna50708 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGWIESWSKRKRIGADSVQKYEDSEDKICLPQVRTLARPVLGLARPCHLPMPLLLLLLRF >RHN73565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17704149:17707000:1 gene:gene9390 transcript:rna9390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MAFSTVGTPDYIAPEVLLKKGYGLECDWLNNQCQLDPDPSERCFLLKISVLLAIHIGILQLSKGSVILLKREACHHDHQSTLHTVIL >RHN80331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37236511:37241527:-1 gene:gene4242 transcript:rna4242 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKTGFELILNYSSQCHKEKLQTESSAGANAASRANTIFSANDPLSEIVWTPDKGFSLKCVDSSFTNKNTSLLRDVEPSSMVLALLQSVTCATDKPVEDVLVQPLAVICAKSDVSSTDTPARNSRSDSVAIFPEYTPYQEHDTGSGDNLEKINSVGGTSDLANGQKENLMNHWEKNICAQACVEIEAAKISEIMEEENKSSTISGQINQSPVGNSQVHQDGSKLSMEQNPSPRKHYKECFDTSVDNMVVEIEDGSYNRVEHMIEDKGSNPLGTYLISSGINHSEKMDLTSQKDLQTFSCKAAISAATSRILVSKSNDNKNESKVNETMLPYNKNESHLSFENYQNTGLFLASRKRSKQEVIIGSKRVKMQIQETSSYSKSYVNHHSSFMNLVSNMTKGYSQSSQDEEKSLALAHENPDRHLRWPYKGQSPELKNSFKSNFQSTYCQCFENVGTRMSHQVGESSSNSLCRWCSSLHPQVEETKEQYADNQLVIETKKLQNCFINKEASSSIGLKDEKGNNEDISKHKFNNVTPFSRLRDSEAMVSMFAKRLGAIKQCQQTE >RHN46236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29858520:29859542:-1 gene:gene40692 transcript:rna40692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRRKFMAQILKFVYALILFLSLFFILINGDRIPCATDADCPPKILPIIHKCINNFCKLKLYN >RHN50371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6538019:6542844:-1 gene:gene34649 transcript:rna34649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MKFQEKEHLYGNNNKKQMLPKIILLAIFVIIIVLVTPLSYPLFGSSFLLMMSKSKQPNSSNVDSIEKENLPSTSLKNCDIFSGEWVPNPKGPYYTNKTCWAIHEHQNCMKYGRPDSEFMKWRWKPNECELPIFNPFQFLEIVRGKSMAFVGDSVGRNHMQSLICLLSRVEWPIDVSYTKDDYFMRWKYPSYNFTMAAYWTPFLVKAQRENSDGPTHTGLYNLYLDEFDEKWTSQIEDFDYVIINGGHWFYKPMVFYEKGKIVGCHYCLLENVTDLTMYYGYRKAFRTAFRALNSLENFKGVTFLRTFAPSHFENGIWNQGGNCLRTKPFKSNEARLEGTNMELYMIQLEEYKISQKKAKRNGLKFRLLDTTQAMLLRPDGHPSRYGHLPQENVTLYNDCVHWCLPGPIDTWSDFLLEMLKMERAKSKRERRQID >RHN43016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42568656:42571433:1 gene:gene49535 transcript:rna49535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MDISSSHRKRTLLKVIVLGDSGVGKTSLMNQYVYKKFSQQYKATIGADFVTKELLVDDKLVTLQIWDTAGQERFHSLGAAFYRGADCCVLVYDVNIHKTFDTLNNWHDDFLKQADTGNPDAFPFVLLGNKVDVDGGNSRRVTEKKAREWCASRGSIPYFETSAKEGYNVDDAFLCVAKVASENDHDLDIYFRGISETPSEAEQRSNCAC >RHN43166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43678286:43678541:1 gene:gene49700 transcript:rna49700 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQQPRKVEGANPKPPSPFPDQAKLISSSPLAASFASSKQTLLPKKVSGKKGEIGSVSQEF >RHN55962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26105304:26107185:1 gene:gene31262 transcript:rna31262 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEEKHLEDCSVSNALGTWVFSVAGALLAIPVGIKRKSLAPLVFFGTTGTMLDIIMGITACEREHAERQMQLLEAQNAAAETSLEETHTDS >RHN48024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44361619:44363297:-1 gene:gene42693 transcript:rna42693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MEFWNPTWQHAKLPFLLLSLLPFLLLLLNLCHVSATTGDMHVNIILKPITFREAPSFRNGDACNQERIHIAMTLDSNYLRGTMGAILSILQHSTCPENVDFHFLWATFEPEVYYAIKSTFPYLKFKIYRFESSRVREKISKSIRQALDQPLNYARIYISDIIEGHVKRVLYLDSDLVVVDDIAKLWEVDLGGKVVAAPEYCHANFTRYFTDMFWSDPELPRAFHGRNPCYFNTGVMIVDVEKWREGRYTQKVEEWMRVQKHRRIYHLGSLPPFLLVLAGEIKGVDHRWNQHGLGGDNIEGKCRSLHPGPVSLLHWSGKGKPWLRLDSRNPCSVDHLWAPYDLYRPTSHYFED >RHN82750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56115434:56118326:1 gene:gene6934 transcript:rna6934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MSFRVATLRRWSLHTVVFNFSSSPSPQFQSHSVLHNFLPWLENKASSTISSSLSISNSSYGNSLFASKSIQTGDCILQVPYSLQLTPDNLPPEIKPFISEDVGNIAKLATVLLIHKNLGQDSEWHPYISCLPPQAEMHNTIFWNESELEMIRQSSVYQETIYQKSQIEKDFLEIKPVFQPFCQSFGDFTWKDFMHACTLGFSLDF >RHN67099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23871659:23876472:-1 gene:gene15244 transcript:rna15244 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAQDPFYVVKSEIQESIDNLHSTFEQWKHTSDSAEKVRVTKEVLSGCESIEWQVDELEKAIAVAAREPSWYGIDDAEIENRRRWTSSARTQVGTMKKTVDAGKSSSTTSINGMHRELMRLPDSYQPYASNQNAANDNDDFIQSESDRQTLLIKQQDEELDELSLSVQRIGGVGLTIHEELLSQEKIIDELGNEMDSTSNRLDFVQKKVAMVMKKASAKGQIMMILALLALFIFLFFLVFLT >RHN60987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32067119:32069867:1 gene:gene23393 transcript:rna23393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MFSTETLVENFPGSVNGCMFGNIPVSVFSNQNPENAFKFEDSNSISPSSDSVPSSVITSNGESSESSKYSNPILRYISDILMDEEDLETKPCMLQDCLKLQAAEKSFYDVLGSVTGTSSSSESYSNCSYNTDNSVVDCDSPENNAYEVFDKIPYDPRGFFGSPSDVWKMNEEGSFVLGSRGLGEKRGYLMNCNDIGISHEEDLRRNKVSAVYYDDTELSEMFDDVLLCKDGKSPSIFCDNSESSQSQISGSGRSNGTSNGKTSRSKKGSGKGKKLSTTVDLWTLLTQCAQAVGSYDQRNANDILKQIRQHSSPSGDGLQRLAHYFADGLEARLSAGTPMYKLLQSSSAADMLRAHKVYITASPFQRMSNFLANRTILKLVENKSSLHIIDFGVFYGFQWPCLIQRLSERSGGPPRLRITGIDLPQPGFRPAERVEETGRRLVKYCKRFGVPFEYNCLAQKWDTLRLEDLKIDREEVTVVNCLHRLKNVSDETVTENCPRDAVLRLIRRINPNIFIHGVVNGTYNAPFFLTRFREALFHFSSLFDMLEATVPREDDQYRLMIEKGLFGRDAVNVIACEGAERVERPETYKQWQVRNKRARFKQLPLAPELVDRVKEMVKKEYPKDFVVDEDGKWVLQGWKGRILLAVSCWVPS >RHN76773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1207524:1208663:1 gene:gene149 transcript:rna149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, kelch-type beta propeller MDRCAAARMNNKSLGSDLEIEILLHLPVKSLMRFKCVEKSWNILIKSPSFVNRWRRHNSKYDKGHSLMIYHRPIDGFKPPYITLLSCDGGEELEHIYFCSLFPGDQFVDRIETYGNCNGVFFLKAFYRNSTLGHLILWNPTTKQVHLIPPAPSFCHSKYDDSLYGFCAFNDDCSINFKVVRLQQCAHVEKMIIPSGAEVYDLSTKSWTPVHHPPSFNRIPVRYNPSYTPVVNCVYHWIVTVDLYTTSNIICFDFHNNQFHQLKAPCRHVQHSSENIAEIKGSLAYILEYHHPSPTQLEIWIMDQNRWTKIYNIGPVPWTCCISDFWKDGDQVFGGKVGKLLASYDDQGNSLSDFQIYVLNYYRCLWGSEYLQSITPLST >RHN65973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7177888:7180470:1 gene:gene13881 transcript:rna13881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MSRIDRFLLSENWSLSWPNCFQMATSRGWSDHCSLLLCVDDANWGPKPVHMLKCWENFTGYKSFVCETWNSSHLEGWGSFVLREKLKLIKRDLSVWHRTHLNNLPARISVLKDRIGFIEVKGESSSLEEDEIAELHGLSEEVFALSRVNSSICWQQSRLQWLCEGGANSNFFHNFMSNRRRRNAIPFFLVNGVLVEGVQDVRAAVFDHFSSHYQAHRVHRPSMDGLFFRSLAVREGLDLIKPFSVEEVKNAVWDCESFKSPGPDGISFGFLKDFWDLLKGDVMRFLVEFHRNGKLAKGINSTFIALIRKVENPQSLNEFRPISLVGSMYKILAKVLANRIRLVIGSVISDAQSAFVKGRQILDGILIANEVVDDASKRKKELLLFKVDFEKAYDSIDWSFLEEVMVKMGFPILWRKWIKECVGTATASVLVNGSLTNEFSLGRGLRQGDPLSPFLFLLVAEGFNVLMEAMVARRLFHGYCVGSHDPLMVSHLQFADDTLILCEKSWANIRALRATLLIFEELSGLKVNFSKSLLVGVNVPGSWLSEASLVLNCKVGTIPFLYLELPIGGNASQLVFWKPLLNRINTRLLGWKSKHLSLVGRLVLLKVLVARNGMEDGFLRVGGRDGSVWWRNIAGLRSEGWFFNNVSHLLGDGTNVLFWTDIWLGELSLRDRFSRLYELSLFKGESVATMKALGWDEAGEAWKWKRRLFAWEEESVAELTLLLHNVSLQAQQKDMWIWKADSSSSSYTVQSAYKMIMTQAPLDQVTDMPSC >RHN53139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42260914:42263439:1 gene:gene37909 transcript:rna37909 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVHLLVLILVSFSLRCESWGWYSSNKESHSNDRSYGNQGTFRGSSAEFSIEAFNDPKGMKLIENAKNKMVGSNTCWQNAYQHLFAGCSEILSADEKRSRLAWHLSDCFQRDSGRVSFPHCDTKTSIVTCLRNLDDLAHKVYLEFYLETNSICYQLQLITQLVAQTVKNVETHIDVVLRHSKSVYEQTTKIAASQSQLEEGQEDMKRKLEDGVALLKESYSYLGKEIEKLRDEAIEIENEVIKVGNTMSSKMNTLQTKAEDIGNMAGVSLEKQQELLDGQSTALKGLNSLNEVQFKALEESRKSVQYFSEYGHKQQEELLQRQEQMQGLHDRLMENSKTVLSAQETFEAKQATMFVALDKIFALQNAMLLESRVIKAFFIYAISIFVIFMLTSTKQTYNVRPLLYIELCVALFVEVFIIRLTNDDIEQQTWIINKVRLFLMVAASAQLTYAIVTYRDYERLNHQMLLTLLNKINNMEKLKDSNWDFDTTDYVDWSQLIGTDLPDDVNCLDDPDFVIPEEVAENSITISTTKNYNLRSRNRLH >RHN76662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:251177:255630:1 gene:gene25 transcript:rna25 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribosylglycinamide formyltransferase MEIQHIVSGFSPKLSYAPSNPIGKQLISLKLPSLLSSSYPSLQSQNFAVPSGALYPISIAKKDGLCSSRIRVWCSSSSDTADPSNGHDGRRKKLAVFVSGGGSNFKSIHEASKRGSLRGDVVVLVTNKSDCGGAEYARQNGIPVIVFPKAKDGYDGLSANDLVDTLRRFEVDFVLLAGYLKLIPAELIRAYQRSIFNIHPSLLPAFGGKGFYGMKVHKAVIASGARFSGPTIHFVDEHYDTGRILAQRVVPVLTNDTPEELAARVLREEHQLYVEVVEALCEDRIVWRKDGVPLIQRKENPNETC >RHN59892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14481510:14489713:-1 gene:gene22059 transcript:rna22059 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMDLRRGINMDVDVVVTNLKSRARMIDTSEEIAQNIVFDAPTQSLNLEKVDKFAESSKSVLIPTDHCSFSHSTRKVF >RHN67192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24770683:24773395:1 gene:gene15350 transcript:rna15350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MRSLYILLIFVVASRSLYATTITSNTNSTFDVLTYGAIGDGQTDDSNIKGSITAPKDVKLWKFSSDRKNVWIRFSEINDLIVNGKGDIDGQGSSWWLRYPGDQDRPTALQFLGCQDLKLNDLTHRNSPRNHISIDTCKGAFISNLHIIAAPTSPNTDGIDISQSSNVFITNSFIGTGDDCIAINSGSRFINITGVFCGPGHGISVGSLGKNGAFSTVEQVYVRNCTFTRTSNGARIKTFTGGSGYARNIKYEDITLVEVENPVYINQNYIPVQDSAVEVSDVTFSNIHGTSTGKYAVELLCEPNIGCTDIVLNNINIKPISGGEALVSCSNAHGRCTSCVPNVLCLSQNNGTIVY >RHN54218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7897505:7901529:-1 gene:gene29218 transcript:rna29218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TUBBY family MSLRSIVRELKEMRDGIGNTSRRGVASRHSQRRTKSHIVPDITLTSLEPIQQGQWANIPSELLLDIIRRVEETETSWPARAVVVSCASVCKSWRAVTQEIVQTPEECGRLTFPISLKQPGPRDSPIQCFIRRDRETSAYLLYYGLVPSENETDKLLLAAKKIRRATGTDFIISLVADDFSRSSNAYVGKLRSNFLGTKFTIYDSQPPHGAGVQPNSRSSRRFHPRQVSPRVPACNYIVSTVAYELNVLRTRGPRRMNCIMNSIPVSAVQEGGNAPTPTSFPQITDDHFCSVSAQEQKGKDPIGDLSNSTLTELSVQSQGPFESLVLKNKSPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPSHNVPPAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >RHN59270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8939682:8944248:-1 gene:gene21274 transcript:rna21274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP diphosphatase MEIGMLPISASKRGKLLAAGYTTLHSILRTSTTHLARDIEVSESEALEILNFATRSSDLDKSSGSNANVIHGGQTAWDMLNEERFSSLITTSCLDLDNILGGGINCKEVTEIGGVPGIGKTQIGIQLAVNVQIPLDYGGLGGKAIYIDTEGSFMVERVLQIAEACIEDLSEYSHHFYKDNQAFGVKMHPNSILENIFYFRVCSYTEQIALVNYLDKFVTEHKDVKIIIIDSVTFHFRQDFDDMALRTRLLGEMSLKLMKLAKNFSLAVVMLNQVTTKHIEGSFQLTLALGDSWSHSCTNRIILYWNDDERHAYIDKSPSLKSASAPYSVTSRGIRNSTSSSKRIKMV >RHN60317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25133774:25134655:1 gene:gene22615 transcript:rna22615 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSMPCGNDSSGITAFTTMMLSINQTISCAGARDRSFV >RHN52621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37163640:37167665:1 gene:gene37315 transcript:rna37315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MLLFHLTKTSSLFFSTPIAMQSPSSYFSCNFTYDVFLSFTGADTRFGFTGNLYKALTDKKIRTFIDDKELQRGDEITPSLVKAIQESRIAIPIFSTNYASSSFCLDELVHIVECVKRKGRLVLPIFYDVDPSHVRHQTGSYGKGMTDLEERFKNNKEKLQKWKMALNQVANLAGYHFKLGNEYEYEFIVKIVKEVSNKTERVPLHVADYPVGIEYRLLKVKSYLLDTKFDDRVQMVGIYGIGGLGKTTLARAIYNMIGDKFECLCFLHDLRESSAKHGLEHLQQKLLSKTVELDTKLGDVNEGIPIIKQRLGRKKVLLILDDVDNMRQLQVMAGGLDWFGPGSIVIITTRDQHLLTSHGIHRKYQVDALNRIESLELFRWKAFKDSIGDSRYDDILDRAIAYASGLPLVLELVGPALFGKNIEEWKSILDRYERIPNKEIQNILKISFDALEEDEQGVFLDIACCFKGYDLGEVKDILCAHHGQSIEYHIGVLVEKTLIQIIHLGTDAVVTLHDLIEDMGKEIVRQESPKEPGKRSRLWFYEDIVQVLEENSGTSQIEIIYLKFPLFEEEEEMEEEVEWKGDELKKMKNLKTLIIENGRFSRAPEQLPNSLRVLEWPGYPSQYLPHDFCPKKLSICKLPGNGFTSFELSSSLKKRFVHLKKLNLDNSECLTQILDVSGLKNLVEFSFRKCENLVTIHDSIGFLNKLKILDAYGCSNLKSFPPLKLTSLEALGLSYCNSLERFPEILGKMENITDMFCVGTSIKELPFSFQNLTRLEKLRLWGDGKQILQSSILTMPKLLTDASGCLFPKQNAELSSIVPSDVRILGLPKCNPSDDFLPIILTWFANVEHLDLSWNNFTVLPKCLEQCCLLSLLNVNSCKYLREIQGVPPKLKRLSALHCKSLTSMSRRMLLNQELHEYGGAEFIFTRSTRFPEWFEHQNRGPSISFWFRNKLPTITLFVVCKSMWGNDADSTHNQGHYNELIPLNVQLFINGYEYGFCNLEVKQYHRHVFDLQLHDKSLKSILDKDGNVLKNEWLHAEVRYAGSKMKSIRIKSGINVLNHKSSLEDIQFTDPSL >RHN52326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33963743:33964670:1 gene:gene36989 transcript:rna36989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MKMNHLIICIFFAIAIFLCKASIAKPFLQSPTQFLPSTLEEPIAKPLEEPDVRKGRKKPPRHPQSPPGPPPPPPHLTFDHFKLAETWPPTFCKDPANNCFHDWPTINRFIIHGLWPAKKGSDELKDCDKHYHAPSTEHLNGIHTKLTDYWPSLHKAQFQVQANINFWLYEWKEHGTCSVQLLNFHDYFNVAIKLYQKHKILDILKNAGIKPGGTYSPDEISDAISKHVQFTPQIQCKKIGEISYLSEVRLCFTASKDPQYINCDHHGSLFGHECDAEVHF >RHN78805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18599650:18600678:-1 gene:gene2459 transcript:rna2459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MHIIIKIINFDSAASNFDSASASIVVHQENINHFDIEQLQSRLRRKLYGKRFLLVLDDIWNDDCVKWIELKDLIKVGTVGSKVMATTRSNSIASMMGTVPSYVLKGLSIENCLSLFVKWAFKEGEEDKYPNLVEIGKEIVKKCAGVPLVVRTLGSCLFSKFDLNKWELARDSEMWTLEQKQNDILPALKLSYDKMPSYLRHCFAYIAMYPKDHTFYAVEFNNLWTVLGLVQSRNGSEMQEDIVREYINELNSRSFLQDFKDFGYFCKFKVHDLVHDLAFYVAKKEFVVVKSHILRLPERVKHLSIVENVSICDSLFPKSRSVRTILFLIKVVSIDSETLLNT >RHN61780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38300770:38304180:-1 gene:gene24275 transcript:rna24275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MADGPNHPSIAQKLGGYSYLVSRLSSNSNYRNYSTSGTFTNGGVQTSGLALASPLSSFTVPSPAEKGPAGFLIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKSGRLSEPYKGIGDCFARTMKDEGVIALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGMVDVYKKTLQSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGGMQDSFFASFLLGWGITIGAGLASYPIDTVRRRMMMTSGESVKYNSSLHAFQTIVAKEGTKSLFKGAGANILRAVAGAGVLAGYDKLQLVLFGKKYGSGGG >RHN40698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19654028:19657020:1 gene:gene46892 transcript:rna46892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MVCTEKGKPQVLLFFTYLSLWCNTISTYVNAENTDSMKPGDILNASATSTLCSKQGRYCMNFNQNTDFDNLTYLSIFAKGKDNWLVWIANRDQPVDMDSAVLSLNQSGALKIESKIGEPIILYASRQHSNNSSNIVATLLDTGNFVLKDIQKNIVLWQSFDHPTDSLLPGMKLGVNRKTGEKWSLVSSISDSSPASGTFRLEWEPTRKELVIKHREKVYWTSGKLLINNTFEYISGEDFEVNVVSNKEEEYFTYWPNEDGFTKWTLLQTGLLINSEGRDIARADLCNGFNTNGGCQKWNELCRNPGDMFDSKLVYVNENMVYDIANTNYGINDCEEICWSNCSCFGFRNFYGNGTGCLFLVSTEGLNIASSGYELFNILVKNTDPKVSNKRIWIYIGIGTLTPFVGLFIVLLAVRKRRHVLRERERMRMQIEDLEGSRQYSDGDDLEGDLSNGDDLKVFTYSSIIVATNGFSSENKLGQGGFGPVFKGILPSEQEVAVKKLSKTSGQGMTEFRNELTLICKLQHTNLVRLIGHCIHERERMLIYEYMPNKSLDFFLFDSNRRKMLDWNKRFSIIEGIAQGLLYLHKYSRLRIIHRDLKASNILLDENMNPKISDFGVARMFTKQETEANTNRIVGTYGYMSPEYAMEGVFSTKSDVYSFGVLLLEIISGKKNNSFYSEDRPINLVGHVWELWKEGAVLQLVDPLLNESFSEDEVLRSVHAGLLCVEENADDRPTMSNVIAMLTNKIKVDILPKKPAYYGGTRVFEEETYGEDVDVDSTYENSYSHVQNIDSSSEEITKLI >RHN75027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38840656:38840926:-1 gene:gene11174 transcript:rna11174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Bile acid:sodium symporter MNSKNKRSALQERSCFLTNFFIFFYSFFPHLCNAFRPFLPLLSVLVAAICAGAPLALNVECIKSPLGVSLLLPVICHLL >RHN47955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43800779:43801064:1 gene:gene42613 transcript:rna42613 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEDLDCNKEFSALSEIETSTSLPIFFVSSLIVFGVLKRLLHVFCC >RHN56944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35115450:35115680:-1 gene:gene32414 transcript:rna32414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MAIHDGVDISAVSLGISIPLFSYVDQHGTIGIGSFHETSKAITVVSSAGNSGPISRTISNTASWLITVAATTRDMI >RHN65850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5811609:5815596:-1 gene:gene13742 transcript:rna13742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MKNQNKMLLLMVYTFLFCSMPTLSTQNTFTAIAPNQFMQFGDTLVSAAGRFEAGFFNFGDSQHQYFGIWYKNISPRTIVWVANRNTPAQNSTAMLKLNDQGSLIILDGSEGVIWNSNSSRIAAVKSVTVKLLDSGNLVLKDANSSDENEDFLWESFDYPGNTFLAGMKLKSNLVTGPYRYLTSWKNPQDPAEGECSYKIDIHGFPQLVNSKGAKVLYRGGSWNGFLFTGVSWQRLRRVLNFSVVVTDKEFSYQYETLNSSINTRLVLDPYGMSQRFQWSDRTQIWEAISSRPADQCDAYDLCGINSNCNGESFPICECLEGFMSNRFGGCVRKTHLNCPDGDGFLPYTNMKLPDTSASWFDKSLSLKECKTMCLKNCSCTAYANLDIRDGGSGCLLWFGNIVDMRKHPDVGQEIYIRLASSELDHIKNTRNLKRVRTVAGVIAFIIGLSVLVMVISAYRKKHGYIRKLFHKKEKEDDDLATIFDFSTITNATNHFSNRNKLGEGGFGQVYKGIMLDGQEIAVKRLSKTSRQGSEEFKNEVKMMATLQHRNLVKLLGCSIQQDEKLLIYEFMPNRSLDNFIFDTTRSKLLDWTKRLEIIDGIARGLLYLHQDSTLRIIHRDLKTSNILLDVDMIPKISDFGLARSFMGDEAEANTNRVMGTYGYMPPEYAVHGSFSIKSDVFSFGVVVLEIISGRKNRGFCDPRHHLNLLGHAWRLWIEGRTLELIADISYDDVISSKIIRFIHVGLLCVQQKPENRPNMSSVVFMLKGENLLPKPNEPGFYAGGDDTNSTKSSSKKCSINEASISLLQAR >RHN77061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3641817:3642671:1 gene:gene471 transcript:rna471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MIPLSPIHSSIHIPIMNTNMTSSNPTSSINVTTTDHNPNSSNDKMYRGVRKRKWGKWVSEIRLPNSRERIWLGSYDTQEKAARAFDAALYCLRGPHASFNFPNTPFTINVAFHGYQSLSPQEIQEVAAKYANESPPIELTQEQDHQVSSESQNDATNSNNSYSCSLSDNDGREQVDYGDLRMMDWTFKDMFVEMNGVANCFELFYGLENDTNSNAYSYPLDNDIGDLRTMDWTFEDMNGVVNDSDFYGLQNMQCSTQLFEEDNVDQIECEDAFSNHSILWSWNF >RHN60676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29336080:29339292:1 gene:gene23027 transcript:rna23027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP-dependent synthetase/ligase, AMP-binding enzyme domain-containing protein MQRSGYGPDGIYRSLRPSLALPNNPNLSLVSFLFTSVSSSPNKTALIDADSSQTLSFSQLKTQVAKLAHSLLNFGINKNDVVLILSPNNIEFPVCFLAAASIGAIVTTANPLYTVAELSKQIKDSNPKLVITVPELWSKVKSFNFNFPAIIINSGNATGSYSNFKSFEALIESAGEVTELPENDVKQTDTAALLYSSGTTGVSKGVILTHKNFIAASLMINMDDDLAGELNNVFICVLPMFHVFGLAVITYAQLRRGSTVVSMGRFELEGFLKVIEKYKVTNLWVVPPMILALAKQSVVGKYDVSSLKSIGSGAAPLGKELMEECARHLPHVAIYQGYGMTETCAVVSIENPRVGIRHSGSAGMLVSGVEAQIVSVDTQKPLPPKQLGELCVRGPNMMEGYYNNREATKQTLDNEGWVHTGDLGYFDEIGRLYVVDRIKELIKYKGYQVAPAELEDLLVSHPEIQDAVVVPFPDDEAGEVPIAYVVRLPNSSLSEEEIKKFIANQVAPFKRLRRVTFINSVPKTASGKILRRELIAKARSNI >RHN43327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44937458:44938839:-1 gene:gene49882 transcript:rna49882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubby-like domain-containing protein MGKVYPHQESTTSSKSHDHCLISRSNKRERYTVWMKSLVLHSNGCTVYDSKGNIVYRVDNYDKKGKREVNLMDQQGNLLCTIKKTLLAFGCWEGYKYCNNSSLKSQEEQPWFKVKRCHKVFSGKIACQIKVGCQNLCIERISIGKSFAFRIINKDGEIIAEAKQKLSSSGVVLSNDILTLDLAAGTDHSLIMALITVYGLICGKM >RHN66088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8471226:8471963:-1 gene:gene14003 transcript:rna14003 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFELKELIVITSQNSNISNGSRLSNDNNSRMLLLFSCFCMTISFNMAVAVAIVATNTIATTASTPSPNDSHALASHYNGRGGRFLFFVTKKRREMLTSKTLFETVKWQL >RHN51058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13474232:13474876:1 gene:gene35428 transcript:rna35428 gene_biotype:protein_coding transcript_biotype:protein_coding MRFATKYQGSSILNLRATQVHSMENSTLDKELSLFQKHVTDRFNELSLVSNDNLLSLSWVSKLLDTFLCCQEEFKMILHNHRSMVCKPPLDRLVNDFYEMSVKALDVCNAIRDGVEQIRQWEKSLEIVLCALDNKRIIGEGQFRRAKKALVDLEIGMIDASSKDSNNVSSFGNKNRSFGRNNVNRDKGSSQVGHFRSLSRSVSRNWSAENNYKQ >RHN61508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36019776:36023006:-1 gene:gene23981 transcript:rna23981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MYKTHTHRMLYNSFVFLKMRQNRIVNNHQNIIFPLTHMADSVVAFLLEHLSQLLQHEANLLCGVQDRIISLRNELEIINAYLKTSSRGNRNNNKEIEQKVLSQIRDVAHVAEDVIDTFIANIAMYKKRNMLGRMLHSVDHAKLLHDVAEKIDKIKTTLNEIHENRIKYYQESSDQSSSAREEEERIQSLHRLRRNVEEENVVGFVHESEVVINRLIVDDSSSPRLKVVSIIGMGGLGKTTLARKIYNSEEVKNHFDCRAWVYVSNECRSRELLLGLLQNLMPNHINNDCRSSSSNIKKKGKKKHKEGVNNSQDISSLSNDELKKKVWECFKWKKYLLVLDDLWKIQDWDEVKDAFPDGNRGSRILITSRLKEVASHTGRDPPYCLQFLTEEHSWELFSKKVFRGEKYPCDLESLGKQIVKSCGGLPLSILVLAGLLANKEKSHREWSKLLGHVNWYLTRDETQVKDIVLKLSFNDLPSRLKPCFLYLGIFPEDYEICVRQLLQLWVAEGFIQETGNRDPNDVAEDYLYELIDRSLIQVAQVKDSGGVKTCRIHDLLRDLCILESKEDKIFQICTDSNILIPTKPRRLSVHSTMSHYVSSSTNDHSCVRSLFCSDPNCFVYSDEWKWLTKGFKLVRVLDLDGKYCFKIPSNLGNFVHLRYLRIDSHYIRSVPDSICSLQNLQTLYLGPSIRVTTISFPCGITKLKHIRHLYTCGPIKLRGDCSESNGDAMWNLQTISSIVLDKRTTYLIEKGSFPKLRKLCLQISSNFKGDVPKMLISLQHLRHLNKLEIYFQVKDWPHSRWEINCKAEEVLQSLKHLRHLSILKIRNALDLFTCVAMFPPNITKLTLSCISCLNDDGINAIGNLTKLQILILTGDNWFLDFWPSDSLFDINCIEDGFPQLKEFQMGNLPVRNWKLANGSMSRLQILVIHHCDKFDSLPSELWSLTTLRKVCVRKPSDAMAAMLQNLEVKNGCELIVE >RHN62446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43480135:43481525:1 gene:gene25025 transcript:rna25025 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVIFALLADTGNLRFRDLAGKNSNDGGSNGWIDVINLMVLYSLGNLSLYPIFYTTN >RHN64581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60196755:60197870:-1 gene:gene27409 transcript:rna27409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRSPCCAKEGLNRGAWTAHEDKILSDYIKLHGEGKWRNLPKRAGLRRCGKSCRLRWLNYLRPDIKRGNISSDEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTNLGKKVKDLQQQNTNNSTPKNAKIAHKQNNPKPMVPNSHVVRTKATKCSKVLFMNSLPNSSTMQNLQNKVETETKTKPSMHVDSRDSDSMSNNQMENGNEFLSFSDAKKGLSTDLLVDFNMGDHDISLSELLNSDFSTACNFDYNELLSPCSDQTPMFSDEILKNWTECSFVDEKNVTNNLHYFTSFLESSEDVLGE >RHN75774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44955324:44957364:1 gene:gene12022 transcript:rna12022 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEGCECRPLGFLLGLPFALLALILSLVGAVIWIFGSILSCLCPCCICCAGLANLAVGLVKLPVRVLKWFTRQIPC >RHN63130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48710016:48716204:-1 gene:gene25793 transcript:rna25793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetraspanin/Peripherin MACRGCWECLLKLLNFILTITGLAMVGYGIYLLVEFSRASDNSMMLSAPSDDQTLVQLGRPMLMALPLSDNIFDNLPKAWFIYLFIGVGAVLFVISCFGCIASMTRNGCCLSCYSILVVLLILVELGCAAFIFFDKSWKEEIPRDKTGDFDMVYNFLRENWTIVKWVALGIVIFEALLFILALIVRAANRPVDYDSDEEFINPRQQARQPLLNRPAGPAPGVPVTGTTDPRSNRNDAWSTRMREKYGLDTSEFTYNPSESQRFQQVNSQPAEERSRCTIM >RHN71636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1562241:1563821:1 gene:gene7256 transcript:rna7256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein MAAATSGAVLNGLGSSFLSGGKRSQTLLATTAIGAKVGVAAGSPKRLIVAAAASAPKKSWIPGVRFGGNLVDPEWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGVPWFEAGADPNAIAPFSFGTLLGTQLILMGWVESKRWVDFFNPDSQSIEWATPWSKTAENFANATGEQGYPGGKFFDPLSLAGTIENGVYIPDTEKLERLKLAEIKHARLAMLAMLIFYFEAGQGKTPLGALGL >RHN47312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38743245:38743811:-1 gene:gene41892 transcript:rna41892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MADPSAIYEFLMKQQTSSSPSPPPPRTTRRSSQPQQPSRIFQCQYCHRKFYTSQALGGHQNAHKQERAAARSKTINLNTTNNNNNVVPFSPPPQSYVSEQHEQGGFFHHYPYWQQMEPIQFQTPQQHHVEAATNTFHPMVPCNGYASGFGASSSTPHYVFSNNAASASTSPQFVDASDHVNLDLTLHL >RHN81438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45885184:45885692:1 gene:gene5479 transcript:rna5479 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFFISCLLFLNSGSLRTESSDSEKSEDNDEDDGGSESKRRKVKNLGSSIMRSASVLARALRRCEEKKEKRHRELIELEQRRIQMEESRNEVHRQGIATLVAAVSNLSGAIHSFINSEHHGQR >RHN38567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:292630:294278:-1 gene:gene44521 transcript:rna44521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CCR4-Not complex component, Not1 MSFFLNLEGRTPHAQSATNAFQQHLYSPTNTDVIAALDIFQTLINDLDTEGRYLFLNAVANQLRYPNTHTHYFSFVILYLFTESNQEIIQEQITRVLLERLIVNRPHPWGLLITFIELIKNLRYNFWNRSFIRCAPEIEKLFESVSRSCGGPKPVDESMVSGWGLT >RHN42761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40731705:40734654:-1 gene:gene49247 transcript:rna49247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MEVTKLIVLPAVLALFVLFLHFIKRIIKLRKLNLPKGTLGFPFVGESFEFLKANLEGKQIRFIQERMKKYDSKVFKTSLFGENIAVFCGPAGNKFLFSNENKNVQVWWPSSVKKLLRLSLVNKVGDEAKVTRRLLMSFLNPETLRNYLPNMDRIAQHHINTHWKGKEQVVVYPIIQLYTFELACCLFLSMEDPIDVSNLSSYFEEFLKGIIGFSINFPGTRFHKAMKAADEIRKEIKMIMKKRKVDLDEKKASPTQDLLSHLLATPDTSGRFLNEVEIIDNILLLLFAGHDTSRSVLSLVMKYLGNLPQVYEQVLKEQLEISQGKEAGELLQWEDIQKMKYSWNVASEVLRLSPPVGGAFRDAIKDFTYADYNIPSGWKLHWNTHTTHMDPTLFSNPEKFDASRFEGEGPTPYSYVPFGGGPRMCLGQEFARLEILVFMHNIVKRFKWDLVNPDEKFKYDPMLEPENGLPIQLQPSQYTW >RHN46782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34847491:34848757:1 gene:gene41310 transcript:rna41310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium binding protein MLGLFETCFTFLNKKAKFLFNQPRSMNIIREPNTRLSSFVDMEMSNQFKQVFKLIDTNGDGKISTSELSELLSCLGCKDSIAAKEAEGMVNVLDSNGDGFVDLEEFMVVMDDKEGKFGCANDKEQDEYLMDAFHVFDTDKNGLISAKELKRVLINLGFDHCSIGECKRMIKGVDKNGDGFVDYEEFRSMMKSGQD >RHN58441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1762902:1763813:1 gene:gene20356 transcript:rna20356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MVMISNCFPSLEELDLSNPKKDGNFAAKAMLLELPKLHKVNLSGHHYANDSLLLHLCKNCVFLQEILMLNTYNLTDNDIASAIRERPGLRSISITRMTFGNLNIFIQSLVNLMGLTCLDLSYSFIPDELLSSVAEKGLPIRKLFLQGCFDTYVGIFNLLSKCQFIQYLDLQNSKFMNDLHVIELSSFLSDLLSINISKCDSLTHLALFALLKNCVKLSDVKMEYTTIGKMSVENSYTLMDFDVYPQLKSLRLANNPFLRDEDIDMFVSVFPNLQLLDLSYFYGISTEGIGQVLRKSSKIRHLN >RHN82284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52566446:52570369:-1 gene:gene6434 transcript:rna6434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bilirubin oxidase MEKTVLLKLLTILAHLLCFVSLSSSSAAATVKLINASKLKMFVDDLPNMPKVYGYKVVDGVPKSKSLKIGMFKKKWKFHRDLPPTTVYAYGLNKHKATIPGPTIEALNGISTDVTWQNHLPPNHILPWDPTIPTSLTKTTNGIPTVVHLHGGIHEPESDGNPNSFFTAGFKIKGPTWTKKSYHYPNNQHPGNLWYHDHAMGLTRVNLLAGLIGTYIIRDPSIESTLKLPHGNEFDLPLMLFDRSFKTDGSIFMNSTGNNPTIHPQWQPEYFGDAIIVNGKAWPRLTVRRRKYRFRIINASNARFFRLFFSNGLRFVHVASDSAYIGKPVTSNETLVGPSEITDVIVDFSESKSNVAILRNDAAYPYPTGDPVDETSGKVMKFVILPDKEVDTSRIPEKLVEYPVVDLSSVTETRYIAMYEYTSDIDEPIHLYFNAKPYEAQVTEIAKVGSSEVWYVINLTDDNHPLHIHLGLFKVLDQTELVKSDEFKDCMTKNNDAVKCHVDEHARGKKVKVPDYEKGWKNVFKMRPGFVTKIVVRFAYIHTNATYGFDATKESGYVYHCHILDHEDNAMMRPLKMIK >RHN50520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7892047:7897352:1 gene:gene34816 transcript:rna34816 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysosomal cystine transporter MEETAWNSETFKIAYTVFGWIAFVVWSSSFYPQFILNYSRKSVVGLNFNYLILNNTKHTLYLIYNASLYFSPNVRFQYHQKYGFDQMIPVAANDVAFSTHAVLLTLALLFQVAIYERGNQSISKITMGIITVVWITVGVCSFIAFPSKSWLWLISIFNTMQVVLATIKYIPQAFMNFMIKSTDGFSIGNVFLDFCGGMANYAQMVIQSIDQNSWVNFSGNLGKVLLSLVCMFFDLLFMCQHYVLYPSKKTSSISPSKLNDKIKEPLIKSPSNVPVAENV >RHN61843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38790655:38795326:-1 gene:gene24344 transcript:rna24344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MVSVLVNALFPTLFLTLFLYSHQTKSEDFNSFSNETNFEVSNIAGTCNLFRGKWVYDASYPLYDPSTCPFIDPQFNCQKHGRKDKLYQKYRWMPFSCNMPRFNGLNFLKGNKGKKIMFVGDSLSLNQFNSLACMIHAAVPNSRSTFRQRDAISSVTFEEYGLELFLYRTAYLVDLDHDKEGRVLKLDSIKSGEAWRGMDVLIFNTWHWWTHTGSSQPWDYIQENKKLYKDMNRFVAFYKGLQTWARWVEMNVNPAQTKVFFLGISPVHYQGRDWNQPTKSCMSEKVPYFGLKYPGGTPMAWMVVNKVLRKMSKPVYFLDVTTLSQYRKDAHPEGYSGVMATDCSHWCLPGLPDTWNQLLYAALSH >RHN53857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4765630:4767375:-1 gene:gene28816 transcript:rna28816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system H protein MALRMWASSTANALKLSSSSSRLHLSPPFSISRCFSTVLDGLKYASSHEWVKHEGSVATIGITDHAQDHLGEVVFVELPEPGGSVTKGNGFGAVESVKATSDVNSPISGEIVEVNDKLTGKPGLINSSPYEDGWMIKIKPSDPSELESLLGAKEYTKFCEEEDAAH >RHN71074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56294090:56295306:-1 gene:gene19717 transcript:rna19717 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLSHKYFNLPMYVLQEALVDKQIIYSVQLKSSALYAKCSFLSAFLQFNRIPEYSANRLYYFIVNAQCNCWLNPLDARLLEV >RHN46315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30657969:30662216:1 gene:gene40795 transcript:rna40795 gene_biotype:protein_coding transcript_biotype:protein_coding MWSDIDLRPQKDKAKQKIGAKAAMQSRNRHKLKTEFVVDHCQKPQIDTLGEILKRESVNESSTTQHEELVKHMSNLPGYLKRSDRGRKNIQEKALNFGVLDWSQLEKWKNKQSFNSIEESSSSSRMATTSSSTSRRHKKLDDKKGLCSSQNVKQCRNSGKKIIEREHRMKSREFESIGKIQLDKSLQKEKRRDYVDEAISMQGLQHHSLKKKERSLHSGFENRLPPLERKDKGVSVGSEKKVSSRSNETKLRMDQWKDSGTDVDQKNSHSIPRDIVLLRPRKFLQSNFEDYFPLSQSIASSDEIFSESSLSGSSYISLPEEAYTENACSETIHSSVILPSVTDLASSSETTRDSFDSDLDIDFSSGRSNKPGCSNKISSFQSNIDTFIEKDMLDTKLRNQCSIGNTKESTESDRNNLSQNQLSFGLNRIGRSLSFKEGPTIPKQSSMPISAKSGPLIFESSRLNNCSKDNKVHGHKRNISSTFMRLLDPIWKNKASNTQHHSSESSLTPIGSPNSTSFRTNKESSIKALLQLTIKNGLPLFHFVINSERKVLAATMNSLASPEKDDGSCYFTFYLLDEIKKKSGRWTSHWSKEKNCGYAYNIAGHMKISSSKITESKDENFKGQCLVKDYVLFGIGIDQPDQRPQEFVKRKELAAAVIEIPCENDVNLPKKECLKCLLADKRCFCIPQENDISGSSITVILPGGLHASPNKGEPSPLIHRWKLGGICDCGGWDVGCKLLVLSNQNLTSKPHLEKFQLFVQEGTDQDTALFNLEPLKEGFYSVEFSSTITNLQAFFISVSLLSSKKLPNTLDMSKELNSKEIATKYYNSVPPLSPVDRV >RHN71646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1626052:1626399:-1 gene:gene7267 transcript:rna7267 gene_biotype:protein_coding transcript_biotype:protein_coding MINCLIYLMVQPNHRYYYPQPQYPYHHHHQPYPFDGSYFDRRMVEPSHPMGAGQVGYDRYGRPYPFYDSRYSFHGRVDPYTSYQAYYETPLLPFPQPPPPASVHPFWTPCSCTIM >RHN56074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27413380:27418269:-1 gene:gene31400 transcript:rna31400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan 1,3-beta-glucosidase MKNCINLKIWFLNLIIMLFVMLSTTHGRPNQKFRLKAVNLGGWLVTEGWIKPSLFDAIPSKDFLDGTGLQFKSVTTKKYLCAESGGGTILVANRSSASGWETFKLWRINKETFRFRVFNKQFVGLDGYNVVAVSNSSIDSETFHIVKENDNSTRVRIKASNGYFLQVKTEEVVTADVSLVNEWRNDDPTIFVMTISDRMQGEFQITNGYGLKKAPQIMKEHWSTFIVEDDFKFIARNGLNAVRIPVGWWIASDPTPPWPYVGGSLHALDNAFLWAEKYGLKVMIDLHAAPDSQNGYEHSSSRDGAQEWGKTDESIKQTVQVIDFLTARYAKSPSLYAVELLNEPRSPDATLESLNKYYKDGYEAVRKHSSTVFVVFSNRLGSSMPREFFPLANGLMGSVIDVHYYSIFGDEFTNMSVQQNIDYIYNNRSSDLNFVTTSNGPLIFVGEWVAEWQVKGAIKNDYKRFGKAQLDVYGRATFGYAYWAFKNVNKHWSLEWMMKNGYIKF >RHN39374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6549423:6550708:1 gene:gene45392 transcript:rna45392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rossmann-like alpha/beta/alpha sandwich protein MQMITNELGAATAAKTTVIAINTGRNSQCAVKWAVDHLLKKNSNCILIHVRTKPLNSSDHIVDVPKHGRPPTHEELHQFFLPFRGFCARKGIEAKELVLHDIDVPSALTAYVIENFINYVVIGASASPWSVLIRS >RHN53044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41464761:41465927:1 gene:gene37793 transcript:rna37793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate carboxypeptidase II MDHLKVRELNDRLMMAERAFTDRDGLLGIPWYKHLIYGPSKHNNYGSQSFPGIYDAAKMARSLHSAESWSQVQHEVWRVSRVIKHASLVLSGQLT >RHN55486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18907061:18912196:-1 gene:gene30674 transcript:rna30674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chorismate mutase MESLLHQHHHHALISSVPTKPTSHVPSKPTIFLRYHHNFLRPLQLQATSSHSSSSFPFRHLGKTRVDESRSLTLDCIRHSLIRQEDSIIFNLLERAQYSYNADTYDKAFFSDGFHGSLVEYMVHETEKLHAQVGRYTSPDEHAFFPTNLPEPLLPPMKYPQVLHHCANSINLNKMIWNMYFKELLPRLVKAGDDGNSGSAAVCDTLCLQALSKRIHYGKFVAEAKFQECPSVYEAAIKAKDRKRLLELLTYESVEESVQKRVEMKARTFSQEVKINEAGDVADPVYRIKSGLIGNLYGKWIMPLTKEVQVEYLLRRLD >RHN47173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37661411:37665785:-1 gene:gene41738 transcript:rna41738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trafficking protein particle complex subunit 2 MIVCVAVVGHQNNPLYIQSFTEADDALKLHHIVHCSLDVVDERVNNPKKSGPMLNETFLGLLYPIENYKVYGYLTNTKVKFILVTTDIDVKDADVRNFFRRFHAAYVDAVSNPFHVPGKKITSRTFAERVSTIVKSFGFSSAA >RHN79121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23479724:23482721:-1 gene:gene2837 transcript:rna2837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative remorin MLNDQRASTSHGREQNDDYDQEDEEIIREIHALTPPHSQRRQSQHSHQSSLFSIANENFTSISREFSALVQAGSSIDHNNLSPMMTSTTSNTNSNERESNNNIRSFHNLLRIRENELMEETNPLAIVADNNPLELHPSSSSSSSSTINGHHQSEVSVQRVKKEEVESKIAAWQNAKVAKVNNRFKREDAVINGWENEQVQKANSWMMKVERKLEEKRAKALEKMQNDVAKAHRKAQEKRASAEAKRGTKISRVLEIANLMRVVGRAPSKRSFF >RHN50525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7914552:7919005:1 gene:gene34821 transcript:rna34821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MGQENQSPKDSCAADSKVIVGNETDCTSANAHPVSLTQMETNDECQTSNTSNEDQLHIDVGRGPLCQNPDATSISVTVTDVKNEEEIYVAPNIGMEFESEDHAYKCYNKYAILKGFSIRKDFINKSRIDGSVMSRRFTCHRQGFRPNKGYTNPKKFQQETRTGCLAHLTICRQPNGKFRVTSFETEHNHEFITPCNAHMLPSQKKMNFAQALEVNITESTLDGVPKLGMGFDSEEHAYEFYNTYAAQVGFSVRKDYVNRSKVDGAVASRRFTCFREGFRQKEKRDTDVKRPRKDTRIGCLAQLIISRQPDGRYHVSNFEEKHNHELVAASRVHMLRSQKRLAATQAEDNIVDEGFHDLDYDLVNYEYQLPFKRTREIQEEEVEKIRHYFQSKKSKDPSFFYAFQHDAENQIANIFWADAKMKADYSDFDDVVCFDTSYKFYKDSRPFAPFLGINNHRQVLIFGAALLYNESVESFKWLFHVFMEAMSGTKPKTILRDQDAIVAEAVNSILPQTNQRVCVWHVYQDALKQLSHMLVESDSFVNDLSSCFFNHEEEEDFVNAWTTLMDMYNLWENEWLRQIYETRDQWAIAYERHIFCADIASLLVQENLTGNLKKHLKNDSGVLPFLKHLGKMVTDWHYKELEANYDASRHMPALMGDIITLKHARDPYTPKIFELFQKEYEACLNLVLEHCSESGLLYNYKVSVYEQVREYTVTFNSSNETISCSCKKFECVGVLCCHALKVLDYRNIRIVPSKYILKRWTKDARV >RHN77725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8984135:8992819:1 gene:gene1209 transcript:rna1209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate synthase [NADH], amyloplastic MLTGMIKAREGLLKCKELGLSENDLKKFLPIVDANSSDSGCFDGVLEFLLHSGKSLPEAVMMMIPEAWQNDKNMDPQRKAFYEYYSALMEPWDGPALISFTDGHYLGATLDRNGLRPGRFYVTHSGRVIMASEVGVVDIPPEDVCRKGRLNPGMMLLVDFEKQIVVNDDALKEQYSLARPYGDWLEKQKIELKDIVDSVHESDIVPPTISGVPPLSNDDVDMENMGIQGLLAPLKAFGYSVESLEILLLPMAKDGVEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMRCMVGPEGDLTETTEEQCHRLSLKGPLLSTKEMEAIKKMNYRGWRSKVIDITYSKERGKKGLEEALDRICTEAHNAISEGYTTLVLSDRAFSKKRVAVSSLLAVGAVHQHLVKTLERTRVALMVESAEPREVHHFCTLVGFGADAICPYLAIEAIWRLQVDGKIPPKASGDFNSKDELVKKYFKASTYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIEKCFAGTPSRVEGATFEMLAQDALDLHELAFPSRNFSPGSAEAVALPNPGDYHWRKGGEVHLNDPLAIAKLQEAARTNSVEAYKQYSKIIHELNKACNLRGLLKFKDAASKVPISEVEPASEIVKRFCTGAMSYGSISLEAHTALATAMNKIGGKSNTGEGGEQPSRMEPLADGSRNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAITRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPAARISVKLVSEAGVGVIASGVVKGHAEHVLISGHDGGTGASRWTGIKSAGLPWELGLAETHQTLVANDLRGRTTLQTDGQLKTGRDVAIAALLGAEEYGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFLFMVAEEMREIMSQLGFRTVNEMVGRSDMLEVDKEVVKGNAKLENIDLSLLLRPAAELRPEAAQYCVQKQDHGLDMALDNKLISLSNAALEKGLPVYIETPICNTNRAVGTMLSHEVTKRYNLAGLPADTIHIQFTGSAGQSFGAFLCPGITLELEGDSNDYIGKGLSGGKVVVYPPKGSNFDPKDNILIGNVALYGATRGEAYFNGMAAERFCVRNSGAQAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYVLDVDGTFQSRCNLELVDLDKVEEEEDIITLRMLIQQHQRHTNSLLAKEVLVDFDNLLPKFVKVFPREYKRVLASMKSDAASKDAVESAAEDVDEQDDEAQAVEKDAFEELKKLATASLNEKPSEAPKRPSQVTDAVKHRGFVAYEREGVQYRDPNVRLNDWNEVMMETKPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWQEALERLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKNIECAIIDKAFEEGWMIPRPPVKRTGKRVAIVGSGPSGLAAADQLNKMGHIVTVFERADRIGGLMMYGVPNMKTDKVDIVQRRVNLMAEEGINFVVNANIGLDPLYSLERLREENDAIVLAVGATKPRDLPVPGRELSGVHFAMEFLHANTKSLLDSNLQDGNYISAKGKKVVVIGGGDTGTDCIGTSIRHGCTAVVNLELLPQPPPTRAPGNPWPQWPRIFRVDYGHQEAETKFGKDPRTYEVLTKRFVGDENGVVKGLEVVRVRWEKDETGKFQFKEIEGSEEIIEADLVLLAMGFLGPEATIAEKLGVERDNRSNFKADYGRFSTSVDGVFAAGDCRRGQSLVVWAISEGRQAAAQVDSYLTKEDHGIDGNQDEFVKRQQDLNKKHSKHTVMT >RHN65521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2867689:2871734:-1 gene:gene13368 transcript:rna13368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAETAVLFALGEVFQFLKEETNLLRGVHRDFSDIKDELESIQVFLKDADIRAADEADINDGIRTWVKQLREASFRIEDVIDEYLRLIHRANPHGRGSLVNKVTSRIKTLIPHHKIASEIQDIKLSIRGIKERSQRYNFQISHEQGSSSQRNRSGETENGRWRDPRLSSLFIEETEVVGIEGPKEELSGWLLEGISERKVISVVGMGGIGKTTLAKLIFDSQKVTTNFDCRACITVSQSYTVRGLLINMMEQFCRGTEDPLPLMLHKMDDQSLIIQVRQYLQHKRYLVFFDDVWQEDFSDQVEFAMPNNNKGSRIIITTRMMHVAEFFKKSFLTHIHNLQLLPPNKAWELFCKKVFRFEPGGHCPPELEAVSKEIVLKCKQLPLAIVAIGGLLSTKSKTMIEWQNVSENMRLELGRNAHLTNLTKILSLSYDSLPYYLKPCILYFGIYPEDYSINHRRLTRQWIAEGFVKSDERRTLEQVAEEYLSELIHRSLIQVSNVGFEGKVKTCQVHDLLREVIIRKMKNLSFCHFVHEGESVAVGISRRLSIASHSNNVLMRANNSHFRAIHVFGKGGLLEPFIGKLCSQSRILKVLDIQGTSLNYIPNNFGNLFHLRYLNLRNTKVKVLPKSIGELQNLETLDLRDTSVHEMPSEINKLTKLRHLLAFHNNYDAKYSLLGFTTGVLVKKGIKNLTSLQNLYYVEVDHGGVDLIQEMKMLRQLRRLGLRHVRREHGEAISAAVEEMQHLESLNITAIAEDEIIDLNFASTPPKLRRLHLKAKLDTLPEWIPKLEYLVEIKLALSKLKDDPMQSLKNLPNLLKLSVWDDAYDGEILHFQNGGFQKLKELILSYLNRPNSILIEKGALISLEYIRLEKILQLKEVPSGIKHLDKLKIFELSDMPDKFVNSIEPDKGHSYWIIKHVPLVFFRRWVGPKFYDYEIHTIKSSSKES >RHN74013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23501725:23512345:1 gene:gene9938 transcript:rna9938 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLRCELADITCHKLTTFGVSVRCLIAVALTTVSLSRLASIKGHVKMIVNKKEVQTSWRRVFTQLYDMFESKFSQMGFHIFIKYNVGGLQVSMWYSWVTFMVKEAKSPCNSNYCSEFS >RHN41266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28746678:28749514:1 gene:gene47570 transcript:rna47570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative splicing factor 3B subunit 5/RDS3 complex subunit 10 MQASDRFNINSQLEHLQAKYVGTGHADINRFEWAVNIQRDSYASYIGHYPLLSYFSIAQNESIGRQRYTFMQKMLLPCGLPPEREEE >RHN57465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39339329:39342130:-1 gene:gene33012 transcript:rna33012 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSYSVDEIIIQPATKRVKLDESENEDRLSDLPDCVILHILSFLNAKQAVTTSILSLRWRDLWKLLPALILDSSDFRTIPIFNKFVHRVLTLRDSSLALNSLNFRHNQPRIIKSVVNYAISHNIQRLRLYVGCHIAQIPPSVFSCQTLTHLELYFGEQTLFPKSLNLPSLTSLYLKNFAFCADENGRAEPFSAFNRLNSLFLCGCAVRDTLTLCISSATLVSFTVRSHSYDFYEIELCTPSLGTFGFTEIFHMDMQPSLILLGWLLGLTDIKSLTVTASTLQVLCLNSNILKTKLPSLGNLKLLKVNKKPLAYGFRKLLIDIKVQKIRPRKEGAKLRKSFKAGLEPSAPIPDGIVDFLIQNSPLADVEIVDCTWRKTQSTS >RHN54046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6502758:6505084:1 gene:gene29025 transcript:rna29025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MYPPPEQGKPTYNQPPAAATGIPVSYNSTTSAYSGASSDYAPPPPPKPLVEWSTGLCDCCSASSDPRKSCITFWCPCITFGQVAEIIDKGSTSCGASGALYTLICCVIGCPCLYSCFYRSKMRQQYGLKGNDCTDCLIHCCCEACALCQEYRELENRGFNMVIGWHGNVEQRTRGIAMATTTTAPAVEQGMSR >RHN72688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9758340:9762004:-1 gene:gene8434 transcript:rna8434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative association with the SNF1 complex (ASC) domain, immunoglobulin E-set MGNVNGREEDFNGTLSSASSTSSEVSDSMSAPDGVVENPSPVELMGHSPPASPRTTQSPLMFTPQAPVVPLQRPDEMQVPSPSLMQTNSGYEDMFSEIGIPTMITWSYDGKEVAVEGSWDNWKTRMPLQRSGKDFTIMKVLPSGVYQFRFIVDGQWRYAPDLPWARDDAANTYNILDLQDSVPEDLGSISSFEPPKSPDSSYNNLHLSSEDYAKEPPLVPPFMQATLLNVPSANMEFQPLVSRPQHVVLNHLYMQKGKSSPSVVALGSTHRFVAKYVTVVMYKSLQR >RHN79688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31250434:31256563:-1 gene:gene3512 transcript:rna3512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trans-2,3-dihydro-3-hydroxyanthranilate isomerase MAKKPVKYYVVDAFTETVFKGNPAAVCFLEEDKDDEWLQALAAEFNVSQTCYLTPIHGTSIPRFGLRWFTPTVEVNLCGHATLAASHILFSSDLVNNSVIEFVTLSGVLTVKKIPSIDVVGVPNLLNGKAPPVEFYIELDFPAYYPITKLYHDDISIIDEALNGASIIDMKRTEFADDLLVVVTSGKNVLEVQPQFDALAKLSGRGVSVTGIAPPESGFDFYSRFFSPKFGINEDPVCGTAHCGLAPYWSEKLGKCDLKAYMASTRGGALNIHVDKQKQRVFLRGKGVTVIEGYVLV >RHN66535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13501546:13501914:1 gene:gene14539 transcript:rna14539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAFIFSCGALKSMNSIITNMMVKLVQKSVKFSLRPWKSKLSAKDIMAAVMKTFPPQMAKLASSAARKARTTFDIHKLCDAMDRTMKTFPPQMAKLANSAARKAGTTYAINKLCYAMHKTMLI >RHN66299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10478641:10484809:1 gene:gene14252 transcript:rna14252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDAIYIGWLGLMIGFVLWCWNECWYVIPLKFKCLKSATKLPPGHMGLPFIGETIRFLWYFKIVRRPDDFINVKRRKYGDGVGMFRTHLFGAPSIIVYTPTVNKFVLFSDDKFKQECPTVELMGRTSLGSVHGKAHTRARNFVTNAINRPDALSRIATLVQPRIVNALQSWAQIGKIKAKFETQKLSFENIGKLFMGKEPGPFLNSLDKLYQGLLPGVRAYPLNIPGFAYHHALQCRRKLEDIFWMELEKRKAENKVETSDLMDGLMQIEDGEGDKLSDKEVVDNIVSLVAAGYIPTSLASTWAIYLLAKYPIVLTKLREENIAFTKGSLGDLITAKDVLNLKYTNKVVDEVIRMANVAAFVFRKAANEVDYKGYKIPKGWNVIVLLRYLHTDPENFKDPMYFNPDRWNEPAMSGTYQVFGAGQRLCPGNMLARIQLALLLHHLSIGYKWELINPNADIVYLSHPAPVDGVEVKFSRL >RHN57291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37851226:37855633:-1 gene:gene32814 transcript:rna32814 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLRNLRSSSNSFSVANVKRKYLNSCAAIQDTFHSTKDTFERHRVVFTVGTSIASVATAFIGYSLRHVHETRVNERLQSIEQAMKSNVNLRHSEIKDIVGRPGGVSIPACAATAGTTLLIGYGLGWRGGSWYTTKKFKREQMKLLGQIKPRRWQMLGNLKPKGWQMLRNIKPKGWQFKFPRRTKVPDTAVKTSETIIKDASSTHISGKSH >RHN81468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46187760:46195011:-1 gene:gene5516 transcript:rna5516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MMTSHDMDPDVVRWGLHLLDVCTFPHGRSPGVVTRCDRDYSQVQYVREGFFEYSNVEHDEAVARAYQEELSHLDSMESSGRMNFENDQARVSIDAQDWLSSSNRSYNFGDESYQNPVDESCHMKRAEDCGPSERENDEHEVGVFGSSSRSGEMPIITDEMWRSLEISDESSLDGEVGKRLNQMVPIPHVPKTNKNIPSDDEEVSDHQRLLDRLQLYELIESKVDGDGNCQFRALSDQLYRSPNLHKFVREQVVQQLKSDPDLYAGYVPMAYSEYLKKMSRSGEWGDHVTLQAAADWYGVKIFVITSFKDTCYIEILPQIQKSTRIIFLSFWAEVHYNSIYPEGEMPSSYLKKKKRWWNFGV >RHN40708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19864547:19865112:-1 gene:gene46902 transcript:rna46902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase MHLDLMSVSISFSQVLSKEGTESQNLCTRMMERGECPPLMVVYDPLEGFTVEADEPIEALTIIAEYVGDVDYVKNRENDEGNNNMMTLLYAFDPSQSLIICPNKRSNIAHFISRINKGENVPFLLILSRLGCVLNKVLAVKKNLKKITKFKFQEEFDKII >RHN56587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32082843:32083976:1 gene:gene32014 transcript:rna32014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MNKILKFVYEMILFLSLFHLAREVHDHILIFHVNPMLIVQNHCMSILK >RHN62348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42804510:42805558:-1 gene:gene24912 transcript:rna24912 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIESDQKVTAGVSLMQRLKVVETRQMSTRTARVCVPANNQTLKLVEVRNGGLEVREILPWGLRGAPFI >RHN46933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35866473:35866797:-1 gene:gene41470 transcript:rna41470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I12, Bowman-Birk MKLSFLLFLLGFIAIVVDAGLDPTTFITQEQNNEGCCNDCECSSLTFPPCRCNDATGRSCHPGCDNCVCDSGLPPTCRCEDYIYSCNKCTNSNQ >RHN70092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48688919:48692313:1 gene:gene18639 transcript:rna18639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-dehydrosphinganine reductase MARSLTKLEEAKNSVKHATGVEVRIFDAVKKVADEAGPIDVLLLNHGVFYALELSDVKFTIDVNLMGCLNMIKAALPHMKKNRKDTLPASIALFHHKLVSLENKRKPELTKIIAASSGFMKADEVAQKAFDGIRSGSFIISCNLEGIALSLATSGLSPQRSFLMAFVEVIAAGIMRIAALCFQWNWYGSIEKWHKQRKCTPQST >RHN39563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8223379:8226063:1 gene:gene45597 transcript:rna45597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MTLSTASKFYIIFMFHCLLLISTWEVEATLCGRKSRTLSGRCTSNSDCSTKCIKWEYATYGSCGGFYIDCICFFNC >RHN72272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6312497:6327398:-1 gene:gene7966 transcript:rna7966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin protein, neddylation MSLPTKRSSGATSSSSSPSTSMKKAKSSSTFDDVVFDSSMDDDLKPTDLPRGGAASNMAANLARKKATPPQPAKKLLIRLHKGNPTVPSNFEDKTWAILKSAICAIFLKQPDSCDLEKLYQAVNDLCIHKMGGNLYQRIEKECEVHISAALQSLVGQSPDLIVFLSLVERCWQDLCDQMLMIRGIALFLDRTYVKQSPNIRSIWDMGLQIFRKHLSLSPEVQHKTVTGLLRMIDSERLGEAVDRTLLNHLLKMFTALGIYAESFEKPFLECTSEFYAAEGVKYMQQSDVPDYLKHVETRLQEEHERCLIYLDASTKKPLITTTEKQLLERHIPAILDKGFSMLMDGNRIEDLQRMHLLFSRVNALESLRQAISSYIRRTGQGIVMDEEKDKDMVQSLLEFKAALDTTWEESFAKNEAFSNTIKDAFEHLINLRQNRPAELIAKFLDDKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFRQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFPKGKKELAVSLFQTVVLMQFNDAEKLSFQDIKDSTGIEDKELRRTLQSLACGKVRVLQKMPKGRDVEDYDSFVFNDTFTAPLYRIKVNAIQLKETVEENTNTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKSNPQVYNYLA >RHN78525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15948283:15948946:-1 gene:gene2103 transcript:rna2103 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNHIEEARAKYNNKFIDDPSSWSSLLFAEKEAISNLFLALYPKCQFICQT >RHN53982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5948289:5955283:-1 gene:gene28957 transcript:rna28957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MEPAPPSSKTEPYSAKVPAENDNARDSPSLSPVSSISSWARNLRFGAADQNSQTENNGMSAFARLTSGIGLRSPPNELATSSSGAEQPNIIESFTKGLVDSSKEAVKAVQTKARHIVSQNKRRYQEGGFDLDMTYITENIIAMGFPGGDFSSGIFGYIEGFYRNHMEEVIKFFETHHKGKYKVYNLCSERLYDGSLFQGKVASFPFSDHNCPPIQLIASFCRSAYSWLKEDIQNVVVVHCKAGMGRTGLMICSLLLYLKFFPTAEEAIDSFNQKRCVDGKALTLPSQIRYVKYFERTLTHFNGEVQPGRRCMLRGFRLHKCPYWVRPSITISDHNGILFTTRKHPKTKDLMPEDFWINASKKGILVFALPGEPGLTELVGDFKIHFHDRQGDFYCWMNTTMIENRKILDGSDLDDFDKRKIPAPGFQVEVVMVDYDGTLPGKVNPASKGSDIRNVSSGAKPTSSSRKSKIPKNGDDDVFSDSDEEETKGTQRREAATDYKYMEPHQASEATTDHVGMLSRATDQLSLQQHDERTENNVSEASTTNKHHNIHAGPNTTNMESIGTSEFKAIAADASVFSFGDEDFESDSEEAS >RHN43782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48440202:48440951:-1 gene:gene50404 transcript:rna50404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MNRFLRSSLPCLLDSTVRSSLYPSSSVLSTLPPLRHFSNKSQEHLRNVWLSGLRDPYIRMVGDWETLMLRYHDYDPYYIDPRVVYVSNNRDPKVTSYKNITVFQHTEVTSYKNITVFDVDSIVDAEIPLHIFDSAVPVLSCLYGVKIETIAIFQQMTRFQFPMFIFIDDIELEGADPWNFFDQVKSKLNHRCAAIQVPLRSIDDCYIGFVDLVKLKASYFPSKKSSRSRFFDRLANKLKGYFFCSQTPD >RHN51157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14592982:14593371:1 gene:gene35543 transcript:rna35543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA glycosylase MLVKQVLDNFFKLCPDVETCMQVTMEEIEEVIRTLGLQVKRSGSLQHLSREYLTETWTYVTELHGVGNILLCMSRYIAEQWRSQTKIFGMAAIKIN >RHN69391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43081924:43086817:-1 gene:gene17854 transcript:rna17854 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSMQSSSCGDEIEDYDSRGDSNNFSALLNNQQPPTTRINHVLNTPSHPSSSLPQQQMFDPLVNYYMDSLQKASPSSNQNQNSFLNLDMMWAKATGRSEPNNTDLSSLMVPSSSSQQNHQQQQAFLLSQLAAGQTRENVASSVHTTHQQQHHSLPQESTSRGGISLTNDQQNLNNNNMGRNPKKRSRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFSSPFPRTRLDLFGSSVSSRSLSSQMDPPPLHQAPPYLLRPFAQKIQPHYSFSQIPSSFPSLENSTNSASINYHNHPLMQHNQILGFQSISSSQAPTKYPHGAQQPSLEISPKMGVFEELGLSHAHDHVNNNNNNIGVLHQQNMVPASSSERVNNNMRNTTTSEDQWGQRTSSTSINGDNDGGGGRIVVGSLSGIQERNSSASDFGVEKGQECGVVVATTTRGEDSRSNWWQDWGDC >RHN76022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47045768:47048245:1 gene:gene12293 transcript:rna12293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MEYFEVHILIVYLYSIYFFLLLNACVVGMVQSINHSGAVILRFYQFLMMCTFVDFYPSHVLYDESDDEREDDSIHDQLSLDVKNLNLKDEVSADENVAKKFTFHKLAVATGNFKADCFVREVGFGKVYEGYIEKINQVVTIKQLDPIVLQGKRKFVSEALRLSLAEHPNLVKLIGFCAEGEQRLLVYENMPLGSLENHLHDLSPGKKPLDWNTRMKIAVGVARGLEHLLDEMKPPVIYRDLKCSTILLGEDYHPKLTDFGFAKVDPIGNKNFSTSVMCSYGYGALDYAVMGPLVVKSNIYSFVVVLLELITGRKAIDYRRPADEKILVAWAWPLIRDRRFSELVDPLLEGRYPVWGLYRALTVAAMCVLEEQPDVPPSIADVATVLNCIATHQYDPQVHPIQNS >RHN69206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41806261:41807409:-1 gene:gene17645 transcript:rna17645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MARTLQFVYVMILFFSLFLVAKGDDVKIKCVSAIDCMDLFNLLPIVYKCINNICVYEQSSQRLI >RHN51063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13503487:13510418:-1 gene:gene35434 transcript:rna35434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha crystallin/Hsp20 domain-containing protein MTILIRPKIDIIFLLIYFWLLLLTLYTILNIHANIGYKRNCIDIKISKDGSKISISGEKPIQEMVMMGWVMQRKVVDIKGFNKVFKIPYGVNLDKIKGNYNEEEWILNIYMPKLVKGIFGLKVEEVKEQENDKRKSELEKGEIDHVSSSVGETSQKESKDSEFQHMKGSDNGIEKMLDDNVDENNKETIQKGEEDDGIKRDVTECTIEKEKEESKLKIEDSKVNSTREIEKDVGKDISHNIVDTSQRVAEGSMIQKSGEPKEKEVGEKKGNSKENVVDHIPNTIDSISLNEFGKPKVPQMEKTNRNEGKMNGRESKKFPFEANEDVQKTKFGDIIQKGVTRAKFESQDEDQECVKEKLGKDGFDDAKITINEEFDKYLPKKSHEAREGLNVQKMEENKVVKENGVKRKGKEVEYLVENDDEKRLKMMHVEAKKGNTKETTQEEIEEIRESGIKESGQQPHAERGKGFNVAEEDQREVVKEAFVGTQSLMEKFEGEESKERTKAKRVQDEGKKIEPNEPFEGDTTRDRIEKEITNQNFQKNDDIGVFDGRKTQMFQEMEQKEYFKEDGENNEKSMKKLNGDKYQQIQNEEIGNSKQKEFGELECETKDRLKESSIEPFEPTKASKLEKNVVDHIPSNIDCINQNEFKEEYENPPFEAKEVVQKTLFGNIIKPKLETEDGDEECVRQKPNKEGFDAKITTNEKFPQNLPKNTHEESEGLNVKQMQETKDVMENVVKRKGKKIEYLVEKSEGERLKSKHNIEGKKGNNTRETMQEGEESENGIKESGQQHPKENIVKEKSEVSKNMAEELQHPVENINGNIGFDDVAEEEEQKEVMKEALVESESSMEKTQEEEFKERIVAKRVEDEENKIEYAIVKLKGEGFTKLNVEPNEPFEGDTTRDRIEKEIINQKFQENVDIGIFDGRKPNKFQEMEETELSKEKDSTIGMSMKKVNGEKYEKIQNEVDEGFKKNITKEKDDCYLQEKMSKDRSKEDFPMKMLDSQGDTTEEVKGRKIEKAKGIKEESEKVVPFVKGESEEPKSAMKIKDQQCLQEKEANDHEIEKAKKVKGQEAKRKSDEFIFGESTTKEEPQVQKAKDPKGIDTRTSERELQCERGPATYSTVESIGFKEFEYKSAKDKKQNTKALPPKFENKETQESKDESMSPKEVESIEDKVVKPLSTLRFQSSQQSEVEEKDKFCEGNKANYKGSIESKREDPTKDVQNLIEMKETLKPEIPREEEHKKGEKTEFLNGENVGETMQMETDEPKNRIDTKEKQHVAKVVTEKMDKGKCFDEKMKTTQEKDAESLESSQKNDIDEVKAKRPLELEMPDLQCEFPKTKDHVRAPELRDGEQYGYIKEGIEENKAPKIEASEGAKPSKLQSRSFNQQSTNEINRKPEFSIEEHKEEKESPKLNIVGTEKIDSLQPQILDGQEEVLDVPSFQRQKTLEEEKVVKRTKGSKIEKSEETKDTSTLKREKGKTTQTTEEKKPKIMETTPQFDMASGSKRESEKMQEASKRRYQRESEQVTPKMDVEPPITVEKTQIKKVEGKKHIQVLEETISKEKEDKAQQCVQEKNDKKGFQTPKTIEEKIVLKKMEGLKIAKSEEEKEKYQMEERTTPFDKACGSKRVADKVHEKVHETPLREHQREPEEFTSKIELDSPTTFEKPPRKKVERKEAHEFTRPKIMSSEIPKVEEVQEDKEVERHIHLPEASISKEERTQVTTTRSKEKDRVEPKGEVGMPQENQNLSPKEPFDSIVGSRILEAAADKVVQQFEVKGEDKTKKKDSKMSVQESEESKTRKEVEQCIIKDEEQEEDKDETSGRKKDNEIPKGEEEKYVERHIHVLEETVSKEGTGTKQNSNIAKNSPLHAMQETTTLEVVADKVVQESKFPSSSSTQQLEVEGEEKTKKKESKIDVQKERERHILVPEATISKEGTRVTITTTHSKENCRVEPKGEIGKPPKNQTPSPKEPFQSTEGSTLEAVADKEAQQFEVEEKEKTKKKDSKMDVQESTKSKTGKEVEECIIKDEETKHEIEQKEGKSETTGWKKHNEIPKVEEKKVERHIHVEAIISKEKDRVEPKEVNTPGTGTKQNFGVSKNPARTEPFYSMEGTTTLEAVADVQESKVSSSTSTQQFEVEREEKTKKRESKIDVQESTKSNRGQEIVEKCINKDEESKHGREEIDDEEEERDYEQVSKEQKEGKDETSEDKISKKLFIPFVIAAGSALLVTLVVMFVRHRKSRKR >RHN61958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39626219:39627059:-1 gene:gene24475 transcript:rna24475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nck-associated protein MLKEHTAALLNCIDTSLHAGDRIKREASMKQIVDLETLIDFCIQAGLALAFDRLLYEASGAIFEEGAPLIHSLLTGVVNHLPDVVPENEEINRMRTVANIRCCK >RHN57398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38648741:38652977:1 gene:gene32937 transcript:rna32937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MSCWPELNSNGTSHRKYDVFISFRGEDTRFGITDHLYDALIHKSIKTYIDYQLNRGEDVWPALSKAIEDSYISIIVFSENFATSKWCLEELVKVLECRKDHGQIVIPVFYKADPSHIRNQKASYETAFAKHERELGTKDSISNKSKVLKWKAALTEAANISGWDSHTYEKESILILKIVNDVLEKLQLRYPNELEGVVRNEKNSECVESLLKKFRILGIWSMGGMGKTTIAKVFFAKHFAQYDHVCFANAKEYSLSRLLSELLKEEISASDVVKSTIHMRRLRSRKVLIVLDNVESSDQFDYLCRDYHDLTQDSRLIITTKDKQLLRGRVDWIYEVKHWEDPKSLELFCLEAFEPSNPREKYEHLLQKAITYAGGVPLALKLLALHLRSREIEFWVSSFKKLDKYPDGRLHKVLRVSYDELDALQKKIFLDIAFFFIGEKKERVTKILDACGFEPNSGIVVLKDKALITVSNNHTIQMHDLLQKMGSDIICNDCGEDPATHTRLSGTAAFEVIEENKGSSSIEGIMLDLSQNNVLPLTSDTFTKMKALRILKFHAPSSLQKCTITYPYLPKFLKLFSKKLRYFEWYGYPFESLPQPFHAKFLVEIRMPHSNVKQLWQGMKELGKLEGIDLSECKHLIKLPDFSKASSLKWVNLSGCESLVDLPPSVLCADMLVTLILHRCTKITSVRGEKHLNCLEKISVDGCKSLKIFAVSSNLIENLDLSSTGIQTLDLSIGSLEKLKRLNLDSLKLNCLPEGLSSVTSISELKISGSALIVEKQLLEELFDGLQSLQILHMKDFINQFELPNNIHVLSKLKELNLDGSNMKRLPESIKKLEELEILSLVNCRELECIPELPPLVTLLNAVNCTSLVSVSNLKGLATMMMGKTKHISFSNSLNLDGHSLSLIMENLNLTMMSAVFQNVSVRRLRVKVHSYNYNSVDACRPGTSIPRLFKCQTAADSSITITLLPERSNLLGFIYSVVLSPAGGNGMKKGEARIKCQCSLGKEGIKASWLNTHVTELNSDHTYVWYDPFHCDSILKFYQPKICFEFYVTNDTTGEVDSSIHIKECGVRQVSVAELETVLPELELDSQKKKDLKKAVELESGRRITLKPIVQESIEENNENKSHFFNVEERIESSNKEINTNAGTSHEENVTNTAKVVSHYNHGGKKSI >RHN51679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22613463:22622234:1 gene:gene36171 transcript:rna36171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein xylosyltransferase MKSLSRFTAVLVLPVVLIVGALVYARFLETPEVFSVVSSNEPILTNKAYDETPKKPLNQIDETPKKTLNQIEIPLNCSGHNLTGTCPTNNNQILTNNQDHSSTSTCPDYFRWIHEDLRPWAHTGVTKEAIEKAQKTANFKLVILKGKAYLETYEKAFQTRDTFTLWGILQLLRKYPGKLPDLELMFDCVDWPVVPSDEYSGPNGVDPPPLFRYCGNDATLDIVFPDWSFWGWPETNVKPWEILLGELNEGNKRIPWMKREPYAYWKGNPSVAETRQDLMKCNVSEKQEWNARLYDQDWGREAQQGYKKSDLAGQCTHRYKVYIEGSAWSVSEKYILACDSPTLLVKPHYYDFFTRGLIPVHHYWPIKEDDKCKSIKFAVDWGNSHKTKAHDIGKAASNFIQEELKMDYVYDYMFHLLNSYAKLFRYKPSISDKAVELCVESMVCKAEGNEKKFMMESLVKGPSNTNPCTMPPPYDPPSLHAQIRRKQGSIQRVEFWETSYWKKQNTKS >RHN46287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30390421:30391754:-1 gene:gene40762 transcript:rna40762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MATNQEHVKLLGATGSPFVCRVQIALKLKGIEYEFVEENLATKSEQLLKYNPVHKKVPVFVHNEKPISESLVILEYIDEVWKQNPILPSDPHQRALARFWSKFIDDKIVSTSFKSVFSLDEKEREKNIEEATEALHFLENELKDKYFGGEEFNFVDIAAVFVAFWVPLVQDITELQLFTAEKFPKLYNWSQEFLDHPVVKETLPPREPLFAFFKGRYESLLAASK >RHN63723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53539392:53547028:1 gene:gene26462 transcript:rna26462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MESESDETRIKTSNQQRRVGLLYDERMCKHYDPDDDRHPETPNRIRAIWDKLQTTGITDRCLLLDAKEADDKHLLAVHTKNHVNLIKNISYKSYNSRSNAAAKLNSIYFNEGSTKSAYLAAGSAIEAVKRVASKELQSAVAIVRPPGHHCEQHKAMGFCLFNNVAVAASYLLNDSPELGVKKILIVDWDVHHGNGTQKVFWNDSRVLFFSVHRHEFGRFYPCGDDGFYNMTGEGDGAGYNINVPWENGRCGDTDYFAAWDHILLPVAKEFNPDIIIVSAGFDAAVGDPLGGCCITPFGYSLLLKKLMNFAEGRIVLVLEGGYNLDSIAKSMHACVEVLLEDKPLMGSSEAFPFESTWRVIQAVREELSPFWPTLACELPQNIICPVAPPPHTLLSSSDSETEDDKAPPKLENVAELLEDVIKPLSNLKVDADEDPDASCSWRSKLSNVYVWYASYGSNMWRPRFDCYIAGGQVEGMQKLCSGSMNKTLPDEIFWKTFPCHIFYGRESSHSWGPGGVAFLNPEKNSQHKTYMCMYKISLEQFNDVLFLENGLSLDVDSALFDMATLNAISNKEFGSQEVLKNGWYGNAVYLGKEQDIPIITMTCPLLDIELFKSGELPLCAPNKSYANTLIRGLVEGEQLSEAEAIAYIDAAAKSL >RHN47560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40616981:40622021:1 gene:gene42174 transcript:rna42174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferroxidase MASKLLLQRRLFRFLRQSQESLYSCSSSSSIQRSSFHSTKQTEILGFSTSSRSFCSRKSSLVDESNAPAPIDYTSLLQEGEFHRLAESTIHSLQEKFEDYGDFIDLDGFDIDYANDVLTVKLGELGTYVLNKQTPNRQLWLSSPVSGPSRFDWDQVTKAWIYRRNKANLYKILEDELEQLSGKPIVLS >RHN44195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2348839:2349932:-1 gene:gene38285 transcript:rna38285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, V0 complex, c/d subunit MYVLMVLVNFQDLDDMNIKIMRNTLYKAYLEDFYRFCQKLGGATAEIMSDLLAFEADRRAVNITITCCLCFPSFNLCFLGWFVLLWKNALLINPSLISYRMERVRCLTRKEIYEEEVKKNCLAFEQQFHYVVFFAYMRLREQEIRNLMWISECVAQHQKSRVHDSVVFIF >RHN58431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1671700:1672008:1 gene:gene20341 transcript:rna20341 gene_biotype:protein_coding transcript_biotype:protein_coding MVACNAYMEGAKMRCSVKGTVQEGGESCSGKSKTSLARNMKVAALNFTGTGAMYSVSIIPMTTKARDQTVSEIYNSSLAERMKAIVKEFIRIGATYRKKFLD >RHN67809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30411637:30411930:-1 gene:gene16045 transcript:rna16045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative taxadien-5-alpha-ol O-acetyltransferase MAYQTFPLMLEMKDVVLIKPSKSIPSCILSLSTIDNREIYNNLAQTVHIYRSPSINDSDLSFNFCHVFKEALSKALFYYYPLAGILVFFSLSINTNV >RHN56235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29115979:29128285:1 gene:gene31603 transcript:rna31603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rho GTPase activation protein MNSAQGFSDASLSSPKHKLQPAASSVQLASHSRNGNKVFKCGTLFLSSKGGIGWTSWKKRWFILTQTSLVFFRSDPNGVPQKGNEANLTLGGIDLNNSGSVSIKADKKLLTVQFPNVHDGRVLTLKAETTEDLYEWKTALENVLAHAPSATNVMGQSGIFRSYQADSLDIYLDQYDVNIITGTWDFSYFLISMLKDKETVNYAVLGRPILLALEEVDGTPSFLEKALRFIEEHGAKVEGILRQAADVEDVENRVREYEQGKVEFSEGEDAHVVADCVKHVLRELRSFPIPVSCCKALLAACRTARENRVSAMRTAICDTFPEPNRRLLQRILLMMQAVASRKDENRMSSSAVAACMAPLLLRPLLVGDCEIENDFDVGGDSSLQLLQAAAAANHAQGIVITLLEEYNSIFEEGSSSPGPDMYIDSEDDESESEDDDLSYDDYYDDEQDESIEGSDVDASDELVSETNSETGDSAVNDEYDDKDHNISYSSSKSSEVCDYLEVSLPQSEDIKSCENFTSQNKTASANDSTKPTYIIEGLSPDQTTMNRSNCPSTSSCNDAISNRKMHRCRTVLGQNHGSKDLSMESIDFLDENEAEVERLEAVKTELQRQIAEEVKVNAKLQSYVETRKEALHERRLVLERNVDKLQEQLLKEKSFRATLEAGLEIHPGTSSELSGIDEKTKADVEEIVLIEADLADLERKVNELGLRLNAQVEWNSGSISQQISSHERNLKNKPDTEVAAISESDRSIKKQDSHFGEAGNENERKPESTSLPNKHSPSSKKSVARAEVSFLNYNVNLDINQTLC >RHN50980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12603598:12613271:1 gene:gene35339 transcript:rna35339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MADLVNLGNAADRNIEQALIALKKGAQLLKYGRKGKPKFCPFRLSPDGSSLIWISSGGEKKLKLSSVSRIIPGQRTAVFQRYLRPEKDYVSFSLIYNNGKRSLDLICKDKVEADVWISGLKKLISSGQGGRSKIDGWSDGGLNLDDSKDLTSNSPSGSSASASLDISSPDISVSLPSTSPNSYRPDNTLNSERSHARSESTNMQIKGSGTDTVRVSVSSATSSHGSAPDDYDALADVYIWGEIICENIKVGADKSVHFCPRADVLLPRPLESNVVLDVQHIACGVRHASLVTRQGEVFTWGEESGGRLGHGVGKNVVQPRLVEALTSTTVDFVACGEFHSCAVTMTGELYTWGDGTHNAGLLGHGSNASHWIPKRIGGPLDGLQVSFVACGPWHTALITSTGKLFTFGDGTFGVLGHGDRENVSYPREVDSLLGLRTIAVACGVWHTAAVVEVIATQSIASLSSGKLFTWGDGDKNRLGHGDKESRLNPTCVPAIIDYDFKKIACGHSLTVGLTTTGRVFTMGSTVYGQLGNPQSDGKLPCLVGDKIALESVEEISCGAYHVAVLTSKNEVYTWGKGSNGRLGHGDLEDRKTPTLVEALKDRHVKYIACASNYSAAICLHKWVSGAEQSQCSTCRQAFGFTRKRHNCYNCGLVHCHSCSSKKALRAALAPNPDKHYRVCDSCYAKLSKVAEANNSNRRNALPRLSGENKDRLDKSELRVSKALIPSNMDLIKQLDNKAAKQGKKSDTFSLARNSQTPLLQLKDVVFATAADLRRTTPRPVIAPSGVSTRSASPFSRRSSPPRSATPIPTMSGLSFSKNIADSLKKTNELLNKEVQKLHAQVESLKNKCERQELEVQRSAKKTQEAIALATEESTKCKAAKQVIKSLTAQLKDLAEKLPPEAYDAENIRPAYLPNGLESNGIHYPDTNGEQDLRAESISGSSLASIGLESSLFNRTARDLPGAYGTNLHQQIRGVVTSNGTSNHPDVKLPSGGNVIQPSSSSLSDIVEGRDSGNFRDDESGVKSTNAALATSSNNQVDAEWIEQYEPGVYITLVAMHDGTRDLKRVRFSRRRFGENQAENWWSENKDKVYEKYNVRSVDKSSSQGAHKAEGAGSPASQT >RHN58332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:863336:869290:1 gene:gene20235 transcript:rna20235 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDRDTVVKSLRHLGRKLSKNLASSVDILLELLDKMELLLLNLDQNPPEPIQESLVLPMKTLISDELLKHTDEDVKISVTACLTEIARITAPNDPYDDENMKEFFKLTVAAFENLSHVSGRRYEKALTILEKISKIKIFLIMLDLECDDLVIEMFQQFLRIIRSNHPSSVIESMEIVMTGILDESEDISSDLLRPLLDSVRKENQTISPISWTLGEKVITNCAVKLKPYLMKAVESSGRALNEYAETITSICHNKSESPERNHSMAVQDVENNLDIPKDAPEEPCDVTTGVEMDNTCVRDVQITDETKSDIRSTNAATVDDEVTKSSDSKRKLHSCPTTNSERRNAKTSSETGNLESDQELNSKTQLDTVPRKRARKPNSLMNPEEGYNHSFVHKQSSTRKSSQSNKKAHDDSYALSPSDNPSSRKDKTQSKPETVGEAPVSDLKNVKIAKSAKSKKTCDMSGNGPSIESLDSTKSIRPSNPEGMTKTPEALVSDLKNGKIAKPAKSKKDCDKSSDVPCIESPDSTKGIRTSNPEGMSKTPEALVSESKQGENRKDVPFTKDIIHEGSSTKQRRRRKISSIGDQNDHPNSASILKDDNLNPSHKKTSVESHGVRKKNKSKVRKDSEEKHLKDDNLNPSHKKTSVESHGVGKKNKSKVRKDSEEKHEAPVGKIKLCIKFDGKVVVPPQSIVAKVESEVLCEDEKKHKSSTNTKVENRAEGGSSAQIEVDKRKRKTSTSDKGVNKSSAKKAQESEDLGNSLVGKRIKVWWPLDKTYYEGAVSAYDHVNGKHKVLYDDGVEEQINLKKHRWELADVNVSPDKGRKKRRKSQVEVS >RHN71297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58037717:58039929:-1 gene:gene19960 transcript:rna19960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rossmann-like alpha/beta/alpha sandwich protein METVMEDVEEYNYREVKLPSLIPIVPEPELERETGERRRGRDIVLAIDHGPNSKHAFDWALIHLCRLADTIHLVHAVSDVKNQTVYDLTQGLMEKLAVEAFQVSMVKTVARIVQGDAGKVICKEAERIKPAAVVLGTRGRSLFQSVIQGSVGEYCFHHCKAAPVVIVPGKDAGDASIV >RHN40789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21187250:21190427:-1 gene:gene46999 transcript:rna46999 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRSSAIVYECNVGWYKLWYSGLALSSGNLGIRRALEFTILMYYNINKFLVSLWKNWDSRVLAGSYYSNVGVFVCGSFSIPPVIAALRKLRMILSEDDEFLAA >RHN51027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13081632:13084827:1 gene:gene35388 transcript:rna35388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Trihelix family MEGNLSQGGIVQGGGGSFGGFDLPGSMRVHRQGQHPHTMNQHQAHPCQGPAVHSSINEGFPLTMGTLKNCDQTMSMNEFSQGDRNKHSGSEEDEPEEGGDGHHQEGSRGKKGSPWQRVKWTDKMVRLLITAVSYIGEDGSSEGGSGGRRKFAVLQKKGKWKSISKVMAERGYRVSPQQCEDKFNDLNKRYKRLNDMLGRGTSCQVVENPALLDVIEYLNEKEKDDVRKILNSKHLFYEEMCSYHNCNRLHLPHDPALQRSLQIALRNRDDHDNDDVRRSYHDDHDEDDHDMETDDHDEFEENYASHGDSRVIFGGLGGTPKRLRQGQGHEDATTFGNSFNCQDYHKSPYPHGQMVQPDGNHALPENMKAAWLQKQWIESRSVQLEEQKLQIQVEMMELEKQKFKWERFSKKKDRELEKFKLENDRMKIENERIALELKRKEIGGTSFN >RHN80592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39256495:39259010:-1 gene:gene4532 transcript:rna4532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MTSSLVFSFSCLMLITNLFVAFDFAHAQPTRAFFVFGDSIADNGNNHFLLTTARADTPPYGIDFPTHKPTGRFSNGLNIPDIISERLGLEPTLPYLSPLLIGEKLLVGANFASAGIGILNDTGFQFLDIIHIDKQLKLFDHYQQRLSAHIGAEEAKKLVHKAIVLIVLGGNDFVNNYYLVPFSARSRQFSLPDYVTYLISEYKKVLKKLYDLGGRKVLVTGTGPMGCVPAELALRSRNGDCDVELVRAASLYNPQLVEMIKELNTEIGSDVFIAANARQMHMDFITNPQAFGFVTSKIACCGQGPYNGIGLCTPLSNLCQNRDLYAFWDPFHPSEKASRIIVQQILTGSNEYMYPMNLSTVLAMDPIV >RHN52473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35670926:35671737:1 gene:gene37157 transcript:rna37157 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNSILHNALLKNEWIHVEITCRSLMMTTLVTDFGIHVLKQKSSMADIQFTDPCKKRKLLDCEDDDCSSS >RHN42965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42223156:42224502:-1 gene:gene49477 transcript:rna49477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MENSSTFSSMRFSMLFFAIFFTAMLSGDEVTATEGIEASFPPPPPHDLQEHSFFSQTALLPPILSHLGFHELATAAPSLSDAATTTSPAWTGPFTIFAPSDASLRTCFSCSVPNLLREHIVPGIFTIEYLRRLAFGTKIETLSPGRCVTVTSESIHQNNTSGSAPKVFIGGVEITQPDLFNNGMVVVHGLQGFASKLSPFSCDVERMSSLSFPFHPDHRSGAHVHTPGATVLPAIMRLMLRDAMVRLRNNGFSILSLAMKVKYAELITLNNMTIFAVDDLSIFSGSQSYISNVRFHIIPNHYLSIADLEKLPVGTALPTLERGQPLLITTSGGGVTSAPMRINYVRVKVADVIRNVKIVVHSVYLPFPHINPIAAAYDTILGGEGASEGAGVGARAATVSDSAGQATEETCSAPDGRGGCAADVTTAAGVSPMPQVKPMVEIEDHHGL >RHN58649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3638517:3641002:1 gene:gene20577 transcript:rna20577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L37e MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAYPAARVRKYNWSEKAIRRKTTGTGRMRYLRNVPRRFKSGFREGTEAAPRNKGAAAST >RHN49917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2281362:2282285:1 gene:gene34149 transcript:rna34149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDLIHDYLTNGTALAALISIIFMYSLFLHNRTSNGSKSKEAPIVAGAWPILGHLPLLKASKAPHRTLGDLADKYGPLFTIKLGSKNALVLSNSEMAKECFTKFDVAISTRPKLIALSEILVNRRIEKLQEICVLEVQTSIKELFNVWKSNNESNYVLVELRQWFIELNFNIVLPMIVGKRYFGAMNELDEKEAQKCIKAVEEILRLMGQFTVGDAIPCLERFDFGGHVKAMKKTSKELDMILNEWLKERRNRTLNEKVDRDQDFMDALLSLFDGTTIEGF >RHN43839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48884067:48885880:-1 gene:gene50469 transcript:rna50469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S9 MEQVQCFGRKKNAVAVTHCKRGRGLIKINGCPIELVEPEILRFKAYEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAYYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >RHN47819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42772439:42774669:1 gene:gene42465 transcript:rna42465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BRCT domain-containing protein MAKLWGHINIVTMKWFDESIAQRACLNEESYPVQSGSLLSRKVTRNSTAEHSQEKDIGKMQSGSSSRAADSNILVHCAKSLNVDPAATQSKHMLSVSNVPLFVKEADAEAPPLQTSNELNFNRAVANVSESDDDDRYLADCRISLVGFEASEMEKLSNMVRKGGGSQCLYLNDKLTHIVIGNPTEVEREDVVRTVALGVNYAVKTSWLEDCDREKKQVHVLQRYSAYDILFPKGQKKLVEASDRIKKKNLEEKELTIAHVDLAEVLVDYAASEIAELKRRQKEMEMEYVRIDDYLRAKLGPLYGYQKPKNELKIEAYKLYEEDCKSKGIVAISKHHEMGFKKAMEAFGDIAKESCRRNFVTYLNDPVRKENIENHFKGMILRFPGDEIDPNGAKFVYKFVCEVSDSDKPPYSKRARSGIDQPEEQEVHNGTAKKMKYEP >RHN42448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38449916:38451910:1 gene:gene48890 transcript:rna48890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MSSSDDVAARQKAIDDWLPVTASRKAKWWYSAFHNITAMVGAGVLTLPYAMSKMGWGPGSVILIMSWIITLFTLWQMVEMHEMVPGTRFDRYHELGQHAFGPKLGLYIIVPQQLLVEVGTCIAYMVTGGKSLKKVQESICPTCTKIRTSYWIVIFASVNFVLCQCPSFNSISAVSLAAAVMSIAYSTIAWVASLQKGRQPGVDYSYKAHSLPDGMFNFMLAMGEVAFSYAGHNVVLEIQATIPSTPDQPSKIAMWKGVVVAYLGVAICYLPVAFVGYYIFGNTVDDNILITLQRPTWLIVTANIFVIVHVIGGYQVFSMPVFDMLETFLVKKLNFPPCFTLRFVARTTFVAFTMVVGICIPFFGSLLGFLGGFAFAPTSYFIPCIIWLKLYKPKRFGLSWIINWVCIVLGVLLMVLAPIGSLRQIILQFKDYKFFS >RHN50823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10827574:10834229:1 gene:gene35158 transcript:rna35158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exodeoxyribonuclease I MMKLFDAHCHLQDPRIINKTPELIKTAQDSGVLHFAVNGVSEQDWFSVKQLSVTYPSVLPSFGLHPWYVAERSPNWLKTLKEYFDSTPSAAVGEIGLDKGSQGKKIDFSQQVEVLRKQLELAKELNKPASVHCVRAYGDLLELMKSVGPFPAGVVLHSYLGSAEMVPEFSKLGAYFSFSGFLMSLKANKAKKMLKMVSSDRMLLETDAPDALPKSNIDSLFFVEGDTSLDNEVHGQTSTSSSTSDSSAANPSQVLTDASMLPKDTLNHPANIHNVLDYVASMLEITKEELAELSYQNAVRLFSYEGSKLLQK >RHN72284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6441379:6445483:-1 gene:gene7978 transcript:rna7978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MSLRNFIKHLHPHHLKPKKPSPFPSLLFTRSLQTTTQTLHQDQYQDPQSLLKQDPIEICTNLWVKTFSSPKTTSFPNLTGYLSNFDLWVFAYQRSCAHFTGTFPPRNAIHTSILRDLLSLRNAVLRGRFCWNDKTHQLLRSPNDKKFSKPLSKRKLEAFVQSNEPCFQDRVVQEVLLNVLEPVFEPKFSPKSHAFRPGRNAHTVIRTIRSNFAGYLWFLKGDLSEIFEKVDTDVVMECVEKGTRDKKVLSLIKSAMMGRVPRRVVEREELKKDKKRKATRKRILKENEPKPDPYWLRTFFSFAPEEAAKVPCYGHCGILSPLLANVYLNELDHMVEEMIVEFFRPCMFDSIWKYSIDDGCHNPAWPEFVPSSGKEKTRKMDYIRYGGHFLIGIRGPREDAVEIRKKIVEFCENTFGLRLDNSKLEIEHIARGIQFLDHIICRRVIHPTLRYTGSGGNIVSEKGVGTLLSVTASLQQCIRQFRQLELVKGDKDPEPLPCNPMLYSGQAHTNSQMNKFLETMADWYKYADNRKKVVGFCAYVVRSSLAKLYAARYRLKSRAKVYGIASRNLSRPLRESTNNSAPEYSDLLRMGLVDAIEGVQFSHMSLIPSCDYTPFPRNWIPDHERVLQEYIKLDNPKFFCDLLRYIKQKGLSIPQDEISQMVWDYKTLGVRYFRSNRDKEVKADLKEITE >RHN44222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2531284:2532030:-1 gene:gene38314 transcript:rna38314 gene_biotype:protein_coding transcript_biotype:protein_coding MIWRYNEHYPIVNWGNPAILPYIDSDSVHTDTKRATNTER >RHN59500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11412707:11416560:-1 gene:gene21538 transcript:rna21538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif domain, U2 snRNP auxilliary factor, large subunit, splicing factor MLGYLPHCADNGREPTSVAFMSKEESMHRFLVGLVRLQLETISTLVDITVPNPAISGVLPNMFPMGANQMPQFSALPMMPIQAMTQQATRHARRVYVGGLPPTANEQSVAIFFSQVMANIGGNTAGPGDAVVNVYINHDKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPTDYNPSLAATLGPSQPNPNLNLGAVGLTPGSAGGLEGPDRIFVGGLPYYFTETQIRELLETFGPLRGFDLVKDRETGNSKGYAFCVYADLAVTDIACAALNGIKMGDKTLTVRRANQGTTQPKPEQESILMHAQQQIALQKLIFQPALVATKVVCLTNAVAPEELKEDEDFEEIIDDMRQECSKFGSLVNVVIPRPQPDGDLSGGVGKVFLEYVDIEGATKARTGLNGRKFGGNEVIAVFYSENKFAQGDYEG >RHN65796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5489288:5490305:1 gene:gene13686 transcript:rna13686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MYVHLVYLEAIEVTKEVNLWAEKETNGLIKELLPLRSVDRLTRLIFANALYFKGAWSDKFDVPFMTSEENQFIEAYDDFKVLRLPYKKGKDKRPFSMYIFLPNAKDGLSTLVKKVASESELLHHRFHLPEEEVGEFRIPRFKISFELETSDMLKELGVTLPFTREGLTKMVDSSLVGDSLSVSKIFHKSFIEVNEEGAEAVAVSAAFICSEGIRFPTQLDFVADHPFLFLIREDWTGTILFVGQVLNPLDE >RHN66365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11470831:11479275:1 gene:gene14332 transcript:rna14332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MVKKEEFLRENGFEKEGLEEVSRSDFPSDFVFGVATSAYQIEGASKEGGRGPSIWDAYAYTEGKILDKSNGDVAVDHYHRYKEDIDLIAKLGFSAYRFSISWSRIFPDGLGTNVNDEGITFYNNIINALLEKGIQPFVTLYHWDLPLHLEESMGGWLNKKIIEYFAVYADTCFASFGDRVKNWITINEPLQTAVGGYDAGVNAPGRCENRSVEPYLAAHHQILAHAAAVSIYRSKYKDKQGGQVGLVVDSEWAEPNSDKIEDKSAAARHLDFHLGWFLHPLYYGDYPEVMRERLGDQLPKFSEEDKKFLLNSLDFIGLNHYTTRLISHVTESTEECHYDKAQQLDRIVEWEGGDLIGEKAASEWLYAVPWGLRKIINYISQKYATPIYVTENGMDDEDNDSLSLNEMLDDKMRVRYYKGYLASVAQAIKDGADVRGHFAWSLLDNFEWAQGYTKRFGLVYVDYKNGLTRHPKSSAYWFSRFLKDGANKKGKEQ >RHN66342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11101285:11106371:1 gene:gene14303 transcript:rna14303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAAALVGGAFLSATIQTIAEKLSSSEFRVFIKNTKFNYSLLADLKTTLFALQAVLVDAEQKQFTDLPVKQWLDDLKDTIFDAEDLLDLISYASLRRKLENTPAGQLQNLPSSSTKINYKMEKMCKRLQTFVQQKDILGLQRTVSGRVSRRTPSSSVVNESVMVGRNDDKDRLVNMLVSDIGTGRNNNLGVVAILGMGGVGKTTLAQLVYNDDKIEEHFDLKAWICVPEDFDVVRITKSLLESVVRNTTSVNSMVESNNLDILQVELMKHLMDRRFLFVLDDMWNDSYVDWDELITPLTNRETGGKVIITTREQKVAEVACTFPIHKLEPLSDDDCWTLLSKHAFGDEDYVRGKYPKLEEIGRKIARKCGGLPIAAKALGGLLRSKAVEKEWTAILNSDIWNLRNDTILPTLYLSYQYLPSHLKRCFAYCSIFPKDYPLDRKKLVLLWMAEGFLDYSQGEKTAEEVGDDYFVELLSRSLIQQSNDDACGEKYVMHDLVNDLATFISGKSCCRFECGNISKNIRHLSYNQKEYDNFMKLKNFYNFKCLRSFLPIYIGPIYLWWAQNHLSMKVVDDLLPKLKRLRVLSLSKYTNITKLPDSIGNLVQMRYLDLSLTRIKSLPDTICNLFNLQTFILFGCCDLCELPANMGNLINLHHLDISETGINELPMDIVRLENLQTLTVFIVGKLQVGLSIKELRKFSHLQGKLTIKNLNNVVDATEAHDANLKSKEKIEELELLWGKQIEDSQKEKNVLEMLHPSVNLKKLIIDLYSGTSFPNWLGNSSFSNMVSINITNCEYCVTLPPLGQLPSLKDLSIGYMLILEKIGPEFYCVVEEGSDSSFQPFPSLECITFFNMPNWKEWLSFEGNNFAFPRLKILKILNCSELRGNLPCHLSFIEEIVIEGCAHLLETPPTLHWLSSLKKGNINGLGEKTQLSLLGSDSPCMMQHVVICSTCLQHLELYDIPSLTVFPKDGLPTSLQSLSIKRCENLSFLPAETWSNYTLLVSLDLWSSCDGLTSFPLDGFPALQRLNISNCRNLDSIFTLKSPLHQYSSLQSLHIQSHDSVESFEVKLQMNTLTALEELDLDCQELSFCEGVCLPPKLQSIDIWSQRTTTPIMKWGLEDLTALSRLKIGAGDDIFNTLMKESLLPISLASLYISDLYEMKSFDGNGLRQISSLENLEFLNCLQLESLPENCLPSSLKLLVFENCKKLESFPENCLPSLLESLRFYGCEKLYSLPEDSLPDSLKLLIIQRCPTLEERYKRKEHWSKISHIPVIEIDGQVTI >RHN60857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31016408:31017101:-1 gene:gene23244 transcript:rna23244 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSDLNLIKLSATGTLNNLSDFYMDDDDVEDECVDHVDFNVNYSCTLGEEAGFPMTEQQVTEEKYEDDWCLVECCS >RHN71141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56750640:56753209:1 gene:gene19790 transcript:rna19790 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEHYQHPRLSPMGPSRLPLPPQMERKLLHEKKRKEQEVESTKEDLLHGKKPKEQKVESTEGDLLYDGEKPKEFECPVYAETLKVYNKLKDIDTIDLKWCPIIPDKHAYLKHEKKFAELFKKHEEKKKRWMLIEEEKERLGKGKRGAVTDKLKPCYAMLLA >RHN73478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16864201:16865154:-1 gene:gene9294 transcript:rna9294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligosaccharyl transferase complex, subunit OST3/OST6 MKSKSENPVQQTTTVTDPSSAASIDPIFHLLRILPFSFLRPPRLRLKLPSFSLPSSNLVFALVLLTYFMVVSGIVYDIIVEPPGIGSMQDPYTGAVKPVVFMSGRVNGQYIIEGLSSGFMFVLGGIGIIMLDLALDRNRDRSVKASYATAGITSVVIAYVMSMLFVRIKIPAYLS >RHN65292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:948661:950155:1 gene:gene13117 transcript:rna13117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MARRIITEKSRRMEAGFDEFPEGCISAILSRTTPVDVCRLSLVSKTFLYAADSDAVWNHFLPLDSRLINSIISHSPSLANAPTKKALYLALSDSPIIIDHGRKSFKLDRKSGKICYMLAARSLTIHCGDGDSDDDSDDELLIDKEWIHMNDSRFPEVVKLCLVFSLEIRGIINTFSLSQNTWYAAYLVFKMIDDYGFENEPMDLSIDVVGGHSSTKSVCLDPNASLNKERTCSRNHSYKRRTVCKCPGPRWRPFPNVIGLQRPTMRSDGWLEIEMGELFNSRLEGEEVQMSVVEKLESGNKKGNFFLEGIEVRPKEEN >RHN53769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3991915:3997281:1 gene:gene28713 transcript:rna28713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MVSDRVLCHFCFKPKKVTIEAISVDRSKKSQVLVSSVILLKTALDALPLLSMALKDAKSSLLSNIYKSVCENEKYDLIKKRIEEIIDEDVLHARVPFVACTQQCFAVKAGIDGLLDISRKSFCETSEAIHNLANNYREDFKLPNLKLTFKNRQGFHFVIPQKNIQGKLPNKFIQVVKHGNNIHCSTLELASLNARNKSAAAECYTRTEVCLEELMDAIRENVSALTLLAEILCLLDMIVNSFAHMISTKPVDQYTRPEFTENGPMAIDSGRHPILESIHNDFVANNIFLSEASNMAIVTGPNMSGKSTYLQQVCLIVIIAQVGCYVPARFSTLRVVDRVFTRMGAVDNLESNSSTFMTEMKETAFIMQNVSERSLIVMDELGRATSSSDGFAIAWSCCEHLLSLKAYTIFATHMENIAELATIYPNVKILHFHVELKNNHLEFKFQLKEGPKHIPHYGLLLAEVAGLPTSVIETARMITLRISEKEKKRMDVNCLQNHSIQSAYHVAQRLLCLKYSNQDEDTIRQALRNLKEHCIKQKL >RHN44939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10175640:10179509:1 gene:gene39121 transcript:rna39121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MDWDWKEFAWDPSGFDEELKNEGDSMDLRLGDQASDSLEKSVHDSGKEDSKAVSSSLSPSGSLKRSRLQNGSQSMICSVDGCNSDLSDCREYHKRHRVCEKHSKTPVVLVGGKQQRFCQQCSRFHSLAEFDDVKRSCRKRLDGHNKRRRKPQPPSLFMAAEKFMYNYKGPRILHFGSPQTYVNPIMRNIWPATSITEAESGYDHQRLLYRIDKHKQDKGHPHWQEILPKSGDVNKAAPGTPISHPIRGAVGSSAGEKGGRKLSSDGKPGSFDSGCALYLLSTLQTQSSELSLMQSSINSPTQSSSGTLHFDATKEYSYSGKVKDKPNGPVFVLDGNTTNLHCNGMLQMGPNGLIENDNSMTLPFFWE >RHN80717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40393374:40396711:1 gene:gene4675 transcript:rna4675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MECNKDDAVKAKQLAETKMQRGEFVDALKFANKAKRLYADVENIAQILAVCEVHNAALNKLSKYDMDWYGVLQTEKLSEEAIIKKQYKKLALLLHPDKNKSAGAEAAFKLIGEANRVLSDKATRSLYDIKVKAHVRAAASKTSSHPSNGKPAANQVPNATKHQKKCSSNSPSLNPHLKPAQPTFWTMCRHCNTKFQFYIYVINKALLCQKCKNSFVALAMNPQTFPSFVQFGAPKKVPTQDPPKPACKSNGGTSVSSGCADTFVPSYPSCMKTCANGVGKQHKDEKSKDGFIPVSKPMKSQSSNNVGSKRVRQPEPDSTERFNTGNDYEKKGFNVRENDADPSRLNVRRSSRQKQHVSYKENHEDDHDAVPSKKPRQDESSNNAEVESKNVSEDSHFQGDTVLESDVDPPNSDMPSSPETIVCPDPDFNNFEKDKADDCFAVNQLWAIYDTTDAMPRFYALVKKVTFPFKLQITWLEADPDEDSEVHWYNADLPIACGKFKLANSQKTTDRGMFSHQIQFIKGNEKDSYLVLPKKGETWAIFRNWDINWSSNPENYLKREFAYVEILSDYTENLGIQVAYLGKVKGFTSLFEKTGKNGDNTFTFSIPPNQTYRFSHQIPSFRMSGDEREGVPRGCFEFDPAALPTEIFEAEKDSSIPGRSREKV >RHN53464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1795173:1803925:1 gene:gene28373 transcript:rna28373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein phosphatase methylesterase-1 MDSSHMTSLPEDITDDQPPQPASVFASVPQRPPTKSSSEKYAPLDWSVYFDKEDDVAIPESNDVFHVYMAGTEGPVVFCLHGGGYSGLSFAVSTGIIKEKARVVAMDLRGHGQSVTDNDFDLSVETMCNDVLAVIKELYGDSPPAIILVGHSMGGSIAVHIAARKSLSTLAGLIVVDVVEGTAMASLIHMQQILSNRMQHFSSIEKAIEWSVRAGTLRNIDSARVSVPTTIKYDDSKKCYVYRTELEKTEQYWKGWYEGLSDKFLSSPVPKLLLLAGTDRLDRSLTIGQMQGKFQMIVVRHTGHAIQEDVPDEFATLVVNFIARNQIGPNGVVIPGLPKPAFSKP >RHN56131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28009973:28010899:1 gene:gene31478 transcript:rna31478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDEILKFVYALIIFVSLFLAANNVDANITNCQSTFDCPRDMCSHIRDVICIFKKCKCAGGRYMPQVP >RHN48428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47402117:47410951:-1 gene:gene43142 transcript:rna43142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MHGTAEEMTEQRIRYGSESLSTNSFELMNFYFPQQLFTVEQTWLNLTSSLFSFFSSSIGQNIVSQVRNEYGNYVLSLDFQQLKNTCHVEEFYEMLTEKPKIALLCMSVAVHTVLLSKWESDKPELAAKVDIRLRNCPETMIALKNLKAAYIDKLVSVRGTAVKASTVRPLVVEMSFECIKCKQSITRIFPDGKFSPPSTCNLNGCKSKNFNPLRSTAQTIDFQKIRVQELLKHEDHEEGRVPRTVECELTHDLVDSCIPGDVVTVTGIIRGINNYMDIGGGKSKNRNQGFYYLYLEVVSIVNSKSQSIPDDSQDSHAKARPTELFDLFSFSSKDLEFVVKFAEEHGSDLFRQILHSICPSIYGHELVKAGITLSLFGGVRRHSMDQNKVPVRGDIHVIVVGDPGLGKSQLLQAAAAVSPRGIYVCGNATTKAGLTVAVVKDPMTNDYAFEAGAMVLADSGLCCIDEFDKMTSEHQALLEAMEQQCVSIAKAGLVASLSSRTSVLAAANPVGGHYNRAKTVNENLKMSAALLSRFDLIFILLDKPDELLDKRVSEHIMSLHAGSGQHSQASKKRRGDPPSDSRASASQNAEGIDLGVRSGSLISRLKLDRRRDSDFVPLPGQLLRKYIAYARSYVFPRMSKPAADIIQKFYLKLRDHNVSADGTPITARQLESLVRLAEARARLDLRVEITAQDAMDVVEIMKESLYDKYIDEHGVVDFGRSGGMSQQKEAKRFLNALNKQSELEQKDCFSVSEIYSLADRISLKVPDMDTFIENLNSVGYLLKKGPKTYQVLSSSYSRSQSSRSRG >RHN63762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53887762:53888560:-1 gene:gene26506 transcript:rna26506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S49, ClpP/crotonase-like domain-containing protein MINHGSTSIGFNKELISRGQYAEIFAADHRSFRPHEAKLFAKRTQNAYKQFRDKAALSRAMTVDKMEEAAQERVWTGKDAVSHGLVDAIGGLSRAIAIAKLKANIPQDNQVDFRRLF >RHN46396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31330221:31333222:1 gene:gene40878 transcript:rna40878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MILSRTMNRGVEVLSPASYIQNSNWLFQENNGSKWTSEENKLFENALAYYDKDTPDRWIRVAEMIPGKTVGDVIKQYRELEEDVCVIEAGLIPVPGYTTSSFTLDWDNSEGYDEFKQFCSVGGKRNGSTRPSEQERKKGVPWTEEEHRQFLLGLKKYGKGDWRNISRNFVTTRTPTQVASHAQKYFIRQLSGGKDKRRSSIHDITVVNLQETKSPSSESNNLSSPDHLVKVPNQPQNNNLSGMVKQEFDWKLPDEGMSFVFNSTKGNMFVAPSCGISSHESKSPGRNVLRSTHHGYQFSPFDTILQMQSMQHQ >RHN70337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50723938:50726315:-1 gene:gene18907 transcript:rna18907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRVKLQIKKIENITNRQVTFSKRRNGLIKKAYELSVLCDVDVGLIMFSPSGRATLFSGNRSIEEILERYINLPDSERGRMHNQEHIRKVLRKLKAETDQICQAPSPTITDFQLKEVQREIFICKSQLEEMENRLRIFEGDPSEITTLCEAEYREQVLQETLKQVQLRKVHLTKTVDVDGFIAGTTENALGWFSQGDTNDQILNFANAYNPSPLSDHQSLSTVVDMVTPTSTLLNSANVDHNCQISPRDAAEADISNTLSTQFGQVMDTSLSSWEHLHQLERGPLAVAETREGHLFEQYFSQFSPSNILITNHGQLQT >RHN80431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38114177:38119277:1 gene:gene4359 transcript:rna4359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MEERENFGAVNVVGGNEVPVSFQAAPRIDNNLDFSMATVPVSLPETALKKKRGRPRKYGPDGKPAPGAVTALSPMPISSSIPLTGEFSAWKRGRGKPVESMKKSSFKFDFESPPVQVVGGGVSEGIAYSVGANFTAYVLTVNSGEDVTMKIMSSQQGSRAICILSATGTISNVTLRQSTSSGGTLTYEGRFEILSLSGSFMPTENGITRSRSGGMSVSLAGPDGRVLGGGLAGLLIASGPVQVVVGSFLPGHHLEHNSKKQRVEHTISTITHNNPPPHVNHHVSNEVSFGGVKPFMTPAAFQEENIVSFNNNAQDSRNTSSAEDRDPLPEKDSNHSQSTT >RHN57800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41790405:41794541:1 gene:gene33388 transcript:rna33388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial glycoprotein MWKRGLFTAVGALRHRTTPSGVRGAATMSSAVDTMVLRSLKEHYMEVAKMNMPPKVSPPSNFTIVKGALDSEGPVLKRNYGEEEISIYVMRLNNIGEEQDGAIDQLFIHVDVSKPEQKESLNFLCGLYEDALGIHSVSMRPKLLDSSGYILTPTHYTGPVFAELDEKMRDAFHSYIEERGVNDSLFKFLQAWLYVKEHRNLMRWFKTMGLFIDGKKQAVDA >RHN45691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24506691:24507029:-1 gene:gene40074 transcript:rna40074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I MNALGRPQDMFSDTAIQLQSVFAQWIKNTHALAPGTTAPGATTSTSLTWGGGDLVAVGGKVALLPIPLGTADFLVHKIFLRSTLHRKFLWSTTHKNYYKLACLFSYVVNHTK >RHN54265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8144837:8146896:1 gene:gene29270 transcript:rna29270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MYVKCRYESLHLNRINGMAKVLGVLASVGGASIITLYKGPTIYAPHLALHQGQIFLSAFEDANGKNLNLGGILLFGHCLCWSGWIVMQAFVLKKYSAQLTVSAFTCFFGIVQFGTIAAFLEKDPKAWQLNSIEEAYSILYSGLVISGLAAAIQIWTISKGGPVLASIYLPLQTLLVALIASIAFGEEFFLGGIIGAFLIISGLYLVVWGRSQETKSAEEFIVPIEPENHLKENIYNSSIIQPLFPEHNS >RHN62110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40800414:40801781:-1 gene:gene24644 transcript:rna24644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative herpesvirus UL139, cytomegalovirus MESSFFAVHSNKKSEIQFIPKRITIRFTSAMAFSSAFHERLEQMDSTRIQRLSLLQAEKELQAHKSRILASKLANIGAMEQRCLLFDRKIASQNFNILSLKSQIENLEAKYDSLWQEFRSLQNEVDEIDELHKMKDRFYEAKKMEMKEFKELAEKFVMKCEFEVQSLRNAVNELRSSFMDFKSNKRNSCNSEIADAEARRLRLLAEKENVCRNVESNHQIKAQLQKQLQSIM >RHN80140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35471517:35471904:1 gene:gene4027 transcript:rna4027 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVLFGIGTCDNPTQRRDLGSICCQREYSCLACYFQVTFEVEDL >RHN49400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54527192:54529425:-1 gene:gene44225 transcript:rna44225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MSDCLREEQIGEFLEAFSLLDKDRDGCITIEELGTAIRSLDENPTLEVLQIMMNEVDTDRNGTIEFREFLNLMARKLKESEAEEEFKEAFRVFDKDKDGYISPSELRSVLSTIGEKVTDEELEQMIKTADLDGDGLVDYQEFVRMMLTV >RHN39399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6811133:6812326:-1 gene:gene45420 transcript:rna45420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MISQIHNFKQFSERKFILFKEGFISSVGSLQGVKHLVLLSQLRTGELQDTPGGKQGFTFDKGCAATGSISKEDVAFVCVKALEFVPQTGLIFEVANGENKIPDWKECLATLMEKSSQPPLQ >RHN61607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36782326:36786426:-1 gene:gene24089 transcript:rna24089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translocon-associated protein (TRAP), alpha subunit MASFNSFWIFSLAFFLIASPFLQVARSDEGVDTTTEEGSDIGVVGEEAPDFDSIGDFSPAPGIDTISVFPKNFAKLVTAGEDTELLVGVKNNGDSSLNVVAIKASVHLPVDHRLLVQNLTAQVFNNGSVPASAQATFPYIFAVSKFLQPGSFDLVGTIIYEIDEQPYQSTFFNGTVEVVEAGGILSIESVFLVTLGVALLVLLGLWIHGQIQNLSKKTKRAPKVEVGTRSTDASTDEWLQGTAYTQSLSSKSKKKK >RHN59893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14514481:14516652:-1 gene:gene22060 transcript:rna22060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative autophagy protein Atg8 ubiquitin MSKPSYKTKHSFERRQAEYNRIREKFSDRVPVIVEKAERSDIADIDKKKYLVPGDLSVAKFLFVVRHRIRLSEDKDIFGFVHNNQSPVVASALMSSLYEEHKDEDGFLYMTYNEEKPLDN >RHN69223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41884682:41887519:-1 gene:gene17663 transcript:rna17663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclear transport factor 2, NTF2-like domain-containing protein MDPNALSKAFVEHYYTTFDTNRPNLAALYQDGSMLTFEGQQIMGSQNIVTKLTSLPFQQCHHSITTVDCQPSGANGGMLVFVSGNLQLAGEQHALKFSQMFHLIPTPQGSYYVWNDIFRLNYA >RHN78580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16422484:16424337:1 gene:gene2198 transcript:rna2198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative brevis radix (BRX) domain, transcription factor BREVIS RADIX domain-containing protein MKIRSLKQKGDMQDIKIRELQKNIEEANLLAGEESSKHREAKEFIKSITEELSEVTEKLPPEIPGSETLKVIHAQAQNLLKENLEFESSFQPSFESEQQRAPDIPASDGDSSNLQEQRLEGNNEVSEVVRSTNGADDRQESSSSSYLSTNAVSPPNSENSIRSQESSRPVREGETSVIEQFERGVYITAIVIADGSKIFKRVRFSRRRFSEQQAEEWWSNNKDRVYRRYNPPPINNESIDSSSTPPHGEKNVEAASINQV >RHN42128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35603926:35606391:-1 gene:gene48529 transcript:rna48529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative U1 small nuclear ribonucleoprotein of 70kDa MEDPLLRNQNAAVQARTKAQNRANVLQLKLIGQSHPTGLTANLLKLFEPRPPLEYKPPPEKRKCPPLTGMAQFVSKFAEPGEPEYSPPVPVVETPAERRARVHKLRLEKGAAKAAEELEKYDPHNDPNISGDPYKTLFVARLSYETTESRIKREFESYGAIKRVGILNMFKRVR >RHN62155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41252059:41254654:-1 gene:gene24700 transcript:rna24700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MPLSYLNVAKSRTFCSYKLIHKHAPFATIENASLFNQPSSIFSSLLREFSNTLIDVKSIHAQIIRNYASNQHFLATKLIKIYSNLGFLNYAYKVFDQCPHRETILCNAMMGGFLKNMEYKEVPKLFKMMGLRDIELNSYTCVFGLKACTVLLDDEVGMELVRMAVRKGFHLHPHVGSSMINFLVKCGNLNDARMVFDGMPERDVVCWNSIIGGYVQEGLLKEVIQLFVEMISCGIRPSSVTMASILKACGESGHKKLGTCVHVFVLALGMGDDVFVLTSLVDMYCNVGDTESAFLVFNRMCSRSLISWNAMISGCVQNGMVPESFSLFHKLVQSGDGFDSGTLVSLIRGCSQTSDLENGKVLHACIIRKGLESNLVLSTAIVDMYSKCGAIKQASDVFRTMEKRNVITWTAMLVGLSQNGYAEGALKLFCRMQEENVAANSVTLVSLVHCCAHLGSLKKGRSVHGHLIRHGYEFNAVNMSALIDMYAKCGKIHSAEKLFYNGFHLKDVILCNSMIMGYGMHGQGHQALRVYDRMIDERLKPNQTTFVSMLTACSHSGLVEEGRTLFHCMERVHNIKPSDKHYACFVDLLSRAGYLEEAYALVKQIPVEPSIDVLEALLGGCRIHKNINMGIQIADRLISLDYLNTGIYVMLSNIYSEARRWESVNYIRGLMRKRGLKKTPAFSLTEVGNQVFTFFAGDDSHPGWENIKQLLENLRLEVEASGYVADTSCVLRDVNETMKVQLLWGHSERLAIAFGLLNTPYGSLIRITKNLRICVDCHTVTKYISKIVKREIIVRDANRFHHFVNGECSCNDYW >RHN60628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28984057:28984405:-1 gene:gene22973 transcript:rna22973 gene_biotype:protein_coding transcript_biotype:protein_coding MYQFLKLDDVALCWLIMLLFRLNAKILFGGFKQLLMVDNNPLQV >RHN74930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37938908:37943953:1 gene:gene11065 transcript:rna11065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TUBBY family MSLMKVFRSRKYSKSFKEVQSIDTVADDRRDAGGESADGTDWSTMLPDLLAEIIKRVDVAEEQWPHRQNVVACACVCKRWRDITREIVKSPQRNGTITFPSCLKQPGPRDLPHHCLIKRSKKTSTFYLYLALTSSFSDKGKFLLAARRYRCGTHTEYAISLDADDLSQGSNAYVGKLSSDFLGTNFTIYDSQPPHNGAKPSCARASRRFASKQISPQVPAGNFEAGDVSYKFNLLKSRGPRRMMCSLKFPVPSVGESSDSKSFDGQKMHSKEHAASAHTILKNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATVDQSQPGGKGDEETVLLQFGKVGDDTFTMDYRQPLSAFQAFAICLTSFGTKLACE >RHN66954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22162577:22166304:1 gene:gene15079 transcript:rna15079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type MIILTSKISLLLLLLLSITTFHKTMCSNYTVVQCNEKDRETLLNFKRGINDSLSRISKWSTEELLKFISMTIN >RHN68961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39884969:39890569:1 gene:gene17386 transcript:rna17386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative primary-amine oxidase MDARNLLKFLVFSFGIALVILATWFHLPSSFNKEALDCNIFSGWCTSKNRFQSSNPIHKPSFSTRRQQPNHESDEPRHPLDPLTIQEFNKVRAILSTHPLFKSSNSYTLNSIVLEEPDKELVLKWKNGQPLLPRKASVVALDKRVTHTLTVDLSTSEITNHETRPGSSGYPTMTLEEMVAVLDVPLKSGEFNSTIRKRGVNLADLACLPVASGWYGTPVEENRRLIKVQCYSSKGTVNFYMKPIEGLTVLVDMDKREVVSITDNGLNIPVANGIDTDYRYSVQKLNGELNLINPISLEQPKGPSFTVDGHLVKWANWEFHLKPDPRAGTIISQAKVRDPDTLEMRNVIYKGFTSELFVPYMDPTDGWYFKTYMDAGEYGFGLQAMPLDPLNDCPRNAYYMDGVFTSADGTPYVQPNMICIFESYAGDIAWRHAECPITDIKVTEVRPKVTLVVRMAAAVANYDYIMDWEFQTDGLIRSKVGLSGILMVKGTTYDHMNQVPDQEYLYGTLLSENIIGVIHDHYVTYYLDMDIDGSDNSFVKVNIKKQETSPGESPRKSYLKAVRKVAKTEKDAQIKLQLYNPSEFHMVNPSKKTRVGNPVGYKLVPGATAASLLDHDDPPQKRAAFTNNQIWVTPYNKSEEWAGGLLVYQSQGDDTLQVWSDRDRPIENKDIVLWYTVGFHHVPCQEDYPIMPTVSSSFDLKPVNFFERNPILRMPPNFQDDLPVCKAQDSA >RHN59961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15369722:15373171:-1 gene:gene22133 transcript:rna22133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSQVWLLILLIYGLLFLNYVNSNALAIECLASDHEALVDFKNGLEDSHNRLSSWRNTNCCQWRGIYCDNNTGAVISIDLHNPHPPSFDWKLSGELRPSLMKLKSLRHLDLSFNTFGEIPIPKFLGSLVNLQYLNLSTAGFAGLIPPHLGNLSHLQSIDLTDNSLHVENLQWVTGLVSLKYLAMDGVDLSSVAGTDLVSAVNHLPFLIELHLSSCHLFGQISSPSSLNFTSLAFLNLSSNAFFSKIPNWLVNISTLEHIDMRNSGFYGTIPLGLRDLPKLWYLDLGFNYNLIASCSQLFMKGWERIEDLDLGNNKLYGRLPSSFGNLTSLTYLNLSNNTIEGVIPSSIGAICNLELLILSGNDMTGTFPEFLQGIENCPSRKPLSNLKLLWVRDNQIHGKIPDWLIQLENLTSISISDNLLEGPIPLSIGSLQNLIVLDLKGNKLNGTLPYSIGQIDKLKYVDISSNQLSGMVTEHHFSKLSKLILWTMSSNSFTLNVSANWLPPFQLVLLGMGSCALGPSFPSWLKSQSRISNLDFSNASIVGFIPNWFWDITSSLTIINMSHNELQGRLPSPVPMAFSLYVRLDLSFNLFHGPLPTMTQGFESLDLSHNCFSGAIPVNISQRMNGVRFLSLSYNQLNGEIPVSLGEMSSVIAIDLSGNNLTGRIPPSLANCSLLDVLDLGNNSLFGTIPGSLGQLQLLRSLHLNDNHFSGDLPPSLRNLSSLETMNLGSNILSGVIPTWFGEGFPYLRILILRSNAFYGELSLEFSKLGSLQVLDLARNDLSGRIPTSLADLKAIAEVRKKNKYLLYGEYRGHYYEEGLNVYVKNQMLKYTKTLSLVTSIDLSNNNFSGNIPNEITKLFGLVVLNLSRNHISGQIPKTISNLLQLSSLDLSNNQLSGTIPSSLSSLSFLGSLDLSDNNLSGVIPYTGHMTTFEAMTFSRNSGLCGPPLLVRCSDDGDNRQSSDDTNDEGLFDNWFYMSLGLGFATGILVPYFILTMKSSWGDVYFGFVDQVIHKLLRCTLKQGINHGQRRKIRQRQ >RHN74761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36493151:36497526:1 gene:gene10873 transcript:rna10873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-oxo-beta-amyrin 30-oxidase MEALCAISACIILLVTLVWAWKMLIWSWLKPKKLERVLREQGLKGNPYRFLVGDMKEYLKMEKEVRSKPLNLSDDIVPRVFPYGHQSITKHGKSSFVWFGPTPRVTITDPELVKEIFNKIYDFQKPNSNPLVRILATGLITHEGEKWSKHRKIINPAFHLEKLKMMLPIFFKSCNDMISKWEGMLSLDGSCEIDVWPSIQNLASDVIARTAFGSSYEEGIKIFQLQKEQAELTLEILMKVYIPGWRFLPTTTNKRLKEINRDIIASLNDIINKREREIKAGEATKNDLLGILLESNHKEIKDNNNNKNVGMNLDDVIKECKLFYLAGEETTSVLLVWTIVLLSRHPNWQARAREEVLQVFGNKQPDFEGLNHLKIMTMILYEVLRLYPPITVLPRAIHKDVKVGNLTLPAGVQITLPIVWIHHNHELWGEDAKVFNPERFAEGVSKATNGRNSFFPFGGGPRICIGQNFSMLEAKMAITLILQHFSFELSPTYAHAPASVITLEPQHGAHIILRKVEI >RHN75336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41393765:41395923:-1 gene:gene11520 transcript:rna11520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MATLNKLFVTLSIFSLLACSTNAQLVNNFYGTTCPSLQTIVRREMTKAINNEARIGASILRLFFHDCFVNGCDGSILLDDTSTFTGEKNAGPNKNSARGFEVIDAIKTSVEAACSATVSCADILALATRDGIALLGGPSWVVPLGRRDARTASQSAANSQIPGPSSDLSTLTTMFRNKGLTLNDLTVLSGAHTIGQAECQFFRTRIYNETNIDTNFATLRKSNCPTSGGDINLAPLDSVSPVTFDNNYYNDLVANKGLLHSDQALFNGVGSQVSLVRTYSRNNIAFKRDFAAAMVKMSRISPLTGTNGEIRKNCRLVN >RHN38974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3157088:3157565:-1 gene:gene44954 transcript:rna44954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MVPKFEKIQKKFDVVVEEMTRLNLNPKAVVVKQTDSLRNKSISFLLESNINGREDDKKEIINLLRQPRGNISSIAIVGIGGIGKTTLAQFIYNDEEVQNHFEKKMWVCISNNFDVKTIVKKMLESLTDSKIDDKLSFEYIQHTLHEN >RHN63918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55037956:55041483:1 gene:gene26674 transcript:rna26674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MDEDFQKRNTDCVYFLASPLTCKKGVECEYRHHEIARLNPRDCWYWLSGNCLNPTCAFRHPPVDGHTGVPSEPTQSSLPATANKTMVTCYFFFNGFCNKGSKCSFLHGPDDSSLTVKPLKNDTVKPLKNDTAKPLKNDSAKPLKNDSAKPLKNDSAKPLKIDTVKPLKNDSAKPLKIDTMKPLKNDSAKPLKIDSMKPLKNDNGSINKPNLENGTASGNKTSVASSTPRDTITRKALSNIKPQPKEDLQLPLPENVKQQGDCFKISSLDYKEAVVTRSDSPLPEDGFAHNMSPSCTEQSSEEQENSQVEPEERSSPGFDVLVHDESGNNLGYEGGSEYLPVLDMDDHELNEQYLGYEFKNTNDYDTMCSGADILYERKTYDDYRCFDRDFTSPSERKVCGYPREMVLDTIFSRKRIRMSTSEMAACDYSDLDLRHHLRRRREVNSPPDTGLLRRHESSSLMVQNRERRHSQDIGQQQNRRLTSQVGFSSIREVEDLSIANKQRLFRPYQQSRPRKHYREKPAKRPFPSSKESRKPIVKQQRSIQKSNAFSGPKTLAEIKEEKKKSGESSHCESSSAGFQDPKPLHEILKDRTMD >RHN66329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10954234:10956024:-1 gene:gene14289 transcript:rna14289 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPHYKYTQTTPSVFTTHSLSYKCLSSSYNTNPFFHFSSIFFSFIDSFQLKEEKIGFFFFFFQFFFSEMGKWVEIVDQGMRIVARSYSNCPQTGRKFYHPPPHSEGGAAVNGCGGGGKSSGGFGGSSLDVILYSV >RHN45987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27554337:27556057:1 gene:gene40419 transcript:rna40419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endonuclease/exonuclease/phosphatase MTIIGWNCRGLGNVSVVPKLKYLVRYYKPDALFLSDFNDILDAKEKRGGSVRAQWLINGFRQAVSDAGLTDIFMEGYLFTWFKSLGTPRAVEERLDRGLANATWFDLFPNAKVENLVAPASDHYPILLNREPVTRIWVPKRNFKFENVWCVEPGIHDVVSDCWVSSAGMAITERLEHCASELNIWSKTTKIGLKDEIAECRKELNRCRDQGAAADPNRLTSLRKKMTQLMIQEDKYWRQRAKTHWYRDGDLNTKFFHASATSRKKVNRILSLGNDDGVRVTDEHGLCQISKRLF >RHN53128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42195373:42197016:-1 gene:gene37898 transcript:rna37898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DYW domain-containing protein MELKLQASMASSSSSSTPFCTYAIHHATNLHPRQNGTNNSRFTPRKTQPLRMGNPSIQPKLNHHQAPHQHKNVNFAHFLQEGNVNQVLELMGQGAFADYSDFLSLLKLCEDLKSLELGKRVHEFLRRSKFGGNVELCNRLIGLYVKCGSVKDARKVFDKMPDRNVGSLNLMIGGYNVNGLGIDGLLVFKQMRQQGVVPDEETFALVLAVCALVDGVEEGLMQFESMKEYGIVPGMEHYLGVVNIFGCAGRLDEAHEFIENMPIEAGVELWETLRNFARIHGDLEREDCADELLTVLDPSKAAADKVPLPQRKKQSAINMLEEKNRVSEYRCNMPYKEEGDVKLRGLTGQMREAGYVPDTRYVLHDIDEEEKEKALQYHSERLAIAYGLISTPPRTTLRIIKNLRICGDCHNAIKIMSKIVGRELIVRDNKRFHHFKDGKCSCGDYW >RHN82135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51478740:51485218:-1 gene:gene6261 transcript:rna6261 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKQVQFRLDPLLNGLNNVTYISHPRTIQLLLFCLDMLVLD >RHN42494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38818309:38821579:1 gene:gene48941 transcript:rna48941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NTF2-like domain-containing protein MDPDVLAKAFVEHYYTTFDNNRGGLATLYQEGSMLTFEGQKIQGSPNIVAKLTSLPFQQCHHSITTVDCQPSGANGGMLVFVSGNLQLAGEQHALKFSQMFHLMPTPQGSYYVMNDIFRLNYA >RHN52727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38172881:38178998:1 gene:gene37428 transcript:rna37428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MLLSNIGAVFCYNHPPRPDPNNKTRLTSLRFQHRRDSPPSPAKVHWKKRYVKQQSICTADELHHISIPNSDWKLALWRYLPSPEAPVRKHPLLLLSGVATNAIGYDLSPESSFARHMSSQGFDTWTLEVRGAGLSTYADSLEEDEERLKNSSGIDSEIKNLSASFGSEVSQIKRRGSEEATKLEELRPTTRMMEIFTRMSDSLASFLGRDLEGRNNSAIVSQIKDFNRRLQTLIGGQKIFPPRVLDELQKQFELIVKYNWDFDHYLEEDVPAAMEYIKAQCQPKDGKLLAIGHSMGGILLYAMLSRCCFNGKDSEFASVVTLASSLDYTPSRSSLKWLLPLVEPVQVLNVPVIPVGPLIATAYPLLRNPVYALSWLNAQISAQDMMDQKLFEKLVLNNFGTVPAKLLLQLKTVLQKGGLRNRNGTFFYKDHLRKSKVPVLAIAGDKDLICPPEAVYETVKLIPKELVTYKVFGEFGGPHYAHYDLVGGRSAADQLYPFIIEFLINHDDMA >RHN54056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6583407:6587358:-1 gene:gene29035 transcript:rna29035 gene_biotype:protein_coding transcript_biotype:protein_coding MACASLLKEKLSVLGSSGVLADHQLQAQLQEHHLKGHGQLTISDIARKAFLHSHFMEGHTKSAPISRLPLIAVLDTKHRLKDIPVAQPFHLELNFFNKENRVLHYPVRAFYVDGPDLMAYNLSSGLENTYRKLYNSIPGHVEYQANYLIYSKKQHLFLVAFEFSGITNEVVVYRENTEVDTVNSKSSNVKGRDAAFIGPNENQFAILDEDKTGLAVYILPGGPSHEPKDIEKAFEENQATETSDNSIKGPTPFMFETEVDRIFSTPLDSTLMFASHGSQIGLVKLIQGYRLSTSTSTSTSSGHYITTKGEGKKSLKLKINEIVLQVHWQETLRGHVAGILTTLRVLIVSDTLDILASTSTNFDKGLPPISLDFFN >RHN65960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7036800:7042991:1 gene:gene13867 transcript:rna13867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MGGLPSNLRSLKIYNCPKLIGSREEWGLFQLSSLLEFSVSDEFENVESFPEENLLPPTLMFLHLYKCSKLRKMNNKGFLHLKSLKSLSINNCPSLENLLEEALHLFTKLDFLYLVDCPELDSFPEGGLPPNLSSFGIYNCPKLIGSREEWGLFQLNSLKSFFVTDEFENVESFPEENLLPSTLETLYVENCSKLRIMNNKGFLHLKSLKAMRIFSCPSLERLPEKEALPNSLDELWIDDCLIIKEKYEKEGGERWHTICHIPRVLIDGIRPE >RHN81059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42974619:42975487:-1 gene:gene5053 transcript:rna5053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperone DnaJ MVFGGGPMEEEETILKVDDVIVKCNTTLEDLYMGGSLYEMLFYEDGESTIDGESGDLRFCILTAPHGVFRWEGNDLQATVTITLIQDLIGFETSIKYLDEHLWT >RHN48575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48556004:48558239:-1 gene:gene43306 transcript:rna43306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine-cytosine permease MVSKFLNLSVSSSHPNNFLTSISNSKYPKLSNSLTLLSSKKLNKTFHAQNHSLHNNVSLRYSNSKVPFSSHGVEIEPDPTLTNDDLKPTTPSQRTFSGFEMASLWVGLVVGVPSYYLAGSLVDLGMAWWQGIATVVAANMILFVPLILTGHPGTRYGISFPVLARSSFGIHGAHIPTLLRALVGCGWYGIETWIGGETIFLLLPNSIKQSTLSKSLPWLGTSPLEFFCFLAFMVVQLSIVWKGIEGIRELEKYSAPILILLTSLLLIWSYVKAGGFGHMLSLSSKLSNSEFWSLFFPSLTANISFWAALALNIPDFTRYAKSQNDQIIGQAGLPIFMGAFTFVGIVVTSSTKIIFGHVISNPIQLLGKIGGFVTTILAILGISLATITTNIAANVVAPANALVNLSPMWFNFRRGAILTAFLGIAFQPWKLLKSSESFVYTWLVGYSALMGPIGGIILVDYYLVKKTKLSIGDLYSRNHYGAYYYTKGFNVAAIVALVFGMLPVIPGLLNKVGTLKSVSETFVVVYNNAWFVSFFSAGLFYWILSSLIKKLVDEYARDNS >RHN76416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50066036:50066678:-1 gene:gene12739 transcript:rna12739 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGDSNNQEPPIRFGGSSLFFSSEILLFLLLLLLFCSVSSSSLKYRDLKFSKRKRSTSASVAASLILLLLLLTALEDDMFLPLSKEKDGVLGCLQPESILVNRI >RHN63113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48572097:48572849:1 gene:gene25772 transcript:rna25772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MIPKVHDGLEMAMARNESGENLEKWKKRMHVFGERLKRFPCLAWKTTWKVGYDDPRRVIHAFKVGLSLTLVSLLFLLEPLFKGIGENAIWAVMTVVVVFEFTAGATLCKGMNRGLGTLLAGLLSFLLDYVANESGQILQAVFIAFAVFIIGISMPNVISTSQM >RHN67285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25559072:25563585:-1 gene:gene15464 transcript:rna15464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MDISECTRIVLDKIQRFEPEHATKIIGYLLMQDNGEQEIAKLASFPDNLIREVALKARTELQKMATRSVISSGNSSPASFQVHSPYYNHGNTSPEFMTIGTTEHQTHTALFGSENHHHVDSVNSTTDNYDCYNYYLEYASAVANLNGKISKRFSNMTEFPFKTCHYFSKGYCRHGNSCRFYHHGQAVSDIVSHMYGNDAAANDEQAISPGSLAQLESEIVDLLKQRGNPISIASLPMAYYDKYKKVLQAEGYLAESQRHGKSGYNLTKLLIRLRNSIRLIDRPHGQHAVVLAEDAPKFMGKADCQNISASQQIYLTFPADSTFSEEDVSNYFGTFGSVEDVRIPCQQRRMFGFVTFVEPETVKMILDKGNPHYVRGSRVLVKPYKEKPKLIDRKYPYRVEHHVCYSPRYADIDAEIASSPRSCGNPRYLTRLLLEEQDRIFELQRRRLALLQIAQKSLSSPPHFGINMNASRVSDDHFNVQATESFSYLQNEQAEYTDANNSDKDSSEGLNLPDSPFSFRIDTGISAMM >RHN47427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39667147:39669622:1 gene:gene42026 transcript:rna42026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MIRIRSNLSVFSIALLRIRHNAITVNHCDIVHKPLMNASFNAFNSSNYYTFFAPPKFSFSVRFFSAESSSDDDYNEDDGDDDHDKNSSQLHLRDDGFVKDVKTILDIIHKPGSGPYEIKQKLEDCNVKASSELVVEVLSRVRNDWEAAFTFFLWAGKQPGYDHSVREYHSMISVLGKMRRFDTAWALVEEMRRGKTGESIVTPQTLLIMIRKYCAVHDVGRAINTFYAFKRFNFQVGLYEFQGLLSALCRYKNVQDAEHLLFCNKNVFPLDTKSFNIILNGWCNLIVSARNAERIWEEMSKRRIQHDVVSYASIISCYSKSSKLYRVLQLFEQMKKRNITPDRKVYNAVIFSLAKNRMVKEAVNLIIKMEDNNVTPDAITYNSLIKPLCKARKIDEAKEIFNVMLERGISPSIRTFHAFFRILRVKEEVFELLDKMKELGCNPTIETYIMLIRKFCRWRQLDEVKRIWNAMREDGIGHDRSSYIVLIHGLFLNCKVEEAYKYYIEMQEKGFLPEPKTESMLQAWLSGRQVTDSQATDLEHNQLEHGGLKKSVKPIQSKFDREKDFLREPETRSVSREGGFSFWEK >RHN56615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32282529:32285523:1 gene:gene32045 transcript:rna32045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapate 1-glucosyltransferase MNFSGEDVCHIVAMPFPGRGHINPMLSLSKFLISRKPNNILITFVVTEEWLGFIGSDPKPKSVRYATIPNVVPPEREKTIDSFPQFYEAAITKMEAPFEQLLDQLELPVNVIVGDVELRWPVDVGNRRNIPVASLWTMSASFYSMLHHLEVFSRHQHLTHDKLENIPEISSVHMEDLRTVVRRNDQPTMQLALECISEVNKANYLLLTTVQELEAETIDTLKSIFPFPIYPIGPAVPYLELEEKISTNSNHSHDYIKWLDSQPAESVLYISFGSFNSASSAQTDEIIEALKNSEIRYLYVACGETSRLKDKCGGKGMVIPWCDQLKVLSHASIGGFWSHCGWNSTLEAVFSGVPILTFPLAYDQFPNSTQIVDEWKNGWKVEKSSKLESDVFFAKEDMEELVKRFMDLESQEGKKIRDRARELRIMCGKAICKGGSSDRNLDAFISDISS >RHN70413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51287000:51287491:1 gene:gene18992 transcript:rna18992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 10TM putative phosphate transporter, cytosolic domain-containing protein MEYKSITNLRLAHFTELPPKPSHFTILVRGIPWSSEESYCEAVRKFFTFYHASTYLSHQIVYKSGSVQKLKVCLLSLNIFISIETTFYLMLSLF >RHN52035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30667415:30670385:-1 gene:gene36649 transcript:rna36649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MDPPPLDALKNAVELLCNLGALNGHVKLTKVGRRMAEFPLDPMLSKMIIASEKYKCSDEIISIAAMLSVGGSIFYSPKNKKVHADNARMNFHTGNVGDHIAHLNVYNSWKESNYSRQWCYENYIQVRNAIKKSITSGFFPNTAKLQKYGTYQMVKRLQTGHIHPSSGLAEVFPRLVLYHELVLTTKEYLRQVTEIKPEWLLEIAPNFYNPKDVEDLSSKKMPRGSGLAGKSAGAGTS >RHN48930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51227282:51229535:-1 gene:gene43700 transcript:rna43700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MHFFFFISLLSKQMNGNMSCLAERVVKLLKSFCRTQIHVKQIQAQIIIHNLQSHTTIAEHLITASQSHNLLNYVSPLFTHLIPKPHVFIFNSLIRAFSHSHIPNTPLSLYSHMHKNSILPNNFTFPFLFKSLSDSHDFVQSQCVYTHVIKLGYVNDIYVNNSLLDVYASYGCLELCRQLFDEMPQRDVVSWTVMIMGYRNGGKYNDALLVFEQMQYGGVVPNRVTMVNALSACASSCAIEMGVWIDDMVRRNGWELDVILGTALIDMYVKCGRVEEGLKVFCDMKEKNVFTLNVVIKGLALAKSVEEALLWFNRMELDGVRADEVTLVTVLSACSHSGLVEKGRFIFSMLVDGKYGFLPNVKHYACMVDLLARAGQLQEAFDIIKCMPFEPTAAMWGSLLLGSKSRDDLEFSEFAATKLVELEPYNTAYYVQLSNLYAEAGRWSDVERIRGMMKERGLTKDLGCSSVEVEHQRHGSELLA >RHN81805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49009699:49021313:1 gene:gene5895 transcript:rna5895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinacetylesterase/NOTUM MIHNSFSVTMNFAVAFALFYLITVESWRVHSQEPKKLYVNMTLVNNARETGAFCLDGSLPAYHLDRGFGAGEDNWLLQFEGGGWCNDLKSCLERAKTRRGSTNYMTKYETFNGILSNNATVNPDFYNWNRVKLRYCDGASFTGNKVFNNGTTKLYFKGQKIWEALIADLLPKGLGKARKALLSGCSAGGLATFHHCDNFTKYLPTNASVKCLSDAGFFLDGRDVSLNHTMRYFFKSVVRLQGSVQNLNKNCTSAMPSYPDLCFFPQYVLKYISTPYFILNSAYDVFQFHNILVPPSTDPRGHWIHCKKDPAACTPTEINTLQGFRLSMIAALKPFYFYSNSGGMFINSCFAHCQSESQDTWSGADSPKIKNTTIAEAVGDWYFSRNRSKAIDCPYPCDTTCRNLIPAPALHNLIP >RHN60183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22740090:22743352:-1 gene:gene22434 transcript:rna22434 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGWIESWSKRKRLGADSVRKYKDWKTKYVSPKVRSLARPVLALERPCHPPELLLLRF >RHN67142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24292743:24293075:-1 gene:gene15291 transcript:rna15291 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVSSTLQIWRYGYSKDLNIVIYAGLSILSFYAINMNDMRLFADSSSLFLANLYCIVSMYSINSNEWISFIFSKRKRSR >RHN58606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3172292:3176651:-1 gene:gene20530 transcript:rna20530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-induced protein IAA6 MAREGFGLEITELRLGLPCGEPQKNEKKRMFSEIDGGEEENGGSGDQKIEKKNQVVGWPPVCSYRKKNMNEGSKMYMKVSMDGAPYLRKIDLGLHKGYLELALALEKLFGCCGIEEALKDADNCEHVPIYEDKDGDWMLVGDVPWEMFIESCKRLRIMKRSDAKGFGLQPKGSLKRFI >RHN62996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47451365:47452235:1 gene:gene25641 transcript:rna25641 gene_biotype:protein_coding transcript_biotype:protein_coding MFWTVSMWNYIGMTAPDYYRLNSFSQQLSTLGPTLTTLALNRPFPSFHTLPSYVKASSLSSLSTLPITRCKTNNFSSTPLYLGSIKHFKVTSSPIRLVEANLEGAQKNMKFSILLLVFFTVLAATRSCFVNSRILQSPTNIEKITHEPIKELQHLKASMNNRILVDNQYHTMTSGPSRRGAGH >RHN57609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40496297:40497579:1 gene:gene33185 transcript:rna33185 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIVISLGIWWGMILGVFLQTVILLVLTARTNWGAEVEKAIVRAKRSAEDETFDQLVADV >RHN61904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39234622:39235608:-1 gene:gene24417 transcript:rna24417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MAGTGTIKIYNVGDGKDFISNLPDEILHHILSLLPAKDAVGTSILARRWKSLWIDLSAFNFDRPTNQLFHLVHRLLKRSSRTAIRLRIAMDGSGVTVDRAKFQCFLYAAELDKVQELNISLDLKSNPFILPITFSSASLNSLCLELKCVLYIPSWGFSGLKTLMISLVTFANDNSLRRPLSECIFLRKLVLHGCDWKNIEEISVVMPTLRELSITFSRFHAHYLVNSKVKIDAENLLSFSCRGCLTFEFVLVNLTSIVNAYIDFKSGFPSNYLYTAAHEIKLLSGLHRVESLSLTIDTLEVCIIYHLLLPLILCSLVFKLHFSSASQE >RHN60692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29579777:29583911:-1 gene:gene23046 transcript:rna23046 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuBisCO large subunit-binding protein subunit alpha MASTNALSSTSILRSPTNQAQTSLSRKANQHGRVNYRQKVNRFVVKASAKDIAFDQDSRRAMQAGIDKLADAVGLTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELPDAMENAGAALIREVASKTNDSAGDGTTTASILAREIIKLGLLSVTSGANPVSIKKGIDKTVAALVEELEKLARPVKGGDDIKAVASISAGNDELIGTMIAEAIGKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNLEKSIVEFENARVLITDQKISAIKDIIPLLEKTTQLRAPLLIIAEDITGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAILTGAEFQASDLGLLVESTSIEQLGLARKVTISKDSTTIIADAASKDELQSRVAQLKKELFETDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGTALVHLSAYVPAIKEKLEDADERLGADIVQKALVAPASLIAQNAGIEGEVVVEKIRSGEWEVGYNAMTDTYENLIESGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKPRAAAAAAPQGLTV >RHN70787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54008539:54009454:1 gene:gene19404 transcript:rna19404 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFPRLLSTTASNPTLFRTGSDHLTRSVSNSVTRLLFSSSSSTHHHNEKEQTQTPKPESLHDDDKQSQKEEEEEEEDNDDDIDMNKETGEVGGPKGPEPTRYGDWERNGRCSDF >RHN53583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2687022:2687587:1 gene:gene28506 transcript:rna28506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate--cysteine ligase MSNLCMCDCRIGTEHEKFGFEFDTLRPINYQQISALLNGIAARFDWDKIMEGDNIMGLKTGNQSISLEYGGQIELSGAPLKTLHQTYDEINSHLYQVSICNLFRCCCYIRFEEKC >RHN49879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1904610:1912626:-1 gene:gene34105 transcript:rna34105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator IWS1 family MYGFGGEKKWKHNRHMWPVPSNPTTVVTVSSPSKFICKDGRKIRVGDCALFKPPQDSPPFIGIIRKLIFNKEESPSLEVNWFYRPADLKLSKGIAVEAAPNEVFYSFHKDETHAASLLHPCKVAFLRKGVELPSGISAFVCRRVYDIDNNCLRWLTDKDFVNDQQEEVDHLLDKTKLEMHGAVQSGGRSPKPLNGPTSTQSLKSSSDNIQNSSSFGVQGKGKKRERGDQGSDSSKKERLFKVEVGDSGQFRLEMLRTEIARITEKGGLVDFEGVEKFVQLMQPDSADKKIDLAGRTMLVDVISLTEHYDCLGWFVQLRGLPVLDEWLQEVHKGKIGDGNVDKSEEFLLALLRALDKLPVNLHALQTCNVGKSVNNLRSHKNSEIQRKARSLVDTWKKRVEAEMNMTDTKPGSTRAVSWPTKPSPSDVSHSGNRRTGGSSENVAKSSPIQPSASKNSQSKHNSGEVLSKFSSSPGSTKSMTASVGSNTKDQNVKLIVGATTSDLPLTPIKEERSSSSSQSQNNSRSCSSEHAKSSTAGSISGSKIPGNASRSRKSSNGIHGAGVAVVLKDHSSAKNSTRNSPSDKVSPTRMSHEKPSDQPLSDQGHNQRLIVRLPNTGRSPSRVASGGTFEEPAITCGKTSPPADKNENQDRRVKAKTDCLQTHVSNVMNDASNAKEITGCDEAKCSPRVDERCRANEDGDKVAETSKTTSSSTGFVSRSGQTYDASLSPMNALVESCVKFSEASSSVSPGDDGMNLLASVAAGEMSRCENVSPLPSPERKSPAADESSSGNDRHSFEAAGRTQRQSDGGATGEHPVNTLQFKNNSRHLVTMVSRDFSDGEAVSSSCVEKTGDGKKQVNFSTTDAIQNTEGSCLRPDTKEDTSETVFPGRKESHAEAGGAEGFHERRESGTQWPKNSTSPGSKKLRTSSFDDDQKTDNKDGGLTEHGKMLVSETVASGMIENEPGKISPELSSGVDSKSQISAEKVTGIIPVQKGSPVADTCESIDVKREDVMLPASGSALTVSRDENTNNVMAVESKPSEKRMDLDSAVADGVDERCEENSVRKELIGSSSLNSDIPITSEKENEVPETCDSNIEGKKSVVAAELNAGNANTSPIASGSDAAVKLDFDLNEGYPVEDAGQGDIVRQEDPTSSSAVHVPCPLPFPIPSLSGAFHASITVASATKGPVVLPENPLRSKGELGWKGSAATSAFRPAEPRKNAEMPSNTGDIPSVETTSVKQGRAPLDFDLNVADEIGFEDVGYRGSLESGAHDRSAVGLDLDLNRLDETPEAGSFAMGKMDIPSLPSKQPSLSSGLSNGGSVSRDFDLNNGPGLDEVSTEVPARSLQMKGPVPFSSSVHGTRTNNAEFGNYSSWFPPGNSYSAITVPPLLPGRGEQSYVGASGPQRIIGSTGSSPFSPEMYRGPVLSSSPAVAYPPTTPFPYPGFPFETNFPLSSNAFSGCSTPFMDSSTVSGLCFPTMPSQPVGPGGIVSSTYPRPYVMSLPGSTSNVIPDSRKWGSQSLDLNSGPGGTDAERRDDRLPSGLRQMPVPSPQALMEDHLKMFQMAGALKRKEPDGGWDGTDRFSYKHPPSWQ >RHN50383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6633625:6638100:-1 gene:gene34661 transcript:rna34661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MVNDTCQGISFVINNIASYGGDPDRIYLMGQSAGAHISSCALLEQATRETKNGDGVSWSVSQLKAYFGLSGGYNLLDLVDHFHNRGLYRSIFLSIMEGEQSLKKFSPELKVQDPCIKDSIPLLPRIILFHGTGDYSIPSTASEKFADALKEAGASAELILYDGKTHTDLFVQDPLRGGKDDLFDHVLATVHSDDSDALAKDAMAPPRRRLVPEILLKIANNISPF >RHN54139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7242983:7244120:1 gene:gene29129 transcript:rna29129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MNLTIIAPFRNRYNNMGGLVILICMIFSWIFLHRWSQRNKKGPKTWPFLGAAIEQLMNYDRMHDWLVQYFSMSKTVVVPMPFTTYTYIADPVNVEHVLKTNFSNYPKGEVFHSYMEVLLGHGIFNVDGELWKRQRKTASLEFASRNLRDFSTKVFKEYALKLSTILSQSSFLNQQIDMQELLMRMTLDSICKVGFGVEIGTLNPNSPNNSFAQAFDTANIIVTLRFIDPLWKIKKILNLGSEAQLGKSIKIIDDFTYSVIRRRKAEIEDAKKNGQQNQVLYINIIVLHCSYGYDVNLNITPKC >RHN78353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14333669:14337501:-1 gene:gene1897 transcript:rna1897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-Pl-1 family MKVDEGLCLVMDKCFGSVQSEMLRNEGRLTLEQVLRYGADIARGVGELHAAGVVCMSLKPSNLLLDANGHAVVSDYGLATILKKPSCWKARPECDSAKIHSCMECIMLSPHYTAPEAWEPVKKSLNLFWDDGIGISPESDAWSFGCTLVEMCTGAIPWAGLSAEEIYRQVVKAKKQPPQYASVVGGGIPRELWKMIGECLQFKPSKRPTFNAMLAIFLRHLQEIPRSPPASPDK >RHN82546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54666928:54668621:1 gene:gene6717 transcript:rna6717 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKCCDGVVLKLEDALVYASSTVKKLIGENISSRGCLSVCLLGASQGENCEISFVVEISSQTLLKINEYVKKHADAGDNEKSLRNWDLEFIKVDRHTLFALVLRWCCCGYRARLQALRDYAMLYLVGCIIAANYLKIRDLLDLSCEAVMAKDGMIPEKEEEYQGTTLGEEKGKNIISEEVLGQQCSMEERGGINTLNLINGGSSNWGNVPRSLKLHLENMSVKRLSDAEVAKLAKDYRACRIQQCLATVLKPMKNKSRHNRGGMQTVTNQNKKDQGIFTKCDQFGKAARGGHRRNRRRNGRGRENFQQQYF >RHN50844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11060061:11062121:1 gene:gene35182 transcript:rna35182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MEKQEPSQPTNQGNEKKKHYRGVRQRPWGKWAAEIRDPKKGARVWLGTFETAEAAATAYDEAALRFKGNKAKLNFPRLISNLPSDPKPPRNKNNASSSSSSSSQLAPPKPLFPSSLSSEEVFPNLMQYAQVLCSKDDEDLRRAASSLYNNNNHQQYHHQNNINNTNERFCYYNLPSFFSTPSTMSPSSTSKDVVVPRVGDCHPFDRNMRGP >RHN46621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33363853:33364302:1 gene:gene41132 transcript:rna41132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wound-induced protein, Wun1 MDSMVEKQNKSIVEILYKALLGQGTMVAKLLASDLEYWFHGPPKCQHMMKVLTGETNHKKGFKFEPRSVTSIGDCVITEGWEGQAYWVHVWTLKNGLITQFREYFNTWLVVRDLRPLKWEDHKQDNMTLWRSQPRDLYRRSLPGLVLPI >RHN42088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35318873:35320718:-1 gene:gene48483 transcript:rna48483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MAESNSKEEDRISKLPDDVLNNILSCLPTKTAVATGRLSRHWRHLWKHLSVLNFCDNSYDFQGHSKRFRSFALLVNGVLGTFLHTPHTIQKFSLNCAHSFLNDKFRACSVDTWVRTAIGLHPEKLDLNLVSCCSDIQLFKLPLSLFTSTNLVSLSLRGTINLHMQSSTQVTLPSLRNLTIDVYYAEVASVNLLLFGCQNIEILYLKFTTQSLDKLCIPPSLKRLTISIKKLGRTSTKYKQWLLAEPHDLHFQEFRNLVRLELILPWFRFNYLLNLLQECPMLQVLMIQKNKKSSPISGWHPKQIVPDCLVSHLTVIEFKGFRGSPDEVSFVEHVLQKGLVLKTLIISDISLNQSKKYGVLKRLSNVPRASETCQLTFD >RHN43864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49080693:49081528:-1 gene:gene50498 transcript:rna50498 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEHLEYVFVPLGLLVFFLYHAWLLFTILREPHRTVIGLNAESRIQWVHAMMSDPSKNGVLAIQTIRNNIMASTLLSTTAITLSSLIGIFASSSWSSDDTSSSILQTF >RHN39697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9681870:9682148:-1 gene:gene45746 transcript:rna45746 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTMIDYVYDIGIVFPFFLAGVTCFWVIQDPKLLIFHAGIGRIQSLAGARARAPGTSGQRASASFSTRSWCSGASMCVKRCFNADESKVDS >RHN54801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12485437:12487122:1 gene:gene29889 transcript:rna29889 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQSLGSPSSKLHLHGGVVNGGDTIIADEPPKPHRLSSPPSPPNKFIHLIPILTLFCFFILYFFSHTPSPSELTHFNGFTAFKRSNNHNLAAEINGDIGVHYDDAKRSDVLAIRSLQQIPKSQLHRKLADF >RHN59312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9425971:9428727:-1 gene:gene21320 transcript:rna21320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MLPFLLVLCLIFPLLFFFLKRSRNINARHPPGPRGLPIIGNLHQLDNSILYLQLSKLSKIYGPIFSLKLGLRPAIVVSSDKIAKEIFKNNDHVFSNRPMLYGQQRLSYNGSEIVFSQYSDFWREIRKLCVIHIFSAKRVSYYSSIRKFEVKQMIKNISNHAASSIVTNLSEILTSLSSTIICRIAFGRSYEDEGTNKRSKFHGMLHEFEAMLIAFFVSDYIPFTGWIDNLSGLRARLERNFKEMDEFYQEVIDEHLDPNRQHEDDEEVIVDVLLQLKKERLFPIDLTFDHIKGVLMNMLVAATGTTSATAVWAMTALIKNPRVMKKVQQEIRNSRVKKEFIDEDDIRNLSYLKAVIKETLRLYLPAPLLAPRETTEKCTINGFQIPAKAIVFVNAWAIHTDSNVWKNPEEFYPERFLESSLNFHGQDFELIPFGAGRRICPGMSMAVASLELILSNLLYSFDWELPDGLIKEDIDTERWPGLTQHKKNELCLAAKIPM >RHN48851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50704208:50707389:1 gene:gene43612 transcript:rna43612 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLIVPSTRNSKTELQSSTQFSLGRRRSNSTTMSKDPISSFCNELESFCNHLQSSSDALIQSIHRRPVPLNSTASTFVQCLNRRVSTASADLELLDSMSGTVSFEELLGHCNELYKKNQTDLAELEDRLKSCYGYVQVPEIEEEDEDYDVQAPQVLDDKLDSPSSFYGSLSMADSGFKKFEEDALIDESLSLKQLGLSDACLATLASEGNVSSQELEKVPNLEGDNENLESAEATSSTLKILKSEFECLPPYMKGLASWEDLLVAVDKINSSLSKKTDGSNFFRQDDISSFDLGPKARSYLLLLVRMNRMVVETVDGLLSYRIL >RHN64055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56226963:56227904:1 gene:gene26833 transcript:rna26833 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNKQISYEAHNHSSLDFPESLAQPLDPVALSESNSAGSGTTYSSSGRKPKHRKLNLLKLNFKNLELLS >RHN61719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37807200:37808578:1 gene:gene24209 transcript:rna24209 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTSSPESLSPKRQSNSSFFITISAILAMLSRQARRLKGKAKTKKLLSNMSNKALSQFGKMKKTKKQRDNELNDGVWQKEILMGGKCEPLDFSGVIHYDINGRQTGEVPLRSPRAIPFSRY >RHN74511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34118200:34123871:-1 gene:gene10596 transcript:rna10596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 26S proteasome regulatory complex, non-ATPase subcomplex, Rpn2/Psmd1 subunit MATTLVSSAGGMLAMLNEAHISLKLHALSNLNNLVDSFWPEISTSVPLIESLYEEEAFDQHQRQLAALLVSKVFYYLGELNDSLSYALGAGPLFDVSQDSDYVHTLLAKAIDEYASFKSKAAESQVDPRLEAVVEKLLDKCIVDGKYQQAMGTAIECRRLDKLEEAITRSENVEGTLSYCIHVSHSFVNLREYRQEVLRLLVKVFQKLPSPDYLSICQCHMFLDEPEGVASILEKLLRSENKDDALLALQIAFDLVENEHQAFLLNVRDRLSLPKSQPLESVEPKPSDADSTLNAGVNGPDDVPMTDGESASAVNVPEDPSEKMYAERLNKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGTGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRSTTVEVIQHGACLGLGLASLGTADEDIYEEIKNVLYTDSAVAGEAAGISMGLLMVGTGSDKANEMLTYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYSGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSDPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTLDVVDFVRQGALIAMAMVMVQISEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILAFGILDAGGRNVTIRLLSKTKHDKITAVVGLAVFSQFWYWYPLIYFISLAFSPTALIGLNYDLKSPKFEFLSHAKPSLFEYPKPTTVPTTTSTVKLPTAVLSTSAKAKARASKKAEEQKANAEIASSPDSTSAPSAGKGKSSSQKDGEAMQVDSPTEKKSEPEPTFEILTNPARVVPAQEKFIKFLQDSRYVPVKLAPSGFVLLKDLRPTEPEVLAITDTPASTTSTAGGPGPGLQSSSSAMAVDEEPQPPQPFEYTS >RHN54686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11288791:11294761:1 gene:gene29760 transcript:rna29760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative MOB kinase activator family MSLFGIGRNQRTFRPKKSTPSGSKGAQLRKHIDATLGSGNLREAVKLPPGEDLNEWLAVNTVDFFNQVNLLYGTLTEFCTPENCRTMSAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIESQLDDESIFPQKLGSPFPTNFKDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTCEFGLIDKKELAPLQELIETIIY >RHN54334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8817211:8819730:1 gene:gene29350 transcript:rna29350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MASSTQFVITLVALILYQLVIGSYSTTFTIVNNCGYTVWPGILSGAGTEPFSTTGFVLQPGESNALAVPPSWSGRVWGRTLCSQDPTGKFSCITGDCDSSTIECAGRNAIPPATLAEFTLNGSGGLDFFDVSLVDGYNLPILIEPHGETGGGNCTATGCSVDLNAACPMELKVISSNNGGEESVACKSACEAFGDPQYCCSGAYGSPDTCKPSSYSQFFKSACPRAYSYAYDDGTSTFTCASADYTITFCPTPSASSIKSGNGKYPLPATDVSGVRHVDQRVNNVVIAFVLMALVVERLW >RHN44917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9859268:9864572:-1 gene:gene39094 transcript:rna39094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Protein-PII] uridylyltransferase MDDEYAKLIRRMNPPRVVIDNNACENATVIQVDSVNKHGILLDVVQVISDMNLVIKKAYISSDGVWFMDVFNVTDRNGNKIKDKEVIDYIQRRLEKNPSFETSMRESVGVVPTEEHTVIELTGTDRPGLLSEICAVLADLRCNVVTAEIWTHNTRAAAVVHVTDDSSGCAIEDPSRLSTIRDLLCNVLRGSDDPKTAKTALSHPGVTYRDRRLHQIMFADRDYERVERAGLRERDKGPFPHVTVSDCTERDYTVVIMRAKDRPKLLFDIVCTLTDMQYVVFHGVVQTERTGAYQEFYIRHVDGFPISSEAERERLIQCLEAAIERRASEGMELELCTEDRVGLLSDITRIFRENSLCIKRAEISTENGKAKDTFYVTDVTGNPVDPKSIDSIRRQIGDTVLQVKHNSSLSPKPPQGTTIGFLFGSFFKARSFQNFKLIRSYS >RHN64896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62759297:62762753:-1 gene:gene27769 transcript:rna27769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-VII-2 family MGLGVFGSVLVLTFLFKHLASQQPNTDEFYVSEFLKKMGLTSSSKVYNFSSSVCSWKGVYCDSNKEHVVELNLSGIGLTGPIPDTTIGKLNKLHSLDLSNNKITTLPSDFWSLTSLKSLNLSSNHISGSLTNNIGNFGLLENFDLSKNSFSDEIPEALSSLVSLKVLKLDHNMFVRSIPSGILKCQSLVSIDLSSNQLSGTLPHGFGDAFPKLRTLNLAENNIYGGVSNFSRLKSIVSLNISGNSFQGSIIEVFVLKLEALDLSRNQFQGHISQVKYNWSHLVYLDLSENQLSGEIFQNLNNSMNLKHLSLACNRFSRQKFPKIEMLLGLEYLNLSKTSLVGHIPDEISHLGNLNALDLSMNHLDGKIPLLKNKHLQVIDFSHNNLSGPVPSFILKSLPKMKKYNFSYNNLTLCASEIKPDIMKTSFFGSVNSCPIAANPSFFKKRRDVGHRGMKLALVLTLSLIFALAGILFLAFGCRRKNKMWEVKQGSYREEQNISGPFSFQTDSTTWVADVKQATSVPVVIFEKPLLNITFADLLSATSNFDRGTLLAEGKFGPVYRGFLPGNIHVAVKVLVVGSTLTDEEAARELEFLGRIKHPNLVPLTGYCVAGDQRIAIYDYMENGNLQNLLYDLPLGVQSTDDWSTDTWEEADNGIQNVGSEGLLTTWRFRHKIALGTARALAFLHHGCSPPIIHRAVKASSVYLDYDLEPRLSDFGLAKIFGSGLDEEIARGSPGYVPPEFSQPEFESPTPKSDVYCFGVVLFELLTGKKPVGDDYTDDKEATTLVSWVRGLVRKNQTSRAIDPKICDTGSDEQIEEALKVGYLCTADLPFKRPTMQQIVGLLKDIEPTTS >RHN41058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26470822:26473398:-1 gene:gene47334 transcript:rna47334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-lipid (11-3)-desaturase MEVEKKKYITSEELKKHDKEGDLWISIQGKVYNVSDWAKKHPGGEVVLLNLAGQDVTDAFIAYHPGSAWKHLDQFFTGYYLEDFKVSEVSKDYRRLVSEFVKMGLFEKKEHVALFTLTSVAIMLAIVVYGVVGCTSVWAHLGSGMLLGLLWMQSTYIGHDSGHYEVMSSRSYNKLAQILCGNCMTGISIAWWKWTHNAHHIACNSLDYDPDLQHIPVFAVSSRFFGSIKSYFYDRQLKFDALSRFLISYQHITFYPVLCFARLNLYLQTFLLLFSPSRNVPDRLYNIMGIGVFWTWFPLLLSALPSWPERLMFVLACFVVCSIQHLQFCLNHFAANVYLGPPSGNDWFQKQTAGTLDITCSTWMDWFFGGLQFQLEHHLFPRLPRAQLRKVSPLVIDLCKKHNLPYRSLSFVEANLWTLKTLRTAALQARDFTNSAPQNLLWEAFNTHG >RHN66372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11538268:11541303:-1 gene:gene14341 transcript:rna14341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKIIKFVYVMIIIISFFLVATNAKDDCLVDADCVTLVCEFDERPQCVINTCRCRPLRFSGFYYEQLH >RHN65198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:192263:192533:-1 gene:gene13016 transcript:rna13016 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHIDLIYLDCMTDLQAIGKWSWGGIALAYLYDYLDDSVIMNNRTMVGSTTLFMVII >RHN48616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48841598:48843292:-1 gene:gene43355 transcript:rna43355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FCP1 domain, HAD-like domain-containing protein MEAKKESCSDGNIKIKDSEADHDDHKSPELDLFLEKLNLGPKKKLLIMNLNGFLLHRARVTDKKAIPKSRTADYKYRYFLLYKRPFSEEFMKFCLERFEVGVWSSAMEHNVDGALACAIGDSKNKLLFVWDQHKCRDSGFKSLENNKKPLFFKELKKVWDTIKKGGPYSASNTLLIDDKPYKSFLNPPNTSIIFPKSYDPEDKDDKALDPNGDICKYLKGVAEAEDVQSYVKDNAIGEPAITTSHPDWAFYSRVRSRITTNN >RHN68446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35607866:35612422:-1 gene:gene16805 transcript:rna16805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation initiation factor 2, alpha subunit, nucleic acid-binding protein MAPNLECRMYEARYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRIEPVMVLRVDKEKGYIDLSKRRVSEEDIQACEERYNKSKLVHSIMRHVAETLNLDLEDLYVHIGWPLYRKYGHAFEAFKRVLADPDAVLSALTREIKEVGPDGQEVTKVVPAVSEEVKESLLMNIRRRMTPQPLKLRADIEMKCFQFDGVLHIKEAMRKAEAAGNDDCPVNIKLVAPPLYVLTTHTLDKEQGILVLKNAIACCMESIEKHKGKLTVKEQPKVVSERDDKMLIDIMNKIQQDNEEVGGDDDSEEEEDTGMGEVDLDNGNAITE >RHN74557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34725775:34729820:-1 gene:gene10645 transcript:rna10645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MATITSHAPIYDKKSELKEFDESKVGVQGLVENGVTKVPRMFYCEQSDINNGAASELNPKLSIPTIDLKGIHDDPALRDEVVRQLENACEKWGFFQVINHGIPVHVLHEMIKGTCRFHQQDPKVRKEYYTRDLTKKVVYLSNFTLSEDQSAEWRDTLAFFWAPHPPNVDELPPVCSDIVNEYTKEVTALGSSLYELLSESLGLNRFHLKEMGAAESFFHLCHYCPPCPEPELTIGTVKHSDGSFITILLQDHIGGLEVLHNNQWIDVAPIHGALVVNVGDLLQLVSNDKYRSVQHRVLANHAGPRISVATFFRTQHDHSPEGIPKVIGPIKELLSKENPPIYKDTSLKEYLKYRLASGFGASALSPFKLL >RHN80120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35296469:35296861:-1 gene:gene4007 transcript:rna4007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MIREKVKVSTRTLQWKCVESRVDSKCLYYGRFILTPLRKDQAHIIGIAMRRILFGEIEGTCITRAKSEKIPHEYSTILGIQESIHEILMNLKENILKSNLYGTRDASICFKGHGICNCSRHHFTTFGGNC >RHN72836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11146036:11146977:-1 gene:gene8597 transcript:rna8597 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRSVKESIDMVVTKETILDSRFTSFLEKDHSFLTPWSWNPNASKNETSSTKKKEFLSFHPESYFSYEIIDRKCWNDNAQETDNQCCPSTSSCSQACPAPLIFVNQAPRCKMCNRSNLKMHSDVNVQDPKSAPV >RHN45319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16544607:16544969:-1 gene:gene39588 transcript:rna39588 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhC MFLLYEYDIFWTFLIISIFIPILAFLISGILAPIRKGPEKLSSYESGIEPMGDAWLQFQIRYYMFALVFVVFDVETVFLYPWAMSFDVLGVSVFIEAFIFVLILIVGLVYAWRKGALEWS >RHN51383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17016968:17020327:1 gene:gene35813 transcript:rna35813 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKMEKRVNKSEEASSDEEHGKKNLDLEQRLRHKLSIPKVYDLMKSIDGKRRKDQIIQLLNESGFGGMVHICKWTKIHTFFVEWVVKHFEKENMWIRLSKTDVLPLTEEDVHRVYHLPMVGEQINIKLCSEAAIKRLRVELGLDGDYSPFVKAAELEIRLNKMEKPKAWVKGAICLIIHNMLCPNNNSLVSLHYAQVLEEASSYNWCSHVLQYMKDGLQNPEVANPLADFHFLMINYMEKMGKRSPFLTGKYK >RHN45465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21181869:21189784:1 gene:gene39810 transcript:rna39810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MNLKYEQRLQVAAKIILDDDESSGDAAPSEEELGIKATLKPHQVDGISWLIRRYKLGVNVVLGDEMGLGKTLQAISFLSYLKVRQLSHGPFLVICPLSVTDGWVSEIVKYAPKLEVFKYVGDKEYRRSLRRKTHEHVTKQPTHNVMLPFDVLLTSYDIALMDKDFLSQIPWQYAVIDEAQRLKNPSSVLFNVLKDRYIMPRRLLMTGTPIQNNLSELWALMHFCMPSVFGTLDQFLSTFKDISDLTSGQYYHFVIQLRKACSHPYLFPGIEPEPYEEGEHLVQASGKLLILDQLLRKLHHNGHRVLLFAQMTHTLDILQDYLELRKYSYERLDGSIRAEERFAAIRSFSNSSANTGLNFEANQNGAFVFMISTRAGGVGLNLVAADTVIFYEQDWNPQVDRQALQRAHRIGQMNHVLCINLVTEHTVEEVIMRRAERKLQLSLNVTGDNIVEQEDKQLSSVGTGDLKSIIVGLRMFDPNEINDGKDKDMDLTEINAMADKVIAIRDEQIFDKDDRKFEVNPRNFLKGFDVKERGSASFSCDLDLDEASYLAWVKKFEEVSKSSCDSVIDLKSRRNLDEEKSQKLETARKKAEEKKLSKWNAAGYQSLNVEDPISPPDGDTILDAGSVHFVYGDCTAPTNVPSTEPVLIFSCVDTSGRWGHGGMFDALSKLSSSISDAYERAYEHGDLHLGDLHLIRLEDDGNDQNLDGNAPKMVALAVVQSYNPRRKVPRSEISLVHLESCLSKAAFSADQNSASIHMPRIGYQDGSDRSQWYTIERLLRKYASMYNVNIYVYYYRR >RHN71645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1619426:1621228:-1 gene:gene7266 transcript:rna7266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pumilio domain-containing protein MVKKVIENVKDDGKEFEMLLNEIPHASSSHNLVHDHLMKQENHGFGNGVMNFYYDEENLNQMNFSRSSSTVTTGSGFSIQSDHGSSSSLFSDNGSPTTPSFMEDLKSTMSSGTGTGTGTSYGCSPNNNTFWLDSKDNTESKRFVDLCGNFSKMHIGGSNGNQHQENASNVNDFTFLNPINVDNFNNHDKYVDFDGYKRGFLDSDYVGFQSSMLRSPINHHGAERNSALSRDYEVANSFGSVGLVRGLRLRDITYSQLNGFGGSMDSPYHRREMMNDYYCRGSLTPEIVTPSLRRNSAVSDASLGMDFLHEVSMSRLPFDSLRGNSRINPRAVPPSNARIPQENIDMDSVTSEGSFILQGEGLIYVGARGSDRLRFQNAAREFGFAKYPHRPELDIQQQVVGACENPRSSRTGSPFTMQPKYNSLMEARGCIYLMAKDQNGGRFLQRMFDEGRMEDIQMIFNEIIGHVVELMMSPFGNYLIRKLLDVCSEEQRMQIILMVIQEPGQLVRISLNTHGTRVVQKLIETLKTKQQVSLVVSALEPGFLILIKDNNGNHVVQHCLEYLRNGDNKV >RHN79260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26791176:26791535:-1 gene:gene3027 transcript:rna3027 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNVDSGSSGSKRSYEDSVGSSARPMGMEAAKKKGKKKSKDETLEKVEKEWVQFKELKEQEIEQLKELTLVKQQKNKLLQEKTEAKKMKMYLKLSSEEHLDDRKKELLGKLERELFGN >RHN70684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53178980:53186180:1 gene:gene19294 transcript:rna19294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MFKSQYLSFQYLIIILLLSILFIHFSQADVGTASHYSPPFLPTACFGGDASQFPSSNMFGSAGEGIWDNGAACGRLYEAFIG >RHN46642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33621213:33628946:-1 gene:gene41159 transcript:rna41159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alanine--glyoxylate transaminase MTGSLLARFLLRRSPPPQSQFIFQRGISQSAAERTKDNVAAEPPVLPPFDYVPPSYSGPSGDHVLAKRREYLSPSIMHFYKSPLNIVEGKKQYLFDENGRRYVDAFGGIATVCCGHCHPDVVSAIVDQTKKLQHSTVLYLNHAVADFAEALAGKLPGDLKVAFFTNSGTEANELAMMIARLYTGCHDIISIRNSYHGNAGGTMGATAQSIWKFNVVQSGVHHAVNPDPYRGLFGSDGAKYARDVQEIINFGTSGNVAAFISEAIQGVGGIVELAPGYLPAAYDSVKKAGGLCIADEVQSGFARTGSHFWGFESHGVQPDIVTMAKGIGNGIPLGAVVTTPEIAKVLTQSSYFNTFGGNPVCTAAGLAVLKVIEKEKLQENAFIVGSHLKERLNVLKDKYELIGDVRGKGLMLGVELVTDRELKTPAKVETLHVMDQMKELGVLIGKGGYYGNVFRITPPLCFSKEDADFVVDAMDYTLSRM >RHN61522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36133478:36141284:1 gene:gene23995 transcript:rna23995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MEKLSFSSLFSITFLLCLILSLQPSRSQKDDSQPLLALKSSVDIHNKLPWPEKKNDDVCTWVGVKDCYKGKVRKLVLEYFNLTGKLDSNILNRLDQLRVLSFKGNSLSGQIPNLSNLVNLKSLYLNDNDFSGQFPVSVSVLHRVKVIVLSGNRISGEIPASLVKVPRLYVLYLQDNLFTGSVPRFNQTGLKYLNVSNNKLSGEIPVTAALNRFNASSFSGNLELCGEQIHRKCKSSTVLPPLAPSPSVSPIGGNGKTTSSKSNRTKLIKIIGGSVGGLVLLICLIIIMWLICKNRRKRVGSSAARRGKSSVDVAEGENVVGGEGEGRGSNYEAKQGGFAWESEGIGKLVFCGAGDREMGYSLEDLLKASAETLGRGIMGSTYKAVMESGFIVTVKRLKDARYPGLEEFRAHIDLLGKLRHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLVHGTKTSSGGKPLHWTSCLKIAEDLATGLLYIHQNPGMAHGNLKSSNVLLGADFESCLTDYGLTVFLNPDTMEEPSATSFFYRAPECRSFQRPQTQPADVYSFGVLLLELLTGKTPYQDLVQAHGSDIPRWVRSVREEETESGDDPASSGNEASEEKLQALLNIAMACVSVVPENRPTMREVLKMIRDARGEAHVSSNNSSDHSPGRWSDTVQSLPRDEHLSI >RHN55108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15205373:15211955:-1 gene:gene30236 transcript:rna30236 gene_biotype:protein_coding transcript_biotype:protein_coding MHNHHCLQLFLTKSLFYFFAFCDQIYALCLLPKIWRSNQRQVEI >RHN68225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33861829:33863678:-1 gene:gene16545 transcript:rna16545 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLPIQRNQKKRKASDSSSSKPNFDSDGFRSKKCEEYYATTHKRGIHLRVRPTWRHLKAQNQQPETDEQKLDSLLSDMVALRRMWQIDVEKAQRLEDQLRDLWEDLNLNVMPMRKRTAEEVDQYVDWKPFEGHPIVLEEESEEDDTEEDDESEEDYGEEENENEEDDGEYDEYEMKKAVLFHNAEALHRMVQVSKENRQRMKDIARQMYEGLRRNDPPITDFKDEEIACLVNWMEIIWRTFFNT >RHN77663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8495193:8496203:-1 gene:gene1137 transcript:rna1137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative complex 1 LYR protein MDLQDFLIRARIFKLYRQALRVAARAPPPARGELRQTIRQEIEINRNCNDKQRIRFLISEGLEKLKRLDEMLDMQGH >RHN65953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6933863:6937969:-1 gene:gene13859 transcript:rna13859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MSSSSSSSTFNLNSHQWIYDVFINFRGEDTRKSLVSHLYAALLNAGINTFLDDEKLKKGWEVKPELLRAIHGSQICLVVFSQHYTQSSWCLVELLKIMENRRSNNRQVVIPIFYHVDPSVVRRQVGDFGKALEAITKRINPPKERQELLRTWKRALTQAANISGWDSSTFRSESELVNKIVKEVLKKLESSTFLPSPENPVGLQSRVDQVIHSIKNQSSKVSMVGIWGMGGLGKTTIAKGVYNKIHHKFVHRSNIENIRQTCESDKGYIRLQKQLLSDLFKTEETIHNITTGTAIINKRISAKKVLILLDDVTKVQQVKALCGNYKCLGFGSVIIITTRDAHILKLLEARPVCTAKEMDEDESLELFSWHAFKNATPRANFSELSKNVVNYCGGLPLALEILGSHLFERTKEEWKSVLSKLEKIPHEEVQEKLRISYDGLTEDTKKAIFLDVCCFFIGKDKDYVTEILNGCGLFADIGIAVLIERSLLKVEKNNKLGMHDLIRDMGREIVRGSSIKDPGERSRLWFHEDAHDVLTNNTGTQKVEGLILNLQIKGKDSFSTNVFQQMQNMRLLQLDCVDLTGEFAHLSKQLRWVNWQRSTFSCIPNDFHQGNLVVLELKFSNVKQVWKETKLLDKLKILNLSHSKYLKSTPDFSKLPNLEKLIMKDCPSLSEVHPSIGVLKNILLINLKDCTNQKALCIYPYADMKDYHVMFFLPLFGLGCHQQ >RHN77912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10455769:10459981:1 gene:gene1417 transcript:rna1417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MGDSKVETISRLAQWKIDNFGPCSYKKSDPFKLGIWNWYLSIERNRYLYIHLFPEPSRVSKEQPPIARFILRVSNTASSSSSSRKFYISPVHERVLRTCDDFVWPVDTTFLGRLIIDVEFLDLKIYPLNSQGGEASSIWPSDGKLHSVATQNTLRCLSRMLDEAIHADLTIITADGTLKAHKAVMSATSPVFQASYHNSSEDKESSTIHIEDMSQESCTALLRYMYGTIKPEDFWKHRLALLGAANKYDIGDLKDACEESLLEDLNSGNVLERLNEAWLYQLHKLKKGCFAFLFDFGKIYDVRDEINTFFRHADRELMLEMFQEVLTIWK >RHN78809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18623654:18624628:1 gene:gene2463 transcript:rna2463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MAIKLFLLLILLSHVASSLAEGCHPQDKKALLQIKKELNNPTLLSSWKPHTDCCNSSWYGVNCLPSKRVYFLVIEIDNDLRSSFPPSIGNLPYLDSLLLYQLPNLTGAIPQSITKLTKLRSLTIRATGISGPIPNFIAKLKSLTYLDLSENHLSGTLPHNLYKLPNLEAIILQNNILTGPIPPSYGYIKNISSLFLSHNKLSGKLPMSLARLNSLVVDLSYNRFEGDASMFFGFAKQTETIDLSWNMLLFDMGRVELPKTLKLLDVSHNRVYGKLPDGAKNLQWLNVSYNRLCGEIPKGGNIQTFSTNLFSHNKCLCGSPLPSC >RHN66806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19104477:19105633:-1 gene:gene14896 transcript:rna14896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TRAM/LAG1/CLN8 domain-containing protein MNIVRSHELSSNIFHLVSVGYFLADFVMIFWYFPSLGGYEYVIHHLFSLVAVAYSMLSGEGQLYTYMVLISEATTPGINLRWYLDVAGMKRSKAYLINGVVIFLAWMVARILLFVYMFYHVYLHFDQVTIPNEGVFIIILLL >RHN68488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35916480:35918876:-1 gene:gene16850 transcript:rna16850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MASYYFLLFVLVAASAISEADAKKKLSKDFYCSSCPELLSIVNQGVINAIKKETRIGASLLRLHFHDCFVNGCDASILLDDTSSFIGEKTAAANNNSARGFNVIDDIKANVEKACPGVVSCADILTLAARDSVVHLGGPSWNVGLGRRDSITASRSDANNSIPAPFLNLSALKTNFANQGLSAKDLVALSGAHTIGLARCVQFRAHIYNDSNVDSLFRKSLQNKCPRSGNDNVLEPLDHQTPTHFDNLYFKNLLAKKALLHSDQELFNGSSTDNLVRKYATDNAKFFKAFAKGMVKMSSIKPLTGSNGQIRTNCRKIN >RHN42820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41259068:41259415:-1 gene:gene49312 transcript:rna49312 gene_biotype:protein_coding transcript_biotype:protein_coding MQLELKWLHMSLAELIGTIKILDKFDILEEKRDNNSKLIEDAESELEVFEEQKKVVTENMRELSENLEEICVKETACKERLTAAKNESTSISQTVRYCTSKVSRFLKRSVMDGLI >RHN58769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4672663:4674669:1 gene:gene20712 transcript:rna20712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MPSSSTTIVSKCTIYPHQKSSLKPLKLSVSDLPMLSCHYIQKGILLSTPPYSFEELIHTLKNSLSIALSHFPPLAGRLTTDSDGYIYITSNDAGVDFIHSKAKHLTLNTILSPSLIDVHPCFKELFFAYDMPISYSGHQIPLAAVQVTELADGVFIGFTVNHSVTDGTSFWHFFNTFAAITKSTGVGAAVKISKSPDFTRDTIFNSTAVLPIPAGGPTVTFDVDEPLRERIFHFSRESILKLKHKTNGNSNGISNSNGNGISYNGLADPTEIISKESNDGWKIVNSNGHVNGNGTVKSNVRNEISSFQSLSAQLWRSVTRARKLELTKTSTFRMAVNCRHRLNPKMEPFYFGNAIQSIPTVASVNDILSNDLKFLAGLLHQNVVAHDDVTVRRGVEDWENNPRLFPLGNFDGAMITMGSSPRFPMYDNDFGWGIPLAIRSGKSNKFDGKISAFPGREGNGSVDLEVVLKPETMFGLENDVEFMQYVTDVV >RHN53178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42547080:42553563:1 gene:gene37953 transcript:rna37953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative primosome PriB/single-strand DNA-binding protein MDQNEYYPYKTTPLAFGRVLETHRNSKGFRKMSPIRRVLLLRLRSTTTNYSTVATTTRKSSKSKLQKPTEIPFQPKLANSVNLIGTVNKPIHFQTSTDGNPSAATVITRLGHDPSQFLIPLVFHGDLALTAQFHLKLNDVVHVEGQLSTEDDQIKLDKPQQYQFQVKVQSLNFVEGYPRVKKASLTSKEKSDIEDESENDEIKSSEKDIHSEKTEQHDTRKSWRDVINKPSQWKAVHSPKESPKNADFESKTEGELQPGLKQSTTSAKKYTGSLSSTWGDLLDDPKKWWDFRDSKRNGSVNPKYPDFKRKDGNVSIWLDKASKSVLSRLKELEFDTPPVKPKQTKDSKGDESWNDLLQNPAKWWDNRVDKKYARAPDFKHKDTGVGLWLRDSPSWVSSRLKELEVESTSVKSKQAKDSKGDESWNDLLQNPAKWWDNRLDKKNPKGPDFKHKDTGEALWLRGSPSWVLPKLPPLKPKQQSAETSWMQTSA >RHN65422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2086149:2092383:-1 gene:gene13259 transcript:rna13259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MEETTTIVMYPAPGIGHIISMVELAKLIIHHHHHQHFSITILLTTGLMDHPSIDSYINRISTSHPSIIFHRLPSITVNHTTTQSRAATAFHFIKSNTVNVQSKLRQITQTSVIKAFIIDLFCTSAMETASSMLIPVYYFFTSGAAVLSLYSYFPKIHTETTISFKDMVGVEIAAPGNAALSAVLMPEPVLDRDDPAYWDMLYFCEHLSKSKGIVVNTFRELEVLAVKVVEDGACFPDVEGSSPPPVYCIGPLIADAQQPDEARGIKDCLSWLDKQPSKSVVYLCFGSRGSFSMTQLKEIAEGLERSGHRFLWVVKRPVQEHLGTKKVYDTTGEFDLSSILPSGFIERTKERGLVVRSWAPQVEVLSRESIGGFVSHCGWNSVLEGVVAGVPMIAWPLYAEQHVNRNVMVEDMKVAVAVEQRAGDMFVSGEEVEKRVRELMDSEKGREIRERSLKFKDMARDALGEFGSSTKALANLVQTWNVISH >RHN49975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2809153:2811644:-1 gene:gene34221 transcript:rna34221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MAMGIGEILSQLGSIAASLMFVYAMYEQFCPSDLRKFVENYKHKFTDLMSPYIQITFNESSGERLKQSETYTIIQTYLGANSSKRAKRLEAEVVEDSQSPLVLSMDDNEEIEDEFNGVKVWWSANSKAPRRKASSGRSFDVVRCFTLTFHKRHRDLITSSYIQHVLEQGKAIIFKNRRLKLYTNNGGCWWMSGWSHTNFAHPARFETLAMEPEKKEEIINDLVKFKKGKEYYAKVGKAWKRGYLLYGPPGTGKSTMISAIANFMNYDVYDLELTTVKDNNELKTLLIETSSKSVIVIEDIDCSLELTGQRKKKKEKDHTDKNENKEKTDKKSEEEDEDDDNDDEEEEEKRKSNVTLSGLLNSIDGIWSSCGGERIIIFTTNFVDKLDPALIRRGRMDKHIEMSYCRYQAFKVLAKNYLDVESHGDLFPIIEKLLGETNMSPADVAENLMPKSTTEDAESCLKNLIQYLEIAKEKEEEEAKKNGEKAQLVAGKDKQELAQNYRMNRWRRQVKNMRNFFGSC >RHN66952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22148676:22149050:1 gene:gene15076 transcript:rna15076 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVGQKLFDVVWRGSEATCSGTGCSGTICSWLVEVSGWVDLGRSETTCLETGCSGTICSEIVEVAGWFSRTVSSGTVLEAFVGVVEVLLK >RHN76709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:611266:613498:1 gene:gene76 transcript:rna76 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MEKMVVICFASIQNGILVLLALTIFQEVQGIGVNYGTIANNLPPPSQVAKFLLHSTIINKVRIFDANQEILQAFENTRIEITITIPNDQIPNITNLTLAQQWVKTNVQPFIPSINIIRILVGNEVLSTANKLFITNLVPAMQTLHTALITTSLDNLIKVSTPHSLGILSNSSPPSSGRFREGYDIHIIKPMLRFLKDTNSPFMVNPYPFFACTSSNLDYALFRANSGVLDDNTKLHYTNMFDAQLDAVYSAMKVLGFEDVEIVIGETGWPTIGDSAQIGVDGNSASDYNGNLIRHVTSGVGTPLMPNRTFETYIFALFDENLKPGPICERNFGLFRPNMTLVYDDVPIMRKNVAVANSHSKAILSFMTALSFLIGWWT >RHN41093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26927628:26928233:1 gene:gene47381 transcript:rna47381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MPEEIGYLDKLEQLLLANNSFTGSIPSKIFNMSSLIGLYLEQNHLSGIIPSNTGYNLPSLQYLQLDHNNFVGNIPNSIFNSSNLIVFQLSDNAFSGTLPNIAFGDLRLLKSFYTYGNNLTIEDSHQFFTSLTNCRYLKYLDLSGNHVLPNLPKSIGNITSEYIRAKSCGIGGYIPLEVGNMTNLLYFNLYGCCEFDEKSHQ >RHN73964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22260953:22262122:-1 gene:gene9867 transcript:rna9867 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKGGKMSYYEFFVLVLLLAVTLITNIAAGNPKHQRHAQPNGLISYQPPTINAPQIKPIGFNHGLPGGSNQLRPRHRKLTQGTSPISHPDQPPHNNNIPHTPPIEPPGPIRPPR >RHN41731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32467411:32474405:1 gene:gene48083 transcript:rna48083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CidB/LrgB family protein MATHFLTTPFSSVLTNHSLKPPSLFHATLSAKSTPLSLPCIRKSSIMCSPTTLTRLNSSFLQKGAHGNSKSRQLSIKSTGTDSGSTSSLTQNVFGVLHLIVSLGLFLATDKFLKKAFVAAAIKFPSALFGMFCIFSVLIILDSTVPSAATSVMNFFEPAFMFIQRWLPLFYVPSLVVLPLSVKDIPAASGIKIGLIVVGGWLATLCVAGYTAIAVRKAVKTELIDAEPMGKPSPFSQVEVWTWTGILLTSFVSALFYPTLLGTSARTCLPFLLAATVLGYMVGSGLPSNVKKVFHPIICCALSAVLTAFAFGYFSKLGLDPVLGYYLTNASANPGAGDILMGFLGSVILSFSFSMFKQRKLVKRHAAEIFTSVIISTVFSLYSTALVGRLVQLEPSLTVSILPRCITVALALSIVSLFDGANPSLTAAVVVVTGLVGANFVQATLDKLRFSDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYALNGIFGSILCSIPIVRQSLLAVIG >RHN67237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25082384:25086428:-1 gene:gene15404 transcript:rna15404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MAYSKSYLFLGLNLFVFLSFEVLADIHVPPMLTVEPNLPSMADQLNDKQISKIKAYFSLIDKDGDVSIDNEELDTLIRSTGLNPTDFGLMVARSKSATDGNGTIDFTKEELLIVFSKPDTDHNGFVTASELHCYFTNQGIKMTIEEANEYVRAADSDGDGHLSFKEFVRLGRFTVE >RHN60754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30194021:30197492:-1 gene:gene23112 transcript:rna23112 gene_biotype:protein_coding transcript_biotype:protein_coding MNYVYQRIRICTMELHFSNGVAMTTMSLFKAIGSPMSGFRSDVSACCLFVWLYNRSAAIFSGAQLRSGGAWHNSVFLVYRSERVGVIIELRRPSL >RHN66589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14426484:14426701:1 gene:gene14608 transcript:rna14608 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLLWLFSCSRNSAVCIFFFNIALIFLTDIFICYEKISILHAQFNTKLS >RHN78363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14430628:14431317:-1 gene:gene1908 transcript:rna1908 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLDFDAIKDMQNSANDLLHSSIVQQAFVNEKEEKWVNDVSESSLRILEVCGMSKDVLLFVKEHLQELQFTLRRASIGEPGIEEKITSHNCYRKKLKKETLKCLKWLRGVKSNIVTLHPPMKEQKLKLVVDVLREVRMTSISIVESLLSLISTPWLDSKHGGKRSFASKFLQNCDDDIFDAMMLQSAKKRLTGVQIAIEDLEVELECMFRRLIHTRVLLLNILTNCEV >RHN45367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:18085546:18096067:-1 gene:gene39657 transcript:rna39657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MAKSRHSGGYCETVVSDGSATELTVSEEEDTRKCVTLINSGRRGAYGVPMQLVPLSKLSAFQRKQVANRFKSELERVRLFQKKFQMQKRNGVTLSSSSDININRGQIDNLRKPSISASVQGNQVKPFIETSRKQSISSSVQGNTLKTSIETSRKSVPGNKLKPLGQIQKPRGWNRGTCGKFETQARTCLPGTANSLLMKDCESLLKLLMKHKFGWVFNAPVDVVKLNIPDYFSVITHPMDLGTVQNKIAKGSYTGPLEFAADVRLTFSNAKYFETRWKTLQKKIPRNDSPPLPSKTNTSEDVQTSRPMPPSKKRKIASLPHQPKIIPPPQPQVIPPAKLVMSNQERQNIGKELESFEGVIPAYIIDFLKEHSSNGKEGEEDEIEIDIDEFSDDAMFKLRKLLDYSLLEKKKIKVKDEACEIELLNDSGPSNSSLQAFKGNDPADEEVNIIGNEADEEVDICGIESPVTSHPPVVIEKDTTYQTSKCSSPGSSDSDSSGSSDSESDDEAIPQDLSKVPEIISTGAQMDLKTTAAHTSEINRECGASERQISPDKLIRAALIKNRFVDTILNAREKTLTQGVKGDPEKMRLDKEKLEMERRKEKARLQAEAKAAEEARKRAEEEAAAESRRKRELERQAARQALLQMEKTVEINENSQFLEDLEMLRVVPAEQLPCSVDETSPDDSQNGMGSFKFGGSNPLEQLGLYIKVEDEEEEGDPLCVPNPVNDVEEGEID >RHN68370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34919898:34920527:1 gene:gene16712 transcript:rna16712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MTTKTVLPIKKRKPEIQAQKCSITRVSAPSPSPKPLNNANQKSKSILTEEFKVALHVMIYKAEGIDNPANYPSVNNRAYNVVYWLKPDEEASTKIAVGVNPEWNQDDMVVLENLDDEVFLNVEVQRFDSLLDPGTSSGKVVIGRVKIPVPMEFYRRKVGSFPLLRSDGNGNRLEGSILLAMRLQRIKGDSESTLQYYADDLFFEDDVVN >RHN57773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41637169:41642057:-1 gene:gene33358 transcript:rna33358 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTGKFHYPPLSITSYDSDLQLRPKPKTPKTTTRFKKFKSSSNGKRSRPETPLLKWKIHDKINDSIEEKEKPSSPVKLSRRTGRNVKKQTELGFSARRLAAGLWRLQQPEVVVGGSQKWSGFQNGSGHVGLPFLGRPNCMTHDPDLKNQSQSPRSVFGTKSGHNCELKPFQLLNTEMEGATKWDPVCLKTADVAQHIYAKLLNQKVNTVSVVSALEAELEQARARIQELETEHHSSKKKFDHFLKKVGEEKAQWRSREHEKIRVYIDDIKTELNRERKSRQRIEIINSRLVNELADVKLSAKRYMQDYDKERKGRELVEEVCDELAKEIGEDKAEVEALKRESMKLREELEEERKMLQMAEVWREERVQMKLIDAKVALDEKYSQMNKLVADLETFLKSKNADLNTTERKEAHLLKQAAAAMNIEDVKEFSYEPPKSDDIYAIFEELNFGEHNEREIEQCVSHSPPSHASKIHTVSPEANVMYKDGIPRHSDVYMDDNGDIEGDESGWETVSQAEDQGSSYSPEECVQSLNRNHRESNISRRSVLEWEENAGEETPITEINEVCSIPTKQSKKASSITRLWRSCPTNEDNYKIISVEGMNGKLSNGRLSNGSIMSPDQGSDKGGLSPNDIQYQFSPSESTSPHKQRGMKGCIPRGAQKHSLKAKLLEARMESQKVQLRHVLKQKI >RHN67027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23016191:23017612:1 gene:gene15158 transcript:rna15158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative meiosis arrest female protein MTMENANANANISVWWDIETCQFPTNNFDDIYYIAKNIHLALSNVNLHGRLTISAYGNSDLIPSKVRRALYIMGTSLRLLPTKGGVYNGIMPDLLIWALQNPPPANILLISSDDSFSSFLHEFSMQGFNIILSAPSPVDASLAAAANIFWHWPTYISWKLLNAIQQMTMENANTNISVWWDVGNCQIPTNFDSIDCIVNNIRLALLKANLRGKLSISAYGNTNLIASGLQHALSTAGIPLCHVPSGDVYKVIMFDMLKWVLKNHAPASIMLLSSDVRFSKLLYDLSVRRYNILLSAPSKVCASLASTANVIWLWSTLISGGSPLKTAEQVTFKIKTLSASFNFACSNRGRFLVCSCLHHC >RHN49068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52192041:52208968:1 gene:gene43855 transcript:rna43855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MATEEDQRLTEWRLHRGPYLGDISALCFLHLPNHPLPLLLAGLGSEILLYDLEFGKIMKSFSVFDGIRVHGIASSSEFVIVVFGEKRVKMFRFSFKNDDFGSPQLTLIHLLPKFGHWVLDVCFLKGCLPCSNVESDFLAIGCSDNSVCIWDISNSNVVLKVQSPVRCLLYSMRLWGHNLEVLRIASGTIFNEIVVWKVSPQHDKSSTTPEDHDRHGSNCSSLKDNMYEAVHVCKLVGHEGSIFRIAWSSCGSKLLSVSDDRSARVWAVPTEREDSLYNDPIALVLFGHNARVWDCCISDHFIVTVSEDCTCRIWGINGEQLQVIREHIGRGIWRCLYDPNLSLLITAGFDSAIKVHRPHSCLSRGLAAAQLSPGRTEMFSICIPNMLEHIGLTDSKSEYVRCLRFSSQDSLFVATNHGYLYHAKLCEAGGACWNQLVQVSNGAQIICMDLLSKDSFEQGCGDEDWIAIGDGKGNMTVVVVTNNDCAPTVRLSFTWQAEMERQLLGTYWCKSLGSRYVFTTDPRGGLKLWRLPDPSQSNSQNSMRDIVSHAAEFISSYGMRIMCLDACLEEEVLACGDLRGNMVLFPLLKNLVLGTSVAQEMKIPPVNHFKGVHGISSVSSVVVTKLGYNQIEIRSTGADGCICYLEYDGEMQHLQFTGMKQVKELSSIEHVSVDNNSEDTSSRSYAAGFASVDFIVWNLVNENKVVKIPCGGWRRPHSYFLGDVPEMKNCFAFVKDEIIYIHRHWINDNVGKIYPLSLHMQFHGREIHSLCFIHEDMLLGDYCKRASFSKSSWIATGCEDGTVRLTWYSPGIENWSMSKLLGEHVGGSAVRSICCVSKLHIIPSATTEVPDGRSEHNAADEDKDNPILLISVGAKRVLTSWLLKSRKLDDKTNFRTDNQHDAKELDDQFLSSMSSSMTFQWLSTDMPTKYSSTHRYTENNVGKAVDVAESGSKIKIDAEAGSLISEGGTMNLVRDKHEDDWRYLAVTAFLVKCAGSRISVCFVVVACSDATVMLRALILPFRLWFDVALLVPLLAPVLSLQHIIFPLRMPYKDNVKVGNVYIVIGGSTDGSVTFWDLTDSVEAFMQSVSVCDVEKLFDCQKRPRTGRGSQGGRRWRRSLSSGLSKERQGNNQVTSKAKNKAKSINSTTHGTSSVPNKSEDNNMVCSQTRHTASPVLETKADDSSAEICEIQPLHLLKNVHQSGVNCLHVSEIKAGQTNDSSCVYNIISGGDDQSIHHLVVELSTKTINLDYGVLTPDVAHLVTEPEYLKDINFQNQSRNYEIRFLNSEKFPSAHSSSVKGVWTDGSWVFSTGLDQRVRCWHLHQSKLIERAHLIVSVPEPEALSARACSRNHYQIAVAGRGMQIVDFSGCQHDE >RHN51136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14245116:14247037:1 gene:gene35520 transcript:rna35520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative D-xylose 1-dehydrogenase (NADP(+)) MREGVQCTIITIIMSQTEPIRFGILGCADIARKLSRTILLSSTATISAVGSRTLSKATTFAKLNNFRPTAKVYGSYEEVLDDPNVDAVYLPLPTTLHVKWAVAAAKKGKHVLLEKPVAVSVKEFDEIVKVCEECGVQFMDGTMWMHHPRTEVMKEFLEDGEKFGKVKSIHTCFTFGADPDFLENNIRVKPDLDALGSLGDEGWYCVRAILWANNYELPKTALAYREPVLNKAGVLLSCGASLYWEDGRVATFYCSFLSNLTMDITAVGTKGTLHVHDFIIPYEEKKASFYAASKSSFGVTRQPSKHVIENHLTQEALMVTEFSRLVAEIKLKNAKPEEKWPTISRKTQLILDAVKASIDKGFEPVQIQE >RHN44888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9491468:9493880:-1 gene:gene39057 transcript:rna39057 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RAM1 MINSLCGSSNSLKEKCLQPNSSNQTNTHSKKNATNSCGDLEQINVLTPQSLNLPSLKFDLDGDVEVQSPDSSMWEAFFNDHLDNDFMISSPIRNINPNSPQASTYNNCNYNYAQGMQIQSLSGCSPPRFASQIGSLNSNNQQKGKGLSPLHRVFNSPNNQYMQHVENLSLPAIEEFLEDFQGDVDHFSSTKVSSECFDMETPISTILDSLTMQNSSSYGASVNEESTLLHGGNSSSQISQESDIYHQMGSMASASLSQALQQERYQEKHQKMQAQQQSLTVPIQIGIEQEQDSGLQLVHLLLACAEAVAKGEYMLARRYLHQLNRVVTPLGDSMQRVASCFTESLSARLAATLTTKSSSTKKLAPSSLSSSSSSSCLSTFPSNPMEVLKIYQIVYQACPYIKFAHFTANQAIFEAFEAEERVHVIDLDILQGYQWPAFMQALAARPGGAPFLRITGVGPCIESVRETGRCLTELAHSLRIPFEFHPVGEQLEDLKPHMFNRRVGEALAVNTVNRLHRVPGNHLGNLLSMIRDQAPNIVTLVEQEASHNGPYFLGRFLEALHYYSAIFDSLDATFPVESAPRAKVEQYIFAPEIRNIVACEGEERIERHERLEKWRKIMEGKGFKGVPLSPNAVTQSRILLGLYSCDGYRLTEDKGCLLLGWQDRAIIAASAWRC >RHN64270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57801370:57802174:1 gene:gene27072 transcript:rna27072 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRVKKEINKPKSRKNQTPISIVKTLPNDLLVNIVGKVASRSMADLCKIKLSCKEFLNASEDGYVYQHAAMDKFALVPLPWFTDEKETSFLSRCKESGNLEITYREGMVQYFSTLMVDLGLKNLKKAALEGHHEAKYVYSMLLMANCDDEEGRKLGFDLFGELKNSTGITIVGCRKRVKSFIQSMWVKNVVVAQNQGLSLCSSSTCHNPKTEKVVVKKHSTWFMDESDNDDDVGVCKYCDGNYELSLFSKMFRV >RHN66777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18626838:18628232:1 gene:gene14857 transcript:rna14857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MIKNKRIRLLEELPEGCIATILSCTTPVDACRLSILSKSFRSAADSDTVWDRFLISDSIILQSPSLANTFTKKDLYMALSDRPIIIDQGTKSFQLQRKSGQKCYLLAARSLTIDCRNVDGEKEWIPKHDSRLVLYVQFFFKLCFKGSRKLLSSVLCSRMKFVVLLIKTLSLSPNTQYAAYLVFKIIDARGSKNERVNFSVGVSGGHRSIKSVCLDPNLEHRQHNNEAGLQRPSVRCDGWLEIEMGEFFNSSLKDEEVHMSVIQRSGTWVRGNLFIEGIEVRPKYGN >RHN67389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26757132:26758732:-1 gene:gene15575 transcript:rna15575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MTELTLGGTAIRELSSSIWRNSKLTWLGLSECNKLKIVGNKLRDDHGLWSVTKLDLSGCTEINALSLWSILDGIQSLKQLILNECCNLESLPENIQNHSILKWLDLDDCRKLVSLAELPASLLYLTAVNCNYLDMNSTQRLLLENMVRTFSKDTPDEDGVRHFSLLSGVEVACNFGKEVDRCRISCKYLGTLISDHVLICWNGYNKQETGSHDFCNLSFQFLLQGQKEQLWWSTEGIKGCGVLPVYDLKSESELYVISSSREEIVKSKLKSSAQDSGEFDFDLKNDIDDSQEKLKVKSEALTMIMKNTKNNLLVL >RHN70879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54705923:54706259:-1 gene:gene19510 transcript:rna19510 gene_biotype:protein_coding transcript_biotype:protein_coding MILIYKKLSIPINCAILSHLLIKFSLNFNTPKILQMDSSGSDRDSNLDWEIIADIINDDYEEELLHMLLMQQQGNNSTVHRSKRKRKSKANEASSSKEVLQRKKLMNKPK >RHN43803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48597896:48598565:1 gene:gene50430 transcript:rna50430 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFARHGRNCMIRSSSSSSGIKVFFSNLSNLRIGQLSSSTYCNSNSEDDFQKVMSLLSNAAPNERGHQIYSDLNSIQFTTLQHQQQHFALKVIETSCQTKFVEAPKVQPHNLINFIKLVWKNNKDLITTPVLESLVSSICSTVPTPPRKNDILFLWDLLKRIGRHHHHTGGVNLHLKFFICLRPFSVCQITIHITLLCKLF >RHN60096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20238399:20238938:1 gene:gene22318 transcript:rna22318 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAVPTFNINTNKSYILKDRTIFVSMNNLDVQVECPVDFGSLERNGVDIKGYFSAQHMDDYFKMLNRPSYLNMVKDFWVRAEVYDRRDAEDEEAKLVKDNPTLKGKSRTEMGLRPFRGTKIRSAVMGMEITITQETIARACRCSNSGLFQIDAVKSQWEGKINGVLFGGNPKAKTS >RHN58753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4491151:4492553:1 gene:gene20695 transcript:rna20695 gene_biotype:protein_coding transcript_biotype:protein_coding MDWHVQINHTWREGNRSADWLANFSISVNYLNLIILETPPIELRKLMFDDISGACMPKNIRLIS >RHN72951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12089640:12090866:1 gene:gene8719 transcript:rna8719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MASDVLPSEILTEIFSRLPPQSLLRFRSTSESLKSIIDSHNFTNLHLKNSLNLNLILGHKTNLFHLHFPNLTTAIPLNHPLSNANGIKLFGSCNGLLCISSKAEITFWNPNIRKHRIIPNLPIPIPQSETKAHYALFTYGFGFDPLSSDYKLIRISWFIDLTNFTFDSYVSLFTSKTNSWKVLPSIEYVICYALTMGVFVENSLHWMMVKKPDGLHQRLIFAFNLTLEVFNEVPFPDEIRGEEVICNRSFEINVADLGGCLCLIVNYQTTKIDVWVMKEYGCKDSWCKLFTLMGSCFVLPVISLIPLGYSSDGKKLLFEVNHKKLVWYDLKSEEVNYIEGIPNFDEAMICVGSLVLPSFPVENCTKKENRTSKSKRRYFLLIMYKLISIQWTHHMLMYLNSYFRTRIL >RHN62469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43640991:43649450:1 gene:gene25049 transcript:rna25049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PSP, proline-rich MAAETLVHQNGVVSNGDLGSKTATVKKSRESDRRRRRRKQKKINKASKEQNANASEDDTDAKENTEQQQQQVVEQVEIEYVPEKAELYEGLDEEFKKIFEKFSFSEVIDSEDNDKKDESAENAITKKKADSDSEEEEDDNEQKEKGVSNKKKKLQRRMKIAELKQISARPDVVEVWDATSADPKLLVFLKSYRNTVPVPRHWCQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLSSLGDLYHEGKEFEVKLREMKPGMLSHELKEALGMPEGSPPPWLINMQRYGPPPSYPSLKIPGLNAPIPPGASFGYHPGGWGKPPVDEFGRPLYGDVFGVHQQDQPNYEEEPVDKTKHWGDLEEEEEEEEEEEEEEEEEEDIEEEALEDGSQSVDSMSSTPTGVETPDVIDLRKQQRKEPERPLYQVLEEKEEKLAPGTLLGTTHTYVVGTGTQDKSGAKRVDLLKGQKTDRVDVTLLPEELDIMENVLPGRYEEAREEEKLRSQREDFSDMVAENEKKRKRKQEKDGKSKKKDFKF >RHN77502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7262773:7263971:-1 gene:gene965 transcript:rna965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative jasmonate O-methyltransferase METVETLHMNKGAGETSYAMNSFVQRKIISLTNQATKKAIVEILCSTKRWPIMKMGIADLGCSSGPNALRVISEIVEAINATSSMLNRPAPKELMLYMNDLFTNDFNNIFASLPSFHKKLSQQKGNNNHHDHNGSNCFVSAVPGTFYGRLFPTKSLHFVHSSSSLHWLSKVCPFIGFYLFLVGWSPYFHLCVELIPLF >RHN72875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11451681:11458869:1 gene:gene8639 transcript:rna8639 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEGFVVMNGGEKVFANGVKDDDESLGEHILEEMESFFDDIDERLIISRMVSDSVIKGMVNAVEEQAAEKIAQKESEVVGLKKKLRSLCVGSDEAKMMWSSVRCNETHEGGKRHFLDGVMETDRVVKPVGSLKFEIHEQLSQLKKEINKIRGLSSTRRFSSGSDLVGLGGILQENVPERWIYVDKAFESLKDTMDSLSRRIEITDQLSKATTLSDWQEEQEFRLEIERKVIRNCIRSLQQEIERKLCDVCESESRISFNQYKEISSLRQDLDSIFRTLSVFETGTLISHGSLEHTDDWCHNKRADHVHLKLSTDLLTVSTLEENGKHEDSKISKPDSLDSGSLKDMSKDDLITYITKMRRNHESQVQEKTEENFCLRRELLNLKERGSSFSLKKDKDFDLLKKKIPDAISKLNEVLDGNEKLRQFSENIESLCSFKDRLDFLQSENYQLKDMLTDKNKEIKSLSSQLSAAMEKLSQQQLTEENLLQSVQKLEDDVGDAHVEASVIQDVYKCLFKDMESECRFITEDLHLKYGFMQEIYEVMLKDTVDSAQVSNGLEIEEENIESIMIEGLLDINQIIFKGALVDADEALKSEAVENNKLKCEILTLKTIVAEKDNLIQGATDALEQEKRKMESASEQLNSLRTKTDHQHNWILENSKELDVTKGNLDAAAKEIEQYKEQMLKLHQNLEQKVHELREVDKERRELCALTKKQQDTLKCIEAKERETRKQMESTINLIRKLLTMVNDVEASVNEDISRNRMRLESMSSEFCFLKNKASALKTMGLVYKQRFETKSSDLAKAEAEVDLLGDEVDTLLRLLERIYVALDHYSPILQHYPGIIEILELVRRELTGESRKLV >RHN45252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13692625:13693089:1 gene:gene39490 transcript:rna39490 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCKERNNRVFKGKAQDLVQLLDTVKFMSFSWLKAKLLTSPFSYNDWWRHPLLCMGVEE >RHN46152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29244954:29247761:-1 gene:gene40595 transcript:rna40595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MSVNIDEAPIFAPSLPVPNVQEMVKVNPLQVPTKYVRNEEEMEKVNYMPQLSSEIPVIDFTQLSNGNMEELLKLEIACKEWGFFQMVNHGVEKDLIQRMRDASNEFFELPMEEKEKYAMLPNDIQGYGQNYVVSEEQTLDWSDVLVLIIYPDRYRKLQFWPKTSHGFKEIIEAYSSEVRRVGEELLSFLSIIMGLEKHALAELHKELIQGLRVNYYPPCNNPEQVLGLSPHSDTTTITLLIQDDDVLGLEIRNKGNWVPVKPISDALVINVGDVIEILSNGKYKSVEHRVMTNQNKRRISYASFLFPRDDVEVEPFDHMIDAQNPKMYQKVKYGDYLRHSLKRKMERKTHTDVAKIKEHVIVT >RHN80962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42258338:42258676:1 gene:gene4948 transcript:rna4948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MPSSSDTNKRLKKGTLWSKEEDEILKAYVEKHGTGNWKEVSKNTGLAHCGNSCRFRWYNTLRPDLRKGPFSKEEEEKFFELFSKFGEFKWSKMALEVYFCSCFTSSFSFSFI >RHN66388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11728330:11733341:-1 gene:gene14358 transcript:rna14358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAATLVGGAFLSASVQTMLDKLTSTEFRDFINNKKLNVSLLKQLQTTLLVLQAVLDDAEEKQINNRAVKKWVDDLKDAIFDAEDLLNQISYESLRCKVENTQAANKTNQVWNFLSSPFKNIYGEINSQIKTMCDNLQIFAQNKDILGLQTKSARIFHRTPSSSVVNESFMVGRKDDKETITNMLLSKSSTSNNNIGVVAILGMGGVGKTTLAQIAYNDEKVQEHFDLKAWACVSEDFDILRVTKTLLESVTSRAWENNNLDFLRVELKKTLRDKRFLFVLDDLWNDNYNDWDELVTPLINGNNGSRVIVTTRQQKVAEVAHTFPIHKLEVLSNEDTWSLLSKHAFGSENFCDNKCSNLEAIGRKIARKCAGLPIAAKTLGGVLRSKRDAKEWTEVLDNKIWNLPNDNVLPALLLSYQYLPSQLKRCFSYCSIFPKDYTLYRKQLVLLWMAEGFLDHSKDEKPMEEVGDDCFAELLSRSLIQQLHVDTRGERFVMHDFVNDLATLVSGKSCYRVEFGGDASKNVRHCSYNQEKYDTVKKFKIFYKFKCLRTFLPCVRWDLNYLTKRVVDDLLPTFRMLRVLSLSRYTNIAVLPDSIGSLVQLRYLDLSCTKIKSLPEIICNLYYLQTLILSFCSNLSELPEHVGKLINLRHLDIDFTGITEMPKQIVELENLQTLTIFLVGKQNVGLSVRELARFPKLQGKLFIKNLQNVIDVVEAYDADLKSKEHIEELTLQWGVETDDSLKEKDVLDMLIPPVNLNRLNIYFYGGTSFPSWLGDSSFSNMVSLCIENCRYCVTLPPLGQLSSLKDLTIRGMSILETIGPEFYGIVGGGSNSSFQPFSSLEKLEFTNMPNWKKWLLFQDGILPFPCLKSLKLYDCTELRGNLPSHLSSIEEFVNKGCPHLLESPPTLEWLSSIKEIDFSGSLDSTETRWPFVESDSPCLLQCVALRFFDTIFSLPKMILSSTCLKFLKLHSVPSLTVFPRDGLPTSLQELCIYNCEKLSFMPPETWSNYTSLLELTLTNSCNSLSSFPLNGFPKLQELFINRCTCLESIFISESSSHHPSNLQKLILNSCKALISLPQRMNTLTTLEILYLHHLPKLELSLCEGVFLPPKLQTISITSVRITKMPPLIEWGFQSLTSLSYLYIKENDDIVNTLLKEQLLPVSLMFLSISNLSEVKCLGGNGLRHLSSLETLSFYDCQRIESFPEHSLPSSLKLLHISNCPVLEERYESEGGRNWSEISYIPVIEINGKVTI >RHN61100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32966456:32967502:1 gene:gene23525 transcript:rna23525 gene_biotype:protein_coding transcript_biotype:protein_coding MCHASSLLQPFQKKSTFVLFNCAILLFIKFFYFFVSEMKSEPEVQRDIFRVCKVHKI >RHN43124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43393745:43396338:1 gene:gene49652 transcript:rna49652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pleiotropic drug resistance protein PDR/CDR MKMQGCEVEVIGINYKIHTNKAEHPFKIFSKSPQLVNTNVQETEEVEKGCSGVRHVLKNVSFQARPWEILAIVGPSGAGKSSLLEILAGKHRPQKGSVLLNQKPVDKSQFRKLSGYVTQKDTLFPLLTVEETMMFSAKLRLKLPQQQQCSRVKSLIKELGLDHVAGTRIGDDRVRGISGGERRRVSIGVEVIHDPKVLILDEPTSGLDSTSALQIIDMLKVMAETRGRTIILSIHQPGFRIVKLFNSLLLLANGSVLHHGTADLLSVNLRLMGLELPLHVNVVEFAIDSIDVIQQQQQWQVETETPRRLQGTTQQKKGRDDEQQGDDKSGKFTLQQLFQQSKVIDEDIINKTGTGMDFSYDFANSRLRETMILTHRFSKNIFRTKELFACRTIQMLISGLVLGSIFCNLKDDLRGTQERVGLFAFILTFLLSSSIEALPIFLQEREILMKETSCGSYRVSSYAIANGLVYLPFLLILAILFTVPLYWLVGLNTNFTAFLHFLLLIWLVLYTANSVVVCFSALVPNFIVGNSVINGVIGSFFLFSGYFISNHEIPSYWIFMHYISLFKYPFEGFLINEFSNSKKCLEYMFGACVMKGEDVLKEEGYGGEGSRWKNVGVTVCFIMVYRFISYVILRYKCSERS >RHN77862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10017366:10019977:1 gene:gene1363 transcript:rna1363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stearoyl-[acyl-carrier-protein] 9-desaturase MHIQFQTCHSIKTLPLTWNIPESINHRPHRHLLPPPKSTVTTTLPPLKTSKTHSMPPEKLEIFKSLENWASESVLPLLKPVEQCWQPQSFLPDPSLPFDEFTDQVKVLRDRTKDLPDEYFVVLVGDMVTEDALPTYQSMINGLDGVGDEIGASPSPWAVWTRAWTAEENRHGDLLRTYLYLSGRVDMEMIEKTVQYLIGAGMDPGTENNPYLGFVYTSFQERATFVSHGNTARLAKEGGDPVLARICGTIAADEKRHENAYSKIVEKLLEVDPTGAMLAIGDMMEKKITMPAHLMYDGQDPKLFEHFAAVAQRTGVYTANDYADILEFLIERWRLEKLEGLTGEGKRAQDFVCGLAPRIRRLQERADARARKMKPHGVKFSWIFNNEVVL >RHN51050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13395131:13395587:1 gene:gene35420 transcript:rna35420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MVAHVSDFGIARLVSTISSTSNKNTSTIGIKGTVGYAPPEYGMGSEVSTCGDMYSFGILMLEMLTGRRPTDELFEDGQNLHNFVKISFPNNFVKILDPHLLPRAEDGNHEILIPTIEECSVSPF >RHN75876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45797457:45802908:1 gene:gene12134 transcript:rna12134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MDGKVEASSKEADESLSIGSKRGGWISFPFFIGMITGLSLASTGIVGNLIVYLISEFNIKSINAAQIVNVVVGSTSLFPLVAAILADSFFGSFLVAFASSCVALLGTILLFLTATINSLKPHPCSNDSSITCKPPTGIQYTVLYISIVLISIGFGGSRYTAASLGANQFNKPEHQGIFFNWFFFAFYVASGAALTGIIYIEDNLGWATGFGICVVAAFVGVVIFLSGYRFYRAEKLQGSAVLDLGRVFVASVRKWKCKLSSRVEDYYTTNGDDVMVQVLPPVTPGKRLRFFNRASLITDADLKSDGSVKKSSWRLCTVQQVDDFKKIIGILPLWSSSIFLATPIAIQSCLVVLQALVMDRSLGPHFKIPASSVSVIVLISAPIFLTFLDRVLLPGWHKVTRKMPMPLQRIGAGHVLTVLGMVVSALVESKRLKLVHVHNVSMSVLWLFPQLVLVGIGEAFHFPGQVTFYYQQFPQSLKNTSTAMISMLIGIAFYLSTALIDQVRRSTDWLPDEINHGKVDNVYWMLVVFGGINFVYYLLCSISYKYENV >RHN75851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45533228:45535151:-1 gene:gene12106 transcript:rna12106 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVEASDNPNLCVGDDEGHFNFKWHTSFDKKTSHSDSCKDYESEDDDDVPLSARFPKKRDTITLPVKKVSDNNSSLCGLMKKSKVSPTPYDDNVDNDNNDDDDDDDVPIFSRMKAHAMSIDLSFSSLKKQLAFVEKSFEECEGKREVEEKILQSIKRDIEKCCKELENKKKEISDVGRIKEAYKKMQGKIEECVEEFVAKESQLSLMENLIGERKQELNTKKLELRKVMDDISKQKELEGQLAELENDLVSKQKQFESRMKDLESKEKQLDGRDEGFESKEDEFQGRVEKLESEKKHFESRLKDLESIEKKFDGQMKEFLSKEEEFNGKLKEFKSKEEQFKGQVTDFKLNEKKFEEQWKELKSKENKFKVLVKELKLKDKRFGALVKDPESKLNKLDEQLKEPELTEKQYALIEEYFDEENESDTCYMDDEFSPAIVGTSLQLLPFEQTDEPESPGDDIQVNLQGFSDPAHAVLDIIQNPIIQKYKKGDNDAIIEENHIFLLEQLMKISPHIKTCVKEEALKLALDLKANMEENTENNLVLGFLLLLSIYQLVTYFNEDEVLELFAFVAQHKIAVELFETLGFANKVSGKLASYSI >RHN63246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49655876:49661820:1 gene:gene25925 transcript:rna25925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle-associated membrane-protein-associated protein MSPDGDLLSIEPLELKFPFELKKQISCSLQLSNKTDSYVAFKVKTTNPRKYCVRPNTGIVLPRSTCDVMVTMQAQKEAPADMQCKDKFLLQSVKTNDGVSPKDISAEMFNKEAGHVVEECKLRVVYVSPPQPPSPVPEGSEEGSSPRGSFSENGNANGPEFAQVTRGSAERPEAQDKSAEARALISRLTEEKNNAIQQTSRLRQELELLKREGNRNRGGVSFIIVILIGLLGLIMGYLMKKA >RHN66087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8461770:8463357:1 gene:gene14001 transcript:rna14001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TCP family MMASSREGNYQAKQEGGDTSMENIEKISKAPSSTSRQWSTSSLRNPRIVRVSRTFGGKDRHSKVCTIRGLRDRRIRLSVPTAIQLYDLQDKLGLGQPSKVIDWLLEATKLDIDKLPPLQFPQSFSQFHPLHSQTLLPFHDQSSNIASHELSLGPLYDPNSTFVGIQNLMARSKFWDIDSRTKGKEVEREYFSEKDKWIKTNEEENQVGEASYNNFHQVSTQKLFPMETHSNFLNNAMSYNNYHSEASSLSLSQFGSSNGLFQSHHQQVDPNQSNGNGLHFPFPFANSQLLFGPSSSSTTPSSSHLSSTPFMNNPVENDPRIQFNHFQFINSSSSQTMPHPLIPSFHHSFNSPPVRPFPIPFSSKLLDSNNNNNSNQLDDNSAPRS >RHN67411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26982405:26984611:1 gene:gene15600 transcript:rna15600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MSPTTTIITLLFFLIPTTLSQNTTFTFSSFTLRNITLLGDSFLRHGVVGLTRSTTVPASSSGTLLYNNPISLIPNSSFSFKTSFTFSITNTNPPSSSLNHFSFFINSTTSFISLDFHTNNNHVGFDFNNSSVQKTVDDTVVFNFTSGNLITSWIDYNGFNKNLSVFLSYANSSFSSSKPEEPVLSVEFDLSEYFKKTEILYLGFVGSAEGSTELQQIMNWSFESFQFLPVNQTQNKNSSFHHFGYQVEDIPASNSTKNHHRKRFVIGLCVAIVGPCFFFVLLLVLGYVSFRKWSSLNKLKKSKSSIGCPKEFGYKELKFATKGFHGSRIIGNGSFGTVYKALLVSSGTVAAVKRSRHSHEGKTEFLSELSIIAGLRHKNLVQLLGWCVEKGELLLVYEFMVNGSLDKFLHRELSHECDILLSWVQRFNIVVGLASVLAYLHQECEQRVIHRDIKTANILLDGNLNPRLGDFGLAKLMDHDMSPVSTLTAGTMGYLAPEYLQYGKATDKTDVFSFGVVVLEVACGRRPIEKEGQEMVNLVDFVWGLYSQGKLIEAVDKRLNGEFDEVEMKKMLLLGLSCANPNSDERPSMRRVLQILNNEALPLVVPKVKPCLSFSSGLLLSLDEIVSDFDEGLSSNQFSCKIKIEPS >RHN53021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41295979:41298081:-1 gene:gene37765 transcript:rna37765 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYRMNPIASSVEFSTKPKTTHIQEEILIQIPECKVYLKNEEEAHELSQGQFTIIKIVNENVSLATIIKIGNNVQFPLTKDEPIVKVDSLHYLFSLPVKDGHEPLSYGVTFPHEFSGSMDLLNSFLNEYSCFSGLELSKKGNIINNNSGMTSQNFHGILIGKINFNQVQNGAQATLNRKSDKKKGGMDRKNTRKKTGVSKNNKTNENPIRKLSRFIQQWLLK >RHN80928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41963868:41966744:-1 gene:gene4912 transcript:rna4912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannan endo-1,4-beta-mannosidase MGFQILVWTFLVTLAVIQHGNTQKVNVGVGFVQRKGIHFLMNGKTHYVNGFNSHWLMIMAADLSTRPKVTSAFQQASQHGLNVGRTWAFNDGGYKALQISPGFYDETVFQGLDFVISEASKYGVKLILSLANNWNNFGGKNKYVQWAREHGHNIKNDDDFFTHPLVKQYYKNHVKVVLTRKNTISGVLVLYKDDPTIFAWELMNEPRVHDFGKSIQNWISEMVPYVKSLDGNHLLEIGLEGFYGETMPEKKQLRHSDEAAKGVFFDKWIGAHIQDANTILVKPIIVQEFGTFSRLPGYRTDQRDSYFNKIYSAISTSAISGGSCAGGIFWQLMSQGMDGYGDGYEVVLKNNPSTAEVIRQQSLKMSNIKYVAGRFTSLIVMCMCWIVCNALNYVFLFLAPLCLIP >RHN68186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33550606:33551709:1 gene:gene16501 transcript:rna16501 gene_biotype:protein_coding transcript_biotype:protein_coding MSALKKTCVDAQDEAQLKFQNWLKGIDQDLKNVKVLRTCVQSLPCLRGRNPTDFISTGVHPRELDLTFLQKILLKSASTELELLQRNA >RHN49728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:676024:680583:-1 gene:gene33935 transcript:rna33935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MAPRKSQSFFNLTTSRELNSFRVRKRPNYDGWFHGFLDVGAVATQHNGEDVVPLALSFAKTSKFCHILALSNEEGYVSFFDTRRKFAVPQCLERNAEEARICEWSSHNNAVFDVNWIKDDTQILTASGDQTVKVWDVQEKKCLGVLTGHTGSVKSISSHPTNPDILVSGSRDGSFRLWDLRCNSNSNRRGEVRICSTDVVNGAHILYQGLRPRRGKVASNSVTSVLWLKDQVSIATAGAVNSVVKFWDTRNLKSIVTQACPEPGKQRLHGISSLSQDDSGLLLSASCMDSRVYLYNTLQLEKGALRYFEGGRIESFFIKAAISPDASNIVCGSSNAKAYVWQVDKPQVEPTILKSHYEEVTAVDWCRSDNGKLATASDDFSIHIWNKRSSVTTSKTPFSIRRRVMAIPRTECKMLLDNEETCPKSSDYVLLSNEEPNDPVKSATPITPPKTTTSEGHKNQLLSEFDLTISPKNTPESALKSPSSVLNPPPSLKRTIRDYFVASS >RHN67151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24361966:24362672:-1 gene:gene15300 transcript:rna15300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stigma-specific protein Stig1 MRFLKAIIIIAITLALSLTITMKTIKHNESKPASIHLEKQPLILHEKNKFLPSKRVSRLLAQNPNAPIHCHKQDEICYLYGAKNATCCNNKCIDLAYDKHNCGACKKHCKYTQTCCRGQCVDTNYDKRHCGECNNRCEIGQYCVYGMCDYA >RHN59759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12853754:12855939:-1 gene:gene21873 transcript:rna21873 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDRRGGGDTVWWYGGGDRSCDGWIELYVVEERHGYGGTPVTGQRVECQWPVNSGLRETSCEKDLQRRKSCPKM >RHN49328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54066359:54067810:1 gene:gene44146 transcript:rna44146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MPRWRSVPYSLNQSEKRIGSYIRTFHRLNPQIYYHFLTLLLSNPFSPKIKFSSDQKMSSTGTTKGGRGKPKAAKSVSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGTVTIANGGVLPNIHQTLLPKKVGKGKGEIGSASQEF >RHN60596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28654374:28655090:1 gene:gene22929 transcript:rna22929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MDYLSSLMTLCFLFATVVFYITNGEPVVPALFIFGDSIVDVGNNNNLHTVVKADFFPYGRDFVTKNPTGRMSNGKLAVDYASEFAGFTSYQPAYLNLNTKGSNILNGANFASSGSGYHDSTSIQYVNKQFLSLLSLSLSLYLSLP >RHN38995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3400936:3402763:-1 gene:gene44979 transcript:rna44979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S8e/ribosomal biogenesis NSA2 MVSIRYELGRQPANTKLSSNKTVRSIRVRGGNLKCRALRLDTGNISWGSEAVTRKSRLLNVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVEIGNKKKTAAKQDSAKEAEAATEETKKSNHVLRKLENREKDRQLDAHIEEQFGGGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKNCQILRFWPFFCEIAVHILDSKRKEVN >RHN41125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27225952:27234338:-1 gene:gene47415 transcript:rna47415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MELEQQQGTRWIGYVDWRNRPAHRGRHGGMLAASFVLVVEVLENLAFLANASNLVLYLRKYMHFSPSKSANNVTNFMGTAFLLALLGGFLSDAFFTTYHIYLISAVIEFLGLIVLTTQASVSSLQPPSCDPTSVCHEVHGAKALMLFGGLYLVALGVGGIKGSLAPHGAEQFDEDNVSGRKNRSTFFNYFIFSLACGALIAVTFVVWIEDNIGWKWGFGIATISIFVSIPVFLAGSTKYRNKIPTGSPLTTIFKVLVAATMNNCIYKNSSSSAVVNMTSSPTNSNNVRKPKEEGETSKQTKEIQAPTDTLRFLNSAIENEKIKCSVEELEDVKIVLKILPIFACTIVLNCCLAQLSTFSVEQAATMNTKFGSLKVPPASLPIFPVLFIMILAPIYDHIIIPFARKYTKSEMGITHLQRIGIGLVLSIIAMAVAAIVEVKRKRVARNHGLLDDATKPIPITFFWIAFQYLFLGSADLFTMAGLLEFFFTEAPIKMRSWATSLTWASLALGYYLSSVIISIVNSVTGNSSHKPWLSGSNLNHYHLERFYWLMCVLTGLNFLHYLFWAIRYKYRGTGNHY >RHN57794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41763290:41763520:-1 gene:gene33381 transcript:rna33381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem antenna protein MCSNRGASHWDFLLYLHFYLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSLLNTLLTIYKEAG >RHN47478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40066198:40067561:1 gene:gene42088 transcript:rna42088 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNIPIKIDDKSAGDVKEDKVEIEKDLEIKSVEKDDEKKEKKDKEKKDKTDVDEGKDKKDKEKKKKEKKEENVKGEEEDGDEKKDKEKKKKEKKEKGKEDKDKDGEEKKSKKDKEKKKDKNEDDDEGEDGSKKKKNKDKKEKKKEEDEKEEGKVSVRDIDIEETAKEGKEKKKKKEDKEEKKKKSGKDKTNDPSKLKQKLEKVNAKLEALLEKKADLERQIKEGEDGGQDINVKDK >RHN70771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53903524:53914288:1 gene:gene19388 transcript:rna19388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif domain, nucleotide-binding alpha-beta plait domain-containing protein MQQRLKLQQQQQQQALMQQALLQQQQMYHHPGMLAAAAMTQMEPVPSGNLPPGFDASACRSVYVGNIHVNVTDKLLAEVFQSAGPLAGCKLIRKEKSSYGFVDYHDRASAALAIMTLHGRQLYGQALKVNWAYANSSREDTSGHFNVFVGDLSPEVTDATLFACFSVYTTCSDARVMWDHKTGRSKGYGFVSFRDHQDAQSAINDMTGKWLGNRQIRCNWATKGAGGSSNEEKINDSQNAVVLTNGSSDGGQDNSNEDAPENNPSYTTVYVGNLPHDVTQAELHCQFHALGAGVLEEVRVQSGKGFGFVRYNTHEEAAMAIQMANGRPVRGKTMKCSWGSKPTPPGTASNPLPPPAAQPYQILPTAGMNQGYTAAELLAYQRQLALSQAAVSGLSGQALLQMSGQHGLAPASMGINSAASQAMYDGYAGNSSRQQLMYYR >RHN46178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29408641:29411206:1 gene:gene40622 transcript:rna40622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MPTHLQFLVTFLPFLFVQVLSQVDQLLYTGFKDGGASNLTLNGVAKIEKNGIIRLTNDSSKLMGHAFYSQPFQLKNSTTGKAFSFSSTFAIAVVPEYPRVGGHGMAFTIAPTKDLNALPIQYLGLFNASNVFGNFSNHIFAVEFDTAQDFEFDDINDNHVGIDINSLRSNASVTAGYYTDNSTKQDLNIKSGKPILVWVDYDSSLNLISVTLSPTSIKPKKPILSFHVDLSPIFHDTMYVGFSASTGNLAAFHYLLGWSFKINGVAPFLDLSSLPQLPQPKKKQTSLIVGVSLTASVIALCSIAFAIYIFRKIKNADVIEAWELEVGPHRYSYEELKKATKGFKEKELLGQGGFGKVYNGILPKSKIQVAVKRVSHESKQGLREFVSEIASIGRLRHRNLVQLLGWCRRRGDLLLVYDFMSNGSLDKYLFEDSEIVLSWEQRFKIIKGVAAGLLFLHEGYEQVVIHRDVKASNVLLDCELNGRLGDFGLARLYEHGANPGTTRVVGTLGYLAPELPRTGKASTSSDVFAFGALLLEVACGRRPIEPKALQEELVLVEWVWERYKEGRILEVMDPKLNGDFNESEVMMVLKLGLMCSNDVPTIRPSIRQVVRILDGEVELPNEMRKPRGIDSQEGFDEFLHSLGTSSFDKTNSSSYLGTSSFDNT >RHN42948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42129773:42132541:-1 gene:gene49459 transcript:rna49459 gene_biotype:protein_coding transcript_biotype:protein_coding MESQDNFSDGAFKTRRALTDMTNHPSKRPLSSISGDEDGAENLLKKICHNDKGKNPCSELPFSGNNSSQEPSLPSGGTGTGTDDSNDSFVGRSQNEGFIDRGIEHDDNLGSSQCAGGSVEPPIVSGSMFPGLERCSALKNQDVENLDAHVGVEFLKNCTCSFCTKAGYIWSDLHYQDVKGRLTALRKSQKEARLVVQKFSGINDTHNIIHEQQGGIDPSDLESSLMHQWKSLFVHMQNILGHESRQLESSFETLKDVRENCKNDLELTNNSSSSNH >RHN62439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43447692:43451344:-1 gene:gene25017 transcript:rna25017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-disulfide reductase MGGSVRDVKSKSELDEVVRGGSPAVLHFWASWCEASKHMDQLFSHLAIDFPHTHFLRVEAEEQPEISEAYSVSAVPFFVFFKDGKTVDTLEGADPSSLANKVSKVAGSINSGDAASPASLGMAAGSAVLETVKELAQDNLSSNEKSKVQPGLSSHLKKRLQELVDSHPVLLFMKGSPEEPKCGFSRKVVDILKEEKVKFGSFDILSDSEVREGLKKFSNWPTFPQLYCKGELVGGCDIAIAMHESGELKDVFKDHGIDTVDETNITDSGNTKGGISKSTDLSTNLASRLDGLVNSGSVMLFMKGKPDEPKCGFSRKVVEILRQENVPFESFDILTDEEVRQGLKVYSNWSSYPQLYIKGELIGGSDIVLEMQKSGELQKTLHEKGVLPKETIEDRLKKLIASSPVMLFMKGTPDAPRCGFSSRVVNALREEGVVDFGHFDILSDDEVRQGIKVFSNWPTFPQLYYKGELIGGCDIIMELRNNGELKSTLSE >RHN80511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38706983:38711744:1 gene:gene4447 transcript:rna4447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-hydroxymuconate-6-semialdehyde hydrolase MIFFACKSKENIQEMSSGVMGKSRSVLTMSGRFVNEAISFIVFCFLDLVDFLLCFLFKSVDMLVESEWRPCYCSSSAAKEAITCSGKILVSEQGGESKIVSLSSTKLHLEDISDTLYSRPSLVSEVSRLTMNELKRFKLEDPVLHQSKRSRCSSSFTVNTTIVEMLQGKIGKQDVQHRWSDCDCKECISWNDPSSKSILYVKSQYPTSGEVKEDVLFIHGFISSSLFWTETLFPNFSSVAKSSYRLFAVDLLGFGKSPKPSESLYTLREHLDMIEKSVLEAHKVKSFHIVAHSLGSILALALAAKYPQYVKSITLLAPPYYPVPKGEAQATQYVMRQIAPRRVWPPMAFGSSLVCWYEHITRMICFLICKNHRLWIFLTKLITRNRVRTFLLEGFFSHTHNAAWHTLHNIICGTASKIGSYLETVKENPNCKVTIIHGKNDDVIPLECSYEVQKRIPRAQLRVVDGKDHITIVVGRQKDFARELEEIWSSTNDN >RHN49848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1664118:1669088:1 gene:gene34072 transcript:rna34072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo-like helical protein MSETALKDLNNIPATERKSEGSGKACLTKPPVDNANGNTEESQKKKNCSSLVSPHVNGNQAVPADSVVEVGTVEVEYIDSENLNDLEDVDACVKTLLAGLDSKDWVLVCDTLNNVRRLSIFHKEAMLDILGDVITRIAKALKSPRSAVIKTAIMTSADIFGAYNDLIIDSLDPLLLQLLLKSSQDKRFVCEAAEKALISMTTCISPISLLPKLQPYLKHKHPRVRAKASMCFSRSVPQLGAEGINTYGIDKLIQVAASQLSDQLPESREAARTLLLELQNVYEKFPNLVPAATVSEDPKTETVSEDSKTETVSEDAKTVTVSEDPETVTVSEDPETETVSEEPEIATESWESFCQSNLSPLSAQAVLRVTSIAREGLVS >RHN79400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28485474:28491307:1 gene:gene3187 transcript:rna3187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MRSIYRLVVRDRFGICTMALVSRTLFSSLATYHAAEARDFWHKEQTQDLTRSVDILTTKIGKGNSEDDILQSLISDEGVNGIHLSENLINRLLFRYKDDWKSALAIFRWAGSHSNFKHSQQSYDMMVDILGRMKAMDKMREILEEMRQESLITLNTIAKVMRRFVGARQWKDAVRIFDDLQFLGLEKNTESMNVLLDTLCKEKFVEQAREIYLELKHYIAPNAHTFNILIHGWCNIRRVEEAHWTIQEMKGYGCRPCVISYSTIIQCYCQEQNFDRVYDLLDEMQAQNCSPNVVTYTTIMCALAKAEKFEEALQVVERMNAVGCRPDTLFFNSLIYTLGRAGRIDDATHVFKVAMPKASVAPNTSTYNSMISMFCYYAQEERAFGILKEMEKSGLCKPDIQTYHPLIKSCFKMREIDTWLNDILNDMVNKYHIGLDLSTYTLLIHGLYRADRCKWAFDLFEEMADQDIVPRYKTCRLLLDEVKQKNMHLAVVKIEVLMKKL >RHN82251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52332584:52333353:1 gene:gene6397 transcript:rna6397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding pseudobarrel domain-containing protein MASIPFNPFQSFVGPLVKNPLSDLDKAINKLRLKYRSYIVEYDIDVVCGAVCLPNMFGGDFGDQIGRYAILTDPKSNKFEVLVDRVNGAFFLTKGWKAIRDFYGIDLGAWITLVFILLYTGNVPQMLDTLLTTVNIIDDCGNRWVCELTFATFPYEHFKIGRCWNRFVEARRLREGVKIRGGAPMVGSHDTIYLDVIYN >RHN47429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39684772:39688834:1 gene:gene42028 transcript:rna42028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative apyrase MQELPTLASFKMVLLWQNTKNMMNFMTLITFLLFIMPSISYSQYLGNNILLTNRKIFPKQEPISSYAVVFDAGSTGSRVHVYHFDQNLNLLHVGKDVEFYNKTTPGLSAYADNPEEAAKSLIPLLEQAESVVPEDQRSKTPIRLGATAGLRLLNGDASEKILQSVRDLFSNRSTFNVQPDAVSIIDGTQEGSYLWVTVNYALGKLGKKFTKTVGVMDLGGGSVQMAYAVSKYTAKNAPKVADGEDPYIKKLVLKGKKYDLYVHSYLHFGREASRAEILKVTHNSPNPCILAGFDGTYTYAGEEFKANAPASGANFKKCKKIVREALKLNYPCPYQNCTFGGIWSGGGGSGQRILFAASSFFYLAEDIGLVDPNTPYSLTLRPVDLETEAKKACTLNLEEAKSTYPLLVDFNIVEYVCMDLIYQYVLLVDGFGLDPLQEITAGKQIEYQDSLVEAAWPLGNAVEAISSLPKFERLMYFI >RHN81580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47250559:47255721:-1 gene:gene5649 transcript:rna5649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGSSNLCFFFFSLVSLFAMAAAKVVLIGNNITLSFDDIEANFAPSVKGSGEYGALFLAEPLDACTELTNKARTLSNASSPFVLMVRGGCSFEDKVRIAQSAGYKAAIVYDSEDGGILVAMAGNSAGVSIHAVFVSKASGEILKKYTGLINVETWLIPTFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRERPRTSSHVREFHGMSSRLVKAMPSLIFTSALEDNCTSRTCAICLEDYCAGEKLRILPCCHKFHAACVDSWLTSWRTFCPVCKRDARTGLADPPPSESTPLLSSTPSSAASSFVSSMRSSFASSSAIQIGSPSQSVSRNHSLVSTPYNQPSLRSYRQSPSLSFSRSSVDLRNASQRSLASHMNSPRSIGYPSLSSLNSRYLPSHIPSPSNASVSFLGSSSHQQHPLRYSESASSFSPFASANSLPEC >RHN76856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1841187:1841869:1 gene:gene239 transcript:rna239 gene_biotype:protein_coding transcript_biotype:protein_coding MICNYPSDPTVVFGYSSIGFLVASSLMGLISIFYSYNGTSVPPSALFKYTTLSVFFIIAFALAGVMTLWPTVTEQHHWRHNFHPANVSASTMPACPTAKTGLMGSGAFLCLNSSLYFGFYP >RHN39802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10616368:10619807:-1 gene:gene45870 transcript:rna45870 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVCERGDKKRIVMVEKWKKGVLVGKRGGICSTPSTTWRFDPPSQQNSIVSTSARKLCANLWQIQHTPFAKMNKHGGTILRRRRRLHHQSTTSDQSPSASTIRRHVIASLVQRYRSVGKNACALPPASPGYNNSVEVAPYKCEVTSTSSIDIKGITEESRYNLATSKEVLNVLNRIWSLEEQHASNISAVKALKTELNRSRTQMKELIREKQMNRQEMEKLMKQMTIEKFVRKNKEHDRIQTEVQSLKEELEDERRLRKHSESLQQRLACELSEVKSSFSSCLRNLEQERKAQILLENLCDEFAKGIKNYEQKVHCLRQNSENGYLEGENVDRLILHISEGWLDERTQMKRAQSDSDIIDRISIVDKLGFDIETFLHAKRSIDFKKYGYSSPKELKEIYPCQHLMDSFLLQDMTQEDSIDNDCFEPKETTAEGLRKLGSKTERNNATELHQEKGSKNSIRKEVLSKAITEDFHLQANIKRNMSCNDNNESCFVEKKLSEMGEDSIKRWKSMLIASDFDNTESSTKLPKGVKENTLMAKLLEARLERQISRSKRGKSTS >RHN72444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7695553:7700021:-1 gene:gene8156 transcript:rna8156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, ARF/SAR type, P-loop containing nucleoside triphosphate hydrolase MFSLFYGLWQFMFSKLELRVLILGIDKAGKTTLLEKIKSVYTNVEGLPPDRIVPTVGLNIGRIEVANRKLVFWDLGGQLGLRSIWEKYYEEAHAVVFVIDASCPSRFEDAKSALEKVLRHEDLKGAPLLILANKQDLPEAVSSEELARYLDLKKLDERVYMFEAVSAYDGLGIRESAEWLVEVMERSKRTEMLRLRAGAMGPGPA >RHN67595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28474566:28474961:1 gene:gene15798 transcript:rna15798 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSLRMPKFIPQSRLEKNMSSINLSILYHMSQLILKTSIGGNSEQSQSRHVSKPRPVNTTSLSINVSSSMSFLLSKTTNFPKEVKSSLLCLGTPYQSGDFQAIMGSSELNNNSYRNQVNHLYLYPPCLK >RHN52646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37357897:37361239:1 gene:gene37340 transcript:rna37340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MYSYTILVGGLCKNGRLEDAQEVYRDLTIKGYHLDAKMYTVMINGLCKEGFFDEALSLLSKMKDNGCTPDGVTYEIIIRALFESDRNDKAVKVIREMIARGLL >RHN43410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45611882:45614692:1 gene:gene49981 transcript:rna49981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentacotripeptide-repeat region of PROPR MAQPWQFCLSFSRRLHALTAAKPSHHFVASSFSQAFYQTLSVPSHSHSQTQQHAPFDFNFNFDLDDPSLLQFTQLLKKDDDDHNCLSSLKPNIDLIRSAIWVLRDDLKPALRAFNLGYNDEKVCNLMIWVLGTHDKFHTAWSIVRDMRSSSLSTHQAMLIIIDRYAYANNAAKAIETFNFMNNSRLTPDQEAFRALLTSLCKYGNVEEAEEYMLVNKKLFPLETENFNIILNGWCNITTDVYEAKRVWRDMLKYCITPDATSYSHMISCFSKEGNLFDSLRLYDQMKRREWIPGIEIYNSLVYVLTRENCLKEALKTIDKLKEQGLQPNSATFNSMILPLCEAGKLAMARILLNTMIEENVSPTVETYHAFFEGTDYHGTLEFLSKMKGSGLGPNKDSFLIILVKFLKLKQPVNALKVWAEMKKYDVVPSCMHYRKMVEGFVTCSWFIKARDFYEEMISNGCSEDPKLDKLFHKKVLDSGVKRKQIVKKANSDKVREF >RHN62402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43170800:43173458:1 gene:gene24975 transcript:rna24975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MDKLFAQYSTNPSSSTAHLLISSSIPSSNIDVSQQTMDISQINNIHFSNNNEQPFLSPSTHPTHNYSTSYNPMHTIPSMNQPHEGYSSSLMGQSHVPFIIQTTPQLVVEREEDKKFFEAKRCKIAREQRKQILKRIRSKSASKFTEETSKRSPIYREIQERTPHVFYSPDGKRFEEILTKKLRKSDVKNYGRIVLPKREVEEKLPAPSREGIEVIFKDIYSGREWKLKLKYWINGTTRMYILENTGDLVNHYKLCMEDYLGLYEDELKNLYLCTKKACDLSTVDLFPCANQGKEKEPPDQSVAQETNNTPMSPILVDGTDGGGDDDDEQESSLDEFYESLDNIFDVS >RHN74322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31813694:31816701:1 gene:gene10362 transcript:rna10362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MPKSRRIKNFLKVSNESSSHGASFPNLTSSQHPQEEVASSHRGAKVSVQPHNQTSLQSTQQRVARSIEVARTTQVVSASNDQNQAPHASQNESPTLVAASISSQIPNQTRLQREANAPIQQTNLTSSQHEANVPVQPPNLTAQSAQPMAARPRRRGGRESTHYWSVDALDEENDAIRKLHLLVKDINNLPDGLRVIVDFDEQHTPIGEAAGFLSGVCGLVATHSPFFPISLDKWSDMPANYFDTQWKELFERRFCFNEVEDLAKRYIEGSIGKKWREYRLKLFDDNFDPTLSKNEIVNNRPENVPLDHWVKFVEYRLKPETMEMCKRNKEARKKQIFNHTCGAMTFARKRHILTLEAGKPVGRGPMWDMTHKRADGKYVNEEAQKIGEKICNHIAENPDGYSEISPNDIVGKIFGKEHSGRVRGMGMGVVPTIAFKHTTTRLSGMEFGSFRGSTSSGSSGLVNQKLATMEAQITTLVGYVKAKEGGSLPPELAAALFPNDTLQASNVGSGSPTPNDITRSSDESNAC >RHN42569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39407800:39412332:-1 gene:gene49022 transcript:rna49022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rab-GTPase-TBC domain-containing protein MMKKKVPDWLNSSLWSAPTVPPSPATTTTTATTTTTTTEESSPVHSPISPPQPPSPPIVVQDPPPKQIIEDSRSDDHHDHDVPPSAYDVSHHAQLLAELSKKVMDLRELRRISCQGVPDSAGIRSTLWKLLLGYLPPDRALWSSELAKKRSQYKQFKEEILMNPSEITRRMYNSTIGDADDAAKRDRALLSRSEIPHDEHPLSLGKTSVWNQFFQDTEIIDQIDRDVKRTHPDMHFFSGDSKFAKSNQEALKNILIIFAKLNPGVKYVQGMNELLAPLFYVFKNDPDEENAAFAEADAFFCFVELLSGLRDNFVQQLDNSVVGIRSTITKLSQLLRKHDEELWRHLEITSKINPQFYAFRWITLLLTQEFNFADSLHIWDTLLGDPEGPQETLLRVCCAMLILIRKRLLAGDFTSNLKLLQNYPSTNISHLLYVANKFRVQSV >RHN54015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6234710:6235357:1 gene:gene28990 transcript:rna28990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAAIEMKTMKSRCGDVGTTISSVRDPSWWFAHDSKDFAFPFFLLVISHISFHYFAITIFMSINLMICIYISTGFGNELEFPLPCPTRCCHTVYHPVIRVDYTPPIAGMFVPPYLKAFVKEMTTLSSDDKNKNSFLVVGGNLPPETRNHDLLQLFQPFGHVIGADIAIAQHTGLSGRFAFVNFATKEAAQSAIERLNGIDYHNFILRVEWSTPTTT >RHN74670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35605952:35607116:1 gene:gene10777 transcript:rna10777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nicotianamine synthase MASFQSFNFETEIPQELLISQIMQIHTTISKLESLRPSKQVNTLLTHLVKLCILPSSIEIEALPQEVKTMRESLIPICGKAEGLLELEFSTFISQTPNPAKNLTLFPYYGNYVKLANYENKILKENGVVDAKKVAFIGSGPMPLSSIILATHHMESTHFDNFDIDEKANEVASKIVASDKALEKRMKFVTQDIMEAKERLGQYDCIFLAALVGMRRSEKVKILGHISKYMKEGSVLLVRSAKGSRAFLYPIVEENDMVNFEVLTIFHPTDDVINSVILLRKPKA >RHN59881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14350239:14364027:1 gene:gene22047 transcript:rna22047 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYHNTTRSKIIVATWSICCLFPRHSNFIDFNSNSSSLTSLIWASSFLFLPISSETKKQQINIGNTCWVIFIH >RHN72816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10959556:10960283:1 gene:gene8577 transcript:rna8577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MAFTKTHLVVLLIVIISMLFAPTTNAIPTKKANVIRTPEARVRSMCKTLKNPTMCYNTILPKAESAPKFNIYTALEVETQETSIRINKTIKFMDYQMAPKTGNIVKFIPRFEEEYHISEDKSLPFCRELYGKMMNAITVTVASVAKRNVVEARTQLLQVLSYHSSCEKAYGEQIPIFAQDAEKTRDLASNSEGLAAAIINRVKPHP >RHN73155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13891963:13895194:-1 gene:gene8941 transcript:rna8941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MATAKKISVLILCFALVINYVDAGPRKRPVFGPDIYRGINVAKDTLAPGEKIINVMSFGAKPDGKSDCTQAFMDGWQAACKSKVQARLLIPSGRFLVSSMFFSGPCLTPGPITIQVVGTVVATTDISDYVDGEWLMFQKISGLKLIGGGTFDGQGQSSWKYAENCETNPTNQCVRAPSSIYFNQVTDGIIQNIKSKDPKGFHVFVTNSANIRLRLIKLNAPATSPNTDGLHISHSINVKISRSSVETGDDCVSMIQGVSNVTIKRIRCGPGHGISIGSLGKYPDEIEVSGIRVQTCKLVGTTNGLRIKSWPDKYPGAATDINYSDITMENVKNPIIIDQEYECSPNCKKKVCVFIFLFIFNSLLQYVLKHLIRSTTSIEFASCH >RHN70673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53130925:53136693:1 gene:gene19282 transcript:rna19282 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGPYQSEEDTLCIVAESLDVEDLSKEAVAERIHRASVGGLHALLSMAKYDASIGYLRCA >RHN78960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20285571:20290655:-1 gene:gene2629 transcript:rna2629 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVSSILVLPISRCHQLKQRLPLLASATPPSTHKARFVARRKESVSVQQLQRPLIEYMRLPASQYSVLDAERIERVSEDTFRCYVYTIKFFTFEVCPVLLVKVEQQPNGCCIKLLSCKLQGSPMVAAQNDKFDAIMVNRISCESDSDKSLVQQLTSDTIIEVVSIEIPFAFKAIPKQAIESAGTQVLEQILKIMLPRFMSQLVKDYQAWASGNASRQPLGTGEI >RHN58071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43505971:43508518:1 gene:gene33678 transcript:rna33678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MKTHNLFTDSISIGSFHAVANGIVVVASAGNSGPYFGTVSNVAPWLFTVAASTIDRDFASYVTLGDNKHFKGTSLSSKDLPTHKFYPLISGEQGKHFYALSRDAKFCRYGTLDVEKVRGKIVVCLEDVYFGTIPGPEASSAGAVGMILASDDESYYDFIAYPHALPTSQVNYIDSQYIYSYIKNEKNPVAYITKAITEIPIIPAPVIASFSSRGPSTIIPSILKPDITAPGVNIIAAYTEINRRISYKSLSGTSMACPHVSGIAGLLKTLHPKWSPAAIKSAIMTTGTTSINHPTYFNFFKIITRQLSCFCRASPGAMVRLFPCDLKAAASKMDNSKRPIKDRFGENATPFAYGSGHVQPNLAIDPGLIYDLNIVDYLSLLCVYNKNYKQIEAIYKKPFICPESYNVVDLNYPTITILNLGDKIIKVSRTVTNVGPPSTYYVQAKAPDGVSVSIEPSYLSFKEVGEKKSFKVIVMKAMENGDATMDYVFGELLWSNGKHRVMSTIAVKLK >RHN60285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24657431:24658966:-1 gene:gene22570 transcript:rna22570 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQKHIESLGAKQTGKNKRKISFQQTIDVGNNIITNGRHMYPHSDYYELGGDEFKRFIQHKTGNKSNVLRPSVPVQVSAPRPPSQALYNALPAHLVQPITGNLLVDNSHSNLVKFPISSSMRNSQDILPSNIGNSGGNQFQPYPHQSQVFNNINVQYQPIIKSHEHYYPINGSNQIVNCFHSSQTNANNQLLNGFPSIQTNMANPSTSFNGTNQNFNVNNNNQLVNGFPYTRKSQEEVTRLQNMRPPPLSIVRPPIPVMVSTAIPHSQAPYNTILGNHVQSINCPPTVYNIQNNLVEFPMSAFMRNSQDPTMKFDYSRGNQFQVCPPQPQVFNNINAQYQPIIQSQEYYYPSNGSNQVVNGFPSTQTNVSNSSVTLNATNPTLPMNGSNQPVIGFSSSQTNVSNSSVTLNATNPTLPMNDSNQPVIGFSSSQTNDPPSPNFEFMLPSPKNNMNFLSPQSPYRPLLSPSLFSSPSSPEYPLLSHLVPDPPSPPSSSLFHSTTSSERLDYQ >RHN71815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2776971:2783405:-1 gene:gene7452 transcript:rna7452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MRNKELVLDDGEVEYEAGPESAWGNFEDDDVMQQQSSIQDDEAKKFPFVGDKEPLSSLAAEYQSGSPILLEKIKVLDGQYAAIRRTRGDGNCFFRGFMFSYLEHILEAQDSAEIDRIKANVERSRKALQTLGYAELTFEDFFTLFLEQLEDVIQGKETSISHEELVLRSRDQSVSDYVVMFFRFVTSAEIQKRSEFFEPFIMGLTNTTVEQFCKSAVEPMGEESDHVHITALSDALGIPIRVVYLDRSSCDTGGVSVNHHDFTPVAGDLPSASGSSEKKNPFITLLYRPGHYDILYPK >RHN47493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40130821:40140125:-1 gene:gene42104 transcript:rna42104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MEVASCPHAQKQNLQKTGRKVCSGSPKDLWLVVREGSLNDVESALSSLKKSGGNINVRNTYGLTPLHVAAWRNHIPIVRRLLAAGADPDARDGESGWSSLHRALHFGHLAIASILLQHGASITLEDSKSRIPVDLISGNVFQVFGNEHSSVATELFSWGSGANYQLGTGNAHIQKLPCKVDSLNGSIIKLISAAKFHSVALTDRGEVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVISGLGSRRVMAIAAAKHHTVVATQGGEVFTWGSNREGQLGYTSVDTQPTPRRVSTLRSRIVAVAAANKHTAVISDLGEVFTWGCNREGQLGYGTSNSASNYTPHVVESLKGKILTRVSAAKYHTIVLGSDGEVFTWGHRLVTPKRVVITRNLKKSGSIPLKFHRKERLHVVSIAAGMAHSMALTEDGALFYWISSDPDLRCQQLYAMCGRNMVNISAGKYWTAAVTATGDVYMWDGKKGKDKPFVATRMHGVKKATSVSVGETHLLIVASLYHPVYPINTIDNSQKLKSNNGSSMDELSEDILFEDIDSHNSLDTVQNDNLSQRSTPSLKSLCEKVAAESLLEPRNAIQLLEIADSLGADDLKKYCEDIVMRNLDYIFSVSTHAVSSASLDILANLERLLDQRSSEPWSYRRLPTPTATLPVIIDSEEDDYEIECQRTSDKPMKMSALKLEKVQRSDSFLQPKDDPDSEMSKVVRAIRKKLQQIEMLETKQSKGHLLDDQQIAKLQSKSALESSLAELGIPVETPRNKESSSILPEGKGSKKGKSSKKQRRKSTNKSNTEQTEIESVYSKSEVVPESEDLLDIDIKTAPNSKVEEDICKHSTEDQGEKDLGFVVQKKDTSELVKGTGQSPKVSKKKNKKGGLSMFLSGALDEVPKEVAPPPPPTPKNEGPAWGGAKFLKGPSTLREIQDQQSKIVKGNKLAEVKVKVEDLSDFGSGGKIKLSSFLLSSPIPVAPTRNSQASDGDKNTPPWAASVTPPQSSSRLSLRDIQMQQVKKQGLSSSPKTKTSGFTIATGQGSPSEATGVNRWFKPEVESPSSIRSIQIEEKAMKDLKRFYSSVKIVKRQPC >RHN65008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63600788:63605785:-1 gene:gene27898 transcript:rna27898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-dependent channel, 7TM region phosphate MAKLADISLAAGINILSAFIFFVAFAILRLQPLNDRVYFPKWYLKGLRTDPVHGGAFMRKIVNLDWRSYIRFLNWMPAALRMPEPELIDHAGLDSAVYLRIYLLGLKIFVPIAFLAWAVLVPVNWTSSGLENAGIKNITSSDIDKISISNVQRGSERFWSHIVVAYAFTFWTCYTLMKEYGKVTAMRLQFLATEKRRPDQFTVLVRNIPPDTDESVGELVEHFFLVNHPDNYLTHQVVYNANKLEKFVKKKSKLQNWLVYYQNKLERTSKRPEMKTGFLGLHGKKVDAIDYYTTEIDKLSKEIALERDKVTNDPKSTMPAAFVSFKSRWGAAVCAQTQQTRNPTIWLTEWAPEPRDVYWQNLAIPYVSLTVRRLIIAVAFFFLTFFFMIPIAIVQGLASLDGIQKAAPWLNPLVRVPVVMSFIQGFLPGIVLKLFLIFLPTILMMMSKFEGFGSISSLERRSASRYYLFCFVNIFLGNLLAGSAFQQLDTFIHQPANEYPITIGTAIPLKASFFILYML >RHN58634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3472794:3475652:-1 gene:gene20561 transcript:rna20561 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSKMENEEAITSCKDRHYFMKQAVAARNNFAAAHSSYAASLKNTGAALIDFAQGEQQQNLLFSPSYDVPLPPPPLPDLPAALRRAITMPEFESDMVVEEEEDGDGDEEVVGRVKERVNMDMIQVLSEVDNHFIMASEAARGVSVILQATRLHFHSNFSDTARGHLDYSARVMRVVTWDRSFRETPNTDEVKDDFDSEERETLATVLDKLLAWEKKLYSEVKAAELTKSEYQKKVATIDKLEKRGSNIETLEKEKAALSHLDSVYIVDMQSLDSTISEINDLRDQQLYPKLVQLVDGMAKMWRTMHSHHKKQLSIMKLLKSLDSQFPTETSEHHHDRTYQLLNVMQELESQFEKLIKNQKGFIKALYSWLKLNLTLIESDTTKKISSPPLQILLHAWNDHLEKLPDEHARIALSHFAAVVDSLYQHQEQELVLKRKCEEIRKEYTRKSRRFDQWECKYKKKKGLDEFDQNRGEDDINDPPEVAMEKRVLVELEKRLDEEKDAFEKQCLHVRQKSLSCLKNNLLELLKAMTDFSFECSKMYSELRFVAHRLGSAES >RHN65916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6456119:6462729:-1 gene:gene13812 transcript:rna13812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEVVVRRAFLSPVIQLICERLASTDLSDYFHEKHVKKLEITLVSINKVLDDAETKQYENLDVKNWVDDIRNKIYEVEQLLDVIATDAAQQKGKIQRFLSGSINRFESRIKVLIKRLKVLAKQNDRLQLHQDYCYHEDGASNFGTSSFMNESIIYGREHEKEEIIDFLLSYSHGDNRVPIISIVGLNGIGKTTLAQLVYNDHMTRDQFEVIGWIHVSKSFNYRHLMKSILKSISLSTLYDEDKEILKHQLQQRLAGKKYLLVLDDVWIKHWNMLEQLLLIFNPDSFRGRMIVTTHDKEVASVMRSTQILHLRQLEESDSWSLFVRHAFEGRNMFEYPNLESIGMKIVEKCGGSPFALKTLGILLQRRFSENEWVKILETDLWSLPKSDRSIYSFLRQSYLNLPSNLKHCFAYCSIFPKGYKFEKDGLIKLWMAQGLLKCCGKDKNEEELGNEFFDHLVSMSFFQQSAIMPLWAGKYYFIMHDLASDLAKSLTGESHLRIEGDNVQDIPQRTRHIWCCLDLEDGDRKLKQIRDIKGLQSLMVEAQGYGDQRFQISTDVQLNLFFRLKYLRRLSFNGCNLLELADEIRNLKLLRYLDLSYTDITSLPNSICMLYNLHTLLLEECFKLTELPSNFGKLINLRHLNLKGTHIKKMPKEIRVLINLEMLTDFVVGEQHGYDIKLLEELNHLKGRLQISGLKNVTDPADAMAANLKDKKHLQELIMSYDEWREMEGSETEARLLVLEALQPNRNLMRLTINDYRGSSFPNWLGDHHLPNLVSLELFGCKHCSQLPPLGQFHSLKKLSISGCHGIENIGSEFFGYNYAAFRSLETLRVEYMSEWKEWLCLEGFPLLQELCLKQCPKLKSALPHHLPCLQKLEIIDCEELEASIPKAANISDIELKRCDGISINELPSCLIRAILCGTHVIESTLEKVLINSAFLKELEVEDFFGRNMEWFSLYMCSCYSLRTLTITGWHSSSLPFALHVFNNLNSLVLYDCPLLESFFGRQLPCNLGSLRIERCPNLMASIEEWGLFKLKSLKQLSLSDDFEIFAFLPKETMLPSSITSLELTNCSNLRKINYNGLFHLTSLESLYIDDCPCLESLPDEGLPRSLSTLSIRDCPLLKKLYQKEQGERRHTISHIPDVTIS >RHN57498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39560380:39560854:-1 gene:gene33047 transcript:rna33047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain, type 1 protein MDIYTPIYEQMMIDIRMNLKPRKIELKTRDDTPDISNLQKYELYVESFEIKDVKTLRGDHLSRAIGRLSRKLGKTKFAIENASKTRIVIADAKIHILGSFLNIKIARAFLCDLIKGSPAGKVCSKLRAVTSKLADRF >RHN64496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59546408:59548253:-1 gene:gene27316 transcript:rna27316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MALSSGPLVTSLLLFLVAFSLHNAHASINSSWKNAAHNNIGPKKLISTPKQNRHMLLAGSWKKAHATFYEGGSGTFGGACGYEDVVKDGYGLDTAAVSTVLFNKGLACGACYEIRCVDSPQGCKPGQASIKVTATDLCPPNFAQSSENGGWCNPPREHFDLAKPAYLKIAEYKAGIIPVQYRRVPCKRQGGIHFTITGNPYFNLIKVANVGGAGDVVKVQVKGEDKLTWTDLKRNWGEKWETGAMLTGETLTFKVTTSDGRCITSERITPKGWQFGQTFVGKNA >RHN61774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38264133:38265973:-1 gene:gene24269 transcript:rna24269 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGLIWATAEDLHRNRGRVLSLYRHILRSLNSPSLPLTFAARLAKKAEINTSFRVERTTKGYIVRNLVWSDFLSRKKISFEPM >RHN65988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7300620:7300958:-1 gene:gene13897 transcript:rna13897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MDGEGILYWVNGDSFDGCLSNGLRDGFGVYKFRNGDVYGGNWKEEKMDGRGIMSWVNVDVFGQMDLYMDMEFLHLQMEMSTLVTLRVNFSMTTESAHGQMEQCTKAIVLMKK >RHN49753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:879127:883265:1 gene:gene33961 transcript:rna33961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MKTMNINTITLIITTAFLVLFSCLERCSATRGHSWRKLKATSSTFNVLDFGAKGDGHSDDTKAFEDAWAAACKMEASTMVVPSGSVFLVKPISFSGPNCEPNIVFQLDGKIIAPTSPSAWGSGTLQWLEFTKLNKITIKGKGVIDGQGSVWWNDSEKLPKTKPTALRFYGSDGVSVSGITIQNSQQTHLKFDSCTNVQVFDITTSSPGDSPNTDGIHLQNSQDVVISGSTLSCGDDCVSIQTGCSNILVHNVNCGPGHGISIGSLGKENTKACVSNVTVRDVTLQNTLTGVRIKTWQGGSGSVQNIMFSNIQVSDVKTPIMIDQFYCDGGKCRNVTSAVAVSSIHYTNVHGTYTKEPIYFACSDSLPCKGITLDTIKLESSQNSNVPFCWKAYGELKTEIVPPVDCLQRGNPSSGGITSNKESSC >RHN63768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53917114:53917551:-1 gene:gene26512 transcript:rna26512 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSKIFGSEEECESSESGWTMYIGSHIEDDNDGDIDNIMDDEGTTHQADPEDDESDDSMVSDASSGPIHQHGKDYGFKQVVVEVEVEENQYDDENKYCLEKKANKTLEKQRNGKKVENKEKKFEDGNGKGKPSVQGGGKVRKRK >RHN40827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21815477:21816669:-1 gene:gene47046 transcript:rna47046 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFSCFISSLPLRTKQKTLSASDCSLHPSGNSLVPFLSFHLSMVVVRECDEKGIELVPSNPLDRQKLRLQCLKHATRKNGLIDWEVYDSTYFSHMNFSDISSESDCSESDDSDCVLLYSTSGNSLGKEIVANSPSKMVRGKGIRLACHESDTECFSDDVQRYDSAYNDQAKVNFFRSKLSVSSTKREEDIVLAPCPAGEKVCTMRPKGVKEIFHMYDAVLEEFWVKIPFTLFEMDVLRLLNVAPTQIHPNSWAFIRGTKGVDKGSWVPISAHPGKQLFPAFASNFKRDWKKSFLRVQSSKDSLVNVASVEGEVRFPIGWTATPLAVSGYDYQKMTPYEQEVVGFLDRMLHTDIRKLLNKEGDSEDLELYLREFR >RHN46948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35955144:35956500:1 gene:gene41488 transcript:rna41488 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEIQASIEYFDKVWREKMLEDIKRRSSPSSPLKELQRNRREKMMAIIDRGMADISKILEENLFQIQNHYIERKINIEEKKSLIVKETHEEECEKEREETKESDEKEVEEKGEEKENEKDSKENNVGKFWPTITLVPSSKLVCETKRMKKLFLNRWKKIM >RHN66992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22562492:22563253:1 gene:gene15121 transcript:rna15121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MELKPLPFIIGRSDDNAERKKKTTAELLKLTKEEVERLKKKANECDIPKGSRCYSRFEAISAHIWKSASKARKLEENQQSVVRFNVEIRNRIIPNLPRNYYGNALIQTSTRGFIGEITSKPLSYVAQKIREANELITNEYIRSQIDVIRGFENLDDARKLFLVGGRDVTFSGNPNLHITSWMTLPSYKADFGWGKPMHFGIGYVSPNDRGLILLSPDEDGSAIVCMHFQVELMQLFKKFFYEDIYELFTSARL >RHN43202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43980586:43981973:1 gene:gene49742 transcript:rna49742 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRPIYLVQRHNEEKKPNFMSSSSLRVTATAAAFQTQFLSLPNSGCLLYIII >RHN76889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2125642:2128918:1 gene:gene275 transcript:rna275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 3-beta-dioxygenase MATTLSEAYRDHPLHLHHIIPLDFSSFRTLPDSHAWPQSNDDGSDNFTSNGCYDNDDEDGSCIPIIDLNDPNAMEQIGLACEKWGAFQLKNHGIPLNFIEEVEEEAKRLFSLPSKEKLKALRSAGGGTGYGRARISPFFPKFMWHEGFTIMGSPSNDAKKIWPNDYKRFCDTMENYQKQMKTLAEKLTNMILNILGISQEQNKWIGSNNHVGAMQLNFYPCCPDPKKAMGLAPHTDTSLFTILHQSQTNGLQLFKEGVGYVPVDPHPNTLVVNTGDILHILSNSRFRCSLHRVVVNDISDRYSVAYFYGPPVDYLVSPCVGDNSLPRFRALTVKDYIGIKAKNLGGALSLISTLLDHDD >RHN64736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61395487:61396311:1 gene:gene27586 transcript:rna27586 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFEIESNKILSRRSSVGCSSRISYYRSGEGVPFKWEMQPGIAKESSPKEVLPPLTPPPKNLSLGLPKPSILELKKPASTMSKLKFWKKRVVKIKSKKPQEVCFHEDFDILSRLDCSSDSESMVSPRGSSFSSSSSSMSLMKSTRSSLHSVCSSCSEGNTKQVSRKPSTLGCFPMHISRVLVSITRRG >RHN51451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17956900:17958135:1 gene:gene35886 transcript:rna35886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MMKQTLATFIRILKSLFFTFIITLKSLFVSSEPPPSLPMDLVEEILCRLPVKLLFQLRCQSKSFNTLISSLEFARKHLSMSNMHHHHLIITYSHESYSKSRVFSYPLHSIFYPRYSIFNSIVKPTELEYPFDKEKIVYGGSCHGILCLARKQDSRAKVKDVILWNPAIKKFQLSPSFKYPPIRDNYEYNPIFGFGYDHIFNLYKVVVIFDSVDGISKAVMVHTLGTSSWRLINVEFPLPNAHYRSLQFASGALHWIPYRKDYTHSVDSFDLVTESYKRLLQPNYGVEDVYKVILGVSRNCLCIFACKKTFFDAWLMKEYGNEGSWTKLFRVPYMEVDPFTNAKTTYPLWISEEDQVLMEYTYGGCLAVCDFKNGTFKFPKIQNIDGPNAIFNLGKDDNAEVYVESLISPCF >RHN54821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12620244:12625985:-1 gene:gene29912 transcript:rna29912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAKKKQNEPQQTPQNDTVSAPSSIFNTLFANAPEQTTAAADTVSLFSDDNPYKRKPNQTLSPENGVVDEKKRKKNKEKSPVIDSNPIIEVSEKKEKKKKKKSGSGEEEEKEKEVNLDGEGVGDKKKKKRKRDEVEKEWEEKKYGIVEGGDKDESVEKVGNKRKVRDNPADMMVTKEGFDDEDKLLRTIFVGNLPVKVKKKTLLKEFKVFGEVESVRIRSVPLQDTKKPRKGAILAKKISETAESVNAYIVFKTEESAQASLSHNMTVVDGNHIRVDRACPPRKKLKGDSAPLYDNKRTVFVGNLPFDVKDEELYQLFCGIPSLESSIEAIRVVRDPHLNVGKGIAYALFKTRDAALSVVRKRSLMLRDRELRVSIAKADPSATPSKRPYSPAARPSHGKPDATPSKKPYSQATQGRSTPVKKFSGASKSPSSSKNGSNRKTTASYQGLRATKSDVPKKSHSGEKPKERTKKRPTVAARKAKANMQKEGDTPKQAGSKRKFDSRTPDSSRRVMKVQKKR >RHN41432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29955368:29958872:1 gene:gene47750 transcript:rna47750 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFHIRTNVTLNFSKKKKRTLDNLPQLVLFIYLLFHRRVSPNVDSQILVSHPIRNRKADRSEMNLNEVKRHKRSESHDAAIVQCSSSSSNRNRFSELSQDVMLAILCLVPLNCLLNSARYVSKHWSTAIPSCLQLKPPGLYVENIKSGRDSYFLDHVNGQKFRFGMPSKMGTLLNTCHGILLLREGNRLTFAVNPILKSCFKIPIYPTHSKRTIHFRSTIARVPNTAQFKLFLIDVLNVEGVDWYVFYVLRIGVDHTWKEIATRKQVILKYNFLWKPVYNGENHIYWITNDGVIVMDVDREILIGEYPLPSPPVNSSLWGVVLWMGDRLSWILTVEGISSTITLTYQIYTLDFDLGKWNLYHEMGPFDYEAACGHKLDFDNIATLCAIFRFWFNDQIFFTTLIYSIKNRNGFSGFKRVNFCYNVKTRELTKIDGIAVGNYDVWLHTNTLVSLPSAPT >RHN63771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53927384:53932786:-1 gene:gene26515 transcript:rna26515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase NAK family MGCSFSGLNALYDSVNGGGDVWINENRFRILRQLGEGGFAYVYLVKEAPNDSATGGLANKLKDSSHLADDGSYAMKKVLIQNNEQLELVREEIRVSSLFSHPNLLPLLDHAIISVKPTQETSWSHEAYLLFPVHLDGTLLDNAKTMKAKKEHYSTSDVLQIFRQLCAGLKHMHNLDPPYAHNDVKPGNVLITHRKGQPPLAILMDFGSARPARKKISSRSEALQLQEWASEHVSAPFRAPELWDCPSHADIDERTDVWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIVNAQVKWPAGLKPSYPEALHQFVSWMLQPQAAMRPRIDDIIIHVDKLIAKFSQ >RHN71090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56430517:56431758:-1 gene:gene19733 transcript:rna19733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MGKVLQRDLYGDRDVRQIEHYLDASIITNGLQRAFSTGAWTHPFKRMERISGVVATLGRINPLQTMAELRRTRQQVQYTGKVGDARYPHPSHWGKRFVSSLPQMVKIAD >RHN48368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47021246:47021959:1 gene:gene43079 transcript:rna43079 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRAGEFGNSLSPFRNSMFSQFSRKNQSNSSLNLPRSNRRLLVIPSQTRRFLSEFLKDIVNETVHDSHSLA >RHN77960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10853470:10854197:1 gene:gene1471 transcript:rna1471 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIVFYKFQSIIFIFLNQLDLACGWRSWTIHFIVKLIITINLLFKGMYFHLMSNTYIVLYISKQY >RHN61691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37559107:37563640:1 gene:gene24180 transcript:rna24180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MEESTRWMIHTSLFLFTFIFLLKITLKKLSKHKNLPPSPPSLPFIGHLHLIKEPLHRSLHKLAQIYGHIFFLRVGTRNMLVVSSPSAVEECLSKNDITFANRTSHTLAGKYLNYNNTALGFSPYGELHRKLRRLTTTELFSTNRLAMFTKVREEEVQLLVKQIFEGCKGELLSKVDLKRKCLELSFNIMLRVISGKRYYGEDAVALEAKEFQILMNEYVELLGNGNLNDCFPILKWIDFQGKKEKMINLMKKMDAFLQKLLDEKRRNWCNDQKNMTLIDVMLDLQQKEPEFYTHEIVKGVVLVILVAGSEPSATTMEWALSLLLNHPETMNKVRAEIDTCVGQDKLVNESDASKLKYLQMVLMETLRLYPPAPLMLPHESSNDCNVCGFDIPKGTMLLVNLWALHRDPNLWKDPTRFVPERFEEGELGGGEIYNMIPFGVGRRSCPGAALAKRFIGHAIGSLIQCFEWERIGDEEIDMNEGIGLTMPKVEPLVALCKPRQVMVEVISNI >RHN53641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3014077:3014544:1 gene:gene28568 transcript:rna28568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MNSRHSHDTLTKSDGDIYVGNFDNDLFHGNGKYMWSNGTIYKGGWFDGRRTGKGRIIYSSGSIFEGEFSKNSRHGRGTLTKSNGDIYVGNFENDIFHGKGKYTCLNRTIYEGKLSDPKYITLSFLVYGKLILHILMATRIIIFFSMVPYFIILKS >RHN53770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4001405:4001950:-1 gene:gene28714 transcript:rna28714 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MQQVSVSSSSIEDNKTKNNGDNGKHPLYRGVRMRSWGKWVSEIREPKKKSRIWLGTYPTPEMAARAHDVAAQAIKGSSAYLNFPELAQQLPRPTTNSPKDIQAAAAKAATMGSLHEAQDQDHDIQETLNDEDDTFYDLPDLLLDSNKSSSEFHYSSMSWLVAGAEYPNPSFGLDEPFPWEP >RHN78565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16309315:16310611:-1 gene:gene2183 transcript:rna2183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MWYGQLQHNTFRSLKYLVVHKCDFLSDVLFHPNLLEVLMNLEELDVEECNSLEAVFDLKGEFVKEIVVQNYSQLKKLKLSNLPKLKHVWKEDPHYTMRFQNLSYVSVVECESLISLFPLSVGRDMMQLQSLRVSKCEIQEIVAEEEGIDEIVQFMFPHLTSITLDCLTQLKAFFVGVHSLQCKSLKTIILSGCPKIELFKAETLRHQESFRNDEQNISTYQPLFVIEEVREVLTSVESTPRFSPRLKELKLWQLHKLKYICKEGFQMDPFLHFIESIDVYQCSSLIKLVPSSVTFSYMTYLEVTSCNGLKNLITHSTAKSLVKLITMKITMCNWLEDIVNGKEDETNEISFCSLQ >RHN63490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51732600:51735999:1 gene:gene26192 transcript:rna26192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, CRAL/TRIO domain-containing protein MALVRVYPTATTLPRLASSLSLGTKFVVRSSSNLQLQMQPNDSRKLILEVKDKLEKEYYSLPVGRNGRDDEDMILWFLKDRKFDVEEAVSKLTKAIKWRQDFEVSKLTEESVKDVAQTGKAYIHDFLDINDRPVLVVVAAKHFPKAQDPADDEKLCVFLIEKALSKLPTGKEQILGIFDLRGFGTENADLKYLTFLFNVFYYYYPKRLSQVLFVDAPFVFKPIWQLTKPMLKSYSSLVRFCSAETVRKEYFTSETLPPNFRD >RHN77522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7414540:7420253:1 gene:gene986 transcript:rna986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase METNSVSKLLLLLFFTIFFLGSEVIHCTVTYDRKAIIINGQRRILISGSIHYPRSTPEMWEDLIQKAKDGGLDVIDTYVFWNVHEPSPGNYNFEGRYDLVQFIKTVQKKGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAAMQGFTQKIVQMMKNEKLFQSQGGPIILSQIENEYGPQGRALGASGHAYSNWAAKMAVGLGTGVPWVMCKEDDAPDPVINACNGFYCDDFSPNKPYKPKLWTESWSGWFSEFGGSNPQRPVEDLAFAVARFIQKGGSFFNYYMYHGGTNFGRSAGGPFITTSYDYDAPIDEYGLLREPKYGHLKDLHKAIKQCEHALVSSDPTVTSLGAYEQAHVFSSGTTCAAFLANYHSNSAARVTFNNRHYDLPPWSISILPDCRTDVFNTARMRFQPSQIQMLPSNSKLLSWETYDEDVSSLAESSRITASRLLEQIDATRDTSDYLWYITSVDISSSESFLRGRNKPSISVHSSGDAVHVFINGKFSGSAFGTREDRSFTFNGPIDLRAGTNKIALLSVAVGLPNGGIHFESWKSGITGPVLLHDLDHGQKDLTGQKWSYQVGLKGEAMNLVSPNGVSSVDWVSESLASQNQPQLKWHKAHFNAPNGVEPLALDMSSMGKGQVWINGQSIGRYWMVYAKGNCNSCNYAGTYRQAKCQVGCGQPTQRWYHVPRSWLKPKNNLMVVFEELGGNPWKISLVKRIIHTPRSSKPNLMTNTTQG >RHN56245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29204767:29207479:-1 gene:gene31614 transcript:rna31614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MMNATRAEAERLLGISEKLLQNRDLMGSKEFAILAQETEPLLEGSDQILAIIDVLIASEKRVNNNPDWYSILQIDRRSDDLDLIKKQYRRLALLLHPDKSRFHFADHAFKLVADAWAVLSDPVKKSHYDKDLSFFARVDLSVPGWVQQDKLPVRRTGPGPVNGPGPRNSAASAREEVAADVRRNATFWTTCPYCYRLYEFPKAYEGFCLRCPNSSCDKSFHGVNVPSLPPLVPGQEAYYCCWGFFPMGFVVGNDGAEEKRAEPELAPEIVVEPEEPAPVPISVQGVSGSSLPNWMPAPVPVENGGNNVVSVTATVTTPVRVTTRSGAVTGGVSNGVASGPKKRGRPRKYY >RHN79030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21363143:21363955:-1 gene:gene2714 transcript:rna2714 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPDVLLLSSGSEAQSASQNPVIAIGSVELAGVSINPWCKVPCRYLSILLTAFQ >RHN56949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35175593:35179459:1 gene:gene32421 transcript:rna32421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative double-stranded RNA-binding domain-containing protein MASSSSAPQNRASVSPELPPQLPPVPMFKNNLIQFALKSNMKHPEFFSRNEGSIQAPAYRSSVMVDGLVFTSQLTFFHRKAAEQEVARFALEYLTKKVKDEAYSIMSEAVTFCKTVLNEYASKLSIQLPTYKSVEYKEVIPYFVCTLDLNGTSYTGDAARRKKDAVELAARAAILSILGNSNSGVLLAQIIKTKAKLFDSTKPKALQPTCDSVLVLPEESSERSSLLLQLFGAKDKDVADPVGNDNTLQHTRDSVIVSPMGNSAHSGGHIQVLDDKDKETDVANPVGDSTLQPTRDSVIVSPMGYSAHSGGHLQLLDDKEKDKGVADPVGNDNTLQATRDSVIVSPMENSAHSGGHLQLFGAKGKVVTDPMGNDNINEIEMACPESGPIISTSQQPEMQTHEPTPEAAKSSNEPEQPSVALPIDTGVSVKRRRRLKYKANKKARMEAELKALNGSSCVAQ >RHN58262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:396083:397406:-1 gene:gene20154 transcript:rna20154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNIRVLKLEVLSLIDTRVYYEALHVISKSCCRLLQLLLQNCEGITKMGVKHVVKNCTQPERDQFKRL >RHN51340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16452075:16453323:-1 gene:gene35764 transcript:rna35764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MANDLKFIYVIISFLSMFLVTKEVDGAFAGWIKCKVDEDCPNVFTYSYYKCVNELCEIFLREIPKKPYM >RHN60928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31637504:31642151:-1 gene:gene23325 transcript:rna23325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronate decarboxylase MAGNSSNGDQSTAKQPPLPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTETLMIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRVARIFNTYGPRMNIDDGRVVSNFIAQALRGESLTVQAPGTQTRSFCYVSDLVDGLIRLMGGSDTGPINLGNPGEFTMTELAETVKELINPNVEIKIVENTPDDPRQRKPDITKAKELLGWEPKVKLSEGLPLMEGDFRLRLGVDKKE >RHN75882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45854295:45856637:-1 gene:gene12140 transcript:rna12140 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGVRLFVLILLSFSLRCESWGWFSSSKESHSSDNGNQARFRGSNAEFSIEAFNDPKGVKLIENAKNKMVGSNTCWQNAYQHLFAGCSEILAADEKRSRLAWHLSDCFQKDSGRASFPLCDSKTSISSCLRNLDDLAHKVYLEFYLETNSICYQLQTHAFKHETARLVTELKSSAQYVEDKLDSIEEKSEHLLKDSKQISDSLESVNSHTQLVAQTVKNVDSHIDVVLKHSESVYEQTTKIATSQSQLQEGQENMKRNLEDGVALLKESYNYLGKEIEKLRDEAIEIENKVIKVGDAMSSKMNILQSKAEDIENVAGISLDKQHQLVEGQSTALKFLNSLNEVQFKALEESRKNLQYFAEYGQKQHEELLRRQEQMQEVHDQLMESSKKILSAQVCNFLLAVETIETNQATMFVHSERIFGMLNMIQLESRIIKASAFYLISIFVIFLLTCTYLTYSSRPLLYMYLSAALAAEIFISRLMSNDNLELQTWIINNVRLFFMVAASVQFLYAICTYKDFERLNHQMLLTLSNQFNNIQKAKQPSWDFATADDVDWSQWIDTDLPDDVNCLDDPDFSIPEEFAENSITTSTTTKSYNLRPRNR >RHN64985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63462682:63465173:1 gene:gene27873 transcript:rna27873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RanBP2-type, endonuclease/exonuclease/phosphatase MVSFFTLSLSSRSTLIVNPRILNSNFKRYHHSQNSNFLQIQSSPMSWSCKKCTFVNPPSQISECEICFSSPPHPSSSSATSSSSSSPKWSCKSCTLFNSYKNPICHLCGTRNTVLSISSFNDINDIDDDSSVGSVFWPLRSCKRKAVDSLEDSVQPLVAKESKKAIDFVDFSEDFDQPLKAKDSKRAVDIFDSYEHFAKPLERVDSGKGVSSLKILSYNVWFREDLELEKRMKAIGDLVLMHSPDFICFQEVTRDIYDIFKLSTWWNVYHCSVSSEKAYSKAYYCMLLSKLPVKSFSAKSFSNSIMGRELCIAEVEDVGGKSFVVATSHLESPCPAPPKWDQMFSKERVEQANEALNILKRHPNVVFGGDMNWDDKKDGQYPLQDGWLDAWSVLRPNEAGWTYDTKSNQMLTGNRTLQKRLDRFVCRLRDFKISNIDMIGMDEIPGVSYNKEKKVRGEIKQLVCPVLPSDHYGLLLTLSSK >RHN80513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38731146:38742623:1 gene:gene4449 transcript:rna4449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MTIAESDFPIDNGMSCVPISPEEEKRIVKDLIKQSELNLKDGNLYFIISNRWFSSWQRYVGHSDGILSTDQQSSDGLHADTVHLKITDRPGPIDNSDIISNGSNCGGSDLDIHRTLVEDKDYVLVPQQVWERLLGWYKGGPALPRKLISQGVGPKQYSIEVYPLCLKVTDARDNSVSIVKLSKKATVSELYELVCKVKGVEQHKARISDYFNLNKPSLLTASDQTLEDANMTMDQDILLEVSVDTDRSSHSGVHSMGNELALVPLEPPRSSVSIAGGPALSNGHSSGSGFNFYQGSSVSSSLTTMDDKYDVYSGERGGLAGLQNLGNTCFMNSALQCLVHTPPLAEFFLEDYSDEINMENPLGMRGELALAFGDLLRKLWSSGRTAVAPRAFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDSDGRPDEEVANECWKNHMARNDSLIVDECQGQYKSTLVCPECGKISITFDPFMYLSLPLPSTVTRTMTITVFYSDGSGLPMPYTVTVLKHGCCRDLCQALSTACCLKSDEILLLAEVYEHKIYRYLDTPLESLTSIKDEEHIVAYRLKSGARKTKLEILHRYLDSGKGGERKIFGTPLVTYLEEDPQCGANIEPYVHRMLAPLRKAHSSTKSHEGKETGFISGGSDEQSSICNSHSEPRNPALCTREQEGTSCGESSFQLVLTNEGCFSCEPIDKASVIKPSAHIKVFVDWTEKEYELYDASYLSDLPEVHKTGFTVKKTRQEAISLFSCLEAFLTEEPLGPDDMWYCPQCKEHRQATKKLDLWKLPEILVFHLKRFSYSRYLKNKLDTFVNFPIHNLDLTKYVKSQDGPSYVYNLYAISNHYGGLGGGHYTAYAKLIDDNKWYHFDDSHVSPVSEGEIKSSAAYVLFYQRVGSDVQMEEATS >RHN71547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:944948:948277:-1 gene:gene7159 transcript:rna7159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plastid lipid-associated protein/fibrillin MALSSTSLISPLNTTNHSHQKLLHSPIPSSYSSSHFLITPLIHHNHNLATISQKWRTNVSFFPAIFKKGKDASTIKEELLDAIASLDRGADATPEDQQSVDQIARQLEAVNPTKQPLKSSLLDGKWELIYTTSQSILQTKRPKLLRSVTNYQAINADTLRAQNMESGPFFNQVTADLTPINAKKVAVKFDTFKIGGLIPVKAPDTARGELEITYLDEELRVSRGDKGNLFILKMVDPSYRVPV >RHN70112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48854462:48856532:-1 gene:gene18661 transcript:rna18661 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNQREKSRTVEQKESTPHSTVKSFKEKGTRTRELHQKYKKLEQDWNAFKESRSTTSRKYRSPLNIATKPIFQNLGLEINNSIISPRELMFSLQRDSSSSEEETWKNHDVAARETLQERREAIERGKLKGRRLFDSTMESESDDNDNNIGQNYEVRSMSFCYLEDEHEHENESLSSSLSPIGDFVDKDMMTNVADIKVGSKSRFANGTRYG >RHN57554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39991696:39995409:-1 gene:gene33120 transcript:rna33120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGNCCSGGTEDPEDKGENNQQNNDANGDSSATTPPPWSKPSPQPSKPSKPSAIGPVLGRPMEDVKATYSMGKELGRGQFGVTHLCTHKTTGKQYACKTIAKRKLANKEDIEDVRREVQIMHHLTGQPNIVELIGAFEDKQSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVQIVHTCHSMGVIHRDLKPENFLLLSKDENSPLKATDFGLSVFYKQGDQFKDIVGSAYYIAPDVLKRKYGPEVDIWSVGVMLYILLCGVPPFWAESENGIFNAILRGHVDFSSDPWPSISPSAKDLVRKMLNSDPKQRITAYEVLNHPWIKEDGEAPDTPLDNAVLNRLKQFRAMNQFKKVALKVIASCLSEEEIMGLKQMFKGMDTDNSGTITIEELKQGLAKQGTRLSETEVKQLMEAADADGNGIIDYDEFITATMHMNRLNREEHVYTAFQFFDKDNSGYITIEELEQALHEYNMHDGRDIKEIISEVDADNDGRINYDEFVAMMGKGNPEANTKKRRDSTLY >RHN76981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2906544:2907924:-1 gene:gene382 transcript:rna382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MERLSKEPCIFSSQETPPSPSTPLQNQHEKKKQQSIEVEEDITKVETLFDLNVSSNDSNLDCNSQQEPELNLITSLDVGNSLSQNNNTLDVEQRVFSCNYCHRKFYSSQALGGHQNAHKRERSIAKRGQRFGTQIIASASAFGFPILHNKQSYANMASLPLYGAFGNKPLGIQAHSMIHKPSHVSSNGFGNSYGHHHHHGWSNSRIFMDQQPGIAKLGIADFHRTSTSSRGSVGRFEVVDTMMNSAANKEMKQKQLDLNLKL >RHN63240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49610599:49614180:1 gene:gene25919 transcript:rna25919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pex19 protein MTDHSDDLDQLLDSALDDFQTLNLNSSLPRTGEQTGNKKESPSLPSGVQGLGLGLPDLKSKKKGKQKVSKDAHVSEALNKLREQTKEAVKGLEFINPPGAGAGVDDLGKDGMMEDWVKQFEELAGSQDMESIVETMMQQLLSKEILAEPMKEIGERYPKWLEEHKANLSKEEYDRYSHQYELIRNLNEVYEKDSGNFNKIVELMQKMQECGQPPNDIVQELAPDFDLASLGQLSPEMLDGSQPNCCIM >RHN56628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32371327:32371944:1 gene:gene32060 transcript:rna32060 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRFLSLKTVKNHGITTDHPPSLHSCSSSLSLVSLIMLLSSLTTNHCFCAFSLSLSSSLSRVIAASFFLVSSVLFSFTIIFYVCCSS >RHN47879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43237298:43239192:-1 gene:gene42528 transcript:rna42528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactinol--sucrose galactosyltransferase MTITHNISVDNGNLVVHGKTILKGVPENVVLTPDSGNGLATGAFIGATASHTKSLHVFPIGILEGLRFMCCFRFKLWWMTQRMGTCGKDIPLETQFMLIESKDSEEEGKNSPIVYTVLLPLLEGPFRSVLQGNEKSEIEICFESVPSGLP >RHN63608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52672710:52677058:-1 gene:gene26332 transcript:rna26332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MITFCDNRSKTRRRLRQHSQNVTVFTQSVSEITADMPEEIIVEILLRLPVRSLLQFRCVCKLWKTLISDPQFAKKHVSISTAYPQLVSVFVSIAKCNLVSYPLKPLLDNPSAHRVEPADFEMIHTTSMTIIGSCNGLLCLSDFYQFTLWNPSIKLKSKPSPTIIAFDSFDSKRFLYRGFGYDQVNDRYKVLAVVQNCYNLDETKTLIYTFGGKDWTTIQKFPCDPSRCDLGRLGVGKFVSGNLNWIVSKKVIVFFDIEKETYGEMSLPQDYGDKNTVLYVSSNRIYVSFDHSNKTHWVVWMMKEYGVVESWTKLMIIPQDKLTSPGPYCLSDALFISEHGVLLMRPQHSKLSVYNLNNDGGLDYCTTISGQFARYLHIYNESLVSPHWLKHSQKKNKRKRKQKLGSESPKLVRHSC >RHN78615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16772890:16775057:1 gene:gene2241 transcript:rna2241 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQVFRLRMNLEKFKDGFLGEKCQIPESTQFLVRQGEQLTRQGDCFFPELAIASKGSRLASPCETEHLVRLNVTFVARVDVS >RHN41033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26230834:26232369:1 gene:gene47306 transcript:rna47306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ZF-HD family MDITSRNIDADSQIQPNITLKSSPFTNGTHKRQVTATTTTNTTTPPPPPPPQQQPPSSSMVVSYKECLKNHAASLGGHALDGCGEFMPPSSLNPNDPRSLKCAACGCHRNFHRREPQEHILTTTTSTTATATASAKNSPPFLNCIYTTPSTTVPPPQPPPPLPLPHRGPISQSTSPSQSTSPSHSPSPISSPSSPPPLSHLPPSYHHSSAPHMLLALGGNAYSTPPQHSDHDQHHHHHQNHLQRNLNFASSVVKLESHNNNNSHNNTSSGKKRYRTKFSKEQKEKMHGFSEKLGWKMQKSDDGLVQKFCNEIGVSRGVFKVWMHNNKNNSLRKKSESDVGIGIATQIATQNDDKNNVGNGNDDASNNVIHHMNEDHGCVNVHVSSVNGLSS >RHN56745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33350072:33356265:-1 gene:gene32186 transcript:rna32186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MGVEVCMNNYCKEGSTGEWKKGWSLISGGFAKLCNKCGLAYENSLFCDKFHRHETGWRKCSNCSKPIHSGCIVSKSSFEYLDFGGITCVTCVKPSQLCLNTENHNRFSQTTKNNASDQYGEHIDGRLLVEQAGKGNLMQLCRIGEVGESSRWPQAQRDAMVSCIGPKTEEVKCQFNKEDTRFLNVMKHSSHLTAFTTLENNRPSWETKSIDETLSLKMALGTSSRNSVLPLATEIGEGKLEGKASSHFQQGQTSQSILAQLSKTGIAMNLETNKGMISHPPRRPPADVKGKNQLLSRYWPRITDQELEKLSGDLKSTVVPLFEKVLSPSDAGRIGRLVLPKACAEAFLPRILQSEGVPLQFQDIMGNEWTFQFRFWPNNNSRMYVLEGVTPCIQSLQLNAGDTVTFSRIDPGEKFLFGFRRSLTSIVTQDASTSSHSNGILIKDTNFSGAPQNLNSLSSFSNLLQSMKGNGEPYLNGHSEHLRLGNGTADWLKTANSEEEMNNGPLQRLVSVSEKKRSRNIGTKTKRLHIHSEDAMELRLTWEEAQEFLCPPPSVEPNFVTIEDQVFEEYDEPPVFGKIKTNASPSGSSGSASEQLGPKEQESLQRTKKDSKKKRKIAEKSKSIQEHKLSGLDALANAAVLGNNLADPDESSSAGVTTRHPRHRPGCTCIVCIQPPSGQGKHDPTCTCLACETLKRRFKSLTMRKKKNQLESEAVADQNNQVNHRDEAGTSVGASRQDTSHSTDEGSLNGGQLEVVEPSAAGQLDLNCHPSHEEMETDTYNRPKHD >RHN77205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5000729:5002904:-1 gene:gene631 transcript:rna631 gene_biotype:protein_coding transcript_biotype:protein_coding MATHLAEPQKRLGEFLNEKQEPFMLELYLLERGYSKSLSLNKKRKRKVLLPFSKALIFIINKLAFQSQSNTLPTTRDYEQRKKHAGCNVEFSSASNSTMSNSCSNVDEEGTSISSHRDHHLFSSHIHQTCKEYTMRWQRQRCMEGRSQSLAKIPLSRAPNENKDVEGMQQRIKMPKKITNDSLLSVDAILNLLGVSIKKENCTNELHEYLPQPHLSQVLKSKRLSHKIKKLLFDCVRDITITLPTKEDRKQVCRQSMGPIELEKLTCQGTREWGQHSEDGLMSLLAIDYLDSIMEWSKFESQVKDISFEITDSILDIINNEIVSEIIGTMAPP >RHN62675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45119482:45124308:1 gene:gene25273 transcript:rna25273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5-oxoprolinase (ATP-hydrolyzing) MGSVTEGKLRFCIDRGGTFTDVYAEIPGHRNGRVLKLLSVDPLNYDDAPVEGIRRILEEFTGEKIPRSSKIPTEKIEWIRMGTTVATNALLERKGERIAVCVTRGFRDLLQIGNQARPSIFDLTVSKPSNLYEEVVEVEERVELVQDKEEEESQSASLPIVKGISGELVKIVKPLNEEALKPVLKNLLEKGISCLAVVLMHSYTYPQHEQQVERLALSLGFKHVSISSALSPMVRAVPRGLTASVDAYLTPVIKDYLSGFISKFEEGLSKLNVLFMQSDGGLAPESTFSGHKAILSGPAGGVVGYSQTLFGLETEKPLIGFDMGGTSTDVSRYAGSYEQVLETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFQVGPESVGAHPGPVCYRKGGELAITDANLVLGYVIPDYFPSIFGPNEDQPLDVKSTREQFEKLAGNINAYRKNQDPSAKDMTVEEIALGFVDVANETMCRPIRQLTEMKGHETKNHALACFGGAGPQHACAIARSLGMKEVLIHKFCGILSAYGMGLANVVEEAQEPYAAVYGTESTLEASQREALLLKQVKQKLQSQGFKEENISTDTYLNLRYEGTDTAIMVKRKIVKDEIPFDYATEFVSLFQQEYGFKLQNRNIVICDVRVRGIGVTNILRPQAIEPASGSPIIEDYYKVYFGNGWQETPLYKLEKLGYGHTMSGPAIVMNGNSTVIVEPNCRAIITKYGNIKIEIDSPLSSIKISDKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFDPNGGLVANAPHVPVHLGAMSSTVRWQLNYWNDNLNEGDVLVTNHPSAGGSHLPDITVVTPVFFNGKLVFFVANRGHHAEIGGITPGSMPPFSKSILEEGAAIKAFKLVEKGVFQEEGIVKLLQFPSSDDRGTKIRGTRRIQDNLSDLQAQVAANQRGICLVLELIEQYGLETVQAYMNYVQMNAEGAVREMLKSVGRRISSESNENFVTIEEEDYMDDGSVIHLKLSIDSNKGEAIFDFGGTSAEVYGNWNAPEAVTAAAVIYCIRCLVDVDIPLNQGCLAPVKIHIPEGSFLSPSDSAAVVGGNVLTSQRITDVVFTAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGPTWEGTSGVQCHMTNTRMTDPEIFEQRYPVILHRFGLRTNSGGDGFHRGGDGLVREIEFRRPVTVSILSERRVHAPRGLKGGNDGARGANYILKKDKRKVYLGGKNSVEVLPGETLQILTPGGGGWGSPV >RHN55332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17410442:17414644:-1 gene:gene30501 transcript:rna30501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal adenine nucleotide carrier 1 MNVDLESLAEATSGAIGSLLSTTILYPLDTCKTKYQAEARSKGNRKYKNLSDVLWEAISNRQILSLYQGLGTKNLQSFFSQFVYFYGYSYFKRLYLEKSGYKSIGTRANLLIAAAAGAVTAITTQPLDTASSRMQTSPFGKAKGLLRTLTEGTWSDAFDGLSISLMLTSNPAIQYTVFDQLKNRVLTNNQTIAEKGVSPASLSAFMAFLLGAVSKSIATCLTYPAIRCKVIIQAEDTDEESSKKLIKSPKTVSSVVIGIWKQEGLLGYFKGLHAQILKTVLSSALLLMIKEKISATTWVLILAIKRYLLLPKGRVKNV >RHN76311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49308899:49315823:-1 gene:gene12613 transcript:rna12613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MTTTISNLTNTLPFHIRKFKFPSPSSSSSSSPYRFPLSTLSCSLPESQPEPTTTTSIPKTPNPNTSTSYFPKRGQTLELLCESLAFKGKGLCKVTDTGFVVMCDRALPGERFIGRITRKKGNYAEATKVETLTPPFHVVDAPCVYVPHCGGCKTQNLAYLAQVNAKEEQVRDLVIHVGRFSQKELELHGIMKPIVPCDIQFHYRNKMEFSFGPYKWLPKESLHERNVDAGSENYSLGLHVPGFFDKIINVEKCLLQTDPANKVLAAIQECWRDPQLGFSPYNVHSHKGFLKHLMLRSGRDVMTGLPEVMVNFVTSSYKPDLLKVLVDKVSAFPEVVSIMNNVNTSVGNTSVGEEEYTLHGKSSITETLRGLTFQISANSFFQTNTHQAEVLYKLIEECAGIRGDGSEVVLDLFCGTGTIGLTLARSVKHVYGYEVVPQAIADARLNAKINGIQNATFIEGDLNKIDANFGKNFPKPDIVISDPNRPGMHMKLIKFLLNLRAPRIVYVSCNPATCARDLDYLCHGVAELNIEGCYKLISLQPVDMFPHTPHIECVCLLELC >RHN75632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43926548:43929093:-1 gene:gene11865 transcript:rna11865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MEFDLENPLEYFHDLPNSQDVSSLFLIESDHIPPLNYFQNLKSNEFDASVRTDFISLISQLSCNFDPFVTYLAINYLDRFLANQGILQPKPWANKLLAVTCFSLAVKMLKTEYSATDVQALMNHGDGGFIFETQTIKRMEALVLGALQWRMRSITPFSFIPYFTNLFMLDDITLKVLKDRASEIILKSQKDVKVMEFKPSIVAASSLLYSSHELFPFQYPCFLGIISNCSYVNKESVMECYNVIQDIAKEEYESMFNVHSSSGTPVNVLDENFLSLESEKTNGTNVAHTTMIQEKHFKRRKI >RHN76239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48791025:48793281:1 gene:gene12532 transcript:rna12532 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIMDSIEGRNIKKNLKAEAKGVSMSWEEKKERVQTEENVLRKDIQDLEAWVDLVETMNDNELKCYLENNPDDSKKIRVQKMKNKVQRTGKSKPTTSNGIMASVWKFDKN >RHN78320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14112336:14116790:1 gene:gene1862 transcript:rna1862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LysM domain-containing protein MEREKWNENNSNGYFENQVLCDYDRESVTMSSSSSPPRLGYIEHHVSKFDTLVGIAIKYGVEVTDIKRMNSLVTDHQMFALKTVQIPLPGRHPPSPCLSNGSITPGNGNSTHSPPDNAHRELLESFQSTKLKSSDRKVSPAMISLQGYYGLPSNPSENGSSSKNSPNSYRPLSRHRKSKSLVNVILEEIMEKDDTAPGAQPWELSSVKRNDKLVQRRQKSVADFSRIPELILREDNSSSGGLPSRTGKGLALRQKAASRTPLPIDSESSGLVPVPMSMGDDVQTDCSSGVKKSSSTSCLHDQDNCSSSIWPSKMWNLKPDLQALSTAAIKKPIFDGLPKPLTGRRNKAALD >RHN59091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7408118:7413404:-1 gene:gene21079 transcript:rna21079 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRLLGGPGTVSGFLLRLGQCAFGAASISVMVTSFGFSTYTAFCYLTASMGLQVLWSFGLACLDIYALRRKRDLQNPILVSLFVVGDWVTAILSLAAACSSAGVVVLYTRDVDMCATHKIIPCHRYQVSVALAFVTWALTAMSSHVMFWILASV >RHN53916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5289201:5294339:1 gene:gene28881 transcript:rna28881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino-acid N-acetyltransferase transcription regulator GNAT family MAPSLSRLLTSLPNPINFTTSSSRQYSHFNPQQLNLQFKPPSLKSSLFFTARKHETSMKRNAFNNEEVNGITEEGDEQQQFIRSLREAWPYLLAYRGTTFVLVISAEIDIAFLHHLGIHFVIVPGTHVQIDNILKDKGSKPKYVGRYRITDDESLNAAMCAAGGIISMIAAKLSVGPSICNIRRHGDNSRLHDVSVSVTSGNFLAAKRRGVVGGIDYGSTGEVKKIDATRMRERLDGGSIVILTNLGYSSSGEVLNCNTYEVATACALAIGADKLICVIDGPILDENGRLIRFLPLEEADMLIRKRAEQSEIAANYVKAVEEEGLNPEHNNFNGAVKSPPNGKRITEWHNATFHNGVGFENGDGLGTSEQGFAIGGQERLSRMNGYLSELAAAAFVCRGGVQRVHLLDGTISGVLLLELFTRDGMGTMVASDLYEGTRKAEKEDFSGIKQLIQPLEACGILVKRSDEELLQTLDNFVVVEREGQIIACGGLFPYPEEKCAEVAAIAVSPDCRGQGQGDKLLDYMEKKAASLGFNKLFLLTTRTADWFVRRGFSECSIDNIPEKKKKTINLSRNSKYYMKKLLPNKSGITVGGNKLVTN >RHN72867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11366741:11372162:-1 gene:gene8629 transcript:rna8629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & lipid binding HD-SAD family MALSMHKEMDAGKYVRYTPEQVEALERVYSECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSHLVYENGYMKQQIHTASAATTTDNSCDSVVMSGQNQQQNPTQRPQRDANNPAGLLAVAEETLAEFLSKATGTAVDWVQMIGMKPGPESIGIVAVSRNSSGIAARACGLVSLEPTKVAEILKDRLSWYRDCRCVDVLSIVPTGGGGTIELMYMQTYAPTTLAAARDFWTLRYTTSLEDGSLVICERSLNASTGGPTGPSPSNFVRAEMLPSGFLIRPCEGGGSIIHIVDHVDLDVWSVPEVLRPLYESSKILAQKLTIAALQHIKQIAQESSGEIQYGGGRQPAVLRTFSQRLCRGFNDAVNGFVDDGWSLLGNDGVEDVTIAVNSSPNKFLGSNYSSMFPTFGGGVLCAKASMLLQNVPPALLVRFLREHRSEWADYGVDAYSATCLKSSPYAVPCPRPGGFPSSQVILPLAPTIEHEEFLEVVRIEGHAFSPEDVALARDMYLLQLCSGIDENSVGACAQLVFAPIDESFADDALLLPSGFRVIPLDPKSDGPTTSRTLDLLEGSRNARPSGEGAGSNGYNLRSVLTIAFQFTFENHLRDNVASMARQYVRSVVASVQRVAMAIAPSRPGTQLGPKSLPGSPEAHALARWISRSYRMHTGAELFRVESAASDAILKQLWHHSDAIMCCSVKTNASPIFTFSNQAGLDMLETTLVALQDIMLDKVLDEAGRKILCSEFSKIMQQGYASLPGGICVSSMNRPVSYEQAIAWKVLNDDDANHCLAFMFINWSFV >RHN40236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14502646:14506425:-1 gene:gene46359 transcript:rna46359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative annexin MASLKLPEIVPSPNTDTERLRNAFQGIGTNEKELILVLGHRNAQQRREIRETYQKLYNESLLDRLQSELSGDFRNAIVLWTCDPPERDAKFARDALKVKRKGIKQLQILVEIACASSPNHLMAVRQAYCSLFDCSLEEDIIASVSQPLTKILVGLVSSFRHDKVTVNLEVAKSEAEKLHEAINNNKLDDDHFVWILSTRNVFQIRETFASYKQLYGKTFEEDIKTCGKGDLTSLLNVVVWCIECPEKHFAKVIRDSIVGLGTDEDSLNRAIVTRAEIDLLKVRFEYANMYKSSLDDDVIGDTSGDYMEFLLTLLGKGPKGY >RHN69189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41660973:41662454:-1 gene:gene17624 transcript:rna17624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase, Xyloglucan-specific endo-beta-1,4-glucanase MAYSIGINSMLIGVMIISSLVATCSANFNQDFDLTWGDHRAKIFNGGQLLSLSLDKTSGSGFQSKKEYLFGRIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVSGDPYILHTNVFSQGKGNREQQFYLWFDPTKNFHTYSIIWKPQHIIFLVDNTPIRIFKNAESIGIPFPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTAYYRNFKATELSSVSSTSFSDSALQSNELDAYGRRRLRWVQKYFMIYNYCNDLKRFPEGIPAECKRPRF >RHN70215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49712054:49717275:-1 gene:gene18772 transcript:rna18772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rho GTPase activation protein MGLLVSYTNAISPKGNEVNLTLGGIDLNNSGSVIVKADKKLLTVQFPDGHEGRAFTLKAETTEDLYEWKIALEKALEKAPSAANGMEQNGIFRNDQTDSIDISLDQLHEKEPAKSTVIGLPILLALEDVDGSPTFLEKALTFIEEHGARVEGILRQAADVDDVERRVREYEQGKVDFAPNEDAHVIGDCIKHVLRGLLSSPVPASCCKALLEACRTDRSVRVASMRAAINDTFPEPNRRLLQRILLMMQTVASHKAVNRMSSSAVAACMAPLLLRPLLAGECEIDNDFDVGGDGSIQLLQAAAAANHAQAIVITLLEEYNSIFGEGSVSPDIYTDTEESGSESEEGTDDDLSYDEDYDDESIHESDEDEEDDLVSESYTETGDSEDYNDKDHDHSNSSTKSSEAGEENKVNQMSLKSREGSPTQHENTESSENLMSPKKTAYVDQSNKAADMVGEVSTVLASKRDSSSRSPSHMKKSATMSNVPAPRHRTMLGRTSARKNLSMESIDFPIEEEDEIEKLEATKTQLQNEIADEVKANEKLQSKINERKKDLQERRLALEQDVAKLQEQLHKEKSSRATLETRAELEELALVEVDLSNLERMVEELGKRLNVKLEHNFGSTSDISNPSRQLSNKERKQYVFCIATHELVTAFFSSFILYLCTSPFGLLTVNITFFGVSFWSIDSFSGN >RHN46772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34747398:34756035:1 gene:gene41298 transcript:rna41298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Dim1 family, thioredoxin-like protein MSCMLTTLSQKREVDSIIRDTIDKVLVLRFGRESDPNCLHLDQILSKAAREVSKFATVALVDVDSPDIQVYVKYFDITLIPSTLFFFNAHHMKMDSGTADHTKWIGAFHKKQDFIDVVETIFRGAMNGKLIVNCPLPPERIPKYQLLYKDV >RHN53537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2357285:2358803:-1 gene:gene28453 transcript:rna28453 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQTFAFNSIQMQILNIHITQKMYAMNLTVFDNVGIYSCAPVKSLSSIFP >RHN53579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2657466:2662222:1 gene:gene28502 transcript:rna28502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin L/T MIYTAIDTFYLTDEQLTNSPSRKDGIDEATETTLRIYGCDLIQESGILLRLPQAVMATGQVLFHRFYCKKSFARFNVKKVAASCVWLASKLEENTRKARQVLIIFHRMECRRENLPIDHLDLYSKKYVDLKTELSRTERHILKEMGFICHVEHPHKFISNYLATLETPPELSQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFEVPLPENPPWWKAFDAEKSGIDEVCRVLAHLYSLPKAQYLPICKEWDSFTFSNISLESKSQSTAKDAPQSSSPMNAETSALKGAHGEANIDSTGSKGALVKQASDKLNDARKSDDDSKGMAAERDVKDEPTLKSKPDRKMDASGELHRDRDRERDRLKSRDRDRGRDSDKERERDEAERVRLKDRGHRSRERAKDSGHSDKSKRHSSHDRDRDYYSSSYSSREKDRHRYH >RHN43905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49347139:49349778:1 gene:gene50540 transcript:rna50540 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVIDSHFLALTAIITFAYQLLFFIITALLKFDKVTDFAGSTNFVIIAVLTFVIKGSWHFRQIILTLFVVLWGLRLAFFLLLRIIQWGEDRRFDQMRNNLGKLAIFWIFQAVWVWAVSLPVTVVNATDRNPFLQSVDLIGWLMWAVGFMIEGTADQQKLNFKRSPENRGKWCNAGLWKYTRHPNYFGEILLWWGIFVASTPILDRAEWLVIIGPIFLTLLLLFISGIPLLEESADKKFGNVDGYRVYKQRTSPLIPLPPAVYGKLPTWFKSVFLFEFPLYSRNFPPEEQIWYRTSSGKSGDAMKIG >RHN77363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6326704:6331181:1 gene:gene809 transcript:rna809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative powdery mildew resistance protein, RPW8 MALTDFFSLTNEDLWKMLAAISRKTFNSRSSAEQLLTFIREILPTIEQIKYSGVELPPPRQSHLDRLSEILRSGVELSHQVLATSRWNVYRNFQLAKKMENLEETVTQILQVPMQAYILADINHVRAEMTERFDRIEESNRRMERFFGGMKIGVGGVGWVEGAVRSSEEDEGSLGNLDLSFGLEFGKNKVMEMVVGRKDFCLVGISGIGGSGKTTLAREICRDEQVRGYFKERILFLTVSQSPNVEQLRAKIWGHIMGNGSFNTNYVVPQWKLQYECSGSQPQILVILDDVWSPSVLEQLVFRMPNCKFIVVSRFIFPIFNATYKVELLDKDDALSLFCHHAFGQKSIPFAANQNLVKQVVAECGNLPLALKVIGASLRDQNEMFWLSVKTRLSQGLSIDESYERNLIDRMAISTNYLPEKIKECFLDLCSFPEDKKIPLEVLINMWVEIHDIHETEAYAIVVELSNKNLLTLVEEARAGGMYSSCFEISVTQHDILRDLALNLSNRGNINQRRRLVMPKREDNGQLPKEWLRYADQPFEAQIVSIHTGEMRKSDWCNLEFPKAEVLIINFTSSEYFLPPFINRMPKLRALMVINHSTSYACLHNISVFKNLTNLRSLWFEKVSIPHLSGIVMESLRKLFIVLCKINNSLEGKDSNIADIFPNISELTLDHCEDVTELPSSICRIQSLQNLSLTNCHSLTRLPIELGSLRYLEILRLYACPNLRTLPPSICGMTRLKYIDISQCVYLASFPDAIGKLVNLEKIDMRECPMITNIPKSALSLNSLQLVICDDEVSWMWKEVQKVKLNVDIQVVEIEYDLDWLRE >RHN72967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12227918:12229480:-1 gene:gene8736 transcript:rna8736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative annexin MASLLAVKDFSPIEDAETIKNACKGFGTDETAIISVLAHRNEAQRKLVRLAYQEIYHQDLIEQLKTELSGNFERAISHWIMDPAERDATLINEALKKATPDYKVIIEIVCTRNSEELLALKRSYHSLYKHCLEEDVASQTTGDIRKLLVAVISTYKYDGDEFDENVAHSEATIFHQLIEKKAFNDDDIVRILSTRSKKQLCVTFNIFKDLYGTTINKGLSGGTIDEYIGALRTSVRCIDDSRRYLAKVLSHALNDLANEEDALSRVIVTRAEKDLKEINDHFLKRNNVTIHDSVARKTWGIYKTFILELLGNE >RHN80320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37156401:37156706:-1 gene:gene4230 transcript:rna4230 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVISMVMIWRSRSSFPKATDAITCAMNNNIFRYSFVFKDFLISRMPYAV >RHN80053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34644386:34644910:-1 gene:gene3929 transcript:rna3929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MHTMSSSFFHNPDLEMLQQDLTNILFEPFHQDPYIHDYLSFYMVDQQGPNKNEPNNSMNNSEPKEERSYIGVRKRPWGKFAAEIRDTTRGGRRVWLGTFDSAEDAALAYDQAAFSMRGYDAVLNFSVQRVKESLQAIQYDCREGSSPALALKERHYEQRKMLSFTLILRQRHNI >RHN67311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25874175:25876678:-1 gene:gene15491 transcript:rna15491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAEIAVSFAIDQLLPLLTGEINLLKGVHKKFSDIKDELESIRAFLKDADRRATAEGDGTSEGAKMWVKQVREIAFRIEDVIDDYMIHVGQQPRDSRCVSLLHDIPHLLKTMNSRRQIAFKIQEIKSFVCGIKERSERYGFQIQPSSQQGSTSFRGSQTAKWHDPRMAALYIDEAEIIGFQAPKKRLVDWLVKGRSERTVISVVGMGGQGKTTLAKKVFDSKEVVGHFECRVWITVSQSYNIEVLLRRMLKELYKQKGDNPPQDITEMDRGALISELRKYLQKKRWLASS >RHN60305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24970871:24976052:-1 gene:gene22601 transcript:rna22601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSTLDSDVTMVPAGEPSSSAAGPSSKKPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >RHN65646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4079979:4080326:-1 gene:gene13512 transcript:rna13512 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWIDVHAQFNSGEPQRLKVRCLGVTLRGLKDELTEFNQGVNPRDTRMVEHVWYKHSTLDEGRVSFTWVELTNDKNVTSMFWEHSMFQWIDMRVKLLRSTEDIIKSLILPEDRH >RHN63058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48003145:48009159:1 gene:gene25713 transcript:rna25713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan 6-xylosyltransferase MTNAKRKRGCSIPNRNGYGEFPILKATLFVLLLLLCALWFKRNQLTILCPNKTMSEAIQDPPEKTFYDDPTLSYSVEEPMKQWDKKRSHWLQLHPSFAAGASDRILVLTGSQPTPCKNPIGDHLLLRCFKNKVDYCRIHNCEVYYSNLHLHPKMDSYWSKLPIIRSTMMAHPEVEWIWWMDADAVFSDMEFKVPLDRYKDHNLVVHGWSNMVYDDSENKSWTGLNAGSILVRNCQWSMDLLHVWAQMGPLTSNYAKWGKILTSIFKDKPFPLPDDQSSLIYLLSRQRRKWGAKTFLEEGYDLEGYWIATMGKLEGIQNKYDEIEKKARVLRRRHSEKVSVWYGEMREPYLKWSERRPFVKHFTGCQPCSGDHNPSYKGDVCWKEMERALNFADNQVLRNYGFTTFFHNKTSNQEALASTSSYATHKKITLKIAFIFPRVHKHSYNSPMAPKFGSKNKSSSWLSSGCIFILGAMAALLFIWGLSSFITPISNTNPKFNSITTKLKSFNFTTNTDFAGPDFLHDPSDKTFYDDPQTCYTMDKPVKNWDEKRKEWLLHHPSFVVGASEKILVITGSQPTKCDNPIGDHLLLRFFKNKVDYCRIHNHDIIYNNALLDPKMDTYWAKYPMVRAAMLAHPEVEWIWWVDSDAIFTDMEFKLPLWRYNDHNLVIHGWEELVKKEHSWTGLNAGVFLIRNCQWSLDFMDVWASMGPNSPEYEKWGERLRATFKTKVVPDSDDQTALAYLIAMGEDKWTKKIYMENEYYFEGYWMEISKMYDKMGKKYDEIEKRVEGLRRRHAEKVSERYGEMREEYVKNLGDMRRPFITHFTGCQPCNGHHNPMYAADDCWNGMERALNFADNQVLRKFGFIHPNLLDKSVSPLPFGYPAKSP >RHN78243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13067393:13068256:-1 gene:gene1777 transcript:rna1777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKFIYSLIISFLLFAFITTNLPLAFSYDDGRYIMDSDMWPVHPDGHYALIPSFMGINIGRVYLGKTGDSTCPVTVLEQDFTSERGIPLKFSVPGDPYYGEIFTGKPVDIEFVRKPDCVESSKWLIFVDNVIQKSCVGIGGPENYPGMQILNGTFLIRRNGGGVRYIYKFVFCVNGSTTNCSDIGYYKIGEMGGRLILNAKQASSFLFVENYSYKDKDGIIKSVA >RHN77171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4618527:4622975:-1 gene:gene597 transcript:rna597 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYIGRSTNISIYFFLPLLTSRKLLFAQSFIFFIWVLSVFVTIKLFKITKLRQKEKQEMKRGKGGDDEKVGPMFPRLHVNDTTEKGGPRAPPRNKMALYEQFSVPFQRLNPNSHSSSSNSIPLTSSSTMGNDLERSNIFPVRLPSQADAHRAKSYISHQSNGANLDTLSTQLEQGKKVDGDDSRAYDHSRIGQSNHKMTNSFNGEKITLVIPSRQNTVISVKSALTGEIIDSRVRQGKKIPDEEDQECSVSNINRFPQGDSCTRQESNDIEHSDGLLDTAMDMDNRNSFHSTVDRTMVLEAANDTEYHDANIDSPIQKGNSEGSGDLSNISTIENLSSLKLSPDGVVQILGQQLFWKARRKITNQQRAFAVQVFELHRLIKVQHLIAGSSNLMLDAAAILEKFPLQESIPKSLSLEVVVESQTKNHKQQDHSESLNHRLDCSAEKGVEKTSCSYQKYGSHLSNYTPFSGNSDQANVGSQYFNQSPGHQWLIPVMSPSEGLVYKPYPGPGYTGAVYGGYRPFGQGPPDVTFMNPAYGVPDFHQAIAVPPFIPPGGYPYFPPHGVPAMYQSASVSAVEQVNQFSAHGSRNQNGTSSLEEANFYTHNQSSCNLTNQKNGATLHVRKPQPSRERELESSPGEKAQEIIKEKSAEERDTLSSSFTVPIVSKEVLKSLETRQKPQVIRVVPHNPRSATVSAARIFQSIQEERKRYDLV >RHN81485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46311898:46313860:-1 gene:gene5534 transcript:rna5534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MLCPCLLFPLISRSKELERFMKDIPSDSEKSILELHDLPGGAKAFLLIARFCYGVKMELTPSNVVPLRCAAEFLQMSEDYGEGNLMIQTENFLNHIFGQWTDTLKALKTCEDVLPLAEELHITSRCIHSLVLKAADPTLAILPLSGPSSVQSPDNSEMWNGISMSLTSKETGEDWWFDDVSSLSLPLYKRFMQGAIARHMKPRRVSGSLVYYAKKHIPSLSSFQNGNSSKSNLSEADQRNLIEEIVELLPNEKGVTQTKFLLRSLRTAMALYASSCCCASLEKRIGFQLDEADLEDLLIPNIGYSMETIHDIDCVQRMLDHFMIVDNDDADSTSNNDIVEEERRIVGNCQRATPMTKVADLMDSYLAEVAPDVNLKFPKFQSLAAVIPDCARTLDDGIYRAIDIYLKSHAWMTESEKEQICRLMNCQKLSLEASTHAAQNERLPLRVVVQVLFFEQLKLRTSVAGWFFASDTLENSTTLSGNLALLRNDGNTTHNNPVVAFDHMKDRVSELEKECLSMKQDLEKMMKSKGSWNMLLKKLGCRLIPKPSNPKASKPCRKSKIAPDAVTELEENVVAVS >RHN50160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4585576:4586103:-1 gene:gene34415 transcript:rna34415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MTPDQLLEFAWVLTNCKKSFLWIIRPDLVIGGSFILSSEFENEISDRGLIASWCPQEQVLNHPSIGGFLTHCGWNSTIESICVGVPMLCWPFFADQPTNYRYISHIWETGMEIDTNVKREKVTNMINELMSGDKGMKMRQKAMELKKKAEENTSSGGCSYMNLDKVIKEVMLKQC >RHN68380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34994704:35000385:1 gene:gene16723 transcript:rna16723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thymidylate synthase (FAD) MMMLSATLPISPVTAKSSSSMQSLSFSHRVMVPSGSRVTPAVFTGVSKGGCSSLSPVKMKASSMTTAAIAEEVDERKKFIEASKNGNLIPIYQCIFSDQLTPVLAYRSLVNEDDREAPSFLFESAEPNFQGSNVGRYSVVGAQPIMEIVAKENKVTVMNHESGQLTEEIVDDPMEIPRKISQDWRPCLNDELPDAFCGGWAGYFSYDTVRYVEKKKLPFSDSPKDDRQLADIHLGLYETVIVFDHVEKKAYVILWVRTDQYSSVESAYVDGTIRLKKLVAKLQDNKPPRLAPGAVDLQTRQFGPPLKESNMTAEAYKDAVLQAKEHIKAGDIFQIVLSQRFERRTFADPFEVYRALRVVNPSPYMTYLQARGCILVASSPEILARIKNNKIVNRPLAGTAKRGNTPEEDENLSAKLLKDEKQCAEHVMLVDLGRNDVGKVAKSGSVKVEKLMNVERYSHVMHISSTVTGELQDNLTCWDALRAALPVGTVSGAPKVRAMQLIDELEVSRRGPYSGGFGYISFSGDMDIALALRTIVFPTGTRYDTMYSYKDLNKRQEWIAYLQAGAGIVADSDPADEHQECQNKAAGLARSIDLAESAFIHK >RHN38663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1137601:1142309:-1 gene:gene44622 transcript:rna44622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A(2) MGAFLLFVLVFASQVIGGFNTKLPPPAYGNSITILSIDGGGIKGIIPSVVLQRLEHILKIVSKDEKAALADYFDVIAGTSTGGLIAAMLAAPNLNDTSRPAFTAKEILQFYLDYGQSIFNQTAARNWTHTTPGPKFDGEFLRAKTREILQETRLHDTLTNVVIPTFDILLLHPVIFSSFKLEEIPSLDAKLSDIAIGTSAAPTLLPPYFFWNRRRPFNLVDGGIAAGSPALVAVSEVMQQLNEKNPNFIPVNPNEPTKIVLLSLGCGRNTILGPGSLLAKTFSYNNWTSILALGYASAAADIHEYHLASVFPDSPSSENYYLRVEEYNLNPSIVNVTEEGMQKLVKAGEDLLKQPVKIQNVTSFVPYVKPSEGTNDEALTRLADILYKEKELRLKMKSMEKRGQPFTASTPPPSRMVAME >RHN39530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7915661:7915969:1 gene:gene45560 transcript:rna45560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I MPPCRSSDCRCIPIGLVAGYCTYPSSPTVMKMVEEHPNLCQSHADCTKKESGSFCARYPNPDIEHGWCFSSNFEAYDVFFNVSSNRGLIKDFLPMFTLTLDS >RHN50205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5099860:5100704:-1 gene:gene34468 transcript:rna34468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MNTVVNNRKEMDRIKGPWSPEEDEALQKLVEKHGARNWSILSKSIPGRSGKSCRLPWCNQLSPQVERGAFTPEEDEAIIRAHARFGNKWATIARLLPGQTDNAVKNHWNITLKHKCSSIIMDEVFLSFRGEDTRSSFTSHLHSSLQKAGIKVFMDDDSLQRGDHISTSLLQSIDRSQISLIVFSTNYAGSRWCLEELVQIMKCHRFGGNY >RHN65974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7193479:7195342:-1 gene:gene13883 transcript:rna13883 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSRKNLCPHGRQELRRCLDHSLRTKLVDAHSAGEILSTISSSVSRRDCI >RHN63098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48402688:48403375:1 gene:gene25757 transcript:rna25757 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSNGKDLPYIIYGQWKQICKARKFTKGSMVKFGVTDANNRIIFILPPLMLVLRSRIPLISISGRISGYGLSPNLESCSYISFCSMLS >RHN68376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34959363:34962973:-1 gene:gene16719 transcript:rna16719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MKPFLHMLHALLLLLYNLNILWFGTNTFAYASGNDTDFLALLKFKESISKDSNRILDSWNSSTQFCKWHGITCMNQRVTELKLEGYKLHGSISPYVGNLSFLTNLNLMNNSFYGTIPQELCSLVQLQKLYLTNNSLVGEIPTNLSSLLNLKDLFLQGNNLVGRIPIEIGSLRKLQRVNIWNNNLTAEIPPSIENLTSLINLNLGSNNLEGNIPPEICHLKNLATISVGINKFSGNLPLCLYNMSSLTLLAVDLNKFNGSLPQKMFHTLPNLKTLFIGGNQFSGPIPTSISNASNLRSFDITQNRFTGQVPNLGKLKDLQLIGLSQNNLGSNSTKDLEFIKSLVNCSKLYVVDISYNNFGGPLPNSLGNMSNLNNLYLGGNHILGKIPAELGNLANLYLLTVENNRFEGIIPDTFGKFQKLQVLELSGNRLSGNIPAFIGNLSQLFYLGLGDNILEGNIPLSIGNCQKLYHLDLSQNNLRGTIPIEVFSLFSLTRLLDLSGNLLSGSLLQEVGRLENIGKLNFSENNLSGDIPRTIGECVSLEYLYLQGNSFHGVIPTSLASLKGLQHLDLSRNHLSGSIPKGLQNISFLQYFNVSFNMLEGEVPTEGVFQNSSEVAVTGNNNLCGGVSKLHLPPCPLKGEKHSKHRDFKLIAVIVSVVSFLLILLFILTIYCRRKRNKKPYSDSPTIDLLVKISYEDLYNGTDGFSTRNLIGFGNFGSVYLGTLEFEDTVVAIKVLKLHKKGAHKSFLAECNALKNIRHRNLVKILTSCSSTDFKDQEFKALVFEYMKNGSLESWLHPAKEIAGPEKTLNLAQRLNIIIDVASAFHYLHHECQQPVIHCDLKPSNVLLDDSMVAHVSDFGIAKLLPSIGVSLMQNSTVGIQGTIGYAPPEYGMGSKLSVEGDMYSFGILILEMLTARRPTDEMFEDSYSLHNFVKISISNDLLQIVDPAIIRNELEGATGSGFMHSNVEKCLISLFSIALGCSMESPKERMSMVEVIRELNIIKSFFPTGDQAELQPQKSMM >RHN55266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16606625:16608419:-1 gene:gene30418 transcript:rna30418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MIIIMLTAWVPHFHPSPCSLQQQQLGTCKGHTNLQLGILLSGFFWLAIGTGGINPCSIPFAIDQFDMTTVEGRQGTRSFYNFYYVIQTVLLLINVTLVVKIQDSFSWTLGFALPCLFMVVAIVFYFAGAKVYAYVEPEGSIFSRIAQVFVAAKCKRHVHIPDKGDTSGVFYDPPIENMEPKFPLTKEFRCLNKAAIMVENELNDDGSNNNPWRLCSIQQVEELKCILKMMPIWVTGIITYIPTGQLSIFPMSQAMKMDKHLTQNFEIPPGWMIIVTMLTIAIVIPFYDKVISPTLTKMTNQDGGLTNLQRIGLGHFFAILTMVIAGLVEQRRRVSSTSLGESYEITQMSIMWLVPQFITLGFNQAFSIVGHTEFFNKESPDKMRSIGNSLLSLQTAVASNLSTFIVNIIHSFSGKQGEPDWLDSDVNKGKLEYFYFIVAGLGVLNFGWFLFCACRYRYKTFVKIEDIR >RHN42026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34857346:34861711:1 gene:gene48413 transcript:rna48413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde dehydrogenase (NAD(+)) MNNNIGVEVEETVRDLRQYFKTGKTRSVTWRKNQLKALLNLIHENEDAISKALYQDLGKHPVEAYRDEIGGVEKSAQNSLDCVEKWMAPKKSNIPLVFFPAKGELLPEPLGVVLIFSSWNFPIMMALDPIIGAISAGNVVVIKPSEQAPACSSFLANILPHYLDPNAIKVIEGGGDVCEQVLLQKWDKIFFTGSPRVASIVMSSAARNLTPVTLELGGKCPAIFDYPSDFKMAVKRIVGAKWGVCSGQACIAIDYLLVEEKYSSELIELLKKFMRKFYGDNMMESKALSRIINKHHFERLCNLLKDPLVAASIVHGGSVDETNLFIEPTILLDPPLDAEIMTEEIFGPLLPVITVNKIQESIEFINSRPKPLAIYAFTKDATFKKKIVSETSSGSVTFNDTLVQFLCDTLPFGGVGQSGFGRYHGKYSFDTFSHEKAVLHRHLCLEIEPRYPPWSKFKLEFLRLAYRLNYFGLVLHMVLHMLGLKRHN >RHN54787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12271950:12280146:-1 gene:gene29873 transcript:rna29873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase MSDSFAHSTSHNCYYRHKKKKSSTMFTTPFSSPSTAFFSPPKASYSSSSSSSSSSSSLPLRSSFTFYHLRFNATTSSSSVTTSGTSSSSYCSPLAFNSNNKKPKEISANMAASSVSSRTFLNARNEQDVLSGIKKEVEAGTLPPTIAEGMEELYLNYKSAVVKSGDPKADEIVLSNMTALLDRIFLDVKEPFVFEAHHKAKREPFDYYMFGQNYIRPLVDFNTSYVGNMPLFIQMEEQLKQGHNIILMSNHQSEADPAIIALLLEMRLPHIAENLIYVAGDRVITDPLCKPFSIGRNLICVYSKKHMLDDPALVETKRKANTRSLKEMATLLRSGSQIIWIAPSGGRDRPVANSGEWAPAPFDSSSVDNMRRLVDHSGPPGHIYPMAILCHDIMPPPLKVEKEIGEKRIISYHGTGISLAPEISFSDITASCENPEKAKEAYSKALYDSVTSQYDVLESAIHGKKGLEASTPAVSLSQPWK >RHN45264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13934216:13948204:-1 gene:gene39505 transcript:rna39505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GPI inositol-deacylase PGAP1, alpha/Beta hydrolase MPTFRGKIRVGVLIIVVVGICLAALYGLLKPISNGCVMTYMYPTYIPITSMESVTPVKYGLYLYHEGWKKIDYREHVKKLSGVPVLFIPGNGGSYKQVRSLAAESDRAYQNGPLEYSFYQEASLTPEEGDADISLSGFQLPNQYTSRLDWFAVDLEGEHSAMDGAILEEHAEYVVYAIHKILDQYKVSYDARTREGAANSGSLPKSVILVGHSMGGFVARAAVVHPHLRKSAVQTILTLSSPHQSPPVAMQPSLGHYFSRVNSEWREGYKAKTTNTGRFVSSPVLSDVVVVSISGAYNDYQVRSKLTSLDNIVPPTHGFMISSTAMKNVWLSMEHQAIMWCNQLVVQVSHTLLSLTDARTGQPLSGSRKRLEVFARMLHSGISHDFNRMMQLPSSKQSINIPDQNTKDDSGPQKHRSVTCPHNIHWNDGGLDRDLYIQINEVTVLAMDGRRRWLDIQKLGSNGKSHFVLVTNLEPCSGIRLHLWPEKGSSASSLPLNDRVMEVTSKMMHIPSGPAPRQPEPGSQTEQPPPSAVFWLGPEDMHGFRYLTISVAPRPSVSGRPPPAASMAVGQFFKPEEGNKDLSPWFLLQSTYSQKELLLEEAHPLAVKLSFSISLGLLPITLSMNTVGCGIRNSGLPEEEAGDLESSRLCKLRCFPPVALAWDDISGLHIYPNLNSETILVDSSPAQWSSTQQSDKTIVLMLVDPHCSYKSSISISVGAAASRFLLLYSSKIVGFSIAVVFFALMQQAYSWDNNLRIPSMLTAVESNLTLMSHFFPLAVLPILFALFHSFFMSQPLPPFASFTSISLICYIFANGFIAILILLSHLVFFVAAVTHIFIKTRWQMWKQNVPFIFLQRFCNRSSSFFSLKVIRVLRANPVLVISLTAMVLACLVHPSFGLLILLFAHFFCCHNALCSFLTASCRSHEQSNETIDCNGGDYKVSERQKYNFDGSFNRTFPSEDNSNSPDSSKSFGEAQLDVFHHRHGLLILHLLATMMFAPSVVAWFQRLAMGESLPWLLDSVLSIGVILHGICNSKPEFNSFFLSIPGVPIRNVRLYIVYLIAGYWSYFSGLALSPDRAFYAMASVGGISFALMMMHRKSGETKEVTYGSRKHSHRH >RHN44849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9085498:9087825:-1 gene:gene39015 transcript:rna39015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MDSIKRRKIMAKEYEGRAVGIDLGTTYSCVAVWLDQHQRVEIIHNDQGNRITPSFVAFNNEQRLIGDAAKNQSATNPENTIFDAKRLIGRKFSDPVVQRDILLWPFKVISGVNDKPMITVKYKGQEKHFCAEEISSMVLTKMREVAEAYLGSPVKNAVVTVPAYFNDSQRKATIDAGTIAGLNVIRIINEPTAAAIAYGLDKRNDCDGSRNIFVFDLGGGTFDVSILTIKGDVFEVKATAGNTHLGGEDFDNRMVNYFVEELKKKNKVDISQNPKSLRRLRTACERAKRILSFAFVTTVEVDALFTGIDFCSSITRAKFEELNMDFFNECMNIVDTCLRDSKIYKNDIDDVVLVGGSSRIPKVQEQLLEYFKGKELFMGINPDEAVAYGAAVQAAILSEGCKNVPNLVLRDVTPLSLGISVSIEHIMSVVIPRNTSIPVKKTSRYSTLYDNQCLVNFLVYEGERPRAADNNLLGSFGLRCLPGPRGQPLEVCFSIDENGILTVTAREISTGNMNMITITNDKERLSMFDIEKMIKEAEKYHVEDMQFLRRAKVMCELDSCVYNMKKALKKKDVNLILSPQEIAKINNAITVAMDLLDKNKKEKEIDVLEGYLEELERMSKHLISKANNFIFLG >RHN62778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45778977:45779499:-1 gene:gene25387 transcript:rna25387 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFKGLRNSLKLLAYYSFSNLNAMVLNFVNGVRFPNERIRNDLFTFLYEQVKISRI >RHN70634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52887698:52888306:-1 gene:gene19241 transcript:rna19241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MMSSVVYQMPFSAIFSLFSQPNRWTDLWHSVPDIDLTDDQDVQQTDAIELDDQSTLFRFNEFVYSVLFKLDSIKSFWLKVGYNGSDLAYLGFPSVVKWLNHVVKRGVERILLTLFSGVDMKLPVSILNCKTLVELHLFSFNVKGFSSVRLPSLKILYLELCSFLNARDLVLLLAGCPILEDLHTRGIEFLSQDSLTYIVLAF >RHN78249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13149030:13151760:1 gene:gene1783 transcript:rna1783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MSSLPSLETPHQSSRITTVVPLTPTLQGDEIVAYNLNYMDLLMKLHYIRSIYVFNSEVMQNLSLSDLKTPIYALLESCSHVSGRIRILESGRPFIKCNDAGVRVAETRCDKTLHEWFDEKEYSVEGFVPDHVLGPDLAFSPLVFVKFTHFKCGGLSVGMSWAHILGDAFSAFNFISKWSQILAGQAPPKSLHKQNLTKPQFSLNSVSDNPISIKRATCVEEYWLATNHSDVATHTFHITSNQLHHLVTPSTTSNNIKTKYFEIISAMIWKCIAQIRGDFGQTVVTICTTNISNRAENEFPTNGSVLSKIETNFPPDQANILDLANLITEKKMNENHVLEKIVEDGEGKEHFIVYGAKLTFVDLEEADFYEIKINGQKPIMVNCDFRGVHDEGVVLVLPGPEDTDGNNGRMITVSLPGKELDQLKCKLAGELGIQYLHFE >RHN66675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16192858:16196040:1 gene:gene14717 transcript:rna14717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKKVEENNELPSDVLDIIFKTLDFDDHFQFADVCKNWRTFHESCWRNFLASQEPLLLQISYHDWESYSFISVPDQKVHHLKMMKYFPPSTYVTFSSGYFIMVGYYVNSFMLINPFTRIKKVINGPTCENSLFLTTRALLAFGKCSEEFVLVVLCKRRLHVYQSQNCDWVTYSTMGNSGRVVDFVVLHNIIYVITNMAYIGVINLNSANVKFLKLKSTPNVNFSSCLKLVNCNEQLLVVDFMPKEIRNVYKIDFSTMNYVKLETLGDIALFCGSNGLKRNCYALSNPNKWGYESNSVYVISLLSTICSVYSGDDKQLQKCITLPAPDATRCLMVDWCFRHLQYEVDYSLVE >RHN55654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20677358:20679648:1 gene:gene30871 transcript:rna30871 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTNDECGSSSKKTIAKRGVTRLPKIHKAKSNGNRIEVKFDVKDVATPCNLQFDKAFVIGDEHREYVLREAGKLHRAFRTKIAKFFLKDSNGDFNKQRPAKYSYCIKQEHWDNFVAQRKSTHFQIEESENDSVTRCQVWKAARVNKDGVIDNDNVQEVVDECREDLGPTDLLFKALNNPRNYSGSVRSYGFGVCSRDIFPRQIRPTQMDLEKLYGICNKLKNRVEVLEREKLEREKLETQQTNEVIETHQPERVVERQHTQKVFERQQTEKVAERKQPEEVAEEVEERRQPKEVVDRKKPSDKRSCNAVSFGNIPKGLLSVDIYLSSPSWCLVARGKLYNTEGNIVHDITLPPGYVKVKIEVSIVPNAPLPISVEYGDVSMVGQEIGTIVPWPLKLLQFVGECEKVNYLLSTCLIAG >RHN54075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6744004:6744200:1 gene:gene29056 transcript:rna29056 gene_biotype:protein_coding transcript_biotype:protein_coding MINRKTYVLQHCLEVQHEFKLLFLRETTLTNEFHEANLSYSRL >RHN69894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47155610:47156312:-1 gene:gene18425 transcript:rna18425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MLVHFYKICMLLILNMIKKIKNKEAKEFKSLSLSKLVRANISSKDVPFTAMYNVEFLCIFLRPGVTFKSIPVLQNLIHIELWFSYKLFRSWDGIVELLQNCPKLQILFIRKGIKLSLSKDLEFPISAIECVPSNLRSCTIVNFNGSDIPFSTYILQNARLLEVMKIIVRDSSSEGMQEHEIIEKLSTCPRMMSPDVNFRFNLFNN >RHN70493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51779133:51785224:1 gene:gene19075 transcript:rna19075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative omega-amidase MKAFAISSSLLALKSLNFNHTRNRISNNPFLFSNKTLFFRQIHSSPSPIMAASSINSELARSPPAIPLPTPPLTNFKIGLCQLSVTSDKDKNIAHARTAIQDAAAKGAKLILLPEIWNSPYSNDSFPVYAEDIDAGGDASPSTAMLSELSSLLKITIVGGSIPERSGDRLYNTCCVFGTDGKLKAKHRKIHLFDIDIPGKITFIESLTLTAGDTPTIVDTEVGRIGIGICYDIRFPELAMIYAARGAHLLCYPGAFNMTTGPLHWELLQRARATDNQLYVATCSPARDTTGGYVAWGHSTLVGPFGEVLATTEHEETTIIAEIDYSILEQRRTNLPVTKQRRGDLYQLVDLQRLNSN >RHN56052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27206186:27207723:-1 gene:gene31375 transcript:rna31375 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGCFDLYGRCFGELQQEVCRLQQQLQMAEEQIRFMINLCIKIVQSFSNLFFPIINYSNVVVDELRIYEPDPLKMTSIAELETSEKNIVETMSRVMQRKEVLLNNQLSSYDPSSIQGMPTTFENVGWLQDSGQNHSHNIFDASAPMDPLRFQFLQLISISDYFLLSHI >RHN58333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:871560:873910:1 gene:gene20236 transcript:rna20236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MENYSVSSLSQHFPPNHKPNKIFNFKPSSSFKTTHQRKKPKFTIPNKNNNKNNNVKKPFSEEDAFPCSLPLHNKNPISIYKDIKNFARQNKLNEALAILDYVDQNGIPVNATTFSSLIAACIRTNSLSIGKQIHTHIRINGLEKNTFLLTKLVQMYTSCGSLEDALKLFDELPDESSVYPWNALLRGTVVFGGRKKQYIDVVKTYSKMRELGVELNVYSFSSVIKSFAAAPAFYQGLKTHALLIKNGLVDSDILRTCLIDLYFKCGKVKLARRVFEEIPERERDVVVWGTMLSGFSHNRLQREVLEYVKWMVEEGIYPNSVIMTIVLPVIGEVCKRRLGQEVHAFVLKTKSYAEKVPVQSALIDMYCKCGDLSSARAVFYSSPERNVVCWTALMSGYASVGRLEQALRAVIWMQQEGFRPDVVTVATVLPICAQLRALEQGKQIHAYALKHWFLPNVSLSSSLVVMYSKCGVVEYSTRLFGDMEQRNVISWTAMIDSYIENGHLYEALGVIRSMQLSKHRPDSVAMSRMLSVCGELKLLKHGKEIHGQILKRDFTSVHFVSAELINMYGALGDVDKANLVFSAVPVKGSMTWTALIRAYEYNELYQGAIDLFDQMRSDRFSPNPFTFEVILSVCERAGFVNDASKIFNLMPKYKIEASKEHFAIMVRLLTRYGQLEKAQRFAQMSSFL >RHN52874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39796485:39803315:1 gene:gene37606 transcript:rna37606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rRNA-processing protein Fcf1/Utp23 MGNAKKGPKFAVMKKMVTSKAIKNYKEAVLNPEKKNTLKEKLPRNVPTHSSALFFHYNTALGPPYRVLIDTNFINFSIQNKLDLEKGMMDCLFAKCTPCITDCVMAELEKLGTKYRVALRIAKDPRFERLLCTHRGTYADDCLVDRVTESKCFIVATCDRDLKRRIRKIPGVPIMYITKHRYSIERLPEATIGGAPRI >RHN76104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47730567:47734085:1 gene:gene12382 transcript:rna12382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MLSPNTFLQDFSVPNSVNQVTNGFELEDSPSSPSSSGTSSNGESLETTRYSNNILRYINDILMDEEDDLEQKPCMLQECLRLQAAEKSFYDVLGHNQPSPNDSTDPDGNFDHDASFERNGSSYTTDNSYESNWVNHVVEFDSSSIQLQTPLIEKNYDDLTEADPVVIETRGDKHFCNGTWSWNDIQPVTVKEVSPSTVPEKRSHKMDNDNGTCNEQEGRASKVSAIFSDELEPPEILDEVLLYQTGKTLTQHQQASYNYDSGGKEKETHSLLKKASTTKNVAAVDLWTMLNQCAQAVASYDQRNTDELLKQIRQHSSPFGDGLQRLAHYFANGLEIRLAAETPSYQPLYVATAGDMLKAYKLFVTASPLQRMTNALLTKTIFKIVKNESSVHVIDFGICYGFQWPCLVRKLSLRPGGPPKLRITGIELPQRGFRPAERVEETGRRLANYCKKFNVPFEYNFIAQKWETIRLKDLKIDRNEITLVSCLYRLKNLPDETVALNCPREAVLKLIRKINPKVFFHGVANGSYNAPFFLTRFKEALYHFSSLFDMFEANVPREDTQRSMLERGLFGRDAINVIACEGAERVERPETYKQWQVRNKRAGFKQIRLDSDLVNETKAMVKKEYHKDFVVDVDCKWVLKGWKGRILYALSAWLIHQSFPNQMNILGFSDTIFQNIYCKSLNFLFQF >RHN66036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7823065:7826246:-1 gene:gene13946 transcript:rna13946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MFVFLYLFSKAKRTAPSIIFIDEIDAIASKRENSQRQTETRIVTQLLTCMEEACNSVNSDEPTGYVLVIGATNRLDAIDSALRRPGRFAREILVGIPDESAREEILFVHTRKRSDKFDASVDLQKIARATSGFVGGDLEALVGKAGELALERIINERNRKSSKDLMSEPNIVRWKKTLSPQEINKFAIKMSDFEEAIKMVQPSLTREGFSPIPDVKWEDVGALDDARENFDSNILMRIKEPEIYEGLGLDRDTGFLLYGPPGCGKTLIAKAVANEAGANFIYIKGPELLNKYVGESEREVRKLFDRARACAPCILFFDEVDALTSKHGNEGSRVIDGVLKQLLIELEGAEQRKGVFVIGATDRPLEMDKAVLRPGRFGNHIYISLPSPDGRVSILKALARSKEALARRKETHARFKLMPIDASVDLSAIGRSKACENYSGADLAALMDKAILAALEEKLATTARTSDTLTVERKHFYVALTKVHPSVSEKVCFILLNFFHA >RHN43235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44210895:44211368:1 gene:gene49779 transcript:rna49779 gene_biotype:protein_coding transcript_biotype:protein_coding MASATEERICFCLCLFLFLNLSTSTADYTVPAPWPKQFHSVLFLNRSGNLRKTDFWYDWPNGRIFNIFQNQLGILKYDLAWNNRTSFFYTVDPFNSTCEVLHFDVGVLPPNWLHGANYLGQQHSENFLCNVWEKDDFIWYYEDVVTRKPVKWIFHDG >RHN41447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30083314:30084320:-1 gene:gene47770 transcript:rna47770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 3-sulfotransferase MVKSSDENSFVAKYLQDDDLSQECKELIPTLPSEKAWILTHTYQYQGFWIATKKFQGVLSCQKHFQALDSDIILVTAPKSGTTWLKALTFALLNRNKYPIIHNNHPLLISNPHSLVPFLEIDLYSDKDYVPNLNSLSHPRLFSTHIPCALLPKSVKESTCKVRAQSRGPLPLEEAFESFCRGVSSYGPFWEHVLGYWKENLERPEKVMFIKFEEMKMKPSFYLKKIAEFLGCPFFNEEESKGMIDDILNLCSFENLSNLEVNKTVRVATGVENKAFFRRGQVGDWKNLLTAEMIKQLNTITENKLGKHGLSF >RHN60794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30500310:30502122:-1 gene:gene23173 transcript:rna23173 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRWFELLAPKVIQSQLYKIALAYVRMGFMDVPMVTELELIPDTMSLDVNKFFAIRTYEHGSEENNISEIKVLQ >RHN51269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15608855:15609654:-1 gene:gene35680 transcript:rna35680 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKELEGKRNVCMILIGNVDKELCPSTAVEFLYKHTQVSASIFIFPSLSFEIYTRGAIMTHTEQDFQKLCDFLTEQNYIITSSTGRYYRS >RHN43790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48497425:48498296:1 gene:gene50415 transcript:rna50415 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLNNLIPRQTNLTRFSVLFRRERREKKMEFKSNNNKSDEEVYAGLAVHSQVIKIKEEIEKIKQPSLEAELYMRRLKDVKRLQSRSPLGLAERTILLSHS >RHN46796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34959331:34960803:1 gene:gene41325 transcript:rna41325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative legumain protein MNQITSYWATLITIVWMSVTVTLSKGIRPMVDEHNEQGNFEVVRKKWALLVAGSKDYPNYRHQANICHAYHVLKNGGLQDENIIVFMYDDIAYHKENPRQGVIINRPDGPNVYPGVPKDYTGNNTNAENFFAVLNGNLSGITGGSGKVLNSGPIDTVFIYYSGHGYPGLIGMADQGIVYAKDFVDALKKKHASNSYKKMVIYVEACYSASLFEGLLPNNMSIYVATSTNARELGYGFYCPDSKNLSSTEYTICLGDTFGISWMEDRFAFFCA >RHN70940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55270562:55280374:1 gene:gene19576 transcript:rna19576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose/H+ symporter, plant, major facilitator superfamily domain-containing protein MANPETHRQHHRSKPRPSPSSTVRIKPRPKDRVLLTKLLRVASVAGGIQFGWALQLSLLTPYVQQLGIPHAWASIIWLCGPLSGLLVQPLVGHLSDRCTSRFGRRRPFILGGAVSIVISVLIIGHAADLGWKFGDTKNHRHSAVAFFVFGFWILDVANNVTQGPCRALLGDLTGKDHRRTRVANAYFSLFMAIGNILGYATGSYSGWYKVFPFTLTPACNISCANLKSAFFLDIGFIIITTYISIMAANEVPLGTPNAEAEGESGGSAEEAFLWELFGTFRYFSKPIWVILSVTALTWVGWFPFLLFDTDWMGREIYGGEPNEGTNYDTGVRMGALGLLLNSVVLGITSVLMEKLCRKRGPGFVWGIANILMAVCFVAMLVVTYVANTIGYIGKDLPPTSIVISALAIFTILGFPLAITYSVPYALISTHIQSLGLGQGLSMGVLNLAIVFPQMIVSLGSGPWDQLFGGGNSPAFAVAAVAALASGLIAVLAIPRTGTQKSRSPV >RHN67864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31046503:31048123:-1 gene:gene16109 transcript:rna16109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MTRKKVNLAYITSDAKRKGTFKKRKNGLIKKIDEISTLCGIEACAIIFDQNDPQPEVWPSPWGVQTVLSKFKRLPELEQSKKMFNQESFLKQRIQKAQEQLKKQRNDNKRKEMTHLMFQCLNAGQIFDHVGMDDLNDISWLIDQNLKQIDRKLDQSQAEEVVQNQAGNVSGGEQGMENIADPMQRQHWPMDFTNNNIGDVLPFENGNNVPFGNNVPHGFWP >RHN39876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11291554:11292339:1 gene:gene45957 transcript:rna45957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MHPSIYDDPTPTIYNLRERRKRDKKLFEKQKPSSRSSLSSSVLDKGDLDGTTSRRYVKRLLASRRSSFSRWASARICVGQKYQAQVPEWTGPNPESDTKWFGTQVWPLAVANSQFLVERDPIGRGRQDSCGCAVQGSVECVRFHISEKKAKAKLELGVAFYHWRFDKVGEDVRNSWTDEDEKRFWEVLQLYPPKRGSEHFWDHIFETFPNKSRETLVSYYFNVYLLQRKAYHTRHTLGNIDIQSDDDESESDMKKLFGRRG >RHN51914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28321520:28323527:1 gene:gene36482 transcript:rna36482 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVKECDERGIELVPSNPLDRQRLRLQCLKHATRKNGLIDSTYFSHMNFSDISSESDCSEYDDSDCCFSDDVQRYDSAYNDQAKVNFFRSKLSVSSTKREEDIVLAPCPAGEKVCTMRPKGVKEIFHMYDAVLEEFGVKIPFTLFEMDVLRLLNVAPTQIHPNSWAFIRGFEILCDALDMIPSAGVFFHFYGTKGVDKGSWVPISAHPGKQLFPAFASNLKRDWKKSFLRVQSSKDSLVSVASVEGEVRFPLGWTATPLVVSGYDFKKMTPYEQGVVGFLDRMLHTDIRKLLNKEGDSEDLELYLLPMLPLSGKERRKYLEALKEKHASGEHISSDPAGVILCKGTKKRENVASSEPAAGEVDTVPEKIVEGEVTVNEVNDLTVSPQKKKMKTARKGGGRALSVEADTLLKLHFGTTTLTIAVTWKKMSLSRRWIKTPLFTSKEKKHEALEKEYQDSVKDVEKFKHKATAFEERVEGLLKEKAVLEKTVANAEKVKSDWQVEKGELETQNTKLRDDLKKSQDKVEDGKMALAGFFEDGFQRAKSQVAYFYPNLDLSGLDSLKFVQDGELVEEP >RHN71628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1481116:1485399:1 gene:gene7247 transcript:rna7247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LL-diaminopimelate aminotransferase MYKTSNFSSNSLQPRMLVNRFSCTLSNQRVRIGHSTKVPRNVNMEKLQHGYLFPEIERHELLHLKKYPHANVIDLGIGDTTKPLPAIVTSSMVDFVHGLSTAEGYKGYGPEQGEKALRKAIAHKVYKDLGIKPSEVFVSDGAQCDISRLQLLMGPNLKIAVQDPSFPAYIDSSVIIGHAGKFVDRIGKYENIEYMTCGPQTDFFPDLHTTSRAQLIFFCSPNNPTGHAATRKQLQQLVDFAKVNGSIIIYDSAYSAYITDGSPKSIYEIPGAREVAIEVSSFSKFAGFTGVRLGWTVVPEELLYSNGFPVLHDFNRIVCTCFNGASNISQAGGLACLSPEGLNAVQSLVDYYMENARILVTALTSLGLTVYGGKNAPYVWVRFPGSKSWDVFAEILENTHIITIPGSGFGPGGEGYIRISAFGQRDSIIEASERLKYLLY >RHN66069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8263555:8266247:1 gene:gene13981 transcript:rna13981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTHISMFVYSLIIFLSIYLVVTDGIILCKDHFDCYENIRKLRCDFDTEKPFCISLNVCQCIKQ >RHN55364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17676741:17681859:-1 gene:gene30534 transcript:rna30534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MAKPSSSGSRTRSFVQIFIVVGLCCFFYILGAWQRTGFGKGDLLQLEVTKKGAGCDIVPNLSFDSHHGGEVGKIDEVDSKPKVFKPCKARYIDYTPCHDQRRAMTFSRQNMIYRERHCPREEEKLHCLIPAPKGYVTPFPWPKSRDYVPYANAPYKSLTVEKAIQNWIQYEGNVFRFPGGGTQFPQGADKYIDQIASVIPIENGTVRTALDTGCGVASWGAYLWSRNVIAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSGAFDMAHCSRCLIPWGSNDGIYLMEVDRVLRPGGYWVLSGPPIHWKANYKAWQRPKEDLEEEQRKIEDVAKLLCWEKKSEKNEIAVWQKTVDSETCRRRQEDSGVKFCESTDANDVWYKKMEACVTPNRKVHGDLKPFPQRLYAVPPKIASGSVPGVSAETYQDDNKRWKKHVNAYKKINKLLGSGRYRNIMDMNAGLGSFAAAIQSPKLWVMNVVPTIAEKHTLGAIYQRGLIGIYHDWCEAFSTYPRTYDLIHSNGLFSLYKDKCNIENILMEMDRILRPEGAVIFRDEVDILIKVKKIVGGMRWDTKLVDHEDGPLVPEKILIAVKQYWVADTNTTSTQ >RHN69304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42447835:42450441:1 gene:gene17753 transcript:rna17753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA reductase MDLPHNFFLLATALLGFISVCKFFFHFFNWIWIMFLRPHKKLIDYGSWAIITGSTDGIGKAMAFEFALKGLNILLVGRSPLKLEATSKEIIDKTFGNVEVKSVVVDLQNNSGEEIMNKVEEAIDGLDVGILVNGAGVAYPYARFFHEVDLDLMDTIIKVNVEGTTWITKAVLPSMIKNKKGAIINIGSGSTVVIPSYPLVTLYAASKAYLAMFSACTNLEYKQLGIDIQCQVPLFVSTKMTRMRASLFVPTPDKYSKACTKWIGYEKLVVPYLFHNLQSFLIRKIPDVFLDSYMLRNLLYMRKRGLIKDSQIKGSKASSNSKTN >RHN42839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41388989:41393304:-1 gene:gene49334 transcript:rna49334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sieve element occlusion MEMVPRKNQSRAMRHMFSASDDTIMTKQIRATHAPIEEHVDVRPLLNVVQDIFRHAASLIPDIVQGKQVQMGVIKDDANESDLSDVLEISYHAINKISCEISCKCMMGGGDAHATAIGILGMLSSYSWDAKLVIALAAFAANLGEFWLVAQLYATNRLAKSVALLKHIHETLNQVDDLGPKFEAVHHLLKAMLDVTDCIVEFHELPDQYIDHEAPETLTASTLIPSAVYWIIRSIVACASHIFGIIGLGQGYMTSTTETWELSSLTQKLDSMNGHLQNQLAICRQHLDDNKQKEAFQTLQHLFETSHQDNIKVLKALIRCKDDPLPLSDGSTKQRVSIEVLRKKIVLLYITDLHHISDQEVVIFEQMYQESRQDSTRLESQYELVWIPIVEKGAPWTETKQKFERLQSMMPWYSVYDPSLLEPASIRYIKEVWLFNTKPMLVVLDPQGKVVNLNAVHMMWIWGSMAYPFSSLREEALWKDETWGLALLADTIDPLLFDWVTAGKYICLYGGDDMEWIRKFTSAAKSMARTLQIPLEMMYVGKSNPGQKVKRINKTIYDENLSNVLTDPTIIWFFWVRLESMWHSKLQQSKTVENDQIMLEIMRILSFDSSDLGWAVLSQGTVRMTQGKGDSFLKCLDELDEWKGDVNDKGVLTAMDDYIQGIQQPHHCNRLILPGVDGTIPDKIVCAECGQPMEKFYMYRCCNE >RHN50405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6848684:6848989:1 gene:gene34684 transcript:rna34684 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGAKNLPKSELKPQNRITLREEATGKLKTKPIVNTKSHLRIDHLKNLALWATTDPNIPSLSAFYGRQLAAVSEASGVAPDPSLITCQRFVFFCYIYA >RHN50560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8083899:8084246:-1 gene:gene34859 transcript:rna34859 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHHTCNFVNYDEEGYILDYGNFANYDEEGYILDYGKTINLQAAAIKEFLLLYGVGKEESLQGSITYTSVDSNVEKETSCVVQTGESTTNGQENIDTEMSLFEMSNKNRKIFEP >RHN74104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27891030:27894805:1 gene:gene10083 transcript:rna10083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oleoyl-[acyl-carrier-protein] hydrolase MVATAATSSFFPVTSSSSSDSVGKSSKHGVSSANLGGYKSKQSSNGLNIKANAAPSKINGTAVTTAPVKVENDSPSQHHPRTFINQLPDWSMLLAAVTTIFLAAEKQWMMLDWKPRRPDMLVDPFGIGKIVQDGLVFRQNFSIRSYEIGADKTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMCKKNLIWVVTRMQVVVDRYPTWGDVVQVDTWVSAAGKNGMRRDWLVRDAKTGEILTRASSVWVMMNKLTRKLSKIPEEVRGEIEHYFVNSDPVVDEDNRKLTKLDDSAEYIRTGLSPRWNDLDVNQHVNNVKYIGWILESAPQPILESHELHSMTLEYRRECGRNSVLQSLTSVSGADIGNLADSGFVECKHLLRLDDGAEIVRGRTGWRPKPINNFDVLNQFPSQST >RHN38851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2440553:2442434:1 gene:gene44820 transcript:rna44820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MLFFHSCNGLNVRNLRILNSPKSHVSVNMCNHSTFSHISINSAAASPNTDGFDISRSNNISIENSKIRSGDDCIAVNGGSFFINITRVTCGPGHGISIGSLGRNRLNDKVSDVHIRNCTFIGTTNGARIKTVPGGSGYARQITFEQIILSNVKNPIIIDQGYKISPTDTSVKVSSVTYRGFIGTSASKIAVNLNCSSPGCFNILLDQNNIVATQAGKKTSFFCRNAHGTVRNTFPNVSCLSS >RHN52419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35131814:35132132:-1 gene:gene37095 transcript:rna37095 gene_biotype:protein_coding transcript_biotype:protein_coding MQESSRLEYLIPKKTSLRHRLPMGDQGFTDFVSHLLEVNPKKHLLHLKL >RHN74497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33977280:33977966:-1 gene:gene10582 transcript:rna10582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MRRYKLPRLIYINKLDHNGANPWEVLDQARSKLKHHTAAVQVPIGLKKDFKGLVDLVQLKAYYFHGLNREKEVAVDEVFEEVPADMKALVSEKRRELIETVSKVDEKLAEAFCSDKPISATDLEVCC >RHN56427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30796241:30800539:-1 gene:gene31826 transcript:rna31826 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKIIPLKFVSFYMAYFYTLPKLEGLFEVLTLYFLNIKLFVIKFNFSYIKYTNNHAFRIIKNKQSCISISTGSTSDPLP >RHN45324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16557993:16562452:-1 gene:gene39594 transcript:rna39594 gene_biotype:protein_coding transcript_biotype:protein_coding MATVISFLFNGYGNGLYLLQLPIYNNTFVRRKGNALDYNAEKALS >RHN43618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47269071:47271340:1 gene:gene50225 transcript:rna50225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 23S rRNA (guanosine(2251)-2'-O)-methyltransferase MYTNISKTQAFPLVSKISSQPKCFNSLSTLNIQFSPLSSHNKSIFTNPRYGLMLEIARRYCAARSVEKQLPWLETNEVKEDKRVERAKRNHVRSSIQDDSVKKGSVKSSWEQSVDRLEIPAVSEFKPRSRESAFSAKGGVSNRERKNGLADNGREPRNDRGSRYVKFDDKRIASNTRFGKYGKFDDKRSANNTHADRYSKVDDNVEERVDDEMEDEVEEGVDDPRWDNIKNRFKGVVGGRGGLEKLEYRRWDRNENWGSRKTWQEATESTVPKIVGEGIYGVGPVLAALSAGRREFYALYVQQGLDLSSNNRKKKDKKGFERVLKMAEKLNLSIKEESKHDLNMVTDNRPHQGLVLDASPLEMVKIQELEPVSTEEGKGSLWVALDEVTDPQNLGAIIRSSYFFGAAGIVLCAKNSAPLSGVVSKASAGSLELMELRYCKNMMQFLVSSAENGWRVLGGSVSSKAISLNEIEPGPPTILVLGSEGTGLRPLVERSCTQLVKIAGNIPSDLSTGDELEGESTGLNRESPGKEFLSFLAVESLNVSVATGVLLHHLIGKRSVDSLPDANRQISE >RHN50586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8315244:8316335:1 gene:gene34889 transcript:rna34889 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIISSYIYAGPQILAEIGNELWEEISKGLDVVGFRAFGSVCKTWNEITVRTKEDFLESQSPQVLLKSSNEMDIYYLCDMSRGKNTTFELDNTSNKSFVGCTGGYMIFESKNHHVKFINPLNGHEIRYAIMSFHFRGQPHHDHIVLATSLSSKSRVLFALSNNKREYKVYHSGVGKWYYGVYDVNVTDIAIFRNKVYLVTSEADFGELKINIADASFAWMPVHQIPNDDSEDLKLIVTNDQLFLVDFVPAKHLNIYEMDFDTMMWIKVSDLGDKALFLGGKGHCAMRRPGKWGHLSNCVYYLETFPARCCIYSMESKLIHMIPLVGKSGDQVSSLGWYCPDQCMKVKYLNMDYEDETIEENA >RHN70440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51447299:51448861:-1 gene:gene19019 transcript:rna19019 gene_biotype:protein_coding transcript_biotype:protein_coding MCFHLSFGLGCHQQIISHHHFMHLLPSSSSHELSSFSNHLQRLRSLWVDCNSECQLSLDAKNILDALIATVFKDLESIATTSQLSNMTTSTVSGSKYSFKSLLIQIFFVDIHSLCLHLYACLCVYHLIHLNSLVYMSINNTRKSTQQFAKGNMAVNGSDCCLLPGDSYPNWLTFNSEGSSVTFEVPQVERHNLKTMMCIVYTSTSDDITSDGLKNVLVINHTRAIIQLYKSETLISFGDEEGERVVSSIEPGNKVEVVVVFENGFIVKRIAVYLVYDNSIGKTIDLYHLPDLNIIDCSSDDSECIGKRISTEEESIDDFNQTRKKKKRVE >RHN40327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15326894:15332585:-1 gene:gene46470 transcript:rna46470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MAGSGVEWHVRPPNPKNPIVFFDVTIGNIPAGRIKMELFADIAPKTAENFRQFCTGEYRKAGLPIGYKGCQFHRVIKDFMIQAGDYVKGDGSGCASIYGLKFDDENFTAKHTGPGLLSMANSGPNTNGCQFFITCAKCDWLDNKHVVFGRVLGDGLLVVRKIENVATGPNNRPKLACVIAECGEM >RHN68907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39529267:39533399:-1 gene:gene17323 transcript:rna17323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MMAYNLKQKLFSITLLFCIIFSPTETASTFSHYNCTTIKKFSPKSIYQTNLTTLLSTLSSKALNHGYYNTSISTIDEKEDTIYGLFMCIGYTSNCGECVQNSTKILTSMCNLNKEAIIWSDECLVRYSDRSFFGTLEESPSWCVKGSMDYEGPLKGFNKMLNSLMLDLVTQAISLLKKPVTQAIKTSTGNSIKFVLKRAIFFEDKFLYGLAQCIPNLSNDNCMKCLNDAINYLQTSCAKGKIRGSVLYPSCVVRYDPYPYFEQPIVKSEENEVQSFKIFFHVLAPVMICSVAGFFFVYYLRRRRARKNLMYHRENFGEEITSEVNSLQFDFDMIRLATNKFSEDNKIGEGGFGDVYKGMFPNGYEIAVKRLIRNSSQGAVEFKNEVLLIAKLQHRNLVRLLGFCIQRNEKILIYEYMHNKSLDYYLFSPENHRKLTWHARYKIIRGIARGILYLHEDSHLKIIHCDLKPSNILLDDKMNAKISDFGLARIVAIDQMQGNTSIIAGTYGYMSPEYAMLGQFSVKSDVFSFGVIMLEIVSGKRNVDYNGVNSIDDLVSHAWKKWTENKQMELLDPALTYSFSETEVSRCIQLGLLCVQENPDQRPTMATIALYFNIDSIDLPLPQQPPFYMRGKIESKVASKKTMSGRPRSYSVTRF >RHN67309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25866688:25867298:-1 gene:gene15489 transcript:rna15489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MIYMEQQPRDLGCLALLHKIAYLLKTMKDRHQIASEIQDIKLFIRGIKEKSHRYEFQILPSNEQESRSFRKSQNVKWHDPRTAALYIGEAEMVGLQAPRKQMIGWLVEGRSERTVISVVGMGGLGKTTLARKVFDSKEVAGHFEFRVWIKVLQSYYTEGLLRGMLNELYKQKGEEPPKGISKMDEGR >RHN52411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35091874:35092586:-1 gene:gene37085 transcript:rna37085 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLTAHRSICRTQKKPASSHLNEFGFLFLFFFVIRHQNSSLFSFIHQRGMI >RHN47739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42122268:42126102:-1 gene:gene42372 transcript:rna42372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MANNDKNKEKENEYFLDANEDETDIEAVNYDSDSSNNRGSYDDDDQQPESFTSQQWPQTYNEAIDPLTIAAAPNLGSILRGPSVLYSSFVGSFSSKSYLELHDGKTSFLSGNQIQEGFPTTWWEKASIQMQIPEELPIGYGCTFTQTIFNGINIMAGVGLLSTPDTVKQAGWVSLVVMLIFAVVCCYTAELMRHCFQSREGIISYPDIGEAAFGKYGRVIISIILYIELYSYCVEYIIMEGDNLAGLFPGTNLHWGGLNMDGKHLFAILAALIILPTVWLKDLRIISYLSAGGVIGTALVATCVFVVGTRKDVGFHHTGQFVNWSGIPFAFGIYGFCFAGHSVFPNIYQSMANKKDFTKAIIICFVLPFLLYGGVGAMGFLMFGEGTLSQITLNLPRDAFASKVSLWTIAVIPLTKYPFP >RHN46637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33562319:33565661:1 gene:gene41154 transcript:rna41154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubby-like domain-containing protein MAYPYQPQPSSSAPMPPLPTTIIGPQYCTPYPVELAVVKKVMTIADNLTVTDVNGNIVFKVKGSVFTIRDHRVLVDAAGNPIITLRRKILTMHDRWEAYRGQSTNAKDLIFTLKRSSLMQFKTKLDVFLAGNTKEDVCDFKVKGSWFERSCSVYAGESNNIVAQMHKKHTVTSILIGKDHFMVTVYPNIDYAFIVALIVILGEINDDAKNSN >RHN82295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52638246:52639536:1 gene:gene6449 transcript:rna6449 gene_biotype:protein_coding transcript_biotype:protein_coding MQATFISSHVLLSSPSSGNIMRRSTPFNSHNNKSFICASKRDPFGQQYDGKLVDENMIILRMRIREIEMVETKTKDHSDWTEWEKKYFENYGSDVCDAVGLLQRMLMNTRPALAVGILALFMLSMSMSMSLLMIHLVELANTSMITFSSI >RHN59256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8834397:8837228:-1 gene:gene21260 transcript:rna21260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronate 4-epimerase MSQLKQMSHADNSAPSTPGKFKMEKASYFNRVRWHASPAKLCLWSFVFSAAILIFFFRSPASSPLPADPSRRSLRSPSNWGGPVWEKRVRSSARVRSRNGFSVLVTGAAGFVGTHVSAALKRRGDGVLGIDNFNDYYDPSLKRARQALLERTGVFIVEGDINDAALLRKLFEVVPFTHVMHLAAQAGVRYAMENPGSYVHSNIAGFVNLLEVCKSVNPQPSIVWASSSSVYGLNTKVPFSERDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKTIPIFEAANHGTVARDFTYIDDIVRGCLGALDTAEKSTGSGGKKRGPAQLRVFNLGNTSPVPVSDLVGILERLLKTKAKRNIMKLPRNGDVQFTHANISYAQRELGYKPVTDLQAGLKKFVRWYLNYYSSGKKAVE >RHN49311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53975570:53979896:1 gene:gene44126 transcript:rna44126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative homoglutathione synthase MVAGSGCLVWFPHSCTNIFPSSFVSSTSQHHRSVLSLFFPKNRNMSQQQLNLSSTTTNIEVEVVDNSPPMTLPSFNDYHQIDDKVLENIVYDALVFATLNGLLVGDKSVERSGRVPGVGLVHLPFSLLPPPLPETHWKQACDLSPLFNELVDRVSLDGKFLQQSLSKTKKADEFTSRLLDIHAKMLEMNKKEEIRMGLFRSDYMLDEKTKSLLQIEMNTISTSFSGVGVVMTELHRNILSHYGKLLGLDSERVPVNTADSRYAEALAKAWKEYNNPRAVIIVVVQGEERNMYDQHFVSAILREKYPFLSSYYDLSSKGINIRFYYLAKQTL >RHN48577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48558982:48560502:-1 gene:gene43308 transcript:rna43308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIPPRANVYAMIIFLFIFFVAMLVKVSHSHCVIDAHCPRNMCGFHFPPRCVEGDCVC >RHN73942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21900223:21902687:1 gene:gene9842 transcript:rna9842 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFIVLHNRLIIHFFVIYLAGYGKIDNFKCKFRFIVWFIFGPTDHAKDMPLVCQLMNFCKDHANLCPMQVMEVKKELKDKF >RHN68363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34873965:34878062:1 gene:gene16704 transcript:rna16704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb/SANT-like domain-containing protein MMSTSPSKANWNPAFHKIFVELCVKEVLKANEPGMRLTKEAWKNIVGSFYEKTGVEYDKKQFKNHYDSTRKLWKVWDKLTRDSDMKWDPKTRKFGASEEDWCDYIKENPEAAQFQSNEIQFKDELDIIFDGEMPPEEIKKSIRLKWQDNALATSVQGRTRGRKRKCVIKYELETSELANSPPTPKASWTPAYHKIFVDLCLEETLKGNKAGSHFSKEGWKNIMGYFYAKTGVRYDKRQIKNHYDFTRKQWKIWVKLIGDDNMKWDPKTNTFDASEEDWLDYLKENPEAAQFRFKEIPFPEKLDIIYAESIQTGEMQPSTSSERQNDDSAATPPLPPLRVERGKKHKSINEDFDFKNAIVMNATPIRSIASEQSMPRSSHPKVKANWTPSLHKTFIDLCLQETLNGNKPGTHFTKEGWKNIIDSFYLKSGFNYGRLQFKNHWDNTKEQWRAWSKLVGTSYMKWDPSNSTFEASEADWTKYLKANPEAAQFRYKALPLADALETLFNGTTATGETQPALEHRKSDDSVNTLPSHPKEPDVTSLDEKTASLGDAVASRNGVTIQKNAFPISCAEGKRSYSIGECIECLDGMEDVEQGSDLYLFALDVFLRQEHREIFLQLKKPNLRISWLQRLQSVGQA >RHN42407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38086248:38094431:1 gene:gene48844 transcript:rna48844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MVQTGKRYNSHRDRDRDGDRQNQKRRVSDKNNNQGNDELIVYRILCPVEVIGSVIGKNGKVINSIRQETRAKVKVVDPFPGAKDRVITIYCYVKEKKDIEIDDEFDDVRKPLCAAQDALFKVHVAILNSIDALGDSDNKNNNKRKDKDECQILVPSSQSANIIGKAGATIKKLRSKTRANVKVTAKDAADPSHSCAMNFDNFVSITGESEAVKRALFAVSSIMYKFGPKEDISLDTNVPETQPSIIIPSEVTYFPPGGLYQPSDPIISSAHVPQFLGATNAQDLHGYADAGNTWPLYSSALPVMSGVGATLSEELIIRMLCPSDRIGRVIGKGGSTIKSIRQASDARIDVDDSKANYNECLIIITATESPSDLKSMAVEAVLLILGKISEEDDTSVSIRLLVPSKVIGCIIGKSGSVINEIRKITGADIQIFRSDKPKGADVSDELVEVGGAIGCVRDALIQIILRLRDDALREKDIGHNPSTGGESFYSSSAGLSFPSMLPSISSISAPLVYDQRAEGATGLGMLASSSLYGYGSLPTGEDGYGSISSYASNLYGKQRLSPASILDMLIPANAVGKVLGRGGANVANIRKISGATVEISDTRSGRGDRIAQISGTPEQKRAAENLIQAFIMST >RHN74696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35966493:35967549:1 gene:gene10803 transcript:rna10803 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCVFFFFFFNLFCKDMAREEDEQHRLIRDARMHKGKEASIASARREKVDQNLCVPKARKRRDPRLVGEDSQSQMGYSSQEEEEAEDEEVADDEEVPHDVVADYLDAYNIVPEGESEPQTRRRRRVPLIPPCPVVGPPFPGGPETTILLSDYARHVVIPLWVNHHNMWHYGEYNCINAGRKVRELNMPEKGLRWFWDPVEASDLHGLIYTGYSDVTHAMICALCERWHT >RHN46128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28986732:28987280:-1 gene:gene40571 transcript:rna40571 gene_biotype:protein_coding transcript_biotype:protein_coding MFGELLKLFLRTLLLSDSGHCNSVYLNCTVNWLACMRDHSIHNSVLKYVIVSLDLGTETYSRMFPPKPFDKKLSIVPVPEHVCVLKGFLCFYHDIKGTDFMIWQMKKFRDKSWIPFLKFSYQNIQMNHEIGSSSLLILRPLHLSENGDTIVLKSNQEDRAILYNRRELAKQRKLELTKRYVG >RHN74510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34114488:34117695:1 gene:gene10595 transcript:rna10595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase MFHKPPYVGKRAVSEAAWSGYIKTMESEASPEDRENIMRARATGKAVMTSPFRLLGSHHLGVVLTFPVYKSKFPPNPTTEELIKATAGKMLMIKLLYRYHQKAPPNWTALSTAILFFVILLLIGYILYGAGNYIVKVEDDFHEMQELKVRAEAADVAKSQFLATVSHEIRTPMNGILAKIEAGKLELEAVPFDLRSILDDVLSLFSEKSRHKGLELAVFVSDKVPDIVMGDPGKDNIVI >RHN50989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12713442:12714911:1 gene:gene35349 transcript:rna35349 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPMASSLLTTLMAILSLNFISQISANNSVHSSSPPPPKFPYHYSYPPPPPKKPYKYPSPPPQIYKYKSPPPPLPKKPYKYPSPPPPIYMYKSPPPPIYKYKSLPPPPPKKPYKYPSPPPPVYKYKSPPSPSPKKTYKYPSPPPPVYKYKSSPPPYKYPSPQQPLQLSQQTTNYYYKSPPPPHRKP >RHN81461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46109447:46110320:-1 gene:gene5508 transcript:rna5508 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPKYKQKNPSLSHVHTIPTKNQTSSPETSSSPSIIVAVDQENQINNLISATHNPHHQCSSHHCPRHRNPPPSCF >RHN41926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34121290:34125237:1 gene:gene48301 transcript:rna48301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative procollagen-proline dioxygenase MVKFKHSNVGLRKPSLITCWTLFLTLFVTFTFLILIILTLRIPKLNHLNSITHSNTLRNDDNKRWVQIISWEPRAFLYHNFLTKEECEHLINIAKPSMHKSEVIDEKTGKSLNSSIRTSSGTFLDREGDEIVSNIEKRIADFTFIPVEHGESFNVLHYEVGQKYEPHYDYFLDTFSTRHAGQRIATMLMYLSDVEEGGETVFPNAKGNFSSVPWWNELSDCGKGGLSIKPKMGNAILFWSMKPDATLDPSSLHGACPVIKGDKWSCAKWMHADEY >RHN48086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44873531:44875118:-1 gene:gene42761 transcript:rna42761 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFPSCFGENGVQVADSSSSSSSSIRGPQNVVTCIYQCKLRGRSCLITVSWTKNLMGQGLSVGIDELGNSCLCKVDIKPWLFSKRKGCKNLEVESSKVVILWDLSYAKFGSGPEPLEGYYLVVMFNKKMVLLLGDLKKEACKKIDSDNNVSGNNNSDAIFIAKREHIFGKKFYSAKAQFCDKGKVHDVRIECDTLVGTNEPCLVIRIDSKIVMQVKQLKWKFRGNQTVLVDGFPVEVFWDVHNWLFGNAMGNAVFMFQTCISAEKLWSGQSVSDPSLLTWAYSHQFRDSQLQGLGFSLILYAWKNE >RHN81349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45102429:45105882:1 gene:gene5371 transcript:rna5371 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESWFRSLWKTQRKDDSNSEKAEIGVLAFEAAKSMSKLVNVWQSLSDKQVAKLRDEISNSVGIKKLVSDDENFIVRLISQEMLESMAHVAESVARLAKKCSDPRLKNFEKAFDMFITRGFDSYGWVMSFKKMDKKVKRMEKFVSINASLYQEMEMLADLEQTLKRMKVYSESDGPNLIEYQKQVAWKKLEVKNLQSNSVWNRTYDYTVQFLARSLFTILGRINKVFGIEEVINVGKTTNRSVPNSDHIRGSQAVSELLQSSVHPSQNNVTRFASGPLGPSTAKSDQNVRANKTSILHSVGDSSTKSGPISGKYRGINFFSGPLGRSSKKPVPDNVTGKNNKFWKFNYGHSTTTSGKENNTRHSRVTQVGPFKGCIAADSSSVIDCHSNSNDIPLETQNHKDADLDLHTPGNVTHCTKPTFSSLCKLKPPSESLGAASLALHYANVIVVIEKLSASPHLIGLDARDDLYNMLPRRVRASLRTRLKPYSMAAAVYDASLAEEWSEAMTKILEWLAPLAHNMLRWQSERSYEQLSFVSRTNVLLVQTLYFASQEKTEAIITELLVGLNYVWRYVKELNTGILEIGNSVVDNGYSLLNA >RHN39623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8803008:8805356:1 gene:gene45663 transcript:rna45663 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQLVGSAFEKESQSHSKYHVESFVDDPVAVSSAVNETMLLQRKGGKSTTDTQSAKGLNPTNAHDKPGKSIDVDINLSNQARVSHLMESIEKGYVLINPHFTSSEDFSDYFEASASVQDNPSSGVSICPLKGTSNLDIGKQTKDPSSSSTDGLNNFKSNELDAFVASCEFSTVRKEREFSLLYPSNRLEMLDQYVQVLGELSQEKYNTGLYLESLAVELVVLAIWKKALDICSTWSVPISEGELNGRSSINESIIASGDASLSQTMEQKINFSDHSSVSLWAKHGFVIAVNRAEKLSCHIQNMDGAVEMPDAMDIIFQQALLVGTNAAVDEYMNNKDKSAESYSKAMLLLSFIVGEAENLPLNPPFSLLADDQKRIVQYICNLQFHKKSLTESASRESHTLP >RHN45598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23538469:23544041:-1 gene:gene39974 transcript:rna39974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MVLPFFIDVCIYIVYNLFMTLKISVARYEEIFLANKMGAVICCISEQGEKKSLERKLEKKIAEIRRNKFGQTKLKSIDSIVMLFPMFKERLKTLRGMFEQYDEDSNESIEPHELQTFLEDLQLHLPENEIENFFQYCDIDGSKGIQFNEFIVLLCLIHILTEPLSSDNSPKEELAQLGQVFDKIIEIFLFFDQNGDGKLNKKDMVRTMNETNPRERSPAHVTKNRFREMDWDKNGQVTFREFLFGFINWVGIDVDE >RHN65597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3572344:3576182:-1 gene:gene13452 transcript:rna13452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L18 MLKQAIGRICRTNAYACEGNKVLPWSYVSSCCFHNGQAHMAPRSFFGVEDFVDDDNSRPYTYQKGKKSKNPNKHISFKQRTVAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLRSRSDIPACIAIGRILAERAMEADVYTASYTPRDRDKFEGKIRAVVQSLIDNGIDLKVYLD >RHN42792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41028883:41034290:1 gene:gene49280 transcript:rna49280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MEDNGRFEEKSFTNGDVYIGKFKGILPHGNGKYTWSDGSVYEGDWIDGKMTGKGILIWPSGAKYEGEFSGSYLHGHGTFTKSNGCVYTGGWRMNAHHGIGRKAYSNSDIYEGLWKEGIREGSGKFTWNDGSIYIGNWKKGKIDGRGIMKWFNGDVFDGCWLNGLRQGSGVYRFSDGGLYIGTWSKGLKDGKGTFYPSGSKQPSLKKWCTNLHSDENCKVPNSRFNRSLSEKARASGRSKSSRHLSQSTSLLDENHVIQNPADDCICRDSSSALPRTSNEGQSEVSVMSNMVFEREYMQGVLIVERLRECSEKPHNKNKRQSKFSMKQVKKSSFIGTIQNRRSYYLKLNLQLGIRYTVGKITPVPAREVRSSDFGERARIRMYFPKEGSQLTPPHCSVDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDCALKDISSPGKSGSIFFISQDDKFVIKTLKKYELKVLLNMLPKYYHHVGSYENTLITKFFGIHRITLRGGKKVRFVVMGNMFCTELHIHRRYDLKGSSQGRYTSQDKINSNTTLKDLDLKYEFHMDKKLRESLFKQISLDCKFLESQHIIDYSLLLGLHFRAPENLKALVEPPGSIQRQFSLPSADVDILKQGEQLIIPKGLLLVAHEPSFVNATPGPHIRGNTLRAYSIGNKEVDLLLPGTARLPVQLGVNMPAQATSLLQEDKVEESEVELFEVYDVVLYMGIIDILQEYNVKKKLEHAYKSLQFDPMTISVIEPKIYAERFINFMDKKVFPEIP >RHN75382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41775675:41779237:1 gene:gene11571 transcript:rna11571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase C MEKLYVFFVTLVFLGFLFHEGKVGALKSEDGLEEWGYVQVRPKAHMFWWLYRSPYKVENPSKPWPIILWLQGGPGGSGVGFGNFIEFGPLDANLEPRNFTWLRKADLLFVDSPVGTGFSFVEDSKLLVKTDEEAATDLTTLLIKIFNNDPILQKCNLFIFGESYGGKFAATLGLSALKAIKKGRLKTTLGGIIISLKFFIFKCGVVLADSWISPEDYVLSWGPLLKDMSRIDDNGLQKSNILAERIKQQLEAGEFINATSTWIQLEYVINKSSNFVDFYNFMEDSGSYSVTSSTIEKRLLKEIPMRRYSKYLTSFKDSNVVNGDLDTLLNGVTKKKLKIIPNNITWYAESVYVIANFLGEFMKPRIAEVDELLALGVNVTVYNGQLDLICATKGTESWLKKLKWLGLQNFLSKDRTPIYCGSERKTKGFFKSYENLNFYWILGAGHFVPIDQPCIALNMVGAITQSPAA >RHN64362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58478242:58482460:-1 gene:gene27174 transcript:rna27174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative INO80 complex subunit B-like region, Zinc finger, HIT-type, INO80 complex, subunit Ies2 MSRFAIESIMQRKRSDVLRKPRVNCDAIFQHYFGLLSSHAHNEKNVKDTIVVSDGLKTENKLKKLKLKFGGVTHTIHTKPSSDARNPKCMQDNAGNDVHSYDKKRGHGDKLVKLSRTDHSHAAENHSHKRKATEQHVRKSKRVTEKCAMDVGCSDEDDDAELHFLEKINASKRGVSRHKDNQGGTTMRGVCKDASGKNYEDRDYMQEDPTSSDESILEGKKPKRESVGLLVPRKHSTRNNHNCSVESFKDALSGSVASIIDISDKKGKLSEEQLIKKAEAAKRRKIQAEKAAREAEEAAIKKILGQDPARKKKEEKMNKHRDEIAKEKSSKPFNLASNTVRWTMGPNGTVVTFSDDIGLPSIFQTMPNSYPPPREKCAGPNCTNAYKYRDSKSKLPLCSLGCYKAIHEKISPVIAC >RHN57330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38149890:38152470:1 gene:gene32856 transcript:rna32856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, protein accelerated cell death 6 MPFSSSLNPHRPGLAAQPKQDAALSRNVSSYKEPGYEIEPLEVTEILPNCCEFDNQINLISEAYNLVYGVSTNLLGSTNLNKIETPVKNTVLHIAAWNGNNAIVNLVVEHAPKLLFTFNKNNDSALHVAARGGHIQTVKKLLASYANIERHDIKMAWLEYTNNLDDPKEYDEKSNMEDLLEFVKMKNVQGNTMLHEAMLCNKSNNISGDNIFEVCELYENEDCLGNSLAKCCYEYALEIVNHAKKSVLYLAVENGEEDVVQLILENCLNSNEAKPKGLSPVVAAILKRNQEMLNTILKNKPT >RHN61240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34088594:34091149:1 gene:gene23681 transcript:rna23681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-hydroxy-3-methylbut-2-enyl diphosphate reductase MAFHANFCRFPTTRIDVPSPDRIRCRKVFPVRCSADSDFDPKVFRKNLARGENYNRKGFGRKEETLKLMNHEYTSEIIETLKKNRNEYTWGNVTVMLAEAFGFCWGVEKAVQIAYEARKQFPNHKIWITNQIIHNPTVNKRLEEMKVENIPIAEGKKQFEVVNKGDVVILPAFGAAVDEMLTLSEKKVQIVDTTCEWVSKVWNVVEKHKKGDYTSIIHGKYAHEETVATASFAGKYIIVKNMGEAKYVCDYILGGELNGYSSTREAFFEKFKFAVSKGFDPDNDLIKLGIANQTTMLKGETEEIG >RHN51603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20795715:20800765:1 gene:gene36075 transcript:rna36075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative procollagen-proline dioxygenase MSLLTFLLITFFTLSLLTTSFSDSRKELRNKNENVLKQLRNSVYYSNRIDPSRVVQISWQPRVFLYKGFLSDKECDYLISLAQEKSSGNGGYSKKEETSLDMDDDIVKRIEERLSVWTFLSKENSKPLQVMHYGLEKDRRNLDYFTNKTKLESTGPLMATVILHLSNATQGGQILFPESVTKSSSWSNCRNNSEILQPVKGNAVLFFSINLNSSPDKSSLHARCPVLKGDMWSAIKFFYIRSISGGKVSTMPEDEDCTDEDDSCPAWAATGECQRNPVYMVGSPDYYGTCRKSCNAC >RHN81976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50303161:50304614:1 gene:gene6087 transcript:rna6087 gene_biotype:protein_coding transcript_biotype:protein_coding MCRIGFSPLSLKTPSLNQVLGNLDVTPFKRLTKPITLLFYKSNVNLQHNKH >RHN38673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1215532:1216196:-1 gene:gene44632 transcript:rna44632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSKTEMMNPCVWLQTYDGSIQQVEQEIAMFCPFICQELILLPQQGSSKTSPICLPQQVTPAILTLILDYCRFHHVKGRSNKERKSYDEKFVRIDAPRLCELTSAAKYLQLNPLYDLTCHAITRIIEGKSSEEIRDIFHLPDDLMEEEKLELMLNLTCDPSIRLLNSLYAKKREQLIELKRVTKNVEVEDEDVVDGRSEENMQE >RHN55922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25468293:25469110:-1 gene:gene31213 transcript:rna31213 gene_biotype:protein_coding transcript_biotype:protein_coding MWIVCRRRFHLLMVWFMVINFLVCFHLCQVEAIRVKFNHGIVDKKGKENLLHKYLYGNSNFGLNHKPFDESKRKVPSCPDPLHN >RHN61894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39173446:39176617:-1 gene:gene24406 transcript:rna24406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MASTISSSNSHKLQRYHMVDMKDMISDLPEGILYYILSRLSTEEAVRTSILATKWRYLWTQLPVFDFRDSSPKRNSKSPDCLVDLVDQLLHKSNRIKKLFIELPVTIVDAGKVSSMLSSALMHDVHDLTLNLESENSRFVLPNSFSASRSLTKLDIVFGFLDSVPDGICFPSLKILSFAHVTFTNEKSAQRLFSGCPVLQDLSFEKCYWVNINISVAIPTLKKLDIFFRSSSLDRNSCMFKIDAVNLLSLSYKSNPTINCFLVNSTSIVDANIDLGFFCVQNNELHVAVFAIELFSRLGSVESLRLSDQTIQCLNYAKSALHLLPSFKNLTRLEVHFDDPSCEVLADILRKSPKLEFLHIYGGSYLSLDSEDWTSDSLPCCFKSSLKSCDISDFRGDEAEIRLVKFLLENVTLLREMNIYCTGYLSKKSKKLADVRNQLQALGMGSCVINLHHSWF >RHN39052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3774186:3775061:1 gene:gene45044 transcript:rna45044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MASSTLKFNTIFLFLSLALLLFFTLEVQGNICKRKSTTWSGPCLNTGNCKNQCINVEHATFGACHQDGFGFACFCYFNC >RHN63050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47945218:47947451:1 gene:gene25703 transcript:rna25703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MWLNERVAMCKPLLKCIFFLEIWWQVEKIKRLPHAKPLEVTFTGMIRSSMDGGHIDDCICIFEYMQDHCAPNVGTVNTMLKVYSQNDMFSTAKVLFEEVKVAKSDLRPDAYTYNLMLEASSRGHQWEYFEHVYKEMILSGYHLDQNKHLPLLVKASRAGKLHLLEHAFDMVLEAGEIPHHLFFFELVIQAIAQHNYERAIILLSTMAHAPYRVTEKQWTELFKENEDRINHENLKRLLDDLGNCNVVSEATISNLSRSLHDLCGLGSSRNISSIIPFRSENVDCLNETINGGENGKAPNFSGRMMIEGAESGNDILFGGDQAEPDMFTFNDDQVDRVNNNDVVVCRPQNRVIEDKSSFCVDRPEFLDRLTLDKSSDDSEDELSDDESYEDDDDGDKEVIDKPSAYQILEAWKEMREEDKSLLHSEIDCG >RHN71393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58691374:58693908:1 gene:gene20071 transcript:rna20071 gene_biotype:protein_coding transcript_biotype:protein_coding MMLENPQAQPPESGPVVVKRYAPPNQRNRSINNRRKSSSDRLDRSNSVGSDIEKNQVASSKNIQVTDHGHASSSNIFNENHYSRFIALQGCSSSAASQLLSDRWTAVIQSYNNPKDSSEKPVMYSGGTSVWTQFRLPHQASFIY >RHN45046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11121738:11122190:-1 gene:gene39242 transcript:rna39242 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSSITYLLFCLGCSRPRGGRGGGPALLNRGVGKKSLFKAVTTVTGGFTFSSRAVTTVIGGFAIAPRVVPEIHGVFYTRSFASTSLSTSSFELGLTFVSTYLIIYHIILKI >RHN40512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17055357:17056103:1 gene:gene46670 transcript:rna46670 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKAIDPTCLEYIMTLALIGDPGFHYVCFVVNLKSQKFQFLNSLKGETLNMKNGEATVYKKMLEVWLKEVEAFVTELYMKRKITMPFQFSTFKWETPKMPNQCDKTVVESFA >RHN41777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32853916:32857080:1 gene:gene48136 transcript:rna48136 gene_biotype:protein_coding transcript_biotype:protein_coding MWIEQKSGKTEKYRFCVTFLIKSKMAYNSKETKLKRQILPPYGPIQLLFSTYSKKSFYFHLFFFLREFSS >RHN45811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25829797:25833451:-1 gene:gene40223 transcript:rna40223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAKTPKFVYAMILLLSIFLIKIVSGSNTLLAFRECVYDKDCPVMPRCNMRCRKGITLNKKAFTHRKTHCGTPIRIPLVTFIISHS >RHN77347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6175761:6186574:-1 gene:gene793 transcript:rna793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metallo-dependent phosphatase MVSDKQPAGLLDTLKMERVRTILTHTYPYPHEHSRHAVIAVVVGCLFFISSDNIHTLVEKLDNNIKWWSMYGCLFGFFYFFSSPFLVKTIKPSYSNFSRWYIGWILVAAVYHLPSFQSMGVDMRMNLSLFLTIYISSIVFLLVFHIIFYGLWYIGLVSRVAGKRPEILTILQNCAVLSVACCVFYSHCGNRAMLREKLERKNSNNWFSFWNKEERNTWLAKFLRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGEIACNGSCPGSSDEISPIYSLWATFIGLYIANYVVERSTGWALTHPLSVKEYEKVKKKQMKPDFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMMQAAMSRAEDGNQQRDLLYDHFSEKDDFWFDFMADTGDGGNSSYSVARLLARPFLRTLKDDAEVTLPRGDLLLIGGDLAYPNPSTFTYERRLFVPFEYALQPPPSYKAKQIEVNKLCGDQLKQYDGPQCFIIPGNHDWFDGLQTFMRYICYRSWLGGWLMPQKKSYFALQLPKRWWVFGLDLALHNDIDVYQFKFFTELIMEKVQEDDNVIIITHEPNWLTDWYWSDVTGQNVSHLICDYLKGRCKLRMAGDLHHYLRHSHVKSDGPVHVHHLLVNGCGGAFLHPTHVFDKFSKLDGVTYECKAAYPSVEDSSRIALGNILKFRKKNWQFDFIGGIIYFILVFSMFPQCELNHILQDDSFSGQIRSFLGTVWNGFIYILQHSYVSFVGASVLLISAYSFVPPKLSRKKRLMIGVLHVSAHLAAALILMLLLEIGIEICIRHELLATSGYHTLYQWYRSVESEHFPDPTGLRARIEQWTFGLYPACIKYLMSAFDVPEVMAVSRNNICKNGLESVSRGGAIIYYAAVFLYFWVFSTPVVSLVFGSYLYICINWLHLHFDEAFSSLRIANYKSFTRFHISSDGNLEVFTLAVDKVPKDWKLDSEWEKETKNPQISSHLRSFPSKWRAAVANQDPVQTVKIVDHFIIERTDDKNECGGTDSNGLVDQ >RHN65429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2190019:2191870:1 gene:gene13266 transcript:rna13266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MAYLAVFLILSLSISCASSTSVEKSFMQCMLTIGSSFSESSENTLFINSSSILYPQVLESLKQNPRWLNSSSKPLLIMTPSHESEIQEAILCSKKNGVQIRVVSGGHDYEGLSYLCKTPFIMIDLINIRSIDINLADESAWIQAGATLGELYYKISKASKVHAFPAGICPSVGVGGHISGGGFGTLVRKHGLAADHVVDAHLIDVNGKILDRKSMGEDVFWAIRGGSATSFGIVLAWKIRLVRVPPIVTVFTIQRTLEQGGTKLLHRWQYIEDKLHEDLFIRIIAQNSGANSKTILTMFNSLFLGEKDNLIRIMNESFPELGLQEKDCIEMSWIQSVLYFAGYNKYDPIELLLNRTTTYKSSFKAKSDYVKEPIPEIGLQGIWKMLLKQETFALLIMEPYGGRLNEISESEIPFPHRKGNMYNIQYIVKWDTNSIEESNKHIKWMRMLYGYMTPYVSKSPRAAYYNYRDLDLGSNKHDNTRYSEASVWGIKYFKGNFKRLAQIKTKFDPQNFFRNEQSIPLLNSLP >RHN73042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12940289:12940930:1 gene:gene8818 transcript:rna8818 gene_biotype:protein_coding transcript_biotype:protein_coding MINHLVIRKTTKRRRVNTTTTRTTNIIRRRGCSSSSNIIITSGVVIIVQQCW >RHN79453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29065047:29065469:-1 gene:gene3246 transcript:rna3246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II MVKQINHSKRWGRFCNWITNTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIIFGAIIPTSTAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYLRLFNQILVLLNLSIHL >RHN68641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37361204:37363801:1 gene:gene17019 transcript:rna17019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphodiesterase I MFFPKLVAVSNFIAFANPKAPVYPRLAHGKSWNEMTVTWTSGYDINEVVPFVEWGPKGGKQIQSAAGTLTFNRNSMCGQPTRTVGWRDPGFIHTSFLKELWPNMRYTYRLGHFLSDGSYVWSKRYSFKASPYPGQNSLQRVIIFGDMGKIKRNGGSNYQPGSLNTTDQLIKDLDSFDIVFHIGDLPYANGYISQWDQFTAQVQQISSTVPYMIARYATDYGMFHFCIADSEHDWREGSEQYKFIEHYLATVDRKQQPWLIFAAHRPLAYSSNDWYAEEGSFEEPMGRESLQRLWQKYKVDIGFYGHIHNYERVCPIYQNQCVNNEKSHYSGTVNGTIHVVVGGGGSHLSDFTTAPPIWSIFRDKDYGFGKLTAFNHSYLLFEYKKSSDGKVYDSFTISRDYRDVLACVHDGCVKTTLPT >RHN78819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18695322:18697868:1 gene:gene2474 transcript:rna2474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MAKHTIVLSIFVSLVFASLIPLVCDATESGEKSSKLYIVYMGSLPKGESYSPTSHHINLLQHVIDGSGVENHLVRSYNRSFNGFAAILNDQQREKLVRMRGVVSVFPDQEFHLQTTRSWDFLGLPHSFKRDQTIESDLMVGVIDSGIWPESKSFNDKGLGPVPKKWRGVCAGGSDFNCNKKIIGARFYADGDVSARDIVGHGTHTSSIVGGREVKGVSFYGIAKGIARGGAPSSRIATYKVCNRRCSGYAILAAFDDAIADGVDVITISMGFPNSIEFLNDTIAIGSFHAMEKGILTVQAAGNGGPIPSTVSSKAPWLFSVAATTIDRQFITKMILGNGKTYQGKAINTIPSNGTKFPLVVCNSQACSAGGNTFSPEKCNYKDKKRVKGKLILCGSPLGQILTWVSGAVGSILNVSHIEFDTSVVSKKPTLNLESKNYFQVQYYTNSTKDPIAEILKSEIFHDTNAPRVVSFSSRGPNPFVPEIMKPDISAPGVEILAAYSPLAPPTLDISDKRKFKYNILSGTSMACPHVAGVVAYVKSFHPDWSPAAIKSAIMTTATPMKGTYDDLVGEFAYGSGNINPQQAIHPGLIYDITKKDYVEMLCNYGFDGEKIKLISGDDSSCHGYSKRSLVKDINYPAMVIPVRKHFNVHIQRTVTNVGLSNSTYKAILNHHNPKIKIRVEPKCLSFKSLNEKQSFVITVFGRIKSNQTVFSSSLVWSDGIHNVKSPIIVQILSS >RHN72806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10860915:10864222:-1 gene:gene8565 transcript:rna8565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MNSFRLIATFLCCIAVVFGVLPLCSNAQLDPAFYSKTCPNLNSIVREIVRNFTKIEPRMPAILIRLHFHDCFVQGCDASILLNNTATIVSELQALPNINSIRGLQVVNRIKTDVEKACPNTVSCADILALAARISSVLSKGPGWIVPLGRRDSLTANRTLANQNLPAPFFNLSQLKSSFAAQGLNTVDLVALSGAHTFGRARCSLFVDRLYNFSNTGEPDPTLDTTYLKQLQNECPQNGPGNNRVNFDPTTPDTLDKNFYNNLQVKKGLLQSDQELFSTPNADTTSIVNNFANNQSAFFESFKKAMIKMGNIGVLTGKKGEIRKQCNFVNKKSSELDLAALVSTESFDGDMVSSI >RHN56845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34159525:34160856:1 gene:gene32304 transcript:rna32304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase SIN MVILFALPAVLNLGTSVTSAPCPLAQNVVKPLRIYCYLSKCHVRNAKHGCKEKISYTGKSKHEEECFYYEPCYCPLSGCDFVASSNHFSFKHRDSQIQFSYGHSFIVSLKSKVETIVLQKENDGKLFILNNSTLSLGNAVNICCIGPNSCESKYSSDISARSQICKLKLQSFVKYVQRFTLATLSSECLVIPFGSFEPPHKLDICIAPMVARGPRELSSVDANIDTDLFTEKIPLWVKSSDTIVNVKEMILDKEKLPIHGQRLIFSGKELDDTTLFKIFFVKLYNLISISPNLF >RHN76885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2097801:2100677:-1 gene:gene270 transcript:rna270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Beta-grasp domain-containing protein MACMNNIFTPFPLTAGNRNPTIYFPRRRPNSVCLVANSPESPSPPPEIELEFVGPKPEGDGSFPVDKVKAISGEKLMRSIMSDNKIDLYATYGKLMNCGGGGSCGTCIVEIIEGKDLLNERTNTELKYLKKKPESWRLACQTIVGNKENSGKVVVQRIPQWKK >RHN80834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41151513:41153128:-1 gene:gene4801 transcript:rna4801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative drought induced 19 type, zinc-binding protein MDGDSSWSSRLSSASRRYQAALQSRSDMFMGFDENDADEDIREEFLCPFCSEYFDIVGLCCHIDEEHPMEAKNGVCPVCALRVGVDMVAHITLQHGSIFKISFFSPQVVFGSANS >RHN68367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34892614:34894107:-1 gene:gene16709 transcript:rna16709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GeBP family MAKKNSATAAVKDDPPPQEDKIEQHDENDEETSSEAGSSSEGGEEEEESSEEEAPKTTPPPKTNPKNNSVEDEDEEETDTDEDEPPPKTKPIEQTLKSGTKPSIAPARSGTKRPAENNDTKQSNKKKTTEEKNKKKEKEPEEDDNSNNKKASFQRVFTEDDEVAILQGLVDFTAKSGNDPTKHLSAFYQIVKKSVHFKVTLDQLRDKVRRLRLKYENKIKSKKTPTFSKPVEETMFELSKKIWGGGKVDVNEGEENEKVNGKPAKKELAVKKAAAARTTKKLVMEPDSPLVVLKVDSGNGKEDTGLSLVSNETIHFEPVIDVVKRGVESIEESKRGELERLMNKYKIAEMELFMQKTELAKETATKIRDALNSSSSH >RHN57332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38155161:38155557:1 gene:gene32858 transcript:rna32858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain, protein accelerated cell death 6 MFHVFIIFITISLFSSISATIILFWATLGLTELVTFTLKIVVPLLGIALISLSFAFIAGLYTVISELNWLANVFLVMALIFVAVVIFLYMLLFLPSSSTSKPLRYISHYPFLFLASQTESKPDQDVIH >RHN74978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38391108:38392585:1 gene:gene11122 transcript:rna11122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MITKYNKDTLGCDASLLLEDYGDRNGSYEKQAIPNQTLKGFDKVDLIKEEVDQACPGVVSCTDILALVTRDSVLLGGGPFYLVLTGRRDSLQSFFQEATDQIPRPDDNITRALHLFNLRGFNAHETQRLYDFQGTGQPDPSIPLDFFSLMRLNCPDYSKNNINSNGTFSTFTVSKPVNAHHSSSDKGMSYMQALSSAVPSGAYFDTHYYQSLLRGRGLLFSDQQLMAQEKTARLVSAYASDDGSTFRMDFARVMLKLSNLDVLTGNQGQVRLNCSRLVNS >RHN49254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53579092:53580306:-1 gene:gene44066 transcript:rna44066 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQENSSWATKPSQKDKEVATRIILHLNIRISCKISYGGSTTLDLFNCLNQIPENRIFDIGFLDSYFPGM >RHN43562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46884191:46884496:1 gene:gene50163 transcript:rna50163 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFGEQNQPLEIPIPFPLDMIIKVTGSICGCSNGLFLCSKNRDTCATVYYVYDPLVKECIHIPDLWARRKNRYAVGFVCKPKQMVMTLDYGGSTKSAISN >RHN74312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31769763:31770448:-1 gene:gene10351 transcript:rna10351 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNKEQEITVKVVFHHAYFSCFPRSTLKRLKNIAFFESIDSLTL >RHN49501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55227478:55231366:-1 gene:gene44340 transcript:rna44340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rRNA-processing protein Fcf1/Utp23 MGKAKKGPKFAVMKKMVTSKAIKSYKEAVLNPEKKNTLKEKLPRNVPTHSSALFFHYNTALGPPYRVLVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGTKYRVALRIAKDPRFERLLCTHRGTYADDCLVDRVTESKCFIVATCDRDLKRRIRKIPGVPIMYITKHRYSIERLPEATIGGAPRI >RHN44847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9077415:9079577:-1 gene:gene39013 transcript:rna39013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MGIKYEGRAIGIDLGTTYSCVAVWLENHNRVEIIYNDQGNKTTPSFVAFTDDQRLIGDAAKNQAATNPVNTVFDAKRLIGRKFSDSVVQKDMMLWPFKVIAGVNDKLMINVQYKGQEKHFCAEEISSMVLTKMREVAETYLMSPVKNAVIINEPTAAAIAYGFDKRGDCDGKQNILVFDLGGGTFDVSILTMKGDVFEVKATSGNTHLGGEDFDSRMVNYFVEEFKKKNKVDISGNPKSLRRLRTACERAKRTLSFAFVTTVEVDSLFQGIDFCSLITRARFEEMNMDLFNECMKTVESCLSDSKIQMSDIDDIVLVGGSSRIPKVQDLLQDLFPKKVLYKSINPDEAVAYGAALQAAVLSKGFKNVPNLVLQDVTPLSLGIAVDVDHVMGVVIPRNTTVPLRKTKGYATGCDNGVVSIRVYEGERARALDNNLLGSFKLSCRPGAPRGTPLEVCFSINENGILTVSAKEISSGNMNQITINNEKERLSTFEINKMIEEAERYRMEDKEFLRKAKVMNDLDYCVYKVKNALKKKDVNLNLSRGEREKINNATTLATNLLHENNKQQKIDVVEDHLKELEIMLEKLVVNTGIENKHKSSFLGYLFN >RHN68921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39630118:39633321:-1 gene:gene17342 transcript:rna17342 gene_biotype:protein_coding transcript_biotype:protein_coding MCIICVRKHIASLFGKQLNSIITSLDGIMRVASNVRRHQCQMVLAIDAHAGAMLNFRLFQQC >RHN72395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7273385:7274418:-1 gene:gene8101 transcript:rna8101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGDGGTTFTMAPPSNETDEPKDAALSDPNSSAGTLTSPSSSSITPGDSLPLPLPTLPFEIQVEILSRLPVKYLMQFQCVCKLWKSQISKPDFVKKHLRVSNTRHLFLLTFSKLSPELVIKSYPLSSVFTEMTPTFTQLEYPLNNRDESDSMVGSCHGILCIQCNLSFPVLWNPSIRKFTKLPSFEFPQNKFINPTYAFGYDHSSDTYKVVAVFCTSNIDNGVYQLKTLVNVHTMGTNCWRRIQTEFPFKIPFTGTGIFC >RHN52633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37266315:37266662:-1 gene:gene37327 transcript:rna37327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HotDog domain-containing protein MEKEADYIGLLLVASAGYDPRVAPKVLEKFIDRDSMLTDYRSTQHQPDISPAGLVAQAEAKYRAEAKYRAVLQCQAEEKKRAEMLFQAQVRKRAELLAQAQVMDEALAIYKNERD >RHN44084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1497478:1499885:-1 gene:gene38142 transcript:rna38142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein METKSFDAVLHRPQVNATFRLGAESYSVEAANGSSLSEQLVNMKEHSMSVLKDFITKHNVPQDVSGELLEDLVMTPYHNTVSFQPLVEETTISVHKPPPEICIPFDLVKEILCRLPVKFLLQFRCVCKSWNFLISNDPKFAKKHLHMMSTTKHHYLLTTTWIIAKELEVMSYPFDSLQLDSIFTSNPTQLDYSPIIPTSNDGLVASCDGLLCFAINQRLAVLYNPCIRKIKKLPFIDLPRVQGSTVYAFGYDPFIDNYKVVAVFWYGSYHRAYKTQVEVHTLAPTLGEGLRTSLLMFVWNDMEYS >RHN80041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34562393:34562716:-1 gene:gene3917 transcript:rna3917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MVFHQEINYVFWRFILLVVMVTLFTIEVSSTKQSKIEGIEMNAIDHCWRFNLEWRKHRQQLATCSVGYAGKMTNNIGKGLIHYKVIDPSDHPLNPRPGTLRYGASKV >RHN41295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28940777:28945559:1 gene:gene47602 transcript:rna47602 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFTSSSSFPTVTLSSVTKPLPSKTLILRTPFLILRHYLQCQLSSSSSSSHFAKPISRTTLTCILGDSQKHVYPDPIPEFAEFETQKFKVQLLQKLSDSEDVDEFGDELDAVVHVCGQIFSEFLHKEYGGPGTLLVTPFTDMFVALKKKKLPGAPLAARASLLWAQNYVDEDWEIWNSKLK >RHN71382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58624667:58625971:1 gene:gene20060 transcript:rna20060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MPTLHSDHVYANTKYNNNQQSDEVDRFLISQNEKLRLLLQEQRRTILKKVEYDVFHILRQKDEQIAQATKKRMELEQFLTRLETENQSWRRAAHENEAMVLSLNNALESIKEIRALVVEDVESCCDQETTGLNMICKCCHSRMSSFMFLPCRHLCSCKACEPSLQACPVCLMPKRSTIETLFL >RHN48632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49014812:49015426:1 gene:gene43371 transcript:rna43371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MPENNTTYASSSSGKVSTGRHPVYRGVRRRNNGKWVSEIREPKKPNRIWLGTFPTPEMAAIAYDVAALALKGKNAELNFPNSSSSFPVPASSSARDIQMAAASAAAALGAANDALMSNNNEGNNHNVSVEPQEFFSGGNENSYNVNEFVDEDLIFDMPNVLVNMAEGMLLSPPRFDFVSNDYDHAPAENMSCDDQNLWSYPYFP >RHN47395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39426359:39427263:1 gene:gene41988 transcript:rna41988 gene_biotype:protein_coding transcript_biotype:protein_coding MTMCIRQISSLQCQDLSITEISSCLSLQCLICGSWQVLLRLGFLSNFFSTFCCILNSVLTLTSFSAVYSQLFLSLPFKQISGETLKQNPTLSPIIVADFSDYEQVRHCDSECDSEHCRTNCL >RHN48462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47646769:47648696:1 gene:gene43181 transcript:rna43181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MDTKILNFQILNGSTIRRLFFRSIVLAATISMIALLRALSNYDLESFASNLNCFAYLENNVTSNPTSYFFQNRIWGSMNCEKDVNLTVNVVTELMGKQFLNCEANTLCVGEGSSMAVKAMKQLGFSTVSGVYTNRFFSLKMKNIVYELDYQDSSFDFVLSRDLDKVSVPALLVLEVERVLKPNGIGALLVRAKSSHHNDLIRSATPVSSLLRSSSVVHVDSVDDDLNLVVFKKRSENATTFFNHNQYSLPADCPSLTLTKPLIDLMEPLVSEKPYITTPMPVSYLPKFVDVSTRKRLVYIDIGVGELLNANVSDWFIPSYPIDQKAFNVYFVHYNTSIMLSYVKRPGITFVYHPGLAGKVADAADNVGDEQLDPFVGEEEFDFLAWFKETVQYADFVVLKMNAGEVELKFLSDVFDSGAICFVDELFLRCPEKEDGDKTNALTKKENCMEIYTSLRSSGVYVHQLWGD >RHN51216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15121263:15123242:1 gene:gene35612 transcript:rna35612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MLPKINVMLSLIDDTYDSYGTIDELERFTEAIERWDVIVSDDLPDYMKLLYKSFWNVYEEIEQAMIEEGREYILNYYKKEFKKAVQAYMTEARWLNENYIPTTEEYMRVSRTSCCYSLLILASYIGMGDKVTENIFKWVTNEPKIVNGAANICRLMDEIVSTEFEQKRGHVCSLLDCYKKHHGMSREAGIQECQKGVAIAWKDINRDCLRPTEVPMDFLTRALNFSRFMDVFYTDKDNYTHAEGLMKTYIKDVMVDPIPI >RHN78134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12166028:12167994:-1 gene:gene1655 transcript:rna1655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GRAM domain-containing protein MAYFLQELLVGFPFNSAAYLGDNSSNKYLINSSKSNHYNKSSTNSNQSRANSVLTRMNNLGRKADNFATGLKEHVKLGPNITDTVKGKLSLGARILQVGGVEKVFMQLFSVKDGERLLKASQCYLSTTSGPLAGLLFISTNKIAFCSERSIKISSPKGHFIRTYYKVIIPLGKIRVINQREHVKKSTQKYIEIVTVDDFEFWFMGFLNHQQAFKYLKQAISQS >RHN51675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22400303:22406326:1 gene:gene36165 transcript:rna36165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzyl alcohol O-benzoyltransferase MTDGSEILSARDAPRVTCTHREYEPEPDNKANTFSLDDMVHHAFFFGATEVAAIRSLLPPQQQQKYSNFEIITSYFWRCRTLALQLDTNEEVRIICIVDARSKFVNLLVPNGYYGNAIALPAAITTVGKLIENPLTYALDLVKKAKANVTEEYMHSMADLLVIKGRPHFTTANACLVSDVTRAGFRDVDFGWGKAVYGGLARAGDGTNPGISTIYIPFKNAKGEEGFVIPVFFPTQVIERLVKELDKVLKNNINSPTMGDRKSGIINSSL >RHN55154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15624817:15626660:1 gene:gene30290 transcript:rna30290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative envelysin MKLRTQKTYMFLLVLSFLLADVSESISFAPAIGLIVRSRIPFYLKRITSSKLFSSIWNKLKSIFNNALKIGDIASGISDLKEYLQFFGYLNSSTLHSNFTDAFTENLQSAIIEFQTNFNLNTTGQLDQDIYKIISKPRCGVPDIINGTTTMKNNFINKTMPFKPWWRNVENRSLAYAFHPENNVTDNVKSLFQDAFNRWSNATELNFIETMSFNDSDIRIAFLTLDGKGGTVGGSYINSSVNVGSVYLDADEQWVLPSENVVEEDDVDLESVVMHQVGHLLGLGHSSVEEAIMYPIVLQEKKIELVNVDDLQRIQEIYGVNT >RHN77064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3662741:3669221:-1 gene:gene474 transcript:rna474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Smr domain-containing protein MSLSKKGGSPTDTKLSSPNKATSLNPNAAEFIPFSLRSLPSGSTSSVDATTRLTTAGSLGKAVLDRSESSISNNSDDEAHNYWRCQLPDDITPDFKVIEEEEPQGLNNLSFAGLSINDDNESSMFSSAKGSRYILNEQQELPQHHLNGNTFADKLRFSNSTYREEPSSASFLNSLAKPWERPVGNNNLHVSSGQEALAYDDNARLGFLNDNNLHVGGGQEALAYDDNARHGFLNDVLTENAIVDETDFNPLEFLASLFPGFASESLAEVFFANGCDLHLTIEMLTQLEIQVDSNFIQNPSPKTLSSPNLTPMDFPALAPTNGQTTTAKYAADNVQQSGSPYLPSGKDLLMFKSSSSIPTRGATDFASAVRKLASQDSGIWKYDRNGSGDASTGSSRSLNVLASAYNGGQGRNNFGDRLQNRGSARPAPVWLETGDAVANMYSELREEARDHARLRNAYFEQARQAYLIGNKALAKELSAKGQLHNMHMKEAHGKAQESIYRQRNPVGPEMVNGRGHERMIDLHGLHVSEAIHVLKHELGVLRSTARAAEQRLQVYICVGTGHHTRGSRTPARLPIAVQRYLLEEEGLDFTEPQPGLIRVVLY >RHN63028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47713420:47716041:-1 gene:gene25676 transcript:rna25676 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVRSCDKEYMRMAMLKHEETFKQQVYELHRLYRIQKILMQNMEARRGVEVKEQEWYFKNAISLTQNANHHHKGGQEKTQIKFDLERPAEEHTAESDDEGLEIIDETEIELTLGPSSYNRSKKIETPLTSESGHSLSSSSTGSSDINKTRRWRTHHSNGMKREEPSGIIRNSSFGIEEQLRQERLKQSPWFFQVMNLNMT >RHN46222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29797959:29799360:-1 gene:gene40676 transcript:rna40676 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLNSLYHCVEEDLDEGCLGTYSSKEMLLHPTNLAEGYCRTLKLNIDDSNPTKYFICSKFPSCGEHDLSISLNKFNCQCGNPLNRSVMMKNFDNIFVKSCATFIIKDDLTVMPNSMDVTNLSLLQNLGIKSTSSVKEMMVNVTKEKRTHSIERSLFFFCDLERPLIEDFETFYCIDENEIQFPVKLVIRKSDSKLLYALGEHDFANILLSFHASSLGGVVREFGGSFDTLYKSISDLDENRYFVSKVAKDMLVYPKLARHYNDFSQNILSGDGSDGYRCYYRLRKHIEGIGHNQVFITDNFITGEENFKWLWPVCREKHTSDEGYVKGRPKLYGVTDDLTIAPFSPSTLINFINRFETPFEDLKEKGVTIGTKDCLSILRAALTSTSALTNGLAHFITEVKEEIRNEDN >RHN48105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45051500:45053148:-1 gene:gene42780 transcript:rna42780 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNRQGWNWGLIDGMFNERDKGEICKLTITMATEEDKLIWKFNNRGNYTVKSAYRYAMETLIDNEEYRVPGEWEKMWKLRFPQRVKVFLWSAQIAVHIVRTITRMIGTSLLVVKRQSKFGKLLGYGKLFLKLLLQLPTQLCTDIAMMLWCLWRRRNDKVWEGDMKEVRFSVQLAREVLLQWQAARINGSLQSQVQQSNLQHQHSQQQMVQVWQSPNEDYVKCNVDAALFGEQRCFGIGMCLRNHQGHFIKALTKWYEGTPPPQEAEALGLRDAIIWLGHLGMSKVHTELDCKLVLLVEICYNTFQTLR >RHN62606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44643207:44648691:1 gene:gene25199 transcript:rna25199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gliding motility-associated protein GldE MAVEYTCCESEFFIHILVIIFLVVFAGLMSGLTLGLMSLSLVDLEVLAKSGTPNDRKHAVKILPVVRNQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCSRYGLAIGASVTPFVRVLVWICYPVAFPISKLLDYLLGHRNEALFRRAELKTLVDLHGNEAGKGGELTHDETTIIAGALELSEKTASDAMTPISETFAIDINSKLDRELMTEILEKGHSRVPVYYEQSTNIIGLILIKNLLTIHPEDESPVKSVTIRRIPRVPESMPLYDILNEFQKGHSHMAVVVRQCDKTKQPSSKNDSNDSVKEVKVDIDGEKPLQEKVLKPKIPIQKWKSFPNTNKSNRGGSRSRKWSKNMYSDILEIDGSPLPNIPEEEEAVGIITMEDVIEELLQEEIFDETDHHFEDS >RHN46841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35252770:35253749:-1 gene:gene41374 transcript:rna41374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MTKNNSNDSMFYEILVRIFTMLNVADLVVASMVCKSWNLACRAPELWRKLDLRSLSLRSLSVPLSPHAWIDEQSSNTMTQFLKYATSLSGENISCVIFNYCVYLRDVHLISIAERTPNLKRLVLPMSGEISKNGLEIAMRSWRCLESITITTSIYDFKFFDAIGKHCKNITSLKFACFFGQEEAESLVKYTPNLKFLSFRDMPINHRALCRVLNSLEHLDVVNLCHTDIIDYGLKLYSSHRNLLNRMNISCKIITCEKRSCLMCKNESTNDPTRQPSGILEEIRNWREDEIDSLAH >RHN74697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35967580:35967987:1 gene:gene10804 transcript:rna10804 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLDDVYNLLHLPIQGRMLNHDTVVDRAYGLTHMTRLLGMSDVAARAYAKIEYGAHISYPTLKRLYEAHLTKARRLEDPQSREEMLERDRRRQWCVRSFLLYLAGSALFTNKTNRHIDLIYLDCMADLQAIGK >RHN41170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27751223:27751447:-1 gene:gene47461 transcript:rna47461 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAELSDDFVWLSLQSTDSVGSPPKMEGITTYYHVAEDNGDVDDENVQGYSLVFNGNDVEQLTHKYVRGRDGT >RHN66460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12576792:12578084:1 gene:gene14451 transcript:rna14451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNCPPSTKSPPSNCCATTSLIVLLDELIVEILSRLPVKTLMQFKCVCKSWKTLISHDPSFAKLHLQRSPRNTHLLLRLFREPGWGNFDYSLISIPVSRLLESPSFVTDYQVYYQVKDITILNYPYYRLSNMDCCNIVGSCNGLICLHGASWNAAANDEDMWFCLWIPATNTLSEKFGYSTILFRYTFGYDISNDGYKVVAFSSFSDEKLKVFCLTDNVWRDIPTFPIVPFDLCHRRFNCHPFVSRGAYVSGTVNWLAIRNMNEYEWNDISINQFVIVSLVLATETFRQLLPPNGFVEVPPVEPSVTVLMNRLCFSHRFKGTHFVLWMMMEFGVQDSWIQFLKISFQDLQIDYGISDSLEYGSQLFLFPLYLSESDNTLIMASNQQGYGDDKSHAIIYNWRDKRVEQIKSLDNVIMWFQTRDYFESLVSTS >RHN80443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38181213:38181800:1 gene:gene4371 transcript:rna4371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MRLQQLNMLLVPFFLLVLTGGFPTKAKGLLPPSMDSPNPLLADHFPDRFCVTEQIPYGVEIDQSAMTSIVDWKETSDEHVIMIDVPGFRKDEIKIEVVGNSVLSVIGERKKEVEKKGDRWHRAERMYGKFWRQLRLPENADFDSVKAKVENGVLILTLNKLSHEYQIKSIRVVSIDKENEKSGKLNNDGANTTEL >RHN48023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44358717:44361091:1 gene:gene42692 transcript:rna42692 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLIHSSNHYLCRVPYPLVSPVLTNKSNLPKFLSTFRRSPYPIACATSNEEVVEEVKVVESNTNEESTSSTFSTPIDKELKKVAQKTAATFAPRASTASKNPAVPGSTLYTVFEVQAYVSMLLGGALSFNLIFPSDQPDLWRLMGMWSIWMFTIPSLRARDCSKNEKEALNYLFLLVPLLNVAIPFFLKSFAVVWSADIIAFFGMYAWKLGWLQKTD >RHN68842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39012849:39019075:1 gene:gene17253 transcript:rna17253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate oxidase MSLLKVVFVWCIWLGLFELSLAKVRHYKFDVEYIYKTPDCLEHVVMGINGQFPGPTIKAEVGDTLDIALTNKLHTEGTVIHWHGIRQIGTPWADGTAAISQCAINPGETFHYRFIVDRPGTYFYHGHYGMQRAAGLYGSLIVDLPKNQREPFHYDGEFNLLLSDLWHTSSHEQEVGLSSAPMRWIGEPQSLLINGRGQFNCSLAANFVNTTLPQCNFKGGEECAPKILQVAPKKTYRIRIASTTSLASLNLAISNHTLVVVEADGNYVHPFTVDSIDIYSGETYSVLLHTDQDPSQNYWLSVGIISRKSDTPQALTILNYNTNPASTFPILPPPITPLWDDIERSKEFTKQIISRMGTPQPPKTADRLIYLLNTQSKIGNFIKWAINNVSLTLPTTPYLGAIKYKLNNTFDRNPPPERFPLDFDIFKTPVNPSTITGNGVYTFQLNEVVDVILQNANMLKENTSEVHPWHLHGHDFWVLGYGEGKFEPGVHQKSLNLTRAPLRNTAVIFPYGWTALRFKADNPGVWAFHCHIEPHLHMGMGVIFAEGVHKIRKVPTEALTCGATAKMFLNDTLH >RHN53547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2444782:2445456:1 gene:gene28465 transcript:rna28465 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSKPSFTNSEARNSCFSCTGVLVSKLSFWKRFSFIQTS >RHN56693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32936645:32937745:-1 gene:gene32131 transcript:rna32131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70 MTSIVVQIWRWFLQPKVWRFVGFASSIVGLLCYALSSSFNYLFGDWNLLKIFLYSVFSFIISLMILFAKMWQPSRSIRFKAHTAYLVLTITSLYSFFFDKVVNGKPDAYSLISCASFAIMSLSLSTQTECGFEFDLLYFFLGCLIVQLMKIKLPLFILGAGFSYLIIVLRYSLSPMDVDFVHNEHTTSLSDEDFVDNEHTTSLPDEDSVTIIDFHSPSSQLASTDIGSSMGEQLGHYEKALQQENSHLVEIKEEPDNKEENNEFDSRMVQSFDMLNLYSNRTGGLTQRKRKRKKKRRRKNKKKHASAPLLEMVKAYQTYYSNMPSYHHFQSMEENPNACVIC >RHN71210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57253220:57260203:-1 gene:gene19863 transcript:rna19863 gene_biotype:protein_coding transcript_biotype:protein_coding MLCDMYDRSRNSVEASYIIGLVSIERAKYWVNFCLEEDGKYLKMEEFIGSVYKSMI >RHN44272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3086894:3087098:1 gene:gene38372 transcript:rna38372 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMGNFCSSESDELEEKYGSKELLELFDENEPCLEEVKMTFEFLWF >RHN42216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36415714:36419692:1 gene:gene48631 transcript:rna48631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MSSATHIITLTTRFQFNDVLNKISQILQKAQKNQSSRRSLRLILKDLSPVVQDIKQYNDHLDHPREEINSLIEENDAGESACTCSSENDSYGYVVEENQSLTVNDVEETLYKTREILELLNHEFNEDGQLFKRPFNVPENQKFTVGLDIPFSKLKMELLRGGSSTLVLTGLGGLGKTTLATKLCWDEEVNGKFKENIIFVTFSKTPMLKTIVERIHQHCGYPVPEFQSDEDAVNRLGLLLKKVEGSPLLLVLDDVWPISEPLVEKIQFQISDFKILVTSRVAFPRFSTTCILKPLAHEEAVTLFHHYAQMEKNSSDIINKNLVEKVVRSCQGLPLTIKVIATSLKNRPRDMWRKIGKELSQGHSILDSNTDLLTRLQKIFDVLEDNPTIMECFMDIALFPEDHRIPVAALVDMWAELYRLDDNGIQAMEIINKLGIMNLANVIIPRKNASDTDNNNYNNHFIILHDILRELGIYQSAKEPFEQRKRLIIDINKNKSGLAEKQQGLMTCILSKFMRLCVKRNPQQLTARILSVSADETCAFDWSQMEPAQVEVLILNLHTKQYSLPEWIAKMSKLRVLIITNYDFHPSKLNNIELLGSLQNLERIRLERIYVPSFGTLKNLKKLSLYMCNTILAFEKGSILISDAFPNLEELNIDYCKDLVVLPIGICDIFLLKKLRVTNCHKLSSLPQDIGKLENLELLSLSSCTDLEAIPTSIGKLLNLKHLDISNCISLSSLPEEFGNLCNLKNLDMASCASIELPFSVVNLQNLKTITCDEETAATWEDFQHMLPNMKIEVLHVDVNLNWLL >RHN79162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24768910:24769290:-1 gene:gene2889 transcript:rna2889 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLHDDITILFSACGSSSPSPLFARFFRRGPWISSSPVAFLTWLDLFWLLLLTVVGLIRLLVVKREEVATMYKAGFAFSVLCWAQDCLFWCFVLVLGHGCSYSDD >RHN62720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45418173:45419539:-1 gene:gene25321 transcript:rna25321 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSKGERWSGAMTNLSEMSSNLESLQKLLLTKAVFVDDDTFSKASLAADQARTIKLLDQRVHTLERELDAAITAAARARSEKRQAEASQKAAELRALEVTKELENTTKVFELHMEELRAKQEEITKRDNDIKLLEAIIQTLGGKE >RHN62087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40630336:40637557:-1 gene:gene24620 transcript:rna24620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A(1) MTMLLEDVLRSVELWLRLIKKPQPQAYVNPNLDPVLLVPGVGGSILNAVNESDGSQERVWVRFLSAEYKLKTKLWSRYDPSTGKTVTLDQKSRIVVPEDRHGLHAIDVLDPDLVIGSEAVYYFHDMIVQMQKWGYQEGKTLFGFGYDFRQSNRLQETMDRFAEKLELIYNAAGGKKIDLISHSMGGLLVKCFMTLHSDIFEKYVKNWIAICAPFQGAPGCTNSTFLNGMSFVEGWEQNFFISKWSMHQLLIECPSIYELMACPNFHWKHVPLLELWRERLHEDGKSHVILESYPPRDSIEIFKQALVNNKVNHEGEELPLPFNSHIFEWANKTREILSSAKLPSGVKFYNIYGTNLATPHSICYGNADKPVSDLQELRYLQARYVCVDGDGTVPVESAKADGFNAEERVGIPGEHRGILCEPHLFRILKHWLKAGDPDPFYNPLNDYVILPTAFEMERHKEKGLEVASLKEEWEIISKDQDGQSNTGDNKMTLSSISVSQEGANKSHSEAHATVFVHTDNDGKQHIELNAVAVSVDAL >RHN42536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39127219:39129108:1 gene:gene48985 transcript:rna48985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative crocetin glucosyltransferase MPHRILLVPYPVQGHINPAFEFAKRLITLGAHVTISTTVHMHNRITNKPTLPNLSYYPFSDGYDDGFKGTGSDAYLEYHAEFQRRGSEFVSDIILKNSQEGTPFTCLVHSLLLQWAAEAAREFHLPTALLWVQPATVFDILYYYFHGFSDSIKNPSSSIELPGLPLLFSSRDLPSFLLASCPDAYSLMTSFFEEQFNELDVETNLTKTILVNSFESLEPKALRAVKKFNMISIGPLIPSEHLDEKDSTEDNSYGGQTHIFQPSNDCVEWLDSKPKSSVVYVSFGSYFVLSERQREEIAHALLDCGFPFLWVLREKEGENNEEGFKYREELEEKGKIVKWCSQMEILSHPSLGCFLTHCGWNSTLESLVKGVPMVAFPQWTDQMTNAKLIEDVWKIGVRVDEEVNEDGIVRGDEIRRCLEVVMGSGEKGEELRRSGKKWKELAREAVKEGGSSEKNLRSFLDGVVG >RHN44016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:879808:884368:1 gene:gene38060 transcript:rna38060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MASLLSFSFASLSLPKSQSLDPHNLSDSSFSGKSNSKSGNCCFPTSFERRKMVLSSIAVIAGTFCKGGIGLASEFADMPALRGKDYGKSKMKYPDYVETESGLQYKDLRPGNGPKPKKGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKDFFKFKVGSHEVIPAFEEAVAGMSLGGIRRIIVPPELGYPESDYNKGGPRPTTFSGQRALDFVLRNQGLIDKTLLFDIELMKIVPN >RHN65078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64046193:64049226:1 gene:gene27971 transcript:rna27971 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYINLLRYASSELEKPEENKNHFFICVLVAENGQFSVPMRGYFYRWCGVVISKAFKTKVTFPKTKDFQNFSLCKAENTVWFFQFVHCREEMKVISMPVTQLAAWPRTTHTAIHG >RHN41258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28672195:28672626:1 gene:gene47561 transcript:rna47561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MVGSNYLDYFIYCLFSCRDIIMEYSKKVATLGSTISELFSETLGLHPSYLKERNYFEGIFIQGHYYPPCPEPELTMGASTHTDPAFMTIVLQEQLAGLQVLRDNQWFNVAPVHGALVVNIGDLLQVNLFKGRLDDLFKYVKAK >RHN41189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27985657:27988266:-1 gene:gene47481 transcript:rna47481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MKDHYSTNNHEYHHNNIISYPFSGTIPEEIGYLDKLEVLLLSNNSLSGSIPSKIFNLSSLTALVVDHNSLSGTLPSNTGYSLPNLQYLHLFQNNFVGNIPNNILNSSKLRQIALETNAFSGTLPNTTFGDLRFLELFFTYNNKLTIEDSHQFFTSLTNCRYLKYLDLSGNHISNLPKSIGNITSEYFRAKSCGIGGYIPLEVGNMSTLLSFDLYDNNINGPIPRSIKGLEKLQVLSLAYNALKGSFIDELCLIKSLGELYIENNKLSGVLPTCLGNMTSLRKLNVGSNNLNSKIPSSLWGLTDILMLDLSSNAFIGDFPPDIGNLRELVILDLSRNQISSNIPTTISSLQNLQNLSLAHNKLNGSIPASLNGMVSLISLDLSQNMLTGVIPKSLESLLYLQNINFSYNRLQGEIPNGGHFKNFTAQSFMHNEALCGDPRLQVPTCGKQVKKWSMEKKLILECILPLVVSAILVVACIILLKHNKRRKNENNVERGLSTLGAPRRISYYELVQATNGFNERNFLGSGGFGSVYQGKLLDGEMIAVKVIDLQSEAKSKSFDAECNAMRNLRHRNLVKIISSCSNLDFKSLVMEFMSNGSVDKWLYSNNYCLNFLQRLNIMIDVASALEYLHHGSSMPVVHCDLKPSNVLLDENMVARVSDFGIAKLMDEGQSKTHTQTLATVGYLAPDHFFFLLNIFLFIFTVPTNLFDHVNNKNLSHVKYGSRGIVSVKGDVYSYGIMLMEIFTRKKPTDDMFVAELSLKTWISGSLPNSIMEVMDSNLVQITGDQIDDILTHMSSIFSLALSCCEDSPEARINMADVIATLIKIKTLVVGANTV >RHN51790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25195998:25196350:1 gene:gene36313 transcript:rna36313 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQGSSFYPCTLILFFVGLFDSFALRAMLCASVGEGLYFVT >RHN59419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10538974:10541714:-1 gene:gene21448 transcript:rna21448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MKSHHHHLLLHASFSSNNSFIPLSSSNHQLPKNMAISPQTFPTTKPPSSETSSFITPNITNFNLLHSLLHLTNQICSLNAAPWCRFLLNKISSSTIRKTHLLGVVFDDLIRNSVSSSNSTRQNSYVLCLEEMYIVLHRVKTLLEDCSNGSKFSILMQIETVADNFNKLTGELSTLLDVFPIQEMNLNDDVLELVVLIRKQCSETKNLLGEEQIHLRNDVVSVLEGIKREIVPKEEKLSSIFEKLEIQDSSSCRNEIENLQEEIQNRNEEQSKSEIVALIGLVRYAKCVLFGASTPSSGNDGRLRRNQSMEVVVPADYRCPISLELMREPVVVATGQTYDRCSIKLWMDSGHNTCPKTGQTLAHMELIPNHALRNMIAIWCKEQKIPFESETVAVKVNGGVTNKTALEATRMTAAFLVNKLTLTNGSLASMEETNGVVYELRVLAKSDSESRACIAEAGAIEILVQFLSYEVGSQNPSLQVNAVTTILNLSILEANKTRIMETEGVLSGVAEVLRSGATWEAKANAAATVFSLTGVVAFRKRLGRKTRIVSGLIELAKNGPEGAKRDALAAILHLAADRETVARLVESGAVEMTAGIMAVMPEEAVTILEAVVKRGGLVAVAAAFVGIKKLGTVLREGSERARESAAATLVTMCRKGGSEIVAELAAIHGVERVIWELMAVGSVRGRRKAATLLRILRRWAAGLDGGETEGFTSTNTFVSTSTTMVVPTTTSLAQ >RHN68572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36656450:36659386:1 gene:gene16941 transcript:rna16941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphopantothenate--cysteine ligase MDASNKSDDVGKTLETQAKAFFDSAPSLHNSHEITQKLIQFIQHNSSSLARRIVCVTSGGTTAPLEQLCVRYVDNFSSGHRGAISTEYFLKAGYAVIFLHRRGSYQPFCRSIPDDPLLECFELTNDLNIQVRKAYSEAVKRAILDHHTAVAGGHLLKLPFSTIFEYLQMIAISMRCIGLRGMFYLAAAVSDYYVPWKNMVEHKIQSGPPLLDVKLFQVPKMLSVIRKDWAPQAFCVSFKLETDSSILVNKANAALEKYKMHAVVANELATRKEQVVVVTSAEKITGMRDNSESANDVENPLIKLLSKRHITYIEDSGR >RHN77084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3927258:3936430:1 gene:gene502 transcript:rna502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MNLWCCLWFNREEEDKEAVIHDERCQLVEPGMNNNDDEDIFGNVNSDEDEEIEAGNYEANFRREFLIDGFDSWPAVRRSIGESSSVSAAVIPNSGPESPVADENRDSSHKRAKFYNECNFDDLASTSKVNYSMDYADFDSSIQPSNNSCYGDFALVCSGEDGNGVEDGGGNDDDNSELEDQEIVRMDLTDDLLHMVFSFLDQNNLCRAARVCKQWRAASTHEDFWKSLNFENRDISEEQFEDMCRRYPNATALSISGPSIYLLVMKAISLLRNLEVLTLGRGQIGDAFFLALPDCTMLRELHINDSTLGNSIQEISIVHERLCHLELTKCRVMRIQVRCPQLKTMSLKRSNMAQVVLNCPLLLELDMGSCHKLPDAAIRAAATSCPQLVKLDMRNCSCVSDETLREIAQHCPNLGFLDASYCPNISLESVRLPMLTILRLHSCEGITSASMAAIAHSSMLEVLELDNCSLLTSVSLDLPRLQNIRLVYCRKLADLNLRAISLSSIQVSNCSVLHRINITSNSLQKLALQKQDSLTTLALQCQSLQEVDLSECESLTNTVCDVFSDGGGCPMLKSLVLDNCESLTSVRFISTSLVSLSLGGCRAVTTLELTCPYLEKVILDGCDHLENASFCPVGLRSLNLGICPKLNILRIEAMLMVSLELKGCGGLSEASLNCPLLTSLDASFCSQLTDDCLSATTRACPLIESLILMSCPSIGLDGLCSLHWLPNLALLDLSYTFLVTLQPVFDSCKQLKVLKLQACKYLTDSSLEPLYKGGALPALQELDLSYGTLCQKAIEELLSCCTHLTRVSLNGCVNMHDLNWGHSQGKFPELPGISILSIASSYENNHVSSEQPIRLLQNLNCVGCPNIRKVFIPSTAHCSHLLFLNLSLSANLKEVDVACLNLCWLNLSNCSSLEVLKLECPRLTNLFLQACNIDEEAVEAAISKCTMLETLDVRFCPKISSASMGKFRAACSGLKRIYSSLSTSSA >RHN80428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38071270:38073430:-1 gene:gene4356 transcript:rna4356 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVLNTLLLCLGHFLLSPSLSPSLLLSLPISLLLNNTFSSGIYHSSNTPRSSPNIFNLLFSLYTLSQFQHSSIIINTLHFLIHIFTNTCCSSLTSSSKTFTNPTHQIPTSTHFPPHSMALETLLFKVKTAISNSFDSVPPKLLKKKPSFKSKRNVAVLAFEIAGVMSKLLHLFQSLSDATIVRIRNDAVTLEGVRKIISNDESFLLGLACAEFTETLRLVANSVTRLSQRCEDANLRNFHQAFSEFADTGRDSNGWVFSGPKEIEAKFRKMERYVMLTATLHREMEELSVLENGFRKALNLNHHHHRRNSCSEGNESSFGVGKEQKIYELQQKICWQKQEVKDLKDRCLWSRSFDGVVLLLVRFCFTVLARIKVVFGIGHSMPCLSATSAAVYPSDQNPNSCHEFVSGSLESPELDVIKDGLGLGTGFFESNSKLLKPPPSTLGASALALHYANLIIVLEKMIKTPHLIGLDARDDLYGMLPSSIRSGLRARLKGIGFCASDPVLAGEWKDALGRILGWLSPLAHNMIKWQSERSFEQQNLVPKTNVLLLQTLFFANKEKTEAAITELLVGLNYIWRFEREMTAKALFECANFNGFIKSAKS >RHN43264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44441268:44444444:1 gene:gene49809 transcript:rna49809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MAAFSYQYQQPFLVDSTYFTNINTSLPPSHLFHNHQELSLSNQETSCVDQNSKNSISNNQSPESSMVVDNLEKGEQVTQKAVTSMEKKRRIRNKTSLTKDISKEEKSKKQKKSSGEGMKGEEKKKEEKKDQKKNVEEVPTGYIHVRARRGQATDSHSLAERVRREKISERMKILQQLVPGCDRVTGKALVLDEIINYVQSLQNQVEFLSMKLASVNPIFFDFAMDLDTLLVRPDHQRLNSITSPSTPIPHVSLCSSNHATPFADTITTSFHPANNDYVLDYPTSIFLQGQRSNTFFEHTDGPFWDVEDQRQKLLNPYGFGNNTCSLN >RHN62365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42962651:42970663:1 gene:gene24933 transcript:rna24933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MTYQTTMDTNNILLSLQSLPVTICATFFFLFLLFRLHRSRIASKTPPEAGGSWPLIGHLHLLGGSQPPHVTLGNLADKYGPIFTVRLGVHKTLVVSSYEMVKQCFTVNDKTFASRPKSIAFEIMGYNSSMFGLSPYGSYWRTVRKIATVHVLSAQRIDMLKHVMESEVKEAMKESYSFWLKMKNEGNSERVFTEMKEWFADIAINVVFRTVMGKRFDGDEEENQRIRKMIRDFFNLSGSFVVSDMLPYLRWLDLDGKQKQMKKTAKELDDFVCVWLDQHKHKKNSGREQDFMDVLLSTVDEDLDGRDADTTIKATCMALILAATDTTAGTLTWTLSLLLNNREVLNKVIHELDTQIGKEKMAIQTDLTKLEYLQAIIKETLRLYPPAPLNLPHESIENCTIGGYHVPAGTRLLTNLSKLQRDPMLYSDPLEFRPERFLTTHKDVDVKGQHFELIPFGAGRRMCPGISFGLQLMQITLATLLHGFDIVTSDGGPVDMVEISGMTNIKASPLQVILSPRLSDQAYRQN >RHN48506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48018863:48019447:1 gene:gene43227 transcript:rna43227 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKEISSTRNQNSTHQHQEQEGCDPCKSFGQKCSHLVKKQRAKFYILRRCIAMLLCHHERSEH >RHN68398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35141705:35148182:1 gene:gene16744 transcript:rna16744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoinositide phospholipase C MKKKFIKLLSFLTNKGKVNKEEPPLDLKEAFSKFANGENHMSKEQLLRFMVEYQGEQNCTLLDLEPIIEKVLKMESSNTETSSIAGLNLDDFLDFLLLDDFNGPLKDEVHHDMKAPLSHYFMYTGHNSYLTGNQFTSESSDKPIIEALKQGVRVIELDLWPSSTKDGGIKVVHGKTLTTPVALTKCLEAIKEYAFVKSDFPVILTLEDHLTPKLQDNFAKMANQIFGEMLYCPTTDCITEFPSPASLKNMVLISTKPPKEFPQTDCANNHVSNGSESSEDETWGQEQQDSMAIQKNEDMKVNGEEMEDISTSYYKSNQQGAREYRHLITIHGGKSEGTMKDRLKVDGGKVKRLSLSEKKLKSASESHGAELIRFTQKNILRIYPKGERVQSTNFRPHLGWMYGAQMVAFNMQGHGKSLRLMQGMFKANGGCGYVKKPEFLIQEIVHNEAFDPKRRLPVKQILKVKVYKGVGWRSDFSPTHFDRFSPPDFYTKVCIAGVGADSVKMKTSVKMDNWYPVWDEEFEFQLTVPELALLRIEVKDKDKGSDDFAGQTCLPVSELRHGFRSVALCDRKGKKYNSVKLLLGFHLETLNIL >RHN63994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55764582:55767905:-1 gene:gene26766 transcript:rna26766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MESSSSKFYAYLIICMLFISSATPILGCGTCGKPPKKHKPGKKPIVKPPIVKPPVTIPPTLPIPPVLPHLPIPPTLPIPPVLPHLPIPPTLPIPPTLPIPPTLPIPPTLPIPPVLPHLPVPPVLNPPSSGGSTPSPTSPCPPKNKPAKDTCPIDTLKLGACVDLLGGLVHIGLGDPVVNKCCPVLQGLAEIEAAACLCTTLKLKLLNLNIYVPLALQLLLTCGKTPPPGYTCSL >RHN44949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10226024:10227286:1 gene:gene39136 transcript:rna39136 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFRMFVLFESPWALMMQLFLCFPFIWLLELLCGILGATQW >RHN58448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1809597:1809782:-1 gene:gene20363 transcript:rna20363 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S7 MLVNRIMKHGKKSLAYQIIYRAMKRIQQKIETKQLSILRQTIHGVTSDITVKTRRVSGSTI >RHN64825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62250855:62251224:1 gene:gene27690 transcript:rna27690 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRNDKIDSVVGLIWNGILYLCLGKCCQKHNVTLLSVFNLIAQPAVVVSAMSGAFAAAVLFEVSDGFLGLYSLCSARLFLAERLLCRHCSAHVFDSFCGFI >RHN49979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2852191:2853444:1 gene:gene34225 transcript:rna34225 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRLLKDINSMLNTAESPSTDDCCIYKVPYVIRRHNKDAYTPKVVSIGPFHHGQPQLQNMESQKLIYFKDFLQRTKACLNDLVGYIESILSDFKRCYSETLPFSHDELVKLILINSAFIIQLFWTSYYEGCLFKPWLDNGIRHDLWLLENQLPFFVIEKIYSLSLTNVPTTMIHSFLKLTIHYFGYYNKSKLNFDKGDIIIRHFTDLIRIFHLQHPIESRPSRDRVCLGMIKHLPSATELLEAGVRFKVNTESKCLLDLRFSGGVLEIPQLKVQDSTETLLRNMVALEQCHYPDDSYITDYRSVLDYLINTGKDVDILVQREILENMLGDGDSVANLFNGLGKNVTRSTISSQFSILCKDLNAYCKNPRHKLKATLRRDYCKTPWQTAASIAGILLLVLTLLQSVCSVLQVVQAS >RHN41439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30021957:30022748:-1 gene:gene47757 transcript:rna47757 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFVSTRLARHEITHDDQVNKEGEFIHFALLADAELINYEITLNEEVWKSAMIEELNSINRNNTWELIELPIN >RHN53202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42810288:42814598:-1 gene:gene37982 transcript:rna37982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative activator of Hsp90 ATPase MESLSGGGEVVTSSSNSKSQEEEEKAASSYTYWVRNKTEAAAPLPVPQKLQQQSSSESQSQSHQLGSAWNRAGTWEEKSLNHWAIPRIKELLVSVGSISFSSRGTAQVEDVTKCVGDALVVVVRNKKRVSFTYELSLKVKGEWVIQGNKKLLGGHIDVPEISFGELDDLQMEVRLNEASDISHQDKIEICNDLKLFLQPVREKLLQFEQELKDR >RHN47960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43842678:43847012:1 gene:gene42619 transcript:rna42619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MDMRKRGRPEHGFNNNGGFKKSRHEMESLSTGVGSKSKPCTKFFSTSGCPFGESCHFLHHVPGGYNAVSQMMNLTPAAPPAPRNVPAPRNAHAPNGSAPSAVKSRICSKFNTAEGCKFGDKCHFAHGEWELGKPVAPSFDDHRHNDHRHMGPPNAGRFGGHRMEPPPVPASFGANATAKISVEASLAGAIIGKGGVNSKQICRQTGAKLAIREHEDPNLKNIELVGTFEQIKDASNMVKDLLLTLQMSAPPKSNQGPPGHHGAPGHHGNNLKTKLCENFAKGSCTFGDRCHFAHGAVELRK >RHN63749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53751612:53753269:1 gene:gene26492 transcript:rna26492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rossmann-like alpha/beta/alpha sandwich protein MVRPRFKLNSLCVGHARTPRVRACSASSFGSKFANRTEFSSNGVQDSGRDNKGDESGNKVMVVVDSSFEAKGALDWALSHTIQSQDTVVLVHVARPLREGDESDVKFNLKAYQLLLDMKSMCEMKKLGVLVNIVLLEGDEKGAAIVQEAKQQRVSLLVVGQRKRSLLWCLMRKWTRKRTKSGVAEYCIQNSPCMTIAVRRKNKKLGGYLITTKRHKNFWLLA >RHN48337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46780535:46781309:1 gene:gene43044 transcript:rna43044 gene_biotype:protein_coding transcript_biotype:protein_coding MWHGYLGNFTSKLQPLFNPLLLSHNHNMYPLLSRNPFFSDHLHSLIAAVLRPHRRRRTVVTHHRITTPSSPSPPFLHLYRRLTFFFSYRSVSGGHEVVGEEKEGESVCRREIIESEENFFLNDMDYSCLTLPNLLKSLIDNFISTIKIPSLIPNEISLCVGIGWEYYKIQQEEILQYQRNIQIH >RHN41343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29302699:29309181:-1 gene:gene47653 transcript:rna47653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spermidine synthase MAAAESTVELESSMKRQRDDEEENNGVSVSTMDVEGGDDKDPNGNGVSSVIPGWFSEISPMWPGEAHSLKIEKILFQGNSDYQKVMVFQSSTYGKVLVLDGVIQLTERDECAYQEMITHLPLCSISNPKKVLVIGGGDGGVLREVARHSSIEKIDICEIDNMVVEVSKQFFPEVAVGFNDPRVTLRIGDGVAFLKAAPEGTYDAVIVDSSDPIGPAQELFEKPFFQSVARALRPGGVMCTQAESIWLHMDIIENIVSNCREIFKGSVNYAWTTVPTYPSGMIGFMLCSTEGALVDFKHPVNPMDENECQKSVRPLKFYNSEIHTAAFCLPSFAKRKIGSKEN >RHN54485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9945373:9950581:1 gene:gene29535 transcript:rna29535 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRVFSCLCLFYGGLSMAASDSRNSSRTLDQTPTWGVAAVCTVFILISIALEKSLHKLGTWLGKREKKALLEALEKVKAELMILGFISLLLTIGQNYIVRICISEKIANKMLPCPHKYIGGDKKSNSKVEQNRIPVSFEGRYLAADTPSFKCSRKGHEPLLSVNGLHQLHILIFFLAVFHVFYSAVTMLLGRLKIRGWKEWEEETLTHGYEFANDAAKLRLTHETSFVRAHTSFWTRVYIGCFFRQFYRSVRKVDYQTLRNGFINVHLAPGSKFNFQKYIKRSLEDDFKVVVGVSPVLWASAVVFLLLNIDGWRTPIWAFLIPIIIILAVGTKLQVILARMALEISERHIVVQGMPLVQGSDRYFWLGQPQLVLHLIHFALFQNSFQITYILWTWYSFGLENCFRLDYKLAIIKVAFGIVMLCLCSYITLPLYALVTQMGSRMKKSIFDEQTSKALKKWHMTVKKKHVKLGKSTIGTMDGSTIVGSTMQSPGPALHRFKTTGHSTRSSTIDEQDEYELSDNELMETNLIVRVDHDEQEAEDRHHHSEGEANNDDFTFFKHVIKRLV >RHN41076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26775597:26775887:1 gene:gene47357 transcript:rna47357 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRSKVKDKSAFRRRDIVMIKSYELFYPPTLIHKAWYGPFEINRICKNNRVEIKDHTRWMAKVPIEEVMHLRDYMAGLSSWREKSATQGSEQDT >RHN53710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3518247:3518940:-1 gene:gene28647 transcript:rna28647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MKYIEELNKFYKKDEGSTSTGDYAVSQSNIYISRRPKLLDRVIGIHTKYAISQESLFLAMNIVDRFLVARSVREEKLRLVGMGAWLIAYKYGGIWHLKVDTFVTLPDNPYTRAQILWIEEETSGALNRKLTVSTPFHFLSLFFKAPPDDTYNNFLLENMTYFLSELGMINFTP >RHN56110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27861327:27862205:1 gene:gene31450 transcript:rna31450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQKEKNMAKTFEFVYAMIIFILLFLVENNFAAYIIECQTDDDCPKSQLEMFAWKCVKNGCHLFGMYEDDDDP >RHN44139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1952390:1952677:-1 gene:gene38209 transcript:rna38209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MHRAKNMAETLKFVYVLILFISIFLVIVVCDSAFLPRSRTCITDKDCLQVRNYIARCRKGICQQRPRR >RHN76297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49224128:49226332:-1 gene:gene12598 transcript:rna12598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MANIVVVFDFDKTIVECDSDNWVVDELGFTDLFNELVPTMPWNNLMDRMMMELNSNGKTIEDIVQVLKRIPIHPRIIPSIKAAYTLGCDLRIVSDANTFFIETILKHFGIRECFSEINTNPGYVDEGRLRILPYHDLNKPPHKCNLCPPNMCKGLIINRIQESISCEENKRFIYLGDGSGDYCPSLRLKEKDFVMPRKNFPVWDLICKDPSLVKAKIYEWSDGEDQERVLHQLINKISMEETAEFISTEFKLQTPSFSTHEAMPKALRVLH >RHN79549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30039059:30039841:-1 gene:gene3355 transcript:rna3355 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSLMMWNLHDIVYMVHYLPKLIFRFPSSFFGLTSSISLTIQFLPSPSAACPFLLFVLVVLLFACILRVKFNKDMF >RHN44988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10476170:10477069:1 gene:gene39178 transcript:rna39178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronoxylan 4-O-methyltransferase MQSLIPKSFHKKKHPNTSHFNNQCYLYVIAITAAATILFLFYSWYSDPTHLCSTAGSLTTAQTIIAEEFHISAIPLVTIFHYATTGDIPQLSKGEIRRPFDVLQSLAPCNFLVFGIGHDALMWDSFNPRGITLYLEEDPKWTISAFKRFPVLRAHTVRYNTRLSEAKALLSSYKKDCGGITADSHPLKDDRRCRLALGELPKEVYDRDWDVIMIDAPRGYFPSAPGRMGVIYSAAVMARGRKKAGVTHVFLHDVDREVEKLYAKEFLCMKYRVGGIRRLWHFVIPPAVNVTDTALGFCA >RHN53331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1030857:1032617:1 gene:gene28227 transcript:rna28227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MLSKYRILHHLTRHLSPRITTTRSSNVSPHFFINPFCTVVVNSPESPELPPWVMFSDKPTPSNSDDDFVIPSLAHWVDSSILQTKPKLFAKPSLEESIHLEDVEKISTFLKEQRHSSHDHVVQALDGSGFRVSNSLVMQVLKRFGNDWVAAYGFFIWAKKQTPYVHSPEVYNLMVDILGKAKEFDLMWKLVKEMKRIEGYVCLDTMSKVMRRFAKAQRHEDAVEAFRGMGKYGVEKDTAALNKLLDALVKGQSIEIAHNVLDEFKSSVPLSSPSFNILINGWCKVRNFEKARKVMEERKEHGFEPDVFTYNNFIESYCHDKDFRKVDEVLEEMRGNGCPPNAVTYTILLLGYGKAGQLSKALEEYERMKKDGIVPDTPFYSSLMYILGKAGRLKDACEVFDDMPKQGVVRDVVTYNTMISTACAHSKEETALRLLKEMEETSCKPDLQTYHPLLKMCCKKKRMKVLKFLLDHMFKHDLCPDRGTYTLLVQSLSNSGKLVEACTFFEEMVSKGFTPMETTVKLLTRKLEIKSMLKEKDQIEELMAGHVLGEQNV >RHN64753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61558096:61561677:-1 gene:gene27608 transcript:rna27608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Yip1 domain-containing protein MSHSDTIPLHASSQSDIDEIENLIYASPATVLPARPPSPPRASIPVTSSTSSPFINSNLPNPAPPKSSSLPSLPKPPSSSLPPLPPPPSSTRPDISTSGFGPAPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPNREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALICMLKNNVIVKVVVVCVTLAWSSWAAYPFMSSAVNPRRKALALYPVFLMYVSVGFLIIAID >RHN54885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13247537:13248586:1 gene:gene29983 transcript:rna29983 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LEC6 MTLSSALIKIFITFLFLQNHVNSQYSSPSKPQPSLGSTISFSITKFDDESPNIFVKGDTSISNGVLSLTKTDKYSGKPLQKSVGHATHLTPIHIWDETSGELADFSTSFSFIVNTNGSSLHGDGFTFFLGPLHFDLPKNSSGGYLGLFNPETALIASQNPIVAIEFDSFTNGWDPASPSQYTHIGIDVGSIDSVSTADWPLNVLPRNALGEARINYNSESKRLSAFVDYPGLGESTGVSFVVDLRSVLPEWVRVGFSAATGELVETHDIINWSFEAAL >RHN58608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3248537:3250840:1 gene:gene20533 transcript:rna20533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller MNMELISGLPEDVARDCLIRVSYQQFPTVASVCKGWKTEIHTVEYHRQRRRTGNSQKVLVMVQARIEPNKTETGSTKRLVNPVYRLSVFEPETGFWSELPAPPGYNSGLPVMCQVACVGYDLVVLGGLDPETWKASNSVFVYNFLSAKWRCGTQMPGGPRTFFGCSSDDRQTIFVAGGHDDEKNALRSALAYDVVADVWVQLPEMSSERDECKAVFRGGRFIVVGGYTTENQGRFERSAEAFDFVTWKWGQVEEEYLDCATCPMTLVDGGDEEESVYMCCNGELVMMRAHTWQKMGRVPDEICNVAYVGAFDGFVVVIGSSGYGEVHMGYVFDVNNNNWRKLDCPDGFKGHVQTGCVLEI >RHN78023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11315083:11333577:1 gene:gene1537 transcript:rna1537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mediator complex, subunit Med23 MDQSQNQRSITTTTSQSRSLQFHPARVPILDLFNLYLGLGRNSRNKPDDSIREPPNKTQKRVHAINRELPPPNEQFIVDFEQLQSQFPDHEQLRSVTEAILIPLVVQCSGHGPRSDFLLFVLRSLCGIGCINWDTFLPALVSSVSSAEIPVGQTSQAVSTVTSSSLSQSGMLPPPSTIANSSNFQSSNPASPLTSVHTIGSPAQSSIEPLSCAALSPVKSSDISSAGQQSKQRGSPSVRNNDISYSSLRQLCCKIILTGLEFSLKPMTYAEIFNHMLNWLVNWDQGQQGVDESDNQKSWRPDNALVAWLHSCLDVIWLLVDEGKCRVPFYELLRSDLQFMENIPDDEALFTLILEIHRRRDMMAMHMQMLDQHLHCPTFGTHRILNQTTPTISGEGIAHLRLSPISYLSVLGEPLNGEDIAISIQKGSLDWERAVRCIRHALRTTPSPDWWRRVLVLASPYRPSAQGPTAGAVFSTEMICEATIDRIVELLKLTNSEINCWQEWLVFSDIFYFLMKSGCINFVDFVDKLVSRLAEGDHHTLKTNHVTWLLAQIIRIELVMTALNSDSRKVETTRKVLSFHREDRSSDPNSPQSILLDFVSSCQNLRIWSLNTSAREYLNNEQLQKGKQIDEWWRQASKGDRMMDYMNMDERSIGMFWVVTYTMAQPALETVMNWLTSAGVIDLAPATNLQPPERLVCTREVNPLPMSLISGFSMNLCLKLSYQMEDSLFSGQVLPSIAMVETYTRLLLIAPHSLFRSHFIHLGQKSPSLLSRPGVTLLVLEILNYRLLPLYRYQGKSKALMYDVTKIISALKGKRGDHRVFRLAENLCLNLIFSLRDFFLVKREGKGPTEFSETLNRVTVVTLAILIKTRGIADADHLPYLQNMLEQIMATSVHTWSEKTLRYFPSVLREALSGRPDKRSLAIQTWQQAEQTVIHQCNQLLSPSADSNYVMTYINHSFPQHRQYLCAGALILMQGHAENINSGNLGRVLREFSPEEVTSNIYTMVDVMLHHMQIELQQGHLIQDLMLKAISSLAFFVWTNEILPLDILLLALTDRDDDPHALRIVISLLDRQELQQRVKLFCLTRGHPEHWLYTGMFKRVELQKALGNHLSWKDRQPVYFDDIAARLLPIIPLIIYRLIENDAMDTAERLLALYSPLLAYYPLRFTFVRDILAYFYGHLPGKLIVRILNVLDISKIPFSESFPQQISSPNPAMCPPHDYFTTLLLGIVNNVIPPLHNNSKSGSIGDSSNGAQRTSQSKPPAVSQSGPANASESQKAFYQIQDPGTYTQLVLETAVVEILSLPVSASQIVQSLVQIVVNIQPTLVQSNDSFNGSSNGVGQGSVLPTSPSGGSTDSIGASRSTPSTSGVNTTNFASRSGYTCQQLSCLLIQACGLLLAQLPSDFHSQLYLETTRIIKENWWLTDVKRSLAEIDSAVGYALLDPTWAAQDNTSTAIGNVVALLHSFFSNLPQEWLEGTNVIIKQLRPVTSVAMLRIAFRIMSPLLPKLANAHALFNKTLSILLSVLVDVFGKNSQTSTAVDASEIADIIDFLHHVIHYEGQGGPVQASSKPRPDVLALIGRAAESLRPDIQHLLSHLNTDVNSSVYAASHPKLVPNPT >RHN53918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5322317:5327934:-1 gene:gene28883 transcript:rna28883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, ARF/SAR type, P-loop containing nucleoside triphosphate hydrolase MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSISRSELHDLLSKPSLSGIPLLVLGNKIDKAGALSKQALTDQMDLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >RHN59630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12560549:12561643:1 gene:gene21696 transcript:rna21696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MNVGQILECSLGLAGSMLNRHYRIAPFDERYEQEASRKLVFSELYEASKQTSNPWIFEPEYPGKSGIFDGRTGNLFEQPVIIGKPYILKLIHQVDDKIHGRSSGHYALVTQQPLKGRAKQGGQRVGEMEVWALEVLGTTIIGGTIPKPVDAPESFRLLVRELRSLALELNHFLVSEKDFQIQRKECLGKKILPNGEIVGEVTKPYTLHYKTNKPEKDGLFCERIFGPIKSGICACGNYRVIGDKKDQPKFCEQCGVEFVDSRVRRYQMGYIKLACPVTHVWYLKRLPSYIASLLDKPLKELEGLVYCDV >RHN68492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35944171:35947528:-1 gene:gene16854 transcript:rna16854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MFTTVTSEISEADGQNELCTDFYCNSCPELLSIVNQGVVNALKKETRIGASLLRLHFHDCFVNGCDASILLDDTSSFIGEKTAAANNNSARGFNVIDDIKASVEKACPKVVSCADILALAARDSVVHVSYVINFTSK >RHN81597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47454604:47462282:-1 gene:gene5667 transcript:rna5667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSDPNHHSDDEFNNDEDEDNNDVFIDDSDIIHEVASDDELLPDAPDDNPDGPGGSPGPDGGGGGGSGYDDDSVHTFTGHEGELYTVACSPTDEALVATGAGDDVGFLWRILNGEWASQLNGHKDSVSSLGFSHDGTFLASGSFDGTVKIWDASGNLKGTLDGPEGGVEWLRWHPRGNVLIAGFDESSSVWMWNSNLDFLMSFNGHAGSVTCGDFTPDGRTICTGSDDATLRIWNPKSGESIHVVRGHPYHTEGITCLAINSTSTIALTGSVDGSVHIVNITTGRVVSTLPSHSSSIECVGFAPSGSWAAIGGMDKMTIWDVEHSLARSICEHEYGVTCSTWLGTSYVATGSNDGAVRLWDSRSGECVRTFRGHSESIQSLSLSANQEYLVSASLDHTARVFDVKGVC >RHN50150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4521599:4522991:-1 gene:gene34405 transcript:rna34405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MKNFRIKDLPDFVRAKYPNDSMVEFILEASGRAHRPSAYILNTSNELEKDVMNALSTVFPCIHAIGPLSSFLNQSPENHLTSLSTNFWKEDTKCLYWLESKEPRSVVYVNFGSLTVMTAEKLLEFAWGLANSKQPFLWIIRPDLVIGGSAVLSSEFVNEISDRGLITSWCPQEQVLNHPSIGGFLTHCGWNSITESISAGVPMLCWPFFADHPVSCRYLCNTWKIGIEIDTNVKREEVEKLVNELMVGEKAKKMRQKAIELKKKVEEDTRPGGCSYMNLEKVIKEVLLKQNRT >RHN58155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44158259:44165194:1 gene:gene33768 transcript:rna33768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calponin domain-containing protein MSSFVGVLVSDQWLQSQFTQVELRTLKSKYVSERTQSGRVIVGNLPPIFKKLKAFAEFFTEDEIKAVLEESYQNMDDEIDFESFLRAHLNLQTRAAAKGGGSKSSSSFLKAATTTVHHAINESEKASYVAHINSYLAEDKFMKQFLPIDPSTNALFDLAKDGVLLCKLINVAVPGTIDERAINTKRDLNPWERNENHTLGLNSAKAIGCTVVNIGTQDMVEGRPYLVLGLISQIIKIQLLADLNLKKTPQLLELVEDDKDVEELISLPPDKVLLKWMNFHLKKAGYEKQVTNFSSDVKDGEAYAYLLNALAPETAGPSALTISDPTERANMVLEQAERLDCKRYLTPKDIVEGSPNLNLAFVAQIFQHRNGLTVDTNKMSFAEMMTDDAQTSREERCFRLWINSLGIATYVNNVFEDVRNGWVLLEVLDKVSPGSVNWKQATKPPIKMPFRKVENCNQVIKIGKDLNFSLVNVAGNDIVQGNKKLLLAFLWQLMRFTMLQLLRNLRSHSQGKEITDADILNWANNKVKKAGRTSEMESFKDKNLSNGIFFLELLSAVEPRVVNWSLVTKGETDDDKKLNSTYIISVARKLGCSIFLLPEDIIEVNQKMILTLSASIMYWSLLNSEENSTPETSPVASADGEHETDLVNEVSDLAIDDNAAENASSP >RHN54396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9242350:9244321:1 gene:gene29425 transcript:rna29425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain-containing protein MSTTITELEQEELLEKLEVFKIKGRDKHGRKILRIIGKFFPARLVSVEVLKKFLEERIFPKLGKKKFAVLYIHTGVQRSENFAGISSLRSVYDAIPANVKENLEAVYFIHPGLQARLFLATFGRFLFNAGLYRKLRYISRIGYLWENVRRTEVEIPEFVYDHDEDLEYRPMMDYGLESDHARVYGTAPALDSPVTTYSMRCIS >RHN68393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35112703:35118345:1 gene:gene16738 transcript:rna16738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GINS complex, subunit Psf3, GINS subunit, domain A protein MANYYDMDDILVEEEIVSVIFQKAASGVGIIDPSSETDFIEAGSKVELPFWLAHELQLRQAVSVNVPPCFNQKTRLEIQADCACVDLRSRCPYFYEFGCKIAPIVGDRTIGFLLLSAFKNRYKEVLTKAHTAAIAAGSKFWTILTNEEINLYETAQSAMASFKKWRMGGPRFQIASILGRKRKPTE >RHN57302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37970183:37970803:1 gene:gene32825 transcript:rna32825 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCIRNSAQEATTNNSPIKPLKKRIRLKVSSASPVQFPNRSQPSSSKGVDVYEMWTSLFTEINGSGDDDDALIWDVGFPLGDLIDKHFGKEMHHEKAKELRLQRALQTNLADCIRTTLLLRIIGQMFGDFEKEYKALVGEIAELKKLMIRLKDEQNKLKKTLKESSFEKNQLKAEIKELKDQISLQYKAGYNKALKQLLASELKP >RHN44546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5959276:5959620:1 gene:gene38675 transcript:rna38675 gene_biotype:protein_coding transcript_biotype:protein_coding MLISKLNSLVKLFHHHIFVVNNILGVAHTDGFATSCPRHMDFRGQCQNSRLCGVDFNGALGASAMVQQCACKDVSKNRHRCTCCVRCEIGIDEKTAIPSRHSRHSGDYIPKCHK >RHN64353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58415342:58423002:-1 gene:gene27162 transcript:rna27162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydrolipoyl dehydrogenase MQSSLSLSFSPTSSAARSHHSFPVSTGKPLNLRFCGLRREALGLGFKTSINRNIRQLPCRQHSATVSAARSDNGSTTGSFDYDLLIIGAGVGGHGAALHAVEKGLKTAIVEGDVVGGTCVNRGCVPSKALLAVSGRMRELKSDHHLKSLGLHVSSSGYDRQGVADHANNLASKIRSNLTNSLKAIGVDILTGFGTILGPQKVKIGSSNNVVTAKDIIIATGSVPFVPKGVEVDGKTVITSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEISKLAQRVLVNPRNIDYHTGVFASKITPARDGKPVLIELIDAKTKEPKDTLEVDAALIATGRAPFTQGLGLENVDVATQRGFVPVDERMRVIDANGKLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKGEKEGFDVSVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKFAVHAHPTLSEVLDELFKSAKVKEHASIPVSEPVAV >RHN48649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49204827:49216433:-1 gene:gene43388 transcript:rna43388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MEVSVSDDEDDQLILLVCTNPTPTPDPYHNHPIEEFLTDIILSDLSTFLNFHTIKLHAHRNRLIHHSLYFRGLLSGSFSESCLGSITINWNLPVFMQILKHIYGCSLDITSQNVLPLYEGALYFGVDTLIVKCEDWFSEVFSRNEFPSTQIQTEDLIQIWKFASDHASDFILHLCIGYLARNFMWAKKNNFFREVPYNLLLSSVKHPHLTVDSEMHLSDALLLWLESNMENLERRSEAEDNYNGILKQVYHFLELLPEALQRKRNSFYFRQLAEESLGSIFRALNILPIGSLDISGYSDLQHLRIRVTEYSKKIDLSNCPQITSAILLLSLIPESYLTDPMQRKIIEQFFNNSGHPIQEKYEFPQKLLETFIFEAVQEVDISKCRRLLIEHAVNCFSQSFPSLRILKAAYLLNIRTTGFLQLLEKCSLVNEVDLTVDVTPLIPASVTILSSSPVVIPLVPEKTPSLKYKAVETMSFHESRPQISYVTKLSLEGRTDVSDLSLQYISKFCVSLCHLNIKGCICVTDIGISDLIHRCNKLNSIVVCDTSFGINSVQALCSAISDGGNFPSLHSVVSNLQALHMGGCIGISELSLQELMSQTQVLKNLCLRGTYLVDQALFNFKGSSLEMLDVSDTKISEAALSFVIHGNPSLKSLKARGCKNLLKGDSSIEKREPSFSSLHEELHAELGKKSRLEEIEFGWGFSSFSLSALEPALTSLKTINVGLGGMLGEDALRQLPAICPLLETIILHFQVMSDIIVRKLVTSLMNLQVLVLCYCFGDISISSFKLPMQNLRKLRLERVTPWMTNDDLVILSQNCRNLVELSLLGCPLLNSDSQQIISRAWPGLVSMHLEECGEITANGVSVLLNCRALEDLLLRHNGLGLQRNFILHAASELPLLRKLSLDICDAIEGGFDIPNYADRYSLSTLKIAKCKSQRCAFNVSVPPPGSRRRSVHVETLVLVWNCENLTRTVVKERL >RHN42528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39045855:39050282:1 gene:gene48976 transcript:rna48976 gene_biotype:protein_coding transcript_biotype:protein_coding MNFENFQKALSEFQTHCNNVVQNLTANLNLRPNPPPWARIAQNAVKPMSTEAIEKRLEGVPVYALSNATDEFLLVSGASTGKNLGLFCFKKEDAEALLHQVTTIDPLMPSGSKVVPVALNKVFQLKVNGVAFRLIPEFDQVKNAMHEREKSGIPSGDFFGVPVFQSRSLVLKSQSKRYRPLFFRKEDLENSLEKAAGQLNRIKSGDIEVSALEDVIKEMKENSTSKWDDVIFIPPGFDVSTDSNGQ >RHN74593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34959090:34959359:-1 gene:gene10687 transcript:rna10687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein MTFRRVCSFRRWLVHILLRGSIVCSKRKLGKLFYYFDPVLWSGPRLALAMIILINTLFSLCYLIIKWRRAELRHCARTACSKQKYNNFY >RHN64864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62556896:62562213:-1 gene:gene27732 transcript:rna27732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) chromatin regulator PHD family MEASAGLVAGSHNRNELVVIHGHEEHKPLKNLDGQVCEICGDDVGLTVDGDLFVACNECGFPVCRPCYEYERREGRQLCPQCKTRYKRLKGSPRVEGDDDEEDVDDIEHEFKIEDKMNNHDHSAEAMLHGKMSYGRGPEDDENAHFPAVIAGGRSRNVSGEFPISSHSYGEQMLSSLHKRVHPYSASDSRSAGWDERREDGSYDRMDDWKLQQGNLGPEPDEDLDANMSDEARQPLSRKVPIASSKINPYRMVIVARLVILGFFLRYRLMNPVHDAMGLWLTSIICEIWFAISWILDQFPKWYPIDRETYLDRLSLRYEREGEPNMLAPVDVFVSTVDPLKEPPLNTANTVLSILAMDYPIDKISCYISDDGASMCTFEALSETAEFARKWVPFCKKFLIEPRAPEMYFSEKIDYLKDKVQPTFVKERRSMKREYEEFKVRINALVAKAQKVPAGGWIMQDGTPWPGNNTKDHPGMIQVFLGHSGGHDSEGNQLPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNAPFMLNLDCDHYINNSKAVREAMCFLMDPQTGKKVCYVQFPQRFDGIDAHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYNPPKGPKRPKMVSCDCCPCFGRRKKVKHAMNDANGEAAGLRGMEDDKELLMSQMNFEKKFGQSSIFVTSVLMEEGGVPPSSSPASQLKEAIHVISCGYEDKTEWGIELGWIYGSITEDILTGFKMHCRGWRSIYCMPKRVAFKGTAPINLSDRLNQVLRWALGSIEIFFSHHCPLWYGHKEGKLKWLERFAYANTTVYPFTSIPLVAYCILPAVCLLTDKFIMPPISTFASLYFVALFSSIMATGILELKWSGVSIEEWWRNEQFWVIGGVSAHLFAVIQGLLKVLAGIDTNFTVTSKATDDEEFGELYAIKWTTLLIPPTTILIINIVGVVAGISDAINNGYQSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFVMKTKGPDTKLCGINC >RHN51100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13998288:14007599:-1 gene:gene35476 transcript:rna35476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MAAKRVYEAWKGSNKFLFGGRLIFGPDAKSLLISLLLVIVPVIIFCVFVARHLRHHFSSYNAGYAILVVAVIFNIYVLILLFLTSARDPGIIPRNLHPPEEEFRYDSSVSIDIGGRQTPSLQFPRTKEVMVNGLPVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRYFFMFVSSATLLCIYVFSISAFYIKVLMDENHGTVWKAMKESPASVILMAYCFISLWFVGGLTGFHLYLIGTNQTTYENFRYRADNRINVYNRGCLNNFLEVFCTKVKPSRNNFRALVQEEVQRPPPRVVTPREPEQDVGGDPRSKVEDDLDIGEDLLKISQRRNIEEIDEDIRSRGSNGPYNNASEGDSVLGSDRRAPTVQTEERHSSWRRSGSWEIAPDVLANSNVTETRSYVSARQ >RHN48225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45928697:45930680:-1 gene:gene42917 transcript:rna42917 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSVAEAHSAEGMHLPTLNFYLFHMYPCVFCTLNLLIYIFLHQLPKTQTTLTSLIYTFQLLSKLLPKLSSLNLESPSSSTTTTLIHSGGRTTTKLRRTTAHPIPSSLS >RHN40281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14822164:14823083:1 gene:gene46417 transcript:rna46417 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFEQIKKNPYSTNILKIIYKFHIYNHKIKPINFSLSPYYCCCFDKKRSQQGNGRRSRNPKIVTRVGL >RHN39845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11029960:11033444:-1 gene:gene45921 transcript:rna45921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MKKFVLKLDLHDDKDKKKALKTVSTLSGIDAISMDMKEKKLTVIGTVDPVNVVSKLRKFWHTEIVAVGPAKEPEKKEEAKKDGEKKEEGKKEEGKKEDGKKEEEKKKEAPPPPDPIQEWIRNYRAYNPYMTTHYHVQSMEENPNACVIC >RHN65228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:379315:381740:-1 gene:gene13047 transcript:rna13047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MCTCVINFNLWACSLIQEQNIGHDVERQGGEGAANQGANQENLQKWLPISASRKAKWWYSTFHNVTAMVGAGVLGLPFALSQLGWIPGISVILVSWLVTFYSLWQLVQMHELVPGKRFDRYFDLGEHVFQGKVGFWVIMIQQLIVQVASTIVYSVTGGKSLKKFCEIMTPIMPMFDEIRQTYYICFFVCIQLLLSQIPNFNTLKGISLLAAFMSVCYSMVAFGSSLAKGIEHHPTHYGVRSHTTPGKTFDVFNALGTIAFAFAGHSVVLEIQATLPSSEEKPSKVPMWRGVVVAYTIVILCYLTVAVSGFWAFGDLVEDDVLVSLERPPWVIAIANLMVFFHVIGSYQVFAMPVFDTLESCLVQKFHFDPSRTLRVVARSIYVVLVGLVAVSFPFFGGLLGFFGGLAFAATSYIIPCALWLKAKKPKICSFHWIASVFCIILGVIIAVLAPIGGIRTIVVSIKTYKFYS >RHN56742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33335188:33335878:-1 gene:gene32183 transcript:rna32183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MAGKKVKLAFITNHTARRATYKKRVQSLMKKLNEITTLCGVKACGIVFKPDDLEPQIWPSIEGVHSVLVRFMQTPNFDRDRKMFDHESYLKERIQKLNEKLKKKMKENRMMWMSVQLHHYLEAGNVPEDLSTSDMNDLTYVVDEKMKEINMKMVQLEKDERS >RHN52494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36128698:36128979:1 gene:gene37179 transcript:rna37179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose synthase MNCGLPTFATNQGGPAEIIVEGVSGFHIDPHNGDESINKISDFF >RHN79255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26729042:26732384:1 gene:gene3020 transcript:rna3020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MGAGGRASNPPSQRKIENDEHLKRVPTTKPPFTLGEVKKAIPPHCFHRSLIRSFSYVIVDLTIASILAYIATNYFPTLPHNLSFLAWPVYWAIQGCILTGVWVIAHECGHHAFSNYQWVDDLVGLVLHSCLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKKKSQIGWYFKYLDNPLGRFLTLTITLTLGWPLYLAFNVSGRPYDRFASHYDPYGPIYSDRERLQIYVSDVGVLAVSYGLYRLVLAQGLNWVICVYGIPLLIVNGFLVLITYLQHTHPALPHYDSTEWDWMRGALATVDRDYGILNKVFHNITDTHVVHHMFSTMPHYHAMEATKGVKPILGEYYHFDGTPIYKAMWREAKECLYVEADEGSEVKGVYWYKNKF >RHN61748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38000257:38002640:-1 gene:gene24240 transcript:rna24240 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSEKEVSNSISISISKVGGVLCKRCKQTYNPASNSSTSCRFHPSFFVCRRHDDQKRYYELGPDDPPYAAKFYDCCGAEDPEATGCTTYFHVSYDED >RHN57392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38627606:38628166:-1 gene:gene32931 transcript:rna32931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLDLSFNKLDGPIPIQITKFSKLNFVLLQSNNLNGTIPHWCYSLPSLSWLYLNDNQLTGSIGEFSTSSLNYLFLSNNKLHGPFPNSIFEIQNLTYLALSSTNLRGVVDFYNFSKFKLLTFLDLSHNSFLSINFDSNIDSISPSLNALYLSSTNINSFPKFLARIPSLQELDLSKNNIHGKNFHMVS >RHN53323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:939317:953458:-1 gene:gene28218 transcript:rna28218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator GNAT family MEETFISSKPSGNEQSIDLNQITLRPFHLSDLDDLMVWRTDEKVAKFCSWEPYTSKEQGISFIENIPNKFLWCKAICLNNRAIGRVSLKSRSPHDKSRNKTAELAYVLASKYWGKGIATYVVKQVVKVAFSELSHLERVEAFVDVENVGSQRVLEKAGFQKEGTLGKYLVMKGKSRDMIIFKASLRCFVCDRKQFCCKSFAFQEGAVMEQNIMIERLSISSKEEIIDLNQITLRSFNLSDLDDLMVWHTDEKVAKFWGEPYTSKDQGINFIENIESEYLLQCKAICHNDHVIGCIKLFSSSLHDKSRYKCAEIGYVLASKYWGKGIATCAVKQMVMVTFAFSEFSYLERLEALVDVDNVGSQRVLEKVGFQKEGVLRKYVFFKGKSRDMIMFSLLSTDIQL >RHN75283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40886331:40892627:-1 gene:gene11459 transcript:rna11459 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFHIIRTICSPFRTHSPTKFNLHSSSFSSSSTTTHSKLDVDSILASLNLHRNSDSDELSEAVFHQISSIFYGGESVKRPDTEKIDAANEFERILNVSQLPNMPQSNISLRRKEVSREKKRNCLFKIAQENRFRRLIESCAKILGTEATLELFDKVGRKPGVKGYNALVEICMGKAREAENEDIAIEEMGKVFHLFDLMKKQGLKPDEHTYRPLLLYTIDMGMVEEFQFFCHIIKEEVPSSTARLGYYEMMLWLKVNNEEKIQDLCNYIAENDADDTFYLRENYLLALCESERKENILEVLEIMDIKKLSSVGSIAKVFQTLGRLLLEPVAEELLLDFKASDYDEDNITTFIASYTVSIPDLSVEVGINKFKDLHEKLEVLPSSSSYEKLISHNCALLKEHNCSDGEFDQLLLLLEELNDTSYWNDACCRIILCCIWNKRLSSAIDLCKLLKDKLQMDELVMNVLFDKVFSRIEESETNHRQTCLELFLEMKDKLGLWPSQKYYDSLFVSSANANVNSHNAE >RHN74758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36455570:36459393:1 gene:gene10870 transcript:rna10870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-oxo-beta-amyrin 30-oxidase MATTTAIILFTLMTLSLIWAWRILNWIWLKPKKLEKELREQGLKGNSYRLLVGDIKDLLKIRKEATSKPMNLSDDIVPRVYSFVQQTVAKHGKNSFIWFGPTPRVNITDPEQIKDVINKIYDFQKPNVNPLVRLLGCGLVCLEGEQWSKHRKIINPAFHLEKLKIILPIFHKSCDDLISKWEKMLSSDGSCEMDVWPFLQNLASDLISRAAFGSSYEEGKRIFQLQTEQAELTTKIIEKVYIPGWRFLPTTTPRRMKEIERDVRASLTDMINTRERALMAGEATKDDLLGILLESNHKEMEEHDNNKNVGMSLDDVIEECKLFYFAGAETTSVLLVWTIVLLSRYPDWQARAREEVLQVFGNNKPDFDGLSHLKIVTMILYEVLRLYPPVIELARSVHKDVKLGNLTLPAGVQITLPIVLVHHDSELWGDDAKVFNPERFSEGVLKATNGRNSFVPFGGGPRICIGQNFSMLEAKMAIAMILQRFSFELSPSYAHAPTALITLQPQYGAHIILRKVEL >RHN40689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19503646:19506996:-1 gene:gene46878 transcript:rna46878 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPHKRKSLSKSYPKSFLVASIMNTPSFSYNLVRNRTLRRLSEEREEGRKSRERGRAKSEFESLERESIKKMKCLEQISLIYPSRAITKISFISRNLI >RHN82460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53963275:53965045:1 gene:gene6628 transcript:rna6628 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNSDSSVWFETENEDDTFYDEIKRQILLLTSEEDNEDLVETNSFRQIDVTNDGSNRSIYNYNNATKPTRKFYLWETDSSGSPPIWLTNLWRNGKGTGVFIPQVSCKENQRPARMNNRTRKIYRPVVNKK >RHN64956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63268446:63280186:1 gene:gene27838 transcript:rna27838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative abnormal spindle-like microcephaly-associated protein MEEGNNNNELPCPSPSPFRSSSLFKDISNFKTPKRASSSSSFLSQQHTPQTQFFTASKSKHTTTLPRRPRLKENHTISTASKKLKAFQLEQSHSSRIEQIKQQQSLKSLAKSLTVWLNFLLESPASCGCDVSIAGGSQIADASPVTSKGKRDNVPGNSFGVDSTWRTPKRQRKTTMTTSSSRFGKENVSAVDMQNSSFSRLKDSLKDVCSFDDFKQRMSVYLSLGTCEDIFHVMNQVTKTIDEGRLNMKAHCPIVTDLGLKDKAIKVLMCYNPSWLRIGLYIIFGGDSLVSNGDGDSDKDVTFLKMVIDKLFFTHEGFAKSYAYNKMVEGVYRSGYYENLGNVILKRILLLVLILDKAKCQSCLPIEYGIDGLDSGSPLLFKAESWVKASSQVIQEFLSSDVMRGEGNLLTHLVILGYKLTHQQGPLVEYDFRVKDLFIDLQDGLKLCRAIHLLQNDSSILKKIAVPSDTRKKNMVNSGVALQYLRLAGVSLLDEDGTMIVADDIVNGDRELTISLLWNMFIHLQLPLLVDKTSLVGEISKIRGLGTELMTGANSSSLELLLKWIQAVCDHYNCPVDNFHSLVDGKAIWCLLDHYFQKELHNVCSLKEFYEKSGKTSIMSVNEYSDALYNFILSQKLTTLLGNFPEVLQISELLQYNGACSDRSVVILVVFLASQLFVKEKVDNLNFHKLLGYDCQNTNRRNLRTVQCHSRSESAQKPYDSDVGDNEDTARKFKAIQTWWQDMADRNCIMQPTISILQTSMTTECNTSVRRENAARTIQSRIRGLVVHRKFHKMVNSVTLLQTVFRAWLKVRQESVCIIFTTGPIYDFSCEILKKSEVYEKYVMLFYQRHSFLRLKRSAQLIQQAVRSWFYWRARQGCRSPDLLTADTVNAATSIQKFLRGWMARSRYIYLLDQKEKTLHLAEQKLIFDLKTKAAIGIQVAWKNYIRCKSTRKEHLFATKIQCNFRRWLLRKRFINQIQAVIKIQSYFRMWRCVIAIQNFKTMSKAAIVIQSFFRGWIARKNACARKNQIVEIQRHCRGWLVKRNFLFQRDAIVKIQSVSRSLKCQKTLNCQKDAALEIQRFIRGHLTRNRLLGSALRLLSADTGSCISRPAGLCSFQLEAFMFAVVKLQRWWRGLLLLKLMTRSAIIIQSCTRGWIARRKAIVETQNINVMEEYAALELQRYIRGHLTRNLILGSASKLRAVAAGCISKRTGFCSFQLELFLFQVVKLQRWWKHLLLHKLMTKSAIIIQSHIRGWAARRKAVVYRHHIVVIQSHWKGYVARQQSTKQLMDLRSRLQESSKNVDDSKRLINRLLAALSELLSMKSLSDILHTCSTLDLATWHSQRCCEELVAAGAIDTLLRLIRLISRSIPDQEVLKHVLSTLRNLARYPHLLEVLIQRQGSIQTIVLELLRNKEEGFFIASELLKKICSTQKGVETILKSPAFLKRLHSLVEELTRKATYQKRNVRGPTPSSIVIVRENTDRRLKEVTEILKLLAHP >RHN68087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32724987:32727244:-1 gene:gene16384 transcript:rna16384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c oxidase assembly protein CtaG/Cox11 MMRMCLTGLAFGMGVLTIFASPPLYRTFCQATSYDGTVTRRERVEEKIARHDSNQTVTSREIVVQFNADISDGMPWKFLPTQREVRVKPGESALAFYTVENQSSTPITGVSTYNVTPMKVFFYIDPEIEDDPKMNGINNIILSYTFFKDKVSEE >RHN82246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52302231:52304383:1 gene:gene6391 transcript:rna6391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gallate 1-beta-glucosyltransferase MGSEANTHILLISYPAQGHINPLLRLAKCLAAKGASVIFITTEKAGKDIRTVNNIIEKSLTSIGDGSLTFEFLDDGLEDDHPLRGNLLGYIEHLKLVGKPFVSQMIKNHADSNKPFSCIINNPFVPWVCDVADEHGIPSALLWIQSTAVFSAYYNYFHKLVRFPSQTEPYIDVQLPFQVLKYNEIPDFLHPFSQFPFLGTLILEQFKNLSKVFCVLVETYEELEHDFIDYISKKTIFVRPIGPLFHNPNIKGAKNIRGDFVKSDDCNIIEWLSTKPKGSVVYISFGSIVYIPQEQVNEIAYGLLDSQVSFLWVLKPPSEELGLKEHNLPDGFLEGISERGKVVNWSPQEEVLAHPSVACFITHCGWNSSMEALSLGVPMLTFPAWGDQVTNAKFLVDVFGVGIRLGYGMIENKLVTRDEVKKCLLEATTGEKAEELKKNAMKWKKKADEAVAIGGSSDRNLDEFMEDIQNRCNIFFEEVKLVHSN >RHN52387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34689028:34693659:-1 gene:gene37055 transcript:rna37055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQILMFFSSLIIFLTLFLVETRKTGIRCESKQDCPTMALPDYVTCVEGLCRIYLNTFA >RHN39761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10318969:10322476:-1 gene:gene45823 transcript:rna45823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GH3 family protein MVEGGVKMKEIIEKFDLEKVIEEFESLTQDAENVQKETLKRILEGNASAEYLQSLGLNGRTDPESFKACVPLVTYKDLEPYINRIVDGDFSPILTGKPITDVSLSSGTSQGKQKYIPWNDELFETTVKIYQTSFVFRNKEFPIQNGKALSFIYSSKLSQTKGGVTAGTATTNVFRNPGFKHAMNAFKSDSVSPDEVIFSPDFHQSLYCHLLCGLFFREEVSLISSTFAHSLVYAFRTFEQVWEELVFDIREGVLSNRVTHPSIRTAMSKLLKPNPELANLIHKKCIGLSDWYGLIPELFPNVKYVLGIMTGSMEHYLKKLRHYAGEVPLLTSEYGASEGWIASNVNPKVAPEFATYVVLPQIAYFEFIPLKQLDGTKVELEPVGLTHVKIGEDYEIVLTNPAGLYRYRLGDAVKVIGFHKSAPEIKFLCRSNLMLTINIDKNTENDLQISVETSSKMLAEEKMEVIDYTSYIDLSNDPGHYVIFWEISGEASDAVLYECCTCLDKSFVDAGYVSSRKVKGIGALELRLVRKGTFQKILEHYVGLGSSAAQFKTPRCIGPTNTKVLQILLENVVKNYISSAYN >RHN44592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6586686:6587425:-1 gene:gene38730 transcript:rna38730 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSNPPSEVAVNRLYSTQYRVAEGIPEMICAPTSSSVNHQNNAEIMNLSEGTCSIFIIFEKNNYCNEVHFYYSSILK >RHN57865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42237410:42242329:-1 gene:gene33454 transcript:rna33454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bactericidal permeability-increasing protein, alpha/beta MSHKFFVLFLFLLFISTSVCVHEEGFISVIISDKGLDFAKDILINQTIASIVLSQLPQIEKSVQVPLVGKAHVILSEITIKNIQVSSSYVETGDTGINVVVSGATANLSLNWRYTVSSWLIPIGISDSGTATVKVEDLQVGLTVNLRNQEGTLKLILLDYGCDVGELSIKMNGGAAWLYQVLVDAFKGNIGSAVEDAVSKKIREGIPTLDNLLQTLPKTISIDETAALNISFVDNPVLSNSSIELEIDGLFTERNDVLVPQVYHRRSDISVSSGGLPKMINISLHENVFKSASEVYFAADALQWILDELPNQALLNTADWKILIPQLYKQYPNDDMNLNVSVSSPPVIKVSDQDVGVTISIDLIVDVLEAGEVIPVACISVDISASCDAEIVRNSLTGRLKLKKFSTDLKWSKIGKLHMSVIQSLSSTALKTVLIPYLNSQLKRGIPLPILNGFTLENARIFYTPPWIAVCSDVTFLGDYYLRHHLAYVS >RHN65703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4592380:4593528:-1 gene:gene13576 transcript:rna13576 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFKKLMLKMALLFVLLISIKLATARVPLWISEPHLKAHGRLLLTADDPRDHHYFHHNP >RHN46314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30648359:30652148:-1 gene:gene40794 transcript:rna40794 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRYGMTGPVISNDMHKQTPLRGPAPQNPTALGVIRVPNPNYGSSASTQPQYMEFIPTQGFPKH >RHN79830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32647244:32648507:-1 gene:gene3673 transcript:rna3673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MEGPATVDRISSLSNKLLCRILSLLPIKQAFTTTVLSTRWTPLCYSLTTLRFDDEPVKNYKEFHRFCRFIDTLMLSPQVSHQSLKTFYLKCCFGIYEADLRSFDAWVEAAKRRSVEEFHLIMNEHTLNPAIFTSQTLVVLKLEKVQVEAETLCVDLPLLKTLHLKYVCFKYQNDIKKLLNSCPNLEDLHTSYPRYMRREENNEGEEFESLFLSKLVRADVGSIDVPFNAIHNVEFLRVVRIQEASLQMNIDEIFKGIPLFQNLIHIELWCIGFFYGWDGVVELFQNCPKLQIVFIRKWNASLSKDWDCPILGIECVESHLRSCTILNFEGSENDLRFAKYILQNARLLQEMTIEVTTSSSNEMQKHEIIEELSSCPKMSQGCKLTF >RHN48003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44181299:44185902:-1 gene:gene42669 transcript:rna42669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MAKSSTFPITLLISLNLTFLSIISLTTATNYYQSLSPTMLGFQEEKFTHLHFYFHDIVSGPKPSMVFIAEPNGKVKNALPFGTVVAMDDPLTAGPERDSKLVGKAQGIYTSISQEEMGLMMVMTMAFTDGHFNGSTLSILGRNMIMSEPVREMAIVGGTGAFRFVRGYAQAKFYSVDYTKGDAVVEYDIFVFHYYSFTSTMAKFSSCSTILISLNLTFVSIISLATATNYYKSLSPTMLGFQEEKFTHLHFYFHDIVSGPKPSMVFVAEPNGKVKNALPFGTVVAMDDPLTAGPERESKLVGKAQGIYTSISQEEMGLMMVMTMTFTDGHFNGSTLSILGRNMIMSEPVREMAIVGGTGAFRFVRGYAQAKFYSVDYTKGDAIVEYDIFMFHY >RHN56969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35345567:35348814:1 gene:gene32441 transcript:rna32441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-VIIa-2 family MGCCLSARIKAESPPRNGSSSKDRSRETGLSGRSSGKVSTAPTAPPTPRTEGEILKSSNMKSFTFSELKTATRNFRPDSVVGEGGFGAVFKGWIDENTLVPVRPGTGVVIAVKRLNQEGLQGHSEWLTEINYLGQLHHPNLVKLIGYCFEDEHRLLVYEFLTKGSLDNHLFRRASYFQPLSWSIRMKVALDAAKGLAYLHSDEAKVIYRDFKTSNILLDTNYNAKLSDFGLAKDGPAGDNSHVSTRVMGTYGYAAPEYMATGHLTKKSDVYSFGVVLLEIMSGKRALDNNRPSGEHNLIEWAKPYLNSKRRVFQVMDARIEGQYTVRQAMKVADLAVRCLSVEPRFRPKMDEIVRVLEELQSSSVDTAGGVGSSRDQNIKRSVHDIKRSVHVSRNSNGPRQHRSNRPSVTINNELLVM >RHN64143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56879938:56881410:-1 gene:gene26929 transcript:rna26929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEKSIDHVTTEKVSNHIPDDLEFFIVSKLPLKSLKRFSCVRKSWSHLFQNSNFMNMYRNYFISNNHSSYEEDGSCLLLQQTLPYFPNHRMLYLLSGETFENKVKLDWPPPFQDNGQSVFILGPVMNGIVCLYQGCTPIIVLWNPTTDKLKVLPHSPIESPILYELKYVYLHGFGHDHIKDDYKVIRYASYSVDAPNIEDYREVEIVNQTYCDVWEIYSLRSNSWRKIDLDMPQCYGTNVGAFVYMNGVCHWYCNDINEPLLVSFDLHEETTTFCISILGELGVKESWTKLFIFGPLPSIEHPIGEGSNGDLFFRRKNDELVWFNLNTQIIEELGVKGELHCCQIVIYKESLLRLGGIND >RHN58011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43103524:43103817:1 gene:gene33616 transcript:rna33616 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGFDVYAACSLRYIQLFCHRARVSQYAPPPPPLPPQDFQAHNFPLDPNFVLADPTEMGAILDDDDDERELGDGGGDGNSSDGSFDVFAFLNSDD >RHN78562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16268990:16270580:1 gene:gene2180 transcript:rna2180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MKVKCCDGVVLELEDALVYASSTVQKLIEKNISSRGCISVCHFGGGQGNNFEISFEEEISRKTLLKIKEYVKKHEDARDNEKSLRIWDQEFIKVDHRTLFAIVLAAHYLKIRDLVDLSCETVTAKNDMTPREEEEYQSQTVGKNKGKSIISEEVLGQQCSMEERVGFHRVNLIKGGSSKWAKEGDLFSSKDAIKLQLENMSVKRLTDVEVAQLARDYKASIIQQCVATVLKPVKNKMRHKRRGVQTVTNRNKKRKVISTKFDRFVKVARGPYRRRNVRGRGKLQQQSF >RHN63795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54067519:54067945:-1 gene:gene26546 transcript:rna26546 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSKGTVRTHKKTYGERERERYRIERHSTHILLTLYFIHSSVSLFSFYFS >RHN54167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7501313:7502225:-1 gene:gene29159 transcript:rna29159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MRFYEGIKTHWQNFFLTGVICLSWGSFRTFWVQFKRRHDIASSKIASPLILRITAIFHEDYALESTIVHENLTQFGREQGIQIQVEFVLLQTFEILSFKAVKFLDGEKTAVLLSPEIFRRFGSDENASAFLLDVQSVSPIVVVFVDGEGWTEAAVESSFRCVVVNSLEFYVMMMESLDASVVGGGKEWVKRIEMMHLRPKILVAVEGCGRRISPWKDMFHGAGLKPVQLSRFAEFHAECLLAKSQVRGFHVAKREAELVLCWHERAMVATSAWRC >RHN79245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26563479:26572888:1 gene:gene3003 transcript:rna3003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ENT domain, Agenet domain, plant type, protein EMSY-LIKE, plant MEVQIHQLEQDAYSAVLRAFKAQSDALTWEKEGLITELRKELRVSDDEHRELLGRVNSDEIIHRIRDWRQTGIYQPPRRTTSQPVHDILPSPTVSASRKKPKTSHSGQSLPGLSSVKPVQYASTPTGARHFANRNSSSNLNAPADPLIGKRVWTRWPEDNHFYEALITDYNHAEGRHALVYDIHKANETWEWVDLKEIPPEDIRWEGEEPGILHRGGHSGQGRGAKKFFGHGGDTLGAGRGRGHPRFHSRKELMPPQNGIGNRVPDEIELLNTDSLVKEVERVFAASHPDSVELEKAKQMLKEHEQALVDAISRIADASDGESDGEQPYSHGQLLERGLEHVNQLHGGDNRDPGR >RHN63630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52809336:52816878:1 gene:gene26355 transcript:rna26355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding protein MGKSPGKWIKTVLFGKKASKSNIPRGREKLVNQKEGVVASKVSETGLALEPTSNTIAVHEEDLELENKEAENVLPGNQEIDTVGPVDQDAPLDPEKMKLEEAATKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVATLCCMYGIVKLQALVRGQIIRKSDVGFEIHEKCNLLKLQDAKPVKPIAISGKIMKLSANTFTRKLIASSTTIMALRLQYVCGDPNSVLSWSERWSACRFWKPIPQPKKIRDTKSQKKQGNISTGDAQMTKSKRTHRKLPTANFDVAPAQANPEVEKPKRNTRKFPSQPSDPLLENPQIELEKVKRNLRKVHNPVVEAAVLSEVESEIPKPHLEKEIVASSAGVSQQGVINSNEMVKKEATLTSEPEMGITPSPRDLVTKEVFDTPSSYQVNVESKPLTDITSKDKNISDDEVKNEPKDLEETVCKDENSHLTNGDLNHKEDQTGSENQKPTRKASIGAKQERAENGLINSPTVPSYMAATESAKAKLRAQGSPKVVQDGSEKNNSARRQSLPSPTNSKISSHSPRTQRPVHSGGKGGHKSDKAASSSRDGNGKVVQAEWKR >RHN77223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5176000:5178638:-1 gene:gene653 transcript:rna653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LIM family MAFAGTTQKCMACNKTVYLVDKLTADNRIFHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHFDQLFKRTGSLEKSFEGTPKIVKPERNIDNEKPAAAKASSMFGGTRDKCSGCQKTVYPTEKVTVNGTPYHKSCFKCCHGGCTISPSNYIAHEGKLYCKHHHIQLIKQKGNLSQLEGDHEKNAGKINGEEVAAET >RHN59584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12280006:12284694:1 gene:gene21633 transcript:rna21633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, GOLD domain, CRAL/TRIO domain-containing protein MAAEPQKPAEEVATTTSETVVEKEQQADGVVAAAVTAAAVTAATTDKEAVADPPPAVADEAEKPAEVVADKVADETVVDESKVSQSVSFKEETNVVSELPDVQKKALDELKQLIQEALNKHEFTAPPPAPVKAPEPEVAVKEEKKPEEDEKKTEEVVEEKKDEAVVEEKKVDEEKGSTSEEPKVETAEPEKEEKKVEETVVEVVEKIAASTEEDGAKTVEAIQESIVSVPVTEGEQPVAEPVAEVEVTPIVPEEVEIWGIPLLADERSDVILLKFLRARDFKVKEAFTMIKQTVLWRKEFGVEALLQEDLGTDWDKVVFTDGTDKEGHPVYYNVFGEFEDKDLYQKTFSDEEKRTKFVRWWIQSLEKSVRKLDFAPSGISTLVQINDLKNSPGLLGKKELRQSIKQTLQLLQDNYPEFVAKQIFINVPWWYLAFSRMISPFLTQRTKSKFVFAGSSKSAETLFKYIAPEQVPVKYGGLSRDGEQEFTTADPATEVTIKPATKHAVEFPVSEKSTLVWEVRVVDWNVSYGAEFVPSAEDGYTVIIQKNRKIAAADETVISNTFKVGEPGKVVLTIDNQTSKKKKLLYRSKTIPISE >RHN69070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40782368:40787420:1 gene:gene17498 transcript:rna17498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SAWADEE domain-containing protein MVEKCKTTPSKQTKPSKRDVVLSLSASQQTENMTPSNEEMQLIVHEQKENYVTEFRNFLDDAWYTVSVTFEGNESLRVKYEKNTDEIDNLFEPGFFNSMEDLQEFETRFRPLSVQVQDHQCRELVPGVRVCASHEFGPDDLRFYDAHVVEVKERKHSRKKDAECLCTFKLLWSHGPMVGEFTDAEICNICLVQPVLEYDPAVASFLEITRRRIESQSGQEMVVYCNTGIEAKNHTEIKTKSKMGYFERMQKFQAKRRAKRSVLGTRSSEGAKQTVLGASSPEGAKRSVLGASSTEGAKRSVLGASSPEVGLVEDKELKGKRNVCMILMGNLDKQLCPSTAVEFLYKHTQVSASVFIFPSLSSEIYTRGAIMLRTERDFQKLCDFLTNPKHIITSSTGRPWVIIEKQVGLKNIKASIGTVFPKSENAPQDGKSRVRNNLKIVYSGTQEFKTASAMRDLYSEFADHQERLHKKLAFLEGSVNEI >RHN41299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28962669:28968417:-1 gene:gene47606 transcript:rna47606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Anticodon-binding domain-containing protein MAMTMESGSNNNNNGDEFAVGCFLSIRTTLGDEFEGQVVTFDRDSNYLVLQEGSKHGPRRNIRLLKADYIKDFTFLGQGQDPLDPNHCLLDINALQSREELAIRQAEADAERIGVGVTSEAQNIFDALSKTLPVRWDKTVIVVMNEVRVSSPYHSECVIGGTPAANDRVKKVLEFERKRLQLRGSGGQ >RHN76076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47509192:47512975:1 gene:gene12352 transcript:rna12352 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHLISSEESTGQIQQVNQGCMWGMFHIFDYHRWGVKRVFHCKKKRHARYKKKTSLQDQQNAVTDAESLKVSQHREISNAKGQASSGNALHQKKDVNTTTDQGSLNRDISIKFKNNDDVLEVINVEKNALLKFLRDIDIGGKKIHQTPHNKAKLTKSGSFPLTAPSKVKNISSSTFRSKQTEIWHFPKGEKLLAGTQAPKKLGSSLVKDISYETSKPSASDLGIDSVTAMQQKPSISSRPSEGLSHKGWNQVVIHQFKAIKQKIKHALVEFKKSGHQASVEVIHNNEKEISQSLDVGVIQEYRKSKSLSEAKASESDSNKHEASLMRRTSSLNESMDRYTQLFEKSMSKEIKWQSSKSKSLRLTNDDKIHKSRHARMFSRSNLSMPNLESLGFILHDVLIDTNEANNTVESDNDVQRKSVSVPLKIDKSLEHFKEAEVDETVEGSARDVNPSSLSDNPAVKTSMTAYLSKEATTSLEISCQDNIISQAEGKESNARSSNASVTDIDTNNSLENHFLHFKSYPENDSNFKYVKDILEFSGFMGNEQTQMRYTVDQPMKPSLFTALEEIFLHENECSEEENINMCDHQLLFNLVNEVLFQIYENSPTYFPKPFAFNYKLKPMPKGNYLVKEVWDSVSSYLRLRPELDQTLDDVVGRDLTKGSGWMNLQQEEECVSLELEEMIIDDLLDEILFS >RHN59636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12568580:12569293:1 gene:gene21702 transcript:rna21702 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S2 MTKRYWNITFEEMMEAGVHFGHDTRKWNPRMAPFISAKRKGIHITNLTRTARFLSEVCDLVFDAASIGKQFLIVGTKKKAAYSVRRAAIRARCHYVNKKWLGGMLTNWYTTETRLRKFRDLRTEQKTGKLNSLPKRDAAMFKRQLSHLETYLGGIKYMTGLPDIVIILDQQEEYTALQECITLGIPTICLIDTNCDPDLADISIPANDDAIASIRLILNKLVFAICEGRSSYIRNFD >RHN76487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50733384:50736016:1 gene:gene12821 transcript:rna12821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAT/LH2 domain-containing protein MNSLTLIFTFFIVAAFTNATPSLVSRSQLQMNQYSMLNHTQQQINETELVRGSGSCNYKIDIKTSCSSPEHTTDTIDIIIGDANGNQIISSPDPSMRGSSLKRCTTNPFDLVQANCIGKICSMFFVRFGTDGWIPESATLYNDGYPPITFNFNYLIPFGVPSGIYNCNK >RHN58869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5587619:5595096:1 gene:gene20824 transcript:rna20824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant AUGMIN subunit 7 protein MASKQMEVIQKKLGMLNYPRANASAQSLLFAGMERYALFEWLFFRLLGDKSPFSQQNLQGDALDRDEETARIQYLAEIAKFLGITTTVDTDAIQVAKDIHLIDSIAEKQAQIFSEECKLFPADVQIQSIYPLPEVAELESKLTEQSKILLNLQQKVDDLASKHAYNPDEEYTEVESQLRAHLESFLETARTFNMIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLQNLRDSHAALAFGSSETSGGPSSVSRIISECESEMTVINRDLGILSASIAREHGEKMSI >RHN70141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49067003:49080704:1 gene:gene18695 transcript:rna18695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoamylase MLHHPLLCASRTATQSTLCAFVFSGTGNVLPGRNSLAGNFGLKFSKQMSGSKLNYSQTREGFKLRTTNANANAYGHAQEEVLKEEAIEVVENRPSWETSPGQAFPLGVSQVDNGINFAIFSQHATAVTLCLLLPERGSIDTLDGGMIELALDPHSNKTGDIWHICIEDLPRSNVLYGYQIDGPQDWGTGHRFDRSIVLVDPYAKLIEGRRYFGDISRKLSKFLGTYDFDSLPFDWGENYNLPNIAEKDLVIYEMNVRAFTMDESSELDNNIRGSYLGVIEKIPHLLELGINAVELLPIFEFDEMELQRRPNPRDHMINTWGYSTINFFAPMSRYASAGGGPANASQELKQMVKALHSAGIEVILDVVYNHTNEADDPNPYTTSFRGIDNKVYYMLDDKGQLLNFSGCGNTLNCNHPVVMDLILDSLRHWVTEYHVDGFRFDLASILCRGTDGSPLNAPPLIRAIAKDAVLSRCKIIAEPWDCGGLYLVGSFPNWDRWAEWNGKYRDDIRKFIKGDSGMKGSFATRVSGSSDLYRVNKRRPYHGINFVIAHDGFSLRDLVSYNFKHNEANGEGGNDGCNDNFSWNCGFEGETDDASIRALRSRQMKNFHLALMISQGTPMMLMGDEYGHTRYGNNNSYGHDSAINFFLWDQLDARKGDHFRFFSNAIKYRHAHKTLSHENFLSENEITWHEDNWDNYESKFLAFTLHDKSGGDIYLAFNAHDYFLKALLPTPPTKRKWFRVVDTNLQSPDDIVLDGVPGIGETYSIAPYSSILLEAKF >RHN61170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33624261:33631644:-1 gene:gene23606 transcript:rna23606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calreticulin/calnexin, concanavalin A-like lectin/glucanase domain-containing protein MGITAHLPKLSLFLLIITFHSSFAEVFFEETFQDGWKSRWVLSDWKRSEGKAGTFKYTAGKWPADPDDKGIQTYNDAKHFAISAKIPELTNKNRTLVLQYSIKFEQDIECGGGYIKLLSGYVNQKKFGGDTPYSLMFGPDLCGTQTKKLHVILSYQGQNYPIRKDLECETDKLTHFYTFILRPDASYSVLVDNRERDFGSMYTDWDILPPRKIKDVKAKKPADWDDREYIENPDDVKPEGYDSIPAEIPDPKAKKPADWDDEDDGLWKPSKIPNPAYRGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPIKYVGIEVWQVKAGSIYDNILICDDPQYAKQIVEEYMVNNREAEKEAFEEAEKERRAREEEEAKRAREEGEKRRRERGSRYGDKRRRRRPDPHEYMDDYGHDEL >RHN39812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10756205:10756684:-1 gene:gene45880 transcript:rna45880 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVRLKSNFHRGHEVTINVVGSVHVYNNIMGMETNPQHGLVAFIFFVLLGFLQIRYPENPTPFQIHPKITLVSIASFLLYCLAFWIKLKFTTRVDTLMEVFGSLSIISLVLMFFPENCGLFGYITIYTLWFISHIFVMIIRLRPQMRRKLRPLLPIS >RHN48415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47310697:47315246:-1 gene:gene43129 transcript:rna43129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MMDIEEAERVVVAKPVASRPTCSSYKSFSELLAGAIDSTPPIASSQTTISAIRPKTVRFKPAMNIPPSQVNISGGALSSSSDMDPKPDTNQSLIYKPMAKFVSQTTVSLLANMGICSTNQQQPQKSRETNPQHLNHDNFRANMSSKLHQNITLPTETYQATESCMMAPQNIEEDQKALPSTNNADRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTYPNCPVKKKVERSLDGEIAEIVYKGEHNHGKPQHQKRNSGATSGMISDGMVQDKVWSNNSNQNERNEGRIENQVKASLPDDSALETSCGLSGECEEGSKGFEAEEDDSRSKRRKNENQSNEVAVSEEGLVEPHIVMQSSVDSEVLGDGFRWRKYGQKVVKGNPYPRSYYRCTSINCNVRKHVERSIDDPKSFVTTYEGKHNHEMPLKNTTNMTSEKDSTTSLK >RHN80943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42089169:42093057:-1 gene:gene4928 transcript:rna4928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MRAIPVSTQAFLLPQPHSSLFFSHPPFHFTPSPKPCSLNSNSKITTFLHRASKPNTATLNADDENSGNLLVTEDVEIEESQSLIEDGVYIEVTKLEKNSRRIESRISIDASLDSIWNILTDYERLADFIPGLALSKLIQKGPNFARLLQIGEQNLAFGLKFDAKGVIDCFEKELETLPSGTKRDIDFKMIEGDFQLFKGKWSILQTFSNGSCEDSPVREINTTLSYIVDVKPKMWLPVRLIEGRLCNEIKKNLKSVRGEAQKATDRTVNANQFE >RHN82588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54988991:54989633:-1 gene:gene6762 transcript:rna6762 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLAVENSDAASHGIFNCAAGRPVNIVHYDPESIGIDAKKAFPFRNMVLVSFFLNKYGISILSFIIHYNLFELMNSCKENFTNYLQCFA >RHN77148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4440369:4449191:1 gene:gene573 transcript:rna573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MNDFNLTPYHFTLLTTLRLTSLHPPANCNCQPLTYAGVAMLTARSPSHSLRCNNHFLVLVVAVILLLLLLLPYSSLAIRTTHSDRIDSQLTNSTADSNVSLSTTSRQGSFADIIDRALQHEFTEENDDQNQQVPESGGFNNSVAEQQAVLETVARVTPNKNDSKDEKSFQLHRGEDTPMLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVAIVSATCGGVAFAFAGQPVITGYLLAGSIIGPGGFNFINEMVQVETVAQFGVIFILFALGLEFSMTKLRVVRSVAVLGGLLQIFLFMCMCGFTVSLCGGKASEGIFVGAFLSMSSTAVVLKFLMEKNTTNALHGQVTIGTLILQDCAVGLLFALLPVLGGTSGVFQGVLSMTKLLVTLIAFLSILSILSRTCLPWLLKLMISLSSQTNELYQLASVAICLLVAWCSDKLGLSLELGSFAAGVMIATTDLAPHTLEQIEPICNLFAALFLSSIGMLIHVHFLWNHVDILVASVILVIAIKTIIIASVVKGFGYNNKTSVLVGMSMAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVVHLGVLLRWFSPDSAVEIGYKVDNLRSDSGKQRVVLIDQEAHDC >RHN65468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2473692:2474754:1 gene:gene13309 transcript:rna13309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEVILSSPLHSLSSDDLFRMPPLPTLPFDLIVEILGRLPVKLLLQLRCLCKSWNYLISHSKFAKKHLSLSTTHHLYKVSYSYTLSKCVLTCHPLDYVSTNVTTMVTQYTGPFNYYVEDYYIVGSCNGIICIAGYNKPSVILWNPSIRKFKELRDIQEFPFGGVPVEQSGHFVSGKINWLASKHWLRESPCFIVSLDLGNESYQEILQPEYEEVNEDNYLTLGVLSDCLSIISGHVVWIMKEYGNKESWTKLFTVSYMPDPSKYYIFTKALCIFDNHRQVLLESTRDWGTNWDTKLIIYNSRNSTFRFTNISDQSAYSPRNGSPEACIESLISPWS >RHN54981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14198592:14203552:1 gene:gene30096 transcript:rna30096 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSDSDTDLGWPGLKLSRPLTDSVADTDDIAQSLFRFLANYIATKTTSLSQVEIARLSTSEITRLISNLSETDINRLFNAGISEFVDGCFGLILLKNAILIYQRWNNELPSSGCAIPRHAITPTTDAAAQERLEPSTTSRFLSRSYTTDVKGLKVIGEIYSRGTNRSFRQEVEQDQEGTSRANVPSTGSTVDDPESLP >RHN62692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45199463:45203206:-1 gene:gene25292 transcript:rna25292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LMBR1-like membrane protein MRVFYMFSLPMTIGMVIFTLRYFSGPDVPKYVLFTVAYAWFCSISIIILVPADIWTTLNDAVNVTISFLWSLSYWSTFLLTWAVVPLLQGYEDAGDFTVKARLRTSLHGNLVFYLSLGSVALFGLILLITLNKFWSGSVRGFAMACSNTFGLVTGAFLLGFGMSEIPKGIWLNADWAIQQKFLSHKVAKMAVKLDDAHQDFSNAIVITQATSKQMSKRDSLRPYMNIIDKMLVQMLNEDPSFKPQGGRLGESDMDYDTDEKSMASLRRRLRRAREQYYRYRSEYTKFVLEALELEDTVKNYDRRDSTGWRYISCLRPERIGKVGAVLDTIEFLWRCILRKQLEKSLAVILGFMSFAILLAEATILPSGVDLSLFSILVHAAGHTEVLVQLAAFVPLMYMCVCTYYSLFKMGMFMFYSLTPRQTSSVSLLMICSMVARYAAPISYNFLNLINIGGDRKTVFEKVFYCCLQLYLLFNNYDVVLYHWCSSYDSYY >RHN78110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11978970:11979408:-1 gene:gene1631 transcript:rna1631 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKVKLVVSKRKKGGSKKVSHDPQVANQVIEGNTSSSVIDEMNFVNQQSSLLQRIAEAMSQLERIRKENRENELNLLMMGCMHNLNMLANLKTAKDWTDFAEVIDRKLKEIDTKVAELN >RHN66898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21117347:21117846:-1 gene:gene15014 transcript:rna15014 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHATYQQLLKKHKQLLDLKPGLLTKLLSAKSKQFHIVSETSTANAQIHKRSLEIDELRKQLEDLENQIDDLKSVVNKCDVQKEELNTECSEWAQQSKEFASALASTEVDLREAERARNLAAEGFSNLKSSFPTF >RHN48946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51298671:51301802:1 gene:gene43720 transcript:rna43720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sm-like protein Lsm4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEEKSRTDRKPPGVGRGRGRGREDAAGAGGRQTKGTGRGFDDGGARGAGGRGRASQGGKPGGSRGAGRGRG >RHN74042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:24591004:24593052:1 gene:gene9982 transcript:rna9982 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKLKFFSRIHYLLLIRCIHLLFKKKVIIATEDSSILVNSYEARKPFGRGKGNAGTKNNSRFCTFYNRTNHIVEFCYQKHGYPNSFKPNSSVNASSNDYVDVRNANSAIDTSSSTGLTQEHYNHLVSPLQQS >RHN50694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9542190:9545610:-1 gene:gene35016 transcript:rna35016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MGSQGGTVSESKTLPLSRSGSLYNLTLDEVQNHLGNLGKPLGSMNLDELLKSVWSVEAGEVSDFGGSDVAATAGGNMQHNQLGGFNSQESLTLSGDLSKKTVDEVWKDMQGKKRGVDRDRKSREKQQTLGEMTLEDFLVKAGVVGESFHGKESGLLRVDSNEDSRQKVSHGLHWMQYPVHSVQQQQHQYEKHTMPGFAAVHAIQQPFQVAGNQALDAAISPSSLMGTLSDTQTLGRKRVASGIVVEKTVERRQKRMIKNRESAARSRARRQAYTQELELKVSRLEEENERLRRQNEMEKEVPTAPPPEPKNQLRRTNSASF >RHN74395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32626702:32628755:-1 gene:gene10452 transcript:rna10452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MHPGSFGGICIHCGQKVDGESGVSFGYIRKGLKLDDKEISRVRGIDVKNLLNRRKLCLVLDLDHTLLNTTSLHRLSPEEMHLKTHTDSLEDISKGSLFMLAHMQVMTKLRPFVRTFLKQASEMFEMYIYTMGDRQYSLEMARLLDPQEEYFKDKVISREDGTQKNVKDLDLVLGTENSILILDDKEEVSALLVSDLFLRNCLIRIVGDSKFTRMC >RHN40843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:22836646:22840161:-1 gene:gene47072 transcript:rna47072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucose 4,6-dehydratase MASYTPKNILITGAAGFIASHVANRLVRSHPEYKIVVLDKLDYCSNLKNLLPSKASPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMQGKTLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTKKERGVIDVAKDICRLFSIDPEANIKFVENRPFNDQRYFLDDQKLKNLGWAERTTWDEGLKKTIEWYTKNPDWWGDVSGALLPHPRMLMMPGGMERHFEGSEGENPASVNSSNTRMVVPSTKNTATPQKHPFKFLLFGRTGWIGGLLGKLCEKQGIPYEYAKGRLEDRASLISDIQNVKPTHVFNAAGVTGRPNVDWCETHKTETIRANVAGTLTLADVCREHGILMINYATGCIFEYDAAHPEGSGIGFKEEDKPNFMGSFYSKTKAMVEELLREYDNVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTVLDELLPISIEMAKRNLSGIWNFTNPGAVSHNEILEMYRDYIDPSFKWQNFTLEEQAKVIVAARSNNEMDGAKLKKEFPELLSIKESLIKYVFVPNKKK >RHN46087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28369162:28370748:1 gene:gene40527 transcript:rna40527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC transporter, P-loop containing nucleoside triphosphate hydrolase MVSNGPRYRTIFSPGVLTALVGSSGARKTTIIDVLAGRKTGGYIKGDIKISGYPKEQQTFARISGYDEQNDIHSPQVTIEESLWFSASLRLPKEISIDKRHEFVEQVMKLVELDSLRYALVGMPGSSGWYAVELAANPSIIFMDEPNLDLMHVQQLSLCELWFLPYINQLLLMKRGGQVIYGDKLGNHWCPPIPSGYNPATWVLEVTTPAVEERIGSDFAEIYKNSAQFRCF >RHN59820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13590888:13594654:1 gene:gene21979 transcript:rna21979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tocopherol O-methyltransferase MELQRKEEEKKKFKSGVATFYDEFSGQWEHIYESDHIHHGFYDPNSTEPLDHHSARIRMLEEAIRFANISEDPTKRPKSIIDVGCGIGGTSRYLAKKYGASCVGITLSPVQAERANTLATAQGLADKVSFRVADALEQPFSDGKFDLLWSMESAEYMPDKEKFVAELARVAAPGATIVIVTWCHRDLNPNEESL >RHN62872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46392439:46393206:-1 gene:gene25501 transcript:rna25501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MRRKEIICYNFWKHPPTVPKCLSSQLRTCCIRGYKGTKHEFDFAEYIMHHSKVLETMKIQRNCLEKDQVLVKLYSYIRGGSTACKILFDYIDNDVYTMFF >RHN46630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33493393:33497835:1 gene:gene41146 transcript:rna41146 gene_biotype:protein_coding transcript_biotype:protein_coding MIHIEDATKKRKTRMKKKDQSDLKTLQDCHNLCKHIGGNTTRFNNRFTCKLH >RHN43112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43300659:43301139:-1 gene:gene49637 transcript:rna49637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MSNQNVDSPKGQSMQMRRATSRGAATTFSLEVFDNEVVPASLASISPILRVANEIETERPRVAYLCRFYAFEKAHRLDQSSSGRGVRQFKTLLLQRLERVCIVSNSVLHL >RHN64425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58935698:58940325:-1 gene:gene27241 transcript:rna27241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactosylxylosylprotein 3-beta-galactosyltransferase MKKLYGGLFIMALGMTLFLLYSLKGIQPQKQSTKQSAYSFFNNHSPPNDSIKENNHVAVVTSFDADQKMAPKPTKRPHLIHVTGLDDLYGAKFLSEQEMNVVLVWTHLRLLLSRSDALPEIAQGVKEASVAWKELLSTVENDKASKISKIDGPENQNCPFSVTKLGKTMTDSEITLDLPCGLVVDSSITLIGIPNGQNSSFQIELAGQELEEEPNPPIILHYNVSLPGENMTEMPYIVQNTWTSDFGWGKEERCPAHGSANIRKVDELVLCNVQAVRSNNEENVNAGQPTSDIPSNISSESAHRTANFPFSEANPFTATLWVGSEGFHMTVNGRHETSFAYREKLEPWLVNTIKVAGSLSLLSVLAKGLPVTEDNDIVVDVENLKAPAIPRKRLVLLIGVFSTGNNFERRMALRRSWMQFEAVRSGDVAVRFFIGLHKNNRVNLELWREAQAYGDIQLMPFVDYYSLISLKTIAICILGTKIIPSKYIMKTDDDAFIRVDEVLSSLKGKPSEGLLYGLISSKSSPDRDKDSKWYISDEEWPHDTYPPWAHGPGYVISRDIAKFVVFGHQERKLKFFKLEDVAMGIWIEQFRNGGKEVHYENDERFYNAGCDSNYVLAHYQNPRMVLCLWEKLQKEHKPECCE >RHN73724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19341886:19349484:-1 gene:gene9578 transcript:rna9578 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose synthase MASLTRSTSLRERFDESLTAHRIEILALLSRIEAKGKGILQHHQVIAEFEEIPEEKRQKLVNGAFGEVLRSTQEAVVLPPFVALAVRPRPGVWEYLRVDVHSLVVDELRAAEYLKFKEELVEGSSNGNFVLELDFEPFNASFPRPTLNKSIGNGVEFLNRHLSAKLFHGKESLKPLLEFLRLHNYNGKTMMVNDRIQNLDSLQHVLRTAEDYLRIIAPETPYSEFEHKFQDSGLERGWGDTAERVLEMIQLLLDLLEAPDPFTLEKFLGRIPMVFNVVILSPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLRRIKQQGLDIKPRILIITRLLPDAVGTTCGERLEKVYDTEHCHILRVPFRTEKGIVRKWISRFEVWPYLETFSEDVANELAKELEGKPDLIVGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPESELYWKKFEDKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTETERRLTSFHPEIEELLYSSVENEEHICVLKDRSKPIIFTMARLDRVKNITGLVEWYGKNARLRELVNLVVVAGDRRKESKDLEEKAEMKKMYGLIETYKLNGQFRWISSQMNRIRNGELYRVICDTKGAFVQPAIYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGYHIDPYHGDQAAETLVEFFEKSKADPSYWDKISHGGLKRIHEKYTWQIYSDRLLTLTGVYGFWKHVTNLDRRESKRYLEMFYALKYSKLAESVPLAVEE >RHN77477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7137425:7137661:-1 gene:gene940 transcript:rna940 gene_biotype:protein_coding transcript_biotype:protein_coding MVARISIYLVYSLVVVLPYYSVLCQDALQSLIDGAKQSGISQDTLDDAQSALGDASVQQAAEGALADESLADWVQQAE >RHN79924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33546605:33549822:-1 gene:gene3782 transcript:rna3782 gene_biotype:protein_coding transcript_biotype:protein_coding MIHCSEGPINNPGSLSKQAKLNQTQSARNLFRFIERRFITLLLLLFPYSIFFFHFTIHYFDNSNN >RHN59575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12156637:12165119:1 gene:gene21623 transcript:rna21623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEESNEVLLEAQLSLVDGAVDYNGQPAVRFKSGYWRSAWFIIGVEVAERVSYYGIQGNLISYLTGPLKQSTATAAKNVNVWAGTASLLPLLGAFVADSFLGRYRTIILASLIYILGLGLLTLSALLPSLTFCSPQSQVILFFISLYLVAIGQGGHKPCVQAFGADQFDEKHPKEHEARSSFFNWWYFTMIAGCTATLTILNYIQDNISWVLGFGIPCVVMIIALIVFLLGTRTYRFNIKVNDKSPFIRIGRVFVAAIRNWRNSLSTTDFEEECDGLLRRQSSEQFNFLNKALLTPKGSKEDETCSRSEVEEAKAVLRLVPIWTSTLVYGIVFAQVFTFFTKQGTSMERTIFPGFDIPPSSLQTIKWLAIVLFCPIYEHIFVPLARVITGKPSGITMLQRIGTGIFISIFMVVFAAFVETKRLKIAQKYGLVDDPNATVPMSIWWLVPQYFLFGVSEVFTMVGLQEFFYDQVPNELRSMGLALYLSIVGVGSFLSGFLISLIEHFSGKDGHETWFCDNINKAHFDYFYWLLAGLSVMGFTLYIYFAKSYIYNHKGTITQG >RHN53552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2473966:2476060:1 gene:gene28470 transcript:rna28470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MAGISLPFALRIGSSFVRQGTIAKGVNTSAFLVYMGVALSINAFPVLARILAELKLLTTSVGRMAMSAAAVNDVASWILLALAVALSGSSQSPFVSLWVFLSGCGFVVCSILIVLPIFKWMAQQCHEGEPVDELYICATLAAVLAAGFVTDAIGIHAMFGAFVFGILVPKDGAFAGALVEKIEDLVSGLLLPLYFVSSGLKTDIATIQGLQSWGLLVFVTFTACFGKIVGTIVVSLLCKVPFNESLVLGFLMNSKGLVELIVLNIGKDRKVLNDQTFAIMVLMALITTFMTTPLVLAAYKRKERKSNYKYRTIERKNADGQLRILACFHGSRNIPSVINLIEASRGIKKHDALCVYAMHLKEFCERSSSILMAQKVRQNGLPFWDKGRHGDSVHVIVAFEAYQKLSQVCVRPMIAISSMANIHEDICATADRKRAAVIILPFHKQQRLDGSLGIIRNDFRLVNKRVLEHASCSVGIFVDRGLGGSCHVSASNVSYCIAVLFFGGGDDHEALAYGARMAEHPGIRLVVIRFLVEPNIVGQITKFDVGDSSRSNSISEDDEFLAEFKLKTASYDSVIYEEEIVKDAAETVATIRGINCCNLFLVGRRPTSELAFALKRSECPELGPVGGLLASQDFRTTASVLVMQQYPNGVPINFVPEMEEH >RHN53669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3234827:3235123:-1 gene:gene28600 transcript:rna28600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MSCFHLNGIAALLKNSHPDRSPAAIKSAIMTTAYEFNLQGKAILDQRLKPADLFATGAGYVNHLKANDPGLVYDIEPNDIVPYLGGLNYTDIQVELFH >RHN64584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60209102:60209972:-1 gene:gene27412 transcript:rna27412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRSPCCAKEGLNRGAWTAHEDKILSDYIKLHGEGKWRNLPKRAGLRRCGKSCRLRWLNYLRPDIKRGNISSDEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTNLGKKVKDLQQQNTNNSTPKNAKIAHKQNNPKPMVPNSHVVRTKATKCSKMRKKDYPQIC >RHN64415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58864636:58870086:1 gene:gene27231 transcript:rna27231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MADNEEDSNMPRDAKIMQSLLKSMGVEEYEPRVINKFLELWYRYVVDVLTDAQVYSEHAGKPAIDVDDVKLAIQSQVNFSFSQPPPREVLLELAQNRNKIPLPKSIAGPGFPLPPDQDTLIAPNYQFAIPNKRSVEPMEETEDEEVPNADPNPSQEEKTDAEQNPHQRVSFPAKKETMSLTLLLVGDGCDKRRIFGYIDHPCW >RHN59066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7261857:7262671:1 gene:gene21052 transcript:rna21052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MTGIGKTTIAQSIFNQISPYFEYNYFLNNIGTVWERNGGQVSLQEKFLFDIRKGTKRVLLVLENVDKLEQLNALCGSRKWFAPGSKIIITTRDRHLLKEHGVDHIYRVKELDKSESLDLLNCSAFSQTTRPPEDFVDLSRQRVAYSRGWPLALKALGRFLHGKKVLEWKGILSSIEKFSIPDPRLLNALEKSFSDLSDNEKQIFLDIAYFFIGMNQNDVLQTLNKSTQYAALQICLLEDKI >RHN61018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32339522:32348320:1 gene:gene23428 transcript:rna23428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PWI domain-containing protein MSGGFFRGTSADQDTRFSNKQAKLLKSQKFAPELEHLVDMTKVNMDVMRPWITRKVTELLGFEDEVLINFIHGLLEAKKVNGKEIQIQITGFMEKNTGKFMKELWTLLLSAQKNASGVPQQFLDAKEEELLKKKAESDRITSEIQRKKDKESKDIMEERLKKLDGRLDAKDNDAASDPTLKSRDSGHYIQDGKQTDRNGVRARNRISPHSPAVFNSPYRGSPARAISKSFSNSRSYSRSPKGGGRSISSERIQRSSRRQSISPRRRSPQRSPHRRPSYSRRRSRSSSSSPIRRGMHSPFRRRRTPSPVQRRRTPSPVRRRSPSPVRRRRSPSPVRRRRSPPSPVRRRRSPPSPVRQRRSPYPARRRRSPSPVRRRRSPSPIRRRRSPSPMNRRRSPSPIRRRSPSPLQQRSPSMRRRSPSPMRRIPLSRGRRSSSPMQSPVMRRYDSRTPRRRSPSPLQHRSPVSGKKRSASASPKRSPSQDEWSSQSPVRVSPSPVRRRTSPRHQRSPLQSSMGRVRVQKILSPEVYQPSSPLRSVQRDKNGKASGYKSQDSMSTPDKSPIRSISPPQARSKTSSKNRSPHEISLRQPRDKLTNKGSLSPRLSPPKKPTNHKPTHDIPETSEGAEEAYYSRERKDPKSNSSEKKSRHSPVSKRIGSSAKFHDEDEFYPERAASHLASDTKHYDNNERNKKGQDIKCDKSSGKGGESPGQQKSPMNKEFFSGEKLRDTYAAETKKTDDKDQINSKYAKSSDQHHKSEGTQDLVGKVDHVNQSASYDSVSEESDKHRRDGKDRRKHKRSERKVVSSDENDSYDSELEDRKEAKRRKKEEKKKLRKEEKHRKREERRRKREERHAEKLKMKSKPGYISDNEEAERRDGHQSDDEEEPYDPKKLEIELRNKALESLKAKKSMNN >RHN80010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34302647:34306265:1 gene:gene3883 transcript:rna3883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Snf7 family protein MMKNLNIFSKKPKPKEVLRESKREMANATRGIEKEIGALQLEEKKLVAEIKKTAKTGNEAATKILARQLVRLRQQIANLQGSRAQMRGIATHTQALYAQSSISAGMKGASKAMEAMNKQMAPTKQAKIIQEFQKQSAQMDITTEMMADAIDDVLDNDEAEDETEDLTNQVLDEIGVDVASQLSAAPKGSIKTKNTENVSSSEIDDIEKRLAALRNP >RHN38657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1044577:1045319:1 gene:gene44615 transcript:rna44615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MNDPRKSHLTAAKRVLRYVKGTMKFDLLFQTENNGEKVELIGYSDSDWCEDRSDRRSTSGYVFKFNNVAISWCTKKHPTTVSSSCEVEYIAGTFAACQAIWLDTVMKELNCEVENPLKLKFNNK >RHN78115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12022389:12023830:-1 gene:gene1636 transcript:rna1636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo-like helical protein MQAFCRFRCQCTKCCTSLRSTREVQDGDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFL >RHN39992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12097155:12097406:-1 gene:gene46079 transcript:rna46079 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNIIAEVKFSSSLMSGASGSSSLTSKFDFRSRFLRILAGRCTDSFMAHPLVGLL >RHN57859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42206739:42207467:-1 gene:gene33448 transcript:rna33448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease Z MELGTEKKLKSGSSETESKKKSKGLNIEGYQVESLSIGGHEICVIFSNFRIAFDIGRCPPRFVSMDFLLISHAHMFHIRTYKNMLRCVYEFNHYDLPVYNSFTHASNDVLPHQLMNMTSFHIH >RHN78875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19478827:19479255:1 gene:gene2541 transcript:rna2541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MMGSVSKIVFLVSMLLTILVVLQFGKANSFLPTVYEHIINNMSNTVLGVHCKDKHHDAGFGRINFQEMYTFSLKPHPIVSVTLWFCRFTWNNDFQHFDIYVQKRDAKLCRDCTWFINSSGPCRIKGTSLDCFPWNPKVAIVY >RHN52138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31940600:31944679:-1 gene:gene36767 transcript:rna36767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived nuclease domain-containing protein MAFDDEDGSSGSGDDANMLDGHKRQASVPASGGRKRGRKASGDAIVDAMMQIAAASKLRANAIMKNEDKFSISKCINVLDEMQDAPISMGDIDLELDEMELVAAAAGYYYYSSLTKQPSRCLSPRRRGFMTEVLNGHDDFCREMLRMDRHVFQKLCGIFRQRAMLRDTSGVMIEEQLAIFLNVIGHSERNRVIQERFQHSGETISRHFNNVLKAIKSLSREFLQPSEDTTSPEILNSARFYPYFKDCIGVIDGMQIPAHVPAKDQSRFRNKKGILSQNVLAACTFDLQFIFIFPGWEGSVSDSRVLKAVLDDPDQNFPQISQGKYYLVDQGYLNTEGFLAPFQGVRYQPYEFRGANHLPRNAKELFNHRHCFLRNTILRSFNVLKTRFPIMKLAPQYSFQTQRDIVIAACVLHNFIRREERNDWLFSSLGGTFVDEPSDLDELPDVQFISSIQEQNAFSLRESVSASMFDDFLNKWDKW >RHN81860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49380038:49381195:-1 gene:gene5953 transcript:rna5953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MGNSFGCSASGERLVSAARDGDLVEAKMLLNCNPYLAKYSTFGGLNSPLHFASAKGHNEIVALLLENGADVNSRNYSGQTALMQACRYGHWEVVQTLLLFRCNVSSVNFFSSVFHDEESLVCGLFNIRL >RHN51755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24487629:24490177:1 gene:gene36273 transcript:rna36273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylethanolamine-binding protein MSRPLEPLSVGRVIGEVVDIFNPSVRMNVTYSTKQVANGHELMPSIVMNKPRVDIGGEDMRSAYTLIMTDPDAPSPSDPHLREHLHWMVTDIPGTTDVSFGNEIVEYENPKPVIGIHRYVFILFKQRGRQTVRSPSSRDNFNTRRFSQENNLGLPVAAVYFNAQRETAARRR >RHN54173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7558361:7561276:1 gene:gene29168 transcript:rna29168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MLSSGKETNGHSQVSSMESTCGDVNHLNKHACACVLAATIISAIFGYVTTVMAGALLFIKEELEISDMQVQLLAGILNACALPACMIAGRLSDYIGRRYTIMLSSIFFFLGSILMGYGSSFPILMIGRCIAGFGVGFALIIVSVYSAEISSPSYRGFLTSLPDLSINIGFLLGYLSNYFLGKLSLRLGWRIMLAIPSIPSIGLVILMLQLVESPRWLVMQGRLGDAKKVLLLISNSKQEAEQRMKEIKNAVGIDENCTQNIVHVSKKTRSGGGALKEMFYKPSPHVYRILIAAIGVHIFQNICGVEGIFLYSPRIFGRMGITDKGTLLLATVGIGISQTLFTLLSCFLLDKIGRRILLLVSSGGVIFSMLGLCVCSAIVENSKLGEEPLWAIIFTIIVIYIMAGFNAIGIGAVTWVYSTEIFPLRLRAQGLGVCVIMNRITNVAVVTSFISIYKTITLGGTFFLFVGTNVLGWWFYYSFLPETKGRSLEDMETIFGKNSNSEVQMKYGSNNA >RHN71146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56782976:56783695:1 gene:gene19795 transcript:rna19795 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYIYGLHRLVLLLFNRCRYPQPLVDRVCFQSSFVFFLLHWICIPPSSSSCLSLHSP >RHN64811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62077812:62078207:1 gene:gene27673 transcript:rna27673 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLPFKSSLPLPTLLCNGNLNETALLDESVTSPFFLSFFPLSQIFDIEKLSFLLLPIPAPSLVLPLTTSSALAESKLANLKSLLDSQSHSDIAIL >RHN72434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7596711:7597489:-1 gene:gene8144 transcript:rna8144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase MACQAPGEVSGQIQPPRYLVSDPVAHPPTLEQELGQKEARNVENSTVYVPERMEEEDKDENSLSSRSRTGCSPPAPAYSQRLTELAAMAAENDDDDEVDSEVQSRTEDSVNEYKVKTELIPILRKVIGKHGDIAKNCTSKLVRFRAALLGMICEIISELENNNFTNIKQKKPGNVP >RHN48228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45959942:45964237:-1 gene:gene42920 transcript:rna42920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Snf7 family protein MNIFTKKPTAKEALRESKREMTNATRGIEREIGSLQLEEKKLVAEIKRTAKTGNEGATKVLARQLIRLRQQIANLQGSRAQMRGIATHTQAMHAHSSVAVGMKGATKAMAAMNKQMEPAKQAKVMQEFQKQSAQMDMTTEMMSDVIDDALDDDEAEEETEELTNQVLDEIGIDIASQLSSAPKGRIAAKNTENVGSSGVDDLEKRLAALRNP >RHN82511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54358317:54359668:-1 gene:gene6682 transcript:rna6682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative replication factor A protein MDTSNPAAFVNAQVLPNFIGKRVRAVVQVNHSDGATITGKSTDESQIIVKGLSSQVPVMNYVEVIGIAESNNSIHAEILTDFGATFDVNSYNQLCQLANGECKSLFL >RHN44462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5033630:5036331:1 gene:gene38583 transcript:rna38583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MANSRNHKFQCNTTLLHFLFLHLLFPLIATSYNPDYSLAISCGSSTNTTAFDGRIWVVDNIDNSNLFSFIEPKNTNPSLKTKPNSLSNIQIPFTTARASLSNFTYSFSNIITNSTIFLRLHFYPTSYQNFETSNALFSVEVNNNITLLKNFNPSLWLHHDENHIVKEYSIQIKPNEKLNITFIPNNTNQLNPSYAFINGIEVVSMPSFLYYTNLSDQDYHINLPGFDNTEYQVLNDKALETVYRANVGQNQVPANQDTGMFRNWDNDSPRYLEKEYPSSVSSDFTHNLTYKNNVIPNYIAPEGVYLTARSYGMYETEDYNVTWNFEVDSAFTYMVRLHFCEFDWHIKQDGDRIFQIFIDDSLAEHAADVIRWSGAPLVPVHKDYAVTMGSQGGSSQIEKVNLSIKLQRLPKPMPTVYRDVTLNGIEILKISDKNNLFGVNPKPMSFSPKEQVLPTQQWKKSTTKILAVVAVSCLILASVVGITVFARRNIIFNSHTEMEESSWKTKKQGSSSLPSHLCRYFTIAEIKAATNNFEDIFIIGVGGFGNVYKGYIDGVTPVAIKRLKPGSQQGVNEFLNEIELLSQLRHIHLVSLIGYCNEGVEMILVYDFMQRGSLCEYLYGSDNKPLTWKQRLEILLGAARGLHYLHAGAKHNIIHRDVKSTNILLDEKWVAKVSDFGLSKVGPTGMSMTHVSTMVKGSLGYLDPEYYMRQRLTLKSDVYSFGVVLLEVLCARPPLVRSLDKKKASLAVWFQTCYNEGMVIEDMVDPFIKDSITSECLKCYCQMVLNCLHDDGNQRMSMSDVVATLEFALQLEMSEEDSKLVGTKEKEKSEQRIELSHFTDDGSDMCFTSSSDDYGSHTTNSTSTEEQPLFSENFHATVFSENGNPKAR >RHN67743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29922355:29923193:1 gene:gene15966 transcript:rna15966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MEDLEELFSGPISFDSLENLEVLSIKHCERLRSLFKCKLNLCNLKTIVLLICPMLVSLFQLLTSRSLVQLEALHIENCEGLENIIVDERRELESREDIDGDDNDNKSHGSMFQKLKFLNIEGCPLLEYILPILYAQDLPVLESVKIERCDGLKYIFEQHVELGSLTYLKLNYLPNFIGVFRECYHSMSSCLKGSSSTSNYGSKAQTELEPIKSSIFSWTHICHHGNKFRHKLGSTTSTTIPLVDGDQPEEQKHSVSLSPFSIIFLIFSVIIIFSLFNS >RHN52311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33770266:33770751:1 gene:gene36973 transcript:rna36973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translationally controlled tumor protein MLVYKDLLIGDELLSDSYPYKEIDNGMLSEVEGKWVVRGACDVDIGANPYAEGGEDEGVDDSTAKVVDIVDVFRLQEQLAFDKKQFLGFVKRYIKLLIPKLDAAKQELFKKHIEGATKYLLGKLKDLQFFVGESMHDDGSLVFAYYKEGATNPTFLYFAYP >RHN48691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49504452:49510791:-1 gene:gene43433 transcript:rna43433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif domain, nucleotide-binding alpha-beta plait domain-containing protein MPGTRQKDATARNSESPGGNSEPEKPTDSGEQVDLDGDNDQEESSEEEVEYEEVEVEEEVEEEEEEEEEEEVEEESKPLDEEDEADKKKHAELLALPPHGSEVYIGGIPHETSEKDLRVFCQSVGEVAEVRVMKGKEAKGYAFVTFKTKELASKALKELNNSEFKGRKIKCSPSQVKHRLFIGSVPKEWTVEDMKKVVAKVGPGVISVELLKDPQSSSRNRGFAFIEYHNHACAEYSRQKMSNSNFKLDNNDAIVSWADPRNSESSSSSQVKAVYVKNLPENITQNRLKELFEHHGKITKVALPPAKAGQEKSRYGFVHFADRSSAMKALKNTEKYEINGQTLECSLAKPQADQKSSGASNSFNSAVLPAYPPPLGYGMVGGGYGAVGAGYGAAGFAPPLMYGPGATPAGMTMMPMLLPDGRIAYVLQQPGLQQPSFQQHAPSPVSRHGRSSGVSSSGEKRSNDNSRNRGHCRYNPY >RHN78621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16830567:16833569:-1 gene:gene2248 transcript:rna2248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, non-heme dioxygenase domain-containing protein MEDLERVKELKAFDETKLGVRGLVDAGITKVPRIFYQPPDSTKKASESGDTTTIPVIDLANILEDPCARKRVVESVRDASEIFGFFQIVNHGIPVSTLNEMKDGVVNTFLCNRAPNPPKPEDLPAVCRNILLEYLNHVMKVGTLVFELLSEALGLNPTYLIDIGCAEGLSAFGHYYPSCPEPELTIGTVKHADIDFITVLLQDHIGGLQVLHKDMWVDVPPIPEALVVNIGDFLQFISNDKFKSAQHRVLSNLVGPRVSIACFFSTRHHPTTRIYGPIKELLSEDNPAKYRETSISDLHVHYTQKCSSGTSSLLHIRI >RHN60557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28140296:28146153:-1 gene:gene22880 transcript:rna22880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-carboxy-D-arabinitol-1-phosphatase MVLVVTRPFCSSSLHVPFDHHFHSTKTYSTRFVVVRCTQSSVQEIEENVELLGNSVLFPPLKVAKRVVLVRHGQSTWNAEGRIQGSSDFSVLTKKGESQAETSRQMLLEDNFDACFASPLARSKKTAEIIWGSRQQQIIPEYDLREIDLYSFQGLLKEEGKARFGPAFHQWQVDAVNFVIDDHYPVRELWDRARSCWTKILAHDSRSVLVVAHNAVNQALVATAIGLEAEYFRTLLQSNCGVSVLDFTPRMDGGSPHICLNRLNQTPGSPVAGGKSGGREASKRIVLVCNGSTQGNTEDGVLFGGDQPLNMLGVIQSQKSAELLLDLKVSSVISSPNKSSIETAMAISQVQEAADCLGADCVPRYVETKQKEDLDIETIFKQSKKDVSSFPPFQPGWLNKVEDEFRTALWDQSGKAWQSLLDEISDESRSGDVVVTVCHPAIHIGLMAQCLNLTKEWLGSFHLDAGSVSVLDFPDGPKGRGVIRCINYTAHLGRWSIPITRPTEDAEEF >RHN58164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44232446:44232613:-1 gene:gene33780 transcript:rna33780 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVSLPFVFFCLVLGFGFYFFGSARGRRGVYTNPQVYGMPIPPRGTAIANSTFP >RHN38961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3102136:3104149:-1 gene:gene44941 transcript:rna44941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-RSK-2 family MNDSNTNQNDRVKSLDFKTLKVISAVGRGAKGVVFLARTGNRSSEEYLALKVMSKALIDQKKSKNPKGCGEYKRASFEQEVLRSFDHPLLPRLRGVFETEKIVGFAIDYCNGGNLHSLRKKQSEKMFSDDAIRFYAAEMVLALEYLHDLGIVYRDLKPDNVMIQENGHIMLVDFDLSTKLKPITPPQSLSHNSSSERSKPSKEKHTKNRLFSRFYRCNSGISPCDSDFLPTDSGSFKRNEPESVEKSKSFVGTEEYVAPEIVNGKGHGFEVDWWSLGVVLYEMLYGTTPFNGTNRKETFYRILSKEPELTGEKTALRDLIRRLLEKDPDRRIKVDEIKGHDFFKGVKWDTVLELARPPYIPPLIEVENENNKGYSRNYVEVFVHEVFFPESDDDDEEKKKNKMEEKKDKKVWVDKLSSSLNENEDFFIF >RHN57008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35702630:35706448:-1 gene:gene32491 transcript:rna32491 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIKTKNMGIMALYFSLATFIGSHKIPPSLHTNFIFSSSSSSPSSPPLPATSPETTSRMMRLRARRKFQFKSAAQNHPHLHMPVSIRGNTLDFSLQL >RHN72363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7075334:7077561:1 gene:gene8066 transcript:rna8066 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVLLPQDCFIQRIRAPPASFYRRKPYGNCHNNNNSSHYYGATNSGRSNRKPVTRPEQRKRIPPTERRHSNSSDDLKVARGSGMMTEKVTILRRGESLDSLVKSDGLKKEGEDLVIIGTQRLGPDPNMVPKQIRIVDFKTGCDVYAGSAFAMSPSPSALPIPSFQRKFAPVAVDDSATRDLRRLLRIA >RHN54841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12798299:12799103:-1 gene:gene29934 transcript:rna29934 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPVPSSVVTIVSICTRILKINAEVFFLPRDKRSHENDLPDHDLTSTGNTNKHVVVVMDAMTEFSTEPLQRALDNVVTIACAVTLLGSCHVSTILVVPHKKIVMGCPVRRLVVEQIVTLCPSWVVFDR >RHN76028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47100691:47101839:-1 gene:gene12300 transcript:rna12300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MYVGQFFIAIKTNHIITTPTPTIIIMLSIFRQYTFDDSFEQVFISSKFLKEYQIALTFATDYDQNNLPTNGIFRVNWDTTKVTPESITHFKKQHPEVNIKVYISIGNRGTTFPFSPQNNKSWILNATKSLTNIIKNNKDYNLQVDGIDVLYENIEASPVDFVECIGQLIKNLKENGVIKEASISPSFALNNDFYFLLYRAYSNQIDWVDYQFQNEVVPVFAPNKLVELYDELVLEFYPRKKLFAGYSAENEDWATLSPIVFFLGGMNILKKRKGPGISIHYHNYYQQNSNNE >RHN44337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3637278:3640125:-1 gene:gene38444 transcript:rna38444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MGSYFNEKENHAVKTVTLQIDDSDTLLAMILGANMVFPAVFKAAIELNLFDIIDKETSHENSDGFVSSFEIASKLPITQYSDLPNRLDRMLRLLASHGLLSSSTRTNDDGSMVRVYGITPSGKYFVHHENEDNRMDSFTSYLNHPAFLGVWSNLKEAIIEPEINVFEKVHGIPIYEYFEKDQQINNLFNKAMTQTCAIHMKIILEIYKGYEGISTLVDVGGGNGQCLKMIISKYPSIKAINFDLPQVIEHAPPSPGIEHVGGNMFECVPQGDAIMLKLVCHNWSDEKCLETLINCHKALPSNGKVIIVDFISPEDLESTNASKMISIVDNMMFITAGGKERTSKEFEILGKQSGFSKVKVVCRAFSILGVMELYK >RHN74431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33161931:33163958:1 gene:gene10504 transcript:rna10504 gene_biotype:protein_coding transcript_biotype:protein_coding MTWFCSVSHPIVNPSATIPNYTADAHPRSVPPYEEVIIKQQWARHPPDPYKIINNIKAKVDNAMGHPDVFRNPEEVLRMMQGIQSEWSMLEQMPAPRRRSRSPRMEHYDFCSFL >RHN82816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56579610:56580451:1 gene:gene7006 transcript:rna7006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase A22B, signal peptide peptidase MCPLCVEVLLYAPTRPTIDFSVGCLWLMSVGTVICASLWSDLTARDQLDERYNELSPKGSSTAERVTNDSENEIVNIDTKGAIIFVITASTFLVLLFFFMSSWFIWILIVLFCIGGVEVR >RHN55781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23714404:23718087:1 gene:gene31035 transcript:rna31035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation HMG family MARRRRVSKRVCAIRRVRASDGSAFFKCETCGMSVAIALADMHYCDSNKIQFKRLLGIVPKRTRPNIQHLMKSQPISPYRLFMESFMKGQDMENYNIELDRIGFEKWKNMSKEEKQPFVSHARELDNKHQEALKHDAIGIIKIKYGADSPLVETLYKTQMIFRESSADHSKE >RHN60682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29383539:29388307:1 gene:gene23033 transcript:rna23033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosinetriphosphatase MVSDASKKKAAQKKAAAAAKRGGKAAAAASSKAADKVADKISDMKISDRTCTGVLCSHPLSRDIRIESLSVTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGMRELPIPEHMDIHHLSREIEASDMSALEAVITCDEERLQLEKEAETLAAQDDGGGEALERIYERLDALDASTAEKRAAEILDGLGFDKQMQAKKTRDFSGGWRMRISLARALFMNPTILLLDEPTNHLDLEACVWLEESLKKFERILVVISHSQDFLNGVCTNIIHMQSKKLKIYTGNYDQYVQTRGDLEENQMKQYKWEQEQIANMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDQVLVFRFTDVGKLPPPVLQFSEVSFGYTPENLIYKNIDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLFPSDGMVKRHNHLRIAQYHQHLAEKLDLDVPALQFMMSEYPGNEEEKMRAAIGRFGLTGKAQVMPMKNLSDGQKSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGMVLVSHDFRLINQVAHEIWVCADQKVTRWEGDIMDFKKHLKAKAGILD >RHN75234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40433645:40434215:-1 gene:gene11407 transcript:rna11407 gene_biotype:protein_coding transcript_biotype:protein_coding MWHGYFCNFTLFYLLDLPFFSLPSLSLTQSHLPSFSLTLPLTQGHHHWPPLPSSPPLISYSPLFKLPLCIPSSFSAVLSL >RHN77551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7661972:7662770:1 gene:gene1017 transcript:rna1017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TFIIB MAEKQNNVLNKNYGVNQDDASLVCELISNDLHQRHLRNESSLSEVAKAVQAAQEATKELVEKSEEFDIRRSPISNAAAVIYIIIQLSDDKKPLKDISVAIGFSEVTIRNSYKDLYPPMFQR >RHN63157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48961686:48962132:-1 gene:gene25827 transcript:rna25827 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSECGYLPYQQRNTGYTKQFQIQGSLQPKCLIQTLEHHSIQTELLKAGFQSQAASYEGKKH >RHN51458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18017104:18022290:1 gene:gene35893 transcript:rna35893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPPDE putative peptidase domain-containing protein MTDVILHIYDVTNSGSEKANNTILQINKIFKDGIGLGGIFHSAVQVFGEDEWSFGYCEQGSGVFSCPSGQNPMYTYRESIVLGKTNFPIFKVNQIIRELSREWPGTAYDLLSKNCNHFCDEFCERLDVPKLPGWVNRFANAGDTAMEVAGNTALRFRQAKTEIVSASKVAYRFLLGVTNNVTNNVTNNIKTGPDSPNNSNRGESSPRFQASWLKNMITNGAKPSTSSDAENHNGAVPLQPTREDDKALLHSSSSRHDS >RHN77513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7335236:7337414:-1 gene:gene977 transcript:rna977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MSFTKVILLVAAFLSVTLSHAHPVHDFHFGWGGHHGGTTRGMSFGLSPQFYQFSCPQANDIVMSVLEKAIAKDIRIAASLLRLHFHDCFVQGCDASILLDDSATIVSEKNGGPNKNSVRGFEVIDEIKSKLEQACPRTVSCADIVALAAKGSTVLSGGPNWELPLGRRDSKTASLRGSNKNIPPPNATIEGLLTFFKRQGLDEVDLVALSGAHTIGVAKCATFKQRLYNQNGNNQPDSNLEKTFYFGLKSMCPRSGGDNIISPLDFGSPRMFDNTYYKLLLRGKGLLNSDEVLLTGSVKETRDLVKKYEQDESLFFQQFALSMIKLGNLRPLTGFNGEVRKNCRRVN >RHN68957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39862200:39864320:-1 gene:gene17381 transcript:rna17381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MEDFSQNSKWKYHVFLSFRGEDTRLGFTDHLYASLVRKSIITFRDDEELARGEVISQKLLHAIEESLSAIVIISKNYADSAWCLDELVKILESKRLLGQQVFPIFYGVDPSDVRNQRGSFAEAFKKHEEKFSESKEKVQRWRDALREVANFSGWDSKDQHETKLIEEVIAQVWKRLELKFPSYNDGLVAIDVRLEELYSTLKLGLEDVHFIGIWGMGGIGKTTLTTALFKKIKSQFDVSCFITNVREGTELVQGIVLKSSPSTLYEAHWDPEAFSKMGNLRLLIILCDLHLSLGLKCLSSSLKVPVWWGYPLNSLPVGVQLDELVNLQMINSKVKQLWNGNKYYGKLKVIDLSNSKDLRQTPNVSGIPNLEELYLNDCTKLVEVHQSIRQHKKLRVCLDGGMF >RHN42329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37417756:37420160:-1 gene:gene48758 transcript:rna48758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MASSQVANIFESTHSILGVMDEVINKPKMGIPEMFLRPDKEPSIPRNETNPLQTIPIFDFQTLICGGNTELDKLFSACKDWGFFQVVNHGISSELLEKLKFEIPNFFKLPIEEKTKYKIREGDFQGYGSVIRCEGQKLDWGDRFFMITNPIARRKPHLFPQLPSSLRDTLESYILELKKLGMTLFELLGKAIKMDMKEVENMFDDGNQSIRMTYYPPCPQPELVDGINPHSDGSGITILNQLNGVEGLEIKKDGVWIPVTFLPDAFVVNIGDIMEILSNGVYSSIEHRVSVNKEKERVSIAMFFNTKFEAEIGPAKSLISSENPPLFKSMLMEEYSKYFFSRNLNGKTNLERMRI >RHN49357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54257100:54260118:1 gene:gene44177 transcript:rna44177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NTF2-like domain-containing protein MAALRIANRIINYHHNLLYNNYQYRSISTTVHLQSSWMDKVKNVFTGQKSEGAPPDASQFTLLNFADEMKKARKVGAFKEYIVGRSSEVTFSTAIEKYEVIIRYLAAFDYTGENLTTNQKHEAAKHCNCTIAEVENALAKFTWAKEAHKKIQKLNQEGKPMPKNMAELQKMVGTNPMDIAKSNLAKSGQVSRNALCPCGSKKRYKRCCGKD >RHN72640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9307703:9308641:-1 gene:gene8383 transcript:rna8383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase/kelch, beta-propeller, F-box associated interaction MALIGSCNGLIAISNGQTAFRHPDDANEITIWNPNTGKHRIIPFLPLPIPNILKSDNIDSVALCAVFVHGFGFDPLSGDYKLLRISWLADIHYSFESHVRLFSLKTNSWKIIPSMPYALKYVQAMGIFVENSLHWVMTREIDESHPCLIVAFNLTLDIFNVVPLPEEVNSESFEINVVVLEGCLCMTLNYRNTEFEVWVMKEYGSTDSWCKLFTLMEPCFLVDLEIFRPLCYSSDGSKVLLEGIHVSTEGNNRNLIWYDLKSEQVSFVKGIPNFNGTIIWVGSFVPPSFPVDNSRKKENHTSKSKKRYYICS >RHN82079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51122865:51126287:-1 gene:gene6198 transcript:rna6198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRIB domain-containing protein MATTFKGICKSLKYITQMFVVKEREMEIGYPTDVKHVAHVGWDGPSGNGPSWMDDFKKVPEFSTSIGNLDEIRDSDPMAVTSLWSSIENQQSSNIYKGISSAAGDPHIAEKPKQKKVKSASSSRSPSSSSRRSRASKSKATFNEREAIPIALM >RHN82514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54373654:54376455:-1 gene:gene6685 transcript:rna6685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Dual specificity protein phosphatase MGVGISVLIALKATTLLLIFAYLKNLGFLILSIPFLYSSLVSFLVSIASHPSIDLPLLLGKTSDGTFPLVSLIIFSPYLYFVRIFSALRRRKSGEPPYSKVHDGLYVGGWPSSPEKMPPGDPAIIDCTCEFPRLKNLSGGLPYRCVPTWDTRSPQPGDIELAVKWALRKRDLNRPIFVHCAYGHGRSVAVMCALLVAVGVTDDWKNAEKLIKQKRPYIRMNALHRKALEEWSAHRLSTPKPKK >RHN60667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29275805:29276293:1 gene:gene23017 transcript:rna23017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MKTVPSCAKFKSPGDAAASPHSYMAPPMLLHETAEDTTVSGYFIPKSTRVWINAWAIGRDKSAWDEPEKFNPSRFLNEGMPDFKGSNFEFIPFGSGRRSCPGMQLGLYAVEMTVANLLHCFKWELPNGMKPSELDMNDVFGLTVPRAVQLVAVPSYRLNCPL >RHN75626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43858785:43861676:1 gene:gene11859 transcript:rna11859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MMKPWFWLSVLNLFFYLHYYPSLAALTTTISAKQSLSGDQTLISEGGIFELGFFKPGNSSNYYIGIWYKKVIQQTIVWVANRDNPVSDKNTATLKISDGNLVILNESSKQVWSTNMNVPKSDSVVAMLLDTGNLVLKNRPNDDVLDSLWQSFDHPADTWLPGGKIKLDNKTKKPQYLTSWKNRKDPATGLFSLELDPEGTSSYLILWNKSQQYWTSGSWNGHIFSLVPEMRSNYIFNFSFVSNDNESYFTYSMYNPSIISRFVMDISGQIKQLTWLEGINEWNLFWAQPRQHCEAYALCGSFGSCTENSKPYCNCLSGYEPKSQSDWDLEDHSGGCLRKTRLQCESSGHSNGVKDRFRAIPNMALPKHAKPVVSGNVEECESICLNNCSCSAYSYDSNECSIWIEDLLNLQQLPSDDSSGKTLYLKLAASEFSDAKNNNGVIVGVVVGVVVGIGILLALLLFFMLRRRKQTVGTGKPVEGSLVAFGYRDMQNATKNFSEKLGGGGFGSVFKGTLADSSVVAVKKLESVSQGEKQFRTEVSTIGTVQHVNLVRLRGFCSEGTKRMLVYDYMPNGSLDFHLFLKKDSSKVLDWKLRYQIAIGIARGLTYLHEKCRDCIIHCDVKPENILLDTDFCPKVADFGLAKLVGRDFSRVLTTMRGTRGYLAPEWISGVAITAKADVYSYGMMLFEVVSGRRNSDPSEDGQVTFFPTLAAKVVIEGGSVITLLDPRLQGNADIEEVARIIKVASWCVQDNENQRPTMGQVVQILEGILEVNLPPIPRSLQMFVDNDENVVFYTDSSSTQSSQVKSNVSATSQVQSNISSSSKSSAEN >RHN39028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3619975:3622950:1 gene:gene45013 transcript:rna45013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MISIPLFSHQSSIIEFCKRLIHLHHHIHVTCIFPTIDAPIPATLKLLESLPSSISCSFLPPINKLDFPHDVGMEIELAVVQSMPSLRNSLRLLCSTSTCTPVSALVVDPFASQALEIAKDLNLLSFVYFPLSAMITSLHFYCPTLHKQVSCEYKDHTDLIQIPGCLPILGKDLPPEFFHDRSSVAYSIFLLHSKNLSLAHGFLANSFSKMEASTGRALQEEHNKTTKLVYMVGPIIQSGSNCSEESNGSICLKWLENQTPNSVLYVCFGSGGTLSQQQTNELALGLELSGQKFLWVLREPSKSKDVDYKIASIGNDDNDLLKYLPHGFLERTKEQGLVVPLWAPQTKILSHNSTGGFLTHCGWNSTLESIVSGVPMITWPLFGDQIMNAILIVEGLKVGLKIKFNENGIAEREEIAKVVRHLMLGEERSEIRQRIEELKDDAACALAEDGSSTRALCEFGTRVANFSL >RHN75490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42674120:42675393:-1 gene:gene11708 transcript:rna11708 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPVSLLDGSGTAYRLIRVPMWCQMSLLGICAGLCYCIVHFYHDIHVVASVCTLSSNLWFAINFESLRLEES >RHN65324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1217072:1224567:1 gene:gene13150 transcript:rna13150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MALKFIAISAVSTVLSFVGLQFCTDLSLEKLKSDGLIGWNSIHLDNVDHDIELPLGLYTTIVLLTNCMINVFVLLNLCLKAMFFSELYPSETRKLIERLVNYVIYKGTFLPLVVLPTLYQAGLWSTWLAVLCSLKMFQALARDRLERLNASPSATPWTYLRVYSALLFIFLVDVLWIRLCLEIYSTHGSSMFLLLFFEPLSIAFETLQAILVHGFQLLDIWIHHSACSGSDFRTHKLLDALTAGSLLEWKGILIRNLGFFLDMATFFMALGHYLYIWRLHGMAFHLVDAVLFLNIRALLSAMINRIKGFIRLRIALGALHAALPDATTEELRGYEDECAICREPMAKAKKLNCNHLFHLACLRSWLDQGLTEMYTCPTCRKPLFAGRPENETNSSTGVISSDEQLARQMSAGFDRQNSARHNMPAGLFPNPTLNNAEGVPWRSAGLDSGWLHSWPNQGVDGAGPSTAIRTVGLGRVQMMMRHLASVGETYAQTTFDDASWNLWPINPSQTSASGPSQPTAPSPGVRLPGGNGGLHIRTASRSANDNLANVLAMAETVREVLPHIPDDIIFQDLQRTNSVTVTVNNLLQM >RHN75098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39350756:39356228:-1 gene:gene11257 transcript:rna11257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase PEK-PEK family transcription factor WD40-like family MEENSESSRALNSSGVSDRNQRENPFSGEGSQDSRFRKERDWIHGAQGDQNKNLGGFCEGEEVENDPFFSSVEWGDISLRQWLDKPDRSVDFFECLHIFRQIVEIVNAAHCQGVVVHNVRPSCFVMSSFNHISFIESASCSDTSSDSLGDGVNNDQGVEVKTPTSHCPRDIMHQQSFGSEDFMPAKISTDARSDSSCMLSSAVYAARASLIEETEENKMKDMRKDEEVEGKKQSFPMKQILLMEMSWYTSPEEVAGTPSSCASDVYRLGILLFELFCPLSSREEKSRTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPDPSSRPTLGELLQSEFLNEQRDDMEEREAAIELRQKIEDEELLLEFLSLLKQRKQEVAEKLQHTISFLCSDIEEVTKKQTRFKEIAGVELGGSDDRSASTFPSMTVIDSEDSACLGTRKRVRLGMHLDECDDNMESDQKNHGSFLSKNSRLMKNFKKLESAYFLTRCKPTYSSGRPGVRHSTIANGGRGSVVMSERSCINSLALKDQGRDSASAWINPFLEGLCKYLSFSKLKVKADLKQGDLLHSSNLVCSLSFDRDGEFFATAGVNKKIKIFECDTIIKGDRDIHYPVVEMACRSKLSSLCWNTYIKSQIASSNFEGVVQLWDVTRSQILSEMREHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQGVSIGTIKTKANVCCVQFPLDSARYLAFGSADHRIYYYDLRNLRVPLCTLVGHNKTVSYIKFVDNVNLVSASTDNTLKLWDLSTCTSRVVDSPIQSFTGHTNVKNFVGLSVSDGYIATGSETNEVFVYHKAFPMPALQFKFQNTDPISGNEVDDAAQFVSSVCWRGQSPTLIAANSTGNVKILEMV >RHN69342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42744068:42744775:-1 gene:gene17794 transcript:rna17794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MIIMFIVFLFGLILMPLFALILHHFSSYDHNRLNPITAAVDLFRREFNRQIIHKCPVLVYSAVKDHKIGKATFECAVCLNEFQHNDKIRLLPKCYHIFHQDCIDVWLLSHMNCPVCRSKLTPDVPDIAIPIDAATESTEQQQEESNTVRVSEEEVVMADEDIFRLRELRMLDKFPLPRSHSTGHSLAENEEKVENNHDDDVVLHLPPAWSSKRVWYRSNNNVERWSLSMTHFGVF >RHN54473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9875420:9882155:-1 gene:gene29523 transcript:rna29523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase IRE1 family MNHSVSIFFFISIFIFSCIVADVSISTTQSQQLSLLHNGFSPSPPSLPPSSSPLVSNSLDRKPVTALVAGLDGTIYLVESASGRVIWSFSSGSPIYHSSANTPPSSGLIECGDDWELIFHDPHFGKTRLKESVADYVAVTPIVSKDGAVILGSKRSTVFEVDAKTGKLLRSYGAADFRNVSTTAMWSGDKDSEGVTNDLRANNKELADPAKLNLPEFLLQIVRTDYFLQSVGPGSGIVLWTMSVAEFEAVLFCQHNENPTLTASLDAEGEYAYDVDSGLDFAMPYACPDRKLREVYRQRKNFLFEPDKIQRLSDVDAYQEKGMLPMPDLKLMLPSQPKSDRFLPGHGGNMMLPVPVPNYMQPKITYDSNGNAVMLPQPPMEITAPREVDLSRVIELSMSLLVVLSVVFLLMLYQNRLKNNVTSKVQISDSDLKSSPSKKKRVRKSGKNNIIVEENIRIHKEAENEARLYYNNVNGRRIGKLCVLNKEIAKGSNGTIVLEGIYEGRTVAVKRLVQAHHDVAHKEIQNLIASDFHPNIVRWYGVEYDHDFVYLALERCACNLDDLITFYSDLSENSVLREDQAFDFFKKAQIEAQRDDMQCLWKSNGYPSPLLLKLMRDVVSGLVHLHELGIIHRDLKPQNVLLIKERSLCAKLSDMGISKRLLEDMSSLGHSSTGCGSSGWQAPEQLLQGRQTRAVDLFSLGCVLFFCMTAGRHPFGERLERDVNIVKNKKDLFLVEFLPEAEDLISCLLNPDPNLRPKAIEVLHHPLFWSSEMRLSFLRDVSDRVELEDRETNSVLLNVLESTAPLALGVKWDEKMEPAFIANIGRYRRYKFDSVRDLLRVMRNKLNHFRELPPEIQELIGPVPEGFNDYFASRFPRLLIEVYKVICNYCKDDECFQRYFRDVD >RHN67372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26521020:26525477:-1 gene:gene15554 transcript:rna15554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 3-dioxygenase MAPAKTLDYIAQKNTLESSFIRDEISRPKVAYNQFSNEIPIISLAGIDDIGGRRVEICNQIAKACENWGIFQVVDHGVDQKLISEMTSFSKGFFDLPPEEKLQFDMSDGKKGGFVVSSVLPGEEMKDWRELMIYFSYPIRERDYSRWPNKPEGWKEVTEQYSENLMSLTCKLFEVLSEAMGLEKEALTKACVDMVQKIVVNYYPKCPQPDLTLGLKRHTDPGTITLVFQEQVGGLQVTRDDGETWITVPIVKGAFVVNIGDHGHYLSNGRFKKADHRAVVNSSYSRLSVATFQYPATDATVYPLKVREGEKSVMEEPLTFAEIFRRNMSNDLETARMKKMGKEDKELRDMQKAK >RHN76085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47556029:47556929:1 gene:gene12361 transcript:rna12361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-VIIa-2 family MKEIGLINVRDYLINMYDTYFWIWLLARDGPTGEKSHVSTRLMGTDGYVAPEYLATGHLTTKSDVYSFGVVLLEMVCGRRVIDNHRPAELRNLVKWAKPYLSNKRKVFRVFDSRLEGQYSAEEAYKVAALTMKCLSESKCRPNMDEVVRTLEQVIHSQLGRTDSPAVRLEKKAPCMIWW >RHN69151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41397130:41406011:-1 gene:gene17583 transcript:rna17583 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKKSRTDDVDLLKKERRKEKKHKKEKKDKEKKESKENGEKEGRDGKHKDKKDKKEKSREKKKDKDKDKDNGKDKSKISAADGRGSPAQAQGLNAGNLHQKEIKQNDKKAFLFEDRLTKQHGSNNGEKARENNHLAEENKDSKFLMELDRRVRNNDGGAGTQLVQQSTTADCRKDEGTVRLVAKISGTGTWPDGKEKLQNKGVDAKKIDGRGIRAEARPIGNATVQNHAVDGKKIGTWPNGKEKFQDKGVDAKKIDGRGICTEARPIGNAAVQNHAGNCHPRPLEKNFDKTLEGRVEGTDRTKEEKDDKRRDKRKDDKRGDKRKEKDKEKKGHGKDKDRDKEKKKEEKAKQKIENRNGEQNKLKDSNKAGLVDPNSSTQVSKNSHENSIIGVNLKKRNEIDSNGVLHAHENSITRGNLKKWKEIDSNGVLHAHENSITGGNLKKRKEIDSNGVLHAHENSITGGNLKKRKEIDSNGVLHAHENSIIGDNLKKQKEIESNGVLHANENSIIGDNLTKRKEINSNGVLHAHGNSIIGDNLKKRKEIDSNGVLHAHDSRPSMLPGPSSSHPFTENGRILEPCQISPINASDGPAAATNVKVENKDRKINGIIEVPTSVVSPNKIPTATVPANPVIKVPKPHPDTKYISQVYSVPKANEWSDFDDQEWLFGSNHSQERKPVVKSSEVVDTLQVWAEAVHIEPADVFALPYVIPY >RHN71083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56356048:56363005:1 gene:gene19726 transcript:rna19726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MSTSEVEKKVEEENQAPKGGELLFCGATCWDIVGRRKGPAEGNLVSPSRLRPLVGISIRYVASGCASCHCVALDVEGRCYTWGRNEKGQLGHGDTIQRDRPTVVSELSKYKIVQAGAGKSHTVVVTEDGNSLAFGWNKHGQLGSGSAKTEIESSPIRCLVSEVTYATCGGDFSVWLSSVEGSSILTAGLPQYGQLGHGTDNEYNSKDSSVKLVYEAQPRPRAIASLAGETIVKVACGTNHTVAVDKNGFVYTWGYGGYGRLGHREQKDEFAPRRVDIFTNRNVLPPDAIISAGSVNSACTAAGGQLYMWGKIKNTGDDWMYPKPLMDLSGWNIRCMDSGGMHHFVGADSSCISWGHAQNGELGYGPNGQKSSAVPKKVDILEGMHVMSVACGLGHSMVVVDRANIADRLDQLDTHDGKAVGEGNETVNKTQVPKKAAPKTGATAKKADSSKKRKKAKDASDSEEEQEEAEESDNSEDEVNGEAEVKTSRGGKSSGKGRGKASKVSESEGKGSGRGRGRAPANNSSSKSSPVKSTGKRGRPRKS >RHN60740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30050918:30051325:1 gene:gene23097 transcript:rna23097 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFNFFFFFLTSLSLLSIATSQERAPHGLVYENPIAFPPSAYLFFHPNARTYETKNSCTTSKCSSLPMAAQVEANQKYENKASQKSGKQIGAGAVAGIIFAAAFVVLLVAGVYYVKVIRQVNMNRTINNAQSHA >RHN66576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14159837:14165116:-1 gene:gene14589 transcript:rna14589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-associated protein RP/EB MATSIGIMDSAYFVGRNEILSWINKRLQLNLSRIEEAASGAVQCQMLDMTYPGVVPMHKVNFDAKSEYDMIQNYKVLQDVFNKLKIAKHIEVNRLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRIKGGKDRNVKSLRSSKSLQSNTTNNTGSGELSPNRNSGPKISRSSGGSDGTNSSAEIQALSKQVTDLKISVDLLEKERDFYFSKLRDIEILCQTSELENEPISVAIKKILYAADAKESALEEAQAYINQTLDDVEDQPETDA >RHN48177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45586506:45596460:1 gene:gene42864 transcript:rna42864 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(+) ADP-ribosyltransferase MSNPQNAKPWKAEYAKSGRSSCRSCKSPIATEKLRLGKMVQSSKFDGLMPMWNHAECILKKANQIKLVDDVENLESLRWEDQQKIRKYIESGGGGGSGTPKSNAASKSTAGKNIEYGIEVSQTSRATCKHCGQKIIKGEIRISTKPDGQGARGLAWHHAKCLLELSPSIEVDNLPGWNSLSSSDQSAVSELATKDHPTNRGGGSGTNVEAEDVKESTQQSSSKGGTKRGKDAEGEQKSKAAKVKGDVSASRVAAVKNADDSGAARDLENRLEAQSKDLWALKDDLKKHVTTAEMREMLDANGQDSTGSELDLRDRCADGMMFGGLSPCSLCDGFLRYSGGMYRCTGYISEWSKCSYSTCEPKRAQGKWKIPKETDNQYLKKWFKSQKGKKPTRILPPPSSRTSAESQISAGQHQPSNSETLADLRVAISGLPEDSIVEWKRKIDAVGGVLHAKVKKDTNCLVVSGALKDEVEMRKARRMKIPIVREDYLVDCMERKKKLPFDMYKVEMIGEASSMVTIKVKGQSAVHDASGLQDSGHILEEGKSIYNTTLNMSDLSTGINSYYILQIIEEDNGSDCYVFRKWGRVGNDKIGGNKLEEMSKSDAIREFKRLFFEKTGNPWEAWEQKTIQKQPGRFFPLEIDYGVNKQVVKKSKNNADSKLPPPLIELIKMLFNVETYRSAMMEFEINMSEMPLGKLSKSNIQKGFEALTDIQNLFKSSNADPSVRDSLLIDASNRFFTVIPSIHPHIIRDEDDFKSKVKMLEALQDIEIASRLVGFDANNDDSIDDNYKKLHCDISPLPHDSEDFRLVEKFLHTTHAPTHTDWSLELEEVFSLEREGEFDKYAPYRDKVGNRMLLWHGSRLTNFVGILNQGLRIAPPEAPATGYMFGKGIYFADLVSKSAQYCFTDKKNPVGLMLLSEVALGNVYELKKAKYMDKPPQGKHSTKGLGKKMPLESEYAKWRDDVVVPCGKPVSSNVKASELMYNEYIVYNTAQVKLQFLLKVRFHHKR >RHN62644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44899423:44904172:-1 gene:gene25239 transcript:rna25239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MASSWFLKLTFKCLYHFAWPLVALVYPMCASVQAIETDSYAETKDLISYWILLSLIYLFEYAFMNLLLWFQLWPYTKLMIIFWLIIPDFGRASYVYNKLSRFLKPHIVTWGLNNSWKKWFFEKDNFLMHAEIYMKENGTEALEKLIASKNTMCRPDAEATNEISATDDKEMLKTNGERLQTEQKDIQGLEAIEKKEIPATKQDIPVMLKIRPSQNASSVTVETKGTAESERAGGEVPRSSTQKEVQREWTCAICLVTTSREKDLISHLNGRKHRDTSEALISKKQPTRQKQKGAEATTNKTIATDNKKIPKKNGERFQTEKKNIKYLEAIDKKEISVTKQDNPVMPKIASSQNASSATVETKGTAKSDKAGEEYLKSSTQKELQEEWPCALCSVTTSSKITLNSHLNGRKHRASCEAALKAKKQAALQKLNIYQSKEEVKQKDVSNKFNSNVKSGDNILKKGLKGTVVMDDKVQKNQAEPVRTHNSKSICRVCDVVLLSEANVVSHMNGKKHLAKFKDIGIA >RHN56484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31225673:31226158:-1 gene:gene31892 transcript:rna31892 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEEQVLRVPHQLGVGLTIFFISGGNPHLTSRFCGVVLGPTTISNMTSEPLHDSLSHLLSGFRYQATRHLCSRFRCAILSVRGCVKSPTSVRSWPDNLFISGGNPHLTNRFCGIVLGLTTISNPYSYQDVKKKSTVDLEIWWIDFCANLILEFSRLFSPF >RHN72539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8469045:8470829:-1 gene:gene8265 transcript:rna8265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative petal formation-expressed MVCLQISAAANLCSLRTVNAAIHLPKLPSLSNLSIPQLSKTRKLIEEFNGSKDQIERNNNVVLTTQSHDELYRQNNKKSNAIIKLYAILEAVSDRVEMHQNIGEQRSNWNTLLLNSINMITLTATTMSGVAATAAATCSDSSLLALKLSSALLFSAATGLLLIMNKIQPSQLAEEQRNATRLFKQLQSQIQTKIAIGNPTEEDVKDAMEKVLALDKAYPLPLLGAMLEKYPSKFEPANWWPMSKKVKTQSKKMGKMNNGWSKELEMEMREVVEVIKRKDAEDYDRLGNIALKVNKSLAIAGPLLTGIAAIGSTFVGSGSFAAFVPLLAGSLASAINTFEHGGQVGMVFEMYRASAGFFNLIETSIESTLGEKDLEKRENGELFEMKMALQLGRSVSELRELASKSASCRIEGVDIDEFASKLF >RHN49929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2380120:2386661:1 gene:gene34165 transcript:rna34165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannose-1-phosphate guanylyltransferase MDSSEKVVAVIMVGGPTKGTRFRPLSFNTPKPLFPLAGQPMVHHPISACKRIPNLAQIYLIGFHEEREFALYVSSISNELKLPVRYLKEDKPHGSAGGLYYFRDIIMEDSPSHIFLLNCDVCCSFPLPSMLDAHIKYGGMGTMLVIKVSAESANQFGELVADPETHELLHYTEKPETFVSDLINCGVYIFTPDIFAAIEDVSINREGRGNLRRLSSFEALQSATRTLPKDFVRLDQDILSPLAGKKKLYTYETNDFWEQIKTPGLSLKCSELYLAQFRYTSPHLLASGDGKKNAKIVGDVYIHPSAKVHPSAKIGPNVSISANVRVGAGVRLIGCIVLDDVEVKENAVVINSIVGWKSSLGRWSRVQADGDYSAKLGITILGEAVTVEDEVVVINSIVLPHKILNVSVQDEIIL >RHN41149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27571291:27571983:-1 gene:gene47439 transcript:rna47439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVNLLSRLLFFLLSLHCFVACLATNTKNITTDQSALLAFKSLITSDPYDMLSNNWSTSSSVCNWAGVTCDERHGRVHSLILQNMSLRGTVSPNLGNLSFLVILDLKNNSFGGQFPTEVCRLRRLKVLHISYNEFEGGIPASLGDLSQLQYLYLGANNFSGFLPRSIGNLRRLKHLHTAQSRLSGPIPQTISNLSSLEYIDLSSNYFSGTPSSDIMCHTFYFFVFIRFMIL >RHN46102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28513674:28515190:1 gene:gene40542 transcript:rna40542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallothionein-like protein 2 MSCCGGNCGCGSACKCGNGCGGCKMYPDLSYTESTTSETLVMGVASAKPQFEGAAEMGAENDGCKCGPNCNCNPCTCK >RHN55384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17818649:17828722:-1 gene:gene30557 transcript:rna30557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative apyrase MRRLNARNRVDSSNPNEMDHPTTKKLQIRSTNLFSRTTNTNSRCISFNNTFIGFLIFSFILIFTYYTLFSSPPEKSLRYRIIIDGGSTGTRVHVFKYKMKNALDFGKKGLVSMRVNPGLSSFGNDPDGAGRSLLEVVDFAKRRIPKENWRETEIRLMATAGMRMLDVEVQEKILESCRKVLRVSGFMFRDDWASVITGSDEGVYAWVVANHALGTLGGDPSETTGIIELGGASAQVTFVSREAMLPLFSRTIKFGNVTYNLYSHSLLHFGLNVAHDSWREALISGNSNLASQYIQKGLRIDPCTPAGYSYNVDSWKSSPSSLSEKSHYHPTVQTRGNFSECRSAALVLLQKGKEACSYQHCDIGSTFIPKLQGKFLATENFFHTSKFFGLGPQAYLSKLMTAGQEYCGGDWLKLKKKYVSHDEEDLLRHCFSSAYIVALLHDSLGIDMDDERIKVANQVGSIPLDWALGAFIMQTTADADIQNHNWFASIFSNESPTLLSLVGIFVILLFAAWSISRWRKPQLKTIYDLEKGRYITTRVGR >RHN39431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7059859:7063047:-1 gene:gene45453 transcript:rna45453 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDIFGELGDTSQVDSRVLHVFQKSLVQAQDILNQNRLLINQINQNHESRIPDNLSRNVSLIKELNSNIRRVVDLYADLSSSFTKSREASSEGDSSGTLKSDGKVNQKRIRSS >RHN65246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:537554:540710:1 gene:gene13066 transcript:rna13066 gene_biotype:protein_coding transcript_biotype:protein_coding MKDITRFCNVFVDLNFQDSEAKMIWVDRLGLDMRVFSPNYGLF >RHN59086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7388759:7391975:-1 gene:gene21074 transcript:rna21074 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTSGIVYSNLFVNQTCISRLLVAGTICIAKRLFERTMIKLSATFSGNNDTIYLKVIPSTV >RHN76561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51237428:51245485:-1 gene:gene12908 transcript:rna12908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative coactivator CBP, KIX domain-containing protein MVTNNWRPNQGAEPNMDTSDWRGQLQPESRQRIVNKIMDTLKRHLPVSGQEGLHELWKIAQRFEEKIYTAATSQSDYLRKISLKMLTMETKSQGTIANNIPPNQVGPSNQPPYPDSLDSVAQTGQPNGGDWQEEIYQNIKAMKESYLPKLSEMIQKIATKLQQHDSLPQQPKSDELEMLKEFKMVLERLITFLQVSKSNISPCLKEKLGSCEKQIINCINTYRPNKMSSLDSTAKTGKPSGGDWQEEVYQKIKVMKESYLPELNEMYQKIATKLQQHDSLPHQPKSDQLEKLKVFKLMLERLITFLQVSKSNISPSLKEKLGSYEKQIINFINTNRPREMSSLQPGQLPPPHTHSMSQTQPQVTQRQQLHQMNDVNDMKMRQVIGVKPGVFEQHIGNALAPSSGKSTVTEELMDRLVKAVSSLTPAALSAAVSDTSSVISMIDRIAASAPGNGSIVSVGEDLVAMTNCHLQDRNFLPQDGVNGSRKMKRCINATPFDVVSSAGCVNDSIKQLNAIEASDLEPIATSIIKRPKIEF >RHN43541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46662443:46664864:1 gene:gene50140 transcript:rna50140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IXb family MNVNNNRSQCEKWFLRILTSFMDRSPFTRGARPTHISYESSISDSDISFVSSGRPSIDRMFHSLYEEMDSGNGITPRISGSSDYDLRSFGSLYSGVKSIDHNDYSFTSQGSGRSGMSMSSQSRISNSDKVEAEMRRLRLELKQTMEMYSTACKEALTAKQKALELQRWKLDEERKMEDTRFCEETSLAVAEKERAKCKVAMEAAEASRKIAELEAQKRMKVEIKSNSDSDQRKRTFGDSVLNSPARYRRYTIEEIEEATNYFSNSLKIGEGGYGPVYRAELDHTAVAIKVLKPDAAQGRSQFQQEVEVLSSIRHPHMVLLLGACPEFGCLVYEHMTNGSLDDCLFRRNNSKLPVLPWQLRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADTVTQYRMTATAGTFCYIDPEYQQTGMLGTKSDIYSLGIMLLQMITARPPMGLSHHVARSIEKGTFDEMLDPAVEDWPIEQAMHFAKLAIQCAEMRRKDRPDLGKVILPELNKLRDFADENMPMMMMMFGGGAGFAQRNNNYSRSRFSSSIQGSMSESQSLSEMSGYEGRSSSTSVGKM >RHN47875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43213509:43215624:-1 gene:gene42524 transcript:rna42524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MALLRHFLLSSTIVIISFAACSSYFQEFPLITTYVDDDDDDDGTLSSKNLIKQNTCGLSSSLQIFNVSDYGAKGDGKTDDTQVYIIHSSFIETKNIFNSNHNILICSHAGYIINIQAFEKAWEAVCSSGGGEAVFVAPQDNIYLLKPIRFSGPCKSKISFQISGVLIASNNPSDYSKDPGHWLVFAKVQQLVVNGGGTLDGKGTIWWENSCKRNKNKALTFANCEDLIVENLKIRNAQQIHISFQDSVNVTASGLIVASPEDSPNTDGIHVTNTQNIKISSSIAAAGDDCISIVDGSRNVEATNITCGPGHGISIGSLGAGKSKDIVSGVMVNGAKISGTTNGVRIKTWPGGSGIASNITFQNIEMDNVTNPIIIDQNYCDKKKMSCKQMVNYFSQLLLYTLQVH >RHN82030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50711695:50718615:-1 gene:gene6146 transcript:rna6146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase class IV MSGSRFLFSNGILSQTLDVPPVKFFLEANPGAYTTSRTHNNASCLLFWERHMKRLSESIQILSNLAPQLLFKSNNAASLLPLAPNFQVSQPALQMLVNDSVGKVLPIALKERVNSKELAITTLVGGNLEELNIYDETMSEENMSKSFDVHVHIETYVPPQFGIRGNGAHLAVAGYGRNVAAAKYSDWVRIRKSLEKLRPPSVTELLLSYNGDQILEGCVTNFFVVCRKDRGSDDEKAPYDHGNKNSFEVQTAPINDGVLPGIIRQLVLEVCRNEGIPFREVSPSWSEHETWEEAFITSSLRLLQHVDSIQVPTEWQSAHSKTWKDISWTKKQFQVGGPGIITTLIQEKVMEKAILEGCPINNICAR >RHN76942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2555984:2561748:-1 gene:gene336 transcript:rna336 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPVNSLPADGLDDVHQNGVHDEPSNSGEDAVSNDLDPHVTVNTETFVPDGNSENINQLESTATGNSAMKEIEGSNDNVDGSNLTVSKEKEVKIKVSTEQSRAQKGPVKNKNAKVGSSSGVNASLVKNSKIGKDKQASPAVSNGTSALDSRPRQPIKNRSSNDRQSQLSKQPSKSEAASSDVAVEKKKPKSLKKGPLDKVQGEGESSLTNREDTKPRRVGTLPNYGFSFRCGERAEKRREFLTKVEEKIQAKEEEKSSLQAKSKESQEAEIKKLRKSLTFKATPLPTFYQEPAPPKVELKKIPTTRAKSPKLGRKKTSTNSESDGNGSCSSRQGRLSLNEKVSQSNSPTGVTLAHQKKPLRKSLPTRLASERTNSAAAPTSKATKKDTSLSKGTGEEKTEIVTANEENSTLSSDTNVALPQNAVPSDKPSEEFHVNGDIVVEENPQLVLSQEPIMTVH >RHN74205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30146685:30151803:-1 gene:gene10223 transcript:rna10223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteamine dioxygenase MKLLVQNKNMVFASFQRYFSMKHQNSKVQDLYDHCKNTFSPSGIPSPSSQALHKLSSILDTIKPVDVGLKEEAADDDRGLGFFGVNQLSRVARWAKPITYVDIHESDSFTMCMFCFPTSSVIPLHDHPQMTVFSKLLYGSLHVKAYDWVEPPCIVKSKGPGHAQVRLAKLAVDKVLNAPCETSVLYPNCGGNIHCFTAVTPCAMLDVLAPPYKEYEGRKCTYYHDYPYSTFSAGNGSLCDGDEDEYAWLAEVEPSNLYMNSGVYAGPAIKL >RHN77685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8629065:8633309:1 gene:gene1166 transcript:rna1166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP-dependent synthetase/ligase MEVNGENRDSRRVIDPRSGFCSSNSIFYSKRKPLPLPPNHSLDATTFISSRAHHGHIAFIDASTGHQFTYQQLWRSVDSVTSSLSNMGIRKGDVILLLSPNSIYFPVVCLSVMSLGAIITTTNPLNTSGEIAKQIADSKPVLAFTTQQLLSKITQASPSLPIILMDTNNNNNNSSSSSTTTTTLDEMMKKEPELSRVTERVNQNDTATLLYSSGTTGPSKGVVSSHKNLIAMVQVVMARFGKEQETRETFICTVPMFHIYGLAVFAMGLLAMGSTIVVLSKFEMHDMLSWIEKFRATFLPLVPPILVAILNNADAIKRKYDLSSLHTVVSGGAPLSKEVTEGFIEKYPNILLEDENFNEALNVLERIKLDGIQLDVLLFNTFLRQACYKGRIDVIEFIVEFMHQEKVQPDPTTCGYVFSAYVNSSFHNTAVEALQVLSLRMMSVDGNILQERKNFVDEFILDEDLASESHIFKLFEDSEDKVAIGLWNLRWCAMIGFPICESADQSLWAKRLESRFQKRLASGSIIGEQL >RHN76193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48441571:48445941:1 gene:gene12479 transcript:rna12479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MMDHQSGQGFDSDGSDFDVLAEISEKHEKVEDEFTNSLELCTGEDKEFVEQPVADSDTLEPFIGMQFNSREEARGFYDGYGRRIGFTVRIHHNRRSRVNNELIGQDFVCSKEGFRAKKYVHRKDRVLPPPPATREGCQAMIRLALRDEGKWVVTKFVKEHTHKLMSPGEVPWRGSGKHLVSEDEKDRRIRELSLELHNERQKYKRRCAAYEEQLNTILNDLEKHTEHISKKVADVVQNIREIEEEKSDSDGR >RHN74417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32988075:32999458:-1 gene:gene10479 transcript:rna10479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative succinate-semialdehyde dehydrogenase (NAD(+)) MAAIKFGGNMRNALRSSKALLLYRSSHLLSANFLMQIPLTRKMSTDAHSIASQLNNSGLLRTQGLIGGKWRDAYDGKTIKVYNPATGESIADVACMGGRETNDAISSAFDAYRSWSKITASERSKLLRKWFDLLTAHKEELAQLITLEQGKPLKESLGEISYGAAFIEFASEEAKRIYGDIIPAPLSDRRLFVLKQPVGVVGAITPWNFPLAMITRKVGPALACGCTVVIKPSELTPLTALAAAELSIQAGIPAGVVNVVMGNAPDIGDALIASPQVRKITFTGSTAVGKKLMAGSAETVKKVSLELGGNAPCIVFDDADLDVAVKGTLAAKFRNSGQTCVCANRILVQEGIYDKFANALLDAVQSMKVGDGFSEGVSQGPLINEAAVKKVESLIHDATSKGAKVILGGKRHSLGSTFYEPTVITDVDNEMDISRQEAFGPVAPLLRFKTEEDAIRIANDTNAGLGSYVFTNSIPRSWRVAEALEYGLVGVNEGVISTEVAPFGGVKQSGLGREGSKYGMDEYLEIKYVCMGNMNKD >RHN71984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4164211:4166848:1 gene:gene7649 transcript:rna7649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperone DnaJ MGLDYYEILEVDKNATDDELKKAYRKLAMKWHPDKNPDNKNDAETKFKLISEAYEVLSDPQKRAIYDQYGESNLKNGMPTAGDNAAPYFQTHDGRRFRFNPRSADGIFAEVFGFSSPYGGMGMRGGGCRGMGMRGQSWVSRSFGDIFGKDVFGESRQTSQAPRRKAPPIENKLPCSLEELYKGTTKKMKISREIAYASGKTVPVEEILTIEIQPGWKKGTKITFPEKGNEQPNVIAADIVFVIDEKPHNVFTRQGNDLVMTQKILLAEGEALSRSYTFQLTTLDGRGLTIAIDNGIDPTYEEVIAGEGMPISKNPSQRGNLRIKFDITFPSMVDAETESQN >RHN48302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46460736:46466045:-1 gene:gene43004 transcript:rna43004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MVCRREMEENIIPDPDIDVYMKILGLDICEDTMVGNAILKGISKGQRKRVTIGETLVGPLKSLFVDDISIGLDDSTAFQIVKSLKQFVYLLKRTAVISLQQPSLETYNLFDDIILLSDGHIVYQGPCVQVLDFFASIGFMCPERKPVVDFLQEVTSMKDQEQYWTHKEKPYIFVTAKEFADAFESYHVGKSLANELATQFDKSKSHPAALTTNKYGIGKLELFKACLSRDYLLMKRNSSHYIFKLLQIALVAIITMTVFLPTRTHHDSVTDGGIYASALFYGSTVIMLNGFAELAMMVGRLPVFYKQRDLLFFPSWAYALPAWILRLPLNFAEVGVWVIFTYSIIGDPNVIGRTFLLLVLVNQMAGVFCRLVGAIGRETSMAATLATLSLGMLLVVVSQDIEQQNNLVLYAYTDNIKKWWLWEFWISPAMYGQNALLNNEFQGKTWRHVVPNSTEPLGVQVLKSRGFFTQSNWYWIGFGALIGYTLLFIIGYILALTFLNPLKEHQVVESVQLLSRKKKSVTENKHYGKRGMILSFEPHCITFDEVTYSVDMPQEMKNQRVVGERLNLLNGVSGSFRPAVLTALMGVTGAGKTTLMDVLAGRKTRGYIGGTITISGYSKKQETFARVCGYCEQNYIHSPYVTVYESLLFSAWLRLSAEINAETRKMFIEEVMELVELTPLRDTIVVPGATGLSTLQRKRLTIAVELVANPSIMFMDEPTSGLDARSVAIVMRAIRNIVENGRTVVCAIHQSNIDIFESFDELLLMKQGGQVIYAGPIGHHSSHLINYFEGIEGVSKIEDGCNPAAWMLEITSSEKEMQLEIDFSEVYKNSELYRRNKALIVELSIPAPDSVNLRFPSKYSRPLFAQFKACLWKQHWSYWRNPRYNALRFLFTAVASIFFGSVFYGLGSKIEKRQDLLNSIGSMSITILLIGIKNAGSVQAVVTAERAVFYRENAARMYSPLAYAFGQASALVYGTIVYAMVGFEWSVTKFFWYIFFVFFTSLYCTYYGMMTIAITPNQTIVSFLTRPSYVLWNLFSGTVVPPPRIPIWWRWFYWANPMAWSLNGLVASQFGGIKDHIEYNGKSVSVEDFLENYFGFQHEFLGVVAAVVVGFNVVFGLVFVMSIKMFNFQSR >RHN67649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28959672:28960221:1 gene:gene15857 transcript:rna15857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MLRKKIISLSMLVMVLGMLVATLDARQIDDVSCPSALFSLLPCLPFLQGVGPATPTSYCCAGITDLNQKANTTQIRRDVCNCLKPAASRFGVNPDRSKQLPTLCNITLNVPFDPSVDCNTVQ >RHN81539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46840162:46843471:1 gene:gene5600 transcript:rna5600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glu-tRNAGln amidotransferase C subunit MSSKVLLLRGTPLSLSLSNSKIQHKLLQFRRLSSKTNCSSLEPPNVSHLAKTAHISLTPTEVEEFGPKIQQVIGWFGQLQGVDLQSVEPSIRADTENNLRDNTPETFDQRDAILASIPTYEEPYIKVPKVLNVD >RHN43700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47829736:47831620:1 gene:gene50316 transcript:rna50316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MGKLGKMLDNFCLSSGSNSCFCLNSINFDDEFESKPLIASDRDDQKLLLKDVVAGKQTLAFQLKPKMVILRVSMHCHGCARKVEKHISKLEGVSSYKVDLDTKMVAVIGDILPLEVLQSVSKVKNAQFWNST >RHN65691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4433000:4436860:1 gene:gene13563 transcript:rna13563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDLVFDSLTSTITLAFFSIFLFYILFLYRSSKASQCKEAPIVQGAWPILGHLQLLLGSQGPHRTLGALADTYGPLFTIKLGSRNALVLSNWEMAEECFTKIDLAISDRPTLETTQHMTYNGAMFFQAPYGPYLREIKKITNLEILSNHQVEKLQPYRVMVVRTSIKELYNVWCRKENNESSKYLMVEVKQWFTQLNIDLVLPLLVGKRYFGSTNVIDKEEVEICVKAIKEMLRLMGVFTVGDALPFLKWFDFGGHVKAMKKATKEMDKIIGDLLEEHQRKRSLSDQKEVDGDHQDFMDVMLSLLDGTTIEGFDSDTVIKATILTLIAGGVDTTSVTLIWAMCLLLENPLVIEKAQEELDIQVGKERCVKESDIDKLVYLQAIVKETLRLCPPAPLSGPREFTENSNLGGYDVKKGTRLIMNLWKIHTDPKVWLEPLEFKPERFLTTHKGVDVKGHHFELLPFGSGRRICPGISYGLQMVHLSLASFLHSFEISKASAEPIDMTEAFGITNHKATPLEILIKPRLPSNCYEAI >RHN67486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27647388:27651235:1 gene:gene15679 transcript:rna15679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MDGKSSLQITKASGAYDDDGHAKRTGNLKSAVAHIITAVIGSGVLSLAWSTAQLGWIGGPVTLLCCAIVTYISSFLLSDCYRNPDSVTGKRNYSYMDAVRVNLGNKRTYVAGFLQFLTLYGTGVAYVLTTATSLKAIMRSNCYHKEGHQAPCRYEANIYMVLFGVVQIVMSFIPDLHNMAWVSVVAALMSFTYSFIGLGLGISTVIKNGRIMGSITGVQKAKVADKIWLIFQAIGDISFSYPYSIILLEIQDTLESPPPENQTMKKASMVAIFITTFFYLCCGCFGYAAFGDATPGNLLTGFGFFEPYWLIDIANVCIIIHLVGGYQIYSQPIYSTADRWFTKKYPNSGFVNNFHKVKLPLLPSFEINLFRFCFRTSYVISTTGLAILFPYFNSVLGLLGAINFWPLAIYFPVEMYFVQKKVGAWTRKWIVLRIFSFACFLVTMVGFVGSFEGIISEKIRGKG >RHN78765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18135500:18136819:-1 gene:gene2414 transcript:rna2414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MAFGLLTISPLAMLVAFSYLIMSITAVDPIAATGKEPIIELFMHDILGGSNPTARPVTGLLGNIYSGQVPFATPIGFNTPQGGIPIPNANGAIPTVNGVTGILLGTGLAGTSFAPNSNNQNNAQVQLGPDGLGLGFGTITVIDDILTSQPELGSQMVGKAQGVYVASSADGSRQMMVFTALFEGGEYGDSLNFYGLYKIGSTMSRLSVIGGTGKFKNARGFAELRPLIPPGQIATDGAETLLRMSVHLKY >RHN54654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11076486:11079492:-1 gene:gene29725 transcript:rna29725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L13e MVKHNNVIPNEHFRKHWQNYVKTWFNQPARKTRRRLARQKKAVKIFPRPTAGPLRPIVHGQTQKYNMKLRAGKGFSLEELKAAGIPKKLAPTIGISIDHRRKNRSLEGLQANVQRLKTYKAKLVVFPRRARKVKAGDSTPEELANATQVQGSYLPIVSEKPSVELVKITDEMKAFKAYYKLRLERTNKRHLGARLKRAAEAEKEDKK >RHN80394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37688706:37690524:-1 gene:gene4319 transcript:rna4319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MELEASNGSSSTYDRTAEVKAFEESKTGVKGLLESGIWTTKIPRMFHSPNLNLNSDHESEASSKFSVPIIDLQDINTDPCLHAEVLEKIRSACKEWGFFQVINHGIPVIVLDEMISGIRRFHEQDADARKPFYTRDKSKKVRYFSNGSLFTDPAANWRDSLSFFVSPNPPDPEEIPQVCRDIVIEYSKKVRALGLTIFELFSEALGLHPSYLKDLALDYGQFLLCHYYPPCPEPELTMGTSKHTDIDFMTILLQDQIGGLQVLHQNQWVHVPPLHGSLVVNIGDLLQLVTNDMFTSVYHRVLSKHIGPRVSIASFFANSVEVSSKVLGPIKELLSEENPAIYRDTTVKEVLAHYFTKGLDGNSSLHPFRL >RHN49895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2084592:2084879:-1 gene:gene34123 transcript:rna34123 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDSSPNQLSFAEQHTCPNVWASQITSILRSSAVTLPSVDLALRLVSHLFWNHHSPTVWKLLDIATSLNLLPPLLLIALLSTMFFHISVTFFLF >RHN62021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40132513:40132757:1 gene:gene24546 transcript:rna24546 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSQSPPTLIAFLPSSLSSIALTLNNSFFIISFIASPISPPFTTSSPPQPQLLFHTPHPLISTNFAFIF >RHN40400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15941403:15942212:-1 gene:gene46548 transcript:rna46548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MSIMRFLLSFMLLLLFLFTPTNSQTPISLNTFCNNSTKKPLTTSYKTNVNKVLQWMNTISSTGQESNLTSIGSNKNDHNDTVYGNYDCRGDIPAHFCNFCVNSAVKEISQTCTNGTSAIIFYDMCILRYSNKNFFGKINLSPSFNLTGPKKIKDSRDFAKAEGLMKDLIRKTTDANRMWATSVFDWSDTEKRYGLVQCAGDLDNNGCKECLKELIDRVAECCGTSVMWGIVAPSCGVRFDDKMFFQVSSEQPGSSSSPNPGNIK >RHN73605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18105390:18107035:-1 gene:gene9436 transcript:rna9436 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNKLISLTFQKLSKRKFHGTTKTSSTYWENLIEKDVKIGNPEENNESVSIYRVPPNMLRVEPKAYIPNNISIGPYHYGSQHLQEMEILKNKFFQRLFDKKGANGAKLEDAWKFLEKEEINARRCYMGEIKLSSDEFLKMMLVDGSFIIQLLRDLSDNKFKHVPSLSRWMLPTIRRELIMLENQLPMFVLTKLFELTNNMTNSPKPQMSFNTLSFKFFYGLLKPESRKTPKCQTSYKYKVEHVLDLLRYNIRPKLIGEELRGRQSEMIHSITELKEGGVKIKACENRELLDISFGKKWGVMIKQLTIPPLYIGDHRGTVFRNIVAFEKCHKRCNPDMTNYMFFLNGLINSTNDVSVLHYKGIIHHSLGSDEHVEELINNITKDIVPDMNESYLYKVVNDANDYLSSWRAGLRVAIVHNYLTSWAVGLSTFGAFLALYFTFIQAICEIKNTFSTMKEAKFSSVFKGLFILPFDDPPHSPEIHHVGKDHDVSTRSAS >RHN39105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4137744:4139536:-1 gene:gene45099 transcript:rna45099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-transporting ATPase MSMPLFTNLNHIETLLNAPNTNSPNNIRWHNAFMKIYCSRAFMSYSTKKTKITPTPSFTVVDLSSPHSFTIDQETLIDIVKEKSIDTLQKHGGVEGVASSLKTNVEFGIRSHDDDFHDISIRQQVFGSNTYKKPPSKSFFHFVVEAFKDVTILILLVCATLSLGFGIKEHGIKEGWYDGGSIFLAVFIVISMSAISNFKQNKQFDKLSQVSNDIQIDLVRSGRRQKVSIFDIVVGDVVCLKIGDQVPADGLFVDGHSLRVDESSMTGESDHVEINQNFHPFLLSGTKVVDGYAKMLVTSVGMNTTWGQMMSSISNDINEETPLQTRLNKLTSSIGKVGLAVAFLVLVVLLIRYFTGNTKTDNGVREFNGRKTSFDDVMNAVIGIIADAVTIVVVAIPEGLPLAVTLTLAYSMKKMMADQAMVRKLSACETMGSATTICTDKTGTLTVVFWISKLLYLDFQILLSIEVVTRLSHDQVALFNTFHGTAQNCARQTINPATTVRLTTAL >RHN56204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28701565:28703214:-1 gene:gene31569 transcript:rna31569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSTPPQSTNLNMLPDDILKHILSLLPTKDAFRTKFVSNSWVPLCESLNVLSLDARDMTTYKPERTHFFQFMKAVLLSPRHPLKAVTLFLHYNVQKPCFKLNRFVEAAKRRGVEDLDIYWYCGVSSPSIFCSKTLVVLKLWGISVLSMVGFSIDLPSLKTLVLCDIRFYDLDDLMKVLYGCPKLEDLTTNGVRGKSGFMSTRYFEPLSNLIKATTWLFEDRDTIRHVEFLTLSKEMMKRNVMKTTKDDRKYPDHVPECVRSHVINYQAAEADFLFAIYILKNARLLQDMTIHIHSSSNTMQRSQFVENLSSFPRTSPACFVSFIYSLYKSITSKFYGAFDNFAKISTTQTLFPRHYLDFINHLFGISIPFLEINPIPRIPNKPKSCIPNQPIPLMIMKSLFSSCQQKSLEG >RHN46324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30752217:30753437:1 gene:gene40804 transcript:rna40804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MIMDTPPNKKIRLHDLSKQTLFLPDELIAEILSHLSVKNMLKLKCLSKSWNTFISDPNFVQMHLKKSSQNPHLAVIYRDIISRVNLIPVPVGLLLKNPSISLDGNDLSSLSNHCMVVGSCNGLICLLYHTESTSPTVKHFKTWFRFWNPATRIRSNILGWLTYRIPRDDIDMLTFLCRFKFTFGYESLSKTYKVVAFRIIKNEGEVKVFSLGDNCWRDIQSFLVLPLNLLPPRRRRGPCCLNDGVHFRGTINWLAMYNQPIIHVEQFAIVSLDLSTESYKQLQLPPGFNEVPFFQPVLRVLMDGICFYHDSKRTEFVLWHMKEYGVQESWTQLLKISYQNLHIRNINDTFKLVCLYVNGDMVIFGKENSDQIILYNLKDKTTVKRSVNRTRWYRSMDYAESLVSVC >RHN51183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14892692:14894080:-1 gene:gene35570 transcript:rna35570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MALRKRTLLKVLVLGDSRYVHKKFEKEYKGTIGADFFTKELKIGDQPVTLQIWDTAGRERFQSRGVSFYRGSDCCVLIYDINHMKSFDALKNWHAEFVEKA >RHN52025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30568931:30569296:1 gene:gene36639 transcript:rna36639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MASLRTQGSHTQSFFGSFISGGRNLFTRECFCKMQHVIRTVTKMGPNRGRKFWGCRNFVASNINSGCKWNWNQGHLRMLS >RHN69190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41665170:41666965:-1 gene:gene17625 transcript:rna17625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase, Xyloglucan-specific endo-beta-1,4-glucanase MVSSIGINNMLIGVMIISSLVATCSANFNQDFDLTWGDHRAKIFNGGQLLSLSLDKTSGSGFQSKKEYLFGRIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVSGDPYILHTNVFSQGKGNREQQFYLWFDPTKNFHTYSIIWKPQHIIFLVDNTPIRIFKNAESIGIPFPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTAYYRNFKATELSSVSSTSFSDSALQSNELDAYGRRRLRWVQKYFMIYNYCNDLKRFPEGIPAECKRPRF >RHN72050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4631635:4632561:1 gene:gene7719 transcript:rna7719 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCCGEDCKFRPLGFLLGLPFAFLSLIISLVGAVIWIVGLTLTCICPCCLCVTLIVEFALALIKAPIKVMEWFTSKIPC >RHN81791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48894384:48895587:-1 gene:gene5879 transcript:rna5879 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVGPTGCDISLPKEEQEIEHKEEQDKSLNKSTSTPQRKTSFLSFRQLNCLAVVVVLSASGMVSPEDFGFVLFSVIYMCFISKVAFPSHPSKEQPPIFTQQIKILKIYVFIGAIIGLYAPIAYILHGIFEGDKEGIKAATPHVFLLASQVFMEGVAFSNGFSSPIRAFVPVIYNSRRIFTIVDWLRDEISKVGEEHSGSYKRIYAGRALAVANMAFWCFNLFGFLIPVYLPRVFKAYYSSQKVN >RHN75288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40949020:40949439:-1 gene:gene11464 transcript:rna11464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 2-beta-dioxygenase MCSFTMPYRSTLCEYRSSLEDFATRMDTLAENLVEILALKVNMKSNHFQENYLPKSSFIRLNRYPPCPISSEVFGLLAHCDTSFLTILYQDSVGGLQLMKDGKWVDVKPNPSALVVNIGDLFQVNFLHFILFMSSHYIF >RHN51967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29265630:29278797:1 gene:gene36552 transcript:rna36552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MAYSKILHFSILVISLLFSATIMAQDSPLYLNTICPNNVTKPSSTFQSNLLNLFSSLSSKAKGNTKFYNHTVTSINPSESVYGSFMCRGDIPSLLCQDCIVKATNQLSSACSLSKQAVIWYGECMVRYSNISFFSTIDMMPGFHWCNTANVTNTKSFVSLLFTTMNRTVDEAASPLIGDKNEKFATKEAQDSENQTLYCLAQCTPDLSPNDCRTCLSSAIEKVSKSCDGKVGGRFLYPSCNVRYELYPFYRSITVLSPNELAPRTNDSKQDSKLSEESFYLSYNCSRNHNTITDKNIKLLLSYLLSNATIGKKFHSLKVEEIVYGLFMCRGDLPSRLCVQCVKNATEELYSKCQYSPQGIIWYSHCLLRYSNQYFFNHFETRPMFSDINVTNDPIPDQNLFTNALSNQLSELVNVTGNNDEKFTTNSLILNDKQTLYTVEQCTKDLSTDECNSCLNDMISKEIPWSFLGSVGGRILYPSCNLRFELFKFYMDGDEALLPTRHAEKKTIIFIVVPIIILVMLFSIGYFLIKRWRRKSRKTILRENCKYYLWKNKKVLLKMQFLINFKQV >RHN68392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35106682:35111321:1 gene:gene16737 transcript:rna16737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MVAPARPQFVLFGSSIVQLSYSHSGWGSFLSDIYSRKADILLRGYYGWNSRRALQVLHQVFPKDAATQPSLVIVYFGGNDSMGPHSSGLGPHVPLQEYIANMRKILVHIQSLSEKTRIIVLSCPPVHEEKVRGNTSAIFSELVRTNELCRSYSDACIKLCKELGVKVVDLFNALQSIDDWENACFTDGIHLAAEGSKIVVKEILKVLKEADWKPCLHWKSMHTEFSEDSQYDLVAADGKRTLNPSEWTFHREVQWD >RHN59305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9285276:9285743:-1 gene:gene21313 transcript:rna21313 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFVIHYRISLVGQIGYVLRSVQLRFRSGFEVGSQIRIILGLSCAPMPQYLCQS >RHN44249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2907186:2907527:-1 gene:gene38345 transcript:rna38345 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSITASSLYGSSSQTKKRKTFNQQCISLRQSMKEELKEESKEELKEELKEELEEEVNAMKEDLTDELNEELKEELIKELKTMKEEMTEELKEVLKEELKDELKEKLNAMP >RHN56278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29446257:29446952:-1 gene:gene31650 transcript:rna31650 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRRSPPKFNFEYIPISVGTDVAGRYTDKVVHDRLCAVVERLSAEIPFKFEDVFRHFFSYSSFPHFSGSDGELLGANNQCLLETAGLFAEVGFSS >RHN54467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9835417:9836610:1 gene:gene29517 transcript:rna29517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MDDFLWRPYFRYADNCGVFYPNDALLVPFKKDLDKLMLSFVICLRVSELVGFDSIEQYLPHRVAMQFEMDQDVPTYVPRFNETKLMAWKNYCRLISDQNLYFPPRLFEADVTTRYTMWWKQSVLGHVDFVQNIVKRKRSQSSRKYRSHVGKIDRSCNDVGFPPEFPPNLVDLLNVGKLCVDVPAESSADDCMIADEHIHAPSMSIEDCKPVLKSKYLINQCSPSSLEDFELSIGSLEEDSEDANRSKEARIYGDRVCLSETQGESKHFSMRKKVSSFNNVTVVEQDLQFRSDISAHAEAEEVVEEKGRKESDHDVLVWLKEQHLKDQKELRRLARQQEEMFQLIDLKEKRDEELRHLLTSFLKNQQPPSSS >RHN80397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37698036:37699421:1 gene:gene4322 transcript:rna4322 gene_biotype:protein_coding transcript_biotype:protein_coding MWTHLLQCCKVNGHIFFCHCNHVNRRVSIEMSYIGLVLKWMRHSSCHSSPKNSHHQDQWLG >RHN64297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58002215:58004239:-1 gene:gene27100 transcript:rna27100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MAGGAIETQNHASKKKFAILGVSSILLIAMVAAVAVGVKDGGQVESEGGNQIVKSQKIVQVLCGTTGYKQTCEKSLAKTGKTDMKELIKAVFKATAEELSKQIHNSKLYKELATNDMTKQAMDICKEVLGYAVDDVHQSIHSLDKFDIRKIDEIAYDLKVWLSGTLANQQTCLYGFENTTTHAEQTMAKVLKASLELTNNALDIINGLSGFVKDLNLSSLTSVLNNNRKHLSEDGLPSWVSQSQRKLLAAPENVKPNAVVAQDGSGQFKTLTEALALVPKKNQVPFVIHVKAGIYKEYVSVDKDRDHVTIIGDGPTKTIFTGSKSYADGVQTYNTATFGVNSAHFTALNVGFENSAGAAKHQAVALRVTADKALFYNCQMDGYQDTLYTQSKRQFYRDCTISGTIDFVFGDAVGVFQNCKLVVRKPMANQQCMVTAGGRTKVDSVSALVFQNCHFTGEPEVLTMQPKIAYLGRPWRNFSKVVIVDSLIDGLFVPEGYMPWMGNLFKETCTYLEYNNKGAGAATNLRVKWPGVKTISAGEAAKYYPGKFYEIANGTARDDWITESGIPYSIGAQPAGPLPRAELLN >RHN49704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:416486:422318:1 gene:gene33909 transcript:rna33909 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVCSWKELLGVVVFALSLWWQIIALFGIVAMVQICLAGFRKTLLKTRNCTFGFEDGVEDWDEKAKIFHFRNVVESLCAFKHLDAAKQLIFKLISDGPIPYPEKVFSAIIIAYVEVGEVEQALEMVWIGEFNLSSRVGQVWTELGEQSLWFGFARGCESNARIGGKCFLLQHAKCNSFYFCDYEQKLKTN >RHN61386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35332825:35333537:1 gene:gene23848 transcript:rna23848 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKFSKTSSSIHLFWWISVGLNPTTINLFQTPIQTIINKVTNPFNLKLSRLNRVFPRETSITKIRRIITQHTMQAINWKKSK >RHN61316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34745105:34746483:1 gene:gene23767 transcript:rna23767 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSFNLQAEKANAILKHHKLQRATTLLRLVEVCVVLVLISRLSMKLPIVVRNSSEYLKDISVFINSHYFVFVIGNIIIITLFAQGNGKNIPKEQEHDDIYEKLAGKSIKHEEKERMIKYDSTKEGASIENKRIDDVEEKMKTKTELKKGYCYRRCETEILKKRRRVLQRCESENSGRKKIEAAPAASEEKMVRISYPEDEMSNEEFRRTVEAFIAKQQRGFEG >RHN72491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8053380:8058775:1 gene:gene8210 transcript:rna8210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MMQPGHGMAPPTMGQQPPQQYQQPPPQQPYVMMQPQQPPAMWAAQSAQPPQQPASADEVRTLWIGDLQYWMDENYLYTCFGNTGELTSVKVIRNKQTSQSEGYGFIEFNTRATAERVLQTYNGTIMPNGGQNYRLNWATFSAGERSSRQDDGPDHTIFVGDLAADVTDYLLQETFRARYNSVKGAKVVIDRLTGRSKGYGFVRFADEGEQMRAMTEMQGVLCSTRPMRIGPATNKNPAATTQPKASYNPSGGQSENDPNNTTIFVGNLDPNVTDDHLRQVFTQYGELVHVKIPSGKRCGFVQFSDRSCAEEAIRVLNGTLLGGQNVRLSWGRTPSNKQTQQDPTQGGYPAAGGYYGYAQGGYENYGYAAPPAGQDPNVYGSYPGYPGYQHPQQQQQQMGYS >RHN77019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3206030:3215844:1 gene:gene426 transcript:rna426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-hydroxyisobutyryl-CoA hydrolase MQRFKSLLPQTRFSSRTLSSHPRSFSALPNYAQRNDEEEDSQNQILVEGRAKSRAAILNRPASLNALTTSMVARLKRLYDSWEENSDIGFVLMKGRGSAFCSGADVVRLYHSLNEGKAEEAKEFFKTLYSFVYLQGTYLKPHVSILDGRTMGCGSGISLPGMFRVVTDKTIFSHPEAQIGFHPDAGASYLLSRLPGYLGEYLALTGDKLNGVEMIACNLATHYSLNARLAWLEERLGKLVTDDPSVVEASLSQYGDLVFPDRSSVLYKFDIIDRCFSHDTVEEIVEALEKEAVESSDEWCLTTLRKIREASPLSLKVILRSIREGRFETLDQCLVREYRVSVQTISKNVSSDFVEGVRARMVDKDFAPKWDPPTLKDVSEDMVDHYFSPPLNELEPELVLPTALREPYM >RHN51887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27703475:27709276:-1 gene:gene36446 transcript:rna36446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MGKGGMSVDDDVEQQNMAAWLVGLNTLKIQPFNLPSLGPHDVRIKMKAVGICGSDVHYLKTLRCADFIVKEPMVIGHECAGIIEEVGSQVKTLVPGDRVAIEPGISCWRCDHCKLGRYNLCPDMKFFATPPVHGSLANQIVHPADLCFKLPENVSLEEGAMCEPLSVGVHACRRANIGPETNVLIMGAGPIGLVTMLSARAFGAPRIVVVDVDDHRLSVAKSLGADDIVKVSTNIQDVAEEVKQIHNVLGAGVDVTFDCAGFNKTMTTALTATQPGGKVCLVGMGHSEMTVPLTPAAAREVDVVGIFRYKNTWPLCLEFLRSGKIDVKPLITHRFGFSQKEVEEAFETSARGGNAIKVMFNL >RHN38624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:784347:787862:1 gene:gene44581 transcript:rna44581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MDALIKNQRIPLQDNSILHPEKVLRRKLALSVLVSTCVFPTLSSHAKTKNMNPYNEKRVLQQNRNIQKQNNAPDDFPNFIREGFEVKVVAPDNYITRDSGLIYRDFEVGEGDCPKDGQQVTFHYVGYNESGRRIDSTYLQGSPAKIRMGNKALVPGFEEGIRDMRAGGKRRIIIPPDLGPPVGPSTFFSSKQFEVFDVELLSIQNCERRTIGFYSDVVCN >RHN51853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26750760:26753484:1 gene:gene36398 transcript:rna36398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MDQGNNKELADDCLHGAEALISLKVNVEEVLMLDSYLLEFDITNAVARLPRCLGLKDFYKIDIGAWATLIYEHPRLLSLSLKNRYGEEVDYPDCTPPIISKLDRGLCCGGKLTFCEAYIVELSAADITSGFLVHISSADNGLVCKIGGAWGAICKARRFAEKDPLKLSLTEESDNKVAYLRYLPIPCRHKDIIRPSDRVVCFDVALAGFPSKGTAIRITDEFSIQEIHKLKSYVVELDPTIGCCVFHRRVHAVDVKARMPSCFAKECGLHIDEYVMLRDPNKNMFEVRVHKKRGKVYLRDGWAVLKDVYKIGSLAWVTLPYLESNLMHMIIKDKSGLEVDYPNNGLPPILKRLIPSEGRMVLQFYRTNVHLLTASDVNSGYLVLPWFGFCEDAMPKTATRLSIIDHYGNVWKCEMVFMKVRNTVFCRIGGQWSLLRAARNLMKGHAIKLAVTGDSRNGILHIRHVPLQCVHRGFTRSATTTESRYVYQVNHYFVQCPLKTDCGWRLG >RHN50148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4513595:4514984:-1 gene:gene34403 transcript:rna34403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MSDKKPHAVLIPYPVQGHINPLLQLAKFLHLRGFHITYVNTEYNHKRLLKSRGQNAFDGFTNFNFESIPDGLSPTDGDGDVSQDIYALCKSIRKNFLQPFRELLARLNDSATSGLVRPVSCIVSDISMSFTIQAAEELSIPNVVFSPSNACTFLTGIHLRTFLDKGLIPLKDESYLTNGYLDTKVDCMPGLKNFRLRDLPAFIQITDPNDSMVEFIIEAAGRAHRASAFIFNTSNELEKDVMKVISSTFPNVYAIGPLSSLLSQSPQNHLASLSTNLWKEDNKCLDWLESKEPRSVVYVNFGSRTVMTSEKLLEFAWGLANSKQLFLWIIRPDLVIGGSVVLSSEFVNEISDRGLIAGWCSQEKVLNHPSIGGFLTHCGWNSTTESISTGVPMLC >RHN76705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:568753:569924:1 gene:gene71 transcript:rna71 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRHVVLGKLLAANVLQDSEATFNVDSIKRITEQAAVKGQTLKKTAVSIIADFQLFELPLSDFRGFR >RHN61588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36643833:36646755:-1 gene:gene24066 transcript:rna24066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alkane 1-monooxygenase MHFHIPYLSNTMTKSSNINFTSSNSSSSSSSSSPLAAANFNFNMIYSISLSLLQDIQILEILIAITLFIIIHSLRQKNHHGLPIWPFLGMLPSLINGLRTNLYEWITDILNKQNGTFRFKGPSFSSLNCIVTSDPRNLEHLLKTKFINFPKGTYFRNMVRDLLGDGIFSADDEIWQKQRKTASIEFHSTKFRNLTTDSLFELVHSRLLPVLDESVDKRAVTDLQDILLRLTFDNVCMIAFGVDPGCLSQKLPEIPFAKAFEDATEATVLRFVMPTCAWKFMRLLNLGVERKLKKSIKGVDEFAMNVIRTRKKELSLEVDEKKQRSDLLTVFMKMKDENGSAYSDKFLRDICVNFILAGRDTSSVALSWFFWLLDQNHEVEEKILEEICKVVSQRNDIKKEEFENSLIFRPEEIKKMDYLHACLSETLRLYPSVPVDHKEVVEDDTFPDGTILKKGTKVIYAIYAMGRMESIWGKDCREFKPERWLKDGRFMSESAYKFTAFNGGPRLCLGKDFAYYQMKYVAASIIYRYHVKVVENHPVEPKIALTMYMKHGIKVNLYRRDAAEIQKHLI >RHN41949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34284295:34287002:-1 gene:gene48325 transcript:rna48325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MMQEMWNAPPGFRPSKSAPSSPAKPLGVPRTRSESFHITHKVPIGDTPYVRAKNVQLVDKDPERAIPLFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQIALLRHKLYLIQQGLAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQQNNYIEAEEAYRRALCLAPDNNKMCNLGICLMKQGRIAEAKETLHRVKPAVTDGPRGSDSHLKAYERAQQMLKDLESEMMNRGGVDRLEQSRLFEAFLGSSSIWQPQPCKDNIHTSLPPMRTTSTTIQDEFGDENINSNTNIVKNYTAQPQNKFTPLVNNSLNVAAPPFYAAKSSFKESNENLNLSERLKRTRSGNAAGLLRVDDVNSNKVNVELGVPGNKARRLSFEKNKLCDLLPDTKDFEDAILAAVLGSSNELENENAATSGICSKKIDKRRLKVFQDITLSLSPKA >RHN43345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45053027:45060618:-1 gene:gene49903 transcript:rna49903 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GSH1 MTTIFRLASSSSPSLRHDATPHNFHIRKTSISNTFSFSSKNSLSFKRILTSGGSRRFIVAASPPTEDAVVATEPLTKQDLIDYLASGCKTKDKWRIGTEHEKFGFELGSLRPMKYEQISELLNGIAERFDWDKVMEGDNIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPKWERKDIPMMPKGRYEIMKKYMPKVGSLGLDMMFRTCTVQVNLDFSSEADMIRKFRAGLALQPIATALFANSPFTDGKPNGFVSMRSHIWTDTDKDRTGMLPFVFDDSFGFEQYVDFALDVPMYFVYRKKKYIDCTGMTFRDFLAGKLPCIPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGILYDEVSLQRVLDMTADWTLEEREMLRNKVTVTGLKTPFRDGLLKHVAEEVLELAKDGLERRGFKESGFLNAVAEVVRTGVTPAERLLELYHGKWEQSVDHVFDELLY >RHN73655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18624141:18633869:-1 gene:gene9496 transcript:rna9496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MQKNVKKSPLPLIETSGNKKKLELCSKESLVKVLRWHFGYPDFRGLQLEAIQAVLSGRDCFCLMPTGGGKSMCYQIPALAKEGIVLVVCPLIALMENQVMALKEKGIAAEFLSSTKTAKAKDKIHEDLGSGKPSTRLLYVTPELIATPGFMSKLTKIHSRGLLSLIAIDEAHCISSWGHDFRPTYRKLSTLRSHLPDVPILALTATAVPKVQKDVVESLHMQNALILKTSFNRPNIYYEVRYKDLLDDSYSDLSDRLKSMGDVCAIIYCLERSMCDDLSAHLSQRGISCAAYHAGLNNKMRTSVLHDWISSKTKVVVATVAFGMGIDRKDVRIVCHFNIPKSMEAFYQESGRAGRDQLPSTSLLYYGVDDRRRMEFILRNSGNKKSQSSSSQEESTRMSLTAFNQMVEYCEGSGCRRKIILESFGEQVTTSLCGKTCDSCRHPNLVARNLEDLTAACSLRQRGGSRVFITSSTDAIDGGQLSEFWNRDEEASGSEEDISDIDGDGNEAVSNLARSKVQSRLGVNEKLDLLQRAEEKYYQSESTNKQRNKVDKNAISDALREASRQRLQNALKEAQQRIDDFKIETETSASLLEDECYKKYGKTGKSFYYSKVASTIRWLKTTSSVDLMNRLSEIDASSSPSVFFEAEHPLTPLPASDPCANEETGNELSENAITETIPCDIPIESSFNTNLPPIPSFAEFVNSKKEKRDRSSDTKKHSSRVEKKTRIQ >RHN64583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60203860:60207361:-1 gene:gene27411 transcript:rna27411 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSNGVRLLSSHLIRDSCKSIYEGVFHRTLDSGLWTLSRVIGGGGVAHGHGVNVNNRVLPNSSIRGSASLSRYHIYESYPSSSSLVKRKRKGRKGIDGVTDADFQKGFENWCKKHKATFSSEEDKQTTFNWFRKTYIYGREFPCAAAPPVHLKDIIDCIRRYGIHGDSKVKYEFLLERSRNPIYPPYLGNYNMDDPSVVAEFHELEEVSKCNCPDCCTGRDLGQY >RHN41001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25629443:25632605:1 gene:gene47268 transcript:rna47268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MVDISFKKLIILFFLSSSLRTTTSLDTLSLNQSIHHGQSLVSAKGTFEVGFFSPGNSRGRYLGMWYKNLTPLTVVWVANRETPLHNNLGVLKLNENGVLEILNGKNYAIWSSNASNRPANNSISAQLLDNGNLVLKNSANNILWQSFDYPSDTFLPGMKLGWNLVTGQNKFYSSWKSSNDPAKGDYSVNIDIRGYPQIVVMKGSVIRLRIGSWNGQTFTGYPIQPLKQKQRYEFVMTDKEVYHWYELIDSSGVQIYALSPLGNLHALAWTSETSDRIVIETGMEDSCDSYAMCGANSVCNMDENVPKCECLKGYVPKFPEQWNISYWSSGCVPKIKSFCDNNSTIGFLKYREMKLPDTSSSRYNKTMSLLECQKACMKNCSCTAYTNADIRNGGSGCLLWIDDLIDMRTFSQWGQDLYIKVPSSELDDVNVNGNKNQRQQLIRITVSVIISGFLTCACIIISIKIVAPRVYHLASFQWRKKYFRLKKEEPDLPIFSFPIIVKATGNFSSRNKLGEGGFGPVYKGTLIGGKEVAIKRNSKMSDQGLEEFKNEVLLIAKLQHRNLVKLLGCCIHREEKLLIYEYMPNRSLDYFIFDETRSKLLDWSKRSHIIAGVARGLLYLHQDSRLRIIHRDLKLSNILLDALMNPKISDFGLARTFCGDQVEAKTRKLVGTYGYMPPEYAVHGRYSMKSDVFSFGVIVLEIISGKKIKVFYDPEHSLNLLGHAWRLWIENMAMDLVDMHLIETINYSELLRYMHVGLLCVQEKPGDRPDMSSVTLMLNGEKLLSQPKAPGFYTGRGLPKTISPTSNEMSITTFEAR >RHN53569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2620715:2623427:1 gene:gene28490 transcript:rna28490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MGSKSQDNNDRDLVKKVFTHKGRYVQYSLYGNLFEVSSKYVPPLRPIGRGAYGIVCAAVNSDTHEEVAIKKIANTFDNIIDAKRTLREIKLLRHMDHENIIAIKDIIRPPQKDNFNDVYIVYELMDTDLHQIIRSNQPLNPDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNGNCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTSAIDVWSVGCIFAEIMTREPLFPGKDYVHQLRLITELIGSPDDSSLRFLRSENARKYLRQLPQFGKQNLSVKFPSMSAEPLNLLEKMLVFDPVKRITVDEALCHPYLSSLHDINDEPVPPTPFSFDFEQPGCTQEHIKELIWRESVNFNPDPPSQ >RHN73311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15288902:15290400:1 gene:gene9110 transcript:rna9110 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVEIADCGSCARRLWKVLTENIQLLPSFFPAMVTQCIIFLNCRILKDINRFVNYEQMVIIT >RHN76250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48860566:48868340:-1 gene:gene12543 transcript:rna12543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipid-translocating ATPase MPRVRRIRAKLRWSNLYTFGCLRPNTVDEVPHPLQGPGYSRTVYCNQPQIHEKKSLFYCKNNISTTKYNAIMFFPKALFEQFRRVANIYFLLAACLSLSPISPFSPLSMIAPLAFVVGLSMAKEALEDSRRFLQDVKVNRRKASFHKGNGVFGLKSWQKIMVGDIVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEATFSLDNDGAFKDFSGTIRCEDPNPNLYTFVGNFEYERQVYPLDPGHILLRDSKLRNTEYVYGVVIFTGHDSKVMQNSTKSPSKRSRIEKKMDYIIYTLFSVLIAISFISSVGFVVKTKYETPKWWYLRPDQIEYQFDPKKLGFAGMSHLITALILYGYLIPISLYVSIEVVKVLQATFINQDLHMYDEETGTPAEARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTSYGVRSSEVELAAAKQMATDLEEEDSDLSNFPMQKKGKAPWENVGRAEEIELETIVTSKDGEDQRPAIKGFGFDDNRLMNGNWSKDPNAEVILLFFRILAVCHTAIPELNEESNSCTYEAESPDEGAFLVAAREFGFEFYRRTQSSVVVRERISTSGQVVERDYKILNLLEFTSKRKRMSVIVRDEEGSIILFCKGADSIIFDRLSKNGKKYLETTSRHLNEYGEVGLRTLALAYRKLDEQEYSDWNNEFQKAKTAVGPDREAMLEKVSDSMERELILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMKQICISTTNSESVINDGKEAIKSNILTQITNASQLMNLEKDPHAAFALIIDGKTLTYALEDDIKHQFLGLAVNCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFAGFSGQSVYNDWYMILFNVILTSLPVISLGVFEQDVPSEVCLQFPALYQQGPKNLFFDWYRILGWMGNGLYSSLAIFFLVIIIFYDQAFRLNGQTADMAAVGTTMFTCIIWAVNCQIALTMSHFTWIQHLFVWGSIASWYLFLLLYGMLSPHYSMTAYQILVEVLAPAPIYWTATILVTVTCNLPYLAHISFQRCFNPMDHHIIQEIKYYKKDVEDQHMWTRERSKARQETKIGFTARVEATIRQLKGKLQKKQTSGGGFSPS >RHN75844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45491222:45494643:-1 gene:gene12098 transcript:rna12098 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNTKFCGHDVDDDVPLSQSFPQFLSKCDGSVKKRDSMFDNPDSSLHVSVKKSKLSPHHHHGEDEDEDEDDLSFSKKLTDKSFSSLKKDLTLLEELFVECKWKQKLEEKRLQSIKRDTEECCKELQNKKNQVSVVRRIDQVCDKVQEKTEECIKEFVVKEDQLYLMENMIGERKLELKAKEVELNQVIDNIDKDRERKEEELKALSQQIAEFILELKAKEIELDTMNKLIGTQAEKLESEKENDCAQIKELESMKKQLECQVNKLESEKKNFEGRIKDIESREKQFEGQMKEFQLKEEEFEGKVKEIKSKKEELKGQVKEFESKKKKFEERMKEHESKENEFKVKMNELKLKEKQFERQDKDPDSKLNKLDGQMKEPKLTGKQYEALRKYIDEEQESVASYMDDRLSHTIGGTSLQLDTSEKTVAVESHGNNMLVNPAKVVLDIIHKPINPLCKKGDNAVIFYDSRIFLLEQLMRVSPNIEDCVREEALTLALDLKAYIRENTEDSVAVLGFLLLLSIYGLLTSFDEDEILELFALVAQHKIAMEMFRALGFANIVSDFVENLIKRKQFVVAVRFSCAYNLADKTKQVDLLQQHIQNAKLICANSCKKTNSIEIKDKARDQEIASLETVQQCISDCRLQSEVLENEIGYRMLELQAHKGK >RHN70219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49753301:49754745:-1 gene:gene18777 transcript:rna18777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ZF-HD family MEFDEHEDLEEEEEEEEEEEEEEEEEEQMGFPVTPVACYDSLGNSGVRSKNGGGIGSADGGAATATGGGVKSGLAGTVRYKECQKNHAVSIGGHAVDGCCEFLAAGEEGTLEAVICAACGCHRNFHRKEIDGEFTTQRSHHPQHHHHQLSPYYHRAALPPPPGFHHHSVTPPISQHRPLALPPAASSRGYSREEDNVSNPSSSGGGGSGTKKRFRTKFTQEQKDKMLAFAEKIGWRIQKEDEGAIEQFCAENFIKRHVLKVWMHNNKHTLVSIGLVWNMSL >RHN78493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15595869:15599704:1 gene:gene2065 transcript:rna2065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase, Very-long-chain 3-oxoacyl-CoA synthase MPFMEEQNNQQNHYSRRSISFERSKLPNFLISVKLKYVKLGYHYLISNAMYLVLIPLLGVASVHLSTFSIKDLIQIYENLKFNFVSMLICTSLVVFLATLYFMSRPRGIYLVDFACYKPQKDLQVTREIFVERSILTKAFTEETLSFQKKILERSGLGQKTYLPPAIMRVPPNPCMAEARKEAEEVMFGAIDEVLQKTGVKAKDIGILVVNCSLFNPTPSLSAMIVNHYKLRGNILSYNLGGMGCSAGLISIDLAKQLLQVHPNSYALVVSMENITLNWYFGNDRSMLVPNCLFRMGGAAVLLSNKPRDRLRSKYQLVHTVRTHKGADNKSYGCVFQEEDDTKQVGVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFGTLVARKIFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLDLSDWHMEPSRMTLNRFGNTSSSSLWYELAYTEAKGRIKKGDRTWQIAFGSGFKCNSAVWKALKTINPAKEKNPWIDEIHEFPVHVPKVSKVIGS >RHN60013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16609673:16609969:1 gene:gene22201 transcript:rna22201 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIWVNSNSFSKQNLSMQKLHHINKAMGDDVFYGNDDCEEMNECIYKDDVLVSMRRARELKALKKNNKKQKKNCYLKYFECFRCLWFLLGCGTKSYD >RHN58817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5160253:5161080:1 gene:gene20767 transcript:rna20767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MNPATKELETVPPSPTESLPFNTVWFHLHGYGYDPVNNDYKIIRHVYVKKYKPCDNVDWTYLPTVPCPFWEIYSLRSNSWKKLDFNMPTSIGRKVYLNGVCHWWTNTNVDDTTHLPLDMQDSYSDPDEWVNRYFDLVVLNGFVALISKHVKTTSFHIYVLGELGVRESWTKLFIIGPLPSVERPVGAGKKGDIFFIKNDNEIACFDLREMIFFTTIFVQLLYNLLSHTHIIFLFSLFLFLFPLFLTNEKRAQQGCPKSCSKKVVQISLLFKYPHN >RHN52266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33266395:33269852:-1 gene:gene36919 transcript:rna36919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MKFYFSFFLFFLTLFLPSVKPDLTSERAALLTLRAAVTGRTLLWNTTSASPCNWAGVHCNQNHTHVVELHLPAVALSGNLPTGVFSELPNLHTLSLRFNSLSGPLPSDLAACTSLKNLYLQQNLLSGELPATFFNLTGLVRLNLASNNFSGEIPVGFGNLTRLKTLYLQNNRFTGSLSEFELNPVQLAQFNVSNNMLNGSVPEKLQTFGKDSFLGNLLCGKPLNPCPKEGGTNSGNGGANSGNGGRNSSVVDENNGFVRNKKKGKLSGGAIAGIVIGSVVILLIVVFALIVLCRNRNGEKIDEVARTLKHNQLIDEGIHGENVGNGNGNGYSSAAAMAVAAPVNGERGNEGVVIGGGEKKLVFFKNWGRVFDLEDLLRASAEVLGKGTFGTSYKAVLEAGPVAVAVKRLRDVTISEREFKEKIEKVGTMVHENLAPLRAYYYSRDEKLLVHDYLHMGSLSALLHGNKGGGRTPLTWEMRSGIALGAARGIEYLHSQGPNVSHGNIKSSNILLTKSYDARVSDFGLAHLVGPSSTPNRVAGYRAPEVTDPRKVSQKADVYSFGVLLLELLTGKAPTHALLNDEGVDLPRWVQSVVKEEWTSEVFDLELLRYQNVEEEMVQLLQLAVDCAAPYPDNRPSMSQVRQHIEELHRSSSKEGSQDQIQQPDVISDIDDISSR >RHN82692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55737765:55741518:1 gene:gene6872 transcript:rna6872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small monomeric GTPase MAAAPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDEASFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNMNVEEVFFSIARDIKQRLADTVSSTEPQSIKINQQDQAANGGQAAQKSACCG >RHN63770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53925674:53926659:-1 gene:gene26514 transcript:rna26514 gene_biotype:protein_coding transcript_biotype:protein_coding MHISKLIFIDMNQSTVNHGSFKWSWNSSAAFLYGGLAPIFIIIGVALLTVACSRCLRPEIDHSPMTSIDSNKSRGETITEVDLEPNILVIVAGENHPTHLAKPSNHITLKH >RHN55166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15712472:15717345:1 gene:gene30303 transcript:rna30303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MGGIGKSTIAKVVYNNLCYEFEDQSFLANIRQVWEKERGQIDLQEQLLSDILKTRNVKVHNVEWGKAMINERLCTKRALVILDDVSTREQLNALCGNRNGIGPGSIIIITTRDARLLDILGVDFIYEAEGLNVHESRRLFNWHAFKEANPSEAFLILSGDVVSYCGGLPLALEVLGSYLFNRRKREWQSVISKLQKIPNDQIHEKLKISFDGLEDHMEKNIFLDVCCFFIGKDRAYVTEILNGCGLHADIGIEVLIERSLLKVEKNNKLGMHALLRDMGREIVRESSPEEPEKRTRLWCFEDVVDVLAEQTGTKAIEGLVLKSQRTSRVCFNTIALKKMKKLRLLQLDNVQVIGDYECFSKQLRWLSWQGFPLKYMPENFYQKNVVAMDLKHSNLTQVWKKPQLIEGLKILNLSHSKYLKRTPDFSKLPNLEKLIMKDCQSLLEVHPSIGDLNNLLLINLKDCTSLSNLPREIYQLRTVKTLILSGCSKIDKLDEDILQMESLKTLMAANTRVKQVPFSIVRSKSIGYISLCGYKGLSHDVFPSLIRSWISPAMNSLPCIPPFGGMSKSLASLDIESNNLDLVSQSQILNSCSRLRSVSVQCDSEIQLKQEFRRFLDNLYDAGLTEVGTSQALQISDLFMRSLLFGIGSCHIVINTLGKSLSRGLTTNLGDSLPGDNYPSWLAYKGEGPSVLFQVPKDSDSCMKGIALCVLYSSTPENLATESLTSVLIINHTKFTIQIYKRDTIMSFNDEDWQGIVSNLGVGNNVEIFVAVGHGFTVKETAVYLIYDQSISTEVEPSSTIEVDPSTSTNIEPLHEVEVQSSLSVKMEASAEEQLQPSLDVKTEASAEEDVQPSPNVKIEPSAKEEAQPSPDVKMEPSLVKNEPLPNANRKIFTRLAKRVGECLCLNQK >RHN48528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48218595:48223845:-1 gene:gene43255 transcript:rna43255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDFPFNDFPFDDDIPVEFRNFDESAIPTREQLMDAGADPRFINLVLNGHSGDEEEDDEDDEDEDDEEYVPDVPSSRVSRSDEVSVIPGDERNKRRRIEGGEASSLSIPIESVDCSQENECNRTDIDGLICPICMDAWTNEGDHHICCLPCGHIYGMSCVRKWLQQKKNSGKCPQCNRRCSMKDVRKLYASRVVTVDEESQKMIRSLENKCASLESKDGSWRKKEEGWMKREAALLFDVQNLKKKNTYLEQLVLDMKSRQSGFFEFQNAFHLHGARVFDMDTSNQIVIIAQKPKGMGDVHSITKMSLISPFEMQHIELPSSTNGVRDLHISPFGSKQALYASFGKQLSVLSLDSGTPVLNYDLEVPAWSCSWDRNSTHCIYAGLQNGKVLVFDMRQTAGPLKSLAGLTSNPVHSLQSLAQTTGLSSGVRTILSASAIGACQWDIDSDDRPFMVHGTDNQEVCISLAYSPSSDDTVVSYRPKLNTLTGELIGQGVQGSHVLLKRTGGNNFQKMGSSYGNVSKIRLPRCIIINIANQNRMFASGDEVTCDLVLQELPSFRVIQRFKMPAQARDIRYSPSHGMLGCLSENSLQIFRTNLS >RHN77563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7737831:7738831:1 gene:gene1030 transcript:rna1030 gene_biotype:protein_coding transcript_biotype:protein_coding MHETKKVRNAKDKDKSTSQPNQHAKASSSGTKDKKHHGVRETVERKIMFSTSNSSGKDISHERIVPSSEEREKENEKGKAPMQKGIKDDITKFVHKISASVQPTQPIEDKKFSVITLTGDNRGATMHVGSESDKK >RHN75602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43648907:43651913:-1 gene:gene11832 transcript:rna11832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MDMDSTGGSSIWLYDYGYDDISISAADFMASDSSAAASTFTWMPQPQSQTQIINPPSSHMSLEMDYSLDSTVMESNPSKRMEMEYSLDSTVLENGPSKRLRTESYASSSKAGREKVRRDKLNDRFMELSSVLEPDTLPKTDKVSLLNDAVRVVTQLRNEAERLKERNDELREKVKELKAEKKELRDEKNKLKLDKEKLEQQVKLASVQSNFLSNAMAAKGQTANHKLMPFIGYPGISMWQFMSPATVDTSQDHLLRPPVA >RHN72662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9531752:9537854:1 gene:gene8407 transcript:rna8407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thymidine kinase MYSCKTLVEHKPLFLPSFLPSFVQPQPISAFTMKSILNPKFSSLSCNFPKLSSFSLFSHPLQFSILFRNNPSSFSNPLRLNSTKPFIPNSQNRTFQASSSTSGDIHVIVGPMFAGKTSSLIRRIQSESGNGRNVAIIKSSKDTRYGLDSIVTHDGTKLPCWALSNLSSFKQKFGVDAYDQLDVIGIDEAQFFDDLYDFCREAADHDGKTVIVAGLDGDYLRKSFGSVLDIIPLADSITKLNARCEICGKNAYFTLRKTQDKQVELIGGVDVYMPVCRQHYVNGQVAVETARHVVESKKVECGSHT >RHN71427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:63652:66118:-1 gene:gene7029 transcript:rna7029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MDEGGSINNINIPIPKGESADEGIMNTTVDWRGRPSNPNNHGGTRAAAFVLGLQAFEIMAIAAVGNNLITYLINEMHFSLPHSANIVTNFVGTIFLIALLGGYLSDSFLGTFSTILIFALLELSGFILLSAQAHFPELKPPPCSKLGGECIEANGLKALIFYIAIYMVALGSGCVKPNMISHGAHQFNQDQQSKKLSTYFNAAYFAFSLGELVALTILIWVQTHSGMDAGFAVSAAAMAMGFISFISGTLYYRNKPPQPTIFLPIAQVFVAAILKRKKICPSSLQILHGRENRQHSDKLRFLDKACVQEAGNNTKESPWRLCSVAQVEQAKILLSVIPIFACTIIFNTILAQLQTFSVQQGSAMDTHVTKSFHIPPASLQSIPYIFLIVVVPLYDTLFVPFARKITGHESGISPLQRIGIGLFLATFSMVSAAVMEKKRRDAAVNLNQTLSIFWITPQFIIFGLSEMFTAVGLIEFFYKQSLKGMQTFFTAITYCSYSFGFYLSSLLVSLVNKITSTSSGGGGWLHDNNLNKDKLDLFYWLLAVLSFLNFINYLFWSRWYSYNPSLSTISQEGEVHAMESKHYYVSAADNIP >RHN61740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37948531:37952216:-1 gene:gene24231 transcript:rna24231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MEYLPSCMFFLSLLALTLYFLLSRAKLINNSNIKLPPGPTPLPFIGNLLQLGKKPHKSLAKLAEIYGPIMSLKLGQITTIVVSSPKMAKEILQTHDQFLSNKAIPNAVQIHDHHKYSMTFLPVSPLWRDLRKIGNSQLLSNKTLEESKGIRSQKLQEFINDINQSCLINEAVDIGNMAFKTSINLLSNTIFSIDLVDSSGSVGDFKELVVNIMEECGKPNIADLFPALRMFDPQGIKGRTSVYAGKILDIFERLVDQRLKLREVKGFDTNKDMLNTFLDIDQATTQVMKKTQIQHLSLTLFVAGTDTISSTLEWAMAELLKNEKIMSKAKQELEQIIGKGKTLGESDIAKLPYLQAIIKETFRLHPPVPFLVPRKANTNVEICGYTIPKDAHVWVNVWAIGRNSSFWENANLFSPERFLRSEIDVKGHNFELTPFGAGRRICPGLTLGTRMLHLMLGSLINCFNWKTEDGKKIEDMNMEDKFGITLAKAQPVKVIAEKIYN >RHN58816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5155251:5157116:-1 gene:gene20766 transcript:rna20766 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSLVSDLGAAAISRAVTFSCDRVLRETSKRGLFDQKLHRKLVHISFGLLFMLCWPLFSNDRWASCYAALAPGVYMCRILVTKLGIYKDEAIVKSLSRIGDYRQLLRGSLYYVATIVFASIIYWRTSPVSIAAICNLCAGDGMADIVGRRFGGKKLPYNKNKSYAGSIAMASAGFLASIGYMWYFSLFGYMEEGWSKVIGFLVVSVITAVVESHPISTDLDDNLTVPLTSILVGSMVF >RHN79822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32589811:32591770:1 gene:gene3664 transcript:rna3664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MASKPRSNFCFLFLLLLLHFYLGKSQLQLNYYSKSCPKAEEIIKQKVIELYNEHGNTAVSWVRNLFHDCIVESCDASLLLESVGDVVSEQTSERSSGMRNFKYVKTIKAAVEKECPLTVSCADIVALSARDGIAMLGGPKFEMKTGRRDGKESHVTMVEEFIPNHNDSISLVLSRFQAIGVDVEATVALLGGHSVGRVHCMNMVHRLYPTVDPKLDPTYAAYLKLRCPTPNPDPNAVLYARNDRKTPMIIDNNYYKNILQHKGLLTVDEELATDPRTSPYVKKMAADNGYFNEQFSRAVQLLSENNPLTGDQGEIRKDCRYVNAN >RHN70533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52098399:52099118:-1 gene:gene19121 transcript:rna19121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MNVTTTILHMDNQITTDQIKTLSSSSSTSSGNNDNKNKKYKGVRLRKWGKWVSEIRLPNSRERIWLGSYDSAEKAAKAFDAALYCLRGRHANFNFLDTPLNLDINAVSNNSLTPQQIREVAANFANKNPPIIDNNNNGNNSNVQSNMVTEIGGSSSTTTMYNNRSTIDWTFLNMLDGSNTHDADFVGSENIGGFYSDLEKLYSGELMYSIAPPLIEEHDDDDDDNDPFSHQSFLWNWNF >RHN57559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40028053:40032361:1 gene:gene33125 transcript:rna33125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain-containing protein MGILYALVARGTVVLAEFTGTTTNAAAIARQILEKIPGNNDTHVSYSQDRYIFHVKRTDGLTVLCMADDSVGRRIPFAFLEEIHQRFVRSYGRAVLTAEAYAMNDEFSRVLNQQMEYFSSDPNADRINRLKGEMSQVRNVMIENIDKVLDRGDRLELLVDKAANMQGNTFRFRKQARRFRSTVWWRNVKLTIALIVILIVIAYVVLAFVCHGPALPSCF >RHN79082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22251969:22256785:1 gene:gene2780 transcript:rna2780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SLC26A/SulP transporter MGVNSNRVDPFETIKIQSEIPMYQSPLEIHKVRLPPERTTLQKLRHRLFEIFFSDDPFHGFKNQTSFTKFLLYIFSIFQWGPKYSLNLFRSDIISDLTIDSLVIPQGISYAKLANLPPIIGLYSSFVPALIYSVLGSSRHLGVGPVSIASLVMGSMLSESVSYSQDPILYLKLAFTATFFAGLFQSSLGVLRLGFVIDFLSKATLVGFMAGAAIIVSLQQLKGLLGIVHFTSKMQIVPVLVSVFKERDEIGELPKGLNPPSSNMLYFSGPHLALAIKTGLVTGILSLTEGIAVGRTFASLQNYQVDGNKEMMAIGLMNIAGSCSSCYVTTGSFSRSAVNYNAGAHL >RHN42863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41524413:41526214:1 gene:gene49361 transcript:rna49361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin 11-oxidase MEMQWVYICTAALFACYVFVNKFLRRFNGWYYHLKLRNKEYPLPPGDMGWPLIGNLLSFNKNFSSGQPDSFTTNLILKYGRDGIYKTHVCGNPSIIICDPEMCKRVLLDDVNFKIGYPKSIQELTKCRPMIDVSNANHKHFRRLITAPMVGHKVLDMYLERLEDIAINSLEELSSMKHPIELLKEMKKVSFKSIIHVFMGTSNQNIVKNIGSSFTDLSKGMYSIPINAPGFTFHKALKARKKIAKLLQPVVDERRLMIKNGQHVGEKKDLMDILLEIKDENGRKLEDQDISDLLIGLLFAGHESTATGIMWSVAHLTQHPHILQKAKVNKVSNIWGSI >RHN79334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27690148:27691202:-1 gene:gene3111 transcript:rna3111 gene_biotype:protein_coding transcript_biotype:protein_coding MIVQLFPFCFSVVINHVCQILLNFEFYDIHVLCLSLSIYLFWVCLNTTTTHAVFRKRSS >RHN72534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8452260:8453771:1 gene:gene8260 transcript:rna8260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative petal formation-expressed MASLQVSSMLSSFSSDQKMIKSSFNVPKLPKALSIVPKTRPSRKLFEELNGQLIHTIPIMIQENDYHYSPKYNSPSSKSPKTKTKTITQLYAILESVSDRIEMHQNIGEQRDNWNTLLLNSINMITLTATAMAGISAISGSGAPLLALKLSSALLFSASTGMLIIMNKIQPSQLAEEQRNATRLFKQLKSQIETTIAIGNPTEEYIKDTIQEVLALDRAYPLPLLGVMIEKFPQKYEPANWRPTKKSSRRSNNSFHSENNETKKNGWNEGLESEVKDVLEVMKRKDMEDYERLGNLVLKINKTLAIAGPLLTGIAAVGSTFVGQGSLASIVPVMAGALATAVNSFEHGGQVGMVSEMYRACGGFFQMMESSIQENILEEDEEQRENGDLFEIKLALKLGRSLSQLRDLAIKSAYSRVEGTIVDEFASKLF >RHN43954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:166448:172613:1 gene:gene37994 transcript:rna37994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit beta MLGVIRNKNLLRPSFSAFRHLSSSAKQMTVRDALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLEKYGPERVLDTPITEAGFTGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGAAAGVGAQHSHCYASWYGSCPGLKVLAPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEVLDSSFCLPIGKAKIEREGKDVTITAFSKMVGFALKAAETLEKEGISAEVINLRSIRPLDRATINASVRKTNRLVTVEEGFPQHGVGAEICASVIEESFGYLDAPVERIAGADVPMPYAANLERLAVPQIEDIVRAAKRACHRSVPMAATA >RHN71752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2424888:2430169:1 gene:gene7386 transcript:rna7386 gene_biotype:protein_coding transcript_biotype:protein_coding MLMREAAELRRQSEKEGVLAYLQKPNVRSRPNSRFLTATVRGVQQANRAVEVNEMWRAREKELELDKRVTGSSKDKRSDDKRHMDHNTSRSAERHAGDDRSTSASASCSTKNEYGHTQEGLKDEELEEFLHSRKKRGRGAIGPRMDETGPYLPPNPDEEPSTSPDFREHRAIYGPKRPPSLQSYESSKEILEGKWKKRQSYESSEEELHEKKRKMRQSYESSEEELHEKKRKKRQSYESSEDGLHEEKRKKRQSYESSEDELHEEKRKKSKKSHHKSHSDKVHSKKHRSKEKSKHKKRRREEKTSKHRR >RHN60793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30496241:30498847:1 gene:gene23172 transcript:rna23172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLSFRRNCLKYCTHFNRRNTSNQNPCFHKFPTLFHLNDPIQINSLISFNSYHYYSTKTPSRSYRRRARNRFLKANKPVLDQEKFQFAQSQLLPRFTPEELRNVIANQRDPLVCLELFHWASHQPRFRHNESSFHVTIKKLGDAKMYQEMDDVVNQLLAVRSIGNEALFNMIIYYFTEARKLTRAVIVFKHMKCSRNPNFGFRPSIRTYNILFGAFLSRGYNTHINLVYMETMRCLFRQMVNEHIEPDIFSLNSMIKGYVLSLHVNDALRIFHQMGVVYDCKPNSLTYDYLIHGLCAKGRTENAKELYHEMKAKGFVPSSKSYNSLVNSLALVGDVEEAVNYLWEMTEKQKSVDFITYRTVLDEICRRGRVQEAMRFLQELQEKDLVDGHTYRKLLYVLEDDYGDSETRIDSGSALISP >RHN67220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25020667:25021463:-1 gene:gene15380 transcript:rna15380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MFFSLKSKSLGSSILFTLVPILIGKLVITKLSFLVLPGKKYLSKQLSSSANFHEASIEPSDKQSCGICFELKTYSDMFQTTKCKHLYCLDCICKYVTFQINNNLVKVITCPSPNCFVQLKPNELQHNLPKQVTFRWESLIYESSITFKFMSYARKLFQNFKLDKKFLELAKRERWKRCPSCSIYVERINGCNHMMCRCGSDFCYKCGVTLKYCECMRARFNVRPSRINFGEVTLFF >RHN78267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13440408:13442579:-1 gene:gene1801 transcript:rna1801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MTRIKTRESAILKVKMSSNSKQTQFPIEVEKVDDAYLSALLLCFSRIFPAILNAAIDLNLFDIIAKLQNSSEHSSFSASEIASELPNQHPELAERLERMLTVLASYSLLTCSIRTNEDGNKERVYALSSIGQYFALDKDGGSLRPLSALIHRGYHHVWYDVKDAIINPNNNDHFHKVHGSPAYKYLEKNQELNQIFNKAMAQSGPLEMKRILTLYKGFEEVSTLVDVGGGVGNALKQIISEYPSIKGINFDLPQVVQDAPTHPGIEHVEGNMFESVPGGDAILLKLVCHNWANEECVKFLRNCHKALPKHGKVIVLDYIIPEVPNPSKISKHACAIDNLMFLVHGGKERTENEFQNLCMSSGFSKFHIACSDTSAMSGVMEFYK >RHN40835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:22608927:22612038:-1 gene:gene47061 transcript:rna47061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MSEGVIQQEGPYQQLLATSKEFQDLVNAHKVTDGSNQLVNVTFSRASIKITQTLVENKGKEANGNQLIKQEEREKGDKGLKPYLQYLNQMKGYIFFFVASLGHFIFLVCQILQNLWMAANVDNPRVSTFQLIFVYFLLGASSAFFMLTRSLFVIALGLQSSKYLFLQLMNSLFRAPMPFYDCTPLGRILSRVSSELSIMDLDIPFSLTFAVGTTMNFYSTLTVFSVVTWQVLIVAIPMVYITIRLQRYYFASAKEVMRITGTTKSYVANHVAETVSGAVTIRTFEEEDRFFQKNLDLIDINASSFFHNFASNEWLIQRLETISAGVLASAALCMVILPPGTFTSGFIGMALSYGLALNSFLVNSIQSQCTLANQIISVERLNQYMHIQSEAKEIVEGNRPPLNWPIAGKVEINDLKIRYRPDGPLVLHGITCTFEVGHKIGIVGRTGSGKSTLISALFRLVEPSGGNIIIDGVDISSIGLHDLRSRFGIIPQDPTLFTGTVRYNLDPLSQYSDQEIWEVLGKCQLREVVQEKDEGLNSSVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASVDNSTDYILLKTIRTEFADCTVITVAHRIPTVMDCTMVLSINDGKLVEYDEPTNLMKREESLFRKLVKEYWSYFQSAESH >RHN49366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54312218:54313181:-1 gene:gene44188 transcript:rna44188 gene_biotype:protein_coding transcript_biotype:protein_coding MINVALGKGPATQFTTPPYTASPHPKFTSAYLARVKSDTTQDLIVVNKHRSLITIRSAKECMYSSVT >RHN64579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60177320:60179812:1 gene:gene27407 transcript:rna27407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator C3H-WRC/GRF family MMNGRNRYPFTPTQWQELEHQALIYKYMASGISIPPDLLLTIKRSYLDSSRLSPHQSQHFGWNYLPMGLGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEVLKTTNTDAPAATSTILSITKNSSLDSDHNTAALTSTTHDTNYHYPQHSSYASSHLNHSFLYHNPPSSRPNFSFQDNSAPLFLDSNGSSSHNNNNTDCRNRYVYGLKEEVDEHAFFTEPSGTIRSLSASSMDDSWQLTPLTISSSSSFKQRNCSGLSNDNEYSYLQLDNNSKQPQQDQGCYISGSEETFMKHGKEEPQKIFHRFFDEWPPKSSRNSWLDLDDKSSTTQLSISIPTSSHDFTTFSSTNQRDG >RHN78894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19703254:19704490:1 gene:gene2562 transcript:rna2562 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEPFVQTEIRSSIMGIPIHISEQVLAYVLRRPAHGSYVGGIQNTKDSPWNKVVNQTIFNSTVKGVFADLDGEKRMLLRIQNANLLPKAGSSDQPSLEHKIFLHFFIKREYANVPKYMFKHLVHQLWESQLNNRCWVPYGRLLSEIFHQGGILKALSSLNVFTDVQLGTETGKIINGTNLRYMKLIGKDDYTKLSTDMQESDAVSALMKDFPPICKQDALEVQMHFIWEYFERTNVKISQKQRQREMYGGVLPVAKSRKTKRKALIKEDYLEEAPRKASKKSKRGKAESTSQVASEGSKKRKAQDDKEEEEHSLRPRRIKAVKPTVRVSPMVEVTPKMEQEAKKVAEKELAIQKQLAEQYRREKDEKLKAAGFAEINPLSPRKQLSCYKKLC >RHN49475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55028851:55031992:1 gene:gene44312 transcript:rna44312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MEQSVVDDIINRLLEVRNRPGKQVQLSESEIRQLCNVSRDIFLKQPFLLQLEAPIKICGDIHGQYSDLLRLFEYGGLPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPDNFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTDCFNCLPVAALIDEKIFCMHGGLSPDLHNLDQIRNLQRPTDVPDTGLLCDLLWSDPSKDVQGWGMNDRGVSYTFGADKITEFLEKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPADKKTKLNFGSTTTAKPGNSPAGVKSFLGAKV >RHN76906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2257503:2261414:-1 gene:gene295 transcript:rna295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MSGKGAKGLTTGKTPANLKDKDKKKPTSRSSRAGLQFPVGRIHRLLKSRTMAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >RHN52271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33319728:33320690:1 gene:gene36924 transcript:rna36924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MGCDNWATRICCGFCMAVVLFVIGIIVFWIVISPSSVKFHVTDASLTEFNLTNNNLFYNFKVNITARNPNNNIIVYYRRITAIAWYKDNDFSRASLSPFDQGHKNTTFLGPVEFKGNATIKLGRQQLNEYSEETRLGIYNDLAVDFDFRIRAKFGSFYKSGRFKPPVVQCGRLSVPLVSSSNGNSSSSTFSFSTRRCSADSFFLDRDINKY >RHN71626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1459918:1466310:1 gene:gene7245 transcript:rna7245 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SERK6 MERVTPSSNKASFLLSTTLVLHLLLQASSNEESDMLIAFKSNLNDPNNALESWDSTLLNPCTWFHVTCSGDRVIRVDLGNANLSGILVSSLGGLSNLQYLGLYNNNITGTIPEELGNLTNLGSLDLYLNNLTGTIPNTFGKLQKLSFLRLNNNSLTGVIPISLTNVTTLQVLDVSNNNLEGDFPVNGSFSIFTPISYHNNPRMKQQKIITVPLSPSSPASSGSINTGAIAGGVAAAAALLFAAPAIAIAYWQKRKQQDHFFDVPAEEDPEVHLGQLKRFSLRELLVATDNFSNENIIGKGGFAKVYKGRLADGTLVAVKRLREERTRGGEQGGELQFQTEVEMIGMAVHRNLLCLRGFCVTSTERLLVYPLMANGSLASCLQERNASQPPLDWPMRKNIGLGAAKGLAYLHDHCDPKVIHRDVKAANILLDEEFEAVVGDFGLAKLMAYKDTHVTTAVQGTLGYIAPEYLSTGKSSEKTDVYGYGMMLFELITGQSAYVLRGLAKDDDDAMLQDWVKGLLIDKKLETLVDAKLKGNNDEVEKLIQEVEKLIQVALLCTQFSPMERPKMSEVVRMLEGDGLAEKWEQWQKEETYRQDFNKNHMHHLNANWIVDSTSHTQVDSTSHIQVDSTSHIEPDELSGPR >RHN53725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3579664:3581725:-1 gene:gene28664 transcript:rna28664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein METDEEKEVKQRKAEVRKENVAGDAAYKKDFDTTIQHYLKALELDDEGVSFLTNDAAVYLEMGKYKDCIKDCDRVVEGGRELRSDYKMIARAF >RHN50840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11028835:11037731:1 gene:gene35178 transcript:rna35178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclear factor related to kappa-B-binding protein MAADQRRKRVNGASIVGYGSKEQHKTKRKNLGLVQNDMRAHISVEWDNNQKRVVAKREQIGISWRQMKPFVNHVTNDHKVLADVVTVPEEIFDLDNLSEVLSYEVWNTHLSENERNHLMNFLPRGIEPHQAVEDLLAGTDFDFGSPVLNWGASVCSGDLHPDIVVGQEQHLKSQKRAYYRQLHNYHNDMIGFLSKLKERWQSCRDPENEILQKMWRPKHVQKRMPSNVNESRVYDHDGNVTVTSESCSWDTDEKAGSSDYLISSLRRDDKFPRKVFDKGTMKGKSGNLMLTSDGMHIKGGKKPKQGDKVLKRNIHFIEGEKYMSCIKISRQQHELVKSMKQSGKSIQSKSLNRVLGDLNNIHVQPYKVFVEEEEKKLHEHWLQLVMKDLPVAYANRMQRQIQRDAIRNALVEEMNDKSTPISEEEDNVSLGRELQDQDEAMSLGGESRDQNEDNIIPVEDQYEDVSSGSELHDQDEDNIIPVEDQNEDVSSGSELQDQEKDNITPLEDQIEDVSSGSRLQDQDADNMSSGDELRNVVEEAGGLNEESNLKDYEDSVVRAPEIRSSHNSFSSCDDDFNQVSMDSEKNIVLSKPDDTSLNKDEYPRNMSTQDVSTDEGVPFTPGSAVWQSVEMPHSYYDSAVTRKHPASGLSQANSQVNEDQRIDVIDLEADDLCQEETGKELLHGHLDNGTSFSSYESQDRSALIHSLFNGEGLLSYPHEQKGTPLDFQTSNNVMTGDGQCSGHFTQPLQMPLTLDPGQRRATEVYMPQSMSENIHSNAVGRYLIPRQDPFIPRQDSLTSVNVTDWAANTACMAAPSQSHLNTGNFIGHHWPPADHQIRGVWNGTNGSSLSSQNVGTGVNTDQNLFSILSQCNQLRPGSSYDPVRNTDQFLAPRTYGIDAGTSRVNPAALPQTSHPSDFFPGRETVAPSALVPDDMSWMNLQHPNPPALHDPLGRPYLRPWNR >RHN51460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18028145:18031707:-1 gene:gene35895 transcript:rna35895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MFVPRDFLLSLAILVLLLSTPCSSSFEKTEEKIKSAMFLSPKFELGPGSVINKFYYDIDFPKGHVAIKSFDAEVVDEAGNSIPLHETYLHHWVVARYHLRHVTYTENDSHRMLQNSDYVLVRNNGICQADILPQYFGLGSETRGTPTDIPDPFGIEIGNPADIPEGFEEKWLFNIHAIDTRGVEDKLGCTECKCELYNVTVDEYGRPLSLDYKGGMKCCHDNTQCKLKVGFEGPKRSLYLKYKIKWIDWDDFIVPVKAYIIDVTDSLKLSNDSKGTNSDHDCKIEYQVESCSRDHEEENGCVHVKRTSLPLQTGGYVIYAVAHQHSGGTGSTLYGQDGRVICSSTPSYGNGNEAGYIVGMSTCYPKPGSVKINDGEILTLESNYTNTKDHTGVMGLFYLLVAEELPYQHFRHSTSSSFFMD >RHN80946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42101692:42102579:-1 gene:gene4931 transcript:rna4931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MYSSSSPSHDHSFHQKPKTNNQSLQKTRYPSFSFVESSTTNDAGKENPEKKIIWRFSYSVAHNHNDVVKQKKHSCDVCNKVFTSNKALNGHMRCHCRQNGPTVAAPSFEKQQPCRRRRYMNIDDDDDDVIETVAEILLQLSRMGYEDVNHRGAKRKNLSCNMMDDNEKKKRKKKEQGVLLTSGGHDIEEKKTINEGETELGSKVVKNVDLNELSSDDNEGETELGSKVVKNVDLNELPSDDNEGEAELGSKDVKNFDLNELPSDDNEGETESGSKVVKNLDLNELPSDEFEDKTN >RHN62172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41399908:41404828:1 gene:gene24719 transcript:rna24719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative filament-like plant protein MNHKPWHWRKKSMEKTIFAADKVVSPSQIIEKEAHDLSTDKESGSKRSSRSLNEKLAKVLVDSPVGVKSDEDLDKKVHAEIVAPSDETLQEPLQPLSCVEEEQEQTPCVVIPNISKEHEKIQKELEEKLTEANKKIDELTAKNTCLSNALLSKEELIGDLLRCKQEADEEFKTLMTRLDSTEKENALLRYEFNTLEKELEIRKEEMDYSRQYADASHKQYLESSQKASKLEAECQRLRLVIQKRSPGLAGSVNRKNEIGTMRKETGMVRKKLNPNRDMLYKNNDVGNSTRVSQKSIGLMIKHIQDMDEENKALKRILNEKNSELDSSRFMYGETASRLSQAEILLRKFSENYKSMELARCYPTSNELPSMSNFDISSDDEAISSGSWANALISELEYLRVSEAKIQENNKAIEAQDMYSMDDFVEMEKRAIVSVNTPKEGYLSDVSGRELVPVEQDFDLGETNKEIQFKHTTNQNQFDWLQIVLNAMLKEKRISKRSLDELFDDIKIAFGCINRSNAPCKSEITQKSVDHGESDSFHVKSFSGFTEAVHRIIKLIEGIAPKSFICNNGPDCLEENQHSVSDLSPSPKSKDYFVHVFQWKVSDLNPLLHQLVHTCKNLLTGRADFENFAEEVAFALDWSINNCANSTNASIARDKIKKHFNSFLSVNENENQIDVDDKQSFRTPSDAHPDDKSDESNQHDFLEEIGKLKDDLRNTKSAKEDLEEKLLSVTNESENLKKQCHEAQNSIRSLESEIETLKESKAIIEEQIEKQMMINEDLDTQLTIAQAKLNAIFQKISSLEFELEDKKNSCEELEATCLELQLQLESIAKKESPTNGRCEVEKIYRTGWEITTASSKLAECQESIANLGKQLKALASSNEAALLEKVVSTTSSMAIPSQKKNLIKRSSLLNHMQAEDDVKEGMHKSVAKEESKIAEDAQQPPLIQSENGSVLQTDMTSKQNDRSNAKGHLAIVPRRKQGAFDFLRKLFLRRKKGRSRGTR >RHN81569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47115844:47116210:1 gene:gene5635 transcript:rna5635 gene_biotype:protein_coding transcript_biotype:protein_coding MCWFLVCRHTVSLLFLGRGPAVVGLLARLVVRWEYATFMIVYFSLIAWCLVWFWVLSWCFLCVTFFRRHLCLKVVFEGVFVRVSVLYRNRFPLLSFFFLFTLAY >RHN39293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5630394:5632063:-1 gene:gene45303 transcript:rna45303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amyrin synthase MHAKNYRLMIAMLHFHLKNEDGGWGLHVEGHNIMFCTVLNYICMRILGEGPNGGKENACAKARKWIHDHGGVTYVPSWGKIWLSILGIFDWRASNPMPPEFWMLPSFLLKHPARMLCYCRLVYMPMSYLYGKRFVGPITPLILKLREELLTEHYEKVNWQKVRHLCAKEDLYYPHPLIQDLIWDSLYIFMEPLLTHWPLNKLVREKALQVAMKHIHYEDKNSRYITIGCVEKVLCMLACWVEDPNGDAFKKHLARLPNYLWVSEDGMTLHSFGSQTWDASLIIEALLATNLTEDIGPTLAKGHEFIKKSQVCLILVIRTLSLLFVNFKNTHLLSINSCTLSLLI >RHN66904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21255296:21255742:1 gene:gene15022 transcript:rna15022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cystatin MQNGNLKFVKCLYFKMYSVVGELYHITLEAKDGENVNVYKAEVRERLYQQKGFLLTEFKLDGDASSVDSEKFPEFKSVLSSIFLNLKAKAASIWAAGFSQTEQSCKDLTITTKSKKLNPIDPPTINNLARFAVDQHNIKNKKVLYLVF >RHN79863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32954041:32954664:1 gene:gene3711 transcript:rna3711 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVCISNCVNDARDPRVPVRATYTNLYKWPESDAEFVRSVSLNGRKGSSDHPRVVDSISCRQMYLRSYTFSREEEKTQKCFGRVREKVDHDGKKKRNQSRRRNKCLVLSKMKEISCNTLFRMFHKFLSCGTSVDVVNQKQQH >RHN74445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33429771:33430053:1 gene:gene10519 transcript:rna10519 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFNLFLTLVVLLSANGESSSKGETFIVSSFSYPHTRLTPFNLRYIRVGIGFDLTCFIFKV >RHN82597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55050545:55053538:-1 gene:gene6772 transcript:rna6772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MAAAKGDWNKASSYDKTHPNWISTPLTMDGDTALHIAVRMEKIKFVEKLVKRTNKKDMEIRRVDGNTVFCLAAISGNVKIARILCEKNPELVWIKGHEEKLPIQLASSAGQLHMVEFLFQRIQQFNNINLLPFQDIVNLFFLTLTNNIYTASKSLLEKKPGLACIENEDGITALQLLAKSSFQKHASGYKDFLRSLFERIEEEEEEDQLVQSRKTSKAMFEAAKSGNIIILDFIFNYNPNLFMEVNSKGQSILHIAILYRKGSVYRLIFTKGSYKNVLVQHIDLEGNNILHLAGKFAVEERFGSPTHQALICSEELWFKEVEKITPPTFKNMKNHDGMTPIELFYEKHKRSSEKAVEEVNGISNTFIVVATLIITLGITGALTIRTNPVGPKSILFCDDIWYMIFILSIGVGVSFCASSVLLFTSVILPSTWRLTGGYVYSRITRMTIGYLFLYASALVMGLFSTMSGVVLVYDFLPGWVFYSIFPLCVMPAFAFVCFSYYSLYIAARLLRVFFQECAAMVLSIMGIDYNWPLFYLE >RHN43883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49191958:49199363:-1 gene:gene50517 transcript:rna50517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-mRNA-splicing factor SPF27 MMGEGRSSSSNSNSNGDVLMLEAPPSYGRSSHSDAEIIDALPYIDDDYSDPRVKIEVDRLVEEEMRRSSKKPADFLKDFPPLPSSNFHDYPMIGREYERVRAGRPPVSLDRSRYELEAPPVNKRNDETAWKLAIQRAQRLLQYQTIRMENLDLLFKHGPDAWKQHNQRLEVYLSRMQKLAQEQNDKIEKVNRERKYHQQNTAYELNALSMQWNELCQKNIDIKVVCASVETHLNELRREAAERGWNLDAITENGQLANSES >RHN60836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30778965:30783634:-1 gene:gene23221 transcript:rna23221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MAGVNQNGVAEFPAVQTHGGQFVQYNVFGNLFEVTAKYRPPIMPIGRGAYGIVCSLLNTETNELVAVKKIANAFDNHMDAKRTLREIKLLRHLDHENVIGLRDVIPPPLRREFNDVYITTELMDTDLHQIIRSNQNLSDEHCQYFLYQILRGLRYIHSANIIHRDLKPSNLLLNANCDLKIIDFGLARPTMESDFMTEYVVTRWYRAPELLLNSSDYTSAIDVWSVGCIFMELMNKKPLFPGKDHVHQMRLLTELLGTPTDADVGLVKNDDARRYIRQLPQYPRQPLNRVFPHVHPLAIDLVDKMLTIDPTRRITVEEALAHPYLEKLHDVADEPICMEPFSFEFEQQHLDEEQIKEMIYREALALNPEYA >RHN53910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5259157:5259928:-1 gene:gene28875 transcript:rna28875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative helitron helicase-like domain-containing protein MPNLKLRILGKQRRDGRRYNIPTASEVATLIVGDYDAADYERDKIIEQKIGIFKRVSVLNTAYLPLQYPLLFPRGEDGYRDDVSLKERYNKPSNKRKEVSIREFFSFRIQQREVERSTLLYSKRLLQQFSVNAYSMIESSQLRYAKTHQKELRVDMYNCLADAVFRGETNPSTA >RHN53671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3239482:3243475:1 gene:gene28602 transcript:rna28602 gene_biotype:protein_coding transcript_biotype:protein_coding MSIITYNHPCLNPLFLCNNSLYLCKMSIISIHLFSHRDLCL >RHN38677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1225872:1226144:-1 gene:gene44637 transcript:rna44637 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNIPIKIDDKSAGDVKEYKVEIEKDLEIKSVEKEKKHKDEDKDDEKKEKKDKEKKKEKKDKTDVEEKEHKKDKDKKKKVGKNHSFNG >RHN76718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:683937:685698:1 gene:gene88 transcript:rna88 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase-like ATPase domain-containing protein MTFLFCRVNVSNKKITIFDSGSGMDDSDENSIVKWGKMGASLHRQSKSQAIGGKPPYLMPCFGMFGYGGPIASMHLGRYTRVSSKTKHVKNVYELWLHREALLNNKSNSEGTWKTTGGIRAPQNGEVKSSKGSFTKVIYISRVWPICSLVCFQHFGPEK >RHN38553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:180894:184612:-1 gene:gene44506 transcript:rna44506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MLKIKILQAVLAGLTFLLGLLSNLVLRLQKQSQKPQKQQKLLQIKSELDSSSSSSTEMMVVDDDDMYGLSWRLAMETNNNVRPWKTIPLRCYKHVENYMIGGQYEHDMNLIVDEIMFYASQITLSTSTTTTTHQDAWVLDVDDTCISNIPYYKAKRFGCDPFDSAMFKAWINQGMCPANPVVLRLFKTLIEKGFKVFLVTGRYEGTLAKITTDNLHNQGFIGYQRLILRSMKYKGQSAVKYKSSIRKEIEEEGYRIWGNVGDQWTDLQGECLGNRTFKIPNPMYCIS >RHN49928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2371260:2377667:1 gene:gene34164 transcript:rna34164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MGGGEQKNVSINVKKKKNGSFKSIFMHADVLDWFLMVFGSFGAIGDGIMIPMVLLITSKIMNSIGGFSSQTSSNFLHNINKNAVIVLYLACASFFLCFLEGYCWTRTGERQAARMRVRYLKAVLRQEVAYFDLHGTSISEVITNVSSDSLIIQDVLSEKVPNFLKHVSKFIGNYIVAFALLWRLAIVGFPFVVLIVTPGFIYKRIMIRLARNIREEYNQAGTIAEQAISSIRTVYSFAGENKTISAFSDSLQGSVKLGLKQGLVKGLAIGSNAIVYIQWCFMSYYGSRMVMYHGAKGGTVFAVVQLMTFGGKAVGVSLSNVKYFSEASVAGERIMEMIKRVPKIDSENMEGEILEKVLGEVEFNHVEFVYPSRPESVILNDFCLKVPSGKTVALVGESGSGKSTVVSLLQRFYDPIGGEILLDGVAIHKLQLKWLRSQMGLVSQEPALFATSIKENILFGREDATYEDVVDAAKASNAHNFISLLPQGYDTQVGERGVQMSGGQKQRISIARAIIKNPRILLLDEATSALDFESERVVQEAFEKATVERTTIIIAHRLSTIRTADIIAIVQNGKIVETGSHESLMQNDSSLYTSLVRLQQTRNDQSDHTPPIMNRDHIQNTCSDTLVSRSSSFNLMTHGSGDVVNCNNVVVLDDENDDSSNNNKNIKSKKKVKVPSFRRLLAMNVPEWKQACLGFLNAVLSGAVEPMFSFAMGSTISVYFLNNHDEIKKQIRIYMLCFLGLALSSMVFNVLQHYCFAYMGEYLTKRVRERVFSKILTFEVGWFDEDQNSTGAICSRLDKETNVARTLVGDSLGTVVQTISAVVTTFIMGLIITWRLSIVMIAVQPIHMVCFYTRSSLLKRMSRKAIEAQDKSSKIAVEAVSNIRTITAFSSQDRILKILEKAQQGPSHENIRQSWFAGIGLACAQSLHSCIRAFHFWYGGKLVSQGYITTKALFETIMIWLSIGRVIVYVVNNMTNDLAKGFDVVGSVFAILDRYTKIEPENLEGYKVEKLIGKIEFHDVHFAYPSRPNAIIFQGFSIKINVGKSTALVGESGSGKSTIIGLIERFYDPIKGIVTIDGSDIKSYNLRSLRKHISLVSQEPTLFGGTIRENIAYGAYDKVDESEIIDAAKAANAHDFISSLKYGYETLCGDRGVQLSGGQKQRIAIARAILKNPKVLLLDEATSALDSQSEKLVQDALERVMIGRTSVVVAHRLSTIQNCDMIVVLDKGSVIEKGTHSSLLSKGPSGAYYSMVSLQRRPPNTIADTTHCTQEIN >RHN42438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38361841:38363772:1 gene:gene48880 transcript:rna48880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vinorine synthase MEIELISRETIKPSSPTPTHLRIYPLSFIDNIFFRLYVPVIFFYNPNECSDQNSKVSLLRKSLSQLLSKYYPFAGRLKDKITIECNDQGVSFLVTKIKNKLSEILKNPTDKILNPLFPDKLQWKDMDWSDTLIAVQINCFACGGMAISICMSHKIGDASTIFNFMNDWSIINQKLQEEEEDKGLLVLPFPLLDGGASVFPQRDLTIFPELVLTRKNNVVCKRFVFQPSMIKSLKAMATSSSMHSPPSRVQAVTAWIYKRAVSLMGLNFQTALFSMGVDLRKRMVPALSEKCVGNIVWFSSMVADKKEMELHELVSKIKEGLSQFGDFIPETFGAKENENLSLISECLKQVTEPHPENENLFTFSSWCRFPMYETNFGWGNPTWVTTFGCSSRNIIFLMDTKDGEGIEAIVNMEDNYMTKFEHEVELLRHASLNPSNVGHDDYVFSSQALSIPS >RHN43658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47516914:47523816:1 gene:gene50268 transcript:rna50268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA(adenine(34)) deaminase MSNNDLIVHIPDEEPHDLHNQPTVSVFASSIDPKHANQIVRRLNQIAPLENLRHVKRIQKKVLEGGQIQLSVVLCLAYEGGDQLYGVPSHLQELINSYQLSPFITKVCKYEATSKEEWQEQCKFWPTSYHPRTYSIEGITGFSKEDSQSVLKFMQSAVELATSDGLVVNAAVIVDPSAKQIVSTARDLVFAWNPCKDDSCYRKPDLFSAQSISNSLDPEKPLHLSSCNHLKQSYRSVACLYPWQWAEQQSHSQSSYYCHPLRHAAIVAIESSAARDRHLFPNNEGSREKYLELDHESPSTSSPLKRQKTVKDDKLNGHSQTSNHIEDGDKLNIHSQTSDLLSARPYLCTGYDIYLAWEPCTMCAMALVHQRIRRIFYAFPNPKTGALGSVHRLQGEKSLNHHYAVFRVLIPEEALHKCHT >RHN61320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34756996:34759185:1 gene:gene23772 transcript:rna23772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKEKEVENMNTILKFIFVVFLFLSIFLSAGNSKSYGPCTTLQDCETHNWFEVCSCIDFECKCWSLL >RHN57889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42428469:42429563:-1 gene:gene33480 transcript:rna33480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MQDTIDFTMFHFSILISFFMIMFCSGGELLSSESHSFFSFLKAIDSNNVLNISKISHPCLINGVRCNSNATNILEIRLDNMNLSGIFDADSLCRLQKLKVVSLANNNIKGTISFSILHCTRLVYLNVSNNQLSGRFPNKALTRLKYLTNLDVSMNNFSTSYMAPISIKLESNTIQPTPSPLTNKTPKNATSEIEIMVGLVLGIGLLLSSLYFMIKKSSKLMGEIEVKKNNLDSPMKKATSEGRLKGGDNNNSELVFFVEDHERFKLEDLLRATADLRSENFWSSLFKVKFENNVEYAVKRLKNLQVSCDEFREILKQISKVKHQNILSLVGYRSTKEEKLIIYKYQSNGSVLNLLNGKFKICKY >RHN51034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13256320:13261459:1 gene:gene35402 transcript:rna35402 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPYARVKPGRLSFKGGTLATTSKTIDKKKKKKKNKNPNPNPNLEDETLIDEVEKEKIVDGEESSEYTIDAAKKMKYDQLFPVEAKKFGYEPKAKVTSVEDALDDRVKKKADRYCK >RHN79969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33972806:33974681:-1 gene:gene3833 transcript:rna3833 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFFGDIVRFGIFCVKCWKTYTPKTISHLTPYSLFCQAGSGPRLSKQKLLHYLLEGTPAASSGEVIGDEVKDAVEDFKQNKSSPKWIKVNERRTLHDVLKEPNFIIPEIPVFYVVSKQSSFYSKFKDGKWAPPSV >RHN73534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17454036:17455131:-1 gene:gene9357 transcript:rna9357 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNTLLGLLTRLGRPTIVDCAEVVSFRCFSDHQFPSGGCRRCRRPFSVTASFGYFLDLKKGWRCSGL >RHN56009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26719528:26719917:-1 gene:gene31321 transcript:rna31321 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMKLLRELKSNISDFESRQKKATKPFTPHGFDGIVSKGAPHLYSQEELTQVQHLTSFYNNAIEEEKVKKRIVITSRKKKPRNRLIFNAKKGSKSKKASTSKNLSMSKRASTLPPPRVILALPANHRA >RHN52069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31297972:31299789:1 gene:gene36687 transcript:rna36687 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQFSPYWLYFRFEITSDASGTWYVIRDWLGISTAFQNDGLPHLDQFQGLIGRLGVIWFACIWKARNDKLFKTKEVCLENIVESVKRSCWNWLRFKTNSMEYNLSQWFVNSELVSAVWNHKCEVEVCFVHLEMGQTRINIIWIEFRSILQRQDRFRYFTGYQNASAFSSRVLFLCVEVPFLCNSGRLMYKSRIRFVGTVL >RHN71024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55862889:55863824:1 gene:gene19665 transcript:rna19665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosome biogenesis factor NIP7 MRPLDETETSVVFEKLFKFVGNNLKNLVENPSHEGPDSNPGRYCFRLNKNKIYYCSESLVKRATNIARPNLVSLGTCIGKYTHGGNFHLTVQALNLLAANAKHKVWLKPQSEMSFLYGNHVLKSALGRITENIVSGDGVVVFSMSDVPLGFGVAAKSTQDCRKLDPNGIVVLHQGDIGEYLRIEDEL >RHN46096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28459841:28462928:1 gene:gene40536 transcript:rna40536 gene_biotype:protein_coding transcript_biotype:protein_coding MMASQTSLEEKIVELENSKQIPQNSRTQIQRVAEYLKNRKDFEKHYSPKLVSIGPIHHGNTSNANLKLGEKYKLTWAAKYIENAGLNPCDLHKKIIDNIDELKGHFSDDVLTLAGKSLEGFGSLEEKLSWMLFVDGCSLLYILEKAKLDEPGHMNIKVDQLVLVMMDVLLLENQLPYVVLKLLWKDNNESELIKSMKMFLTRHPWATLESILELLTIISFSWEKLPTHLLDLQRNIILFTQSKSPKNLESHVRKMSYRSVQSLRAVGIRLKSTATRNPQSIEFAEGWFTAKLTLPEIVVDDRSAATILNLIAYEMCPDFENDYGICSFATFMDSLIDHPEDVKLLRSKGILLNSPWSDEEVANLFNSIRTNFIPDTEIYFKVRAKIDEHYCNRWKTWIGQGLNTYFSNPWAFIAFVAAFIALALTLIQTWFTVQPASK >RHN38724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1518684:1519097:-1 gene:gene44688 transcript:rna44688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoporin protein Ndc1-Nup MRVIKKHVCFCMQPYAWCSRIVASLIVCSHEVDKFGVAQLSGSNAAVVSTLISCLLAVENIMGKKTNLQLPNQLGSAGIKWAIANGRRLDTAAVFVKRKNRAVNSKAYEFYNFVKRQKLKIKVTKSGHRNKFLLRNF >RHN56527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31636568:31638398:1 gene:gene31938 transcript:rna31938 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFDSPADENPSEEEVDGPVFAQLQNFQNGDHIWMLLGVFLDGFNFIFAGLFAYGRLYGWKVVIVFVLYV >RHN51403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17289973:17290832:-1 gene:gene35833 transcript:rna35833 gene_biotype:protein_coding transcript_biotype:protein_coding MATASFQSTTIFTYGEVITVTKTIIIIIYKQNHSYKLTFSGSNKNFTPIANCREPHLATVYVNFTAVFLTSRHRITTSHVSHCHRISQSQILTFVKPLNWVTSQQQKR >RHN79559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30118024:30119735:1 gene:gene3370 transcript:rna3370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoinositide phospholipase C, Phosphatidylinositol diacylglycerol-lyase MGSHVSKQVERRKTIHTEKKTLTELKTSGEDYPGSEYHPSDRKNWMNGLNPEKVHINQIVWPGTHDSATNKIGFPLITRPFAQCQSLSIYRQLALGTRVIDIRVQEDRRVCHGILVTYSMDVVIEDVKKFLSETQSEIIILEVRTEFGHDDPPEFDKYLEEQLGEFLIHQDDHVFGKTISELLPKRVICVWKPSKSPQPKAGSSLWSAGFLKDNWINTDLPSTKFDGNLKHLSEQPPVASRKYFYRVENTVTPVADNPVLCVKPVTRRIHGYARLFIAQCFAKGYADRIQVFSTDFIDEDFVDACVGLTHARVEGKA >RHN80296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36943003:36943365:1 gene:gene4202 transcript:rna4202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSGSRGGAKNNGGHVQYRGVLYTPWGKFAAGVRDPNREGRKLWFGCFNTADEAARAYDRAAYNMSGSSAILNFPNEYNMASHAGSTSSSNVFAFEYLDLDNWLDLLEVVVDHAEKNNRGP >RHN62984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47285249:47287567:1 gene:gene25627 transcript:rna25627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MSQPQSPSFLCEEPNTFEDESLTDSETITNDPSATKLQSLSILFNNDMFWEDDEVLSLIMKEKETHLINDDFVIDGSLNESRVEGVNWVSRVCAHYGFSTLTTVLAVNYFDRFVTSLKFQKDKPWMTQLTAVACLSLAAKMEETHVPLLLDFQVEESRFVFEAKTIQRMELLVLSTLKWRMLPVTPISFFEHIVRRLGLKSRLHWEFMWRCEKVLLHVIVDSRVMMSYLPSTLAAATMIHVIKEIEPFNATEYIEQLLGLLKISEEQVNQCYKLMLKLLVCDDGIYSLHQKRKRVSEPSSPGGVIDASFSCDSSNDSWTAASSVSLSLQPMFKRSKAQDQQMRLPSVNRVSIDVLHSPR >RHN58370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1203442:1204458:-1 gene:gene20276 transcript:rna20276 gene_biotype:protein_coding transcript_biotype:protein_coding MKILPQQQLNGFVNMDQLLTLLFNDLTNEGLVKKSNKHKAVFLGDQELEGVHQFQSFIDQYNMDYISLNDMEKQSSILDGTVDFVFTSNFPASSQFIDRTLKTNGIAAVVILNAAAFHKPSNYKVAYMRRFQKVVMAMKKITTSPVKLGSQRKLLGYATEAKRAALQKLEDVLLEPPRAASGKSRVYLKRIKYLPDLMGDTLESYPRRVFIDVGLPQKDGGSGTDWFSKNYPTRNKNFEMYKIETVVESSPTAQVEMSDWLMKNVKDEEYVVMKAEAEVVEEMMRSKSIMLVDELFLECKPQGLNLKRGTRGKRAYWECLALYGKLRDEGVAVHQWWG >RHN61616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36851042:36852321:-1 gene:gene24099 transcript:rna24099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyketide synthase, type III, thiolase MGDEGIVRGVTKQVTAGKATILALGKAFPHQLVMQEYLVDGYFRDTNCDNPELKQKLARLCKTTTVKTRYVVMNEEILKKYPELAVEGASTVKQRLEICNEAVTQMAIEASQVCIKNWGGSLSDITHVVYVSSSEARLPGGDLYLSKGLGLNPTIRRTMLYFSGCSGGVAGLRVAKDIAENNPGSRVLLATSETTIIGFKPPSADRPYDLVGVALFGDGAGAMIIGSDPKLETEMPLFELHTSAQEFIPDTEKKIDGRLTEEGISFTLARELPQIIEDNVEGFCDRLMDAVELENKEYNKLFWAVHPGGPAILNRVEKRLELSPEKLNASRKALMDYGNASSNTIIYVLEYMLDESKKIRKEGGYPEWGLILAFGPGITFEGILARNLCP >RHN58410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1531650:1535712:1 gene:gene20319 transcript:rna20319 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSLFSFSSTLTTTTATPLPKFRFRVSSVMASTVTTTKVAPAVIVGGGRVGKALEGMGDGQDLLVKRGDSIPLDFEGPILVCTRNDDLDSVLQTTPLSRWKDLVFFQNGMLEPWLESKGLKDGNQVLAYFAVSKLGESPIDGKTDTNPEGLTAAYGKWSSAVSERLQAGGLSCKVLDKEAFQKQMLEKLIWICSVMLVGARHGGVSVGVVEKEFHLELCSLIAELASAAASEKGLTFEQAMEDRLCAYSRAVAHFPTAVKEFKWRNGWFYALSEKAIAQGKQDPCPLHTKWLKELKIV >RHN69337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42719520:42720420:-1 gene:gene17789 transcript:rna17789 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNIIYRGWCPSNSYNYQVHEVTEPMLRKRYDRPRNHKKGHVAFKTVLEEPITPPAVAETDIATTERVNSFQSVDTKADAFIKMEHRRIEFSRLKSLGLA >RHN63429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51230825:51233393:-1 gene:gene26127 transcript:rna26127 gene_biotype:protein_coding transcript_biotype:protein_coding MISALYDSNISPHNFHVFVSLFRKFIKLSLSLCFFFFFFILPPIFLLSLSLLPNKKNTVLHYYYLSSKSFPLFFLFHDSFPSFRSLIRSIAQASLKLSLSIIDSLSPSLVAMAIPATPLIPLSLVP >RHN79137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23855911:23867181:-1 gene:gene2856 transcript:rna2856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MMFVSQQWMLSLEILALVFLLGTTFSLAATRKTNKNIITNIFMSPKIELNPGFVSNKVYYDVEFPRGHISLKSFNAELVDDAGNSIPLYQTYLHHWIVIRYHQPKNVTPNSQSGIIFQRNNGFCQEDILGQYYGLGSETRSTNTYIPDPYGIEVGNPAEIPKGYVEKWLINVHAIDTRGVDDRVGCIECSCDLYNVTKDENGNALSPNYKGGLQCCPDNSKCKMRKGFLGPKKSIYLKYTVMWINWDVNFMVPVKVYIFDVTDTLKLSDKSKGISIKHDCKVEYEVEPCSKSNVNGRGCVDVKRASFPMKSGGYVIYGVGHLHTGAIGSTLYGQDGKVICNSNPKYGNGKEAGNEKGYVVGMTTCYPQPGSIKILNGETLILEVNYNNSRRHSGVMGLFYFLVAEKLPHQHV >RHN61438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35502979:35503236:-1 gene:gene23907 transcript:rna23907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPSIIKRASSSKGVDVPKGYLAVYVGEKMKRFVIPVSYLNQTSFQELLSQAEEQFEYDHPTGGLTIPCREDVFLEITSRLN >RHN74543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34559702:34565144:1 gene:gene10631 transcript:rna10631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MVEPSSLLFFFTLHLLVTVVISGEFTIVNNCNYTVWPASSTTTSGNATLATTGFILKPGENSTITAPPDWAGRFWGRTLCTTNSISRNFSCVTGDCGSGKVACDGRNGSSPMTIAEFSLDSVKNQDFYDISLVDGYNIPIKVIPLGGSGKCNSTGCPTDLNAVCPTELKVTNNGTVVACHGPCAAFNLQFFCCVGNHSTPNTCEPSVYAQIFKTTCPQAYSYVYDNKTSTFACPATDYHVVFCPVTNNTSLESLDQGVSVSVGESLTAGNETTRWLTPSGDFAFGFYQLPDDLFLLAIWYDKIQDDTIIWYANGNNPAPKGSRLVLNDSRGLVLTNPQGLQLWRSDFTGTISNGLMNDDGNFQLRDKSNVTIWDSFSHPTDTLVPNQVMELNGNLFSRQGALNFSHGRFKFHLQEDGNLVLNVINLPSNYSYDPYYKSGTSDDENQTNAGQRLIFDKSGFLYIEKIGGNNFSIFNLNVRFSTDEFYYKATINYDGVFTISVYPKDPKRGQRWVIAKTIPENICLYSTFRGEGVCGFNSICTITNDQRPNCTCPDEYSPIDSNNMYAGCIPNFQVICQAGGNLGPQDNLYTMKDLLNTDWPASDYEFRIPSNLQECKESCLQDCLCVLVHFDQGSCWKKKLPLSYGRNDPAVKGISIMKLMKSDHLSSLSKEKKEHDTLVIVISVLLGSSMFVILTLLGVIFFGFPYNRKKNKSGRSNESFVDNNLRRFSFKEIVEATRNFKEELGRGSCSIVYKGTIEIMINVAVKKLDKLIQDSDKEFKTEMSVIAQTLHRNLVRLLGYCNEGQHRILVYEFMSNGTLASFLFTSLKPNWNQRVHIILGIARGLVYLHEGCCTQIIHCDIKPQNILLDDQYNARISDFGLSKLLLINQSHTETGIRGTKGYVAPDWFRSAPITSKVDTYSFGVLLLEIICCRKNVEREFFTEEKGILTDWAYDCYKTKRLDGLLENDNEAGNDMMCLEKFVMIAIWCIQEDPSLRPTMKNVLLMLEGIVEVAVPPSPYLYGSVSCN >RHN55278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16735589:16742386:1 gene:gene30430 transcript:rna30430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MELEVAQMTRWEGYVDWRNKPALRGRHGGMVAASFVLVVEILENLAYLANASNLVLYLKEYMHMSPSKSANNVTNFMGTSFLLALLGGFLSDAFLTTYHVYLISAVIELIGLIILTIQAHVPSLKPTKCNESTPCEEVNGGKAAMLFAGLYLVALGVGGIKGSLPVHGGEQFDEATPNGRKQRSTFFNYFVFCLSCGALIAVTFVVWVEDNKGWEWGFAISTITIFVSIPVFLAGSTTYRNKVPSGSPLTTILKVLIAATLNSCCTNKNSCSAVVNMSSSPSNPSTQAKEQELHQTLKPTTPSQIPSESLKFLNKAITNKPIHSSLQCTIQQLEDVKLVFKIFPIFACTIMLNACLAQLSTFSVEQAATMNTTLFSSFKVPPASLPVFPVLFLMILAPIYDHIIIPYARKVTKSEAGITHLQRIGIGLILSIVAMAIAAIVEIKRQKIASHSNEKNPLPISFLWIGFQYLFLGSADLFTLAGLLEFFFSEAPSSMRSLATSLSWASLAMGYYLSSVIVSIVNSVTGNGSHKPWLSGSNLDNYHLERFYWLMCVLSGLNFLHYMYWATRYKYRGRGNIDES >RHN48270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46199467:46209527:1 gene:gene42969 transcript:rna42969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MEGGGSFRIGNSSIWRNSDAAEIFSNSFHQEDDEESLKWAAIQKLPTFERLRKGLLTSLQGEATEVDVEKLGLQERKDLLERLVRLAEEDNEKFLLKLKDRMDRVGIDLPTIEVRFERLNINAEARVGSRSLPTFTNFMVNIVEGMLNSLHVLPSRKQHLNILKDVSGIIKPSRMTLLLGPPSSGKTTLLLALAGKLDQKLKVSGKVTYNGHEMSEFVPQRTAAYVDQNDLHIGEMTVRETLAFSARVQGVGPRYDLLAELSRREKDANIKPDPDIDVYMKAVATEGQKENLITDYVLRVLGLEICADTVVGNAMIRAISGGQKKRLTTGEMLVGPTKALFMDEISTGLDSSTTFQIVNSMRQYVHILKGTVVISLLQPPPETYNLFDDIILLSDSHIIYQGPREHVLEFFESIGFKCPNRKGVADFLQEVTSRKDQEQYWEHKDRPYRFITAEEFSEAFQTFHVGRRLGDELGTEFDKSKSHPAALTTKKYGVGKIELLKACSSREYLLMKRNSFVYIFKLCQLAIMAMIAMTIFLRTEMHRDSVAHGDIYVGALFYGCIVILFIGVAELSMVVSRLPVFYKQRGYLFFPPWAYALPAWILKIPLTFVEVAVWVILTYYVIGFDPYIGRFFRQYLILVLVHQMATALFRFIAAVGRDMTVTLTLGSFALAILFSMSGFVLTKGSTKKWWIWGFWISPLMYGQNAVVINEFLGNKWKHVLPNSTGSLGVEVLKSRSFFTETYWYWICVGALIGYTLLFNFGYILALTFLNPLGKHKTVIREDSFSQHSNRLRNGESRSGSISPSTLSDRQETVGVETNHRRKRGMVLPFEPHSITFDEVSYSVDMPQEMRNRGVIEDKLVLLKGLSGAFRPGVLTALMGVTGAGKTTLMDVLSGRKTGGYIGGNITISGYPKKQETFARISGYCEQTDIHSPHVTVYESLLYSAWLRLSPDINAETRKMFIEEVMELVELKPLRYALVGLPGVSGLSTEQRKRLTVAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFESFDELLLLKQGGQEIYVGPLGHNSSNLINYFEGVQGVSKIKDGYNPATWMLEVTTSSKEVELRIDYAEVYKNSELYRRNKALIKELSAPAPCSKDLYFPSRYSRSFFTQCIACLWKQHWSYWRNPEYNAIRFLYSTAVAVLLGSMFWNLGSKIEKDQDLFNAMGSMYAAVILIGAMNSNSVQPVVVIELPYVFVQAVVYGIVVYVMIGFEWTLVKVVWCLFFMYFTFLYFTFYGMMSVAMTPNNHISIIVSSAFYSVWNLFSGFVVPRPSIPVWWRWYSWANPVAWSLYGLVTSQYGDVKQNIETSDGRQTVEDFLRNYFGFKHDFLGVVALVNIAFPIVFALVFAIAIKMFNFQRR >RHN75991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46826327:46826641:1 gene:gene12260 transcript:rna12260 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKCFSLLAIFFLLSLNSLQTTIGGRIIPPSAPSTVTRPLVSSEVASFVMPNQDHKQKVFQLGREVKGCLPKGARHSSAPSRFVNFKPEGSGGCSKMHSGKP >RHN82009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50552980:50554295:1 gene:gene6125 transcript:rna6125 gene_biotype:protein_coding transcript_biotype:protein_coding MILSSIQNQNLFVILILLVVQSSVALKMKIHPVPRKRNITIQFGTDAGNPSSEAQTLLGISGKKLRRLPHVFSRVLELPFRSDADVAVEEAADCFRFVAETEGIGEVRAHTVEIHPGVTKIVVRDGESLELSLDQLELDMWRFRLPDSTLPELASAVFVDGELIVTVPKGHEVQNVEGGGGMGGGGGGRLVLVQ >RHN55682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21067704:21072938:1 gene:gene30902 transcript:rna30902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptidase B MDGLQRLCERTSGVQYFVEHHSSLFYILTNAPLPDGQWSGEEYYLVRCRVEDIESPKLQTIILPDKDTSLCDMDIFNGHLVLFLNKKGLPLLCSLNLPFEIDFKNQVYIQDLKPWYFPLPLNTCSSVPGSNHDFLNTVYRVVLSSPVMPDVIADYDMSRQTYSIVHQEEVNCDSAGQSRIPPFELINNPIQEACIESKESASHSDSQRWKDFSEVYCCKREEVVSHDGVKVPLTIVYSREFWQKGQSPGILVGYGAYGEDLDKSWCSDRLSLLDRGWVVAFADVRGGGGGGRSWHKSGSGLNKHNSISDFVSCGNYLVNEGYIRKDHLGAVGWSAGCLLVGATINMYPQLFRAAILKVPFLDVCNTLLDPSLPLTILDYEEFGNPQIQSNFDSIFSFSPYDNIPQDCCFPSVMVTSAVNDSRQSHSSKSL >RHN75885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45897169:45897438:1 gene:gene12143 transcript:rna12143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MENDTNTQISSLPFSQSPTSSQPPMAPQVVMSPCAACKILRRRCAEKCVLAPYFPPTEPSKFTTAHRVFGASNIIKFLQVVLLYITGPH >RHN77652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8444528:8450155:-1 gene:gene1126 transcript:rna1126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain, 26S proteasome regulatory subunit MTQDEEMKDLPTPSQSIPSTLQHLKEIASIIETGSNLKEVRKISRAVRLTLALRSKLTAPVLSSFLHYVLPPHSTLSSYLPNSKGGDHEMEVDTATSAAIQTPAKHLLPELEIYCYFLVLLFLIDQKRYNEAKACSSASIDRLKNLNRRTVDVIASRLYFYYSYSYELTGDLAEIRGTLFQLHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAAQGFRIQCNKWAIIVRLLLGEIPERTIFVQKGMEKALRPYFELTNAVRIGDLELFRNVAEKYATTFNTDGTNNLIVRLRHNVIRTGLRNISISYSRISLADVAQKLRLSSADPIADAESIISKAIRDGAIDATLDHANGWMVSKEIGDIYSTNEPQLAFNSRIAFCLNMHNEAVQALRFPKNTHKEKEIAEKRRERQQQEQELAKHIEEEDDDDDF >RHN72909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11765947:11766483:-1 gene:gene8675 transcript:rna8675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like jelly roll MLGNSVCKDPKLVEANDFLFSGLHIAGNTTNVVGSRVTPVFASQLRGLNTLGISMACVDIAPWGVNSPHSHPRATEICTVLEGTLEVGFITSNPENRHFCKVLHKGDVFVFLPIGLIHYQRNIGYNNVVAIAALSSQNPGAITIGNAVFGATPEIASEVLIMAFQLDKNAINYLQSKF >RHN73371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15744277:15748941:1 gene:gene9173 transcript:rna9173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MEMVSAFSVTSPSQSQAHEVHQLSCPTHQLRHPSLHLLQDKNKYLDQCVPLYKKALEGDWNASKGMIDRDIELLNAAITKDYGTLLHVAAETNHTHFVEELVKLLDSKDLELQNNKGNTTLCLAAVSGNLQIVVILREKNGCLPHIRGAKGMTPLTMAAFYGRNDIARYLYNHTREILEEEEWNALFFLCINNDLYGMKSTSPFLELVRCLWDILLNHGCTETHRTIINQPSKITFDATKVGNFHFVAELLRSEPDLIRDVDDKNRSIFHIAVQHCHSSIFSLIHELGSFKDSIIDLEDDERNNILHYAAKLAPPSQLNLISGAALQMTHEILWFEEVKELMSPIEIKKKNSNGKTPDEIFAEEHKELLTKAESWIESITNYCILISTLIFTGVFTATFNIPGGFNKNTGTPNYLQKQAFLIFAVSNATALISSSISMLIFLSIIISSYAEYEYFKSLPSKLLCGLIAQIISITSMMIAFSVSFFITYFHGLMSWVPYFISVFAFIPIVLFKVLVFPLWSDIIRSSYFCMSLFQPRKKMLN >RHN60328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25296545:25298073:1 gene:gene22627 transcript:rna22627 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGSLCQDKYKGDSIVQMIFDDSLSINGHTFTFDFVVDVETSQVLFAVLVGAAFC >RHN65354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1398114:1399206:-1 gene:gene13182 transcript:rna13182 gene_biotype:protein_coding transcript_biotype:protein_coding MYILSAAPPRAAPIRNAAPPTRIDGLRPKALVIDDAKKDAKRPAIYSDDVNVVRSWLSNLQ >RHN76904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2235815:2236335:-1 gene:gene293 transcript:rna293 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPTLDNLQALHKNSVADEIHVGYHTAAENAVAEDEAEDYKKDSDIEVVDEDEDCKVDYDGKTAVEDDDEDRKVDIEVVDMDEDCTVFDSQHFHSHLAGLLNWRRGLTVSDRLR >RHN78180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12510867:12515287:-1 gene:gene1709 transcript:rna1709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPPDE putative peptidase domain-containing protein MKSGFKNGWPSIVRLRLKDKSVTPFCIFSKVKSAGNIPGNTPVYLNVYDLTTVNGYMYWAGVGIFHTGVEVYGVEYAFGAHDYPSSGVFEVEPRQCPGFKFRKSIFMGTTNLDPFQIREFMENQSAKYNGDSYHLIVKNCNHFCEDICYKLTGNSIPKWVNRLARIGSCCNCILPEALKTSTVQHDPDFQGCDSEKKRLRTAFSCLSSISMPQMEVSMPSLFLHSHYKGCLPPWQLKKSKKGSLKAK >RHN61262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34284196:34288672:1 gene:gene23703 transcript:rna23703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MSEGKIVEAKAQKNLQDFDPQKKPKRNKYAFACAMLASMTSILLGYDIGVMSGAAIYIKRDLKVSDGKIEVLLGIINIYSLIGSCLAGRTSDWIGRRYTIVFAGAIFFVGALLMGFSPNYNFLMFGRFVAGVGIGYALMIAPVYTAEVSPASSRGFLTSFPEVFINSGILLGYISNYAFSKLSLKLGWRMMLGVGAIPSVILAVGVLAMPESPRWLVMRGRLGDAIKVLNKTSDSKEEAQLRLAEIKQAAGIPEDCNDDVVEVKVKNTGEGVWKELFLYPTPAVRHIVIAALGIHFFQQASGVDAVVLYSPTIFKKAGINGDTHLLIATIAVGFVKTLFILVATFMLDRYGRRPLLLTSVGGMVVSLLTLAVSLTIIDHSNTKLNWAIGLSIATVLSYVATFSIGAGPITWVYSSEIFPLRLRAQGAACGVVVNRVTSGVISMTFLSLSKGITIGGAFFLFGGIATIGWIFFYIMLPETQGKTLEEMEASFGKIWRKSKNTKEAEKDNAQVAQVQLGTNVST >RHN64105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56605259:56607661:-1 gene:gene26887 transcript:rna26887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MMYRLRIHLSAPDEGLNENGSDLIVFDYLTIKLATSYFSKENKVGEGGFGAVYKGKLGNGVEIAVKRLSKTSKQGLEEFKNEITLTAKLQHVNLVRLLGYCTKRNEKLLIYEYLPNKSLDHFLIDPRKSILLDWKRRVNIIEGITQGLLYLQEYSNFTIIHRDIKASNVLLDHEMNPKISDFGMAKLFEKYELEANTSRIVGTYGYVPPEYVRKGIYSPKYDVYSFGVLLLQIISGKRTSRYYGPHENMNLLEYAYELWMEGRGMEFVDPSLDDSTSHCKIMRCMQVALLCVQENSEDRPSMLEVDSLLKNESAYVGTPNVPAFSMKKLEEDKVDTLKSGFKFSSINDVTISQMLPR >RHN60686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29419986:29421155:-1 gene:gene23037 transcript:rna23037 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHFITLLVLYLVLLLNSLDTNMAARIIPSSAPSTVTRPLFGETNYLKPHLDHKQKFFQGRQVKNCLPKGYRHNSAPSRFVNYDTLGGCSGIHSDKP >RHN60699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29649623:29650051:-1 gene:gene23054 transcript:rna23054 gene_biotype:protein_coding transcript_biotype:protein_coding METGLPALPHLHPEKISYTGKSKHEEECFYYEPCYCPLSGCDFVASSEVLSNHFSFKHRDSQIKFSYGHSFIVSLKSKVETIVLQEENDGKLFILNNSTMSLGNAVNICCIGHNSFESKYSYDILARSEICKLKLQSFVKYF >RHN44632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7013106:7016224:1 gene:gene38773 transcript:rna38773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MVSALSQVIGTSSTNHNNPHMAQSTSTTMVNEDSQQPQPLIDQETKKKPHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAALAYDKAAFKFKGTKAKLNFPERVVQCNSYSSSANSAIQQSGSVSNSHDQQVFPNLFQYAQILESDAEFPYYASHLFNQQQEGDPHPPDE >RHN40103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13318378:13319609:-1 gene:gene46206 transcript:rna46206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAKDLPKVLVLGPPTCFSTFESLYSHKFNFLNPKPSGLSLQQFILSHNHNPSTIPAIFCSAAYSITTDVLNLLPSLSLVVTSSVGTDHIDLNECRRRGIQVAGAGGVFSEDVADVAVALLIAVMRKVTVANRYVRTRRERSDPWDFPLGYKLSGKRVGIVGLGSIGMEVAKRLESFDCIISYNSKHQKTSISYPFYSNVIDLATSSDALVVCCALNNQTRHIVNKDVLLALGKEGFIVNVGRGGLIDEKQLIKCLMEGEIAGAGLDVFENEPHVDEKLFAMDNVVLSPHSAAFTVESTTSLCELVAGNLEAFFLNKPLISPVMLVE >RHN64296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57999357:58000175:1 gene:gene27099 transcript:rna27099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNSNMDNEREIENNYALSGKIMLTAIIILFAVIIIMLCLHFLIRYYLLRDRTRQHLRRQPFIFYVDPSAPASLTLAVSRGLDASVISSLPVFNFSSNTDQAVECAVCLSEFEDGETGRVLPKCNHSFHIDCIDMWFQSHSTCPLCRAPVEAAPVQATRQEVTIDMCEPELVLGSSSGEEMNRSVSEFSSSCSSSCSDEKQSSSVDITVEIPRRSESDCKSFAVGESSCDSPSSFRSPMSRVLSFTRILSRERKPSISPSLDCAERGEREETR >RHN60185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22761473:22763399:-1 gene:gene22436 transcript:rna22436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative equilibrative nucleoside transporter MHITKSSSEKYHPSRVLTIVYQPFVFATQAILAYNEAKISTRIRNLFGYTVVFFGILALLILDLATSGKGGIGTFIGICIVSGVFGIADAHVQGGMVGDLSYMLPEFIQVSITIVITTMRFKSYFQHIHLIMILLLQSFLAGSAASGALTSALRLITKAVFENSPGGLRKGAILFFAISSFFELLCVILYAFIFPKLPIVKYYRSKAASEGSKTVTADLAAAGIKTSPGIDMEDSKQQDRKGIKQLLSENIDYAVDLFLIYVLTLSIFPGFLSEDTGSHSLGTWYALVLIATFNVFDLIARYIPLVKILKMESRKMITTAVISRFLLIPAFYFTAKYGKQGWMIFLTSFLGLSNGYLTICVFTSAPKGYKGPEQNALGNMLMLCLLGGLFVGVTLDWLWLIGKGW >RHN53240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:320213:320680:-1 gene:gene28130 transcript:rna28130 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHKLNLTIIHLTDKVVFSERKEDQPPTSRKRPAFKEKKAPVDSGDVNLAAKGQFNHRPERTERKEERSSNPYHLDRPEKQFADDKAPYKDKNWESQVLRQEKGIGAMLAMTIPWEEINLLEGKDIFLVKLKLRSGNVICIKTLIRTPFHRSSK >RHN76341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49496492:49508953:1 gene:gene12650 transcript:rna12650 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTQLTRHIESYVDSSSTPSHQVASLDAIVLLIKTNALTLEALVRELDMYLTSTDTLIRSRGILLLAEVLTRINSISLDSKTIHSLVGFFKERMADWKAVRGALVGCLALIRRKSVVGMVTGSDAKSITQSFLQHLQVQSLGLYDRKLCFELLDYLLEHHVDSIASLEEDLIFGICEAIDAEKDPECLMLAFHIVESLARLYPDPSGLLASFARDVFDLLEPYFPIHFTHQTSGDTHVQRDDLSRTLMSAFASTPVFEPFVIPLLLQKLSSSLHSAKIDSLQYLRVCSSKYGAERIAKYTGAIWSSLKDTLYTYLGEPDFSFTLAPTDGINFPKSEVVVEALSLLQQLIVQNSSQLVSLIIDDEDVNFITNSIASYEMYDTISVQEKKKLHAIGRILYISAKTSIPSCNAVFQSLFLRMMDKLGFSASNIDGLQNGGILASQSVNFGFLYLCIELLSGCRELVILSDEKRETYCTILHSSSAVLFNAFGSVLAVTADRCPSHPDIYIGVKGLQILAMFHLDVFPIPKSTFENILKKFMSIIIEDFGQTVLWNATLKALFHIGSFVQKFSESEKAMSYRSFVVDKIMEMLSLDDIALPFSLKVEALYDIGMTGMKNMLTILQAMEGAIFTNLSEVHSNLTSHETAVQLLECYSCKLLPWILKNGGAEEFVVQFAVDIWNQAGNCMDFNSPFEDKGLLDAMMKAMKVSVGCCSVESQNVTIQKAYSTLSSHTKFQLNDVGRLPLTSGKYDISPRDEGILLLFASVIIALRPKTHIPNIRGLLHLFIITLLKGVVPVAQALGSMLNKLTSKSNGAEKSDELTLEEALDIIFNTKIWFSSNNMLQIYNGSSNGSDIVLTDLCLGITNDRLLQSNAICGLSWIGKGLLLRGHEKIKDITMIFTECLISDRRKTSVPLVEGSLENTEKQKCDPLARKCATEAFHVLMSDAEDCLNRKFHATVRPLYKQRFFSSMMPIFLQLISRSDSLLSRSLLLRAFAHVMSDTPLIVILNEAKKLIPVLLDCLFMLTEDIQDKDILYGLLLVLSGMLTEKNGQEAVIENAHIIINGLIKLVDYPHKTLVRETAIQCLVALSELPHVRIYPLRTQVLQAIFKCLDDTKRSVRNEAVKCRQAWASIASSRSLRF >RHN67072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23459372:23463524:-1 gene:gene15211 transcript:rna15211 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQNQNNTPDGSGDVPLKRKRGRPRKYPRPDSVESPYMPTPSKKQTPVRVEPAPPPGLQTVNGNQHLQRVQVRESNDVMVGQAVSGVIEAVFDAGYLLSVRVGNSDTTLKGLVFKSGHFVPVCPENDVAPGIPMIQRTEVPFPSRPNQFQTPLPKEKNGQPVSASRIETLPVNGSQSAPLVPRGAVSSSNLAAAPRMSVPSVTGQITDQLARGNVPVLFQPNFSNGMPVSTPPLQQVAPISVASGVIVGKEIPVDGNQTLTPPAQTSSQNLFPSSVQSEGVIPSNYQSSSDVLNKIEDNSLPVASMTLEKMDVMDTKTGNTMPGDNTVAKDPSPMQVDKANDVGQPVLNQPLQAVQFHAEENSASAPKVSDCTETGKMTELLQALQNNKTENQESKAAEAGSGDKLDDIRSFGTGLQDAGTVNSTNPF >RHN58153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44140518:44141019:1 gene:gene33766 transcript:rna33766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PROCT domain-containing protein MIPDNGPWNYNFLGVRHASGMKYGLKLGTPREYYHEDHRPTHFLEFTNMEEGETISEGDREDTFLECVWNRGFNMRSKP >RHN82484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54169798:54170963:1 gene:gene6653 transcript:rna6653 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGSWVDPFGGYIRAVGPFFFHFSFSFLSLPHRFSLFFSVLSLTLFFSGGVGWGFRRDSGAVAGEPSRLRRRAGAKKTPPFLFFRSFSSSFPPLRDTKPKNPKTFTQTSRSKKKEIYTFLFFC >RHN78652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17194037:17195507:1 gene:gene2286 transcript:rna2286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amine oxidase, FAD/NAD(P)-binding domain-containing protein MLVVTLLRMQQIKLLITKQVNYPNIMELFDSLEVDKKLSYLSTSVSLDNGKGYEWGTQNGLSSLFAQKKNVINPYFWKMIKEVSKFKEDVLSYLDIVETNQDIEHNETMEHFIKSRGYSELFQKAYLIPLCCSIWPWPCSSPEGVMSFSSFSVLTFLSNHHLLQLIYSPQCKIVRWNSQNFIKKVKEKLASENCQIKVNCEVHLVSTSDKGCVVLCKDGSEEMYDSCIIAVHAPDVLKILGDEATSDECRILGAFQYVYW >RHN60612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28827864:28833215:-1 gene:gene22952 transcript:rna22952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase C MEKLLRFPIVLLFLSSFFLLQNVTAFKNQDGSEEWGYVQVRPKAHMFWWRYKSPYRVEDSSKPWPIILWLQGGPGASGIGIGNFEEVGPLDTSLKPRNSTWLLKGDLLFVDNPVGTGYSFVEDEKLFVKTDVEAATDLTTLLIKLFNKDENLQKSPLYIVAESYGGKFAVTLGLSALKAIEDKKLKLTLGGVALGDSWISPEDFVLSWGPLLKDVSRLDDNGLEKSNSIAQRIKKQLEDGKFNDATDSWGELENEISISSNNVDFYNFLVDAGSGSDISAMAVGLLKERSRKRYSKYLTSLRTRSSSPGGDDALGTLLNGVIKKKLKIIPENVTWGGQSNEVFTYLEADFMKPRIDEVDQLLAKGVNVTIYNGQVDLICATKGTEAWVRKLKWEGLKSFLGKDRTPLYCGSNKSTKGFFKSYKNLQFYWILGAGHFVPNDQPCVSLQMIASIIQSPAT >RHN49861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1770936:1771788:1 gene:gene34085 transcript:rna34085 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHGDISNVSTYNTQNTNMSLQQGISGGANISKPGYSSSSPLMFGIDGKAVEVNPNIGDAILHGVDSNLSNAFNNGGSSSGIIDMSFHGDISSVPYNTQNTNMSLQQGISWGANISKPRYSSSSPLMFGADGKVAGVNPNIGDAYVTPITNVEPNSRSVNGAILDHTKTSSNELLSRNFSFPEESSHASLFLGSSSSPSLTGVLDDYPRPPPFLNFDDDNLLEILEKVRNCLTPLSIKFWFVPECF >RHN56276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29431189:29431771:1 gene:gene31648 transcript:rna31648 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLFSFGTTYRKVARVEGRGRPSLKSISNSDEEKNASFEVDEGTGVPSPKPQPLENIWIDEASPKSGSPVTEPQQPLTDEEDLSYMNSHLTYEQMLENLKKLDERMLAESESESDDNGMQCNCDFNNCTCLKSYREYLAHDYADYADELDFRVR >RHN73689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18899118:18900287:1 gene:gene9536 transcript:rna9536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MACSSIPIMAAVVTPATTTLVHHSFLNQRSFSTGLNKCWIGPRFSELKMAATVIPTTYDDDSSDLSRSSFPKGFVFGTASSAYQYEGAVNEDGRGKSNWDKIAHEPGIYSLSFYN >RHN81357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45179745:45182746:1 gene:gene5379 transcript:rna5379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucomannan 4-beta-mannosyltransferase MDKLSSTTRFESVHYGIVNQMNFIWQQARVPLIVPVLKLLVVLCLTMSVMLFVERVYMGIVIVFLKLFGHKPEKHYKWEALKDDDIELGNSAFPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPIIKNMVEIECERWRRKGINIKYEIRENRNGYKAGALKEGMKHSYVKLCDYVAIFDADFQPESNFLYRTIPFLDQNPQVGLVQARWQFVNADECLMTRMQEMSLDYHFLVEQEVGSITHAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFVYLSDLKVKSELPSTFKAYRYQQHRWSCGPANLFRKMAMEIIRNKKVTMLKKFYVIYSFFFVRKIIAHVVTFTFYCVILPATVLVPEVEVPKWGAVYIPCIITLLNAVGTPRSFHLVILWILFENVMSMHRTKATLIGLFEAGRVNEWVVTEKLGDALKIKSGGKAARKPRITIDGRIHFLELGVGAYLFLCGCYDLAFGKNQCYIYLFLQSIAFFVVGVGYVGIYVPRS >RHN65864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5977009:5977294:-1 gene:gene13756 transcript:rna13756 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMGGRKCLRFYFSGLGEVLTSCLHTHLRDQTPCSSLSKKAFCVFD >RHN73790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19903958:19905073:-1 gene:gene9654 transcript:rna9654 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLHSTYYYLQHSIEYNHIILIHTPKGRFLFFFFERKREVPIKGGKLFNKKIL >RHN82209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51978273:51979059:-1 gene:gene6351 transcript:rna6351 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIKCEEGLRKQKIKEVDVDNKKYKKRSKLAMSGVNGKGHEERDVASLI >RHN65701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4527739:4530138:-1 gene:gene13574 transcript:rna13574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEDLAVTVLEKLSSAAYKDLQIFWNLKDDNERMKNTVSMIKAVFLDAESKANNHQVSNWLENMKDVLYDADDLLDDFSIEASRRKVMAGNNRVRRIQAFFSKSNKIACGIKLGYRMKAIQKRLDDIAKTKHDLQLNDRPMENPIAYREQRQTYSFVSKDEVIGRDEEKKCIKSYLLDDNATNNVSIIPIVGIGGLGKTALAQLVYNDNDVQSHFELKMWVHVSDKFDIKKISWDIIGDEKNSQMDQVQQQLRNKIKEKKFLLVLDDMWNVDRELWLQLKHMLMEGGKGSMIIVTTRSQTVADITHTHRPLLLEGLDSEKSQELFFRVAFGELKEQNDLELLAIGRDIVKKCAGIPLAIRTIGSLLFSRNLGRSDWQYFKDAEFSKMDQHKDNIFSILKLSYDHLPSFLKKCFAYCSLFPKGFMFEKKTLIQLWVAEGFIQQSNDVRRVEDVGHEYFMSLLSMSFFRDVTIDDCGGISTCKMHDIMHYLAQVVTGDEYVVVEGEELNIENKTRYLSSRRGIRLSPTSSSSYKLRTFHVVSPQMNASNRLLQSDVFSFSGLKFLRVLTLCGLNIEEIPNSIEEMKHLRYIDLSRNNVLKNLPPTITSLLNLQTLKLADCSKLEILPENLNRSLRHLELNGCERLRCMPRGLGQLTDLQTLTLFVLNSGSTSVNELARLNNLRGRLELKGLNFLRNNAAEIESAKVLVEKRHLQHLELRWNHVDQNEIMEEDEIILQGLQPHHHSLRKLVIDGFCGSRLPDWIWNLSSLLTLEIHNCNSLTLLPEVCNLVSLKTFAFLTAYH >RHN66580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14226017:14233578:-1 gene:gene14593 transcript:rna14593 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRAGDSRVVLSRTVPPLTKGNRRWSMLGGLRRRMLQRLCASIVVRKATRATPVLRKSRSVSGVVRKVML >RHN52733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38251659:38252662:1 gene:gene37435 transcript:rna37435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MKASQIAICTFLVLLLINVQVSMAVTCSPVQLSSCVSAITSSTPPSNLCCSKIKEQRPCLCQYMKNPNLRKFVNTPNARKVANTCGTPFPRC >RHN63985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55663957:55664997:-1 gene:gene26754 transcript:rna26754 gene_biotype:protein_coding transcript_biotype:protein_coding MNERFGREIHVFETSSLSPSQNSTTNKGNSKLALTVFKPSDKRAFIISNETDEFYELTDNDYYKLLSTKKEDKVLKTRNLREAEVAACRPRITKAIIRVRFPDNHTLEATFNPSETIQSLVDLLNKVIAQPEKPFDLYTTTPKKKLIKDVSQDFYTAGFCPGAIVYFSYDVSKVGNAF >RHN47653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41283105:41290635:-1 gene:gene42275 transcript:rna42275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MASFPPPGSLTLCELNRELITVDALSDDRANQTYGKLLGLVFSPVPFQPPPPPLETDDISEQKTTETTTATASERLPRKEPVAIFQGVVRDFLRRLLYPNDVRLLPEVNLQGVSWHLNKHIIAFISGPEQVLVHDYEDSDGKDSIILTNESQRDVNVLEWRPNGGRMLAVGSKSGICLWSASYPGNAASARSGTISFVGSLSRGSGIRYLLVDFLRSQNDEHVSALTWSPDGRYPYKCQIIFSSASYERSSFTVWDVAQGVGTPIRRGLGGISMLKWSPTGDYFFASKFDGTFYLWETNTWTSEQWSSSSGFVKCATWDPDGRMILLAFSKSSTLGSVHFASKPPSLDAHLLPVDLPEILSLTGSQGIEKIAWDSSGERLAVSFKGGDDVYGGLIAVYDTRRTPLISTSLIGFIRGPGDSPKPISFSFHGKFKQGPLLSVCWSSGFCCTYPLLFRSHMLP >RHN63664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53037228:53039529:1 gene:gene26391 transcript:rna26391 gene_biotype:protein_coding transcript_biotype:protein_coding MTETAAPEPQIVESNATVAVDMVIENAELAEVTAIDSEANDESNQKRARDDDEKRVTKKQKVEAEVEEDEEGEEEKKPSGPVKLGYKTFGSSLELFDYFNSFLHAWGPNLNVNKYEHTMLLELLMKGHPEPDQKIGGKIRAFQVRKHPTWKSKCFFLVREDESADDFSFRKCVDHILPLPEAMQVKHDANRALGGSGKGKHRGGGGRGGARGHGGKGKPRH >RHN76533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51029679:51033142:1 gene:gene12876 transcript:rna12876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific protein-tyrosine kinase RLK-Pelle-RLCK-XV family MAFCPIFCCLNVSDRKGRGKKQPPWRVFSLKELHSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSNKADMEFAVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGQHSTESLLDWNRRMNIAIGSAEGIVYLHVQATPHIIHRDVKASNVLLDSDFQARVADFGFAKLIPDGATHVTTRVKGTLGYLAPEYAMLGKANESCDVYSFGILLLELASGKKPLEKLSSSVKRAINDWALPLACEKKFSELADPRLNGDYVEEELKRVILVALICAQNQPEKRPTMVEVVELLKGESKEKVLQLENNELFKNPLAVGNTNDEISAAEGSSDFISAENESKHEMEENAEP >RHN65995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7386971:7391576:-1 gene:gene13904 transcript:rna13904 gene_biotype:protein_coding transcript_biotype:protein_coding MGKWNYRPSRRFRRRSPNPPSIFYDIRAPLPEFRHDGIPVWEKKYCTLSGCVPWQKIVDSKELIYCHHNVLDWKDSGAEEAFQNAKKRYWANVNNLPCDISLPDPDAYIEQIDWNPCIDAELIKELDNAFFTVPDEEEQENAIQYKRTKISVDGENPWECAATSVGRGLENNEVQGQNQGDYHDNSENVGTTDNPWVSSAVCGNQGLTDNAWEGGHVKSRGWNEGRDHNNQCSGWNSGCLQTDKGWGKVRDNSWCHQKSNNLANSGNSWGCKSSQQNVIPMNTGWRNSGTIVPRWKQHENAYVTSDSQFRRNNGGWNSGNQSYHQMRGGSNRHNPSYNGSQPQRDDSQTGHYWRREQSRKRDFRA >RHN38556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:217817:220342:1 gene:gene44509 transcript:rna44509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MLNIVLLLSVSLVLTSIISSAYGAIESGDESNKLHIVYMGSLRKGASYSPTSHHLNLLQQVIDGSDIENHLVRSYKRSFNGFAAVLNDQQREKLSNMRGVVSVFPSREYHLQTTRSWDFLGLPQSIKRSQTAESDLVIGVIDSGIWPESESFNDKGIYVISLGSISKKWRGVCAGGVNFTCNNKVIGARFYGIGDDSARDANGHGTHTSSTAGGSEVKGVSFYGLAKGTARGGAPSSRIAAYKTCNNLGMCSDDAILSAFDDAIADGVDVITVSMGKPQAYEFVDDAFAIGSFHAMENGILTVQAAGNDGPNPSTVKSIAPWVFSVAATTIDRQFIDKLILGNGKTVIGSSINIVPSNGTKFPIAVHNAQACPAGANASPEKCDCIDKNMVKGKFVLCGVSGREGLAYANGAIGSINNVTETEFDIPSITQRPSLNLEPKDFVHVQSYTNSTKYPVAELLKTEIFHDTNAPKIIYFSSRGPNPMVPEIMKPDISAPGVNILAAYPPMGTPKYNLLSGTSMSCPHVAGVVAYVRSFHPDWSPAAIKSAIMTTAEPVKGTYDDLVGEFAYGSGNVNPQQAVHPGLVYDISKEDYVQMLCNYGYDAKKIKQISGDNLSCHVTSKRSLVKDINYPSMVIPVRSYHKRFNVNIHRTVTNVGFFNSTYKATLIHHDPKIKISVKPKLLTFRSLHEKKSFAVTVIGGAKLNQTMFSSSLIWSDGIHNVKSPIIVQLLPLYS >RHN67244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25145169:25151718:1 gene:gene15414 transcript:rna15414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycoprotein 2-beta-D-xylosyltransferase MNKKVQILLFLFIFNSFSLCLYFIHHPSFLNPTPSPNSHIKIFTKDSPFRRPFIEKHKTHILKPWPILPSYSPWSQPSNSTPLRSCEGYFGNGFTRLVEVFSGRAGGGGWFRCWYSETLRSSICEGGRVRMVVERIGMAKGGESLGDVIGRSEDEELPLFDDGAFEVDGGLGFKGGKMVVDEGFLDRYVPRGEIMRHTMRDLIGKMRVVGKKEFSCDKWIEEPTLLVTRFEYANLFHTVTDWYSAYVSSRVTGLPNRPHLIFVDGHCKAPLEETWKALFSSVRYAKNFTGTVCFRHAILSPLGYETALFKGLTEDIFCDGASAQELWRKPDNEKTARISEFGEMIRASFGLPLNLNHVGKPISGGHNVLFVRREDYLAHPRHGGKVESRLTNEAEVFESLKSWAANYKGCKINLVNGLFAHMSMKEQVRAIQDASVIIGAHGAGLTHIVSALPKTVILEIISSQFRRPHFAYIARWKGLEYHAINLDGSYANPETVINALVSIMKSLRC >RHN78439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15111219:15111626:1 gene:gene2002 transcript:rna2002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MQVKFLTKKSDVFSYGVVLIEVICGKPALDDALPTQQMNLALWALSCDKKGTFHEMMDPYLIGKVNMDSLNKVLELAWKCLEERRENRPPMGYVLCQLEEALHLELASHVSNENEDSSIHSSVGSGFTDEIEDVV >RHN64987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63478477:63485749:1 gene:gene27876 transcript:rna27876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative U3 small nucleolar RNA-associated protein MADIVQYKLERMFDEFEDLEQRGLFNKREIAEIVKQRRKFEYRLKRPCPLKADFVAYIDYETQLDALRRLRKKSVARELKKQGNKNLKKSKSDFAGLIRIIDTYELALKRYKGDIQLWFRYLEFCRVQKNGRMKKALAKLVRLHPKVPGVWIYAAAWEFDRNLNVVAARALMQGGLRVCPTSEDLWVEYLRMELTYINKLKARKVALGEDEGTLTRDPRTVEEKQWRDENKELFMTLDEKEENDVANVEPDESKKNKELFAEHGMNLFRTVYGGAIEAVPSSLNLRKRFFEILEGTELSHYEDMCKEMLNDMKRDFSTEPEFWDWLARQECNVEAVHEINQEIIIPQVQKAIQVYEEALKSVPSGTMFSLYANFLMGIVAPKDEEPNIDGPYISHLLSIYERAESMGIITDDLACKHVSLHLQLRHLDEARKLVAKLCSGKLAESVQLWELRVSIEITCITQSSLLPSDTDLSSLFELLRQILTKFHVSKSENLWLRALKFYASHRRYFDKLVEISVLSLARDGGSESGFSLSSAIVSFILQKDGIHKARDIYKRYLALPHPGLALYRKCIELEANLASTGDKNSLVNARKLYESALATYDQNVDFWQDYYRMEVKMGTSQQATAVYWRARKILKDASEFIASPDLR >RHN66194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9616638:9627010:1 gene:gene14130 transcript:rna14130 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPVEGSSFEIQSRHVSASLLQEYKGKEHHKFKNIVEKNNQPQLVNASTGSNMTDPAGSMTNNQTKVVNSDYVAIEVVTEPLASALPDASVSSFELLTEHDLHTEVEPQVLEAAVEIDALA >RHN50133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4367696:4373354:-1 gene:gene34388 transcript:rna34388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MQLQFSPSMRSITISSNNGFIDLMKIKVAACHISYRTLFHTILILAFLLPFVFILTAVVTLEGVNKCSSFDCLGRRLGPRFLGRADDSARLVRDFYNILNEVKTGEVPSSLKLPDSFDQMVSDMKNNQYDAKTFAFMLKRMMEKFENEIRESKFAELMNKHFAASSIPKGINCLSLRLTDEYSSNAHARKQLPPPELLPMLSDNSFHHFILSTDNILAASVVVTSTVQSSLKPENIVFHVITDKKTYAGMHSWFALNPPSPAIVEVKGIHQFDWLTRENVPVLEAVESQNGIRNYYHGNHVMGTNLSDTSPRKFASKLQSRSPKYISLLNHIRIYIPELYPNLDKVVFLDDDVVVQRDLSPLWEIDLNGKVNGAVETCRGEDEWVMSKHFRNYFNFSHPLIAKHLDPDECAWAYGMNVFDLRAWRAANIRETYHSWLKENLRSNMTMWKLGTLPPALIAFRGHVHPIDPSWHMLGLGYQNKTSVEKVKMAAVIHYNGQSKPWLEIGFEHLKPFWTKYVNYSNDFVRNCHIMES >RHN48160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45497971:45498985:-1 gene:gene42847 transcript:rna42847 gene_biotype:protein_coding transcript_biotype:protein_coding MYMMIYIPHITQCCCSDSYDMKPSFRQIVVVSSIGLILVILLHYRLKQIRDQKIIPRLRLSRTGHTPKLERFSHYVARQMGFKDRRICPDLCRLASEYISKCEGFEDDIYAYFENEPDCDSLYVKLVEEFERCILSYFGFHWNHCDILISQVLSSEIAEPKKKLKHIVMAATRCVFLLLYGSQRTYQELNMSPSYTSS >RHN82348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53051092:53052071:-1 gene:gene6508 transcript:rna6508 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTNALLSLHLMVLILRKNAGDLSSILFLVLVARREKFSSGCLTFFY >RHN66375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11596420:11597081:1 gene:gene14345 transcript:rna14345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PH domain-containing protein MADPEHREEEEAPAVGDDEDTRAQVAPIVQLQEVAVTTGEEDEESILDLKSKLYRFDKDGNQWKERGAGTVKFLKHKVTGKVRLLMRQSKTLNICANHLIIPTMSVQEYDENEKSCVWHARDFADGELKDELFAKSLWKHSKKLPSPRSKWMTRMHLQ >RHN51524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19371382:19372763:-1 gene:gene35979 transcript:rna35979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, chromatid cohesion factor MAU2 MKNWFTRFLTILMPCECIIEILRGQYAHSVGCYNKAVFHFSEAVELTDSKSMQAMCKVYEAISYICIGDAESTSKADHLIRPFYEVVDSTVGAREKTGVLFTYGLLLIKQRDLPEARLVTGLKLMHTSLGNIQLMSQYLRTLGSLALEIHDTVQAKEILRSSLTLAKKLYDVPTQVWVLSVLTDLYKELGEKENQMENAEYQTKKARDLEKRLADAQASIYHNEIVSSS >RHN61488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35838422:35841567:1 gene:gene23959 transcript:rna23959 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MLPRSRTLPSRFQHGVIEERYDFRHYLEVEAQPKIQTETESVSIEPNYSKCFDDDGRLKRTGTFWTATAHIITAVIGSGVLSLAWAIAQLGWAVGPVVMILFAVVNLYTSSLLTQCYRTDDSVNGQRNYTYTDAVKSILGGKKFKMCGLIQYVNLFGIAIGYTIAASVSMMAIKRSNCYHESHGKDPCHMSSNGYMITFAIAEVILSQIPDFDQVWWLSIVAAIMSFTYSAVGLGLGIAKVAENGAFQGSLMGISIGAVTQAGTVTGTQKIWRSLQALGAMAFSYSFSIILIEIQDTLKSPPSEHKTMKKATLVSIMVTTVFYLLCGGMGYAAFGDHVPGNLLTGFGFYNPYWLLDIANLAIVVHLIGAYQVFSQPFFAFVEKWSARKWPKNNFVTAEHEIPIPCIGVYQLNFFRLIWRTLFVLLTTIIAMLLPFFNDVVGILGAFGFWPLTVYYPIDMYISQKKIGRWTKKWLALQMLSGCCLIISILAAVGSIAGVVLDLKTYKPFKTSY >RHN46063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28193615:28196211:1 gene:gene40503 transcript:rna40503 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAKYIENTGHIPEDLHKKIADNIDELKGHFDDDVLASTRWSLAGFRSLEEKLSWMLFVDGCSLLYILEKADLNKPWHMNIRVDQLVLVMMDVLLLENQLPYQVLKLLWTDEDESGLIESMMNFVDGHHWATPDESQSKTPFHLLDRRRNIILITSTTKIKNNKAYKEKWSKKRSDEKLKMIYSNIKDLKALGIRLKSSKRRRPRDIDFSEGWFTAELTLPEIVVDNISAATFLNLIAYEMCPDFDNDYGICSFVSFMDSLIDHPEDVKEMRSKGISLNSLGSDEEVVELFNIISTDLVPNTETYFEVKDKMLDHYYKYRTWIVRGYYTYFRIAAFIALALTFIRTVFTIYPAFKKDG >RHN63862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54572270:54573778:-1 gene:gene26617 transcript:rna26617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LisH family MYLFSPFLTSYLYNFLGIGNRLDAFIYDYLVKNQLYETARIFHDEGNVEQNIVMDAPGGSLFEWWSVFWELFMAKQGFSHSEPALSYLKAQEMRKQEEEIERIQFILQSPAQLQQYGAETQESVNGIVLCPINNAPMVRQNRVTPNTMATKLNEDRLASPLQGNALDDRTFKIMNAYTRGQLPMEDCAMLFNEITPITGGQPSGCLLIICL >RHN70283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50234633:50243382:-1 gene:gene18847 transcript:rna18847 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MNLILFFSISKHKLTVVGADAMYTKPMTRDYICISPGQTMDVLLHANQEPSHYYMAARAYSSAAGLEFDNTTATGIVQYSWSHTPLSTPSLPHLPNYNDTQAAFDFIRSIRGLPKKYPHEVPTKITTHVITTVSINTFPCPNGRQTCEGPNGTILSSSMNNISFQTPNKFDILEAYYYHINGVFSKGFPSFPPLIFNFTADSFPLTLNTPKRGTKVKVLKYGSTVELVFQGTNLVGGGLDHPMHLHGFSFYVVGYGFGNFNKSKHPMNYNLIDPPLLNTLMVPKSGWAAVRFLASNPGVWFLHCHLERHLSWGMETVFIVKNGKSLNATLPPPPPDMPPC >RHN60117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20971754:20972760:1 gene:gene22346 transcript:rna22346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKVLKLVNVMIIFLALVLVAMNVNADVINCTQDSDCQSIGCLSHLKPKCTMLGFFFNAFVGICECDQVM >RHN43827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48809492:48813390:1 gene:gene50457 transcript:rna50457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartate-semialdehyde dehydrogenase MASSLSLLRQNTFLSATLPTTRPKPKYASAPGRVRMSLQENAPTIAVVGVTGAVGQEFLSVLSDRDFPYSSIKMLASKRSAGRRMTFEDKEYVVEELTAESFDGVDIALFSAGGSISKEFGPIAVNRGTIVVDNSSAFRMDENVPLVIPEVNPEAMEKIKVGMGKGALIANPNCSTIICLMAATPLHRHAKVLRMVVSTYQAASGAGAAAMEELELQTREVLEGKPPTCKIFNRQYAFNLFSHNASVLSNGYNEEEMKLVKETRKIWNDKDVKVTATCIRVPVMRAHAESVNLQFERPLDEDTARDILKNSPGVVVIDDRESNNFPTPLEVSNKDDVAVGRIRRDLSQDGNQGLDIFICGDQIRKGAALNAIQIAELLL >RHN61981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39739638:39740296:1 gene:gene24501 transcript:rna24501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MEQIPCSIKMLGSSKFLRIILLCYIKLKYFLSILIFYMHTLCNCITLSSYSNPEQVKQGLNSCIQNAEKLCKEEIIVVMEKLGLKVECDEDFKEEFGYEQEIAHMFENGVSLEELNEAFNVFDENKDGFIEAAELKRVLCCLGLHRDFVECLKMINAVDQNGDGLIDHYEFVVLMEQSFG >RHN73096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13416471:13417676:1 gene:gene8876 transcript:rna8876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MMIWWSVIASHLPGRTDNEIKNYWNSHLRRKIYCFMRSINESPPPLDMASTSKTINQGKINNPSIEEDNGMSLIQNSLEPMPKEKTTSQYYNVQGVEDISSENSIYEMKGNINNTVASYPSKNELNIDEGLGPYKWLDDEIMKLRNMFLNGIGNYNYVTMHENDEKGKYECDSRKMHVEIMETNKEMKSEICGSSYNGESGEGYISNASVNSATDYDQLSDWDFCQEDQMKTCLWGPGIGEIMNGFYQ >RHN73589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17916139:17918419:1 gene:gene9416 transcript:rna9416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MWVGLDYLVYLITLLLLAAGAPLLTIAHLFRNNLFRRDNFTYFCQILLLLSTAGTISMCFDSSEQERFDAFEFIVLIPLPTRSMLFMISAHDSIAMYLAIEPQSLCFYVMAASKRKSEFSTEAGSKYLILGAFSSGILLFGYDRTTTDI >RHN74974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38374996:38376473:1 gene:gene11118 transcript:rna11118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MITKYNKDTLGCDASLLLEDYGDRNGSYEKQAIPNQTLKGFDKVDLIKEEVDQACPGVVSCTDILALVTRDSVLLGGGPFYLVLTGRRDSLQSFFQEATDQIPRPDDNITRALHLFNLRGFNAHETQRLYDFQGTGQPDPSIPLDFFSLMRLNCPDYSKNNINSNGTFSTFTVSKPVNAHHSSSDKGMSYMQALSSAVPSGAYFDTHYYQSLLRGRGLLFSDQQLMAQEKTARLVSAYASDDGSTFRMDFARVMLKLSNLDVLTGNQGQVRLNCSRLVNS >RHN63311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50309396:50316012:-1 gene:gene25997 transcript:rna25997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative maspardin, alpha/Beta hydrolase MKGAFSAPGDYVHFKSQVPLHKIPIGTKQWRYYDFGPKVVPPLICLPGTAGTADVYYKQIMSLSIKGYRVISVDIPRVWHHTEWIQAFEKFLDAIDVHHVHLYGTSLGGFLAQLFAQHRPRRVRSLVLSNSFLETRSFSAAMPWAPIVSWTPSFLLKRYVLTGIRDGPHEPFIADSVDFVVSQVETLSREDLASRMSLTTDDASVEPLLLSDSFITIMDTNDYCAIPHQLKEQLSERYPEARRASLKTGGDFPFLSRPDEVNLHLQLHLRRVGVEARPDLVHGIPKGDIGGSPSKENDDNDSDKSPKDDTNKDDRGGSENPSTESDINPTPESSESHNLDNQPVESSECCSLDHEIALYVFPSEFTKEMPVVPRETSVHFVWEYIVLFHVLPYISLLYITILNYSFEFRQVV >RHN57040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35989576:35989992:-1 gene:gene32528 transcript:rna32528 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQKALEKIKLHSNFSYTVGIKSFRLSINHIQSCNFNVRV >RHN38536.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:1073342:1076610:-1 gene:gene50659 transcript:rna50659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin-activating enzyme E1 MKELNDRKPRKIKNATAYSFTLEEDTTNYGAYEKGGIVTQAKQPQVLNFKPLREALNDPGKFLLSDFSKFDRPPLLHLAFQALDKFISEIGRFPVAGSEEDARKFISIASNINGNIGDGRLEDVNPKLLRQFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTEPLYPNDLQPINSRYDAQISVFGHKLQKKFEDADVFVVGSGALGCEFLKNLALMGVSCGGIGLLTVTDDDVIMKSNLRRQFLFRDRNIGWAKSTVAASAAASINPELNIEALQNHVCSKTENVFHDTFWDNLSIVINALDNVNARLYVDQRCLSFQKPLLESETLGATCKTQVAIPHLTENYSASREPREKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPSEVNAYLSNPSEYTNAMRKVGYAQARDNLERVLECLDKEKCEAFEDCITWARLKFEDYFANRMKQLAFTFPEDAATSTGAPKRFPRPLQFSSSDSSHLQFLMAASILRAETFGIRTPYKPKKDVKIVTDEKATSLSTADDVVDDLKDKLEKLRSNLPLGFRMKPIHFEKDDDTNYHMDVIAGLANMRARNCSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKALDGGHKLEDYRNTFANLALPLFSIAEPVPAKVIKHQYLSWPLLDWLKEEGLNAYSISCKCMLLNLRLEIKHMSVFDHVTIQSSTSSSIFFSSLL >RHN42288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37138974:37142945:1 gene:gene48712 transcript:rna48712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MNHVNLTISTKITKIKLNHAYLFYHKAHHHRILLFSLPLSITNKTLFLSLLYSLSLSLPNFCGGSLSTSTSSPLLRLRFGSIDLFFWVGLFAMVAQQPQLQIQTQQPTPSPQDEALKRNTDCVYFLASPLTCKKGNECEYRHSEYARVNPRDCWYWLNGNCLNPKCSFRHPPLDGLLGTPPATAATAGPSVPAPQIAATSATHAPPYNASKQAVPCIFFQKGFCLKGDRCAFLHGPNPTNGSKIAPQGSMTMTNQGAENPSFKKPFGGIEKHAQEKKTSQANVASVEAKPLQKFETAPQKNMFKLGKHVPPPPAGFDNEASRFKTSSSPPPTNGSNARSNRVHQPRLPDDHSFHSGKDNDEFLRESSPGFDVLVADELRNSDYYHGEDEFGKTRGQDERGPDSLNEYDVGHSADYSLAADIDRDRFRAPQGYDSYDHMQEPYGWEPRKGSAQIERRTHHRSRSPDSVEVSDLRHRLSKRRKGNGLKSVVAHDEEQSHRFSRKDSHQLPSNERSVNNRFRGRINLPPNGGDGHLERDLDRGRISSHLERDLDRGRISGRLSSGRLQSPHEGRIQDRLRGRLPDDERRNFSSRSNEDRSGFSAPKSLAELKYGRNTENNDQQSFGKRKSLRDHQQYEDDAPFEGPKPLSEILKEKKGVGAGAGSSNSSKHDDNKNEGITENGTLSESKEEESKIQAADGVENTDVTHGQSSEEGMIYDEAAEDQEYEGEGDYEYEQGDEEYEYEQVEGENQEQEYMEDEDGDDFAKKIGVVLS >RHN62618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44731775:44742397:-1 gene:gene25213 transcript:rna25213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione-regulated potassium-efflux system protein KefB MLESLLASSQTIKGYDLTKQKSPGYSRAVSRIRTSSMFTHYSVDKQVPFQCQSTAASEKFTRRRPLDAPSFSGRNLSYFSKHRQLRWDRLQTNVTYDVASAVEVINDLGLDTLTFLAVTVFIVPSFKLIKASPILGFFCAGVVLNQFGLIRNLEDVKVLSEWGILFLLFEMGLELSLARLKALAKYAFGMGLTQVLLSTLAFTAFELPPNGAVGTKILQFLFHSRSDLVNIRSIDEAVVIGAALSLSSSAFVLQLLAEKGELPTRLGSATLGILLLQDIAVVPLLVILPVLESQNMTEGSIWPMLAQESLKALGGLGLLSFGAKFFLRRIFEVVADTRSSEAFVALCLLTIAGTSLLTQKLGFSDTLGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFLTTGTSIDMQVLLREWPNVLALLGGLITIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANSLGVLPLELNKLLIIVVVLSMALTPFLNEAGRRAASFIEDKYDVDNKQKDPEMVNFEVNEPVVILGFGQMGQVLANLLSNPMASGGDGDAIGSTYVAFDIDPRVVKTARKLGFPILYGDGSRPAVLQSAGISSPKAIMIMLTEKEKSIEAVQRLRLAFPAVPIYARARDLKHLLDLKKAGATDATLEKAETSLQLGSKMLKGLGMMSDDVSFLSQLVRDSMELQAEEAISQSEYQESNIMEPLQVRVADVMDSRVPVTTNTPKYEVSVPNQEDQASLGRIQKEADLEEQDYELNQAVKLEGNGAPCSKQDIGESSVVGSEDDLGH >RHN44503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5500232:5507256:1 gene:gene38631 transcript:rna38631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MGRGRVQLKRIENKTSQQVTFFKRRTGLLKKANEISVLCDAQVALIMFSTKGKLFEYSSAPSMEDILERYERQNHTELTGATNETQGNWSFEYMKLTAKVQVLERNLRNFVGNDLDPLSVKELQSLEQQLDTSLKRIRTRKNQVMNQSISELHKRARTLQEQNNKLAKTKEKEKTVSEHPQRCLETIGIGQCSSTLNLICQPEVLPPPQRLVPSLNLSGTLQARGSLEFEEAGEAQTVPRSNHSLIPPWMLHHLTN >RHN71098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56478810:56479019:1 gene:gene19741 transcript:rna19741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSKSKKQRSSSIPIEECDRVSSLPDSIICHILSFLPTKDTVATSILSKRWKPLWLSVLTRTISSTKQPS >RHN76413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50033716:50034940:-1 gene:gene12735 transcript:rna12735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MYYRGAAAAIVVYDISSIDTFVRAKKWVQELQRHGSQKLVMALVANKCDLEPKREVETEVVFKSSSHPFPLFFSNKYVSVDVFGSDVNVGNCGS >RHN79421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28681812:28683882:1 gene:gene3211 transcript:rna3211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MLSLLLETKHHHNMAFVAFSFFFLLLSLHASSSEAGSIGINYGRIADNLPTPSKVVELLKAQGFNRVKLYDTDATVLTALANSGIKVTVAMPNELLSSAAADQSYTDTWIQSNILNHYPATEIEAIAVGNEVFVDPKNTTNYLVPAMKNVHASLQKQNLDKQILISSPIALSALQSSYPTSTGSFKTELVEPVIKPMLEFLSQTGSYLMVNAYPFFAYAANSDTISLDYALFKQNPGVIDSGNHLKYDNLLDAQIDAVNAAMSALQYDDVKITVSETGWPSLGDSNEIGAGQDNAASYNGNLVKRVLNGSGTPLRPKDPLNVFLFALFNENQKTGPTSEKNYGLFYPSEKKVYDIPLTMSETNKSLTPIAESPVESAQAPVAGVGRSKVPVSGGDVSVVENKGQTWCVANGGYSEEKLKHALDYACGEGGADCAPIQPGATCYNPNTLEAHASFAFNSYYQKKSRGGGTCDFGGAAYVVTQAPRYGKCDFPTGY >RHN70971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55502929:55505516:-1 gene:gene19611 transcript:rna19611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLCLSVSFSSSTYVTSYGRLCQLMSSIRNSPCLHLLQQWQNLTMKQTKQIHAHAIANNLTRFSYISSRILAFFAASPRGDFRYAETLFTHMPNPNIFDYNSIITSYTTNSQFDKSLSVFTKMLNMNIRPNSHTFTTLVKSCVTLSSLEQVFTLTMKSGNSSDVYFVSSVINVFSKHGAIHLARQVFDESSNRNVVCWTSLVSGYCSCGLVNEVRDVFDKMPQRNEASNSAMVSGYVRNSFFSEGVQLFRELKKKDKGRARVKFNGALLVSVLNACTVMGAFEEGKWIHSYVEENGLEYDLELGTALIDFYAKCGWVKDAEKVFDKMLVKDVATWSAMILGLAINGNNKMALELFEKMEKVGPKPNEVTFVGVLTACNHKSLFGESSRLFGIMSEKYNITPSIEHYGCIVDILARSGQVKKALIFINSMHIEPDGAIWGSLLNGCLMHGHYELGQKVGKYLIEFDPEHSGRYVLLANMYANMGKWEGVSEVRKLMKDRGVVIVYGWSFIEIDQTLHKFSADDKCCLYSGEIYEVLSHLGKKVEEFSGDKDAFFICNLNQIT >RHN77752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9178350:9181672:1 gene:gene1239 transcript:rna1239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MAGDLIVEDFQVGCHVNFIAPTSWSGLRRNQLRSYEVIHKALVYGFEISWMTLICANHCRDQNRCSFDTSTEELQCSHPYCFLSLAYGSGYDDDTCGRWAHIISYVKDAITLIRIGLVDIIKGKTTSMQDYPLYRVRYAIGHYILPSLLAAKLLFGITFFIALLIYKWRKRHWSMYECIELYLQQQNNSMPIGYSYKEIKKMAKGFKEKLGEGGFGTVFKGNLRSGPCVAIKLLGNSKGNGQDFINEVTTIGRIHHLNVVQLFGFCIEGSKRALVYEFMPNGSLDKFIFSKEGSINLSYNKIYDIAIGVARGIAYLHHGCEMKILHFDIKPHNILLDENFIPKLSDFGLAKLHPIENSVITMTAARGTIGYMAPELFYKNIGGVSYKADVYSFGMLLMEMAGKRKNLKTNAQHSS >RHN58563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2821607:2822796:1 gene:gene20485 transcript:rna20485 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDQSFKAGETMGRTEEKSNQMMGNIGDKAQAAKEKVQQTAQAAKEKTGQTAQAAKEKTQETAQAVKDKTQQTAQATKDKTQDTTGQARDKGYEMGQATKETAQSGKDNSAGFLQQTGEKVKGMAQGATEAVKNTLGMNNDEEDKDHFTTKTTTTTRRNVVD >RHN76289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49164978:49165933:1 gene:gene12588 transcript:rna12588 gene_biotype:protein_coding transcript_biotype:protein_coding MKALKGMVECFEHENKKLLRRDTSIRYIEVLLVHADYAELRNQDSHVLFVWVVLLGALPYAFSLVDERVYQQGGLVFLCRWFNCDWSWFS >RHN76070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47457353:47458035:-1 gene:gene12345 transcript:rna12345 gene_biotype:protein_coding transcript_biotype:protein_coding MESLNLIFLILSILQAVTTLATVHHSLSIHSAATLPELRPPNFPNDTLDNPLKLLLPLSFKFRSFLDLFIFEQLISTLS >RHN51656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21672556:21673536:1 gene:gene36138 transcript:rna36138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MCCFFLQISNPKKLMAFTTSIVAEKKNKILFILGAIGTGKTKLSINLGTRYPAEIINSDKIQVYKGLDIVTNKVPESERCSIPHHLLGIINDPEYDFTMNDFCKNVLESIDLIIGNGRLPIIVGGSNSYIKKLVEEPTIAFLSKYDCFFIWVDVSLPTLFQYVGKRVDEMVESGMVDEIREYYAPGADNSKGIRRAIGVPELDSFFQIEKKNDIDDAQKEKILAEAIRKTKQNTCILVQNQLLKIKNMAQILGLMVYKIDSTEVFEALLKGEDYKHLHQENVVKPSIEIVKRFLEETPVGFEYEKYSNENGKHALNGVSNIRAKII >RHN63692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53255169:53257971:1 gene:gene26426 transcript:rna26426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MKPSFNFFSIFILIIFLFTPSNSDSTTIDHSLSPYVNYLIDCGSSTKTQLIDGRIFKSDRETTSFLSTTEDIQVSVDFININLPPSLPPSSLPLYQTARVFTEQSSYTFYISKTGRLWIRLYFFPISNPSYNLTSFVFSVYTDHFVLLHEFSHSNNNSLVFKEYLVNVSDTRFSLKFIPKKKSFAFINAIEIVSAPDTLISDSATQVSPLGEFNGLTKSALQVSYRINVGGPTITPDGDTLSRTWEPDDSYNIFPQGSSNVSVPKKRIKYPQDSVVTVTPLIAPNSVYASGVQMKESRLLQPDFNLSWMVNVERSYSYLIRMHFCDIVSKSLDQLYFNVYINGIEVVEALDLSSETKALSTAVYKDFVLGSCNITNGSILIQVGPTYLQQSTTNAILNGFEVMKMSNSANSLDGFFSVDGKYKGPSVTSNVMKIVAIAGLVLAVISLLLLVVMYIRWLKRPLDWKESRGFSSWLLPLCPKSKKSCSANANAFDSPKNKHGGHDVHHSPRGTGRFFHFIELQRATDNFDEKRVIGYGGFGKVYLGTLDDGTNVAVKRGGGGSEQGINEFRTELKMLSKLRHRHLVSLIGFCDENSEMVLVYDYMSNGPFRSHLYNSNFSPLSWQKRLEICIGAARGLHYLHTGASQSIIHRDVKTTNILLDENYVAKVSDFGLSKAIQDKSQISTAVKGSFGYLDPEYYRSQQLTQKSDIYSFGVVLFEVLCARPVVSPTLPREQANLADWVLQQYSRDKLHKLIDQNIADTISPESYKIFVQIGVKCLADHGVDRPSMGDVLWHLEHALQQQLASSHIDTIPIDNNTNSHIHATPIDSNTNSYAIQHANEIDRNYDNLHGVTSDESDSTTPNSDLFSLIGNFQGR >RHN51024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13049889:13050266:-1 gene:gene35385 transcript:rna35385 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKFHFLFILFFSGVILSLFFPILFFLLSPCFCSSISSSFYSLFSSLTDSHLFFSFLPFLLQQHIVTTHHNNNTSSQINTTSTTTHNNNNTHQQSKNFRRLLLGFDFFFFRFFNLPLLSSFSD >RHN65409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1897353:1910921:-1 gene:gene13244 transcript:rna13244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyltransferase A, auxiliary subunit, peroxisomal targeting signal 1 receptor family MAMRDLITGATSCPDPSASSSSQNPLGSLANALLGSSSKNQERLKEIPTSTSTPSASQFYSQPHTQLPGSEFDKPFLDANSQGSEFLNRFRSAGAGGFDETWDEIQGDGNQLRLYDGRGQLPPQLHQPTLDGTPQRVLSTFLHSFLDSSRGGIPFHPAPLPVLGLSEGDKQCIRDRSSIMARHLFADKSEEFIHAQVNALLSSLDIDSNVRGKGPMPERHRELEDYWNESQGNLIQGAHASDGWITEFSQNRERYDNPDAWANSFEQQHGANGWASEFEHSQLSSVDQMRGMNMSNIAAMEQTRMLANTLAQNADPKFQNSKFLQFVSKMSRGELIIDDNQVKESALPAPGDWATEYQQQYNHGDAWAGEFLNNKAYPRAGPELWANEFATERQQNGAADDQWVDEFSKLHVNDWAEEFGQQIGEGAFGEGSSDNWAQAYDEFLNEQVAAKQRSDSSRGVYVFSDLNPYVGHPNPLKEGQDLFRKGLLSEAVLALEAEVLKNPENSEGWRLLGIAHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQNAALKYLFGWLRNHPKYGTIAPPEMSDSLYYADVARLFNEAAVISPDDADVHIVLGVLYNLSREYDKAIAAFEQALKLKPQDYSLWNKLGATQANSVQSADAIAAYQQALDLKPNYVRAWANMGISYANQGMYDESIRYYVRALAMNPKAENAWQYLRISLSCASRNDMLEACDSRNLDLLQKEFPLQ >RHN80559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39062350:39063086:1 gene:gene4499 transcript:rna4499 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCLSSPPSLKNSPSSISNHPSALSFGYFRRSATLSVGSTGASTGDRSRVNIPVVRERGTIWYLSLRPLPASKNTFRSTVSPPAMSMEASHG >RHN49924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2307730:2309891:1 gene:gene34156 transcript:rna34156 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKFSRQVELWQNIMHPVRRFWISIATRFGIRKTGLKKLRNDVKSCEYEDIRVMWEMLNRNESLSEFGGGNSPNKIKKIHYLKLFKWARCAAP >RHN39413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6929462:6931235:1 gene:gene45434 transcript:rna45434 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWNKPSRDHRKQHQRENPSFSSSLLDVIYRSIDEGHHKTEEKEEKLMFCRETTTTMRKMKSVEAEKPNFRKARKVENWNGRNSLTEFETRTTRSNSNTLSMHSSSSSSESSSAGGFSSSDSESFYGLQKPKPIRTSVSEKPNIDSFQYGYSARNHNYNHNHNHSVQTQKPKNENGLGKTKSKALRILYGDLKKSKQPISPGAKIASFLNSLFTSSGNTKKPKIPSSTATKTTTNSVLEAKSAQASTCSSVSSFSRSCLSKTPSSRSGAKRSVRFCPVSVIVDEDCRPCGHKNLHEGEKGSSIYDGKNNSSEELRLHVLQESLRVEELARDLLKNYQKKNEVDFNMQFEDEDEDDDDGASCSSSDLFELDNLSVIGIERYREELPVYETTHFNPNRVIGNGFIM >RHN59029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6818610:6823521:1 gene:gene21012 transcript:rna21012 gene_biotype:protein_coding transcript_biotype:protein_coding MPINIQPSNEFMIPSEDMVFGLEFKKLGSKRYSSSKTDKESSALPQVHQSPNPKSADKSRSKSGVGPQCSDLKQKAKQDAEGNIRNRETAKRSGNDGDELVKHMSNLPGYLLHNDRVENVQEKAFNVGVLDWSRLENWKHKHIPDDLTSRFTPFNRGESSSRVATKSKSSTSASGREKLDDKKGSRRIRPNNREALPQSSKLPSENVKRFESSRSGSKRIGSEKTRNDNIKRTSDVGNLASNSRPRRISSSVPNENKDDEANQKMEGLQEHTQKKIERNHKFISDMEQRTEKSKSKGVSFSSKKTGSGDCESSQKVNQLPESGFDDSCKHSHSKPSNIVLLYPHDIPDSSSSDDFRLSEFQTSSDENHPESSRSSLSYVSIPEEVYVDNVCPEIALSNRCRSAIDRTSFSGPMQNSVSTDLSMNRSSAISEKSASKINKMSGLQSEAACSEKDVLDNRLSNQSAFNNLIESLDQETAELTSQKRSNPSHNRRFSFSLSRIGRSFSFKEGPAASQFSSKFVSSKSGPVTPESSRRWDNSSKEKANCQNRTRSSPLKRLLDPILKHKASGTDHSGESSQKQNRSTDSTSLRSIGVNESLQDEKSKVSSIQGLLQITIKNGMPLFKFVLNDERKIYAATKNSLSSHEKNDLGCCFTFYIVNEIKKKSGGWMSHGNKEKSCGYAYNVVAQMKSSTSKFTEAVNQNSKRQHMVKEYVLLGVEINQTDQGPPKFIPTMELAAVVFETSCGNSSNEQLHGDNDIMKKGENDSSTVILPGGVHGSPNRGEPSSLIHRWRTGGLCDCGGWDIGCKLLVLREQNLSSNIPRSYKPYQDRFQLFVQEGSENDAPLFTLLPLNNGFYSVEFSSTISHLQAFFISVSLLSCQKQPGSLEMSSMSEETLKEPSSNNNSRRLHGKAPIKYTPIPPLSPVGRV >RHN43035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42722148:42724907:1 gene:gene49555 transcript:rna49555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CRK7-CDK9 family MFAVGRGTYSNVYKARDKDSGKIVALKKVRFDTSDSESIKFMAREIMIIQTLDHPNIIKLEGLATSRMQYSLYLVFEYMQCDLTRVISRPGERLNEPQIKCYMQQLLLGLQHCHERGVMHRDIKASNLLINKQGVLKIADFGLANSLKIKPKGPLTNRVVTLWYRAPELLLGSIDYDYSIDIWSVGCLLAEMFVGRPIMPGRTEIEQLHMIVKLCGSPSEDYLSKMKLKTSFRTPQRYKASFEENFKDFPSSALSLLTTLLNLDSQQRGTAASALETDFFKSSPLACDLSELPVIINRGDDERSHIKRRKRRKGKKGQLSKRSASNLSLSGMIQAAEQGKTDSEQSKEEKSIGHNMLEQETGNSGSSKASSVFTNERSMNASISPVFPSSRKISPKTEGHPNALKNIKNYPLLHASILDMINPKEGNDFGQFRRSFSTLDFRLDPNKLSSLYGSNSTLDREV >RHN56885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34463536:34465032:1 gene:gene32349 transcript:rna32349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MGSNINPNPKTLTTNLLFISLLLFTNLITLFLSSTYHSSTCTPNFTTTATATATAAEDSAGDGYLPPEFFASTSPQKLPLGFNRNFNSDTVHPPAGSACTLFPDDIRSFMSYDVNGSCPDDEILSQRLLLKGCEPLPRRRCRPAAPPNFPQPIPFPSSLWSTPSNSSVVWTAYTCKSYTCLIDRSRTQRGFDDCKDCFDLNGREKHRWTNPRSNGLDFSIDDVLETRKPGSVRIGLDIGGGVATFAVRMKDRNITIITTSLNLNGPFNSFIASRGVLPLYMSISQRFPFFDNTLDIVHSMHVLSNWIPETLLHFLLFDVYRVLRPGGLFWLDHFFCVGDQLENVYGPMIESVGFKKVKWVTGKKLDKGPQFQEMYLSALLEKPFKDSW >RHN52137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31930609:31939186:1 gene:gene36766 transcript:rna36766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylation protein Rxt3 MDHHVPREIGQDSRVAKTFRVEGRDADRRSPLHSVYRMPLSSNDSQADHPIGTGNRIESRDLKDNRDIRSEKDVCLESRGDDSNKGTRHERDSHNDTKGDIKTDKVTFGLVSSPLNWKDSKDFRGKRYGDSPGGSLDSRHMSHGNTPTEVGKENPTTKDRDSLEAHETVGESKIDSKSEDRFKDRKRIDGKHQGWGDKEKERSDRRNSTQVNNNIGENKESAKEDQAAEKLEKEKKDIPKNREISKEHMKRESWNGMEKEVSYNEKEVADGSVKIPKHETVLPEQKKHKDVDSGKTVDGETRDRRKERDNDLEGDRPDKRFKSEKQSEDGFAGEEETGEKESEDHSCNVQHRKRIQRSRASPLVANLESRFGPHAQDNEGSQGKAGVSHVTYKVGESVLELIKLWKEYESSQSQAEKNAETSNDGPTLEIRIPAENVTATNHQVRGAQLWGTDVYTYDSDLVAVLMHTGYCRPTVSRPPSAIQELRTCIRVLPPQDCYISTLRNNVRSRAWGASTGCSFRVEWCCIVKKSGGTIDLEPCHTYTSAIEPTLVPMAVERAITTRAAASNTSRKQRFVREVTIQYNLCNEPWIKYSISIVADKGLKRPLYTSARLKKGEVLYLESHSCRYELCFVGEKMVKAIPAIQLHDSDIGKSQNHHMHSTNGEKVDSDNVTIDVFRWSRCKKPLPQEVMHTVGIPLPLEHVEVLEENIDWEDILWSQAGVWIAGKEYALSRVHFMSMN >RHN48826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50524529:50527824:-1 gene:gene43584 transcript:rna43584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transaminase MATNMLYNNTTCGISFPHQSLHVSFCSNLSTFPHKTVKYVVGVDQNRRGRITVKSKTVSDNDDLEVDISLSPRVNAVKPSRTVAITDQATALVQAGVPVILLAAGEPDFDSPAVIAQAGINAIHEGQTRYTPNAGTLELRQAICHKLKEENEIAYTPDQVIVSNGAKQSIAQAMLAVCSPGDEVIIPAPFYVSYPEMARMADATPVILPTSISDNFLLDPKLLESIITERSRVLILCSPSNPTGSVYPKKLLEEIAHIVAKHPRLLVISDEIYEHIIYAPATHTSFASLPGMWGKTLTVNGFSKPFAMTGWRLGYIAGPKHFISACGKIQSQFTSGASSISQKAAVAALGLGYAGGEVVSTMVKAFRERRNYLVKSFREIDGVEISEPQGAFYLFIDISSYYGREAEGFGKIEDSESLCQYLLHKGQVALVPGSASGDDTCIRISYAASLPTLQAAVERIKQALINLTSAGLV >RHN57494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39520111:39520586:1 gene:gene33043 transcript:rna33043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hAT-like transposase, RNase-H MLESALKFQKAFKRLGEKCVEYAMLEGGVPNNVDWDNAKCFVKFLKLFFEITKKVSGSTYVTSSTYFMEHCKILGGFNAWMGCHKDDPILANMATKMTAKYSKYWGDVAKMNMLVFIAVIFYPRRSFKQNV >RHN60205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23508918:23510150:1 gene:gene22463 transcript:rna22463 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFSKSKFSQCFRPVVDLDDLLESKVVAHHHSKNKHTVLKVIKAMVLETILNRRARHKTCYGFDFFGVSKHNYSTYKKVTKATQSSLTTLSSDSPKLSQPKKMSTKGKHEKETSRGSAILEKQKKFEFYAMWLVLISLVFTVCFGKLFGIFLTSIWIFLFSLCNSNYSCQKMLLYGPKYMDVKGRYRK >RHN65675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4286519:4292893:-1 gene:gene13543 transcript:rna13543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein SirB1 MSSVSSSSLPTTSFFSTFSKFQFCPPSFSSSCPRVICHGGSNVQHVATDLKFFLHDALDASGIDTAHAREAREGFCSQIKRLTDIEKETSICINRHVDLGRTALYIAAEDDSLVSHSSVPLPVDAFVARLDDLSMDYCPHYTPSYDSSPEKFLESIERFLYVHKGFRRTSANLLEPQALYLHSVLTHRSGSPAMLSLIYSEILKMLRLWGLLYFDAEIFYPHDIFNVPKGYHKLKSKESDQAHIMTSGNLLVEILNNLKHAFWPFHHDHSKTLFLRAAHAANCVDRSDFVGESGSQIASAKAARHRLDRGVWTTVRFGDMRRSLSACERLILLTNDPNELRDYSILLYHCGLYEESLQYLTKYQEHKNSSTQVLTSSDSESDMEEDAAVDKLITRLNLIQLEQGWSQPSVARKFLGNNSDPW >RHN51130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14220959:14221581:-1 gene:gene35513 transcript:rna35513 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLQKVCLASEIVVNFNFFLFFFGGGEATVEVRGCDDGVSYDVFHHVLSYFILYEDK >RHN50822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10815880:10816163:1 gene:gene35157 transcript:rna35157 gene_biotype:protein_coding transcript_biotype:protein_coding MFKIDTHTNEICIFWIQFNTESRYVSPVLYDIDNHDSSYTYARYTPKGLRITNKKLSD >RHN56054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27215530:27219315:1 gene:gene31377 transcript:rna31377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MYKIIKFVYAMIILNSLFLFAMNVAEYITCETDADCPKSPLTGFGWKCIKRRCVYFDIEPYKYQT >RHN55155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15628479:15633737:1 gene:gene30291 transcript:rna30291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative macrophage elastase MNMMKLYQFELLLSLLFIIVNTTLSGYIPQLSPSLGKQTEEIQGLSKIKQHLYHFKYLQGLYLVGFDDYLDNKTISAIKAYQQFFNLQVTGHLDTETLQQIMLPRCGVPDINPDINPDFGFARAQGNKWFPKGTKELTYGFLPESKISIDKVNVFRNAFTRWSQTTRVLKFSEATSYDDADIKIGFYNISYNSKEVIDVVVSDFFINLRSFTIRLEASKVWDLETVAMHQIGHLLGLDHSSDVESIMYPTIVPLHQKKVQITVSDNQAIQQLYTKQTNQDRDELGFFDYSGDFFESSSGLLNSFIYTHNFVYALMNMMKLYQFEFLLSLFLIIVNTTFSGYVPQLSPSLGKQIGKTLDKIKQSPPSDEQPTKEIEGLSQIKQHLDTFGYFQRSPLDFDDVLDKETISAIKAYQQFFNLQVTGHLDSETLQLLLLPRCGVPDMNFEYSFTDAINISLPKGNKWFPKGTKKLTYGFHPESQISTDMIKVFRNAFTRWSQTTRVLNFSETTSYDDADIKIGFYNITYNDAVDDVVVGDSFISLKLDSKAKSGLIRLDASKYWVLPTTYFWYWEFHQFDLETVAMHQIGHLLGLDHSSDEESIMYPTIVPLQQRKVQITVSDNLAIQQLYTNSVKANPDSDHSGCFKLFESTFDTSLSLGFAFVALMNLAF >RHN54441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9615235:9620434:1 gene:gene29482 transcript:rna29482 gene_biotype:protein_coding transcript_biotype:protein_coding MSCARVRSTASPYVLIIFVSVNIKQKIESLIIPSSTDKNVEIVKPDVLIGVQTLVPPLCVCELMMTLPFLLCTKKQAKDWDIVICLAINI >RHN80719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40415647:40418460:1 gene:gene4677 transcript:rna4677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MAGSNQLNPNDSKMVVPLNMWVLISNFKLAYNLLRRPDGTFNRDLAEFLDRKVPANANPVDGVFSFDVIVDRETNLLTRIYRPVEGEEQHVNIVDLEKPVTAEVLPVVMFFHGGSFAHSSANSAIYDTLCRRLVGICNAVVVSVNYRRAPENRYPCAYEDGWKAVKWVNSRTWLQSKKDSKVHIYMVGDSSGGNIVHHVALKALDSGIPVLGNILLNPLFGGEERTESEKRLDGRYFVRVKDRDWYWRAFLPEGEDRDHHACNPFGPKGRSLEGVAFPKSLVVVAGLDLVQDWQLGYAKGLEKAGQNVKLLFLEQATVGFYLLPNNEHFSVVMDEIKHFVNSDCS >RHN81796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48937463:48940280:1 gene:gene5885 transcript:rna5885 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSYQPSSNQSKLNNPNSPYTSEVSDHSLHSDKVPYFETVLREKQDVLEGNEVEDIKERVEKEEKNGLMLRAFESFTSIKDSLSRVKESLEGKKDETFVREIVCVESELRDESMVLLLEEASFISRLATEVETKVENYKELRKKEKRELENSLISLTEENRDINNLLRVALLEKEALEKKIKGHDHKRMPLLQFAEFGLQKVGFRFMMGGGNNEQSMDSTSEANNSDSSECEVEVVSLASTVERIMKNLRLEITQLRKSLEESRSDTERLQCLTEKQTKEIAENKLYIKELEDRERILAQNVEEFLIEIKETEEEVARWKEACELEVKAGKKEIEERDKMVAALKQELQKTKGALEISNGKLRLKEELAMTAIAAQEAAERSLKLADSRGVELRRWIEELTRQLEESEKRECNSHKVSRRICWPWQVFRLSSSNIATSRIGNAKRMIPEMQSFLQ >RHN39287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5596395:5598624:1 gene:gene45297 transcript:rna45297 gene_biotype:protein_coding transcript_biotype:protein_coding MPIISLPETIKYLPRLIRVNVYYCELLQSIPALQRFIPKLLFWDCESLEEVFSSTSEPYDKPTPVSTVLLNCVELDPHSYQTVLKDSMGGIELGARKNSENEDAHDHIILIPAMPGMENWFHYPSTEVSVTLELPSNLLGFAYYVVLSQGHMGFDVGFGCECNLENSSGERICITSFKRLNIKKCDWTDTSIDMMSDHLLVWYDPRSCKQIMDAVEQTKAISDGNSTSYTPKLTFTFFIDETLYDEVEIKECGFRWIYQEETVSSTISESNDEEETLSSSDFQSNEQEEIVPATSFESDDLEETIPPRKKLKIDIVGTPPSNPEY >RHN60734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30006498:30006770:-1 gene:gene23090 transcript:rna23090 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDDFGGRVMVVCDGIRLRHDGSEVVIEQTGLESRGEGLGTPRCGDPYGRERQITKIWVDLPPLNQGETLVWVNESMLSSEREGPPSL >RHN53290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:706280:707978:-1 gene:gene28181 transcript:rna28181 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVVEKKRAEMGKKRTKNRAKSYTLPSSPSHDSFSSSSSSDFEFTISISPRKSSNTLCPADELFYKGQLLPLHLSPRISMVRTLLLSSSSTSSATSSSTAPRDSTGSSASNDSTTSFISDLALFPDSCNSSSRPSSVTEEDELKRLHNSSNSTRSNSQLKKTNKYFSLSRFSSVFRKETVAKTQEGETVANSINNSSVKMKRMSVTAKEVIRKYFKKVKPLYEKLSQKQQTVEEGANSNTNTTTLTVMSLLTKTERSEKKENVASTMLSHSFSGNLRYSRKRSCVSSCPSSMRSSPSHSGVLSQKGVLLRGDRDASSMEELQSAIQGAITHCKNSLITNKHGVSSNHEINLI >RHN43426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45768294:45772296:-1 gene:gene49997 transcript:rna49997 gene_biotype:protein_coding transcript_biotype:protein_coding MESRFGHLGFPVNNSANAFKILGSSMQVEGSGVDYYGTDTMLRLDSPGTSIPSLKPSSRGLKRKWDLIDGCIDQTVGSSLSLGLGRSTSSSDSKGSSAVACTAMSSGKDVDEESSMDIGLDFTLNLGCEKVHSLKKSADSNMKASRFDLELSLSTRPCESDITSVHLNPSPLQLNMEMASVFSGTQNADEGSTSCSWIPGVVLPSLKASSNTDTSFLLNQAPKQFDHSPIVLDHSSTGPKSAVTCISGITHQQQPPHRHVNSKICQVEGCGKGARGASGRCISHGGGRRCQKPGCHKGAEGRTVYCKAHGGGRRCEYLGCTKSAEGRTDFCIAHGGGRRCSHDGCSRAARGKSGLCIRHGGGKRCQRENCTKSAEGLSGLCISHGGGRRCQVAGCTKGAQGSTMFCKAHGGGKRCTAPGCTKGAEGSTPFCKGHGGGKRCTYQGGGICTKSVHGGTNFCVAHGGGKRCAVAGCTKSARGRTDHCVRHGGGKRCSWGHPGSEYGIQPDGACTSFARGKTGLCALHSGLVHDRRVHGGLSLGSLPDPRSSKPDQLRQSLFDRDMEVAMMKIGSGTAAAPLNQLELASARASADEGGHFPMSVAVPEGRVHGGSLMAMLTAGSGSGTRTSTGKGIANIDPSMPIKGYNVMPQSWI >RHN45953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27213551:27216699:-1 gene:gene40383 transcript:rna40383 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TPS5 MSTVAYSDHDAKQRNLADYHPSVWGGFFLQYASETMELDQNLASQIDTLKDEVRNMLVSKTEMPLTKVKLIDSICRLGVGYHFEKEIDEVLQHIHKSYVENGEITLEDSLCSLAMLFRVFRQQGLHVSPNVFNKFKDKQGNFNENLSTDVEGMLSLYEASHMMVHEDDILEEALNFTSTHLESIASQSSPSLAAQIEYTLKQALHKNIPRLEARHYISIYEKDPTCDEVLLTFAKLDFNLLQSLHQKEFGNISKWWKELDFSTKLPYARDRIAECSFWVLTAFFEPQYSQARKMMIKVITLLSIIDDTYDAYGTIDELELFTKAVERWDISSLDELPDYMKPIYRSFLTIYEEIEKEMRKEGRIYTLDYYKIEFKKSVQAFMTEARWLNENHIPTTEEYMRISKKSGAYPLLILTSYIGMGDIATKEIFNWVSNEPRIVNAAATLCRLMDEIVSSEFEQKRGHVCSLLDCYMKQFDMSREAAIQECKNRMTIVWKDINEECLRPTEVPMPFMTRVLNLSRFMDVIYKNKDNYTDSDGLMKTCIKEVLVDPVPI >RHN79262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26806306:26810075:-1 gene:gene3029 transcript:rna3029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Actin family MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLSYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >RHN81576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47201080:47201340:-1 gene:gene5645 transcript:rna5645 gene_biotype:protein_coding transcript_biotype:protein_coding MFILFSSRLTLLNIQIVASILFSIIVLLFSIFTASFLFSNHHRYIQLSSLFYSPLSFGSPTPI >RHN75396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41930083:41939355:-1 gene:gene11588 transcript:rna11588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling DDT family MKLPRKSKSTEPPPPPMAPPSGDPPSEPPKRRGRPRKQRRKEKETVTVELKKPIVLIGRYVLKEFSERVDQIGKVLSYKIGLYRVDYENGDFEDLDSSAIRRILIEDCDFDDDLIRRKNELDQSLLNKIIEESSELNVEDQEESQDVMDDDSRDSCSDAETPVELPVALELPPPLELPPSSGTIGVPEKYVSYLFSVYGFLRSFSIQLFLYPFSLDDFVGALNCRVPNTLLDAVHNSLMRALRRHLEHLAFEGSKIASRCLRCSEWSLLDTLTWPVFLIEYLAVNGYIKGSEWKGFYDEIFNGDYYSLPASRKLVILQILCEDVLESEELKAEMNMREESEVGKNSDVEDSPPVKNGLKRVHRRYAKTTDFQDEEPTKFVSKLDAVNLSANSEDEVDKNGDECRLCSMDGTLLCCDGCPAAYHSRCIGVMKMYIPEGPWHCPECKINMIGPTIARGTSLKGAEIFGNDLYGQLFLGTCDHLLVLNFNSSEFCHKYYNQNDIHKVIQVLYASVQHRQVYSGICMAMLQYWNIPESSSHLCVPNGTHLSSSSKIDENDHKGFTLGKAEYGPDFVVSTINHQSDMSCPNPDNKSTASISSKCSLVSNQFINYGNANDTSLPLQTNGDQTGFGKCKGNITSNFVYMGCSYKPQSYINNYMHGDFSASAAANLAIVSSEDSRSEGHVFDLKKATPDNPNLVAKAFSLTASRFFWPNSDKKLGEVIRERCSWCLSCKAIVSSKKGCMLNHAAIYAIKSAAKILSGLAPVRSGEGISPSISTYVIYLEESLHGLVDGPFLSENYRKLWRKQMERATSFSNIKPLLLIFEENIRTIAFCGDWVKLTDGWLVESLTIHSDTSTLGTTQERASCDRCRKQLPIKYTVNKCRENFGWWNGKFTKSVFQKAALPKFLVRKAARQGGLRKILGIVYPDVSEIPKRSRQLVWRASVEMSRNASQLALQVRYLDFYIRWIDLIRPEYNLQDGKGQDTEVSAFRNANIYDKKFAKGKTSYAIAFGSQKHISSRVRKIAEIEEVPEGEKLWFSETRIPLYLVKEYEVRNKKEPSHKDNLNIASQWHKRQLNAIWKDIFSYLTCKRDNLDLLSCSVCKQCVSFRSALKCSVCKGHCHEGCSIDSTFSTYTDVEFLTICKQCYHARLPTQKETINKSPNSTVLLKGRSLTILKEPVPKFDDEIPRSTRENDCRPDMEKVASHSPLETKSSCRISPLETKSSGKKSSWGIIWKKNNNENTGIDFRLKKVLLKRRSSLPQLEPVCHLCHKPYRSDLMYIGCESCTRWYHAEALELEESKIFSMLGFKCCRCRKIKSPVCPYSDLVRKEHVVEQSGSRASKRKRSGADSSSETVADLRACEPASPVFPSEAVSKEENNPFPFSLSNVELITKPKLELNAEKSHSQASKKNHSGEGSGSGTLADTRASEFTTPIFPAEDVFKPENNPLPFSLSDIELITDPKLKLDAGKSHSQASKKNHSGADSGPLADTRVSEPATPIFPTKDVSKTENNPPPFSLSDVELITEPELDAGFERNTISGSGLQKIQTTRHFKPEGDNNSSFGGEVWHAEISTRDEMGNLPAEVLSPFIEQDFVFADCNLLSDFDIVDNEYMDFESETHFSSSEFLHLDSSSHFEEADTFGDLSGFVKNSCTLGVLEECATTSLQNNQSPTISSNGNVHNCWQCSQTEPAPDLSCQICRMWIHSNCSPWIESSSRLGDWKCGNCREWQ >RHN44640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7151140:7151481:-1 gene:gene38783 transcript:rna38783 gene_biotype:protein_coding transcript_biotype:protein_coding MGDISGTFPVSVRVRTKHTEKNRVGLWGQSAILKVVWDVTRIMTCSNTPSNFTTTQPPVCQRRHHNKPKYLSQETDSSSRIKIDRSTGLHKQIIEVPLNTWCTEENTKTSPKV >RHN78335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14213248:14219835:1 gene:gene1877 transcript:rna1877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (+)-abscisic acid 8'-hydroxylase MQLFTSLTNSCLDFSHPFIILLLFFTILPFLQWWKHHRDKDKRLPPGSMGWPYFGETLKLYTQNPNSFFSTRQKRYGDIFKTHILGCPCVMISSPEAARAVLVTQAHLFKPTYPPSKEKLIGPEALFFQQGAYHSMLKKLVQASFLPSTLKNSVSHVDQIVQKLVPTWANRTINTLQEMKKYAFEVAANSAFGEINEMEMEEIRELYHCLEKGYNSYPLNLHGTSYWKALKARKVLNESIRRIIERRKESRNYGGGLLGILLRGRGDEKMNQLTDSQVADNIIGVIFAAHDTTASVLTWVLKYLHDNVNLLETVTKEQEEIRSKVSRESRGLSWEDTRQMPFTSRVIQETLRSASILSFTFREAVRDVKLQGYSIPKGWKVLPLFRTIHHSPHFFPQPHMFDPSRFENPPRPNTYMPFGNGVHSCPGSELAKLEVLVLLHHLTLSYRWQVVGNGDGIQYGPFPVPKHGLPVKITLKNS >RHN40335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15421322:15421487:-1 gene:gene46481 transcript:rna46481 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEIHEKKYKYWVRDPFSLASDNINFIIIMTYLILFTSFFVVSIHMCVIF >RHN74710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36072884:36077326:1 gene:gene10818 transcript:rna10818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MDVIISIAAKIAEIMVAPIGRQFRYILYYKDYLKKMKTDVQKLEGIKNSVQHTVDEARRNGEEIENVVQNWMSKVDNTFAEANKLIDNEDLAIGQRSMRNFPNLRTRHQLSKKIKKMIQKISEVIVEGKFDRISYRASLQVTITPFGRGYEALHSRTSMLNEIMLALKDPNIFIIGVYGMGGVGKTTLVKELAWQAENDESFSAVVMATITGSPDVIEIQGQIADALDLKFNKETKEGRARELRERITKEKSILVVLDDIWRRLESTELGVPFGNDHKGCKVVVTSRDMNLLSCEFGTQREFRLEVLNEEDSWQLFKKMAGDVVQDFNIKPIVVKVARRCAGFPLLIVTVAKAFRKKKIFDWKSTLNELERFDQEGLHKKIYSALELSYNCLESDELKFSLMASLSIENQAVIDKLQVVCEFPEVFPDEILDVPPEREVEFSIDLIPGTKPISMAPYHMSASELAELKKQLEDLLDKKFVRPSVLPWGAPVLLVKKKDGSMRLCIDC >RHN39690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9636320:9645927:1 gene:gene45739 transcript:rna45739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyridoxal 5'-phosphate synthase MGSIAWKQLLLNALESNTHLKHVSYMQLATVGTNGKPSNRTVVFRGFQDNTDNILINTDTRTRKIEELKLCSSAEICWYFTDSWEQFRINGHVDIIDGTNPDPLKLQQREKSWFASSLRSRSQYLWPNPGLPCLNEQAQIEIMLDPSVGPVDAFCLLVLEPDQVDYLNLKSNQRLTFRSSLTDAANKSWIVERVNP >RHN47099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37120283:37121663:-1 gene:gene41655 transcript:rna41655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I MAAASPMASQLKSSFTRPLVTPRGLCGSSPLHQLPSRRQFNFTVKAIQSEKPNYQVIQPINGDPFIGSLETPVTSSPLVAWYLSNLPGYRTAVNPLLRGIEVGLAHGFFLVGPFVKAGPLRNTEYAGSAGSLAAAGLIVILSICLTIYGVSSFNEGDPSIAPSLTLTGRKKQPDPLQTADGWSKFTGGFFFGGISGVTWAYFLLYVLDLPYFVK >RHN76998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3099919:3102533:-1 gene:gene405 transcript:rna405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MLNSPSSCSSNIINQSKNILVLFSLLLVLSYLPKTNSLLFNITNFDDPTVASNMSYQGDGKSTNGSIDLNKVCLSTESNVIHSWEFSSNLEDSNSTTSLVEGNDGKGSLKTVIVVVAVIVPVILVFLIASIVGWVIVKRKRKNCDEGLDEYGIPFPKKFDLDKATIPRRFEYSELVAATNGFDDDRMLGRGGYGQVYKGALSYLGKIVAVKRIFADFENSERVFINEVRIISRLIHRNLVQFIGWCHEQDELLLVFEYMPNGSLDTHLFGDKKSLAWEVRYKVALGVANALRYLHDDAEQCVLHRDIKSANVLLDTDFSTKLGDFGMAKLVDPMLRTQRTDVVGTYGYLAPEYINGGRASKESDMYSFGIVALELATGRRFFQDGDFHVPLMNWVWGLYVEGNLMCAADEKLNMEFDVSEMKSLLIVGLWCTHSNDKERPKAYEVIKVLQLEMALPELPLDMHDRAPPIVPFRQSNGPSMAPPMTNSLITSGR >RHN63801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54091801:54103915:-1 gene:gene26553 transcript:rna26553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative G patch domain-containing protein MDSDEEDFVFFGTPIEREEDSISRKKKAIAESSGQLRTLPAWKQEVRDDEGRRRFHGAFTGGFSAGYYNSVGSKEGWEPQTFKSSRKNRAEFKEQNILNFLDDDEKADLEGQFLGTSSQFDTFGSTAAEIAHKQAEKEQKQRPSIIPGPAPDELVIPATESVGVKLLLKMGWSRGRSIKDSHADALYDARRQARRAFIAFSSDDTKVKVTDSEPTKGDIDNFLDQPVNDDAQSSKSTPVYVLNPKQDLYGLGFDPYKHAPEFREMKRSRPSSKTGSGHSKKFSARDSLFGFKSGKAAPGFGIGALEELDAEDEDVYATGYEIEDAYVQEEIEEPSMLTLEKPKKEDQKDQGNLPGFRAASNSDYKMERFEAPLVPKDFVPHHAFSGPRDINHQNYEVPPPDVPPPEDNTLKLLMEGVANLVAKCGKLYEDLSREKNRSNPLFNFLSGGTGHDYYARKLWEAQQKCNDQTRVQLDGKMPPGVQRLTAESRGQILGEKPLEKTSEDPSSSISSTDIQLQYNLIDTFTKSASFSELSEFEKPFKDDPAKQGRFEQFIKEKYKGGLRSGSSSLAGNMSEAARAQERLSFEAAADAIEKRKQSRGSKLSIPSSMDFITGGVMEFTSGAIEPTKDQPAVDFKEKKMYPKREEFQWRPSPLLCKRFDLVDPYMGKPAPAPRIRSKMDSLIFTSDSVKGKVEEPVTAKKDISILQQSANKGINKSIAENETEEEVEVENIERPVDLYKAIFSDDSDEGEDNNIVKVENQEKKAEVANTALSRLIAGDFLESLGKELGIEVPPDTPYPTQKSGKDAPLKENVNEYAKPEFMNGENNSVVSLKHDLPQHQYITHEGGPSRGDNSYGNMLDNQSIRTKGTSVSDSKSRKSNGEKREDDRKVKSPLVGNQDYSSSSEEERSRKRSRHHRHRRRDVGSDSSSDDEKDRRSSRSKGRREKSSREKSSGSKKHSKHKKHRKHDSPSRSSHYSMDRDDAYSRKEKRRRE >RHN54720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11574084:11574353:1 gene:gene29798 transcript:rna29798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S13 MNMRAGKLSAAELDNIMTVVANPRQFKVPYWFLNRKKDYKDGKFSQVVSNQLDMKLRDDLERLKKIRNHRGLRHYWGLCVRGQHTTTTG >RHN63984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55658221:55659540:-1 gene:gene26753 transcript:rna26753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MVLATLLLAVLFIAGLINIIFYIPTNKIRAFLQIIFPTNNSNVSKTNLVTTTKLEKDANYGKEELKKVFSTFDKNGDGFITKQELKESLRNIRIFMDEKEIDDIVGKFDSNGDELIDFDEFCLLTSEFMGGGEGEKEGGVGSKEDELEELEANLKEAFDVFDKDNDGLISVEELALVLCSLGLSEGNKIEECKEMIRKVDMDGDGNVNFNEFKRMMKNGGANLFAT >RHN42934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42033919:42035108:1 gene:gene49442 transcript:rna49442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MEPSKNAGGYEIGEYYTFEFNPTADADRYEKEEPSDNICLLNMKVMIKTTPSLYRSINELCWLDISNCESLTCLPAELFNLNSLRRLYLGGCLKLEELPEIEETMENLTVLILDKTAIKELPSSLHHLVRLEELSLQMCTRLETIPSSIGSLSKLFKLDLTYCESLETFPSSIFNLKLTKLDLHGCTMLSTFPEILEPSESFAHVNLTKTAIKDLPSSLEFLVGLQTLRLRLCSNLVSLPNGIVNLNRLSLLDFSDCCRLTGIPNNIGHLSSLTELSPQESSIVNLPESMTHLSSLKSLDLSDCKRLE >RHN58111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43813257:43819965:1 gene:gene33720 transcript:rna33720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein Hsp90 family MHRLSKRSSSVSALLRYGGALRSEPVAPPLSSAYSHSVGENDTKPRWYSILNSEKSGSVNQLNLKRDLFLGKRYESTAAESNATSSPPAEKFEYQAEVSRLMDLIVNSLYSNKEVFLRELISNASDALDKLRFLSVTEPDLMKDAIDFDIRIQTDKDNGIITITDTGIGMTKPELVDCLGTIAQSGTAKFLKALKDSKGAGADNNLIGQFGVGFYSAFLVADRVVVSTKSPKSDKQYVWEGEVNASSYTIAEETDPEKLIPRGTRLTLHLKRDDKGFAHPERIEKLVKNYSQFVSFPIYTWQEKGFTKEVEVDEDPAEAKKDNQDEKTEKKKKTKTVVEKYWDWELTNETQPIWLRNPKEVTKEDYNEFYKKTFNEYLEPLASSHFTTEGEVEFRSILYVPAYAPSGKDDVINPKTKNIRLHVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISMSDNREDYEKFWDNFGKHLKLGCIEDRENHKRLAPLLRFYSSQSDEEFISLDEYVENMKPDQKDIYYIAADSVNSAKNTPFLEKLAEKELEVLFLVDPIDEVAIQNIKTYKEKNFVDISKEDLDLGDKNEEKEKEMKQEFSSTIDWIKKRLGDKVASVQISNRLSSSPCVLVSGKFGWSANMERLMKAQTMGDPASMEFMKSRRVFEINPDHSIIRNLDAACKTNPEDQEALRAIDLLYDAALVSSGFTPDNPAQLGGKIYEMMGMALGGKWSSPNHFESAQTQYHVPETVEAEVVEPTEAGNQK >RHN73737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19469412:19471687:-1 gene:gene9593 transcript:rna9593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKLMKLFYVMIHFISLLLITTNVRAYDDCYNHTECTNKIKCVPPRIAQCFRFKCDCIRLNNGPKTPWSATPKRVHISPTRKNDF >RHN72006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4338992:4343757:1 gene:gene7673 transcript:rna7673 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLKLGTLALKTLSKPLAGKLKQQAAIHPRFRQLIVNMAQSNHQITTKMQRRIYGHATDVEIRPLNEEKAVQAAVDLIGELFVFSVAVAVLIFEVQRSARSEARKEEIRKRELEAVRQKNDGLAEEVELLKLRLQELEQLARGRGLTGILNFKHIISKENEKTEKTA >RHN52734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38253307:38258931:-1 gene:gene37436 transcript:rna37436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MTVKTPGTPASKIDRTPVSTPGGPRAREDKIVVTVRLRPLNRREQLAKDQVAWDCIDDYTIMYKPPPNERATQPASFTFDKVFGPASITDAVYEEGVKNVALSALMGINATVFAYGQTSSGKTYTMRGITEKAVNDIYNHILNNPERNFTIKISGLEIYNENVKDLLNSEPGKSLKLLDDPEKGTVVEKLVEETARDDQHLRHLISICEAQRQVGETALNDNSSRSHQIIRLTIQSTLRETADCVKSFVATLNFVDLAGSERAAQTHADGTRLKEGCHINLSLMTLTTVIRKLSIGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPALSHVEQSRNTLYFATRAKEVTNNAQVNMVVPEKQLVKHLQKEVARLEAALHTPDPSKEKDWKIQQMEMEIEELRRQRDQAQTQVDELRRKLQEDQQVSKPLEPAHPSVKKCLSFTGALSSPKPGLGCERVRNTSLRQSMRQSSTAPFALMHEIRKLEHLQEQLGEEANRALEVLQKEVACHRLGNQDAAETIAKLQSEIREMRSVKPIPKEVVVGGMVSVHKSVSANLKEEITRLHSQGSTIANLEQQLENVQRSIDKLVMSLPNNFQTLTSEASPKNKKEHKRKKLIPLCSSNSTNRPNFIRSPCSPLSTTQQVMESDIENKAPENDDNVSTEIHPESEKNTPTKSEDAGDVTSKENTPSPGYRRSSSVNMKKMQKMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANEASGQDIEEEVYTGEPEEPQVSWQVTFKEMQHQILELWDVCYVSIIHRTQFYLLFKGDPADQIYMEVELRRLTWLQQHLAELGNASPAPTVGGDELTISLSSSMRALKREREFLAKRLISRLTPEEREILYMKWDVPLDGKQRKMQFVSKLWTDPCDQRHVQESAEIVAKLVGFCTGGNMSKEMFELNFVLPSDKRPWLMGWNHLTNLLNL >RHN44335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3629908:3632762:1 gene:gene38442 transcript:rna38442 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVELVTGCELKMPAKDETRKVMGQIKGSGIVFRNQLPYLLPKMFPNGCYEVHII >RHN51773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24773919:24774356:-1 gene:gene36291 transcript:rna36291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MKFREIDTQEEFEEILHKIKQEPFDCSKKDNCRCDDPADIEYDSTRTWVKYKPNIPKTPKGFKRISVLRDDYSKLDSYYITPTGKQLRSRNEIAAYLKDHPQPNGVSALDFDFSSPKVMQDTIPDIIVKQKDSANKKVKIAKDEV >RHN78648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17176395:17176909:1 gene:gene2281 transcript:rna2281 gene_biotype:protein_coding transcript_biotype:protein_coding MRALNNIVLVFLLLLTIIHVRPNLGVRVLNMKELRLQALDKGPVAPSGPSGCTFIPGSGGTHCPIEERNVAGHTKHHHSSAST >RHN72870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11409772:11413399:1 gene:gene8632 transcript:rna8632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase, root isozyme MSHLSASQMAVTVPVSNDFSARRSVFKASNINFRDKSWAPVFALDMKAKNCGWRRNQNVICMSVQQASVPKVAVSPLELENPAEPPLNLHKPKEPYTATIVSVERLVGPKAPGETCHVVINHDGNVPYWEGQSYGVIPPGENPKKPGAPHNVRLYSIASTRYGDFFDGKTASLCVRRAVYYDPETGKEDPSKNGVCSNFLCDSKPGDKIKITGPSGKIMLLPEDDPNATHIMIATGTGVAPYRGYLRRMFMESVPTFKFGGLAWLFLGVANSDSLLYDDEFTKYLKDYPENFRYDRALSREEKNRNGGKMYVQDKIEEYSDEIFKLLDNGAHIYFCGLKGMMPGIQETLKRVAENRGESWEEKLSQLKKNKQWHVEVY >RHN49116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52557654:52563615:-1 gene:gene43910 transcript:rna43910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cathepsin B MKMAPTILPLVTLFLAFSVSYLSIGDAETDEKLNGLKLNSHILQESIAKQINENPEAGWEAAINPRFSNFTVGQFKRLLGVKQAPKKELLSTPVVTHPKSLKLPKEFDARTAWSQCSTIGKILDQGHCGSCWAFGAVESLQDRFCIHFDMNISLSVNDLLACCGFLCGAGCDGGTPIYAWRYLAHHGVVTEECDPYFDQIGCSHPGCEPAYQTPKCVRKCVKGNQIWKRSKHYSVKAYRVKSDPQDIMAEVYKNGPVEVAFTVFEDFAHYKSGVYKHITGSALGGHAVKLIGWGTSDEGEDYWLLANQWNTNWGDDGYFKIKRGTNECGIEDDVTAGLPSTKNIVREVTDMDVDAGVSF >RHN76931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2488862:2492195:-1 gene:gene324 transcript:rna324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MQDLFISGLLIFSIVSCNSFVGFSQNTFNVLQYGAKGDGTSDDTQAFLEAWKALCAANQGTPTLVVPAEHTFFVRQATFQGPCKSQNLHIQIMGNIIAPHRDAWGSCSKRWLYFLNVHGMTVDGSGVIDGRGEAWWSNLNGTKGCAANARPTALLFERCDGLQLSGLTHINGPAMHVYVVHSQDVTISHINISSSKDSYNTDGIDISNSIRVNIHDSIIQSGGGGAEEFADRVNVRNCTFNGADSAARIKTWPGGKGYAKAIIFDHIIVNQIRNPISIQQHYMRGGEQKDAVKVSDVTFSNIYGTCSGDDAIFLDCANIGCDNITLEQINITSVDPKKPNSAICNNVQGKANNIISPPFHCLHQ >RHN60959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31845955:31846726:-1 gene:gene23364 transcript:rna23364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MVLGSQKFYCPFNDCSAMLLNDEKGIVTASECPHCHRLFCAQCKVSWHTGVDCKVFQSLKDGERGMEDLMAMELAKNKRWKRCPKCGFYVEKIVGCTRISCRCGNQFCYGCGSPWNNQSHYACPTQ >RHN50619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8600805:8601711:1 gene:gene34927 transcript:rna34927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MSMCENCCLAPSAFNQFMEVGRQSTSARNMFHLAQNVQSGVLTKFDFMSPHLNFWHYGRPTPPVYNLSNIPKNVPIFMSYGGRDALSDVADVKRLLNQHFQNHEADKLSVQFIDNYAHADYAFGVNANDLVYNNVTSFFKRQW >RHN57077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36277698:36278225:-1 gene:gene32572 transcript:rna32572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MAPSACLSVLLVISMIFISHAISLPTPSPKLYKSVCKEPRQKEFEQRCLKLIEAYPKITLIKDYLTFCRSFLKTVAIKKAIKSQHRVKEIVKKYPSSQPIKECGDDYNTVVSEVQGALREDPEMISLAVKYASDALDMCERSLANEKIVNTSSIAALNHEMMLYTDIVVIAGGHL >RHN69585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44613037:44614752:1 gene:gene18068 transcript:rna18068 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MVLCCCDHAAGVKEPVKKSKKKEVSMKAESSASDQDKKKIVFFEDCNLAFDLEDLLRASAQILGKGNFGTTYKAALEDITTVVVKRLKEVTVGKREFEQQMEVVGKIKHENVDGLRAYYYSKDDKLVVSDYYQQGSVSSILHGKRRERRTLDWDSRLRIATGTARGIAHIHTQQGGKLVHGNIKASNIFLNSQGYGCVSDIGLVTLMSSIPSQGARATGYRAPEVIDTRKATHSSDVYSFGVLLLELLTGKPPVYSTEGEQAVHLVRWVKSVVREEWTAEVFDTELLRYSSIEEEMVEMLQIGMACAARMPDQRPKMAEVVRMMEGIRHENRPSSTESGSQVSTPTV >RHN72980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12321944:12326388:1 gene:gene8749 transcript:rna8749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribose-5-phosphate isomerase MASIASLLSTGLLLNSSPTSPLDRRRRRKRISPTFVRMMPIRSCLDDTSSLLQAAQYTVDTYVKSGMVVGLGSGHASGMAIQHLGRQLRNGNLKDVVGIPTSVASASEAAKAGIPLDTYQDSSQIDIAFDDADGVEEGTFVAIIGRRKLQSEESIIQEKSILNNANKLVFIIEENQYKGGLEGSIPVLIQSLNWLAAAEEIDDMFLGDAEVWRRPAIGQAGPLGGDFPLVTGEGHNVLDVIFTSPIPSLAEVAKILDNVDGVVDHGVISKTPCTVVIASPNGLNVLDKLTADVVG >RHN72438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7615963:7621589:-1 gene:gene8148 transcript:rna8148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine incorporator/TMS membrane protein MSCCLSCCTSLTCGLCTSVASGISQKSARIGYCFLFGASLIVSWILREVGAPLLEKIPWIDSSDTHTKEWYQVQAVLHVSLGNCLFFVVLALIMIGVKDQNDKRDSWHHGGWTVKIVIWLLLIVLAFFIPDSIMLAYGFISKFGAGLFLLIQVIILLDCTHNWNDSWVEKDEQKWYIALLVVSIGCYIAAFTLSGILFIWFNPGGYDCGLNVFFLSMSMILAFVFGVVALHPKVNGSLLPASVISLYCAYVCYTGLSSEPRGYECNGLNKSRAVSTGTLVLGMLTTVLSVLYSALRAGSSTTFLSPPSSPKAGESKPLLEEVEEGKSKKEEKEARPVSYSYSFFHLIFALASMYSAMLLSGWTSTSESSDLIDVGWTSVWVRIGTEWVTAGLYLWSLLAPLLFPDREFA >RHN65218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:309538:309901:1 gene:gene13037 transcript:rna13037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MSNPRFSIFLTACLALLCTCSLGNTLKWEWASEPMQDTLLGKGTFTASKLLNQKNVTAGASDYLWYMTEVVVNDTKIWGKARLHVDTKARVNLVLYMKRMFL >RHN70251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50006625:50007638:-1 gene:gene18812 transcript:rna18812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MCLKTRGLSLSSAYAIWVEKTLSLYPSFKETIAINYKATLQSHDFINKPDEAVKKVNLWATEKTNGLITDVLSCGSIDSLTRLIFANALYFKGAWHQPFDASETKDYDFHLLDGSSFKVPFKTSRESQFISVFDGFKVLRLPYEHGTIDDRHFSMYFLLPDAKDGLSALIEKVASEYETLEHILPDSIVDVGDFRIPSFEISFGFELSNMLKELGVILPFSNGGLTKIVDSPLWISNITQKSIIKVNEVGTEAAAVTVTGIAGCSQFTSIPTPIDFVADHPFLFFIREDLSGTILFVGQVLNPLLK >RHN46779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34825969:34826310:-1 gene:gene41307 transcript:rna41307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MCSLLIYAYSMAGQSQKARIAFENMKRAGIEPTDKCISSVLVAYEKENMLNTALEFLIELERDGIMVKEETSRILAGWFRKLGVVEEVELVLRDFATTTSHQIS >RHN75231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40366753:40367147:-1 gene:gene11404 transcript:rna11404 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YC11 MRQAGAYSGIVNGGIGRTGPHSLPLARIKKIMKNSSEDVKMISGVAPIVFSKACELFIEELTRRSWIMAIDAKRRTLNKEDVASAVIATDIFDFLITLVSNSDSSTDATTLMQMESI >RHN80441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38176458:38176934:-1 gene:gene4369 transcript:rna4369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MNHFQLFFHSSCILCLCNFYMFPEVAKLCLVYSLEIRGMINTLSLSPNTQYAAYLVFKMINAYGFDNEPMDLSVGVEGGHSSTKSVCLDPNVKHRVRQFFCKCYGWCPHRARRPRNKVLGLQRPNVRSDGWLEIEMGEFFNSSLEDEEIQMSVVEKFE >RHN53185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42632426:42638516:-1 gene:gene37962 transcript:rna37962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation transporter MEILGCYMKKFQLLCNTSFQKFACLTKSFFFLGICFYRFILLRANPLCVQILYFISISFFGFGFLKALNPLYQTSPKNLDLFFTSVSSTTVSSMSTVEMEFFSNPQLIIITILMFIGGEIFTSMLGLYFIRLKLKTDLGKIDSSHARFAFANPIIVDQIQIEVEMVTNSNPHITSLSNPNIKSHEPLSTTSENLRYLSMKYLGYIVLGYIIILHIIGVFGVSLYLAMIPSAKQVLENKGLKMFTFSLFTIVSTFSSCGFIPTNENMVVFRKNSGLLLILIPQLLLGNTLYPPCLRFCIWVLGKFYKKKECRYLLNNVEEVGYKHLLHWKHTLYLMATVFGFIIIQVTLFCLMDWNSEGLKELNYYQKLIGVLFQSINSRHAGETIVDLSIISQAILVLFVLMMYLPPYTSFLPWEDNGKMSESSERKQNKRREKVTENKILSRISYLVIFIILVCITERKQLKDDPLNFNVFNIAVEVVSAYQNVGFTMGYSCERQLHREANCENKWIGFVGKWSDEGKIILILVMLLGRLKKFNTDAGNPWKLL >RHN41621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31590021:31594701:1 gene:gene47963 transcript:rna47963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative primary-amine oxidase MATVEEKTTPICCSLQNKNKTAASATSPNVPQQKQQLPFISAVDSRLDPPPKSASSKELKDRKETISFDIGITVMAKAQTCHPLDPLSAAEISVAVATVRAAGATPEVRDGIRFIEVGLVEPEKQVVALADAYFFPPFQPSLLPRIKGGPVIPSKLPPRKARLVVYNKKSNETSIWIVELTEVHATTRGGHHRGKVITSTVVPDVQPPMDAVEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDPWCAGYHSEGDAPSRRLAKPLFFCRTESDCPMENGYARPVEGIHVLVDMQNMVVLEFEDRKLVPLPQADPLRNYTSGETRGGVDRSDVKPLQIIQPDGPSFRVNGNFIQWQKWNFRIGFTPREGLVIYSVAYIDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFYGGVETIENCVCMHEEDHGMLWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALQQGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEVNVKVEEPGKNNVHNNAFYAEEKLLKSELEAMRDCDPLSARHWIVSYYSFIFIPKKGKKNHQRLIIFPI >RHN78440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15115918:15121103:-1 gene:gene2005 transcript:rna2005 gene_biotype:protein_coding transcript_biotype:protein_coding MITLKGFFVVVIVSVSLDSLEKERAIYAVVLEKPRLKASCSILINPKSLLGALSSLHESDSEFRSCLRLFYFSSCCSFLTVVVQFRIIIIQTLIYTIIALQVIFGYFHCLLFLVSESHICVFTDQGCSSLSLIF >RHN51614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21051409:21052665:-1 gene:gene36089 transcript:rna36089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKRKKRTSLKSSQKIINEETYLPDECWESIFEFLIIKEDRCCLNSLSLVSKQFLSITNCLLFSLTIIENPTRLLLGRLFRRFTNLISLDLSQFNHDVNHLLRKISRYPLKKLTSLCISSNQFPFPTNGLRAFSESITTLTSLTCTHMFLYNNELLLNIADCFPLLKELNLAYPLDHNQIHYPAFLLSKFRCIQHLTLESTFFLNDQQIEELSLLHSDLVSINLNDCWKLTELSLFSLRLDLNRCYYISNAICQVLRCCKITHLNLTRCSIVNLLGINFLVPKLEVLDLSYTKVDDEQLFVISKNCCGLLQLLLKNCANITEKGVKHVVENCKQLKEIYLGDIHSSDKTRKLCLRHGCLLC >RHN44505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5516181:5520663:1 gene:gene38633 transcript:rna38633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DSBA-like thioredoxin domain-containing protein MSFLLSSNIKFNIQTNNKSNSLPKLLNSGASFLSLSFQKHCRYSRIMTDTNSAHSEKKLVRIDISSDTVCPWCFVGKKNLDKAIASSKDKYNFEILWHPYQLSPDAPKEGIEKREFYRSKFGSRSDQMEARMSEVFRTVGLVYSLSGLTGNTMDSHRLIYFSGQQGLDKQHDLVEELGLGYFTQEKYIGDQEFLLEAAAKVGIEGAEEFLKNPNNGLKEVEEELKTYSRNITGVPYYVINGSQKLSGGQPPEVFLRAFQAATS >RHN53280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:642921:649701:1 gene:gene28171 transcript:rna28171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MEKRSDYFKERNCRKPKPNLKKIVAKMEQTMLQNIVTVLLLLMLLLIFIFLFFLFKPWRFFFSSRFRSFIKGSADELERPLVEDNQNNINNNNNGLLSRDYDLEGACYYPNEVNFRSPRTHGQALVHKPRLHNVPVSNNFQQGGAGVVADSLVVDVITEDVGEVVKVVDHAPVLAQIPKNDFRNDTRLQDFVEKDISDQRSFLTLEVISGPSRGQQWSVQSTESSRLPLTLGRIFPSDFLIKDNEVSGKHALIKWNLDTMKWELVDMGSLNGTLLNSKSINRLDTERRHWGDPMNLANGDVITLGTTSKIIVHITSQNHHHIPFGVGMASDPMSLRRGGKKLPMEDVCYYQWPLPGLDKFGIFGICDGHSGDAAAKFASKLFPEIISSILSDSSRRERVLSLRDASDILRDAFSQTEAGINNSYEGCTATVLLVWADRDDNFYAQCANVGDSECIMSVDGQQIKMTEDHKISSHSERLRMEGTGEPLKDGETRLYGINLARMLGDKFLKQQDSRFSSEPYISEAVHIHQSSKAFALLASDGLWDVVSSKKAIQLVLQARERYNTDRENTAEKIASLLLSEARTNKTKDNTSIIFLDFDSFDRLSCKVES >RHN62412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43236534:43237197:1 gene:gene24985 transcript:rna24985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rapid ALkalinization Factor MLNIKSWIMFFFLAMLIAMVSAEASKVHDFTFASVRVGDLIGDDNEMLMDSESNRRTLAGRKRRYISYGALKANNIPCGQKGQSYYDCNARGQANPYRRGCTAITHCARTL >RHN81037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42801501:42820492:1 gene:gene5031 transcript:rna5031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDSKMCKPCTGVASSMNGVPLSVPNQEDEMARSLKDTVPVKTDVDIDLKEVYFLIMHFLSTGPCKRSFDQLRSELLEHRLLPRRYHAWFSRSGEPSEDDAGDDDGISLPLHYNNLMDRYPHVAKDHLVKLLKQLMLSTAHPLNGKVNSPNAADVPTLLGDGSFSLLDVDRKTTVKQVKLPPLYMRWPHLKANQVQGLSLREIGGGFTKHHRAPSVRSACYAIAKPSTMVQKMQNIKKLRGHRVAVYCAIFDGSGRYVISGSDDRLVKIWSMETAFCLASCRGHRGDITDLAVSSNNVLVASASNDFVIRVWRLPDGMSVSVLRGHDAAVNTIAFSPRPNAVYHLLSASDDGTCRIWDARSSQNPCIYVPRPSDAINGKGNAPPANLPSSSNAQRGLQILCCAFNANGTVFVTGSSDTFARVWSACKPNTDNSEQPPIHEMDLLSGHENDVNYVQFSGCAVSSKVMTSDSWKEENTQKFRNFRYSHDNIVTCSRDGSAIIWVPRSRRSHGKVGRWIRAYHLKVPPPPLPPQPPRGGPRKRLLPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHTESSYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGIPIRTYEIGRIRLVDGKFSPDGTSIVLSDDVGQIYFLSTGQGESQKDAKYDQFFLGDYRPLIRDAQGNVLDQETQLPPNRRHVQEPLCDSTMLPYPEPYQSQFQQRRLGALGIEWNPSLIKYTVGPVFSVDQDFQEIPLVDLEGMLDPQPEFLDASIFWEPEHDNVSDDNDSEYNVNEDNSSAAEQGAVSAISSSDLEYSEGDSNNRDGFRRSRRTNHGVGVEGMISSGRRVRKRNFEECNGNTSGNNRIKKSKGSSKSRKKKSSKAKTSRPQRTAAHNARNMFVQIGETSTDGEDDDSDDESSDSFQDSENFSEPERKIHNKHEELKKPLLEESADISNTPPYSESQANLESRPRLVLKLSLRDSKKNVPLEDRRFACENQADIVCQSSRPQPLERVQKTSPEKSFTGPDTNVMSDDTNANLPECHNRNENAISYLDTSVCHEGRIDQRRHKYEFSRSGDALLTDTEVNGHPEFNSIGKSHMTNKLEADSSMVNIELSDFDNTAKFSSLESWGMDNRQQIADGPIASGYDRLNDGDKGRSRSDKCTEDSQENNEVVHSNHTQEVKMKAPFKPTKIIIKKKQPPEDIANPLKLKVGIPKADSIGARSDVVSGNPAFTGPDRLTEAVEGGNGTSTSSPQLLNSYFDQRSYNHVHERNKSHKSEPNPNGFGFDLGENASIYSNQRDLGVDLSNVVSDPIRRPRSIRMKTTSEEPNAFNTRVKIRGGQSSRGTSSREDSSIKVSDELHQSTRSARNRSDEYIANDPGTLIRRMPNHHVKKLSWLMLSEEHEEGYRYIPQLGDEVVYLRQGHEEYVESCTMSEQGPWISFQGLRAVEICKVEKLEYAELPGSGDSCCKLWLKFVDPSSRAFRKSFKLILPELINFCDFVIEKTFYDAAMKRNWSPEERCRVWWRNEDGKGGSWWYGQIVALKAKSDEFPDSPWDRFKIEYDTDDPTEDHFHSPWELNDPEVQWEHPHIDHEIRDKLLSYFTKLYHREKYDFQALNQVAERTDFCNRFPVPFYPGLIQLRLQNDYYRNVEGVKHDIMVMLSNAEEFFRVIKNGKLQRKVERISEWLGRKLERI >RHN75715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44488923:44492290:1 gene:gene11954 transcript:rna11954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGGKLELGSCSNVGGSNNLREKGARIILRHIRAQGHPYIELRENGKKYIYFCVLCLARCYSDSVVYDHLKGKLHRSRLDYARRTLMKPNPWPFSDGLIFFDNSSEGDKEPETVNGNRNRLLKLIESGNDNCRAIVAFNEEAQSDAQPIARDDTQADGSMSVIPCLKIASEATNVKVRKIGLGKISARFAEKYGSLGNEIRRIWCEWLGKENNQQDDTEVQEHDFAVVVFPYSYDLGRDKVLEVEDAKSLLPSSSMLELEDERESGRKRKEPSSDVEDVDEIRRHYASEESPPLRNAPSTLTLDQSNSQLLQTNFVSNRAIRKAIRRRERLAAEKVCNICQQNMIPGKDVATFLNLKTGRVVCCSRNPTGAFHVFHTACVIHWILLCEYEMITDRLVNQNACQKGKKKIVTGSGKEDRDIKHVFCPECQGAGLIIADGLEQPKLCSLSQMFRLKLKIILQRKEWIKSSEDLQNCSIGFHFPSESEEIAEENVEPIKMLQFYRADDEHVVSKNCANVA >RHN80825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41096495:41100583:1 gene:gene4792 transcript:rna4792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGHFSSMFNGLAQSLKMKKGRSKCSGREAAEALAKEAKRNHSLINSSGTINVDGSNNFASVFSKRGKKGVNQDCCIVWEEFGCQEDMIFCGIFDGHGPWGHYVSRTVRESMPSALLCNWQETLSQTSIDPEIDLKTGKKHQQFNIWKHSYLKTCASIDQELEQNHKIDSFFSGTTAVSIVRQGELIVIANVGDSRAVLATTSDDGNLVPVQLTIDFKPNLPQEAERILDCQGRVFCLDDEPGVHRVWLPNEESPGLAMSRAFGDYSMKDYGLISVPEVTQRNITSKDQFVVLASDGVWDVISNQEAVDIVSSTEDRTSSSKRLVECAMHAWKCKRQGIAMDDISAICLFFHS >RHN65541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3065511:3079108:-1 gene:gene13388 transcript:rna13388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MPLVPSNKLDTNPNFFTQQHTSLYEYHQWHTPVSTSNTQGHDRTSISHLYNFTHSSLSHSHSSFLKITLISPKPFLQELGFFHFEQQWREFEKILNPKMMNKISRRKGKRKLASTVDDDDEDLDEFEVDGFLVDNAEDGGEEDSLMKTQKKKRRTRSSKNIVLDDDDLELIRENKRLNQGKMSDGKLKRLKKSGVDAEPMEHSSDEGSLFDDFSEEDDDMSDFIVDEEPVVYGKGDSLRQKKFKDLKHSSLLSKEAKQRSGKSGLHTVSGDPKNIHVAGEGNSVADTDLPERMQIIEDTVGSFPVDRMSIEEESSWILRQLVSNINPLFSEAKSCGLVDTVNREDIVRFLELHHIKKYDIPFIAMYRKEQCHSLLKDGKQDDLENTSMNDGEGNPKLNWHKILWIIKELDIKWLHLQKRKNMLQRYYNKHFEDECQMSFLAEESSFHKQIFDSITIMLEKAETEREIDDVDMKFNLYFPPADEFLSSGYKRPLMKTYYSDCRKAGLSSLARKIGNPEKFGSLVTLNEAEMVSEEDPEESPEEMASIYTCETFQTLEAVLKGARHMASLMLSCEIPFRKYVRSIFMDKALVSTRPTLKGNTAIDSFHEFAGFKWLKDKPLLKFEDSQWLLIQKAEEEELLKVEIKLPEDAVKELMTVCNDAYLKDSEGTSTQLWNEQRKLILQDTISNLLLPSMEKEARALLNAKAKNWSLMKYGMQFWNRVSVGPYQNNDNAAAKERGVVACCWGNGKPGTTFVMLDSKGELVDVMHAGSLTLRSQNINDQQRRKRDQNCVLKFLSIHRPKVIVLGAANSSCIRLKEDINEIISVMAEDNFQDAGQEMNGLPTVVLGDEGLPHLYEESEISTSQFPRQYGIVKRAVALGRYLLNPLAMVATLCGGNKEALSWKLDPLERFLSSDEKMEMIEWIMIDITNQVGIDINLGSRHDWLLAPLQFISGLGPTKAGMLLRELLGGTDVRNRKDLAKFGLKTKRVFCNAVGFLQVSCDDPNFVDTAGNVLDRTRIHPESYSLAEELARAVVTRHYADANDTQLNAIECIQNDPKLLESFDINEYADGLETETGECKKATLFDIRMELLHGFKDPRSPFQEPTQDDEFYMVTGEMGVALVEGERVQATVRRVLARQAFCELESGISGVLFKEDFSDDIGDMPLTEKLREGVVLKCKVKLIDKSRCQVNLTSKVSDLKSVGDQSFRDMDPYYCQGSIILPSLQESTDKKDLAEKSFLPRKISHPHFQNITADQAKEFLADKAVGEYIFHPSSRGLCYLTLSLKFFDAIYVHKDVVEGGKSHDMNRLVELGSTLKVGEEIFDNLDKVIELYLDPLVVHLKDLINFRKFKKGTKAEVDELLKHEKEEHPNRIPYGIGISYEHPGLFILSYIRSTKPHHEFIAIHPKGFKFRKHVFNNIEQVMGYFQNHINDVPQAKDQSKDYNDSGGGRGRGRGRGGGACHKCGESGHMARECTQEGGGGGGRGGGGSCYKCGESGHMARECTQEGGGGGGRGGGGSCYKCGESGHMARECTQEGGGGGGRGGGGSCYKCGESGHMARECTQEGGGGGRGGGGGGTCYKCGESGHMARDCTQEGGGGGGRGGGGSCYKCGESGHMARECTQEGGGGGRGGGGTCYKCGESGHMARECTQEGGGGGGRGGGACYKCGESGHMARECTQESGGGGWGGSGGRGRGRGRGRGSSYSSFSHDDNVDVNDGGGSGWGVTDGGSGWGGTGGKSWGGNNTNEESNTGKSGWGSGWGATGGKSWGGNSSNQESNTSKGGWGVTAASNGAPENEKAGWGASHGKNETPSGPSGGESGWGATGGKSWGGNSSNKESNTTEAGWGATTASNGGSGNENSGWGAAPGKNVTPSGGESGWGGTGGKSWGGNSTNQESNTEKGGWGVTAASNGGAGNENSGWGSANAKNATASGGESKWGETGSGSGWGGTGGKSWGGSSTNEESNITESGGSGYGGGGGRGGGRGGGGACYKCGESGHMARECTQEGGGGRGGGGRGGGRGGGACYKCGESGHMARECTQEGGGGGGRGGGRGGGACYKCGESGHMARECTQEGGGGGGWGGGGRGGGSGGGACYKCGESGHMARECTQEGGGGGGRGGGRGGGACYKCGESGHMARECTQEGGGGGGGGWGGGGRGGGSGGGACYKCGESGHMARDCTQEGGGGGGWGGGGRGGGSGGNCYKCGESGHFARECPASTA >RHN47097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37106169:37109923:1 gene:gene41653 transcript:rna41653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MGYRYFGSCRKFTKHVSVTNFHIVNFPFHSRSRQPCSFLHSTTSIGSPPSLRALHGHYFKKGSLQILNSANYLLTLYVKSSNLDHAHKLFDEITHKNTQTWTILISGFARAAGSSELVFSLFREMQADGACPNQYTLSSVLKCCSRENNIQFGKGIHAWILRNGVGGDVVLENSILDLYLKCKEFEYAESFFELMIEKDVVSWNIMIGAYLREGDVEKSLEMFRNFPNKDVVSWNTIIDGLIQCGYERLALEQLYCMVAHGTEFSPVTFSIALILVSSLSLVEVGRQLHGRVLTFGLNSDGYIRSSLVEMYGKCGRMDKASTILKDVPLNFLRKGNFGVTCKEPKARMVSWSSMVSGYVWNGKYEDGMKTFRSMVCELIVVDIRTVATIISACANAGILEFGKQIHAYIQKIGLRIDAYVGSSLIDMYSKSGSLDDALMIFEQIKEPNVVLWTSMISGCALHGQGKEAISLFEGMLNLGIIPNEVTFVGVLNACSHVGLIEEGCRYFRMMKDTYHINPEVEHYTSMVNLYGRAGHLIEAKNFIFENSISHFTSVWRSFLSSCRLHKNFNMGKSVSEMLLQSAPSDPDAYILLSNMCSSNHQWDEAAIVRSLMYQRGVKKQPGQSWVQLKDQIHSFTVGDRSHPQDKEIYSYLDSLIGRLKEIGYSLDAKLVMQDVEEEQGEVLISHHSEKLALVFSIINTSPRTPIRIMKNLRICNDCHNFFKYASQLLEREIIVRDTHRFHHFKQSSCSCGEYW >RHN44522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5687062:5687544:-1 gene:gene38651 transcript:rna38651 gene_biotype:protein_coding transcript_biotype:protein_coding MCHDGVCHWLGENRYDSEYESYLLSFDLGNEIFLITPMPSEIDSLYVGYRWKGLLTLNGFFALISACAEYDTFHISVLGELGMKESWIKLDTVYLLSYIERPINARKNIYRFAAVMQGILVSTDSSTLMIEELGYVGRHTFLSKTIFYKGSNLLIEGINQ >RHN48833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50564070:50572241:1 gene:gene43591 transcript:rna43591 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVATPSAMPPSSHRIRNGVSIGKRKTPSELRGEQLKRESVVDLTDECSQSSGSTNAIEVDNRFKKPGLFRAPRYNDTRLDEVFAAKKPRFKIPSGKENVKENPYMEQTSNLKNASIFSTSAVKRQQGVSRLEKSATSGEVTKDGVLQAGETNEKCSEGKFLSVADLSSAVDRSSGAVNIDMGKALRGLASLGPRGDNGLATDSSDGRGDSAPTIAVNSSSECQIPGKKAPLDLTLKTSMRIVSPASANWNENMRSSQGFKVLHSWMYPQSVLPPSIISVLSSSTAEGELEFLRKRQVAWEESFHDLYYMLRKNICGLFYVCTSQFIVMFTGGDGSGKSKCSCNAYISQSTRGFRSLLREHDLCFSMPLCRSKEEQVTTEDLVELSEIEKQNLGQTRRSRSFSDVDNSPESLLVFSGSNNVHGLFDLLLNYRTLVTSLSSVDVPVLCSPVPFQSSALTSPDIKCMEMRRAEDIAANNGSIWKDNEFAQGSSDGLLCSIEIKDTFIPPWIISRICALMGSEGRSFEASFLTEPVSSGLNVALKSTCEKSESKAAGSESLRGCSNSFGIPEAAVTSSLCSCTLKSVKYCDGSYIASLSPV >RHN78275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13483902:13485288:-1 gene:gene1809 transcript:rna1809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START domain, pectinacetylesterase/NOTUM MITTNLKSLIRYIVVHFVTTKITPSDLLRHASGISGNSRKPIKDIARHSVLITYIIRILTTLKEEIDVALTTEAFSTSVSTNASSCEESLVTAATVESKDGDRVQEVGCSTSDTISNEHHMKVAAEAMDKITESAASCSQLQGDVENKVLGGSSLSTKKHSISVPVYVDELVKVESVSETLSTKVVLEIALHVNQARLKGLVKDLPDLVPSPQPPLAPPWLQHSVYLEPLYPTPSSKMYEELQRLSPIVSIRESYFLRYCTQIEQGLWAVVDVSGRHSLRKMFGSVVTLQGAHKSLPRSCTNHLNPKLCFFSQHLIAIVRTPLFLVKAAYDTCQIQASLAPSSADYHWNWYECQKYYAHCSSPQIQYLLGFQYLQAVRVPMVLFKWKTFPPSVLMSLIYGATNFGKEANEECMVIGVYNSRVEAMRNTTLSYLPPFD >RHN42695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40286982:40287565:1 gene:gene49174 transcript:rna49174 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISCNHYDLHQRGYQSHLNQVYHEDHTVQLVLFAVSLS >RHN45412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20225068:20225569:-1 gene:gene39740 transcript:rna39740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MMSFRSFKRGNNMYEVVKFVYVMIIIFSPFHVAMNFEPFIRCLSANDCPNDMCELPQKPMCLIYSYETLTAGKCICF >RHN59105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7510712:7516104:1 gene:gene21094 transcript:rna21094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S10, serine carboxypeptidase, alpha/Beta hydrolase MAQRLNSFLVFQGPLPFELETGYVGLGETDDDMQVFYYFVKSENNPQKDPLILWLSGGPGCSSFSGLAHQIGPFAFEIKEYNGSVPSLVLRPHSWTKLSSIMFVDLPLGSGFSYAKNVTAHRSDWKLVHHTHQFLRKWLIDHPEFLPNEFYIGADSYSGIPVPPILQEISNGNEKGLQPLINLQGYLLGNPFTTHKEYNYRIQYAHGMGLISDELYSSLQRNCKGEYIHVDSKNELCSKDLRSFDELLSGINMDNILDSLCEDDMRRRRRPLTRELIPSLSSHLTVPEISCYIYGFYLSATWSNNESVRQALHIREGTVGKWYRCYNTDFEKEIFSSVEFHANLSKKGYRSLIYSGVLDAIVPFMSTQAWIRDLNYSTVDDWRPWFVNGQVGGYTRTCSNRMTFATVKGSGHTAPADAPEQCFAMFTRWISNLPL >RHN38620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:765123:765762:-1 gene:gene44577 transcript:rna44577 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSGKISLLYKMEHDWLIIITVMKIAYLYKSLILTSRKLTVNMYKTKMYTHAVQKLCNFNAKEESAFLDEPCSLVATAAPSLNSLILFCISKLSSPSMILFTHLATSSLFTIPLSSSTFGLTATLSTSVNNLAFILCSANRGHAITGTPLTTVSKVEPQPQ >RHN46375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31114321:31117244:-1 gene:gene40857 transcript:rna40857 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPNQSQPPQIQPQPPPPPQQPPLILQPNRPSTSCETHPQEVFTGFCPSCLCERLAVLDPNNTSTTSSTRKPPTSSTAAAALKAIFRPSTSQRRPPTSTTASSSLFPELRRTKSFSASKNEGFSGSFEPQRKSCDVRGRSTLYTLFNQADERKIPKPQAQEFEASSSTVQKTFIESEEENEEEEEEGSIVVEEDSDEIRVIEPQIQQQQPQRELSNVIEESFHEIVEEEPEIIPEPELVFTEESLKPMKEHMDLDSQPKKNSGRDLKEIAGSFWSAASVFSKKLQKWRQKQKAKKRGPRNGSVSGSSTLLPVEKPIGRQFRETQSEIADYGFGRRSCDTDPRFSLDIARMSFDNDPRYSFDEPRASWDGYLIGKTFPRVPLPTMLSVVEDAPAVHVQRTDSLIPVEEPPVLNENGEEINVNFPGNQTQTKEYYSDSSTRRRKSLDRSSSIRKTAAAVVAEMDELKPVSNAKVTPATASVHASASVNPVVNANAGIDYLLHGQKVVDRDLRDYSNNSNSNSNNSLRDDCSESFELGGFRDNGSVVGSNNGGGDRKGGSKKSSSKWKAAWSIWGFIHRRSGGNNNKEEEDDRYSSRGGNGNGVERSYSESWQEFRGERNGDVRGGVGIGSIGGGGNGFDRKMLRSNSSVSWRNAPSMGMGGGGGGGGGGIFGGRKSDVNSSNGYLGRKGRDEFVLERNRSARYSPTNSMDNGGLLRLYLTPSGRRNGASKGRSNQAHSIARSVLRLY >RHN79781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32084234:32085837:-1 gene:gene3617 transcript:rna3617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MFSRRCEREFNSIQLETSPGRALFETVRSDIKLGGVSEGITIIKQRLHRNKILLILDDVDKLEQLEALAGGLDWFGRGSRVIITTRDKHLLTCHGIESMHSVEGLYGTEALELLTWMAFKNNKVPSSYEDVLNRAVSYASGLPLVIDVKLGEMRDIKLRETKGLFESIPVFSSQIFIYKLVFKNYF >RHN82734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55969397:55973572:1 gene:gene6918 transcript:rna6918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MNSTFNLPGGAQNQRDSDKGTMMTPTQNPLSEISPSPSPSSATAPALVLSNSGKRIDQAGKKKYVKQVTGRHNDTELHLAAQRGDVGAVRQILLDIDSQIMGTLSGDDDVDLNAEIAEVRALVVNEENELGETALFTAAEKGHLDVVKELLKHSTLQTVSKKNRSGFDPLHIAASQGHHAIVQVLLDYDPSLSKTIGPSNATPLITAATRGHVEVVNELLSKDGSLLEIARSNGKNALHLAARPGHTEIVKALLSKDPQLARRTDKKGQTALHMAVKGQSCDVVKLLLDADAAIVMLPDKFGNTALHVATRKKRVEIVNELLLLPDTNVNALTRDHKTALDIAENLPLSEESSDIKDILSRYGALRANELNQPRDELRKTVTQIKKDVHTQLEQTKRTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDKDDGSGVVASYSAFKIFFIFNAIALFTSLAVVVVQITLVRGETKAEKRVVEVINKLMWLASVCTSVAFIASSYIVVGRRNEWAAILVTVVGGVIISGVIGTMTYYVVRSKRTRSMRKKEKQLARRSGSNSWHHSEFSNSEVDRIYAL >RHN41262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28694508:28694854:1 gene:gene47566 transcript:rna47566 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVLYRSFVQVWFLFTIIVNIGSLLGGSKATVGFGSIYGHCCRRWSKQRSFCSLQPKCSIEDGAQLDLLVVLGHDRPVVGGCRSFMINPPL >RHN58631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3462419:3463050:-1 gene:gene20558 transcript:rna20558 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSSTPYTMDLENCNCLGSRNNCIWSTYERIGNDPIVCVNEFMARMKISSLKNLWKKIKKEKKRRIFTSSSPVFQYDSSSYLQNFDDGCYFTDPDDFSRSFTARFAAPPSKTLQKNFEVINGEEILG >RHN45392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19303126:19304378:1 gene:gene39703 transcript:rna39703 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPPLTPNKYLFALHFKIKFPPLPTSDLALAFRPPYPKWFPCDSLLGMSQKFIPKEKDKVTVAKCNIYNFRGRLHLDLHHVRIISPMGIAVALKSGDGKEDDVAGAQTRSKYKSEKSFKKEKSSKARKEKQHFLLSHLQKGPRIQKLKINLMSHLKLSKLLILPRSQRRKSVERKGTKRSRNPLLKWRQVRTSQTKQVPRVKKRGHKDSKPNSAHSCVGDNVSKSIEHINVNDSKRAPLHSTDTLMELPILEERDVIETKPPATNLEVDSPHQHPEIHVKDLENNPSR >RHN71941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3769088:3770383:-1 gene:gene7602 transcript:rna7602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MSSSKFGSHMQTLVQTQKMDQLEQEVHELRGEVTTLRAEVEKLTSLVSSLMATNDPPLVQQRPQSPYQPMCPQKPRQQAPRQFIPQNQVPQKFIPQNQVQKASQCDPIPVKYADLLPILLKKNLIQTLPLPRVPNSLPPWYRPDLNCVFHQGAPGHDTEQCYPLKEEVQKLIENNVWSFDDQDIKVLLQHLAPHSVAAVRPITNVVQDPGYQPQFQQYQQQPRQQAPRIKFDPIPIKYAKLLPYLLERNLVQIRPPPPIPKILPARWRPDLFCVFHQGAQGHDVERCFSLKIAVQKLIEDDLIPFEEFGSECAS >RHN39076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3955511:3961015:-1 gene:gene45069 transcript:rna45069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GPI transamidase component PIG-T MAVASTMILVISLILFLPILSSSAIVAEEEFSEELLLKPLPDRKVLAHFHFQTEAPPIHEDSFARHHHLFPKSISQLVKKFHVKAMELSFTQGRWNYERWGGFDPMSSQNAKPPGVELWAVFDVPPHQVDASWKNLTHSLSGLFCASINFLESSSSYSAPKWASQSALGSLRYGSLPREAVCTENLTPWLKLLPCRDKAGLSALMDRPSIYRSFYHSQRLHLTASKALADGLESGIILEQTLTVVLQPDIQRAGLSSPDEIKIQPSWSLSSIFGRKINGRCVLAKSSNVYLQVERDLVNQLENLQKNTAAYAANDTSIDVLRRNLVFEVSNTPDRVHRELEKSSSILYEYSIKEYNDAEQFDLSLTWKYPVVWSSSHAPLYASRFLMGSGNERGSIAISLKSTELTKDFIAVNNVEEKCKLQVNVLQIVPWYIKVYYHTLQLLVDEKPQAVTDFVEKMSVSPSEDKVSPGVMELVLRFPCDIKSAVLNIDFDKGFLHIDEYPPDANQGFDIPSAIISFPDFHAGLQFSNDSISKSPMLSKLQEKSPSLSYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLLNVLRRRVGEEERLLKNKDANKVVFLRRILNKLRGRSSESTPPPQQSSSSSSFINPKLILKVLMVAGLAVVWQYYLK >RHN47067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36891734:36892567:-1 gene:gene41622 transcript:rna41622 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIFLIKKYSLQDFTSKFHTTISIFNPFVLLSPKNQNKFYYYQEVKIRIHH >RHN58150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44105358:44107242:-1 gene:gene33762 transcript:rna33762 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVVSAQTALSDDTAQLSLKSDEKTTEEIVESPITLAASETKEESSAEEAKPLVKAETKEVVEEVVVPVKDTEEETKKEEQTETKEPVEETKENGNSLNVEETKENGDSVVEAVQEKPAEESETVNVVKDENVVAEPETKDNVKTEETSEEKNEEKVEKEDAMDEKKEEEVITNNDAKIEKNEEEAPIEKSE >RHN58443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1772808:1773746:1 gene:gene20358 transcript:rna20358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKRKRTRNSLMSEYQQQPSLSETKSDLYLPDECWECVFRFLNNGHDRNNSSLKSLCAVSKQFLSITNRLQFSISISDPTSLCLSRLFQRFPNLTSLCLTHYYRDDSSLASSIRMKCTQNSVDNSNSLFVSPQLKSLHLISSQWLRDKSFKMIASVFPNLQLFNLSYCFPISEEGIFQVLRTCSELRHLNLTGLRGWKLHGMNFEVPKLEVLNLSYTNVNDKTLCVISKCCRGLLQLLLELCYLVTQKGVKYVLEKCTQLREINLKRCVQVDDDVLTSLIFSRPSLRKLTSPYGYRFSDREMELLSRQGCIVC >RHN79543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30016593:30017241:-1 gene:gene3348 transcript:rna3348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MLTNWYTIETRLRKFRDLRTEQKTGKLNSLPKRDARSSIQIMSFDFIMFDICIYKMYFLFCDVAMQIGQSLSNKEINSFDKSLPMFENLTELELFWSQGIHDWEVVVKMLQNCPKLHSLYIAKEKYSTTIEHWEYPDHVPECVSSHLTKFEVIDYEACETDIRFATYILQNAQHISPTCKLNLSSS >RHN43411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45627088:45628661:-1 gene:gene49982 transcript:rna49982 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVWLGRRELGEESGCVTGTGESRTRKWLDLRWWSAGGGRGDGRWMIWWL >RHN46679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33988723:33989106:1 gene:gene41198 transcript:rna41198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MASSMLVKVTCLAMICLVLGIPLASAAPSCPAVQQTLTTCLLYATNPHGPPPEPCCNGIKTLHGQSQTPLDRRDVCGCLKSMMTNLKLNLPAVATLPKECGVDLGYVISPDMDCSKYVHLSPSTLFF >RHN49142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52770000:52770957:1 gene:gene43940 transcript:rna43940 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQENIFKIGGFGGLIVNSIGMYRVRGLLLCTSVSYMSTSDKIVNVEHLISKMTHKPIALRFWVRVWCLSCLCGCSSLDVAVTRAPPMTQQWYQSPVRRRV >RHN44228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2567974:2568447:1 gene:gene38320 transcript:rna38320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MNLTKYLVSNSYGPKMRMVFLLIWIFIVGTQSATMKSQLQMEANAIMNSGWWNTSDAYFNISNLCKWLEIICNKAGSIKEIYKYSATTSEIHFTTLNLSVFQNLERLVVQGVGLQGIIPKEIGLLSKLTYIDMSYNDLEGEIPHSLGNLTVKETIHL >RHN50954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12221813:12227340:-1 gene:gene35310 transcript:rna35310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MANFWSMICGNYGSYENDKEIGESLCAPLNGQFNEVDSVSNVTPFSKSGFISKIWFWWLNPLMKRGQEKTLLDEEIPGLRESERAETCYFSFVEQLNKQNQHEPSSHSSVLWTIIACHQREILITGFFALLKVLTLSSGPLLLNEFILVAEGNKSFEYEGYVLAISLFFIKILESLSQRQWYFRSRLIGMKVRSLLTAAIHKKILRLSNSARLVHSSGEIMNYMTVDAYRIGEFPFWFHKTWTTILQLCIALVILFRAIGLATVASLVVIILTVLCNTPLAKLQHKFQSKLMVAQDERLKASSEALVNMKVLKLYAWETHFKNSIYYLRNVELKLLSAVQLRRTYLVFLFWSSPMLVSSASFLVCYFLKVPLHASNVFTFVATLRLVQDPITGIPDVIAVIIQAKVAFARIVNFLQAQELQSENFKNRCLNDNLKGSVFIESADFSWESNAIKPTLRSINLDVKHGQRVAICGEVGSGKSTILATILGEISNTKGDIEVHGKFAYVSQTSWIQTGTIRENILFGSELDDQRYQETLRRSSLVKDLELFPYGDLTEIGERGVNLSGGQKQRIQLARALYQNADLYLLDDPFSAVDAHTAKKLFNEYIMEGLKGKTILLVTHQVDFLPAFDYILLMSDGVILQAGSYHDLLTSSTEFQGLVGAHKNTAGSDQLLNANFSQRHSTSIKITQALVEKRFAAPNGKQLIKQEERERGDLGLRPYLQYMNQMKGYIYFVVASLCHLIFVVCQIFQNSWLAANVDNPRVSTLQLILVYFLIGVSSTFFLLIRSLLLVALGLQSSKNLFSLLMNSLFRAPMSFYDSTPSGRILSRVSSDLSIMDTDIPFSLTFAVAGTLVFYSSLTVLAVVTWQVLVLAIPMVYVAIYLQRYYFAVAKEVMRINGTTKSSIANHVAETVAGAMTIRAFKEEAHSFEKNLCLIDLNASAFFHSFASNEWLIQRLETISAVVLTAAALCIVMLPSGTFTSGIIGMALTYGLSLNGALIFSIQNQCTLANNIISVERLNQYMHIESEAEEIVEENRSPSNWPVAGKVEINDLKIRYRPDGPLVLHGITCTFKAGHKIGIVGRTGSGKSTLISALFRLVEPAGGKIIVDGIDISSIGLHDLRSRFGIIPQEPTLFNGTVRYNLDPLSQHTDQEIWEVLGKCQLREVVQGKEEGLNSSVVEDGSNWSMGQRQLFCLGRVLLRRSRILVLDEATASIDNSTDLILQKTIRAEFADCTVITVAHRIPTVMDCTMVLAISDGKLAEYDEPTNLMKREESLFRKLVKEYWSNSQSAESN >RHN60908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31546719:31546925:-1 gene:gene23303 transcript:rna23303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MLEINGLQVKLNKFFLCVSVNAASAPSGGFIPSDVFISQVLRAIKSFSKYGGVMIRDRFNDVQSGLQS >RHN69344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42756741:42762873:-1 gene:gene17796 transcript:rna17796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan 1,3-beta-glucosidase MGLVFTKWAFIFLLCCSCITSIVYSVDGLHGGSKVRGVNLGGWLVIEGWIKPSLFDGIANGDMLDGTEVQLKSVKLQKYVSADNGGGMNVTVDRDAPSSWETFRLWRVSESEFQFRTNQGPFLTCDGGGCTVSATAKSPSTSEIFEVKRNEKNKVHIKIKDGPYLQATTGNQLTADYPGVPGWDDNSATFEMTIVSNNLHGDYQLANGYGHESAEDVLRRHRNSYITVEDFKFLYEHGINTVRIPVGWWIAFDPDPPSPFIGGSLEALDNAFSWAQEYDIKCIIDLHAAPGSQNGMEHSASRDGFTGWPTSPDYISKSLHVIDFLISRYAKHPALLGIELLNEPSAGTVSLDILTSYYKQGYQIVRKYSSSAYVIMCQRIGNADPLELYQADIGSTNLVLDLHFYNLFDTFFVNMSAGDNVQYIYKSREGLLQAFNNSNGPLIFVGEWVNEWDVTSGSLTDYQDFGKAQLDVYNTASFGWCYWTLKNDRDHWDFEWNIRNNYLQLGNSPNNQNIHTLGLSGLAFTLFYLPHFL >RHN42818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41236459:41239751:-1 gene:gene49310 transcript:rna49310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MRKKIWFFLCLAFMECCYLGMARGIVVQEKSDTVIPVPDGTLSPPEGNTTFLDGTTWCVAQAGVSQADLQNALDWACGLGMADCKAIQKGGPCYDPDTLLSHASFAFNSYYQTNGNSDIACNFGGTASLTKQNPSYGNCVYSSPGSVGSSAPPSLGKHNKSLVWLKLISLLLFSYYWM >RHN73559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17659794:17660587:1 gene:gene9384 transcript:rna9384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MTFSTVGTPDYIAPEVLLKKGYGLECDWFVEQPMPARSGSGPIRKMLFTQDLSFVGYTYRNFAAVKGKRHSIGKYFPHKG >RHN45741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25157071:25159209:-1 gene:gene40131 transcript:rna40131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-RSK-2 family MNHGGETLDFRNLKILSAVGRGAKGVVFLSRTYGSSKEEWLALKVISKELLLRKNNGKNGECKRVKFEQQILRRFDHPLLPRFKGAFQTEKLIGFAIDYCHGGNLHSLRKKQPEKKFSEETIRFYAVELVLALEYLHNLGVVYRDLKPENIMIQQTGHIMIVDFDLSKKLNPKSPNSLSSNSSPGSNSPPDTKIRRKRWLSKFYSCNSYVGKPKFESLSQIDINSVCKRSESDSVEKSNSFVGTEDYVAPEVILGNGHNFGVDWWSYGVVLYELLYGTTPFSSPNRKETFHKILTMEVEQKGESTPLKDLIAKLLERDPDRRIEVDEIKGHDFFKGVKWNTVLHIVRPPYIPQNEVEDKAGFSRKDVESFVHGIFFPKNKNKSEKEKNNEVKKEGNEKEKKENDNNKNVWVEKLSQTQTKDENFLIF >RHN62014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40049316:40057300:-1 gene:gene24537 transcript:rna24537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MRKHGWQLPYHPLQVVAIAVILALGFAFYVFFAPFVGKKMYQYIVVALYTPLITAVFGLYIWCAASDPSDPGVFNSKKYLKIPDSKMGFGLKSSKLGEESTPSMHDAKASTVGGNSMDNEAFGTGGNMKDNSHSVEKAISSSPCLSRFLLVCSPCAYICRCSGSSKESSDKQTSEDGMFYCSLCEVEVFKYSKHCRVCDKCVNHFDHHCRWLNNCIGKRNYGQFFTLMIAAMLLFILQWSTGMLVLICCFVERKQFAMEISSKLGSSFSMAPFVIVVSACTILAMVATLPVVQLFFFHILLIKKGLSTYDYIVAMRELEQEQEQLGVGGHQSPQMSTVSSFTALSSVSSFNALHRGAWCTPPRMFLEDQFDVVPTETGSVSSLGKRSLREEPLKKKNSGAVKISPWTLARLNADEVAKAAAEVRKKSKILQPVVRHDQASRLEPGSSFGSSGRRMVPTIDNNKKHDNKRIYLPADISMESMTKVSSNNTNMGFNGRSGFAPPHLRSAFQTSQAMSSSAGIVPSSPESSLDSPDIRPFQASRAEEARHLAGLSAAGVEALKETPLSRSTSDGYDASGGEDSDQVPSRVVQRISDLSSLLFRADQDERAFRPTLSSNMSHIRH >RHN75889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45931152:45933231:1 gene:gene12148 transcript:rna12148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MGNKAPLLIMLLILCHGVSMTMGMWEAEDRENKPSTPDKKLFLLQNSKRVVKTDAGEMRVLESHGGRIFERRLHVGFITMEPSPLFVPQYLDSTLIIFVLTERDLKKGDVYQIPAGSAFYLLNTGEAQKLHIICSIDPSESLRIGIFQSFYIGGGAPLSVPSGFEPRILESAFNVSGSKLMKFFTRKHEGPIVHVGRSHPSASNIWTKFLQLKEDDKLNHMMKMMQDEEQEEEEDDEVMQKTSWSWRNLLESVFGNEIKNKKYDKVTHKSPHSCNLYDRKPDFKNSYGWSVALDGSDYSPLKSYGIGIYHVNLKPGSMMTPHVNPRATEYGIVIRGSGRIQIVFPNGTNAMDTHIKQGDVFFVPRYFAFCQIASSNEPLDFFGFTTSAQKNKPQFLVGAMPLLPCI >RHN53289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:702048:702620:-1 gene:gene28180 transcript:rna28180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MACGLRCTKGLKICCGVTTIFLIVVLVILLILFLTDFKQKDPTITLQSVKFGRFHLDILPILDLNLSLAILVTVDNPNHGSFTYQNSSAYLNYRGNLLATAPLHEDTIPALKSHNISTILNVYVDVTEVPDLLGDYLGGVINFTSTTTLVGKVKIFNFIKIKATTYSTCDIFVYIHGQIANSTCDNKIKF >RHN48902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51025827:51026138:-1 gene:gene43668 transcript:rna43668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MDRVKDLASKKAAVIFTKSSCYMCHSIKQLFYELGASPAVYELDNDTYGREMEWALRGNFGCNPSVPAVFIGGKFVGSSKDVISHHVDGSLKQMLMDAKAIWF >RHN46260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30171650:30172294:1 gene:gene40727 transcript:rna40727 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVIVDVVAEMKNSPCFVSLRWRITLFHPVIRVNSRATRRVLIVVLRTEIWQGSRRGPCVLEFDGNRLFPRHLRRSTDLSLLLGASPPSSYGPVN >RHN40267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14706520:14708603:1 gene:gene46399 transcript:rna46399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MNTMTLIYILCFFNLCVACPSKKCVYPAIYNFGDSNSDTGAGYATTAAVEYPNGISFFGSISGRCCDGRLILDFISEELELPYLSSYLNSVGSNYRHGANFAVASAPIRPIFSGLTNLGLQVSQFILFKSHTKILFDQLSDKRTEPPLRSGLPRIEDFSKAIYTIDIGQNDISYGLQKPNSSEEEVKRSIPDILSQFTQAVQRLYNQGARVFWIHNTGPIGCIPYYYFFYPHKNEKSNLDANGCVKPHNELAQEYNRQLKDQVFQLRRMFPLAKFTYVDVYTVKYTLISNARSQGFMNPLEFCCGSYQGNEIHYCGKKSIKNGTFYGFACDDPSTYISWDGIHYSQAANEWIVKQILSGSFSDPPVSLGNAC >RHN49767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:984827:989453:1 gene:gene33975 transcript:rna33975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MDKKPCNSSQDPEVRKGPWTMEEDLILINYIANHGEGVWNSLAKAAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIMELHAKWGNRWSKIAKHLPGRTDNEIKNFWRTRIQKHIKQVDHPHQNNFQQMSLEINDHHQSSSSQVSNIVEPMESYSPPSYQGTLEPFPAQFPTINDHHPSSCCTNDNNNNNYWSMEDIWSMQLLNGD >RHN63687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53207961:53213459:1 gene:gene26420 transcript:rna26420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MIKRGVPATGKRAIQEHIFSLLQTCNNTETNILQIHTQVILNGLSHKHNIIAKLLSFYAASGKLQHAHKLFIQIHNPKTTVWNHMIRAYASSITPWKSVQYYNQMVLNACEPDGFTYSFLLSACVRGGLVREGEQLHGIVLAKGYCSDVFVNTNLINFYADCGGVEQARYVFDDMTQRSVVSWNSLLVGYVKCGDFDAARNVFEEIPVRNVVSWTTMIAGYAQNGKCVEALSLFGQMRRARVELDQVVLVAVLSACAELGDLKLGRWIHWYVQERQRQASVRLNNALMHMYASCGIIDEAYRMFTKMSWKTTVSWTIIIMAFAKQGLGKEALDLFKDMLSDGVGKSGIRPDGTTFIAALCACSHAGFVEEGCRIFESMIHTWRISLQIEHYGCMVDLLSRAGCLDEAYRLIETMPFKPNDAIWGALLGGCRIHKNSELASRVANTLVAELDSTDQAAGYLVLLSNIYAFAGRWQDVIAVRQKMIEMGVKKPPGQSWIQIYGVVHNFVVGDMTHKHSSLIYETLCEITEQARVEGYKPDITEVLLDAEG >RHN46962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36079630:36085977:1 gene:gene41506 transcript:rna41506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IXb family MFERLNSFESIIMAVVSSMPATIQRTGSVSSVRDVRGEIEEEPNQIVVDEVICVAVSKDVKESKLNLIWAIQNSGGKKICILFVHVPATMIPLMGAKFPASSLKDQEVRAYREMERQNVHKTLDEYLRICQRMGVRAEKLHIEMENIEKGIIELISQHGIRKLIMGAASDKNYSRRMMDLRSRKAIYVCEQAPSSCHIQFICKGHLIHTRDRSLDERNVEVASPLLQQGPNSVRPSRSQSITLGQNHRTNSISSSQELFRRVRSANDGMTASITTNSSPVDNEGFSTPRNRRGTEVSSDESDRLSRTSPSGLSTFSDSTIDPTLTPYSVAESCENASDLTLSHLIKDEDLRHLSPPSVLDGGVNDTLYDQLEQAMSEANNATRHAYQETFRRGKAEKDAIEAIRRAKASESLYTDELNLRKMAEEELRKEKEELESVTSQRDKVNEELRLAVDLKSSLESQLASSEVMIQELEQKIISAVELLQSYKNERDELQIQRDNALREAEDLRKKQGEGSSTHVPQLFSEFSFSEIEEATSNFNPSLKIGEGGYGNIYKGLLRHTEVAIKILHANSMQGPLEFQQEVDVLSKLRHPNLITLIGACPESWSLVYEYLPNGSLEDRLACKDNTHPLSWQTRIRIAAELCSALIFLHSSKPHSIVHGDLKPSNIILDGNLVSKLSDFGICRVLSNYENSSNNNTQFWKTDPKGTFVYMDPEFLASGELTPKSDVYSFGIILLRLLTGRPALGITKEVKYAVDTGKLTSLLDPLAGDWPFVQAEQLARLALRCCEMNRKSRPDLHSDVWRILDAMRASSGGTNSFGLSSEGPHQPPSYFICPIFQEVMRDPHVAADGFTYEAEAIRGWLDSGHDASPMTNSTLSHQNLVPNRALRSAIQDWLQSH >RHN78643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17043428:17046366:-1 gene:gene2274 transcript:rna2274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MFEQKGAKRLTNMLTKARKKGRPEWINVDAWTGLETYWKTNPNFLKSSNQNKTNRASTKGGAVHTLGRKAHIDVPLELVSENDTLNLKTCI >RHN48551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48380113:48380403:1 gene:gene43281 transcript:rna43281 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNKTLLLFSFFFFSIIILHYTTPFPPTASTTISHGSFFNTSLQVGLLNKIMNLIGPQDYLSLLMTSIKGRINHMHKGNCDKTKWDFRLISKGEC >RHN74985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38491464:38494648:1 gene:gene11130 transcript:rna11130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleolar GTP-binding protein MVQYNFKKITVVPNGKDFVDIILSRTQRQTPTVVHKGYAISRLRQFYMRKVKYTQTNFHEKLSTIIDEFPRLGDIHPFYGDLLHVLYNKDHYKLALGQINTARNLIGKIAKDYVKLLKYGDSLYRCKCLKVAALGRMCTVLKRIGPSLAYLEQVRQHMARLPSIDPNTRTILICGYPNVGKSSFINKITRADVEVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAILFFLDISGSCGYTIAQQAALFQSIKSLFLNKPLIVVCNKTDLQPLDGLSEEDMKLVNEMKAEALKTSIGHGGEGTDADVLLTMSALTEEGVIAVKNAACERLLNQRVEIKMKSKKINDCLNRFHVAVPKPRDQKERPLCIPPAVLEAKAKQAAAEEKRKTEKDLEEENGGAGVYSMNLRKHYILADDEWKEDNLPEILDGHNVYDFIDPDILHRVEELEREEGLRQAEADDDDFEIDGTELTLEQQEALAEIRRKKSILIQQHRIKKSTAESRPTVSRKFDKDRQFTTERMGRQLSSLGLDPSMAINRMRSRSASRKGRKRERSPEGRNDGMDIDDDTPSKKQRLSRSLSRSRSVSRPPHEVVPGEGLRDSAQKIKAIKLAKGSSKKRNKNAKRGEADRVIVNLKPKHLYAGKRSNGKTDRR >RHN38870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2519659:2524515:-1 gene:gene44844 transcript:rna44844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BRCT domain-containing protein MVKHYRAPGKKKEGNDANLSPGHKHSRNSKSVYLFSGTLKLSIWKGVTPRQPKKKVKGTHRTYYHLKDVSFLHHEPLLEEHRTIKVHDRQIKKAEAKKNHKLANRLREKTPKPKIDMIIRQRYPRFVDALGELDDCLTMVHLFAALPASESKKIDVELVHKCRRLAHEWQAFISRTHKLRKTFVSVKGIYYQAEVEGQTITWLTPHLLQQVVSDDVDMLTMLNFLQFYEPLLRFVNFRLYHSINLEYPPRLDTRLEAFAADLYALSKYANASKPSGLILEASQLAESKQVESKQKGADGENEKSELRLAQLHHQLLSNEPGALMHLVEDLAGEDEKDQDTRECKNLFKNLKFFLSREVQRESLLFVIPAFGGTVSWEGKGAPFDDSDQSITHQIVDRDAQGHRFLSREYIQPQWIFDCVNARIILPTERYMVGRIPPPHLSPFVNYDKEGYVPDYAKTIKHLQAAARKEILPLPGVGKEDSLQGAITYTSADSNVEKETSAGVVQTGESTTNGQENVDTDMSLLMMPHSSRELYETMKISNKRKQNETDLIKQRKKKLDEAKGQRS >RHN60604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28697934:28698979:1 gene:gene22944 transcript:rna22944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain-containing protein MLSLTIQYQNFSTMVFSSSYLPTQTLFYVLFLVFSMFIFLQSREGNAEWFDSNYSFDVKNFSEATTDNFTLQGDAQILPNGILELIGPTYPNVSQVLYSTSILIWDEYTGDTNSFVSVFSFVLKYNEYYNVWPGELVFFLVGENFEQDDLKYSHIGVDVYSRNRLKIVPSTRRSESLIEVVIRYDSSSKTLTVMTSDTHEFTEFHRVINLKDALPGMVKVGLSTGQMEGRETHDIHIHSWSFHSHFISSASMARGINVDTASYALLFFIYFMHDWLL >RHN82415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53548167:53554149:1 gene:gene6579 transcript:rna6579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane bound O-acyl transferase, MBOAT MNKDNNKIAPWKQKEFFFLVIYASTFYVIIIRRSLQLSHDHYKQLFGLRPGWLIPNHLNDVSDAQWRNFRGNLPVLTLVFGIFTLLANLMRAFFNFNVRGMSIVWLLFSFAYLSYLHGACVIFVLSIATINFLLVKMFARKKYFPVIIWSYNVFFLLCNRIYEGYSFSVFGQQWRFLDNYRGSFRWHICFNFVILRMISFGLDYHWTNQDSHFDQEKHCQRCHICKSGNTCYQSLQDRSLHVNKFGYVVYLCYLVYAPLYIAGPIVSFNAFASQLDVPQNSNSVRNVILYGFRWVLCFILVELMTHLFYYNAFANSGLWEHLSPMDVFIIGYGVLNFMWLKFLLIWRFFRFWSLVNGIEVPENMPKCINNCHNLEGFWKNWHASFNKWLVRYVYIPLGGSRKKLLNVWVIFTFVAIWHDLEWKLLSWAWLTCLFFIPELVLKSATKAFKAESSFGEFLFRELSAVAGAVTITCLVVANLVGFVIGPSGINWLLSSFLHKEGLPVLGGLLMTFYVGTKLTFHIDEAKQRSP >RHN61212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33885911:33888578:1 gene:gene23651 transcript:rna23651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cold-regulated 413 protein MWNRMNFQEEAVQIMNSDFKDLSEAASKLANHAIKLAGVGGFGASFFGFFAAVAAIYLLVLDRTNWKTNILTSLLIPYIFFSLPSFVFAVFRGEIGKWIALVAVVLRLFIPKHFPDWLELPGALILLIVVSPDLVASTFRNDLVGVIVCLVIACYLLQEHIRASGGFRNSFTRANGVSNSIGIILLLVYPIWALLTILF >RHN43625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47309191:47317450:-1 gene:gene50232 transcript:rna50232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MDACAIKKTRNNFLHTCRFLTCPFFSFYRLFFFPPPLSFSLNHCSVMEPLDDSDSKLERVDSDQTSTSSASGISSVFSTDDFRNGDISSISSGSGEIPTCTVNRVILAPPLIAMSNEADKNEPAAPEEVVVTAVTREKCVGMNNKGVSWGHTSVIGRRREMEDAVAVIPGFMSRTCDHVGGCTAPGSRSSGEISPIHFFGVYDGHGGAQVAKFCAKRMHNVIAEEWEQEIAGGAEWQKRWEAVFANGFERTDSEIESDEVAPEMVGSTASVVVLSGCQIITSNCGDSRVVLCRRTQTVPLTVDQKPDREDELLRIEGEGGKVINWNGARVFGVLAMSRAIGDRYLRPWIIPVPEVTFTARTDEDECLILASDGLWDVMTNEEVGEVARSILRRRRRSLSSTEEISPTQVVADSLTEIAIGRNSTDNVSIIVVDLKSKRKRVQRPPSIS >RHN55092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15112356:15117668:1 gene:gene30220 transcript:rna30220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MVSEGASVTAAMIAAQFVEVGGDTLMKAATKDGMSIFIFIVYSNLLALCFLLPSTLFHHRKRAPPPISTSIFCRIFVFGCLRTATQTLMASGIRFSSPTLASAMVDIVPAFTFILAIISRMEVLNMKKHSSQAKVIGTVVSIAGALVVTFYKGMPLINDAIQHIEIGASGIYLLGKSDWILGAFLLAVACFCLSLLFIVQTWIVKDYPEELVITTICCCFVVIISTIVALIAEGNSKAWRLRPDKELLSVCYSAAFVVSLRNVVNTWACRKKGPIYVATFNPLRVVIALGMGVIFLGDNLYLGSVIGAAIIVIGFYAVIWAKAQEEQTTSENNFLSSSSTPLLSNKSIDI >RHN52105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31580769:31582982:1 gene:gene36728 transcript:rna36728 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLLAATIKENLKRFKSLFVTIKNRMLNWEWAGTNYAEQIISSQESSDSNLLQIVLIVCAMFINSPSMDQGLLQILFSETYVFIMCFY >RHN49780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1101007:1101328:-1 gene:gene33991 transcript:rna33991 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSIILCNSLHAKNKIKKMIFFFLQVLFTLVQKKSFKSSACPI >RHN50950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12152555:12155849:-1 gene:gene35306 transcript:rna35306 gene_biotype:protein_coding transcript_biotype:protein_coding MSAITTLTFTNTTNITFPTSISTKNPIFHTTHFTKPLHLQNPLSISLRKPISTIVLCKGSVESESEVPFVPEDEWLQKLPEKTKPLYTHSLPCIEAWLKSLGFNQSKDDRALWVVNKSDWCAQLSLDVTDLYVRYLKSGPGNLEKDVERRFSYALSREDIENAVLGGP >RHN53778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4094389:4096030:1 gene:gene28723 transcript:rna28723 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDVVFVKKLKTRKGGIILLLLLLLTLYVKWIVNARTRNIIAVNRGLEDESQEEGEKEE >RHN51906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28116018:28116302:1 gene:gene36472 transcript:rna36472 gene_biotype:protein_coding transcript_biotype:protein_coding MSTICSFKARSRRKYSCSNHMDLSTKTSLIIFVASKRHFTG >RHN81840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49269153:49278746:1 gene:gene5932 transcript:rna5932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MGKQKQQVLSRFFAPKPKTPSSTTDPPPPPPSSSPKITTTVNFSPSKRRLISQLTASPNKQPKLSSPIPSLHQRFLQKLLEPSNPTPQPPSSSKPVKFTPLEHQVVELKAKYPDVLLMIEVGYKYRFFGEDAENAARVLGIYAHMDHNFLTASIPTFRLNVHVRRLVSAGYKVGVVKQTETAAIKSHGLNRAGPFCRGLSGLYTKATLEAAHDMGGGEDGGGTVNNYLLCVVEKSILGEKKFNCGVEGGFDVRIGIVGVEISTGDVVYGEFDDNFLRSELEAVVVSLSPAELLLGDPLSKQTEKLLLAFAGPSSNARVERASRDCFTNGGAFAEVMTLYENTHADSPDSMQNNELAELGSQQMVVKVMNLPELAVEALALTIRHLKGFGFERILCSGALRPFVSNTEMTLSANTLQQLEVLQNNSDGSASGSLLQVMNHTLTIFGSRLLKHWVSHPLCDQTLIAARLNAVSEIAESMGTCNGMKNLGRFEEDSDVAIVQPELAHILSLVLTSLGRAPDIQRGITRIFHRTATPSEFIAIIQAILSAGKRLQQLNIGEGDNNNNNKLRSDLLKKLISTASSTSVIGNAAKMLSSLNEDSAYQGDWTNMIIATEEQFPEVITARKAFQMAVEHLDSLIDLYRKRLGMRKLEYMSVSGVTHLIELSTDVKVPLNWVKVNSTKKTIRYHPPEVVTALDELSLAKEKLTVACRAAWDSFLRDFSKHYAEFQASVQALASLDCLHSLAILSRNKGYVRPVFVDDHEPVQMQICSGRHPVLESILQNNFVPNDTSMHADREYCQIVTGPNMGGKSCYVRQASLIALMAQVGSFVPASSAKLHVLDGIYTRMGASDSIQQGRSTFLEELSETSHILHRCTGRSLVILDELGRGTSTHDGMAIAYATLHYLLKQKKSLVLFVTHYPKIASLETEFPGSVAAYHMSHLTSHDDVSKNSNDHEDITYLYKLVPGVSERSFGFKVAQLAQLPSHCISRAIVMASKLEALVNSRIHGRSGKELLDEPVIHQEQELHHCPHQEFGRAYKEFYSNLKATILDDDDHAKSFQLLENARSIAKTLVSR >RHN44755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8155449:8156403:-1 gene:gene38916 transcript:rna38916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MKTTPNMFLLLHLTLLFLSSIYFSTSNADDVEFSCNANDKATLLKIRDHFGGPNGRLSDWDNGTDCCSDWSFVGCGKPLPGRITVVTISRGWGLSGTLPVEFGDLPYLNFLSLAEMPKVTGPIPNSFSKLKRLQKLDLGSNSLSGPIPTFLGQLKGLQEFDLSNNQLSGVIPASFGSIPSLSQFNVSFNQLCGAIPTGLSKFAKSSFDHNKCLCGAPLAACK >RHN68450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35633629:35634816:1 gene:gene16809 transcript:rna16809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MGGNTTSPCASCKLLRRRCAKDCIFAPYFPSHDPKKFAIVHKVFGASNVSKMLQELPIQQRADAVSSLVYEANARVKDPVYGCVGAISYLQNQVSELQMQLAVAQAEILCIQMKHDDQPLMQNQEIALDHKPYDLPQYFNFPSSSNVIHHDSFSRERIF >RHN63729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53572545:53572836:1 gene:gene26469 transcript:rna26469 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit alpha MPSGYLGRVVNALAKPIDGRGEISASDSRLIESPAPGIISRRSMYEPLQTGLIDIDSMIPIGRGQRKLIIGDRQVKHQ >RHN74485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33902908:33905826:-1 gene:gene10570 transcript:rna10570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MELKQQLVRATRVDGNYVKVKNCVLTPKFRGRAVSAFLSHHFSEVTDYSSTAAMEFELDNVSAGTTNWKSLVRDYSTRFKTCCERTSNVHIRQVEEMLQNKFADYLFGSLPDQSRLCPSCMEGTLTFKVRRLSAAGYCIGCDQHPRCT >RHN51069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13606843:13607392:1 gene:gene35442 transcript:rna35442 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSMFYNFMFPQPPSIFITAMSIISFVASSSYGISEIRGKHLNYSKFWNANNNKTNSGKQIKLSSKVGMLLLYTPAFLAGAVSFWIFPNEGLRSTILQGALTLHYFKRVFEVILDILYGLTPPSRFFFSIDILMISFNLRPTYSFCYQF >RHN67242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25123838:25124645:-1 gene:gene15412 transcript:rna15412 gene_biotype:protein_coding transcript_biotype:protein_coding MNYKNNGCYKMVLAIVIKLNLTKSSPYQYLLPVGINLEIFIISH >RHN55897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25222168:25222613:1 gene:gene31179 transcript:rna31179 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNIQTLLSYHYLTGPLVKNSFSDLDKAINKLRLKYRSYIVEYDIDVGAVCLPNMFGGDFGDQIGRYAILTDPKSNKV >RHN71987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4186542:4187165:-1 gene:gene7652 transcript:rna7652 gene_biotype:protein_coding transcript_biotype:protein_coding MGINDDSKNKDEHKVPLLLNAEVPEAKRNLIQTAISLTFQSTAHLANLLPTGTVLALQLLSPIFTNIGSCDSVSKWMTAALVTLCGASCFLLSFTDSFRDSKGNIIYGFATFKGLWVIDGSTKLPPQVAAKYRIRFIDFMHAVMSILVFAAIALFDRNVVNCFFPEPSKEIQEILTALPVAIGDFCSMLFVTFPTERHGIGFPLSTS >RHN49066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52176092:52178861:-1 gene:gene43853 transcript:rna43853 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGNLSNIIFLTIVFCSTLFILEKIPTARNMFSFLKDSVEVQNSLKLQAHAEKKFGMVCDSAAQL >RHN49167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52979261:52983770:1 gene:gene43969 transcript:rna43969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative clathrin adaptor, mu subunit MLQCIFLLSDSGEVILEKQLTGHRVDRSICNWFWDQPHSFKQQPVIASPTHYLFQVFRDGITFLACTQVEMPPLMAIEFLCRVADVINDYLGGLNEDSIKDNFVIVYELLDEMIDNGFPLTTEPNILQEMIAPPNIVSKVLSVVTGNSSNVSDTLPGSTASCVPWRTADPKYANNEVYVDLVEQMDATINRDGTLVKCEINGEVQVNSHITGLPDLTLSFTNPSILDDVRFHPCVRFRPWESNQILSFVPPDGQFKLMNYRVRKLKSTPIYVKPQLTSDGGTCRLNVMVGMRNDPGKTVDSVNVQFQLPPCILSADLTSTHGTVNILSNKTCTWSIGRIPKDKAPSMSGTLVLETGMERLHVFPTFQVDFRIMGVALSGLKIDKLDLKTVPYRFYKGFRALTRAGEFEVRS >RHN79729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31643775:31646497:-1 gene:gene3561 transcript:rna3561 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLTATTSIVTNLRPLSPISFNNSRQISSLGTSTTRLHLKCHATKEDSPQSIESTRPKSETILHSFSPLPLLYAAALLPGDGAVRSAFAPFVEIVKSLNLPDWLVHWGHPANMAVVLFAMGGYGTYLGFRIRFSDDVEEKAKAKDLHPKLLAGMFFFFALGATGGVTSLLTTDKPIFDSPHAVTGVIGLALLTIQTILPSLFEGNPGLRNVHGILGSSIMTLFLVHAALGLQLGLSS >RHN69032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40479283:40479745:1 gene:gene17458 transcript:rna17458 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTNNNITFFRKQNLYSIPIKYISQKLNPSIIFLLLPGSIVQLNNNNTDILTLLVPDFSLSP >RHN71046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56073355:56074335:-1 gene:gene19689 transcript:rna19689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MATPTDRDNTLLDIRAAADDAGSKGALTHCCCCCASRLPNPHKHCDCLVSHQVETDIPRIKQETNPQSSLVKPNIPVTTQTIVLPLTNATDTGTTHVKEKTPSIQSFDDEEIFFQTLSVSNASKLLFLPPKLQNLRIKDYESLDVLPDDFLDGLPNLKGLEFMNCSNLRSFPYPASLTDLYISKCRKF >RHN47654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41291941:41294030:-1 gene:gene42277 transcript:rna42277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galacturan 1,4-alpha-galacturonidase MKSPRFENSFVIFIVHSFYVLFIYLFYVNASVVICVISIVLIFGSLAECNLDYLAINCRKHSAVLTDFGGVGDGKTSNTKAFNTAITKLSQYANDGGAQLIVPPGKWLTGSFNLTSHFTLFLQKGAVILGSQDESQWPQLPPLPSYGRGRDAPGPRFSSLIFGTHLTDVIITGNNGTIDGQGSYWWDKFHNKQLKITRPYMIEILYSDQIQISNLTLINSPSWFVHPTYSSNIIIKGLTILAPVDSPNTDGIDPDSSTNVRIEDNYIVSGDDCIAIKSGWDQYGIKFGKPSKQIIIRRLTCISPDSAMIALGSEMSGGIENVRVEDVTAINTESAVRIKSAVGRGGYVKDIFVKGVKLNTILRYVFWLTGSYGDHPDNGFDPKALPKITGIIFRDVIAKNVTVAGQLEGISNDPFTGICISNVTIELSELKKKKKLPWNCTDVSGVTSNVVPKPCDLLSEKKIECPFPTDKLAIEDVQFKTCNIKSSVF >RHN55491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18952412:18954606:1 gene:gene30679 transcript:rna30679 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYHVDSIIIRSNLVIIESHGRYPWNGGSGKTTFALEMIHFSSPSSGVGQKKLDRTSIVKIMWCSCGVLFYSVLYVG >RHN38643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:960986:961469:1 gene:gene44601 transcript:rna44601 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLKLFIVALMPVLKVLLITVLGTFLALDRFDILSRETARKNLNTVSFISSLSSINSYHLITHIIGVL >RHN49598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55864487:55865078:1 gene:gene44445 transcript:rna44445 gene_biotype:protein_coding transcript_biotype:protein_coding MILNIGATWYFHPLLPFLFLPSSSSLPRSIFISHCNYNLHHHRPHHLTLLIGHHHHRSCQSPPPPSSPSHPLFSTPPLHHAALTTVVFDLQSSDLNTPSSTPSPSSITFVIPRIFNLRPDLQSRLLHHTSGDRRFPITPSNSSVALSIF >RHN65287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:877080:884883:-1 gene:gene13111 transcript:rna13111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAEVENLPPESHDRSPTITTAPPPPDDDLPPPPNPNPRRRDFDRRDDRDFDRRPHRGGRGGRGGGGYYNNRDRDIKRRRSPSPNYRDRRYSPPPQQSRRSPPPYKRSRRGSPHRPNDRFGHDHFGGYERGGGRGGYADDRPGGRFPHRSGGGYQNGISDLDANRGYADLPSGGARREGLMSYKQFIQELEDDILPSEAERRYQEYKSEYITTQKRAYFNTHKDAEWLKDKYHPTNLLTVIERRNENARRLAKEFLLDLQSGTLDINPGLNSASTKSEQATEPNSEEETDAGGKRRRHGRGSNKDNDFSAAPKAHPVSSEPRRIQEDIHQVQAVVRKLDKEKGIEDNILCTNDHSKNSDKARSGSVGPVVIIRGLTSIKGLEGVELLDTLITYLWRIHGVDYYGMLETSDAKGFRHVRPEGARHEETAKSGSEWEKKLDSFWQRRLDGLDPLEVRTAKEKIDAAAADMLDPYVRKIRDEKYGWKYGCGAKSCTKLFHAVEFVYKHLKLKHPELVLELTSKLREDLYFQNYMNDPDAPGGTPVMQQSQDKPLKRRLLEGRLKDDRGNRRDQDRSDRTNGDRPDSSPSHERQLGNRDETMFDAYGGPAVSFNSDMPPPPVLMPVPGAGPLGPFVPAPPEVAMQMFRDQGPSSYDPSGMTMRSGPHIGGQASMIAVPPTFRPDPRRMRSYQDLDAPEEEVTVIDYRSL >RHN66344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11118128:11120466:1 gene:gene14308 transcript:rna14308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAAALVGGAFLSATIQTIAEKLTSSEFRGFIKNTKFNYSQLAELKTTLFALQAVLVDAEQKQFNDLPVKQWLDDLKDAIFDSEDLLDLISYHVLRSTVEKTPVDQLQKLPSIIKINSKMEKMCKRLQTFVQQKDTLGLQRTVSGGVSSRTLSSSVLNESDVVGRNDDKDRLINMLVSDVGTSRNNNLGVAAIVGMGGVGKTTLAQFVYNDAKVEQHFDFKAWVCVSEDFDVIRATKSILESIVRNTTSAGSKVWESDNLDILRVELKKNSREKRFLFVLDDLWNDDYNDWLELVSPLNDGKPGSSVIITTRQQKVAEVAHTFPIQELEPLSHEDCWSLLSKHAFGSKDSDHSKYPNLEEIGRKIAKKCGGLPIAAKTLGGLMRSKVVEKEWSSILNSNIWNLRNDKILPALHLSYQYLPSHLKRCFAYCSIFPKDYPLERKKLVLLWMAEGFLDYSQDENAMEEIGDDCFAELLSRSLIQQLSNDAHEKKCVMHDLVHDLATFVSGKSCCRLECGDIPEKVRHFSYNQEYYDIFMKFEKLYNFKCLRTFLSTYSREGIYNYLSLKVVDDLLPSQNRLRVLSLSRYRNITKLPDSIGNLVQLRYLDTSFTYIESLPDTTCNLYNLQTLNLSNCTALTELPIHVGNLVSLRHLDITGTNISELPVEIGRLENL >RHN81062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43013787:43016396:1 gene:gene5057 transcript:rna5057 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPFDVYKNDFEVAVKKRNVKVYFTDGWTFLKNFMCFWLVLGSLSFLQIDTFFCLKLGICMEMSWCILKALLHKECCCRTIVLMLT >RHN63210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49388605:49390652:1 gene:gene25886 transcript:rna25886 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPTSIQITKHQKPTLDNVKQNQQEPNKFHYNFAYKATIVLIFFVILPLLPSQAPEFISQNLLTRNWELLHLLFVGIAISYGLFSRRNQEPDKDNNNNTKFDNAQSLVSRFLQVSSFFEDEVDHQNQSESEDINKIQTWSNQNQHYRNKPMVIVAPQVQQLQNSVFEDEQSSFNENEKPLLLPVRSLKSRLSDDDCVVQSQSVDGLSKTTTKRFSSNSFNRVRNYAEFEGLVEDKLKQKEEENAVLPSPIPWRSRSASARMMEPKQEAIEKALKASMVMEPKQEANENASKPSMVKTSSIKFTPSESVAKNSEDLIKKKSFYYKSYPPPPPPPPPTMFYKSTFLKSRYGEKNGRNMSMGKSIEEGTNEKEDEDEEEKEYSTSSMQEQTDKERFIEKRVILEAEETDSDEDEDVGELKQSVKSVKTEEPCSSSLSGGIDTVSDEGPDVDKKADEFIAKFREQIRLQRIESIKRSTRVARNSSR >RHN79295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27233011:27236482:-1 gene:gene3065 transcript:rna3065 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKEVSGKELRDFHGKGRVADRIRNSPQEAPSNNSPATPVINIVKRPRLEVSSSPPVQIPRSSQPSSSEGVNVSISPPVQIPRSSKLSSFEGVNEMWISVFNDIVSSNDGDATSVWDNHFPFGDLIDKHFIKEKFAEKFKDMDFKRVLQTSLVDSIKMTLLHRVIGQKFDKIVMEKEAYVGQVTELKKKLSEYEKKMAEMTTLEDELNKLKKTSRDDILKIQIKEDAHKKVVDKLNAEIKKLKDDAPLIYKTGYDNAVDEVVRLASGLKPKPSN >RHN81751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48663619:48664998:-1 gene:gene5836 transcript:rna5836 gene_biotype:protein_coding transcript_biotype:protein_coding MFNYLFCCVWYFLVVFAGMAPKKPVNTGKRKKGETSTSQPPPRNQPFDHERFKSRYHQDRYRELLKQSMWPEKVFNINPQGPYQDLLKLLTDQGWGRLLQPITAINAELVREFYANALPANLTEPFVFETFVRGCTIRFDRKAINTYLGDTFPLSDEDKMDDFHDNQNRGTFNIEPMRETIKRAILLEGETYDVSKAGRQHRAQYKLMNKTCKFILKFILHNVRPNSHLSDCTVDVCPLIYYILKGIKVDIARTIAWELRLVTLQGKSEPQTRLAFPGLIMGLIKDSRMKMPTAVHEEIRNPVDDDFIRRYIMSDPKKEKGKQASSSQAHHPQSGSEPFQMPTTTAFDFASYTQWQYQCHTHTWNMLEATNRANTYLQQSQYLVQQQAEYPPEVMSQFMTPEAFQAHVSWPEGRPDPYGGGGSSFGTLSDDILMGDSDRDDPDRVPSATGGSDDDDMQG >RHN72717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10149339:10153697:1 gene:gene8466 transcript:rna8466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase II, Rpb4 MSDKGGKGGSLLSKGGLKGKDDSATKSAKARKVQFSEGLFESRSNGPTSGGKGDKVANGGKSSAAKDPHQFEHRVDQELPENFKCLMDCEAAVMLQGIQDQMVALSRDPSIKMPASFDKGLYYAKSSSSSKYSNPESVRHTLEPLMNHDLTESEICVIANVCPETADEVFALLPSLKSKRGINSQPVEEALSELAKFKQMI >RHN82346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52994246:53001059:-1 gene:gene6506 transcript:rna6506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CDP-diacylglycerol--serine O-phosphatidyltransferase MEYNGHRRANRYDCVVKENGDSHLSNDDEHDPWTAWAYKPRTITLLLIGAGFLIWASGALDPERDASGDVVTSVKRGVWAMIAVFLTYCLLQAPSTVLIRPHPAIWRLVHGVAVVYLVALTFLLFQKRDDARQFMKYLHPDLGVELPERSYGADCRVYLPENPASRFKNVYETIFDEFALAHIIGWWGKAILIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDIMICNWFGIWAGMNTVRYFDGKTYKWVGLSRQPSIIGKVKRTLGQFTPAQWDKDEWHPLQGPWRFIQVLSLCTVFLTVELNTFFLKFCLWIPPRNSVVIYRLILWWLLAIPTIREYNSYLQDSKPVKKVGAYCWLSLAICIVELLICIKFGHGLYPKSMPLWLVILWSSVGVGIVTFLVLWSWQLHRSLERKRR >RHN80003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34257711:34258277:1 gene:gene3874 transcript:rna3874 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTQALIMLAFSYIFLTFILLWNYKPPIHPTEQKALYNVLNSINPDFPWTTRFPGDLCRFPPPGIVCRYSYFHFLQYRKFKSHIEQLHFGNYVFDERPTLLPCSSHNATLNPLLFTPFNYLRLLTFRECFNNPENPINLSLSPFPPSLEHLIFFDNPSPIRVSISSVSERGLMKKLMVIGTAFGKK >RHN55322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17298592:17301285:1 gene:gene30488 transcript:rna30488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MAKLTTVRTLLALSAINNWNLHQLDVNNAFLHGDLQEDVYMAIPEGVTCTKPNQVCKLMKSLYGLKQASRKWYEKLTALLIQEGYQQSTADYSLFTLHKDNEFTALLIYVDDVILAGTSLTEFTRIKAILDINFCIKDLGELKYFLGLEIAHSKSGIIISQRKYCLDLLRDSGLLGAKPASTPLDTSIKLHNDLGKPYEDISSYRRLIGKLLYLNTTRPDITFATQQLSQFLHSPTVTHYHAACRVIRYLKNNPGQGIFFDRQSKLQVLGYSDADWAGCLDTRHSVSGYCFFLGKSLISWRAKKQQTVSRSSSEA >RHN60449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26939845:26940156:-1 gene:gene22761 transcript:rna22761 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSRSPTLEVAVGLKLSPPRAATSSLSSESLSTECVHSSEGSCVSGDAAEETKTMLLVGCTRCLMYVMVPEVDPKCPKCKSTVLLDFLNSEEITSKKKTSS >RHN63584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52423203:52429471:-1 gene:gene26302 transcript:rna26302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WW domain binding protein MTKTTKGGKVMNPTDAYRKELRKKELKRKVRDVGILKKDPDQLKKQIENLEMMKADGALDKARKHKSKQLKQTLDLVIKKQKEHDEKRREKGEPLVMFSHLGPPQRRTIVEEEEIVNPPPPPLPLPDASSADGAVLPASLPLPPPPPLPPNPTAVVPASLPPPPLPPPPSGSPPKEQAVNRPLPPPPPPPLKQSQPPPPGTSEGEERNQYALPDNLSSKEPGQVHLPPPPPPPSCMPPNSGAIQSDGTDNKNYYSNQEIQKLFPGPPPPRQQPPGPGPSLIPSLQPDVLPPGISRFPPPPPPPDMRPPLPVTGLPGQAPPPGMMVPLIPRPPYGPPPGPPPMMRPPLPPGPPPNFQEEDHMASKALPPQKPSYVKSAASTVVKRPLAQHTPELTSMVPASVRVRRETAVTKTKPKPLVSTVSVATSRTVPVTLGPTIVKPESVSSSSSPKAPSIDDSYTAFLEDMKALGALDG >RHN73048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13010633:13013575:-1 gene:gene8825 transcript:rna8825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MSLYYLSTKLIFENMFRIFTLLLSFKFSISYKIQASFVFGDSLLDVGNNNYITSLAKANHHPYGIDFGKPTGRFCNGRTVVDVIEQHLGLGYTPPYLSPNTCGSVILKGVNYASAAAGILNYTGHIFVGRINFDAQIDNFANTREDIISKIGVRGALKLLKNSLFTVAFGSNDFLDNYLAPGPSIPEWQLLSPESFVAIMISTFRVQITRLFTLGARKIVVINVGPIGCIPCMRDLNPFSGDKCVKFPNHLAQLFNTQLKNLVEELRTDLKGSLFVYGDAYHIMEDIMMNYSKYGFKNTNSACCHLVGRFGGLIPCDRYSKVCEDRSKYIFWDTFHPSDAANVIIAKRLLNGDANDVSPTNVWQLLKA >RHN82808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56520447:56526962:1 gene:gene6996 transcript:rna6996 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEDFDSNKEVVEMEMEVEVTCNSKEVEVTGMVVEVICSSREVVEKAMVVVGNDNSMEEGGRERVEGDSCNSMEVEERERVEGDFCSSMEVEVMGLVVEVICSNKEVVEMVTCSSKEVEVRERVVEVTCSSKEVEVRERVVVGTCSSKVVEVRERVVVEIYSSKEVGVREMVVGVTCTHMVALCAHTVGEVVTCIHKEVEGMGKVEVETCTHMVELCAHTAGEVVTCIHKEVEGMRKVEVETCTRKAVVVKVTVAEVTCTRKVELYVHKVVEGVICKCKEVAVKERVEGVTCTHKLVVEENCMGNHQQQQKPL >RHN65396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1766619:1772192:-1 gene:gene13229 transcript:rna13229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan 1,3-beta-glucosidase MEYRKYYLSFLLALCISCPHSLSFAYKAVNLGNWLVAEGWMEPSRFDGIVNKDLLDGTQVQFMSRKFQTYLSAEDGGGTTIVANRGSASGWETFRLWRVSDSSFNFRVFNKKFVGQGEGHQIVANSNSPSNHETFQIIRNKNEPLKIRIKASNGLFWRVQSKTSVIADYQGTNWDDNDPSVFHMTIVNTLQGEYQLTNGYGNRAPQVMREHWNSYITEDDFRFMSQNGLDAVRIPVGWWIAQDPNPPKPFVGGALAALDNAFTWAYKHGMKVIVDLHAVEGSQNGFEHSGTRDGYTEWGYSYIPQTVSVIEFLAKRYSHRKSLGGIELMNEPLGVNLDSLKNYYREAYDVVRKYIPNTYVIMSNPLATDSKLLLSFVKGFDKVVLDVHYYNLYSDKFNGMNVQQNIDYIRNDRAWDLSGVSSSNALSFVGEWTAEWSIQGAPMQDYQRYVQAQMDVYSHATFGWAYWAYKCQYNHWSLKWLIENGYIKL >RHN81171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43821967:43822525:1 gene:gene5177 transcript:rna5177 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKKNNGDFFSYGLNEATGDSEVDICDPNINMDYEISRSVHDDDNDDAMSCSNDGSAVNEHDQSWGDDDDDDDEKRLEDDEEEKDVVYGTSYCSEDDDDDDHHKKNDQHKKKKCYVSFDLGYESHESMDEMEKNRRFWEACLAS >RHN54401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9297619:9298707:-1 gene:gene29431 transcript:rna29431 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRETMATLSPKKSCRYGIRSISLPTRSHPSTIKIQEELNKFKSYEAMSSSSSSSKVETICFGLYVLVNVYKCMEDVLKLAMTQQALFSHKNEKWVDELVECPVRFLDILGETRDVIMLMKGNFQELQSALRRRKFEEYVIESYVSSYWSLRRSMKKSCTKSLFLLKQIDESFGGCFPLDVNQHISSIVRVFREVSLITSSIFQSLVEFLASPIFKTKVNKWKFVSRVLMRKGGFDCNYQEENINELEKVDLALCRLMVIDNTDMDFDEVEKIQCVHKEVEAIVVVIEGFENGLDCLFKHLINTRVSFLNILSP >RHN76517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50900023:50904788:-1 gene:gene12857 transcript:rna12857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain-containing protein MILAVLFANAEGNILIERFHGVPAEERLHWRSFLVKLGADNLRGVKNEELLVACHKSVYIVYTVLGDVSIYVVGKDAYDELALSEVIFTITSAVKDVCGKPPTERRFLDKYGRICLCLDEIVWKGYLENTEKDRIKRLIRLKPPTEF >RHN79483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29451609:29453733:-1 gene:gene3278 transcript:rna3278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine--tRNA ligase MILINSTQVICLLADVIFAIILLNVKLNHRKLLDGMMQICGVEEKGLTVETADRIGTFVREKGHPLTLLEKLKQEGSLDYYTGVIFEAVFKGGVEINRRCEMGFKVQFLVIWSLYRLPSKLGFSFNGLCGVYCARIFREIGVFEMTEYFLPFNDASPLSVSFA >RHN68636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37321003:37323743:1 gene:gene17012 transcript:rna17012 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MGEAGELRSWDELIPDALGVIFTNLSLKERVTVIPRVCKSWCKAVSGPNCWQEIDIEEWSNYCQPDKLDQMLHMLISKSCGSLRKLCVSGLQTETIFTFIAENAGSLRSLRLPRSNMSDLVVEQIAGKFSVITFLDVSYCIKIGACAIEMIGKNCKLLEGLCRNMHPLDTAGKPLQDDEAYAIATTMPKLKHLEMAYHRISTSGVVQILSNCPKLEFLDLRGCWGVNLDENKSAKQSFPKLKVLGPFVLGAYESDGWEDDDYSDVSDEYLAWDFVAGNEYYVDDDSDGYEGRIDDELEFGLYEGIEDAGMYWPPSP >RHN70585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52518087:52518983:1 gene:gene19180 transcript:rna19180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEMPLQNPHETFEEEEKEAKVDTSFDLNVPNNDCDLGCNSMSNLVTCLDIDNSSKTSSENFTCGSESTSEPRFFTCNYCKRKFFSSQALGGHQNAHKRERSIAKRGRRTMFSATGTTSFLHNHLHHRYANMASLLPLYGANSNSMRPLSIKVHSIVQKPIHNFSSNGFGTESTYYGYHGWSRPLMNQQPGRGKLLMQTLQETGLLSQSSVGRFEEVKKDMVNSGTGLN >RHN81583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47273049:47275440:-1 gene:gene5652 transcript:rna5652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CCA tRNA nucleotidyltransferase MSTQTHHVRDTIELTEVEQKIFDRLLATQLHFKLKTDIRVAGGWVRDKLLGKDSNDIDIALDHMMGKEFADKVREYSLYLKEDAPSVCVIESDAEKSKHLETATMRLFGISIDFVNLRSEKYKKECNIPEQTFGTAEEDAYRRDLTINSLFYNINNGAVEDFTKRGISDLKSGKIVTPLPPKATFLDDPLRVLRAIRMVGAILDFTLDEDLKGAAACDDVKNDLTVKVIRVCSRKVRKISREHIGRKKVRKISIEHIVKEVHEISGERIG >RHN77704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8831310:8833517:1 gene:gene1186 transcript:rna1186 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNLKSPNPDDKETRLERRWKRREAMNDSLKHNHVASATKTPAEICENTTILIDESDHTIDDDTNEDCEILLGECTGVNDEVEADYKSLLAKYSPVIETDMLVIILMVRKLMKWMQMQITDPFCERSRCCQLTEALVKGV >RHN43697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47779046:47781907:1 gene:gene50312 transcript:rna50312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MMKKSQTLLIVTLLLTINTLSLSAQTIDNPSLVFENNRIQNAYIALQALKQAILSDPLNFTTNWVGSNVCSYTGIYCAQALDNPNIRTVAGIDLNHADIAGYLPDELGLLTDLALFHINSNRFCGTVPHTFQKLKLLFELDLSNNRFAGKFPKVVLSLPVLKFLDIRFNEFEGTVPKELFDKDLDAIFINDNRFAFDLPENLGNSPVSVVVFANNKFKGCIPSSIGNMSNLNEINFLNNLFKSCLPSEIGLLKNLTVFDVGFNQLVGPLPAAIGGAVSLEELNVAHNMFSGKIPSSICMLPNLKNFTFSDNFFTGEPPACFGLPASDDRRNCLPARPFQKTLVECASFLSKPVDCKSFGCKAFNPSLPLPSPPGVSPSSPPSPGGSPTSPSPPGTTPITPSPPAVSPSTPSPPGTTPISPTPPGTTPTSPEPPALPPSTPSPPGTTPISPSPPGTTPSIPPPTHSSPPGTPNSPSPPGMTPSSPPPTHSSPPGYTPSPITPVVSPPSPAPHYGSSPPPHSPFFSPPPGTSPSSPPTPGTVPSFPPPTHSSPPAHPTPPSTTPTPPVVSPPSHTPMPPSHSLYPPPPTGPHYGRQSPPPPSESPPSIGPPPSLSPPPCEEHPSPPGPPSSSTPTPPVHVSPHPPVQHTPPSPSHPSPIQYNSPPPPPPLVHYIYNSPPPPTLPPPPPPSPAYGGPLPPIVGLPYASPPPPPFY >RHN77244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5390252:5390605:-1 gene:gene681 transcript:rna681 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSGFCTLFLMVVAAFTFFSFKSTTAPPWFPGKSSSIPYNNGTFCKMCCCFIIRTSSFWLKN >RHN69795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46312842:46315438:-1 gene:gene18309 transcript:rna18309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation initiation factor 1A (eIF-1A), RNA-binding domain, S1, IF1 type MGGGRKNLKRATEEKHVTLQHGQCIMRVVSLRGSNLIEIMDARGDKSLAIFPAKFQKSMWIKRGNFVVVDESGKKEALESGSKVGCIVVQVLVYEQVRALQKSPEWPEIFKQDLKVIATEQQETETDIRDDDELPAMEDNTNKIQPFEPPEIFKHDSNERATVQQENETDTSDDDDGLPPLEANTNRIQPFELYEDLESNSDNDDYFVGSHSSTNTM >RHN74723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36135299:36135589:1 gene:gene10831 transcript:rna10831 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNSQFNHRPIYTVKDLRLRCFHNESEIFPHSFLQRFINLEKLMVTCSSFTEIFSSGSFDLGHSETTMKLKKLVLVELHNLEFICGDNSDMQFAV >RHN79039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21685651:21686293:-1 gene:gene2729 transcript:rna2729 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIHQYIRSAGPHSVSRTESPDLKVVSFTDSLLTHTSAQSAPERLLLGSDVNLQKMTSQNSASCFKPAKRVLDFTLTKGIDDLENRAEKSKPSRGCSDDFRSFDSVSLPQEVDENLSHSFQKINVNQHCLDASDNNPSSLVELVNVTDSIFGIL >RHN72722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10190292:10191310:-1 gene:gene8472 transcript:rna8472 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPCLIEMEWEDKCALMQSIGGWLVISEGKLNGDFERVQILQILSIIK >RHN76358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49662305:49665093:-1 gene:gene12669 transcript:rna12669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MDQEFFDAIKKNDMITFSSIVKEREGILNQKTDDTFSAPLHLASKYGCIEMVSEIVKLCPDMVSAENKNMETPIHEACRQENVKVLMLLLEVNPTAACKLNPTCKSAFLVACSHGHLDLVNLLLNLSEIVGQEVAGFDQACFHVAAVRGHTDVVRELLNKWPDLIQVIDEKGNTALHHACYKGHFEIVWILLSRDSKLALQYNNNGYTPLHLAVIKGKVSTLDYFVVVSTAYFHYPTREEETVLHLAVRYGCYDALVFLVRVAYGTNLVHRQDKYGNTVLHLAVSGGRHKMADFLINRTKVDINTRNNEGLTALDILDQAMDNAENRQLQAIFIRDGGKRSTPSSFSLELDNTSSPSPTSRHSLSRRYISKEMEVLTEMVSYDCISPPPVSESTESISPQPQVSERFENGTYNPYYFSPTNLVKQKHHHNKGKIENVNHTKRKHYHEMHKEALLNARNTIVLVAVLIATVTFAAGISPPGGVYQEGPKKGISMAGETSAFKVFAISNIIALFTSLSVVIVLVSIIPFRRKPQTILLTIAHKVMWVAVAFMGTGYVAATWVILPHNQEMQWLSVVLLALGGGFLGTIFISLSVMLVEHWLRKSSWRKKRKESGDGTAESDKESEDSDFQSSYLQGYHSY >RHN68682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37794928:37795680:1 gene:gene17064 transcript:rna17064 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSVKKEINETAIISLVEALPDELLANIVGKVATFSMADLFKTTLSCKDFCKALEDPHVYQHAALDKFALIPLAWFTSEKETMFLSRCREMGNLEIIYREGMVQYFSTLMVNLGFDNLKNAALGGHHEAKYVYSMLLMANAENGEERKLGFDLFGELKNSSCISLVSCRKRVQSFIKSMWLRNSVVVQNQELSLCCSSTCQSGGGTEKVVKKHSLWRQIDEFDNDVSFSCKYCDGNHELGLFCKLFQV >RHN64406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58806294:58806821:-1 gene:gene27222 transcript:rna27222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain, Cell wall/vacuolar inhibitor of fructosidase MTMFKPFALFFLILCTFLVVTQSRTIQPNDANLIQQTCKNTPNYALCIQYLNSDPKAPSADIRGLSLIMVNVIKTKATATLNKIRQLLKTSPPSQKGALTSCESKYNTIIVADVAEATEALQKGNPKFAEDGASDADVEQEACEGGFSGNSPLTADNNVMHNVATIARAIIRNLL >RHN40635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18622112:18624343:-1 gene:gene46815 transcript:rna46815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Caspase-like domain-containing protein MGKKRALIVGLNYPNQSNFLRDSVNDSIRVKDMLITYYGFAPNAVKLVVDAKGTMNTPPLNDFRIKKTTKQINDEYICKKLTKMIKKSSAGDTIFFYFAGHGGSTTTAFDNDTGQEEYMRCHGGTMIEDSAIRHLWQTVPEGCSFTFVADCCKSGGLLEGAKEIVGHSKIGSTVSEKGLKPWNKVCKLGRPTNHPLGIFISSCQANEDCRGGFCEERLEHSSFFSQAFLRVIEETRGNVTNLKLVQRITKLLENRPIETTPGLYCDDNQMKLMFLGSP >RHN74626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35285740:35286198:-1 gene:gene10727 transcript:rna10727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MRMSCCSHGKTVQLQIVANGKCNNQTGYVQSLDLHRSETNYLSGEINSSLTELQHLKYLDLSYLHTSGQIPKFIGSFSKLQYLNLSTGHYDGKIPSQLGNLSQLQHLDLSNNELIGAIPFQLGNLSSLESLVLHHNSNLRINNQSHGNVEWL >RHN60646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29060785:29064701:1 gene:gene22995 transcript:rna22995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MVSAPKPKRTRMSCSHEELKDNIVVEAVAKDGDDVEKKMKNKKKKKNKNKKESNLRSNESKNLQPSPKHTTQTSNSHASPTTINYRYFVCFIVVILFTIYWDQCLHKLVFQENVLEDGAAVGYFVYEEKQLQGEINIKQSGILCDCCKEVVILSYYLIYVMSSISFEQILEFFFCQIKKLDEQVSPSKFEAHAGWASRRKPYFHIRTTDGVSLHQLAINHRISISNSDEHCSKCKQRGNLLCCDGCQRAFHLGCIPVESPPKEKWYCEYCRNKLQKDKNVEHKENVVTTQKIIESDPSEQIAKICTLSVKHKEVEHSSCALCSERHFNNGEFSPWTVMICDQCEKDYHVGCLKDHNMANLKKVPKHYWFCGVDCYDIHMKLKNFMARGDVLLSDSLLSLIKNKKEQKGLETEFGLDIKWKVFNRQLIVSKIITSSLLSDVVTIFHEQFDSIVVTGTKIDLIPAMVKGRKIKDKYYFGGMYCAVLIVNQVVVSAGIFRVFGKEVAELSLIATKAEYQKQGFFKCLLSCIENVLKELKVERLVLPAAHEAESMWIDKFGFTEPNQGLGRRYYRRSWSFHLNKGVEQNTHLTGKLEFCQKCASSDGVCYKGGEKHVLIYGKKE >RHN44164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2113382:2113990:-1 gene:gene38251 transcript:rna38251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRVKKMSETLKFVYVLILFISIFHVVIVCDSIYFPVSRPCITDKDCPNMKHYKAKCRKGFCISSRVR >RHN78549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16142320:16148495:1 gene:gene2129 transcript:rna2129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CK1-CK1 family MMDLVIGGKFKLGRKIGSGSFGEIYLGVNVQTQEEVAVKLEPVKTKHPQLLYESKLYMLLQGGTGIPHIKWSGVEGEYNVMAIDLLGPSLEDLFNYCSRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGMKAGTKKQKYDKISEKKMLTPIEVLCKSHPTEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRTRPSVKPVLNPGPSGERLERPSVGQEIRDRLSGAVGAFSRRNGSAQGLQHRSLDNMPSSKDVQPDSDRARSSTRNGSASKRPMISSSRPSSSGEPSESRSSRLISSSSRLSTTQRVQPGLESKSSFTRTAGTRGGRDDTLKSFELLSIGTGKRK >RHN78712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17737665:17739730:-1 gene:gene2355 transcript:rna2355 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSVSVKKKNEKKYPQNYVMNLKEKVRLLQEEIKEIMCDREKESRNYEREIMVFAFKEADWKQEMKRLKEEVKELREVVEEKEEKIREMEEVGMVEKNCEKEWELMGTKLLVQEMKEERARRDEAVEKWKQLYLAIKNELDDLIQRTYDGDGLYWKAEENDIQIENLKRELQEKEESIKALKAQLGSVEKERYKQEREFDLLRQSLRIMNGKKNPIQTKEKKKLKIKLGK >RHN76040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47207612:47209901:-1 gene:gene12313 transcript:rna12313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MSKPRNFFFFKPSPPKSSSPLPSPQNKTFSDAIVDENIETARTLITKWNTVSTSSNHSNSLFTNTRQEAKRYLKAVKSLQSAMMYLVARDSTSKKLVEAQSLMQLAIKKLENEFYGILSQNRDRFDSESISFRSSTDRRSSSSDEEFSDDDGSSLAADSVSMSAVADLKAIAECMIFTGYSKECVNIYLIVRKSIMDEALYNLGVENLSFSQIQKMDWEMLEYKMKCWLNAVKVAVNTLFHGERILCNYIFDSPEKNNIGESCFADICRESALMLFAFPENVAKCKKTPEKMFRTLDLYEAISENWNQIESIFSSESNSPIRSQVVASQVRLGETVRTMLTDFESAIQKESSKIPVPGGGIHPLTRYVMNYIALLADYSEAIGDIVSDWPQTPVPESYYKSPIHDEDNPPSEIAKRLSWLILVVLCKLDGKAEFYKDVALSYLFLANNMQYVVVKVRKSNLRFILGEDWLLKHEMKVKEYVTKYERMAWSKVLSSIPENPTVEKASENFQGFNVEFDEAFRMQYLWVVPDLELRNEIKESLVSKIVFKYREFYVKFRVGLDSVIRYSPEDLKEYLSEILRGPDGVKDS >RHN67577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28371238:28371771:-1 gene:gene15777 transcript:rna15777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MVHSFAKPSLIVLALFLCFVPSFCSDRIVQVTEICSKYKIPYNCAIILNAIPGVSTKGAVLSSLSSYLITMANVNAFNTITLIHELISNTSDTNLKQIYASCSMDYNDALLSITYVKDSFNSGNFNGMNSNAAIVVKDIEHCGLKAPDSTPLLKYNQPLEDVTNIIMILADYLAGKY >RHN68524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36205637:36206587:-1 gene:gene16887 transcript:rna16887 gene_biotype:protein_coding transcript_biotype:protein_coding MFIIRRFYMLLRASDLNFLFPSYWLYVYGLQSMIMFLLYLIVFPCPQTMDIFDLYVSLSVGPSYQKRHYTGP >RHN61933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39459601:39459842:1 gene:gene24447 transcript:rna24447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MFRLHDGPLGVQKQILYQTHGEEHNQICNLSTASNLIRRRLCRQRVLLIFDNVDKVEQLEKIGVCVW >RHN78995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20676509:20676721:-1 gene:gene2671 transcript:rna2671 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVYVGQKLFGQEQLVQRQFAPEQFAQGWFGFLFGFPRQSFQELS >RHN66946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22005640:22008153:1 gene:gene15069 transcript:rna15069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MNLCILQLEFLSYLDLSGNDFDVIRIPAIQRNITHSSKLAYLDLSYSSAGSVVNGFQMDSLDWLSPLSSLKYLFLSGIDLHKETNWLQAVSILSSLLELQLSECNLENFKIKPSFEYLNLSSLVTLDLSYNNFTSNIPNGFFNRTTYATYLHLKESNIYGEIPSSLLNLQNLRYLNLFENQLQGSIQDGIGQLEHLQYLDVSKNMLSGFIPSTLGNLSSLNYLSISDNNFSGEISNLHFSKLHSLVSLNLSNSIFEFQFDLNWVPPFQLSHLLLRNTNLGPHFPSWIYTQKSLQILDLSSSGISLVNRNKFSRLIERISGEIILSNNSIAEDISNLTLNCFFLWLDHNNFTGGLPNISPMADWVDLSYNSFSGSIPHSWKNLSELEVLNLWSNKLSGEVPLNLSDWRQLQIMNLGKNEFSGNIPVGMPQNLVVVILRANQFEGTIPQQLFNISYMFHLDLAHNKLSGSVPKCVDNLTDMVTFHFISFYITTIELFTKGQDYIYEVHPDRRTVDLSANSLSGEVPLELFRLIQVQTLNLSHNNFIGTIPNTIGGMKNLESLDLSNNKFYGEIPQSMALLNFLGYLNLSYNNFDGKIPIGTQLQSFNASSYIGNPKLCGAPLSNCTAEEENPKTAKPSTENEDEDSIKESLYLGMGVGFAVGFWGICGSLFLIRKWRHACFRVIYGVGDKLYVILTVKLNSFRRN >RHN71961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3961238:3964035:-1 gene:gene7623 transcript:rna7623 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNQNQGNVQGDEKSKEKSPMTWHRIVCDGFEKKKGSKVPVYNHLRLRRSGRICYFGPQLKQGAAWTVAGNPIDLCDENE >RHN39798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10575910:10576690:-1 gene:gene45862 transcript:rna45862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 1A MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYSELPDSVRLNEGIGGGIDGDDDEGDGNDYIEFEDEDIDKI >RHN65041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63806229:63807507:1 gene:gene27934 transcript:rna27934 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVLGWAVIEASLIAPLVNLLQNAEFDIAKEAAWALFNVTSGGTHEQIKYLESQGCIKPLCDLLAIHDPRIVTVCLEGLENFLKVGEADKSYGNTGDVNLYVQMIPDADGWVEIENLQSHDNNEIREKAVKILETYWFKDEDETVPPS >RHN63567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52276326:52280835:1 gene:gene26281 transcript:rna26281 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phenazine biosynthesis PhzF protein MSKKPMKYYVVDAFTESAFKGNPAAVCLLEEERDDEWLQALAAEFNISMTSYLIPIQQETKIPRFHLRWFSPIVEDNLCGHATLAAAHVAFSSGLVDRDVIEFMTQSGMLTAKKISSINGSTSGMKNFQNHEAKDVFYVELDFPADPISELKFDDISLISGVLSEASIVDVKRTEIQDDLIVVVASAKNVTEVQPHFDAIYKLPVRGVSVSGVAPPESGFDFYSRFFCPKIGINEDPVCGTAHLGMASYWSKKLGKCDLKAYQTSTRGGVLNIHIDERKQRVFLRGKAITVMEGFVLV >RHN80909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41811426:41817410:-1 gene:gene4891 transcript:rna4891 gene_biotype:protein_coding transcript_biotype:protein_coding MATETVELASDHASTTHEQFVKQEKDEAIAEKMNSLANEDGDKHNDKPDNAQGQATPSSKIEDEVKAELAAAEIEKSDDSSALNVSAEDSLKPDIIDDAQNPGVEKEDEVKAEPAVAAEKTDDSVPIETVSDNPKEEKDLITESETISVTEPVNDTAISHHDETPSTESDAKETSQQIEKELVETNEENQPKIEDIPEAETTEKSSDATETNQFEEKVKQAEISETSAEKEEKPELEPLATEEPKVTKEPEKESQEKSEEAEQPKAIAIVESTVEANEEKTAAAISEETKIIEVEPTETVKEEPMVTEVATAEIVKEEPVVTEVEATETLKEELVATEVEATETVKEEPAATEVKVTETVKDEPVVTEVDPTETVKEEPLATEAEATETVKEEPVATEVEPTETVKEEPVATEVEATETVKDEPAATEVEATETVKEEPVATEVEATETVKEEPVATEVEATETVKEESVATEVEATETVKEEPVTTVVEPTEMVKDEPAATEFEATETVKDEPIVTEVDPTETVKEEAVATEVEITKTVKEPLVTEVDLTESVKVKPVVTEVDPREMVKEEPVATEVQATETVKEEPVATEVEPTETVKGEPVVTEVEENQKEPEQHSTERREEEQPNASSIPEQSTETNDVIAVEEKSRELEFEAAILKETKNDEAGPAETEKVEPVVTEVDENQSEPGIQSFKQEEEVKPKEETENQEQTGDRVEVHPPKDSDIEAVKETNNSGSEAILVKEENIDPLSNGVEEKLSEQLQVGEEVGEIIKEVEPEQATEKTEGSNGTIKEEETEPNTTVNAAQVQTTPANLVEPSPEVEEKIVVEDGKKEVVVAAEDEKKEPEASDAVQVSSREQNEAKTATIEAGETETKVEEISKAVNEPVRETLASKFEKDEEETIQNGVDNLEKERIEEPVKTEVESTKENDTTTISKDLPKETPAKPAQKQSNNIIAKVKQSLVKAKKAITGKSPSSKNLSSDPKGDIKVK >RHN82482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54151024:54161504:1 gene:gene6651 transcript:rna6651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inner centromere ARK-binding protein MSAIEKNLVQIFDRKKRIIEHARQESRLWEHHLLPKLILNGIPPPPWLTHSDPKDLNRHEVVSEGLLSRTQFGIPFTGQQCSLYSNLDAVFNGVHYPVGLRNEVCAFKNDSDMGRRLSILPDCSVNNAGCASSDPPELDSGAISPQNQIEPRVSESFHDPAVSLAKLQRSRSRQKALEERNSAIASNRLSGDGDNAGDCTAAATGSAPPSLQEDHIKDLSLVNEFQPNSQSFLMEEVRREDCLTQKGSRSNYSGRKTRSKASSQKFNSSSAGTSSVEKEDGPPLNDLKEVMELVNRPSFINETCGVQEPNIIEFQNNEVGSSVYDKSLAKPKSSSQAEHNSELLNLDSSSGRYKVVEASDLKQPCSHVELTDLSKTSDCINGSRRSIVKDGDFCQTNQESNIRSRLRLHKSSCPSPGDDFFTTGGSVNSIDKSVQLLQPLISKNLQDPSVAIVGSFGSQKEPHISAGKTKEQSSRSGSGKAYLTRNSKFSKSPNSNSRERSAVRSESAGKKSQNVQLTKLDHRRLSTSPKYSKLDVEISVNSAEKENIAEVGASRNTRAVTSHTTEGPLISSSIDGGSLLAESLYIKAAVAEKDLDVQENIASDVNSNDNAEHRSAATNAKVHLDFDGLVDNEPSCLGSRLNTANPKVREDVSVLRLSPDFVVSVIPKQLVFDDGEETNRDGIISLDLKEGCRRMSLYKEPPALSEPLKLLDDIQEVLADSFSEAVTENDLLRHSDKSVTNFNVRFSSGSPTDDTNVDLAQQAPNTICLGQNGDLLPQALLSNGKVTNFSTDCHNFKSSTQSFTNNVEHSCSQHKRRKVEIATEKFLPDSTLLAEKPVDSIDQRPASGTSSIKEDNPEAVIEVQHLAYDQADSIGDQHTRNSPTDAMEDTEERKKMEGSSVKVRKEEKLILDGRGRSSDNLMLPETNPFSFSIDSMRFNMDEKAGSWHLEVNSGQDSAEHLTCVERSTSSRRINPGGDTEFSDGLSVSPGLRDLNLIGTGEALPEFEGFLMQTDNAQPCTARDEMELESLSLPSNSVDYTSLGRSRFMYSPSCYSSTPYKLHSLANLYQSLPNGLLEGYGLRTSRPAYDGSPRSLSDCRPNCNGQFTSSVQTLWDRFNSNFGSSGKRKSSKPELPCINEENENVDEIAGTFQRGIGSEGITRSITRGLLADIVDNANPSTSVLQDALASGRKDSVSSEFNFDGTPNKIKKKPDMQNGNGIRFANKGKENKSVVNGAKRNSESVRKRSSRSNLSGKNSLKQRCPTFSGGKSTRSNIVSNLTSFIPLVQQKQTAAVFTGKRVINVPSLIKAEAAKRNADIKANEQKKRKEFMMEQQKKKKEEEEMAAKEKKQREIEEEKEKDRKRKLVNDMKQQEHGKMHAKKARAAGEEVRESRKIDNRNNLLLQENRQGNVQKISETEPLTISDPANNKTKESCYDKSESVSECANKGKAKMVDLIKAVIDNDSIIKNSLQEQSYEMSPYRSDDDEDDDVPNNKFMPSWASKHSLSMIISSQKIDPERIFPRQSFCDIAKVLLPRRLLL >RHN43684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47698461:47699382:1 gene:gene50298 transcript:rna50298 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTSISKYKKFFQNTLNNFRSLFSRSYQKIPKTPPHNHSSYNDLEKFYSDFTEKWDSEKGKSKKRRKNKAMLSSSTTKQEEELHVHNHNENMVALNNAKEKNEMEKSEELDGEQCKQDSSSMFNSKSMVEKKLKELEMLEMSNVDYILDIEEVLHYYSRLTCPSYLEIVDKFFVEMYSEFFGCPETPASVNSKLKMRSVRS >RHN55117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15265572:15266595:-1 gene:gene30247 transcript:rna30247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSERVRHLSICMWDSFGKVGSIRLHNVESLKTCIYYDDQLSPHVFRCYSLRVLEFKRKEKLPSSIGRLKYLRYLNLSYGGFKTLPESLCTLWNLQILKLDYCQELQKFPNSLVHLKSLQHLYLRGCYSLSSLPPHVRMLASLKTLTL >RHN41743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32584377:32586626:1 gene:gene48096 transcript:rna48096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MHPFSLTLETPSSSSSSSSSSISQPSSHVPIPTITPDLSISHQIPKISTLNHTTLTPPPITTISTRTSLQTSLVPNLEPFNNFFASQDSQQQPNFSPESETDDQLDLYTKFYHTSKFYPFLEIVDSNNDFVVSLENTVNVCNQNLNLNLNLGVDSVNDGNDRAIFVVPEQQESSLMVDMVREKESQVMELVRFLDLSTKDQMHFHHEIRRKRMIYDSLRVLVSIEEEKRMNEEKKLDAEVAVVEAEMRVGEENRVVATRVEGETINGIRRRSRNQIHGYMTAATLMKECGLWLYHDQRIVGPIPGIYVGDVFMFRMELCVTGLHMHPQAGIDYLSNSISYNGEPIATSVIVSGGYEDDMELDDGDVIIYTGHGGREKNSSRQICDQKLEGGNLALERSMHYGIEVRVIRGMKYEGSASTSGKVYVYDGLYKIVSCRFDLGKSGFGVYKYKLLRIEGQVKMGSTILKDARDIKKIELDFMPMSCLSIDISNNRENVPIRLFNDIDVNQEPLYYMYLPNTTFPWFVFHQSGEANGCGCKEACTDGCFCAMKNGGEFPYNLHGLLVKGKPLIFECGPFCSCPPNCRNRVAQKGLKYSLEVFRSTQTGWGVRSLDLIQAGSFICEYTGVAMTSEQAQTLTMNEDSLVYPSRFANRWVEWGDLSQIYTKYVRPSYPPIPPLDYFLDVSTMRNVASYMSHSSSPNIFIQYVLYDHNNLLFPHVMLYAMENIPPMRELCIDYGISDEWANKMSICM >RHN62557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44336608:44340708:1 gene:gene25148 transcript:rna25148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MDSAKNLLYLSTVENHKNKLLVLDYSLILLCLTLSFCPCSSDTITIHKPIRDGELLISKSKTFALGFFTPGKSTSRYVGIWYNNLPIQTVVWVANRDAPINDTSGILSINQNGNLELHHNLSTIPIWSTNVSLTQSQRNNTNVIAQLLDIGNLVLRLNDTETAIWESFDHPTDTMLPYQKIGFDRKINQSWVLQSWKTDDDPGKGAFTVKFSTIGKPQLFMYNHDRPWWRGGHWNGAILVGLPHMIGDMAVRNVSWVEDDNYVSLSYNMFNKSVITRIVVQQSGFFQTFMWDSQESQWSRYWSEPTDQCANYGTCGPNSNCDPLNFESFKCTCLLGFEPKFPRDWYESRDGLGGCVRKRGASVCGNGEGFNKVLRVKVPDISGAVAIDGLTLEECEKDCLRNCSCNAYAVADVRNGGSGCLTWHGNLMDIQKLSNQGQDLFLRVEKVELANYNKKSKGVLDKKRLAAILVASIVAIVILLFCVNYMWKKKRKDKMMPQPNQDSSGEENDTQSNTHPNLPFFSFKTIMTATRNCDLENKLGQGGFGSVYKGFLENGQEIAVKRLSRDSGQGKEEFKNEIKLLVKLQHRNLVRLLGCCFEKEERMLVYEYLSNKSLDFFIFDQNQRSSLDWVTRFEIICGIARGVLYLHQDSRLKIIHRDLKASNVLLDAVMNPKISDFGMARIFGEDEIQARTKRVVGTYGYMSPEYAMQGRYSTKSDVFSYGVLLLEIIAGKRNTHCETGRDSPNLIGHVWTLWTEGKALDMVDQALNHYYSSAIVLRCIQIGLLCVQENAINRPSMLDVVFMLCNETPLCPPQKPAFLFNVRQDLPESSTSGGGSSINELTETTISAR >RHN61066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32644492:32649676:-1 gene:gene23479 transcript:rna23479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc/iron permease MDSQFLLGIALSSIGGLSTSIGALFVIMSQAPSLKMLGLLQGFAAGLMLSISFFDLAHNAINTLGFLRGNLWFFSGVIFFAIVANFIPEPTAPPPDKKSKQVGREENKNTMKKRRRQVLFSGIITAIGISLHNFPEGMAVYLGSMKGLRVGLNLALAIALHNIPEGVAVALPVYFATESKWQAFKLASLSGLAEPLGVIIVACLFPTSLNPEILEGLLASVGGVMAFLTLHEMLPLAFEYAGEKKSVKAVFCGMAFMSASLYFLRLSLPEDTGL >RHN76541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51102615:51106057:1 gene:gene12884 transcript:rna12884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor/ chromatin remodeling BED-type(Zn) family MQQPESSSSLVPLPTTPQPKDYTCFNAMELPNSEIQPHKHVKRKSSVWEHFTVENTDEPGCVRARCKGCQKLFAYINGSKQSGTSHLRRHVLICSKNPQAKHSKTGVDPHKKRARAKSSKTGVDQHKRQDRVKPYFTSISYSQERCNDKIAKMIILHDYPLHIVEHKGFNDFARALQPQFNPLSLNTVQGDCIAIYLREKQNLLNLVDRIPGRVNLTLDLWTSNQTTGYVFLRGHFIDGDWNFHHPILNVFAVPYPDLDGSLNQTIVTCLKSWHLKGRLFCVLFDKLFSNETLMGNVRDLLSLKNPVILNGQLLRQSCYARVLSCLALDTLWAMQETIAKVRKSVQYVKSSKLVEERFLELKQVLQVPSKMDLLIDDNNKWDTVYSMLVAACELKEVFTCFDAYDSYYTMSLTMDDWKQIENLCACLKFLYDAAKFLTIQPYSTANLFFLEVSKLKMQLTEASQDPFCCSLITSLQEKFDQYWRESCFILAIAVAMDPRYKMNLVESTFAKIFGENAEQLIRAVEDGLQELFLEYSIMQVLPFTETNFDVGCETMMKTEAFQEVSLDESFFPPEDGISDIQFYISDFTTNQQYKSELNVYLEEPLESSAQEFDILSWWRINGLKYPTLSRMASDILSMPVSTLSADSIFDTEIRKMDNYRSLLDSVTLEALICTKDWFHCGSTPIDVSCALVKMEY >RHN44431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4568775:4571555:-1 gene:gene38551 transcript:rna38551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MAVQYVTQALVLIFSIITTLNFIVCMEVTCNDKERNALLRFKHGLSDPSKSLSSWSAADDCCRWMGVRCNNMTGRVMELDLTPLDFEYMELSGEISPSLLELKYLIRLDLSLNYFVHTKIPSFFGSMERLTYLDLSYSGFMGLIPHQLGNLSNLKYLNLGYNYALQIDNLDWITKLPSLEHLDLSGVDLYNETNWFELLSNSLPSLLKLHLENCQLDNIEATRKTNFTNLQVLDLSNNNLNHEILSWFSNLSTTLVQLDLSSNILQGEIPQIISNLQNLKTLELQGNQLSGALPDSLGRLKHLEVLDLSKNTIVHSIPTSFSNLSSLRTLNLGHNQLNGTIPKSLGFLRNLQVLNLGANSLTGGIPATLGILSNLVTLDLSFNLLEGPVHGKSLEKLSKLKELRLSSTNVFLNVDSSWTPLFQLEYVLLSSCGIGPKFPSWLKMQSSVKVLTMSNSGISDLAPSWFWNWILQIEFLDISNNFISGDISNIYLNSSIINLSSNHFKGRLPSVSANVEVLNIANNSISGPISSPFLCERLNFENKLTVLDVSNNLLSGNLGHCWIHWQNLMHLNLGRNNLSGEIPNSIGFLSELESLLLDDNDFYGSIPSTLQNCSMLKFIDLGNNKLSDTLPSWIWEMQYLMVLRLRSNEFKGSITQKMCQLSSLIVLDIANNSLSGTIPNCLNEMKTMAGEDDFFANPLKYNYGFGFNYNNYKESLVLVPKGDELEYRDNLILVRMIDLSSNNLFGTIPPQIAKLSALRFLNLSQNSLYGEIPNDMGKMKLLESLDLSLNKISGQIPQSMSDLSFLSFLNLSNNNLSGRIPTSTQLQSFEALNYAGNPQLCGPPVMNNCTKMKQVLERGNSDAGFVDTSDFYVGMGVGFAAGFWGVCIAIFFNRTCRHAYFHFLDRLKDLVYETFVLKVRRTIAVF >RHN38408.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000026.1:10799:11779:1 gene:gene50774 transcript:rna50774 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNSETSSLGCEKHPPIFCNQAQSQHYSTNQRQGTFLSTAKVRGFHPSILARTEHPSSPCRHTLQCQHDFASR >RHN59410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10377715:10377960:1 gene:gene21436 transcript:rna21436 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSSLFRVILFIPVSTALDKYLEQNVRPSLATYASAIEPSGYLLFRTKYFKSPKYLSM >RHN59416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10463358:10463981:-1 gene:gene21442 transcript:rna21442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MPWKKVKLAFIANARKTTYNKRQKGLFKKVYELSTLCGVEACAIVYGPYEPQPKIWPSPQGVQTVLSKFRTMSEWEKSQKMMNQETYMIQRVLKAKEKLKTQRHENYEKEMVMLMFQCLCEGKLMQNNISLVDSKHLCWLIDQKWKDVSRRLAPPLTSRDEKMAMMGHGYVGMTMNNGDIMESQIFMTRQYPLARSILDFCPICYFD >RHN39553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8167290:8168979:-1 gene:gene45584 transcript:rna45584 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVLYCIPILMCLAYISVPLEVEKLKEKKPTMTEALSQTLQAIHKAGCISLVDIVEGSFYVKKSTKNKVPLVRSLTMTWVTFCIETSNKGIITKVHKDYVPICMDCLNDGTPEVRDAAFSALTAIAKLVGMRPLERSLEKLDDVRKKKLSEMISGSEDAVPGGSSTGL >RHN49456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54932220:54932759:-1 gene:gene44289 transcript:rna44289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDNYIFDILVIVFSSFLAASCFVLIALIIVHTCRRFHPNSSSSLSDLESLEYDVESTTTEEEEAPHGLDESVIYAIPSFIYTTTKSEQEEESRGECVVCLEEYEDNDHIRILPFCSHTFHLNCIDVWLRSNPSCPLCRSCLYFFEEDFMLKRSNASGSERSLSPERMVIIDIPATASPS >RHN44077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1465805:1466999:1 gene:gene38134 transcript:rna38134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribulose-bisphosphate carboxylase MASSMMSSSAVTTVNRASSVQSGAVAPFVGLKSMAGFPVTKVNKDITSITSNGGRVNCMQVWPPIGKKKFETLSYLPPLTREQLAKEVEYLIRKGWVACLEFETEKGFVYRENHSSPGYYDGRYWTMWKLPLFGATDASQVLKELDEVVVAYPNAFVRIIGFDNVRQVQCISFIAHTPDVY >RHN56561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31886545:31892206:1 gene:gene31978 transcript:rna31978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-phosphofructo-2-kinase, Fructose-2,6-bisphosphate 2-phosphatase MIDMASGRGGQIQVNNISGYLPGRIVFFLMNTHLTPRPILLTRHGESQDNVRGRIGGDPALSEAGELYKQKLAKFVGKRLKSERAASIWTSTLQRTILTAGPIVGFPKIQWRALDEIDAGVCDGMTYQEIKKNMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVVSHQAVLRALYAYFTDRPLKEIPHMEVPLHTIIEIELGVTGVQEKRYKLMD >RHN51071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13610800:13611329:1 gene:gene35444 transcript:rna35444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxo-5-alpha-steroid 4-dehydrogenase (NADP(+)) MILDSAIPITLSYFMSTVTMIYGQHLTKDCPEPQINLIYPGIVLFLVAIIGNLYHHFLLSKLRGKGEKEYKIPKGGLFGIVICPHYMFEIIDFYGVFFISQTLYSLIFAIGTTFYLLGRSHATRNWYLSKFEDFPKNVMAVIPFVF >RHN49688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:313760:322407:1 gene:gene33893 transcript:rna33893 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDLMSGYKGVVGLVFGNENSSNEDRYVERLLDRINNGKLPDDRRNAITELQAVVSENKAFQLAFGAMGFPIMLGVLKEERNDVEMVRGALETLVSALTPINHPKGPSNEVQPDLMNTDLLSREEESIPLLLSLLEEDDFYVRYYTLQILTALLSNSRQRLQETILTIPRGITRLMDMLMDREVIRNEALLLLTHLTREAEEIQKIVVFEGAYEKIFSIIREEGNSDGGVVVQDCLELLNNLIRSNVSNQVLLRETIGFDSLILILKLRGSSYSFTQQKTINLLSALETIKLLLKGGSEADPGKDANKQKNKTALVQKKVLDSMLILGVESQWVPVAVRCAALRCIGDLIAGDSKNLDLLASKVLGEEPQAEPALNSILRIILRSSSMQEFIAADDVFKNFCEKNADGQAMLASTLIPQPYSINRSFHEEDVHMSFGSMLLHGLTLGENDGDLETCSRAASVLSHILKDNLQCKERVLRIEIEAPMQSLGAAEPLMHRMVKYLALASSMKSKDGKSNPSGNSYAQAIILKLLVTWIADCPNAVHCFLDARPHLTYLLELVSNLSETVCIRGFAAVVLGECVIYNKSTDSGKDAFAIVDIISQKIGLSSYFLKFDEMHKSFVFANVGSSLTHRSFSRSSAASMADIQDVDENDLSEKKNTDDPILTTILDSYFVNFVKRLEENIREQIVEVYSRPKTQVTVVPEEIEQKRGESDGEYIKRLKAFVEKQHSEIQDLVIRNGTLAEDLAKTGSSFQSEQRVSGGMDRVQIETLRRDLQEASKRLELLKAEKAKIESEATMYQNLAGKMESDLQSLSDAYNSLEQSNLQLENEVKALRGEGPSTFPDVEAIKAEAREEALKESEGELNDLLVCLGQEQSKVDRLSARLLELGEDVDQLLDGIGDDAGAAEDVEDEDDD >RHN68955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39852007:39852702:-1 gene:gene17379 transcript:rna17379 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVQVMDGQLLYLWPWRKLMKAFWPEKTQLDNTKPDLGENHFFGSEILSTLILLLVLICVLYFPINTRTRHMRLRWNLDTSEHEDDKSLSLFSSSGANNELYLFTMVVSGDFIYIRRHLRWDQKSMRESFSRHRKPEFRENCLLRFEVEVEGCKIKKCGWPVLHKEDYLEDLEVSNSENYAALSNSKHFSGMRKSRLDKLIEEIDAFDMQNIEKSNENLSLVSRFLILNV >RHN63280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50034090:50038325:-1 gene:gene25962 transcript:rna25962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G-1 MAAESFQVATSSLCSLNGSHRKPTLLSPLRFMGTCFRPVQSRSFSSSSLSQFFRTSPIKPTSPQLVRTRRNFSVFAMSTPDEAKRAVPLKDYRNIGIMAHIDAGKTTTTERILFYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWDNHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADRYGVPRICFVNKMDRLGANFFRTRDMIVTNLGAKPLVLQLPIGAEDSFKGVIDLVRMKAIVWGGEELGAKFTYEDIPVDLLEQAQDYRSQMIETIVELDDEAMENYLEGVEPDEATIKKLIRKGSIAATFVPVMCGSAFKNKGVQPLLDAVVDYLPSPLDVPPMKGTDPENPEATIERIAGDDEPFSGLAFKIMSDSFVGSLTFVRVYSGKLTAGSYVLNSNKGKKERIGRLLEMHANSREDVKVALTGDIVALAGLKDTITGETLCDPESPVVLERMDFPDPVIKIAIEPKTKADIDKMAAGLVKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVDRLKREYKVEANVGAPQVNYRESISKIHEARYVHKKQSGGQGQFADITVRFEPMEPGSGYEFKSEIKGGAVPKEYIPGVVKGLEECMSNGVLAGFPVVDVRAVLVDGSYHDVDSSVLAFQLAARGAFREGIRKAGPRMLEPIMKVEVVTPEEHLGDVIGDLNSRRGQINSFGDKPGGLKVVDSLVPLAEMFQYVSTLRGMTKGRASYSMQLAMFDVVPQHIQNQLATKVQEVSA >RHN65538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3038369:3039245:-1 gene:gene13385 transcript:rna13385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQKFMFFYALIIFLSSFYVIINTIDPPHHITNHEIPCKYNHDCPTILDYISICPYHYCEFWRTY >RHN53138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42243344:42252814:-1 gene:gene37908 transcript:rna37908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RING/FYVE/PHD-type, protein strawberry notch MSGMNKKKSPNLEQLRMELKTRRYRRHNPYTRPQTLNPPTKPNSSRSSFTSSTSLPRSFPNRKHHQPPPPPPKPPVARKQRCTNCRTCFSAPPGVVQLPCPICQIASSAVKIQCFHCKALVKGPSDLVQFPCPLCFVILDVGDADRKQEEEVNELVEEVEQEEGDGGVAGETFTDYRPSKLSIGPPHPDPIVETSSLSAVQPPEPTYDPMIKNDLERSKALSCLQIETLVYACQRHLQHIPSGARAGFFLGDGAGVGKGRTVAGIIWENWHHGRRKALWISVGSDLKFDARRDLDDMGASCIAVHALNKLPYVKLDSKSVGVKEGVIFSTYSSLIASSDRGRTRMQQLVQWCGPEFDGLIIFDECHKAKNLVPEKDKNPTKTGQAVLAIQAQLPEARIVYCSATGASEPRNMAYMVRLGLWGAGTCFPDFSDFLGALEKGGVGALELVAMDMKARGMYLCRTLSYKGAEFEIVDASLDDRMTHAYKKATEVWVDLHEELLSAIDLCAQVSTNNINTRKIWALYWASHQRFFRHLCMSAKVPTVVRLVKQALMEDKCVVIGLQSTGEARTEDAVTKYGSELDDFISGPRELLLKFVEDNFPLPKKPEILHADDGVKELQRKRHLASRDVSGKSRVKKVAKCQPPSDAESDEESKIVSGLESSDPDYEFPKYEIKEEMENLLQCSLCGKYAHQSFVPPIGDFVLEKWACDLCKDKSDKYLLPGPNIIELHKSYDTAMQSRHKILERICALDLPKNPLDDIIDQLGGHDKVAEITGRKGMLVRAPSGTGVFYQTRYSKDVTADMVNMNEKKLFMEGTKLVAIISEAGSAGVSLQADRRVKNQKRRVHLTLELPWSADRAIQQFGRTHRSNQVSAPEYRLLFSNLGGERRFASAVAKRLESLGALTQGDRRAGPSLNAYNYDSEYGKRALELLYGGILGKDPLPVVPPGCLSDRPDTIKDFIMQAKAALVSVNIFKDDGLGGDMDCSMDDKYKRNIRRFLNRLLGIAPEIQNRLFELFVNILDLLVHKARIEGNFDTGIVDLKATVIELQGNPKTVYVDQMSGASADLFTFTLDRGVSWELANTMLNEKQKAGFCSDGDGFYKSNREWLGRHHFILAFESSAPSMCKIVRPTTGESTRDMNLAELTLKYSKVSSLKEAQIGWENEYEVSSKQCMHGPNCKKGKLCSVGSRLQQVNVLGGVIFPIWGNVLKAISKKSQQILKRLRVVRVETTSDNSRRIVGLFVPKEAVDTVLQDLQFVIVLDD >RHN60025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16941332:16943614:-1 gene:gene22215 transcript:rna22215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MKPFVATLFVILVVCDVSLARTEKNENEKITYIVHVAKSIMPTSFKHHSIWYKSILKSVSNSTKMLYTYDNAINGFSTSLTIKELQLLKSQIGILKVTRDKQYKLLTTRTPEFLGLDKIASVFPTTNKSSDVVVGLLDTGVWPESKSFDDTGYGPIPRSWKGKCETGTNFATSNCNKKLIGARFYSKGIEAFTGSIDETIQPRSPRDDIGHGTHTASTAAGSPVSNANLFGYANGTARGMAAGARVAVYKVCWTVFCSISDILAAMDQAIADNVNVLSLSLGGRSIDYKEDNLAIGAFAAMEHGILVSCSAGNSGPNPLSVTNVAPWITTVGAGTLDRDFPAYVSLGNGKKYPGVSLSKGNSLPDTHVTFIYAGNASINDQGIGTCISGSLDPKKVSGKIVFCDGGGSSRTGKGNTVKSAGGLGMVLANVESDGEELRADAHILPATAVGFKDGEAIKKYIFSDPKPTGTILFQGTKLGVEPSPIVAKFSSRGPNSLTPQILKPDFIAPGVNILASYTRNTSPTGMDSDPRRVDFNIISGTSMSCPHVSGLAALIKSIHPNWSPAAIRSALMTTTYTTYKNNQKLLDGASNKPATPFDFGAGHVDPVSALNPGLVYDLTVDDYLSFLCALNYSSNEIEMVARRKYTCDPKKQYSVENLNYPSFAVVFEDEHGVEEIKHTRTLTNVGVEGTYKVSVKSDAPSIKISVEPEVLSFKKNEKKLYTISFSSAGSKPNSTQSFGSVEWSNGKTIVRSPIAFSWKL >RHN69087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40938733:40940958:1 gene:gene17516 transcript:rna17516 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNFDTPLFGVSRPAPESCDERGKWVKCEPKPKPKSKKYEWESKRVEDLDMSDFSMFDDDIEPFMFICARFIYKNKAQIQKDKEIEVAMAEYRECSRNLSVFDAIPVPKISGKCGGVGPLPMTDDRRLFLTPACHLALDNYNAENQGPNFVFVDVVKTTYRPGGFYYITFQAQEEIPNSPVIAFQAEVRIWRTDPPIIIKSCAIKTT >RHN50646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8800368:8806676:1 gene:gene34956 transcript:rna34956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP-binding protein Era MKSLRIFKNTLYSTPSPKITTTVFRAFFSAEPLTPEPEHSDATFDSSHYEIPILNNNDEKPKVTWNKKYRDRTDKLVFGDEPKGRIKFKEEEEERKTKALEKALLEAALSKPEEEEEDEDVGVVNEEDQKSLSVGIIGAPNAGKSSLTNYMVGTKVAAVSRKTNTTTHEVVGVLTKGDTQICFFDTPGLVLNCSGFPYKDAKARVESAWSSVNLYEVLIVIFDVHRHITRPDSRVVKLIKRMGERSIPNQKRVLCMNKIDLVEKKKDLTKVAEEFENLPGFERRFMISGMKGAGVKDLTQFLMEQAVERPWEEDPFTMTEEVMKMISLEVVRERLLDHVHQEIPYDIEHRLIDWKELRDGSLRIEQHFLTNKLGQLKILVGKRGSKIGRIGIEANEELRTIFKKQVHLVLQVKLK >RHN47530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40326422:40331489:1 gene:gene42143 transcript:rna42143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NEMP family protein MGRSAIIKGCFSLNLVPFLFFIFFTSSLANNDQSSPITLKGVDIERPTLDVSPSLLLGQSNTQGAKNIMRCERVQVSGISRLKLGSYANSLHVTLAPSVAIPERLHNKIKVCFHRNNTLGWCQCEKDEWRGVQKGIWSAVMSPYETRYVDVKIDCEILRSVTVALKEDFQQWRLISLVVGLILLLLAPIVSSWVPFYYSSSMAIGIFLVVIIILFQGMKLLPTGRKNFLYITIYGSVLGAGSILLHQFSMIVNSILQTLGMSEEMHNPVALSVLLGIILAGAALGYWIVRKFVISKEDGSVDAGVAQFVKWAMRVIGTTFVLQSTLDNTLAVGALVFCGAVCKLISSIQLLHEWYETSENDDYSLEWVRGTRGRAEFLSKSPPKGKMWNSPKSGSKAKMWNNPKRTSWSDSPVRGVVSPSSGFSPQTSGTQSGENYYSTFHKTGNRKKFTKEEWDDFTRDSTKQALAEWAASPEFTDWIVDHADRIKLLPSESSDETMESESDSTEAGSGNGFWLFNW >RHN80001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34250583:34251185:1 gene:gene3871 transcript:rna3871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRIB domain-containing protein MSSKKVKGLLKGLRYISQIFENEKEQEIQIGVPTDVKHVAHIGWDGPSVNSPSWMNEFKTSPGFASGPMTLTGDAQTKAQDSTVKWTSEGTNYG >RHN81950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50064954:50067907:-1 gene:gene6054 transcript:rna6054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleophile aminohydrolase MLAVFDKSVAKSPEGLQSPQSNSVSALKDGFLAQHFSSEFPGSVTVNLGSSGVLAYSLNQQNFLLPRLFAVVDDIFCLFQGHLENVANLKQQYGLNKTANEVIIVIEAYRTLRDRGPYPASQVVRDFQGKFAFILFDSGSKNAFISADADGNVPFFWGTDADGNLVLSDETDIVTKSCGKSYAPFPKGCFFTTSGGLSSFEHPLNELKPVPRVDSSGQVCGATFKVDAEAKKESTGMPRVGSAANWSNNI >RHN73830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20495531:20496908:1 gene:gene9706 transcript:rna9706 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSRRKLASKSSNEGGKARFQASRRFPLVERRAIGCPRWRKC >RHN68695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37880972:37885893:-1 gene:gene17079 transcript:rna17079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGGSHSKRRGSRQRSSSSRSNPLFPQYQSPYLPQQTQDHGYNYGVPPPAQSYGGVGHASQQSKKSDKKFTKIGDDYNSLDQVTEALASAGLESSNLIVGIDFTKSNEWTGARSFQRRCLHDIGHEPNPYEQAISIIGKTLSSFDEDNLIPCFGFGDASTHDQEVFSFNAEDRFCHGFEEVLSRYRELVPQLRLAGPTSFAPIIEMAITIVEQSEGQYHVLLIIADGQVTRSVDVDHGQLSAQEKKTVEAIVKASEYPLSIVLVGVGDGPWDMMKQFDDNIPARAFDNFQFVNFTEIMSKNMDRSRKEAEFALSALMEIPDQYKATLELSILGNRSRRNDIHRIPLPPPQYGAPSFNPPKASSQNSFRPSAPSQKHGVTTNPHTSSASDNQFCPICLTNPKDMAFGCGHQTCCECGQDLQLCPICRSSINTRIKLY >RHN38754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1786736:1791039:-1 gene:gene44720 transcript:rna44720 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit delta' MFRRATSSLFSRAVATRRFSTDVATPVTDSSFVEAWNKVSPNLDPPKTPVAFIKSRPPIPSTLPTKLTVNFVLPYASQLSAKEVDLVIIPATTGQMGILPGHVSTIAELKPGVMIVQEGNDSTKYFVSSGFAFVHANSVADIIAVEAVPLDQIDASLVQKGLQEFTQKLSSATTDLEKAEAQIGVDVHSALNSALTA >RHN54794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12391132:12397261:1 gene:gene29881 transcript:rna29881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MELFPAQPDLSLQISPPNTKQTTTSNWKRTTTTEEEMDLGFWKRALDSRNSLSSSMASSKTDNYSFDLSLSNPTKPLDSNNNTSNNLIHHHHFQNSGNNNANNPYQSFHQNNYFQHPHPQPLFQHHQPHQQHQSLSQELGFLRPIRGIPVYQNPPPLSFPQLHNHNHNLNHLHILDGTTTTTPSSISNTNNTSSSPFQSQALMRSRFLSRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRVGASSGQSDVYDNGSSGDNSDDIMFDINSSRRSSDLSIKQQGRSSVNQDKECYGLWSNSSREAWLHGKPKVDSIGNMQSLEKEMDPKCLSYERISDGSSSTNLSGSSPKKPNLDLEFTLGQPL >RHN81076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43152804:43159858:1 gene:gene5072 transcript:rna5072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fumarate hydratase MALYVLSRRLSTKSTSPTLFTFRYFSSTTTFREERDTFGPIQVPSDKLWGAQTQRSLQNFDIGGPRERMPEPIIRSFGILKKCAAKVNMEYGLDPTIGKAIMKAAQEVADGKHNDHFPLVVWQTGSGTQSNMNANEVIANRASEILGHKRGEKFVHPNDHVNMSQSSNDTFPTVMHIAAGTEINSRLIPSLKTLHATLDAKSIEFKDIVKIGRTHTQDATPLTLGQEFSGYTTQLKYSLDRVITILPRMYQLAQGGTAVGTGLNTKKGFDVKVSLAVATETNLPFVTAENKFEALAAHDSFVETSGALNTISASLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVAITVGGSNGHFELNVFKPVIASNLLQSVRLLGDSCASFEKNCVRGIQANRERISKLLHESLMLVTSLNPKIGYDKAAAVAKKAHKEGSTLKEAALKLGVLTSEEFDSLVVPEKMLGPSD >RHN53963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5781147:5782742:-1 gene:gene28938 transcript:rna28938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MVFSEDEISKLYRIRKKVMEMLRDRNYLVGDFEVCMSKQEFKETYGENLKREDLVIKKAKKDNPSDQIYVFFPENDKVDVHTLRTYTNRMSSDNVYRAIVVCQTSLTKAARDFSEIASKFHLEVFHVFSEDEISKLYRIRKTVMEMLRDRNYLVGDFEVNMSKEEFKEKYGEHMNREDLVINKAKKDNPSDQIYVFFPEDEKVGVHTFRTYTKRMNSENVYRAIIVCQTSLTNMAWNSISQFDSKFHLEVFQEAELLVNVRYHKLVPEHQLLTDNEKKTVLERYTVKETQLPRIQVRDPVARYYGMKRGQVVKIIRPSETAGRYVTYRFVA >RHN59910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14639649:14640737:-1 gene:gene22079 transcript:rna22079 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEVAIVVEGFEYVVEVEVAQNEAELEGKDKTEAGGRLDHGQVGDSSAHVAVQQVEAAVVVGELASDSEIVGPQESAHVTLAETVLEPEAVLEVEPATGAVAVSVSVAEVEAGHRPVGIVEQQTVDRGNTVDTAVGMDLVRGIAGSIVDIVAAVDTIAPPLAAQQFDLKLGFEMLNLVADSQHAQLQ >RHN70512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51906644:51906982:-1 gene:gene19096 transcript:rna19096 gene_biotype:protein_coding transcript_biotype:protein_coding MELGACRKGSEFSLYERLATIGLVVLAVASTLYMERKPECDLEDNDEEPTNVAVWLPVLLFLLILCIALSAFLDQSLAVFDRYWIHRVCGSSGGIFVIITVLFLILKWKSSL >RHN79358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28016341:28022613:-1 gene:gene3137 transcript:rna3137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prolycopene isomerase MLNSPILSLSNHTPIIYHHTPPTKFQSLPSCSYGRPEFSSGSCSNAFRRKGVVVKVKGMMKLEEEVVESGKRGDFGEGFDAIVIGSGIGGLVAGTQLAVKGAKVLVLEKYVIPGGSSGFYHREGYTFDVGSSVMFGFSDKGNLNLITQALEAVGCRMQVIPDPTTVHFHLPNHLSVRVHREYDKFIEELTSYFPHEKDGILKFYGECWKIFNALNSLELKSLEEPLYLFGQFFQKPLECLTLAYYLPQNAGAIARKYIQDPQLLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPLGGVGGIAKSLAKGLVDQGSQILYKANVTSIITEQGKAVGVRLSDGREFFAKTIISNATRWDTFGKLVKGESLPKEEENFQKVYVKAPSFLSIHMGVKAEVLPPDTDCHHFVLENNWTSLEEPYGSIFLSIPTILDSSLAPEGRHILHIFTTSSMDDWQGLSRIDYEAKKQVVADEIISRLEKKLFPGLRSSIDFIEVGTPKTHRRYLARDEGTYGPMPRSIPKGLLGMPFNTTSIDGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADIGLEKKSPVLDGMLLGLLGWLRTLA >RHN53601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2786175:2787682:1 gene:gene28525 transcript:rna28525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleolar GTP-binding protein MSKKKQRNAKKKVRKINVFGKPKHSLDVNRSNVVKKEWRSAATIRRLKMYRTKPVRNRKGKVLHNEFQSKDLPSTRIQSDPRWFGKT >RHN78059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11572721:11573139:-1 gene:gene1574 transcript:rna1574 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKVKLAFRQRKNNIANELCDMLSSLVISGPSSQTEVLPDLERSNKLTESLKEKNLPDDLIAEVLRECFNKLIEKELKKYDI >RHN44955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10246357:10247417:1 gene:gene39143 transcript:rna39143 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKITLILYHGGHLKRYDNGMMEYLGGDLCIWENFQTGYLTKLILEYLVKRCIRYHNIESIWWFDLDNGKFIELLNDEDIKDMCISAIHHNHEVHVYFTHQISVPKIVAPPSP >RHN42801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41084675:41085988:-1 gene:gene49290 transcript:rna49290 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLKKKIDGLIADEKEHVTLALKKAVTELYMYAQKKVAALYVEAEEESVESSNIVEPGNDVSNIYSKFLWTPKPISGSDPASSLVINLGEYKWTFIVRRTGTSLQFQLFADGDNNPRCI >RHN44540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5891809:5895373:1 gene:gene38669 transcript:rna38669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Smr domain, tetratricopeptide-like helical domain-containing protein MTSTPTPPPNYSSLPAAPRNAENHHHNHKNQQNSRHSHHSTHKRPRDRWSSSSRHYSSSNSAPLAAAAVAEVGANGSGNAAAAAAAAGGRGNGRGRPAYSSTLLEGRQSRLAPEFSGRKSTRFAAKMHSGMPRVTPNKHAHSAAADEALSCLFKAGNNIAAIDNVFISYEHKLWEVEDYIYMLKEFGNTRSLLHAKKCFDFIMSKQNGRVDKGKLVSAMIGTLGRLGEINLALGLFERARLEGYGSTVHSFSAMISAFGRNGRFPDAVDLFRSMSSWGVVPNVITYNSIIDAGAKGEVSFDVVVKFYDEMIANGLMPDRLTYNSLLSVCASKGMWEMAQKLLSEMDHRCIVPDVFTYNTYLDTLCKAGQIDLARRVFEEMSSKRVWPNVVTYSAMMDGYAKANLLEDALNLYEEMKLRSVCLDRVSYNTLVGIYEKLGNLDEAIEKCKEMERSGINRDVVTYNALLSGYGKHGMYDEVRRLFEEMKARNIYPNTLTYSTMIDMYTKGEMFQEAMDVYREFKMARLEIDVVFYSAIIDTLCKNGLMESSIMLLMAMMEKGIKPNVVTFNSIIDASQQSPTLEYGVNGSSDAIDYPIEQSSPIVIDGAFQNKPGEDRILKMFEQLAAEKAGHLKKNRSGRQDKHCILWLFQKMHELNIKPNVVTFSAILNACSLCNSYEDASLLLDTLRLFDNQVYGVTNGLLMGYREQVWLSAETLFDELMCMDPSTSSAFYNALTDMLWHFGQKRGAEMVVIEGRRRNVWKGEWSISCLDLHLMSCGAACAMVHSWLLSLHSSLFQGIQLPKFVNILTGWGKHSKVLGDGTLKKAVEALLNGMGSPFRIYENNLGRLVSPGEVLTAWLTKPGVFNLLVLSDVLNHSQPAAPSHDYPTLQN >RHN39984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12062347:12064237:-1 gene:gene46071 transcript:rna46071 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLKHTHVSPSQGSTTSEYRKYSNYTHDIEYFHFYNHRYSNYTYDIEYFTLSNLNVNLNLTYRIFPIS >RHN69808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46413436:46417519:1 gene:gene18324 transcript:rna18324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MSSFPFHRRSQSEVHFRIPDDFDLEMDPFDFDASPLQFQDPPPPQHDDLLSSYIDSDNSGSKLNSPTAANAGHRRSNSADTSSSLLSEGIESKKAMSPDKLAQLWTVDPKRAKRILANRQSAARSKERKACYVVELERKIHTLQTEATTLSAQLNLFQRDTTGLSSENTELKLRLQTMEQQAKLCDALNEALKNEVDRLKLATGEVPTHADTYGLGMHQLSYSQASSFMHQPQHGSNELRAMQMQHFHSLSSNGSNPHQPQFDLPTSYDLSEMLSSDSIGQFQGLDIGNRVSHVLMPDGPSVSVNKTNNAF >RHN65384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1627788:1629836:1 gene:gene13216 transcript:rna13216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdopterin synthase MMEGNVSIGDGDQTQTKRESSLVKIKVLFFARARDLTGLSEVPLEVSSGSTTQDCLKKLLVQFPSLEEIKGCMVLALNEEYTMDSTIVKDKDELAIIPPISGG >RHN73236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14585217:14588373:1 gene:gene9030 transcript:rna9030 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTKLDFKLFNHNCKILPMLLNTIKRLLLLMYKCKRLLNSNRITKKIV >RHN82268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52437545:52438803:1 gene:gene6417 transcript:rna6417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronate decarboxylase MSSSSSTRLDLDGNSIKPITICIIGAGGFIGSHLCEKLMLQTPHKVIALDVYKNKLKHLLEPETLPWNNRFEFHRLNVKNDSRLEGLIKTADLVINLAAICTPADYNTRPLDTIYSNFIDAIPVVKYCSENNKRLVHFSTCEVYGKTIGSFLPKDSPLRQDPAYYMLKEDESPCIFGPIEKQRWSYACAKQLIERLIYGEGDENGLEFTIVRPFNWIGPRMDFIPSVDGPSEGVPRVLACFSNNLLRGEPLKLVDGGESQRTYLYIKDAIEAVLLMIENPARANGKIFNVGNPNNEVTVRELAEMMIKVYSKVSGDQPLETPTVDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWNPKTSLEDLLESTLTYQHKDIC >RHN74648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35484028:35485705:1 gene:gene10752 transcript:rna10752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-RSK-2 family MEEPTFLFPPPDSDLDLSFTSTTTERTFTSSARTSLARTSSLALSFNDRLSTASTAGDTVSSAVIRRPHRSSDSNWTAIKAATNLSSDGRLHLRHLKLIRHLGSGDLGRVFLCRLRDYDGANFALKVVDKDLLTPKKFSHAETEAEILHALDHPFLPTLYARIDVSHYTCLLIDYCPGGDLHALLRKQPGNRLTISAARFFAAEILVALEYLHALGVVYRDLKPENVLLREDGHVMLSDFDLCFKADVTPTFECCKVNKHYAGPTYGCFGCNGSRSRDSREKVVADFVAEPTTAFSRSCVGTHEYLAPELVSGNGHGNGVDWWAFGVFIYELLYGMTPFKGCSKEGTLRNIASNNDVKFHVAENEEVGMVEARDLIEKLLVKDPKKRLGCVRGATDVKRHPFFDGIKWPLIRTYKPPEVKGLLRRKKSDLIVTSKKIRKGWWKRLGCALRNKGARFDLLSNYSNNNHYCYVNNSKVR >RHN46368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31046983:31053284:-1 gene:gene40850 transcript:rna40850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MKMKSLCVFLLVFVLLKVMCCEGCWKQEREALNAMKSNLENPNGDTFDMGNALFWTANTDCCEWEGVACNNITGRVTKLKLQYSYTRSLDYSEFAIFKDLKTLDLSESEISNCTRTHQGLKNLEGLYLSANPFDNAISVVSCLDGLSSLKSLSLADMYSMTSFQDFHTVLETLSSKLLHLEVLDNFLLKWYTWQHIKGKQCQIFSRIPITQCHITNRSKLSLSILLDQKNRFTKNTRTKTENSSEILRDSCTNLHHRIDSTAIQGANSSPEVKNSSTDHGGRRRRQTESAQRKEKKTEPKKQQATRKQATRTQTSNAHASKQHASKQRARKQATRKQAARTQASNKQANTRSNKNVKNAKNTKQQRQAKSHRNRMKKTKCYKFKEVDLVSLRELALKVKSQTGFRLRYGGLLTLLRTDVEEKLVHTLVQFYDPSFRCFTFPDFQLVPTLEAYSNLVGLPIAEKTPFTGPGASLTPLVIAKDLYLKTSDVSNHLITKSHIRGFTSKYLLDQANLGTTRQDTLEAILALLIYGLILFPNLDNFVDMNAIEIFHSKNPVPTLLADTYHAIHDRTLKGRGYILCCISLLYRWFISHLPSSFHDNSENWSYSQRIMALTPDEVVWITPASQAKEIIMGCGDFLNVPLLGTRGGINYNPELAMRQFGFPMKSKPINLATSPEFFFYANAPTGQRKAFMDAWSKVRKKSVKHLGVRSGVAHEAYTQWVIDRAEEIGMPYPAMRYVSSSTPSMPLPLLPATQDMYQEHLAMESREKQVWKARYNQAENLIMTLDGRDEQKTHENLMLKKELAKARRELEEKDELLMRDSKRARGRRDFFARYCDSDSESDDPPTTSYA >RHN71291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57977227:57984051:-1 gene:gene19952 transcript:rna19952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MTTWYELRQRMNSFQTIDKTTQRLIEQERDHWKGVLKRIIAIVKFLAKHNLAFCGSVEKLDEDNNGNFLGLIDMLNEFDPCVQEHVRRITDDNLQDKYLGPKIQNELILLLASRIKNQIIEKIKQAKYFSVILDFTPDISHQEQMSLIIRYVNVSSNFVSIEESFLGFLNVNDTTEQGLFDVLQDELKNLDLDILDVQGQGYDNGSNMKGKHQGVQKRFLDINPRAFYNPCSCHSLNLTLCDMANSCGKAKDFFGVVQRIYTIFANSTKRWKILKDNLKGLTPKSLSSTRWESHIESVKAIRAAMSDFIEALLEVLEKDLDPKIRSEAKSLATHELGDFEFIVSIIIWFDILSAINLVSKLLTVR >RHN70345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50777940:50780431:1 gene:gene18915 transcript:rna18915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo-like helical, clathrin, heavy chain MHSQMKKKPQTHHNIPKTTYNTNDDITEHSPHNQLCNTPCCFFCIMKEQNPSLRKAKISTCFKEMHQRDNQEHVLVLSGLWNIAMTQPNDPEFPSLGIFSCMAKLITKGIKNKNWLLQNQNIYIPYYAAHIIGSYTMNKEEFSQIAVKSGVIPPLLELLKGKISWVEQRVAVRALGHLASYNSTFESVAEYETELVKLTMNLASTCLEKIYVEFVSVKRRVEYHRNLLTRGLGDLEMENRKAEEWASQLQCWSIYLLNCFACKDYNKSLNLICKKEFLNDLCDMWGGLMNNTSPGGFGLIRILCYNNIGRKKIVELPKVVKTLCNLSRSCDDWQYLGIDCLLLLLKDENTRYKVIIDDVDVVSCLVDLIELRKLGDKSNVGDIITKVLELLLEHNNNHHHYKFSTNLISLDIMMVRRNKEKLMSEVKLEEKRVTAKFIKRQANHMFSLGKVEEALLKYNEALNICPLRYRNERMVIYSNKAECNLLLKNPDSAISDSTRALCLSNPTNTHGKSLWRRSQAYDMKGMAKESLMDCIMFMNGFVKSNENKHVKVSYHAAKMFCKQMDATWLFGDVCSKSKVVDNVNIPLVENLPCDHKMMMMEKRSFLPDLSTIMEEPFHAKEASRRMIERARRRLKKADVNRLT >RHN70351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50810031:50810983:1 gene:gene18921 transcript:rna18921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonoid 3',5'-hydroxylase MANQRVFTLSQISNHKSNKDCLLVINGRVLDVTKFLEEHPGGEEVIVEVAGKDATKEFDAVGHSKVAQNLVLKYQVGVLEGATVEKVDGKDVVEDNEPRSKEMSAFVIKEDSTSKTVTFLEFFVPIIFACIYFGYRLITIADTVDY >RHN49954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2649223:2650081:-1 gene:gene34192 transcript:rna34192 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKSECERETKEEEFNEDSIQTIMFTLGTFLLMVCLKSFLVEKWRAYVFLILNVILLAILFMSMKPNYWKSRNLESESNVEEVKNDDKLKKSACESSQEIEENKECYKQHCWISTNISSTNHVHVENEIDEEEEEEDEHVEVLSKEELNERVEAFIAMFRKHLISDVKQGEDFRHQKTSNLTPKIEVSCC >RHN67831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30580373:30581227:1 gene:gene16070 transcript:rna16070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MRMNIFSRLDTDIIHTHILPRLDGTTLTVLSSVCSELRHMICHSNEDLWRNICTSTWPSLLLDPIVHNVISTFPGGYRSFFSDAFPSLHNHKNNNSYRFYPPTTELIHVVDVFVHGKPLFSRVLVEHLNTNNFPYFSRNIFDVKFDYSNLDHIHFLVNEEYNEYLQENLRLSWVVIDPTRKRAAKLFCSSVEPLSVIPWLNHYEVVYAMVMAGESQVRTKMINCVVRVFCFFNEDELHLRRVLSRMEDVDGCLVGVEQGVTILLNAIHYGERKKFETSYFDMID >RHN70384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51043963:51045726:1 gene:gene18956 transcript:rna18956 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSNYSKYNSESESGWTNYLNHSSFSEEHFNRKSGKMDYEGKGATMEEEEEEEEEEEDLSMVSDASSGPPQYYHVEENYQQPYCVNWHSSTSKESKKKGKEYGRKSQQSSPLDDTASSPVLNYPKNKVNFSENGAVENTLDFSPSFSATRTKRKSKLQKHSSFLDGGKQASKEPGGFNGEERK >RHN41377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29643486:29644403:-1 gene:gene47695 transcript:rna47695 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTGSNNIEILRVQALSILCTPHPFLEFSGFAPIPDKVDSIRSRKQQIKNDTLFDFDGSSPVQDKVDPFCSTKQQIDNDAFLDFDGFSPAEDKVDRYNRSKEQRQDNDEENIEEGEFSFACTKVRGLHIFADEIFENGKIRQIPHTFDQSLFIYPTSNNNVSHLRPPLKKIFIKNSVNRHSMLGGISKESQNESLQNMTMVEIKASNECYEKSNSTGSSNLWKFRVLLNASDPKNSRKPKVENIVNKKRKDEKHKNGLSAYEKLYVSNKTRKDSNKRRSFLPYKRQLLGLFTNMNGLSRNLHPF >RHN71929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3658587:3659842:1 gene:gene7582 transcript:rna7582 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQKGMRMDQNTPPHLRLIRKDHYTLLFIFFKNLEHSYSLITSRLHHHNRKSPPFSPSPTPLTYSHTPASSPQFSFFISAQHRNSGTATLYRSHLNYLFNG >RHN58406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1505981:1508577:-1 gene:gene20315 transcript:rna20315 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLRFFVSPFLPPKPKSDNAEAIVMNFVNEQNRPLNALQKFNPKKTVIQKALDTLQNEELNQMKKKNPDLQKQLEDICEFEAANEAQPKREDHGFFSKSRELKIDLHSGPNLRRSWSISSASFQEISTIDLEEERLVISLLRQKLHSQELKMLEMDDHISWLQMQLSILRGVVEDRDQLEEKWMQSQEEIKLLISKIKQLQEHIDMLEVDLDFKDGQMSILQDEIQFYKYNIV >RHN59943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15045620:15054419:-1 gene:gene22114 transcript:rna22114 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLSCETLSVPISVSILRSPRRSPPLSPSITLITTAIVENIEVLADAQFGEFVDTLYFIVHRWNLIHGICSISNTSNFRIYISLITLVDNLVASVVATLFQEVTMGSTETARTLLL >RHN73302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15229632:15235462:1 gene:gene9101 transcript:rna9101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) transcription factor C2H2 family MATNTMAGLITGSNSHFSHDSDEHKPPPANKSSSKICRVCGDEIGYKENGELFVACHVCAFPVCKPCYEYERSEGNQCCPQCNSRYKRHKGCPRVVGDEDENLDGDDFEDEFPVKNHHDDLDQNRDVNHVESVDYNQQKLHTFSSAGSVTGKDLEGEKEFYSNEEWQERVEKWKVRQEKRGLLNKEDGKEDQGEEDEYLMAEARQPLWRKVPIPSSLINPYRIVIIMRLVILAFFFRFRILTPAYDAYPLWLISVICEIWFALSWILDQFPKWLPITRETYLDRLSIRFEREGEPNQLSPVDVFVSSVDPLKEPPIITANTVLSILSVDYPVEKVTCYVSDDGASMLLFDCLAETSEFARRWVPFCKKYSIEPRAPEYYFNEKIDYLKDKVEPTFVKERRSMKREYEEFKVKINALVAKALKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSAGALDVEGKELPKLVYISREKRPGYPHHKKAGAMNALVRVSAVLTNAPFMLNLDCDHYINNSKALREAMCFLMDPQLGKKLCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGTVFNRQALYGYDPPVSEKRPKMTCDCWPKWCCFCCGSRKTKSKKKSGTNGRSLFSRLYKKKKMGGKDYVRKGSGSMFDLEEIEEGLEGYEELEKSSLMSQKSFEKRFGQSPVFIASTLMENGGLPEGTNTQSLVKEAIHNISCGYEEKTDWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGKLKYLERLAYTNTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLTNLASVWFMALFISIILTGVLELRWSGVAIEDWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTAKAADDAEFGELYLFKWTTLLIPPTTLIILNIVGVVAGVSDAINSGSGSWGPLFGKLFFAFWVIVHLYPFLKGLMGKQNRTPTIVVLWSILLASIFSLIWVRIDPFLPKQTGPILKQCGVEC >RHN66400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11846472:11846837:-1 gene:gene14370 transcript:rna14370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MVSLCIDNFAYCVTLPPVGKLPCLKDLSIGGMSILETIGLEFYGREGGTSNSSFQPFPSLEKLKFENMSNWKEWLTFHDHIFPFPRLKTMKFSNCPELRGNLPCYMLAVNTRVGFSPTSDR >RHN78598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16543183:16545367:-1 gene:gene2223 transcript:rna2223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVRAPCCEKKGLKKGPWTLEEDEILTSYINKHGHSNWRALPKHAGLLRCGKSCRLRWINYLKPDIKRGNFTNEEEETIIKMHESLGNRWSAIAAKLPGRTDNEIKNVWHTHLKKRLLNTNNNQPNSNTKKRVSKQKIKRSDSNSSTLTTASNCTFSSDFSSQEKNLDNSIICEDSLVTMPEIDESFWSETVIDDEISSTMPSNSMTVSNDLPDQQCIFNNSVENFQNPFDNDDDGMDFWYDVFIKSGESTELPEF >RHN42247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36696904:36697766:-1 gene:gene48664 transcript:rna48664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative encoded peptide MGEFQAMQKYFAIFLVLVAYHIFLPTQARKIKPLIEDNPKPTFTSLKTAVNIPSPTFEKKVNLPMMPNHGVASIGDSSGDTNAFRPTTPGSSPGVGHRKFVGEVKDSTVVRSPNVKVFVTSERSKDAFKPTYPNHSPGVGHVNQSTKGQLN >RHN74112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28092262:28093572:1 gene:gene10095 transcript:rna10095 gene_biotype:protein_coding transcript_biotype:protein_coding MFKYMIKELKKSQMENRKFVPYGRLLSVIFQEGGILSALKDVGIYDNQKLGAVTGKIINGSTLVKMKLIPTNAHKKLDSDMHESDVISDLVTHHIPICKKDPLDVQRTYIMDFYKTYKKKIDLKDVPEEMYGGALPVAKGRKSKKKQITKEEYLADDATEKGTQKHQKAKKEKSAMSTIQEEVEDLDEVPFIRKRKRSAQETAEQLASERAASEQADSEKPLSPKKKREAALQTIRNKRTRDLKTAEGSKEDQAEQPEEEPCAKKAKNKPSVMPMYVPTAEQWLYARNYTRTGMAKLKELRQQKKREEQLKAAGYKLAPEKAAEFAALAAEVEKETVQEGLKLLSQALKDKQASEATSSEPASKASEAALPEAHSSGTSLKTDISTQIPDLPSSPSSSSTESDDQPLSQHIEKLLNIKPTKLTEFGTFDYEQTQIE >RHN60220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23784324:23785297:1 gene:gene22483 transcript:rna22483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MLFLAGCPILECLRVFDIYFPEEDEDSLTIQEVKSFNLPKLTRANITHFLCSYFLMKALSESESLCIDAYWFHIQDFVYKDSNGLPLLKNDEENWEQPEFVPECSLSCLRTCTIGDFSGLPIELGLAKYILKNSRTLEIMVIWSKRERAEIERKLFLCLKASATCELLVYR >RHN53879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4937749:4939830:1 gene:gene28839 transcript:rna28839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MTSNHESWTSWLCDLEPEDYNIINEINIATLPQENNNLQQSFSGGSHCSHTTSNTMSNSSGDVNKTNPSNIGYPSLPKKDSSHSYILCFGNENPESMLNIGSTLKPKGKVSNHGKSLASKGSLENQKKGPKRNIQESKKTDSAARNAQDHIIAERKRREKISQKFIALSALLPDLKKMDKASVLGDAINHVKQLQEKVKLLEEKNQKNNVESVSMVYVEKTKSYSSDEDVSETSSNSGYGNCCHTHTSKPSRSLPEVEARVSEKNVLIRVHCEKHKGALMNIIQEIENLHLSVTSSSALLFGTTKLDITIIAEMDEKFSLSVQELARKLRVVLL >RHN62373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42994950:42998351:1 gene:gene24941 transcript:rna24941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDSEFWTSRLAAAKRHYALQHNHPTSHLDRLGIDDFDVEEEVRPDFPCPYCYEEFDIGSLCSHLEDEHSCESRVTICPVCSVKVARDMLSHITLQHGHLFKISFIFLF >RHN66563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14098643:14104014:1 gene:gene14576 transcript:rna14576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleosome assembly protein (NAP) MVADKSKKLKVSEKGENAEEIDGELVLSIEKLQEIQDEIEKINEEASDKVLEIEQKYNEVRKPVYDKRNDVIKSIPDFWLTAFLSHPVLGDLLNEEDQKIFKHLISLEVEDHKDVKSGYSITFNFNSNPYFEDSKLVKTFTFLEEGTTKLTATPIKWKEGKGIPNGVSHEKKGNKRAASDISFFTWFCDTEQKDEMGDIHDEIAEMIKDDLWPNPLNYFNSEDPDEAEEDDDEAGDAGKDDDDSEDDDDQEDDDDDEEEE >RHN55294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16866717:16872851:1 gene:gene30447 transcript:rna30447 gene_biotype:protein_coding transcript_biotype:protein_coding MHQYSKKTLKKYFSFLSFLNKHTSFRAMTISGAKISELVIVNGIGIVVILYCYGTFSNVIRHLQFQLNGSPKSVDQAEGGEEEEEEEDADSTDAKLPLKGSMNSGDPPKGEDTDSTDAQVSGVQSVIAMSNSQQKVKRLDNTNHSTRVIKNPVHELMPEKRGKIQKAEIRLRAGGGHSRAEDADSADAQINTNRSTLIIKRPGHGIDLMSEIRGKMQKLKDNILAGGGQSSVMW >RHN77034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3402673:3406831:1 gene:gene441 transcript:rna441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spindle and kinetochore-associated protein MGNEYNEATDGLVKLFRKANHDLDIVHHRLQTEFQQQYPENANPMKLVSRIKKIQEEISILKGQCHELLAAKQDLIDKAQTVLVENRNLVQRMQSSVGIPFTSEDDDAFTNFKQVIDEWTDQIRSKTGNEPQDSDSGDLNKLLFSAIVQSN >RHN53789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4174344:4175850:1 gene:gene28735 transcript:rna28735 gene_biotype:protein_coding transcript_biotype:protein_coding METEQQHLLPAYDPLSHVAKKELSHIRSAQKAVHLIPLLVLLCAIILWFFSSPTKIT >RHN65186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:91553:92284:-1 gene:gene13003 transcript:rna13003 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFVSRSELLVPMGLAGIALASGSILRTHKLQNLLPQTFRRICQSLIQQT >RHN80837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41174019:41181909:1 gene:gene4805 transcript:rna4805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MGLEENVAEVDDKARWTLCKHTFYDLSNVSPVVFMFMLKECYYHGNCKATVKFRALQEQLCLVLHNDPKPGPATFIVQCLYVSPVFDNQSQGFTHLVISSFRRFLKRSKPTITEDSLDIKNSAAYLLVNIIRGQIKHDEMIVMKILETFDVKLPNVEKALCQNKEKDYLSCGKAQELIEQYTFELVKSQLYTIAVTLMEHFSISHYGQSFLLDMIKSNQFKAAEKWATFMGKPMLSILIEEFVQRNMLNDAYQIIKKNNLKQDFPDVYKRCKESSLKSLAEKGCWDVAEARINNDRQLMEYLVYLAMEAGYMEKVDELCERYSLDRFLDIKVLETSIPQGNYLHLEELMVEDIIWVDDVEGLLDATCQIEDAKVIGLDCEWKPNYVKGSKPNKVSIMQIASEKKAFILDLIKLHREVPERLDSCLTRILLSPGILKLGYNFQCDIKQLAHSYEELECFKKYKRLLDIQKVFKDPRGGLAKLAEKILGAGLNKTRRNSDWEQRPLTPNQLEYAALDAVVLVHIFRQLPDQGDEWKSCIESHTETTKKSKKRTKT >RHN38722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1512462:1513740:-1 gene:gene44686 transcript:rna44686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MGNFANRKSHAVFIPYPAQGHINPLFKLAKLIHLRGSHVTYVNTEYNHKRLLKSRGPTALDGFTDFSFETIPDGLTPLEGDGDVSQLPSLCQSIRKNFLKPFCELINRLNHSANVPPVTCLISDCCMSFTMQAAEEFSLPILLYFSSSACSLLNVMHFRSFVERGITPFKDERHLTNGYLETKVEWIPGLKNFRLKDVVDCIRTTDPNDIMLDFLIDVADKVHRDSTIILNTFNELESDVITALLLFVSFSLPHWPFTFIIKPNSTNSSIRIFRFQPLERRY >RHN47906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43459916:43466183:-1 gene:gene42560 transcript:rna42560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase NEK family MEIENSVMKSKKMEEYEVIEQIGRGAFGAAFLVLHKSEKKRYVLKKIRLAKQTEKFKRTAHQEMNLIAKLNNSYIVDYRDAWVEKEDHVCIITGYCEGGDMADSIKKARGSFFPEEKVCKWLTQLLIAVDYLHSNRVIHRDLKCSNIFLTKDNNIRLGDFGLAKRLNGEDLTSSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAPDMAGLINKINRSSISPLPIVYSSTLKQIIKSMLRKSPEHRPTAAELLRHPHLQPYVLRCQNASSNVLPIYPLVNSKDKTKRSPKSSGGKCHYDREMGFVNRLERVHPIEGNGDLQISNLRNNGEVTISTSTEDKLESKMVYLTSYIAESSTSISGSKDGSTTSESTTCSVCKEDYKTRPAREIASTGITSKSIQDSLHEKKQRFDAETTEVEDTFLSKGFDNAETHREDAKLDDLRKSTTSSVSNSSTEKNNSANEESSSLIMHPLRVEHGTKSVDNLKKNENPDAFTEEGSHMNDLLCESNDNHNTDRLAVKDDGIAKQHIICSTQKDGNVTPNGISLSIVTATDCAGDGDETINNELDSPCHQRADALESLLELCAQLLKQDKLEELAGVLRPFGKEAVSSRETAIWLTKSLISAQKFNPET >RHN75436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42319667:42325875:-1 gene:gene11646 transcript:rna11646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle-fusing ATPase MYSNFKEQAIEYVKQAVDADNAGNYSKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTEYLRRAEEIRAVLDDGGPGPASNGDAAVATRPKSKGKDGGGEGGGGGEGEDPEQAKLRAGLNSAIIREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVSNLFQMARESAPSIIFVDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGNNDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTEKDYEYLASRTEGFSGSDISVCVKDVLFEPVRKTQDAMFFFKSPEGMWIPCGPKQQGAVQTTMTDLATKGLASKILPPPITRTDFEKVLARQRPTVSKSDLEVHERFTKEFGEEG >RHN67575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28365483:28368109:-1 gene:gene15774 transcript:rna15774 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYLVDLNTMLAGVCFNGGKSNKLFRVRVEDTRRVEDIWYEHPSIDSVGRTYIVDLVEYM >RHN68388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35068796:35069490:-1 gene:gene16732 transcript:rna16732 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVGVLFRNYKFHLVLSCSSRVHVKIVYQLKPNFNLGC >RHN61886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39148840:39149534:-1 gene:gene24397 transcript:rna24397 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLNHRLIYRFTPYLDHNLHEAWKQHEEVFHISFMIDTEVKHVYFTSVLLPTDVKSIIFFLKKAPFFKECLPDQLETSFLLY >RHN39291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5626898:5627936:-1 gene:gene45301 transcript:rna45301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mixed-amyrin synthase MGGNKGGLPAWEPSEALEWLELLNPIEFLEEIVVEREYVECTSSSIQAMVLFKKIYPEHRKEEVENFIAKAVKFLEDKQTSDGSWYGNWGICFTYGSWFALGGLTAAGKTYENCAAIRKAVKFLLTIQREDGGWGESHLSSSKKIYAPLEGSQSNIVQTSWALMALIHAGQVPSPFTAERDATPLHRAVKLIINLQLKEGDWPQQVHLN >RHN50791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10531337:10537775:1 gene:gene35120 transcript:rna35120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MTSYSISQFNSHYTKLQTAFPFHHHQIQPPPQHTTQHRNKNLIPVLPFRFSLISHPYPSMASPLLPLLLSITAFSVLQSTSASACIPPPPSFLFHLQSQCPTFIQSNPPLQVDGNFIDEVLSGRKMIESVSILFYASWCPFSCRMLPKFEALSSMFPEIEHLVIEQSSALPSLYSKYGIHRLPSIILVNQTSRLRYRGRNDILSLVEFYESNTRFEASSNIAIDQPSSMMSEENFSLNLKSLMGLSLKETLRREPYLVFSVMFICLRILLFVFPKILSRLRAFWVSCIPHLNMQIFGETSQVMGRVLQVIDVRRIWTKLGLCKTRNFHERARSARVWASSLASVSLGESSSAR >RHN75161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39887460:39890899:-1 gene:gene11323 transcript:rna11323 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHSTVPHHTFLPSISKPKSKSKSISKPQNFPASRFSTNPNFTKRAVVATKVSTEEFDVIAVQSNDITDQQEGLIVSRVEMEGGDGELGTTMTTQVNGFGANEGLLSLEGFPSSSGLVGNENEENVEKLLDRSINASIVLVAGTFALTKLLTIDSDYWHGWTIYEIVRYAPLHNWLAYEEALKTNPVFAKMVISGVVYSVGDWIAQCFEGKPLFEFDRARMFRSGLVGFALHGSLSHYYYQFCEELFPYKGWWVVPVKVAFDQTAWSAVWNSIYYTVVGILRFDSPINIFNELKATFFPMLTAGWKLWPFAHLITYGVIPVEQRLLWVDMIELIWVTILSTYSNEKSEARSSSESIEAKSATSEE >RHN56884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34452612:34459381:-1 gene:gene32348 transcript:rna32348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MGEEKQQSIPALPWMRDPVDVTLTQQLPLHSVPSLHPKLKSALEDMGISNLFPVQVAVWHETVGPGNFERDICVNSPTGSGKTLAYALPLVQMLSGRVTKCLRALVVVPTRDLALQVKQVFDAVASPLGLRVGLAVGQSSLADEISELVEMPARDIGTCYDPHCISLPRFQSKVDILVATPGRLMDHINTTIGFTLEHLYYLVVDETDRLLREAYQSWLPTVLELIQSNDDGFSLPSASFFPCSASALRTRRRCGVERGFKDKPYPRLAKMVLSATLTQDPGRLIQLNLHHPLLLKAGQMRYRLPENLESYKLICEKKVKPLYLIALLKSLGEEKCLVFTKSVDSTHRLCQLLNCFEDLRIDIKEYSSLQHQRVRSKTLNEFRKGVFQVLLSSDALTRGMDVEGVRNVINYDVPKFIKTHVHRAGRTARAGQTGRCFTLMSEDEVRRFKKLIGKAEGGSCLDHIVPSSQIEALNTTYESALTKYKEIISKTRKKPKN >RHN71523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:750500:751639:-1 gene:gene7132 transcript:rna7132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ClpA/B family, P-loop containing nucleoside triphosphate hydrolase MVVSLLTGIPVTQIGENEKERFLGIGDRLHNRVVGQDQAVNDVANAVLRWRAGSGRPQHPIGSFLFLGPTGVGKTELAKALAEQLFDDENQLVRMNMSEYMEQHYVSRLIDAAPGFVAQLTEVVRRRPNIVLLFEEVEKAHISVINKLLYILEDGSLTDGQGRTVDFTNTVVIMTSNLGADHLQSGLSGKCTMQVAHDRVMQEVTDHFWLELLGQLTKVVVFNPLSHQQLRKVARLQIKDVANRFCRKGTTLVEVTDGAVDYILAESYDPVYGARPIRRWLEKKVVTVLSMLIQGK >RHN74889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37576348:37579040:-1 gene:gene11022 transcript:rna11022 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLKNLLTRHHFNSKTLNSFNSSFPLRPITSLPQTIQPPNSQTQQDGEGNIDTKKKPKRMSLYSLFTDEPAPDHSVISTQNVVEKKQNKKEPFVAKELSVDMVMFLKHLYQNGYFKDAKFSKFSTRFQLVWFNKQYALGYAKFAAMKFATDNPQIAEWLSGSALKQVAVFGCPFIGRNGVFPAKRLRKCLEVPENTVCSKCMLRESCKFVNENVSGCDKLDLNDVMKVIIPYALHWMDPQLVVSDELNKSVNHLLNEFVKLSQSPETASISTFSRISSGN >RHN63793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54049873:54051545:1 gene:gene26540 transcript:rna26540 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGKKRSSKNNKNEVGKEHRCRVSFDVQEKGTKWIPIPHTCDCYKMHLKFRENALPEKEELEADIDSDVATTLVDESSKSTFCMFVELYYCLSL >RHN77401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6590418:6591604:1 gene:gene848 transcript:rna848 gene_biotype:protein_coding transcript_biotype:protein_coding METWKGGFSETEDLIGGRKVEERRDEVVEEGLFWCLRRLGEGVERVEEAMLGGGEW >RHN64498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59560892:59566513:1 gene:gene27318 transcript:rna27318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MSTTEVPPPFQEAARCVVCSCSFNTFRRRHHCRCCGRTLCSEHSSDQMALPQFGIYSNVRVCADCFNNSRSGKGVRQTSTDGVNSITDAVSELDIDANVDSKRKPTADNNLASGVKECKCGMPLCICEAPPPSSSAVPQEKKSIPVVTAPSNPKPKKTDNASKSRSSSSTNKFSSMFNPGHVTTGTSNRPQIDYEVNGEGLREAIKNGDIAAVKKLLNEGVDANYRDKQGLSLLHLAAVFNQTDIVFILMDSGANLEYKNAQGETPLDCAPATLQYKMKMKMQESGAMDQRI >RHN46457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31881152:31885183:-1 gene:gene40944 transcript:rna40944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MRPKFVLFGSSIVQYSYYEGWGATLSHLYARKADILLRGYAGWNSRHALQVLDKIFSKNAIEQPSLVIAYFGGNDCIPPHPSGLGPHVPLGEYIENMKKIATHIMKTRIILLSNPPINEAQMKHNIDDFGQPIRTNEVSRIYSEACLDMCCEMKIKAIDTWSAIQKRDDWRDFCFIDGVHLSNEGSEIVTKEILNVIKEAEWEPSLYWKLMPAEFGEDSPYDMVTLDGKTINFSNVPFPEDVDWP >RHN67853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30909242:30911562:1 gene:gene16096 transcript:rna16096 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDSKNKNWKKSTKALLRAVRKETCQPYSISVVPDDLRKWNKSAYIPKVVSIGPRYRGERELLQMEEIKWRCVTSLLSRTFGLDAIEMCMEAVMDLESAVRSSYVDEITLDWYTLATTMVFDGCFLLELLICESNLDSEIPIPFNGTSPGIEVKKMEYVISDLLLLENQIPIFILEKLFEKLLGSSHQMRELIQNLALRFFGFSEELMFKSAFHFLDIKYSYMEMGWMDEEIEETEVRPRLPDMEEEEEEKEKKRHLNRCATRLKAAGITIQCLNNNADRDITERKNFKFTTEFDKSKGILLIPTLCITQTTEALWRSFIAWEHHKKKLKTGSSSPADRRSSVCTSSALLFRDLVCCSSDVQLLKDSKVIVDNSKKSNRDLVAFFHSIAGGVDRSIIDQKHITMFQEMNIFSTANYATKIFILLCHFFSKILDWYYKFHEFLKRGYNFAATIVTFLTVVQTCYAIIAYHFPR >RHN61190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33748061:33750253:-1 gene:gene23628 transcript:rna23628 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDEEVFFTMFKIGKIGLVKKLIVIAMMAMFVADAVDTNDVYLPCEDAKVQKGDGFTFGIAFADKQSFTPDSGPQLSPCDSRLSLAGKAQLVVFRPMVDEISLLTVNRSSSDSGTYMVAFAGQKYAARSLPIMLADDSHTITSFTLVLEFQDGTLQNLFWKSFGCDACPSGSICLNNQDCAVPNTECQKNGDTACKIGIQLAFSGIDKNLDALNSWYEVKNLRQYSLYGLFSDLRNSIIGAI >RHN72972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12269878:12275863:-1 gene:gene8741 transcript:rna8741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cell division control protein 2 protein kinase CMGC-CDK-Pl family MEQYEKVEKIGEGTYGVVYKARDRVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVRLQDVVHSDKRLYLVFEYLDLDLKKHMDSSPEFIKDPRQVKMFLYQMLCGIAYCHSHRVLHRDLKPQNLLIDRRTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMANRRPLFPGDSEIDELFKIFRILGTPNEDTWPGVTSLPDFKSTFPRWPSKDLATVVPNLEPAGLDLLNSMLCLDPTKRITARSAVEHEYFKDIKFVP >RHN64227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57429220:57429903:1 gene:gene27017 transcript:rna27017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MERVTKMVSERPVVIFSKSSCCMSHTIKTLFCDFGVNPAVYELDEIPRGREIEQALISRLGSSPSVPTVFIGGELVGGANQVMSLHLNRSLIPMLKKAGALWL >RHN67302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25807850:25811210:-1 gene:gene15482 transcript:rna15482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEIAVSFAVDQLLPLLREEASLLNGIHKEFADIKDELESIQAFLKDADRRAAGEEDNTSEGVKTWVKQVRETTFRIEDIIDDYMIHVRQQPRNLGCMALLHNISHLVKTMIPRHRIASKIQGIKSSVHGIKERSERYGFQIQPSIEQGSSHFRGSQKAKWHDPRMAALYIDESEIVGFQEPKNRLIGLLVDGREERTVISVVGMGGQGKSTLAKKVFDSKEVMGHFEYRVWVTVSQSYDIEGLLRYMLKEIYQQKGDDPSQDISEMDLGSLISKLRNHLQQKRYVFVFDDVWTIDFWNEIEHVVIDNKNGSKILITTRSMDVAIYCKKSSFIEVHELQPLTEEQSFELFNKKVFRFDFDGSCPKDLIDISSEIARKCKGLPLAIVAVGGLLSTKLKNAFDWRRFNENMTFNENMTLELKKDPNLIGIKKILGFSYDDLPLHLKSCLLYFGLYPEDYKVDSKRLIRQWIAEGFVKEERGNTLEEVAEGYLTELIHRSLVQVSSLRIDGKTKSCCVHDLIRMMILEKCEDLSFCKYISEDCHSSLSEVTRRLSIATNSNDFMACTKKNSSVRSLMVFTENLDRCSLRKFYTKCRRLKVLHFENDNWFMGDEDLRSLIHLKYLSCKNSSGDGYVYLSKSIAMLQNLETLDLRGVNVRFYDIPKETRMFRKLRHFCGYKISLFQLKGCIGGMESLQTLSEVEIDSNYEDGIELIKELGKLRQLRKLGLVGVRREHGSALSSVLNELRHLEKLRIGKKFGYYSVYVHDVIDFHLVSSPPMLRTLKTRAKLTKFPEWIPKFQNLVELMLRRSGLIEDPIKSLENLQNLLSLSIINNAYEGESLHFHDGGLQNLKELYIRESPNLHSIFIDKGALHSLKKFELSSIPNLKTVPDGIRHLEKLEVLDVWDMPCVDIYPKL >RHN52093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31435927:31436652:1 gene:gene36713 transcript:rna36713 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIFGCMDGRFEVSLADSTMMSIVHRAMNKAQEKMKTKTGVLERLNEISKFYELAVMQLEGCLTIVHAETESSFLESNHEKLLDDLREIKDRLQGRLEESESIILEKDRELSQRLKKNQTTSYVKVKSDGNLCELRSSMDQQMLNIKQRLEPQHDVVENVVPQSHNIVIDTKKIEEMGSDIDVLKQTMDLAFGKMQSALFSCEMRPKERQWQLKIEKDMISILITSFMREFQENIEVCFF >RHN41047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26390671:26392146:1 gene:gene47321 transcript:rna47321 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLSSTTKTNLHQMQTFNTENNNNNNHLHDAVFSPYLNIKEGNFIAKIGESSQTNNPFINHRNSPLHQVEKAEEIGVFGAEKYFNRGEVDTPRPSTKYLPQRKETMAIETRKYQVEYGTPSISSVSTWNSQNALLKSGVRNSIGNSKEKEHAKSVLSSLRLKCSCSDKNSVDINDHASEISFNNKTSKYGAVQGKTTPKKVFNLGLDDDLSVRIRKPSSEILINKDVYFQKQEKLRVGLNNEKNSLASNSRNHLVQMQNPLDGEKTPRKSLEVFGSPNPILINTKRNSLTNDKRLIFPKMEEIDNANYDDDDDDAASDASSDLFEIESLKGKPSNNNFLTRQTSDAASSCLSPNCYAPSEASIEWSVVTASAAVMSDCEDQMSEFTIRSPIRTPLKPKVAKETPRRRPGMLLGCKSHKAVRVSGDAFITYEKQSLSPKISSRNKNNTNSQVARFPGETNYGAKRHGQQHGYTTPPLQASNSPHASKLLYI >RHN49340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54099013:54100064:1 gene:gene44158 transcript:rna44158 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRKYGFENLRRTQSIDWRIMVLEEGFWGVTKKEGIGFLKIKFYERVILSFWGATMIK >RHN71677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1870096:1870423:1 gene:gene7302 transcript:rna7302 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTCMMMLLVAMFIFTAVAESPTTSPKVSSLTTPAAAPSPSSVSPLSPSSVSSPPAHAPAPAPRKSGAVSHGFSFVGIFVVALGATALIL >RHN70931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55141896:55147289:1 gene:gene19566 transcript:rna19566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylulokinase MAEFSLPKDSYFLGFDSSTQSLKATVLDSNLNIIASELIHFDSDLPHYKTKDGVYRDPSINGRIVSPTLMWVEALDLIFQKLSKSNLDFSKVSAVSGSGQQHGSVYWKNGSSKILSSLDHKKPLLEQLENAFSIKESPIWMDCSTTNECRAIEKACGGAFELAKVTGSRAYERFTGPQIKNIFDHQPDVYNNTERISLVSSFMASLFIGSYAAIDHSDGAGMNLMDIKKKAWSKVALEATAPGLESKLGDLAPAYVVAGKIASYFVERYHFNKDCLVVQWSGDNPNSVAGLTLNIPGDLAISLGTSDTVFMITKDSNPGLEGHVFPSPVDAEGYMVMLVYKNGSLTREDVRNSYAEKSWDTFNKFLQQTQPLNGGKLGFYYKEHEILPPLPVGYHRYVIENFSGGLDGMKEQEVKEFDPPSEVRALIEGQFLSMRAHAERFGMPSPPKRIIATGGASANHSILSSIASIFGCDVYTVQRPDSASLGAAVRAAHGWLCNKKGGHLPISDMYMDKLEKTSLSCKLSASAGDQEVVSKYATIMKKRTEIENHLVQKLGRC >RHN75712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44472150:44475174:-1 gene:gene11950 transcript:rna11950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MKHHRVSVLFLLSLCFILARGQSKHSVHFDPHWHPGTATWYGEAEGDGSRGGACGYGSLVDVKPLRARVGAVGPVLYKKGEGCGECYKVKCLDHTICSKRAVTVIITDECPGCPSDRTHFDLSGAAFGHMAVHGENGQLRNRGEIQVLYRRTTCVYAGKNIAFQVNEGSTPFWLSLLVEFEDGDGDISSMHIQEAGSSEWLQMNHLWGANWNIVTGPLRGPFSVKLSTSTGKSLTAKDVIPSHWSPKSTYTSRLNFSP >RHN65108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64239643:64240024:-1 gene:gene28006 transcript:rna28006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MVIETVKHNNSPTPFDARVQKLKDQLIQAKVYLSLPISHGRELQLRVKEVSRTLGDASKDSDLPRNENERMKAMEQSLMKASQIQDDCATAVN >RHN55665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20843836:20844114:1 gene:gene30883 transcript:rna30883 gene_biotype:protein_coding transcript_biotype:protein_coding MLWMWDSSTGTPWAPHVVPRRHHQGVEQHQPCERYDKLALRMVNSIVDMSKAMYVPMNQFEGDPELKAAMRETRLGPTTFSLFGQYHEKKLR >RHN51308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16034728:16036439:1 gene:gene35724 transcript:rna35724 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVSHKDAKGKFVNEYARQKAELLQVEMQNTQCENEAFFKVFGKEHAGYVRSMGLGITPSRISSRSTRLASSSIETNEKMLKMQAEIDSLKEKASQVDFLNAQVAFLMQMQVQNSRDKEPTNLETRDGRHSSESSHRLDDH >RHN68000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32037089:32039599:-1 gene:gene16275 transcript:rna16275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-linalool synthase MESFYLIDIIQRFGIEHYFAEEIKVALEKLHLILNTNPIIDFVSSHELYEVALAFRLLRQGGHYVNPDLFDNLKCTKRMFEEKHGEDVKGLIALYEASQLSIEGEDCLNDVGYLCCELLHAWLSRNQEHKDALYVANTLQNPLHYGLSRFMDKSTFNHDLKEEKDLICLEELAKINSTIVRFMNQNETTEVSKWWKELGLDKEVKFSGYQPLKWYTWPMACFTDPNFSEQRIELTKPISLIYVIDDIFDVHATLDQLTIFTDAVNRWEITGTELLPKFMKISLNALYDITNNFAEKVYKKHGFNPIDTLKKSWIRLLNAFMEEAHWLNSGHLPKAEDYLNNGIVSTGVHVVLEHAFFLLDHVNGITKQTIDILDEKFPNVIYSVAKILRLSDDLEGAKSGDQNGLDGSYLDCYMSEHQDISSEDVQGHVAHMISNEWKFLNQEILVANQFSSSFSNFCLNAARMVPLMYHYKSNPSLSNLQEHVKSLINVGVGRN >RHN39172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4662437:4665545:-1 gene:gene45173 transcript:rna45173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MMNMNSKETLVLLIVSCFLTCGSFAQDTLVPAIMTFGDSAVDVGNNDYLPTLFKANYPPYGRDFTNKQPTGRFCNGKLATDFTAETLGFTSFAPAYLSPQASGKNLLLGANFASAASGYDEKAATLNHAIPLSQQLEYFKEYQGKLAQVAGSKKAASIIKDSLYVLSAGSSDFVQNYYTNPWINQAITVDQYSSYLLDSFTNFIKGVYGLGARKIGVTSLPPLGCLPAARTLFGYHENGCVARINTDAQGFNKKVSSAASNLQKQLPGLKIVIFDIYKPLYDLVQNPSNFGFAEAGKGCCGTGLVETTSLLCNPKSLGTCSNATQYVFWDSVHPSEAANQVLADNLIIAGIALIT >RHN65403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1860384:1864254:1 gene:gene13237 transcript:rna13237 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trigger factor MALCMEQSRCLPTASVLSDTEISSARFEEFSVSTVDTDDVGEIKISIEVSGNRTQRTFDDVFQKMVEAAQPIPGFRRVKGGKTPNIPKDILLEVLGPSNVFKQVIKEIINSTVAEYVEKESLKVSSDLRVEQSFEDLETTFEAGEKFSFDIVLQLQK >RHN81234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44252489:44253073:-1 gene:gene5247 transcript:rna5247 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPPKPRFHHLFYYRCYSNSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSPLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSPLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLLSLSLSLSSLSLSLSLSLSLSLSLSL >RHN45709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24812522:24814915:1 gene:gene40096 transcript:rna40096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dCTP diphosphatase MAGVPEGDSVTIGKLKQIQAQFAKERDWDQYHSPRNLLLAMIGEVGELSEIFQWKGEVQRGLPDFKEEEKVHLGEELSDVLLYLVRLSDICGVDLGKAALRKVELNAIKYPAKASKEVANKEDGIENSTSADNGTD >RHN77013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3169285:3169449:1 gene:gene420 transcript:rna420 gene_biotype:protein_coding transcript_biotype:protein_coding description:18.1 kDa class I heat shock protein MSLIPSFFGGRMSNVFDPFFLDVWDPFKDFPFSNSVVSASFPGENSAFVSTRVD >RHN43133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43445449:43446872:-1 gene:gene49662 transcript:rna49662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin-44 dioxygenase MESINTPSLPFFPPPKDQQGENKVQFFDFTLLQKEGNVPKEFIWPSEHWVKSSGENIELPLIDIGVIKSDEAAMANAARIVREACIKHGAFEVTNIGVDSDFINAVLQETYNIFKLPLSKKITAIAKDSGFSVAHAERYTTVLPWKETFTFMYKHNTKNETQVVDVVNSLLGEDFQQSGLVYQKYSDAMNDLTEVIMELLAISLGVDRKHYQRFFEDAESMMRCNFYPPCSANLTGALGNGPHCDPISITILLQDQVGGLEVFADNKWLAVPPKPDTFVINIGDTFMALTNGLYKSCLHRVLVSNELERKSLTFFLNPRGDKTVSPPNELLENEEARKYPDYKWSELYEYTQKTRRVDASTLDSFIAWRHSSETSKF >RHN66258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10140373:10141548:-1 gene:gene14205 transcript:rna14205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MASVSSSDKVVSSQSLMVETTAIGTLTYVLPLPTLPFDLIVEIMCRLPVKLLVQLRCLGKSFNYLISDPKFAKKHLRLSIKRHHLIVCPADLSSRVILYDSPISSFFSKSGVTQTQLSYPKFQFENPTNISSCDGILCLTIDDGSAILWNPSIRKLTKLPPFFVKGEKSFWYSAYSFGYDRFTDEYKVFVVSLLNYERKIEVSVHTLGTDYWRRIQDFPFKNAIRYSGIFVSDTVNWLTTDLSKSNCDEIVSLDLVNESYQILSSPDLNRESWRLSMGVLRDCLCLSASSTCDMFFDVWVMKEYGNIDSWTKLYSVSYVGTQIPQAYALVLYISEDDQMVVDFIGQRQGSNKRMVTMYDSKNGTLNILDIQNINHFSFFTLVVYNESLISP >RHN74080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:26711506:26717728:-1 gene:gene10045 transcript:rna10045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MEEHKLFILPFYIHKPIGHWVLFVINPISEIIYYMDPLLNDYMNYPKMKSMFDTVLKVFRAARNAQVSKNKFNNISWVRVQCPRQENGIDCGYFVMRFMKEILISKLNEIPKLYIEGFKCATYSNDKLREIQEEWCQFMMSLLFI >RHN52635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37276428:37278239:-1 gene:gene37329 transcript:rna37329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MMMSSFTRSASTANFFLPVRRLHIQPLPLFIPNVDDAVSSFNRILHMNNPTPPIFEFGKILGSLVKMNHFSTAISFSHQMELKGIQPNIVTLSILINSFCHLNQLNYAFSILGKILKLGYQPNTVTLTTLINGLCLNGQIRKALYFHDHVIAKGFHLNHVSYGILINGLCKSGETKAALQLLEKIKGLLVKPDVVMYNTIIDALCKDKLVSDGYDLYSEMIVNKIHPNVITYNTLIYGFSILGQMKEAVGLLNQMSMKNISPDVLTFTTLVDGLCKQGEVKKARHVLAVMIKQRVEPDIVTYSSLMDGYFLVKEVNKAKHLFNTLPLRGVTPNVFSYNIMINGLCKNKMVNEAANLFKEMHSSNMTPDTVSYNSLIDGLCKSGRISDVWDLLVEMHDIGQPANVITYNSLLDALCKNHQVDKAIALLKKMKDQGIQPNMYTYTILVDGLCKNGRLEDAQEIYHDLLTKGYPLNVSMYNVMVNGFCKEGLFDEALSLLSKMEDNGCTPNAITYQTLICALFENNKNDKAVKLLREMIVRGLL >RHN44661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7355848:7356105:1 gene:gene38805 transcript:rna38805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative perakine reductase MATVGRMKLGSQGMEVSLQGLGCMSMSAFYGPPKPESDMISLIHHAIQSGVTFLDTSDIYGPHTNEVLLGKVTTYSFPFSFSLLV >RHN60161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22175274:22177886:1 gene:gene22402 transcript:rna22402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVTTLKLLYVIILFIYVLFAIEGFGRFLLYNNCKQDVDCPNICSPHEHSKCILYVCYCVDK >RHN63812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54191935:54197229:1 gene:gene26565 transcript:rna26565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, C3HC MSQDSEKRFRSIMDKLFHSSKSSSNNPDKSSSGVQLSSSRGKKRGFQSIVDRRGDEQYLSATAVSESQGHLCRPWDRADFMRRLATFKSISWFAKPKKVSAVNCARRGWINVDVDTIACEECGARLLFSTPASWNHHQVEKAALVFSLKLDNGHKLLCPWIDNACSETLARFPPTSPPVLVDNFRERCSALLELSTLPRIASSALDHMQSPYMDDFLGQSLMQECGNGSAENFGIEDVSSQEELKLYYQAQRLISLCGWELRYLPYAVDCRDVSDQSHKNSTIVYSPRVVSDARNNNLTVYSADNNESSKMDENSKHSIGEQMDPNSAVLDCSLCGATVGLWAFCTVPRPVESIRLVGYAEVNVDNDLESRQGVNNALSDIANSSKDTSLGLNMTIAGGPPPTKQNFKAIISLPIIGQNLRARLSYDYDIRDHFFVDRGGSQSDSQEIKIQEKTDNTVDASIGQLVPVSSEIREISNCETGSQQASICDSVLDNDLEGTSSAGQPSGFKEKMPVQAETGGLKNSSAEDPSSSQTDMAEDEALSHKTKEGSHVETSGVKERAENPINREDVHNSIGKFKNPSLPGKAMEFDPIRQHRHFCPWIASEDGVEPGWKQTLSALYRPKEHLRHSSNTSPSSMSIMKVDDPVGSIRKLFMSPPTSRRKLTHISSQNAEHR >RHN81456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46065069:46066053:-1 gene:gene5502 transcript:rna5502 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFSKRRKNNSLCHHHTAPCLIPVEITSFYMQAPQFLLCITLCGTLVRT >RHN77321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5993319:5993817:1 gene:gene765 transcript:rna765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MPVFIPIVREPEFKLKGLPQNIAMTEKQIRGILMKADRDGDGCLSKDELKKAFKEFGSRMPCWRASCCLRKVDTNRDGKISRDEIDFVVDYVLVWLESKN >RHN73502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17157211:17163803:1 gene:gene9320 transcript:rna9320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MLMESFTRRRNCYILFLCFFVCYVTASVTYDHKAIVINGKRRILISGSIHYPRSTPQMWPDLIQKAKDGGVDVIETYVFWNGHEPSQGKYYFEDRFDLVKFIKVVQQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGVAFRTDNEPFKAAMQKFTTKIVSIMKSENLFQSQGGPIILSQIENEYGPVEWEIGAPGKSYTKWFSQMAVGLNTGVPWVMCKQEDAPDPIIDTCNGYYCENFSPNKNYKPKMWTENWTGWYTDFGTAVPYRPAEDLAFSVARFVQNRGSYVNYYMYHGGTNFGRTSSGLFIATSYDYDAPIDEYGLISEPKWGHLRDLHKAIKQCESALVSVDPTVSWPGKNLEVHLYKTSFGACAAFLANYDTGSWAKVAFGNGHYDLPPWSISILPDCKTEVFNTAKVRAPRVHRSMTPANSAFNWQSYNEQPAFSGESGSWTANGLLEQLSQTWDKSDYLWYMTDVNISPNEGFIKNGQNPVLTAMSAGHVLHVFINGQFWGTAYGSLDNPKLTFSNSVKLRVGNNKISLLSVAVGLSNVGVHYEKWNVGVLGPVTLKGLNEGTRDLSKQKWSYKIGLKGESLNLHTTSGSSSVKWTQGSFLSKKQPLTWYKTTFNAPAGNDPLALDMSSMGKGEIWVNGQSIGRHWPAYIARGNCGSCNYAGTFTDKKCRTNCGQPTQKWYHIPRSWLNPSGNVLVVLEEWGGDPTGISLVKRT >RHN81658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47860019:47863956:1 gene:gene5730 transcript:rna5730 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSIATTLDEVDRTMYASFCSAANSLSQLHMQALNHQKLSFQAGQRHGLEKLYQWIWRQQEGGSRVATVDILNYIQNELDYFGEEQSTSPRAPLQHQQLQPAVHVASSGFPVTSGSSCQTVIGQGLRSENWDNQSKSFEFSNASSNSVHRSLEHYQIGEGGSYLSSPSMGSESLNSESSFLHQQRRDSTGFNSNDSTMDMHSD >RHN60977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32010836:32012343:1 gene:gene23383 transcript:rna23383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MLVGVNIGSSWLYEAASVLSCKVGTVPFLYLGMPIGGNPRRLCFWEPIVNRIKSRLSGWNSRFLSFGGRLVLLKSVLTSLPVYALSFFKAPADSWVWLPDPSGGYTVRGAYSLLISQVPPVAVDDLDLVWHKQVPLKVSVFAWRLLRDRLPTRTNLIARRVLSSDMSSCVAGCGHPESARHLFLLCDTFGSLWHLVRDWIGCFGVDTDNISDHFLQFTHLIGGGAARRSFMQLIWLLCAWVVWNERNNRMFNHVVTPIPCLLDKIKLLSLGWLKAKKATFVFGTQQWWSSPLVCLGIG >RHN53652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3119979:3124848:1 gene:gene28581 transcript:rna28581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxymethylglutaryl-CoA synthase MAPAAANNVGILALDIYFPPNCVQQEALEAHDGASKGKYTIGLGQDCMSFCSDVEDVISMSLTVVSTLLQKYEIDPKQIGRLEVGSETVIDKSKSIKSFLMQIFEKYGNTDIEGVDSTNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPDAPISFESKLRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDTCFKNFCQKYEKHEGKQFSLSDADYFVFHSPYNKLVQKSFARLVFSDFLRNPSSKDEVTNEKLGPFTTLSDDESYQSRDLEKASQQVAKPLYDEKVQPSTLIPKQVGNMYTASIYAAFASLLHNKNSSLEGKRVILFSYGSGLTATMFSLQLRETKHPFSLSNIARVMDVAEKLKSRHEFPPQKFIETLKLMEHRYGAKDYVTSKDSSLLAPGTFYLTEVDTMYRRFYTTKSSESNLTPAVNGLSVNGH >RHN39799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10579760:10584513:-1 gene:gene45863 transcript:rna45863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MKDLNSDLFDPVTVMESEWAHGGSSSDADFGFAFNDSNFSDRVLRIEIMADPVDPQPDSENCTSIADWARHRKRRREDIKKENVGDLPDEQILNGNQPDMDDCVASENQEEEVVAMVEESPSGDEAVNDNDEPNLGMDYSEPAVVRVKTLHISSPILAAKSPFFYKLFSNGMRESELRHVTLRINASEEAALMELLNFMYSNTLSIKTAPGLLDVLMAADKFEVASCMRYCSRLLRNMQMTPESALLYLELPSSVLMADAVQPLTDAAKQYLASRYKDITKFQEEVMNLPLAGVEAILSSDDLQVASEDAVYDFVLKWARHQYGNLEERREVLGLRLARFIRFPHMTCRKLKKVLTCSDFEHDVSSKLVLEALFFKAEVPHRQRSLAAEEPACSSRRFLERAYKYRPVKVVEFELPRQQCVVYLDLKREECSNLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFTVDYEFAARSRPTEEFVSKYKGNYTFTGGKAVGYRNLFAIPWTSFMAEDCLYFINGVLHLRAELTIRQ >RHN61737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37926652:37929418:1 gene:gene24228 transcript:rna24228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MKIYILHQPCEFLSSSYHVDPFFFSFQARAKHDITNTFVAGCTTGAAISAKGGPQAACMGCAGFAAFSVVIEKFLERHQ >RHN61816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38570232:38570492:-1 gene:gene24315 transcript:rna24315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucoside 2''-O-glucosyltransferase MGKDLTEFDLMEPPSGYPDSSIKLYNHEAKAFVAKRKEFFGSNVLFYDRKALAMNEADALGYRRCRDIEGPYLDYIHKQFNKPALT >RHN79794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32251154:32252785:1 gene:gene3632 transcript:rna3632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MTLYLRKFNTFRPISTLFLRFSHARPSAPTQFQSPQNEDLDSFKNLPVKSSSPRIIEFNNRLHSLVMQKNFDSVVTHYRSMELNNMKPNFHTINILLNCFAQAKKANLAFSMFAKLLKLGYEPNIITFNSLLKAMCFNGEVQMALDLHDKLKKAGVPLTIVSYGTLISGLCKINRHDAAMLVLKKMKVCVPPDAIIYKTIIDCLCKDSKVETALELYTEMIESGIFPDVFTYTTLIHGLCISDNFKAAFEFFKEMVSNEINPTVYTYSALMAYLCKRKRVRESKALLNTMIKDGLEPDLAIFNTLMEGHCSLHQMQKAKRIFYSLPQRGITPDIYSYNILFKGLLSQGLNIPEETLPLFEDMKSKKVSPDLITYNTIIHGMCNFSRMDCAWDFIGEMVDKGIQPDAATYNPLLKALCREKKIDEAIALTNRISGQGIQLDAYTFTILIDAFWKSGRFEAAQATFWDAFKKGYDLTLAVFHVMLKGLCENHMFDEAIKILSKMKKKGCTPNKAIYEVIVYALFENDEIEKATKLVYEMSRMGFL >RHN65651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4110912:4113453:-1 gene:gene13519 transcript:rna13519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MAPKCYSIETCNFCPIVGGVDLYPSPIYESKILKAMCSLWQAKVPNPGCGVSSCNFNLTYGSSSIAANLVQDTITLATDPVPSYTFGCVSKTTGTSAPPQGLLGLGRGPLSLLSQTQNLYQSTFSYCLPSFKSLNFSGSLRLGPVAQPKRIKYTPLLKNPRRSSLYYVNLEAIRVGRKVVDIPPAALAFNPTTGAGTIFDSGTVFTRLVAPVYVAVRDEFRRRVGPKLTVTSLGGFDTCYNVPIVVPTITFIFTGMNVTLPQDNILIHSTAGSTTCLAMAGAPDNVNSVLNVIANMQQQNHRVLYDVPNSRVGVARELCT >RHN81983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50334625:50335409:-1 gene:gene6095 transcript:rna6095 gene_biotype:protein_coding transcript_biotype:protein_coding MTRITKSQILNNCNCFYCCSDSHSESNAYCYYSYPNSHSRAENQHSNNHTTQGRSYFLEFKDDVNGQFERPNLGIPNEKLGYKISTCDGILLLSSNCGRIFVVNPIIKCWLRIPHVPISQRRVLVNSQSAIARVPSIENFKLFFLDVLEISGAFWRVFYVLRIRIDNSWKEIARKEARHNRHFPWQSLYSGGNDLY >RHN45206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12903508:12906493:-1 gene:gene39435 transcript:rna39435 gene_biotype:protein_coding transcript_biotype:protein_coding MALISYALTGIGFILIGSLESLRASQSNKLTKNPSPLYITLSIFTSFITLTSLISLFNAHTSNDAVGLSLQLQTLPISLLFLIHSLLSLLFPFLPSPLLNLVLLFGFAEEFLLFYLQRKDPTGIENRYYDLLLVPIAVCVFATVLELGEYASNVTKLGRGIGLLLQGTWFVQMGLSLFYKNFVAEGCDLHQVSMGNYSLRCKGHGEYHRARAIATLQFNCHLALMVIVLVGFYSFVCGKVGNSAREEMSLRYKPLGAEMQSLENLDGTFTLESDDEVDEIKDGNVGNLKVINGVNDYGESKGTP >RHN50184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4910164:4913141:-1 gene:gene34446 transcript:rna34446 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSREGYFVFHHQGFDGDRWMTQISIQILVVGHLFRYTSPTDMMMSPVTKGLLARNRKGGALLPPGPGKYQPKVPNMCLQDPSPLQNKLPMLIDEKLNST >RHN67332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26114877:26117677:1 gene:gene15512 transcript:rna15512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDLQIICFTSIFSLLMFVFIANKILTKKSESSAQNLPPGPLKLPIIGNIHNLIGSLPHHRLRDLSTKYGPLMHLKLGEVSTIVVSSAEYAKEVMKNHDLVFASRPPIQASKIMSYDSLGLAFAPYGDYWRNLRKICTLELLSSKRVQSFQPIRSEEVTNLIKWISSKEGSQINFTKEVFSTISTITSRTAFGKKCKENQKFISIVRDAIKIAGGFDLGDLYPSCRLLQNISGLKPKLEKLHKQADLIMQNIIDEHREVNKSRVNENQGEEVEEDLVDVLLKQDGLNDNSVKAVILDMYGGGSETSATTITWAMAEMIKNPKIMEKVQAEVREVFDKERNPNESDMEKLTYLKYVVKETLRLHPPAAFLLPRECGQACEINGYDIPFKSKVIVNAWAIGRDPNHWDDPERFYPERFIESCVDYKGNNFEFIPFGAGRRMCPGVTFGLVNVEYPLALLMYHFDWKLPNEMKNEDLDMSETFGSAVTRKDDLYLIPVMYHP >RHN46217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29731143:29732874:1 gene:gene40670 transcript:rna40670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MQKGTYLRNGPGMWNIGDYNFRHLFDGYATLVGLHFEDGRLIAGHRQVESQAYQAAMKNQKICYREFSEVPKAENFLAYVGELASLFSGSSLTDNANTGVVKLGDGRVVCLTETQKGSIVIDPDTLETIGKFEYSDSLGGLIHSAHPIVTDKEFLTLIPDLIKPGYMVARMEPGSNERKVIGRVDCRAGTSPGWVHSFPVTQHYVIVPEMPLRYCAQNLLRAEPTPLYKFQWHPESKAFMHVMCKTSGKIVASVNVPLFVTFHFINAYEEEDEDGRVTAVIADCCEHNSNTDILDKLKLQNLRSFNGKDVLPDARVGRFRIPLDGSPYGTLEAELDPNEHGKGMDMCSINPNYLGLKYRYAYACGAQRPCNFPNTLTKIDLQSERAKNWYEEGAVPSEPFFVPRPGATEEDDGVVISIISEKNGEGYALVLDGSTFEEIATAKFPYGLPYGLHGCWVPKQ >RHN44930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10070142:10074835:1 gene:gene39110 transcript:rna39110 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFQWVHRKLRQNDNDTFKDVKLGNPCACLTLDSQYSQTKQSFSSINQLCFVKSHHQESQTSWRREEETSAVVSELFEGFLTIGTLGAEAVINEPATPTFATPSENIPMKDADVTENELKLISYELEKFLETEEESFNESSGRNSRLSLSNIKISGKQTDGSEDEDCGNKDVCPLQGYLLGSSFEIPEKVQVRKERASLAELFQRTKTANEDCIETGVKDTQVKQAHKSPMHIMKKMLKMVHISSKSCNTSENIADSTTTNKKLSKVLRKFHRKVHPEDTLNAKSVTKSHKDKIKNLPRECFDEHCEINWNPPQDDLCCSGSTGNNEHWIKTDAEYLVLEL >RHN75019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38808800:38809402:-1 gene:gene11166 transcript:rna11166 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIEVLGLTWGVWDSSIFDLRLTIILGADVLYDSNGERRICFRSLFGFDWDQLIEFLMQKWGLKCLKLLDGFSILPSFKASQLSGNIKLVEIALTSKDNA >RHN50847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11098308:11104433:1 gene:gene35185 transcript:rna35185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoglycerate mutase (2,3-diphosphoglycerate-dependent) MGANQSQRVEDTEDEEDEEHDEEDEDEEEDEHSRNIVNNHLINRTQLLNNRHVAKKVLEQEPEILPCHASASPLSPQLSSLGTPRIGPSIKVYDPYNVLAPPTPTLVSPASAGAMFSRSFVGVEEEVVEVYLICHGECELNLAPELVGGRCGTAVLTPNGKRQARALAVFLKSMGVRFNGVFCSPLDRAKTTAVSVCKEVNFSEERIQSSEALSEISQGNWEGCLRSETYTPEIMSYIDRFQPDFAAPSGESLRQVEFRMIHFLNETVLGLHEKLRSVFSSHQNDSHAFSQHNSHALTNSIHDQDGTSLHSNQWDSLSRHRPVFSRKKSGKSRLQFVTTTGDEIEDDIPSSNGNHQSSLHNSNFNSFSSSVSCIGIFTHSVPIKCLLTGLLGCSPQMSHKFCIEDSSVTVLQHSLRTGWQIKKLNDTAHLRLL >RHN45198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12874848:12877328:1 gene:gene39427 transcript:rna39427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MYALCACISARCVHHCIYSIPTFHNLTQLVLTSYFDWHYHDCGWQFLVELLNHCPKLQKFDVDQTDTQTDIDEETFNIIADNVPQCLSLHLQTCNLLNLSLQAKLMLARYILKNARVLQTMTISNRGQPEIKGLLSSCPRASATCKLKFQRKRQSRGTW >RHN40289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14910826:14912406:1 gene:gene46427 transcript:rna46427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MEGSVQINVPESHSNIEQEKKPILSWDVIIEKSLSNFGWMDFLQAVLVAVAMFFDAQQSFISIYTDNYPKWHCTNSICTSSSDICKLPRSSWSWDTHPSNTIISHWNLECASTFITGLPQSSFFIGCLLGSFFLAALADSSIGRKNMLIFSCVSMSITSMLIIFSTNVWIYSALKFLIGFWRSSIGTCVLVLLTEKVSAEWRFRVGIVEYFTFTMGYMSLPGFAYINRNSSWKSLYIWSSVPAICYSVIAYLFVTESPRWLVMQGREKEILKMLKRVSSEESADDDSVNLASNLPILPPKEKVSFFQLYSSIGELFHKRWAVIRMIAVMILGIGLGMVYFGMPLAVGNLGFNIYLAVVFSASMELPSCVATYFLENLRRKPSILVFSILGGICCVTCAVLENRVPAAKVVLAMVAFFGACTAYNVFLIYIIELFPTCVRNTTTSLVRQAIVFGCIFCPFLISAGRKNNIYSYGVFGVVIMLSNFTLFFLPETIGIVLCDTMDQQEKKEIALCDAMNQQVRTENVSV >RHN80596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39301887:39303851:-1 gene:gene4537 transcript:rna4537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ammonium transporter, ammonium/urea transporter MASLSCSATDFAPLLTTAANATAVADYLCTQFNTISNKLSDTTYAIDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLSYYLFGFAFAFGAPSNGFIGRHFFGLRDYPSPSGDYSFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPIVSHWVWSADGWASPTRTDGDVLFGSGVIDFAGSGVVHMVGGIAGLWGAFIEGPRIGRFDRTGRSVALKGHSASLVVLGSFLLWFGWYGFNPGSFLTIVKSYGNMSNKRNYYGQWSAIGRTGVTTTLAGCTAALTTLFSKRLLVGHWNVTDVCNGLLGGFAAITAGCSVVEPWAAIVCGFVAAWVLIGLNKLAIKVKYDDPLEAAQLHGGCGAWGVLFTGLFAKGEYVDEIYGVGRPYGLLMGGGGRLFAAQVVEVLVICGWVTVTMVPLFYGLNKMKLLRISRDDETAGMDLTRHGGFAYAYHDEEDDESIRKLGFMMGRIEPATSVSPSAIGHDTPVATSAPPV >RHN52225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32809153:32813672:-1 gene:gene36873 transcript:rna36873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative abieta-7,13-dien-18-ol hydroxylase MLLHQQNHKKMRENISETWLVMIIVIMFTAIFAKVIQIKKRKEEKSIGRLPPGRRGWPLIGDSINWYNAVASSHPPQFVEEMVQRYGKIFSCSLFGKWAVVSSDPSFNRFVMQNEGKLFMSSYPKSFRDLVGKNGVITVQGEQQRKLHGIASNMMRLDKLKFHFMNDIQNVMIQTLSNFKNQQVILLQDVCRKVAINLMVNQLLGVSSESQVNEMAQLFSDFVDGCLSVPINIPGSSYHTAMKAREKIISKINKIIEVQRQNGPPKEGNNGVLGRLIEEDSLPDDAVADFIINLLFAGNETTTKTMLFAVYFLTQCPNAMEQLLDEQDSLRTKSAEESLTWQDYKAMPFTQCVIDETLRLGGIAIWLLREAKEDIQYQDFVIPKGCFVVPFLSAVHLDEKVYNEAKNFNPWRWMEPENEEKRNWRSSPFYAPFGGGARFCPGAELARLQIALFLHYFVTNYRWKQMKEDRMSFFPSARLVNGFEICLTKRHDNNQRN >RHN46105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28536974:28538134:1 gene:gene40545 transcript:rna40545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNLLPPSQLQVFLPGELIVEVLSFLPVKSLMRLKCVSKSWKYLISEPSFAKLHLNRTTQDAVSTIVSNNIYSRTGTEMSFTVFRLLENPPIIINLPRDPYYPLNDKAFRYIVGSCNGLLCLFGGTGYREDNGGYRENWLRFWNPATRIISEKFHGDDGLGFPCNYTFGYDNSTETYKVVYFTRKTTNVRVFSLGVNVWRNIQDSHMIHHHRQMKVMHVRGSVNWLAIHNYISYYYNFKDITIEEFVIISLDLGTETHTKLLPPHGFDEVPFVIPNLSVLKDCLCFSHDFKRTHFIIWQMKQFGVQESWTRLFKISYKNLQIDCPFNDFCLLPLCLLEKKDTLLLTRNDFFERRPILYNLRDKIAKRIHIPWSSNVQDYVESLISYC >RHN68800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38694622:38697704:-1 gene:gene17202 transcript:rna17202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MTPTQRRPLSFPAVHPCKSISASTLLTSLITLSQNICTFQPNTFPTQKPYARETKRQIQILLIFFQDLQSHNSFIPQPIIPVFSELHFTLQKIHFLFQDCSIQGTGLLLLVKYQFVATQFYLLICSVSKSLDALPLNLIDVCDEVKEVIQLLTKQARHIQLELDHNDEYTAKSVNSILNQFELGIEPDVDSIKQVLDYLEIKTWFDCNREIKFLQDEELEKHQYQEKEIHLLSGLIGFLCYCRVIIFETLDFQFQLLSHNKTETRCTAEMLNYITPDDYRCPISLELMTDPVTVSTGQTYNRTSIQKWFKAGNMTCPKTGERLISTELFPNTALKTLIQQFCYDNKISVSTSKLHISKPASTVNPGSPAAAHAIQFTSWSLARRLVFGTEEQKNKAAYEIRLLAKSNVFNTACLVEIGTVPPLIDLVLTSTTQENAIAALLKLSKHTNGREVIMESRGLKPIVTVLRKGFSLEARRVAAGIIFYLTSVKEYRKLIGENSEAVSGLVELIKKGTIRGKKNAVLAIFGLLLLHKNHSKNVDGARAVLECSGLSLVIGILQSANSRAEKEYCVSILVSLCVNVGGEVVSVLAKHASGIMPLLYANLTDGTPQAEKKARKLINVLQEFDEKRTLGMVLVHVGVVVAVAYNND >RHN80949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42131134:42135254:1 gene:gene4934 transcript:rna4934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinacetylesterase/NOTUM MKMKLLLILLTFLVSGFISSGSDTTLSFSENDVVLQPHMVDLTLIQGADSKGAVCLDGTLPGYHLDRGFESGANSWLIHLEGGGWCDTVRNCVYRKKTHRGSSKYMENQIPFTGILSNKPEENPDFFNWNRVKLRYCDGASFAGDSENEAAGLQFRGQKIWLAAIEELLSQGMQNAEQALLSGCSAGGLASIIHCDEFQSLLPKSSKVKCFSDAGFFLDAIDISGGRTLRNMFGGVVQLQEVQKNLPKSCLNKMDPTSCFFPQNVVEHVETPLFLLNAAYDVWQIRASLAPSTADPLGSWNDCKSNNANCNSSQIQLLQDFRNQMLDDVKDFSRSSQTGLFINSCFAHCQSERQETWFADDSPLIDDKPIAVAVGDWYFDREVVKAIDCPYPCDNSCHNLVFRRV >RHN79655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30950768:30952499:1 gene:gene3473 transcript:rna3473 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTLKAENLKPIILKAGVPIAVSFAGLIYAWIITKKSLSKVSSFSESDSHTPEINSHDVTQHEESFDNFSSMEDEGKEEYTNSIDSSVVSGSFGLEQEITCLRSKIEGMQMRELALTLQFDKYCEMKEKESMLREMKNMLSLETSRVEFFDREISFIEKETMRLENFVIQYLKIIEKLEYWKSENRLLHKKVQKLLKKSKAQSHLIKEQTLMIKEGEEEILRNYDELKKRASMIHKLEDEIREMKRILDDFQDEKNELVKKLETSEEYGCKEELHKKPLKYYLQIESKDVMEEDYNKVLNELEQVKKEHENEIEELIYLRKINVCLRQELMKHELHCSFLDHQNVSCIGSSTFHGDPSSSKKGKLIKKLKNWVDGSEKVRVKPEGKSSNEIKCFGMNSAPATPRFCSSA >RHN53959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5752854:5753138:1 gene:gene28934 transcript:rna28934 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMSWRCEIYLYQFASINGEKFEEHDLRNGDKREVRLKWDVVVTTQIKVAAQRTGNSPIAGDVREERIGLYGKRTHRKEQRKKRINWILQICP >RHN67741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29917267:29920808:1 gene:gene15964 transcript:rna15964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MINGAITEARHVFCFTCIVKDFEEGRDRLEQERLTVGQRVKVAMGKDKDIQANVGFWEEEIGKLKKVDIKTKQTCFFGFCPDCIWRYKRGTELANNLEDIKRLIEKGEQLENIELPHRLPDVERYSSKTYISFKSRESKYKELLDALKDGNNYITGLQGMGGTRKTTLAIEVGKELKQSEQFAHVINTTVSFTPVIKKIQDDIAGPLGLMWEDCNESDRPKKLWSRLTNGEKILLIMDDVWDRDPPLDFDATGISQP >RHN69619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44983862:44984395:1 gene:gene18110 transcript:rna18110 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVMLGKGRLGAMAATQPTSGCTVQRIRCCNAFNCAIHRVCLAWTSGIKF >RHN53838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4620193:4620846:1 gene:gene28790 transcript:rna28790 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLWWRKGKNSQPEAKLSTTENAAKNGGASAVKPTTEAPGMNGAVEVKRPKNASVSVFEFGSVAASNDKVTLAGYCPVSEDLEPCRWEILPAVESNAPQFRVVF >RHN81055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42941263:42945107:-1 gene:gene5049 transcript:rna5049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactolipase METMCLKTGIVPSISIGGSLDTRDTRAAASTVSAVGREKSSQKSLFSRFSFRYPLESLWPQRRNRTFSGLSLDDAVLEDNRETKTVGDDGEDCREGQRENWVLKILHVKNVWKGEQGNHEREETITDNDENNGDDDQVCDTCAVENDDDEKIDDFEFDRNSFSKMLRRVSLAEARLYAQMSHLGSLAYSIPNIKPGKLLKHYGLRFVTSSLEKKELAAKSEKNPQEVESKEKQEETKEPNNGGYRISATAAYNIAASAASYLHAQTKSILPFTSSNAATGEGSNESLNMMNPEVVSLMATTDSVTAVVAAKEEVKQAVADDLNSTHSSPCEWFICDDNQSGTRFFVIQGSESLASWQANLLFEPIKFEGLDVLVHRGIYEAAKGIYLQMLPEVHAHLKSRGSRATFRFTGHSLGGSLALLVNLMLFIRQEVPISSLLPVITFGSPSIMCGGDTLLEKLGLPRSHVQAIIMHRDIVPRAFSCNYPDHVAKILKAINVNFRNHPCLNNQKLLYTPMGELLILQPDEKFSPSHNLLPQGSGLYLLHCPLSEPNDTGKKLRAAKLTFLNTPHPLEILSERSAYGSGGTIQRDHDMNSYLKTVRSVIRQELNQIRKSMREKRRKVWWPLVLPRRVDTSIVVGRSMVSVSIGQRQSPFSGMMKTGRESLKRFSRLVASQHMHLFVLLFFPARMLILGAYSLISLR >RHN56654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32598126:32599534:1 gene:gene32089 transcript:rna32089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MFEIFKFVYVVVIFLSLYILSIEVGGALIECEIDLDCPKSYIKLWDRNYAHRCVNNICEWVKKPRIY >RHN62350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42817266:42817978:-1 gene:gene24914 transcript:rna24914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDGILKFVYAVILFLSLFLVVTNVESADCDSDYNCKEQLRAS >RHN48742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49856107:49861721:-1 gene:gene43491 transcript:rna43491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative programmed cell death protein MDIDAAIGDSVNKLKEIRMENDEFVDEDDDDNDNDNDFDDSDSDDEDEPITLGFLEKPKNPLNLSRHFFPSKAGGLPAWLDPLNIPAGKSSVCDFCGDPLHFVLQVYAPVVEKESTFHRMLFVFMCPSMTCLLRDQHEQWKRNPERPSRSVKVFRCQLPRINPFYSSECPKYDGSDKPTGTGAALCDWCGTWKGDKLCSSCKQVRYCSEKHQTMSWRAEHNIACQQIKVSLPVCGPNKNGTTSLESCKVGNKHTWPEFEIIEDQSECNKDVSEDNALANSLILRNRSDDTMNSLMDSFQGDEDKRSWAHFQERIAKDPEQVLRYYRNSNAKPIWPILSGRPSRDDIPKCIYCGGSMCCEFQILPQLLYYFGVDNEVNSLDWASIVVYACEASCEASLPYKHEYAWVQLYSPSASPVV >RHN71532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:821301:823534:1 gene:gene7142 transcript:rna7142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, alpha-ketoglutarate-dependent dioxygenase AlkB MKYNFDSSPPKYLKERRRSDTEVLLCDGIVDVSPPDNELKEEPDELIRYYEVHCKRINGRDINLLEGLELHSSVFNATEQNEIVDYIYILQRRGQQGRLRERTYSKPRKWMRGKGRETLQFGCCYNYAVDKNGNPPGISRTEEVDPLPRMFKQMIKRMVRWKIIPPTCVPDSCIVNIYDVGDCIPPHIDHHDFVRPFYSVSFLNKAKILFGSNLKAVRPGEFFGPASISLPVGSVFVLKGNGADIAKHCIPSVLSKRISITFRKMDKRKLPYTYSPDHDLVGSSQFSSNNVLTRQRVLNLNLIQFGRH >RHN58614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3321747:3327919:1 gene:gene20540 transcript:rna20540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SLC26A/SulP transporter MGVNSNRVDPFETIKIQSEIPMYQSPLEIHKVRLPPQRTTLQKLRHRLSEIFFPDDPFHGFKNQTSFTKFLLALQYMFPIFQWGPQYSLNLLRSDIISGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPALIYSVLGSSRHLGVGPVSIASLVMGSMLSESVSYSQDPILYLKLAFTATFFAGLFQSSLGVLRLGFVIDFLSKATLVGFMAGAAIIVSLQQLKGLLGIVHFTSKMQIVPVLVSVFKERDEWSWQTILLGFSFLAFLLITRHISLKRPKLFWISAAAPLTSVILSTILVFSMRHKINKIAIIGELPKGLNPPSSNMLYFSGPHLALAIKTGLVTGILSLTEGIAVGRTFASLQNYQVDGNKEMMAIGLMNIAGSCSSCYVTTGSFSRSAVNYNAGAQTAVSNIIMASAVLVTLLFLMPLFYYTPNVVLAAIIITAVIGLIDYRAAYKLWKVDKLDFLACVCSFFGVLFISVPLGLGVAVAISVFKILLHVSRPNTSVLGNIPGTPIFHNLIQYKEAIRIPAFIILAVESPIYFANATYLQERILRWVREEEERVVALNGSALKCIILDMTAVTGIDTSGIDTLCELRRRLEQKSLQLVLANPVGNVMEKLHESNILDSFGMKGLYLSVGEAVADISSSWKAQP >RHN68105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32887591:32889230:-1 gene:gene16404 transcript:rna16404 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERVVHTGGCHCKSVRWKVAAPSSVVVWDCNCSNCYMRANAHFVVPADKFELLGDSAEFITTYTFGSHTAKHTFCKICGITSFYYPRSNPDGVAVSFRCVDSGTLRHVEIKHFDGKNWERSYNETNISSCSKEQK >RHN80500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38623411:38623743:-1 gene:gene4435 transcript:rna4435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MEPSKNEELGGDEIEVDGKLVEPSPRRRNPEIAILNQIGSSYRSPREPRINIITTSKIDLLEDGYNWRKYGEQVCIGNPNPR >RHN66534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13494376:13495763:-1 gene:gene14538 transcript:rna14538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Nin-like family MTDYGYGDAKNVVPMWNELDAGFYSDKHIFSISNIIGENVAKEIVKGKRCREENSARMLTKETISEYFYMPISQAARELNVGLTHLKKRCRDLGIQRWPHRKLMSLQTLIKNVQEQGNEYDEKIRNAVEVLQKEMKKVEEKPDLQLAENTKRLRQACFKANYKKRRLMVMRLMDQSSISESSNVDDNHKMGY >RHN76656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:174743:177443:-1 gene:gene18 transcript:rna18 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MVNHTVVLSIFVPLVFTSLITLVCDAIESGDESSKLYIVYMGSLPKGASYSPTSHHISLLQHVMDGSDIENRLVRSYKRSFNGFAAILNDQEREKLVRMRGVVSVFPNQDFHVQTTRSWDFVGLPHSFKRYQTIESDLVIGVIDSGIWPESKSFNDKGLGQIPIKWRGVCAGGSDFNCNKKIIGARFYGIGDVSARDELGHGTHTSSIVGGREVKGASFYGYAKGIARGGVPSSRIAAYKVCKESGLCTGVGILAAFDDAIDDGVDVITISICVPTFYDFLIDPIAIGSFHAMEKGILTVQGVGNSGPRPSTVCSVSPWLFSVAGTTIDRQFIAKLILGNGKTYIGKSINITPSNGTKFPIVVCNAKACSDDDDGITFSPEKCNSKDKKRVTGKLVLCGSRSGQKLASVSSAIGSILNVSYLGFETAFVTKKPTLTLESKNFVRVQHYTNSTKDPIAELLKSEIFHDIKAPKVVTFSSRGPNRYVPEIMKPDISAPGTEILAAYSPLASPSSDINDKRKFKYNILSGTSMACPHAAGVAAYVKSFHPDWSPAAIKSAIMTTATTMKGTYDDLAGEFAYGSGNINPQQALHPGLVYDITKQDYVKMLCNYGYGADKIKQISGDNSSCHGYPERSLVKDINYPAMVIPVHKHFNVKVHRTVTNVGFPNSTYKATLSHHDPKIKISVEPKFLSFKSLYEKQSFVIVVVGRVKSNQTVFSSSLVWSDGIHNVRSPIIVQILSL >RHN49067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52190140:52191360:-1 gene:gene43854 transcript:rna43854 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVLSYLNFVGFFCLYYLYQIFFFVFMQPLTLYNLCLFYACLWKLLF >RHN47222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38057255:38061622:1 gene:gene41790 transcript:rna41790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rho GTPase activation protein MRRCVHCKNSYLIAISVSVFGVSVESMQCSYDSKGNSVPAILLLMQERLYSQGRTKGIFCINLENGEEEHLREQFNSGIVPIDIDVHCLAGLIKAWFRELPSGVLDGLSPEEVLECNTEEEFVELVKLLKPVESALLNWAVDLMADVVVEEEYNKLNAINIAMVFAPNMTQMKVLIMKHTPKLQKKMLIMKQMLKLHKMFEKTSSMKAENADHDKTCDYFESARK >RHN63052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47957264:47962791:1 gene:gene25705 transcript:rna25705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MTNILLRTASRGGSLRATLIRRFSTDALVESKPGEIGLVSGIPQEHLRRRVLIYSPARTAGQQGSGNVGRWRINFLSTQKWENPLMGWTSTGDPYSHVGDSALDFDTEEAAKEFAERHGWEYVVKKHHTPLLKVKLYADNFKWKGPPKAAEAEQ >RHN57740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41412757:41415571:-1 gene:gene33323 transcript:rna33323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MWQKFFQAKIHRINFVFMMGKDQIFRGWKMSFFACCKSQEKNTKNTLKKSIKDYHNICSKSDGGKRKYIAEEENTRNGKGNITSKIFSYHELCVATKNFHINNMIGEGGFGRVYKGRIKSINNKVVAVKKLNKDGFQGSREFLAEVMILSFLHHSNLVNLVGYCAEGDQRILVYEYMANGSLEDHLFELPPGKKPLDWHTRMKIAEGAAKGLEYLHAEAKPPVIYRDFKASNILLDENFNPKLSDFGLAKLGPTGDKTHVSTRVMGTYGYCAPEYASTGQLTTRSDVYSFGVVFLEMITGRRVLDSSRSPEEENLVIWALPLLKNKRKYTSMVDPLLKGNYPMRGLFQALAIAAMCLLEDANARPLIGDVVTALEVLAMRHVQVGKQKHTKETSIEKGECS >RHN78956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20268494:20269359:-1 gene:gene2625 transcript:rna2625 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQGKKLLFIVRKTLGFNATSGDAFEMLHCTMGKLFIDIVFVVQSKFHPTFTAITTSPSGKVYDSRGGYCVTADGTLTWKDSLKKVRILGASGSLGDSIANAIDVDAEDCSRDGHVFEHSGSKRSLPTPCRCSKGKNSATMVKFENVDSFVSKCPSFVCVSAISGVVSVGVAPDHGCVAGHDDFLTLSLGSKVEGSSDFPFIRSEFWRNCDAKKDYLTLSCGSKDEASPSDLKKRRL >RHN53231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:262163:264998:1 gene:gene28119 transcript:rna28119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MNMCSLIYLSVLLVLILPLPSSLSTNSEGNALHAFRTRLSDPNNVLQSWDPTLVNSCTWFHVTCDSNNHVIRLDLGNSNISGTLGPELAQLTHLQYLELYNNNIYGNIPNELGNLKNLISMDLYNNKFQGVIPNSFANLNSLKFLRLNNNKLTGPIPRQLTHLQNLKFFDVSNNDLCGTIPVDGNFGSFPAQSFENNGLNGPELKGLVPYDFGC >RHN77607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8089810:8093319:-1 gene:gene1077 transcript:rna1077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adaptor protein complex, sigma subunit MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKYTNFVEFRTHKVIYRRYAGLFFSLCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKKAIIERMGELEKLE >RHN61750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38021353:38023814:-1 gene:gene24242 transcript:rna24242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MQHTHHTIMDKFNEEVQEPVSPHGQYFNSSVICSYVFAFLELAIPFDNSLAIPLLKDVFIPINPRFSSIMVKDKEGKMRWRKVEVKPEEHLKIPIFPETINSSSCELYDNYFNDYVTSILAERTPQDKPLWEIHIINYPTTNVACTIIFKLHHALGDGYSLMGALLSCLQRADDPSLPLSFPSQRPQLDSKYAKINLFKKLCFDISSFFSSISDFGSSIIKTRMIEDDKTPIRSGYEGNESQPVTLSTISLSLDQIKEIKSKLKVTINDVVCGMVFYGIRLYMKEMNEKTKIANSTAVVMLNTRNIGGYRSLMEMQKPESKGLWGNQISFLQIPIPKVSQSVISNPLEFVWNSRELIKRKRHSFSVYLIALLMDLEMRLRGPEAVAKIIYNTIRNSSVFMSNLVGPIEKMALANHPVNGLYFTVAGGPEVCMYFVFSYFNPTLSGFDIPLTMIKIMYASTNKIHHRPV >RHN39174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4683812:4684141:1 gene:gene45175 transcript:rna45175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MDNKEKVRINIVVIGQANSGKSTTTAHLLYKLGGIGKDVIERLEKEAYEANWPSFKYAWVLDKLKGERERGATIDISMSKFETNKYNCTVIDAPGHREYIRNMINYWWF >RHN66438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12275807:12276112:-1 gene:gene14425 transcript:rna14425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ligase MIIKQIINTLHTNLMKCNHVTTQFDCSYDRLLLTTWGSYLFWGNENRNAPLRACSPGIPSGLVSNFEFKPFDGTANPYLGLSATVAAGIDGLCNTPNFIFN >RHN74940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37993600:37998636:-1 gene:gene11076 transcript:rna11076 gene_biotype:protein_coding transcript_biotype:protein_coding MKITESSENNVDAGNDSTLKPNDNDSVPVELQDHAEELQQTVTKDGEIQDEKTLGLSSENGELVEVTNESAVSDAKWVCKSPDGGLSSGWNNKPCSYPYSLVGDEEAIMAVLHLQHNAIEACQKFLAPDVGSDSDDDVIEDEDEDEDESEDELVENCEEESKEYRFFEKVFAEDAGLRKYYENNSKEGDFYCLVCGGVKKKMWKRFKDCVALIQHSTTVLRTKRMRAHRAYAQVVCKVVGWDINQLPAIVLKDLNSSLTASRELLVEPGKPAAASDVDDQNNSLKEGEIPDERALDLSSENGGKLVEVTHEYVISDAEWVCKSPDGGLSSTASGWPAFNTKPCSYPYSLVGNEEAIMSVLHLQHNAIDACQKFLAPEVGSDSDEDVIEDEDEDEGEDEFGENCEESEQYKFFEKVFAEDVGLREYYENNSKKGDFYCLVCGGVKKKMWKRFKDCVALIQHSTAVLRTKRKRAHRAYAQVVCKIVGWDINQLPTIVLKDLNSSAASRKLLAEPEKPAAANDDDRNGELVNSVDGN >RHN75024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38825494:38826434:-1 gene:gene11171 transcript:rna11171 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLCAYRGMNSFLKQNATATAPPSVSSRFLSYMFNKFFEYFSQIMMALVLCLITFFKNLILAFLRNLLQWLRNLFQWLRNLFQPSDNDSREDDLEDPTSDDDTIVPGEGIEEIPNPTIPRTQDSDGVQGPTELPTETTQGTQPAEGIGGTASEIETSLIDAQATQLPQGTTQGTQATQGIGGTASETTTSPIDAQATQLAQGTTQGTQPTEGIGGTLSETAISPIDAQATQLLEQEGGNTVVNVVDFSDLEEIIFSLVEEILSFTIYGLWYGLLKVIFKW >RHN77303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5891799:5894864:-1 gene:gene745 transcript:rna745 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSATGSSSNKQQGEAPAYEIKGRTMSIEEWELIIQAENPVDFASLTHHGCDLVKFYKKQKLMGYFSLLNGPIYEVLVRQFWVRASVYDKVAAKQEEAQMILVNPTMEGKTREEMGLLPFTGTEIRSSVMGIPVTINEHVIAQAMRRDASGTYDGEEIPNPRTSPWKEIVNNTIYGSKDAKSYSTLSMEKKMLLKIQNKNIFPKGGGNDQPSLGHKVFLHHTITQETTMNVPKYMFKYMIKELKKSQMENRKFVPYGRLLSIIFQEGGILSVLKDVGIYDNQKLGAVTGKIINGATLVKMKLVSTCQKLDSDMHESDVISDLVSNEIHICKKDPLDVQRAYILDFYTTYNKKISLKDVPDEMYGGALPVAKSRKSKKKQITKEEYLADDATEKGAQKHQKAMKEKTAMSTIQEEVEDLDDVPLIKKRTRSTQETAEQPASEQAASEKPPSPKRKREAALQTIKRKRSNLTRNLKTAEGRREQMMEELEENWDEDSSPKKAKRTATSEPIVMPSFEMTEEMKQYTREVAASKIAEKKRMKMLFEKERDERLKAAGYVPTPDIAALSSELETVKYGATLLSQALKNKQASGATSSEPTSEAPEAVHPEAQSSGNPSNAPTNTQIPSLPSSPSSSSTESDDKPLSQHIDKLLKTKPTKLTDLGTLDWEQTQIEFSKNRIKLCEKFNLPPTHPLYPDNPEPVSVQQPQPNPEPTTNSPHNSTTQKASEVASDATTSETPQHQESSTLHNLEKHLGGEMQPTPTKASKTVPEKTVLETQTETQTIPEQTVQEQTASEQVASDQTAPDHQTIPSDHQTTDQQQPNSPTIIDLTSDQPTTSNTTQTEPSPIPDHILESEYIEEQLIRLSDEIQALILRRTVPAPPIHYYDQWMDLQKSFDELLDQLRTKCVSSHSAMLKKLLDDMHEAAKDKELNYVPLLDITPFYPEEEYITRAARIHAGHVRRMREKDELLKKKDEQIKYLLEQLYKQAQP >RHN56938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35025784:35025933:-1 gene:gene32408 transcript:rna32408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-related family MEKTRSLRKGAWTYEEDKLLKACIQKYGEGKWHLVSQRAGIYDFYFLFL >RHN55002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14297051:14297401:-1 gene:gene30118 transcript:rna30118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MQMTDIKDKIHKRQFLSPTIIAKLPSLTTKNMNLLKQFFRISDNGATEKRMKETLENCERAPARGETIKCVRSMDEMEIFASSMLGPKVVLRKTLNVKGSGKNVMVGRVSRIQGGM >RHN74400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32692552:32696787:1 gene:gene10457 transcript:rna10457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MAPMTLPPGFRFHPTDEELVAYYLERKITGRTIELDIIAEVDLYKCEPWDLPERSFLPSKDMEWYFYSPRDRKYPNGSRTNRATRGGYWKATGKDRAVNSQKKQVGMKKTLVYYKGRAPHGIRTNWVMHEYRLIESHPGASLSSLKDSYSLCRIFKKTIQIPTKTNEEEQVKDTKKEMTVFEEQVQGEDSSGGTEISREIMQTMDEKILNHDEHPKFLCDASSSDVTQGTCTPTETCNNIMTEDIHAQFAYDEENSSAISYPMGISYPSNLFQDIEIPMYGFYNQFPQTPLMMEDFSQMETKSIMKPDISQDCFLYDKYRDCMNGTLEEIISLCSSQDHSVAFPMP >RHN62150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41166647:41172572:-1 gene:gene24694 transcript:rna24694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MVEVNANPEPAQNMTIYINNLNEKIKIDELKKSLHAVFSQFKKILEVLAFKTLIHKGQAWVIFEDVTSASNALRQMQGFPFYDKPMNYQCFATQRIHEKNYPTHDLELAAVVFVLKIWRHYLYGFRFEVFSDHKSLKYLFDQKELNMRQRRWLELLKDYDFGLNYHPGKANVVADALSRKTLHMSALMVKEFELLEQFRDLSLVCELTPQSVQLGMLRINSDFLNSTREAQQVDLKFVDLMTTSNDTEDNDFKVDDQGVLWFRGRICIPDNDDLKKLILEESHKSRLSIHPGATKMYHDLKKLFWWSSLKRDVAQFVYACLTCQKSKVEHQKPAGLLTPLDVPEWKWDSISMDFVTSLPNTPRGHDAIWIVVDRLTKSAHFIPINISYPVAQLAEIYVHSIVKLHGVPSSIVSDRDPRFTSRFWKSLQDALGSKLRLSSAYHPQTDGQSERRGRSNHWKIY >RHN45051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11156748:11162094:-1 gene:gene39247 transcript:rna39247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VI-1 family MRYFYLNLVVLSLFFSIHSTHELQFAQTQVLLQLRKYLEYPTSLQIFENYNLDLCSLPSSEHLSIKCEGNSVTELKIMGDNHHKHVKVESFNGFAVPNHTLSKSFSIDSFVTTLTRLTSLRVLSLVSLGIWGPLSDKIHRLSLLEVLDLSSNFLFGSIPPKIATLVNLQILTLDENYFNTTMPNFFEPLVNLSILSLKNNNLKGSFPSSLCKIKTLGVISLSHNELSGELPNLAALFGLHVLDLRENGFDSEIPLMPKSVVTVLLSKNSFSGEIPVKFGELNQLQHLDLSSNRLSGVPPSSLFSLTNISYLNLAKNVLSGSIPQKLKCGSKLGFVDISSNMLSGLLPTCLESTSDRRVVRFGGNCLSVNSQAHQKHGSYCKESSSGKTKFWRWKIDAAIAIIVVVFLVLLAFGVLFYRNCHSHSREIYRHEMLPKIVQDNNSTTGVSSELLASARYISQTMKLGTQATPTCRQFSIEELKESTRNFDLSTYIGEGSAGKLYKGKLENGSYVMIRTLILRKKFSTQNLKARLDLLSKLHHPNLVSLLGHCIDGGGKDVTSTNKLHLVYEYVQNGDYRTHLSEFSPDKALKWSDRLAILIGVAKAVHFLHTGIIPGCFRNKLKTNNVLLDEHRFPKLSDYGMSMIAEEIENIQANGLNPKSCQREELEDDVYNFGFILFESLAGPIASEKGEAFFLNEKASFDSHDGRKRIVDPVVLTTCCQESLTIAISITTKCISPQSSSRPSFEDVLWNLQYAAQVQASADADSIIS >RHN64865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62557570:62558230:1 gene:gene27733 transcript:rna27733 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIPATTPTILIIKIVVGGIRRVVHLMAYNSPNSSSSVALDVTVKFVSIPARTFRSPCMTAKRCADTPPMTQNCSFLHHSSMLTPLHFNSRMPVAMIEEKRATKYKLAKVLI >RHN48515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48098989:48107902:1 gene:gene43239 transcript:rna43239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine--tRNA ligase MSKKQFSIIQLSCISILKLAALTFTAMPATPFSRSFLISQPRFNHYINPIFRSVTHQLSSSPFPFNVKSSTVRFHCALSQAAETDSTSGGRSGALSPTPPVTGEVKKIDVNPPKGTRDFPPEEMRLRNWLFNNFKEVSRLYGFEEIDFPVLESEALFVRKAGEEIKDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSVSLPLKWFTVGQCWRYERMTRGRRREHYQWNMDIIGVPGVMAEAELISSIVSFFKRIGITESDVGFKVSSRKVLQEVLKCYSIPENMFGKVCVIIDKIEKIPVDEIKKELKALGLSQEAVQELLQVLSVKSLTELEERLGGSGEAIADLKQLFSLAEKFGYSKWLQLDASVVRGLAYYTGIVFEGFDREGKLRAICGGGRYDHLFSTFGADDIPACGFGFGDAVIVELLREKGLLPELSLQVHNIVCALDEELQGCAAMAANILREKGQSVDLVLESKPLKWVFKRAARTNAERLVLVGNSEWQRGMVRVKILSTGEQYEVKLDDLK >RHN64655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60766877:60768527:-1 gene:gene27490 transcript:rna27490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MESDDECNTGLCLGLGMGATKVKKQKLVNKPVIAPCFDLAFELCSKGEPMNVHNNNKGERINLERHQYYQNVTCSTDSDNNNNNDRRKKLRLTKEQSSMLESTFKLHNTLNPVQKIALADQLSLKTRQIEVWFQNRRARTKLKQIEVDYELLKKHCQNLSDENKRLKKELQELKVVGQFPLCPQRLSSKPVVTHSTLCSSCEQKPLKHNEDQKNNLLIDG >RHN58456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1863961:1864668:-1 gene:gene20373 transcript:rna20373 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQNKAQLNHNQATLESFVQCDHLQEQVEIVDEKLQLDLQTQHNYYLHQAI >RHN53306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:864532:866803:-1 gene:gene28197 transcript:rna28197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative survival protein SurE-like phosphatase/nucleotidase MYLELERPSSMISLQSLFHMTGVKGKSNPHDFALAAQACIPIISTVLVDIKNQSYPGKCFLNIDVPNDVANHKGYKLTRQGKSIFKIGWKQVTSQTEGPIMSSDMTNTDIHTPKNYGTSSASPEHLLFAREVKGSVLDHDDTNYTSLKAGYITVTPLAGLSRVDVDCQAYFEEWLQSVSKPLSLEAL >RHN73650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18579801:18581538:1 gene:gene9489 transcript:rna9489 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIRNNDMGYSDPPPNANNSRNTNPPISNQGKSLGYSSPPPNANNGSQRSQTIRAQNQSYSNT >RHN58878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5673220:5676540:1 gene:gene20833 transcript:rna20833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MIAHIIFWLFLIPFSIINSSSNNFVVNGYCHGHQRSLLLQLKNNLIFNSEISSKLVHWKQSEHDCCQWDGVTCKDGHVTALDLSQESISGGLNDSSALFSLQYLQSLNLALNKFNSVIPQALHKLQNLSYLNLSDAGFDGYVPIEISHLTRLVTLDLSSTFISHQSLKLAKQNMAILVKNLTNIIELYLDGVAICTSGEEWGRALSSLEGLRVLSMSSCNLSGPIDSSLVKLQSLSLLKLSHNKLSCIVPNFFANFSNLTILQLSSCGLHGSFPKDIFQIHKLNVLDISDNQNLNGSLPDFPPLASLHYLNLTNTNFSGPLPNTISNLKQLSTIDLSYCQFNGTLPSSMSELTQLVYLDMSSNYLTGPLPSFNMSKNLTYLSLFLNHLSGDLPSSHFEGLQNLVSIDLGFNSFKGKMPSSLLKLPYLRELKLPFNQIGGLLVEFDIASSVLEMLDLGSNNLQGHIPVSVFNLRKLRVLQLSSNKLNGTIQLDIIRRLSNLTVLGLSNNFLSIDVNFRDDHQLSLFREIRVVQLASCNLRGIPSFLRNQSKLLFLDISRNDIEGSIPNWIWKHESLLNLNLSKNSLTNFEETSWNLSSNLYMVDLSFNRLQGPISFIPKHAFYLDYSSNKLSSIVQPDIGNYLPAINILFLSNNSFKGEIDESLCNASYLRLLDLSYNNFDGKIPKCFATLSSRLLMLNFEGNKLHGHIPDIISPNSCALRYLNLNDNLLNGSIPKSLVNCNKLQVLNLGNNFLSDRFPCFLSNISTLRIMVLRSNKLHGSIGCPTRTGDWKMLHIVDLASNNLNGRIPVSLLNSWKAMMRDEDVLGTELGHLFFDIDDNFHPMSFKAMLPALDKRVSTNLIPFLENMSRSIIDQEYAKLKILARYQVSINIVNKGHQMKLVKIQSALTYVDMSSNYLEGPIPNELMQFKALNALNLSHNALMGHIPSLVGNLKNLESMDISNNSLNGEIPQELSSLSFLAYMNLSFNHLVGRIPLGTQIQTFDVDSFEGNEGLCGPPLTKICELPQSASETPHSQNESFVEWSFISIELGFLFGFGVFILPVFCWKKLRLWYSKHVDEMLYRFIPRLDFVYEQHEGKRYKTLKWMY >RHN72824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11038644:11038920:1 gene:gene8585 transcript:rna8585 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDESASAAENAFRFEFTSLLELLETFLCGRRREEGVKGVGFDLCVVMGKRRREGF >RHN57322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38107106:38112209:1 gene:gene32847 transcript:rna32847 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metallo-dependent phosphatase MVLVLALGDMHIPHRAPDIPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKTLCPDLHITRGEYDEDSRYPETKTLTIGQFKLGLCHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEGGVVINPGSASGAYSSMTYDVNPSFVLMDIDALRVVVYVYELIDGEVKVDKIDFKKTSTSVPAL >RHN66454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12504021:12504233:1 gene:gene14445 transcript:rna14445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MIKQRQMITNNVTLTSLPSLPFDLVPEILCRLPVKSLLQFRCVCKSWKSLISDPKFAKKHLHMFTCQRLP >RHN52363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34331181:34333729:-1 gene:gene37028 transcript:rna37028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MELIRLDYCIFVTMLATLCLLLLLILTFSWWVFPILKHKKLKSCGLGGGPTPRFPLGNIDEMKIKDNVAYSYLSHDIHANVLPYFSSWQKLHGKVFIYWLGTEPFLYIAGAEFLKKMSTDVMAKRWGKPNVFRNDRDPMFGNGLVMVEGNDWVRHRNIVAPSFNPLNLKAMARTMIVSTNQMIDRWTSQIKLGKHEIDIEKEIIATAGEIIAKTSFGMEGENAREVLHKLRALQMTLFKTNRHVGVPFGKYFNVKKNLVAKKLGKEIDKILLSIVEARKKSLKNNSKQDLLSFLVKENSDVSQSAKTLTTREVVDECKTFFFGGHETTALAITWTLLLLATHEDWQNQLREEIKEVVGNNEFDITMLAGLKKLPYNMVDCIDCWVNMQMKWVMNEVLRLYPPSPNVQRQTREDIKVDNVTVPNGTNMWIDVVAMHHDPELWGDDVNEFKPERFVDDVNGGCKHKMGYLPFGFGGRMCVGRNLTFMEYKIVLTMLLSNFTFKVSPGYHHSPAIMLSLRPAHGLPLIVQPLN >RHN75945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46366781:46367158:1 gene:gene12209 transcript:rna12209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MTRYHQCASLGALELGNWTKGLMNYEEFLSDPVLGTYLIDFYEKCGSMEEALGVYKMMKEKDRMVFNAVISGLAMYGQVGAAFGVFGQMGKFGIPPNEHTFVGLLCGCTHAGLVDDGRCYFKHES >RHN39808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10693122:10697164:1 gene:gene45876 transcript:rna45876 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPGASGFGWDDAKKMIKVEKEIYRQWCKSHPTAVGLYNKPFPHYDSLDIVFGKDKAVDTVTEDIIDMTIEMEKENVQSTQEGGSGINLNDDDDDENFESQMPETPTANTTAPGSNPTNQPQHDSTNYRTRKRGGKRVKYNDDAYDSMSNSLNKLGEIYANGVENMKQVFTSCFVHEKHTADRRNQIVSILKEIEGLSDAEVVMAGMLITKDNNLCDYFFTMDTPGLRKRFVDIVLSNNGSR >RHN67921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31454684:31455255:1 gene:gene16177 transcript:rna16177 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLWSAKSTQNDIDSESSTAKSQIHELSLQIDELRKKLEDLEKRRDDLKSEVNTCDVQKSKLKAECAEWAQQSKELLSALASSEVDLREAERVRKLAKEGFANLKSLFPTF >RHN74799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36747878:36748326:1 gene:gene10919 transcript:rna10919 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGERKKLQSVKKRREKETREEGKVVGEIYQERQNQGRRANKIGDLFIH >RHN55994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26569671:26573804:1 gene:gene31304 transcript:rna31304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative periplasmic binding protein-like I MDLSRRNQVLAIVGTITHNEATIASELNDNIKNTPILSLTSFAGRQELLSPRLPHFIQLGDDINHHIQCIAAIVGEFRWKKVTVIYEHNNDDFSSDPEIILCLSNSLKLVGSEIESHLAFPSLSTLSDAESTIENELNKLKRKSNRVFLIVRSSLELANIICEKAKQIGLMEKGSVWIIPDEVAGLLDSVNSSVIFNMQGVVGFRTHFIEMNKAFRKFKFLFRRKFALEYPEEDSVNPSNFALQAYYAAKAIAEAANKLSQGKFRLEQFSEKILSSKFERLSAKTFSKNGQFLQSPTFNIINVIGKSYRELALWSSTLGFSKNIVRHQVMETTNATNDSNGVFSTVYWPGDFQSVPKGWIHSNEDRSLKIGVPANGVFTQFVNVTHDSRNGTLITGFSIGVFKVVVERLPYDLQYKFIPFNGSYDEMVYQVYNKTLDAAVGDTAIVEYRYHLVDFSQPYVESGLQMVVTEQPVKSKETWMFLDAFTKEMWLMIAAMHIFVGVVIWLIEREANPDLRGFGSMLWFLVTVLFYAHREPIRKPLAQVVLTPWLFAIFIVTNSFTASLTSITISQVKPSVLDIQTLKERNSPVGCNGNSFIVKYLTDVLKFKPENIRKINSMSDYPAAFEKKEIEAAFFVAPHAKVFLAKYSCKGFIKVGNVFRLGGFGFVFPKGSSLVADISEALLNMIESGET >RHN50415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6935404:6941174:-1 gene:gene34697 transcript:rna34697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling DDT family MEIDSSSSLLKLKESEVVVVVVDANGKQQVSRRNNSRGVRVIGNRIYDSENGKSCHQCRQKTMDFSAACKNPRGGKPCVIRFCHKCLLNRYGEKAEEVDLLNDWNCPKCKGICNCSRCMKNRGHQPTGILVHSAKASGYNSVSAMLAKKASEGLESNNIVVLPSKEANLEKELVVDLSWEPEKENSLCENIGLKVEDEKTKKMKREKLKEISNGNNVDNARENKKLKRPKLSNGVSDGDVKRNADAEMETKVEQSHGMIHCQMDAPKACSANDDSFIPNLMHGRICQGTVVIADGENAGAKSQTNAIALNAEKIKEEIPLPLGTEMTKILDLEFAPEDVGNALQFLEFCRVFGKPLDLKKGEAGAILRALLRKQNLRRGQNALVVEFQIKLLTLIVSDSDNESSSLTAGNGKNSWLKVLEDLITESGAALKDFPADWLNKGISGYNDLDLPKKLILLNFICDEALGTMKLRSYIDDQNAILAEEMKAAKSKVAEAKEKEKSLKQKLQDEMAKAAISNGTNLSISEYDALVSKIKIEAAKAHSELLEAKGTIPKRNQCCDAVRIEPEYLDNSGNAFWKLKSYNGEYAFLLQDVKIHDEDVVEVDEKWFVYGAEQKDEVTKYISSRRDWLPKLTSV >RHN53718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3561461:3562588:1 gene:gene28656 transcript:rna28656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MREDHMIQSYIDTYITILLVNMAARAGVYLLVFMSLVTAESSITPSEFIRSSCRATRYPVLCVQCLMGYASVIGQSERQLTITALSVSISRTRSSASFVKKISKARGIKPREYRAVQDCIENMGDSLDSLSQSVRELGSIGHAVGEDFVWHMTNVQTWVSAALTDDNTCLDGFAGPSMNGIVKAAIKDRVVNVAQVTSNTLALVNRFASSHRTAETP >RHN55487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18915679:18916812:-1 gene:gene30675 transcript:rna30675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein O-GlcNAc transferase MSNPTLLDPTSLTLFTLNPHTRIQPYINVKIQPYPLKSDKNAMSSVREVTLTSAPPKSSQCGVTHNIPALVFNARGYNGNFYHEINDIFIPLFITINSLFHDQDVILVIVDGMTWWYQKYVDLLNAFSPNHKIINTNNLTTAHCFPSAVVGLIKHGPVTINPKLLPNPKTLLDFSTFLKNAYIKEDTPLLFPSNNSKPLLTLVDRKGSSSRVILNQEEVVKLAKEVGFNVHVLDHSKDSTMANVYRLVHSSHVLLGVHGAGLTNLFFLRQGSVVVQVVPIGLEWASDTCYKNPSPFLGLEYVEYKVEANESSLSWDYGVDSLMVKDPKAYTEGKWEKSIVYLKNQNVKIDLVRFKKWLMKAYEKAKMFMNSTSQVAS >RHN82272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52469259:52469814:-1 gene:gene6421 transcript:rna6421 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKSAIPCSAAATVLAVGALTTKQPCSVAAARSTLSIPTPALPTTLSLPPEDSNTSRETLVPLRTMRASQREILVQSSSGVRL >RHN69893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47152036:47154509:1 gene:gene18424 transcript:rna18424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase Group-Pl-3 family MEYEYDCDFEEKLVREEGNNKNYYYVLKSKIGEGSFSTVWKAEQRPSGEDVAVKQVFLSKLNSHLRASLDCEINFLSSVNHPNIVHLLHFFQGNGCVYLVLEFCAGGNLASYIRCHERVHQLTAKKFIQQLGSGLKVLHSHGIIHRDLKPENILLSSHGADAVLKIADFGLSRTVRPGEYVETVCGTPSYMAPEVLQFQRYDHKADMWSVGAMLFELLNGYPPFNGRNNVQVLKNIRSCTCLPFSQSVLYGMDSACLDICSRLLCLNPVERLSFDEFYFHSFLRGKSLGP >RHN79953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33762812:33767803:-1 gene:gene3814 transcript:rna3814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MASSMANTFTLPRHFNKNLSSSSSSSSISKIFTFYTPISTSSPIITNASSRRAPTFPRAMSSSSSPSPSSSSSSSSSFGSRLEETIKNTVSQNPVVVYSKSWCSYCSEVKSLFKKLGTQPLVIELDELGPQGPQLQKLLERITGQYTVPNVFIGGQHIGGCTDTLKLYRKGDLETLLSDAVAKNKGS >RHN54340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8845546:8848699:1 gene:gene29357 transcript:rna29357 gene_biotype:protein_coding transcript_biotype:protein_coding MVEENREEGRSLAETPTWAVATVITLLVSLSFLSNGTLKKLVKWLGRTKRKSLLSALEKMQEELMLFGLLSLLMGHWIIFVAKICVKSSVLSSRFFPCALKESFGIVKHNVWSNLEYSNKTILKEQVNNDGLHNFCPKGHESFASYESLEQLHRFVFVLGITHVSYSFVAVALAMIKIYSWRTWENEAKTMAIQSLQDTSQSTSTSSIRLKRLSTFIFHQASHPWSHHKILVWLLCFSRQFWSSISRTDYMALRLGFITTHDLPLTYDFHNYMLRSMDEEFRDIVGVSVLLWIYAICCIFLNFHGNNFYFWLSFVPAILILIIGTKLHRVVVKLAVEVIDHSPYMKPHRFNLRDELFWFGKPRLLLRLIQLISFLNAFEMSTFLWSLVRN >RHN57986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42924156:42925321:1 gene:gene33584 transcript:rna33584 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQNYVIILSFMKAVLHHKKQNQSVYGTPLFYLARMVGRDY >RHN56954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35217515:35218322:-1 gene:gene32426 transcript:rna32426 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPKHSSQIFTIVLLFFITINAYSSSKLDQLSLASKPLDVEIKCGSCPCGNPCDEQLSPPQPPPTPPPESLPLPEYSSPINSNPPPSPPQQPSSPPPPPPRSRPPPTPPPPRFIYVSGEPTDVYYYYSAAQNRAVGFMVLACLGAMSITIIFG >RHN77679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8586652:8587480:1 gene:gene1160 transcript:rna1160 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKDARRVIQEGKTEGWGQLVELPENKRKEGIGFLNSKPGMFDPTRGSFHSAGFIHDSPETNAILDDAPGGVTPVFVTPGGACCNWIAVDIPSVTPRSK >RHN74869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37310214:37327699:-1 gene:gene10998 transcript:rna10998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MDSDQLRTYSTRHISKLTMVDAQLYTSARVSPNSLTYYGFCLANGSYTVKLHFAEIMFTNDQTYGSLGRRVFDIYLQGNPVKKDFNIAEEAGGVGKKIIKQFNDIVVTSNTLEIRLYWAGKGTKSLPNRSVYGPLISAISVESDSPSGSITAGAVVGIVFAAIVIIILVFGILRWKGSFGKKNYLARELNSLDLQTGIFTLRQIKAATNNFDISNKIGEGGFGPVYKGCLPNGTLVAVKQLSSKSKQGNREFLNEIGMISALQHPYLVKLHGCCVEGDQLLLIYEYLENNSLARALFGPAEHQIKLDWSIRQKICIGIARGLAYLHEESRLKVVHRDIKATNVLLDKDLEPKISDFGLAKLDEEDNTHISTKIAGTYGYMAPEYAMHGYLTDKADVYSFGIVALEIVSGKSNTLYRSKEQAFYLLDWAHLLKDRGDLMELVDRRLGLDFDKNEAMVMINVALLCTNVTSNLRPPMSSVVSMLEGRTVVPEFVSDSSEVMDEKNMEVLRQYYYQMEENSTSKSQTQSQSLLIDGPWTATSSSAVDLYPKALEVIAKSLGKNDWNFDIDPCSNQPNWATPKPAHPSPRLNIVENNVTCNCSISADNFCHVVEITLKGQNLPGTLPPELNRLRYLQIIDFSRNYLNGTIPKEWGSMMNIRNISLPSNRLTGSIPVEIANISTLIQLDLTANQMSGIIPRELGNLTQIRTLKMSSNNFTGELPATLAKLTTLRDFEISDNQFSGKVPDFIKNWTNIGTLTIQGSGLSGPIPSEISLLRNLSELRISDLNGLEYSPLPPLDNMPLLKNLILRNCKINGTLPKYLGTIPTLKHLDLSFNNISGTIPDTFDDINGAKFIFLTGNLLTGSVPAWKKNVDVDLSYNNLSISQGNQICQSDKLNLFSPSLAHNDIGTVSCLRDCPKSLYSLYINCGGKHAIVKKRSYDDDSDSSGAAKFHVSPTGNWAFSSTGIFIDGDQLGETYFPRNITTLTMADTELYMTARGSPISLTYYAFCLANGGYTVNLHFAEIMFTDDQTYASLGRRVFDIYLQGNPVQKDFNIAKEAGGVGKKVIKQFKDIVVSSNTLEIRLYWAGKGTQSLPNRSVYGPLISAISVESDSPPGSISTVAVVGIVVAAIVIIILVFGILWWKGCFGKKNSLARELNSLDVQTGIFTLRQIKAATDNFDVSNKIGEGGFGPVYKGCLPNGTLIAVKQLSSKSKQGNREFLNEIGMISALQHPYLVKLHGCCVEGDQLMLVYEYLENNSLARALFGPEEHQIKLDWSRRQKICVGIAKGLAYLHEESRLKVVHRDIKATNVLLDTNLDPKISDFGLAKLDEEDNTHISTRIVGTYGYMAPEYAMHGKLTDKADVYSFGIVALEIVSGRSNTMYRSKEEAFYLLEWAQLLHERGDLLEIVDKRLGSDFNKKEAMVMINVGLLCTNDTSNLRPPMSSVVSMLEGRTVVPEFVSESNEVMDEKKLQEMSQYYSQIDENSKVSKSQSRSLSIKDQCTGSCPLDSSSWDEKN >RHN47835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42901799:42905145:1 gene:gene42481 transcript:rna42481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein O-GlcNAc transferase MVHHHRYHQLRRPAEYIKDEESQNHVMDCSSSVYSKRTKPKLITFLFLITFLSCCYVFAPFFLGPSFSLSLLYSYGPENDANQDGVDMHASICSSVSTGTICCDRSGYRSDICVMKGDIRTHSSSSSIFLYNSISHGNNVSRTIEARKGEDEEDQVLQHEKIKPYTRKWETSVMDTIDELNLISKKVNSPSVRGCDVQHDVPAVFFSNGGYTGNVYHEFNDGIIPLYITSQHFNKKVVFVILEYHEWWITKYGDILSHLSDFPPINFSNDNRTHCFPEAIVGLKIHDELAVDSALMEGNKSIVYFRNLLDEAYSPRIKGLIQDEEREAQEKLRQQQQQQISLSPSSDSETSQGLQEIARTKPKLVIVSRSGSRAITNENLLVKMAEEIGFKVNVLKPQKTTELAKIYRVLNESDVMIGVHGAAMTHFMFMKPKSVFIQVVPLGTNWAADTYYGEPARKLGLKYIGYEIHPKESSLYERYDKSDPILRDPESINKKGWEYTKKIYLDSQNVKLDLRRFRKRLHRAYEYTILRSNLNLQHQPL >RHN63015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47624558:47627082:-1 gene:gene25663 transcript:rna25663 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNNLFTLAFICIIVAGVGGQSPSAAPTTSPSTPAATTPVSSPVAAPPTTPTTPAPVASPKSSPPATSPKAAAPTATPPAASSPPAVTPVSTPPPAPVPVKSPPTPAPVSSPPAVTPVAAPTTTPAVPAPAPSKGKKNKKKHGAPAPSPALLGPPAPPAGAPGPSEDASSPGPATTANDESGAETIRSLKVLGGLAMSWMAVVLFF >RHN79058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22012980:22013216:-1 gene:gene2754 transcript:rna2754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKKWITSISWEPVHLYAPCRRFVSASKDKIARIWDISLKKCICLNSHIESVTCVKWGGDGVIYTRYFLPLLFHLFLCL >RHN68443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35589206:35592951:-1 gene:gene16801 transcript:rna16801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MLISSFVKAQSSLSFSSCIKSRMTNFVETFYKDRKFPFIFTLFALLICVTIILFANTTINFTASNPIAFYPDNVKVQQPFNHHDPPALTDSKDHQEQPLDHDAPPVLTDSKDHQEEPLHHDAPPVLTDSKDRQEQLPPNQHEGGLLHWEKAFDNNVSVDLNMVDWKLCKGVLAVDYIPCLDNLKAIKALKRRRHMEHRERHCPKSTPHCLLPLPKGYKVPVSWPKSRDMIWYDNVPHPKLVEYKKEQNWVVKSGEYLVFPGGGTQFKEGVNHYINFIEKTLPAIQWGKNIRVVLDAGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPATLSVIGTQKLTFPDNGFDLIHCARCRVHWDADGGKPLFELNRILRPGGFFAWSATPVYRDDERDQKVWNAMVTVTKEMCWTVVAKTLDSSGIGLVIYQKPTSSSCYEKRKQNKPPICKNNESKQISWYTKLSSCLIPLPVDAAASWPMSWPNRLTSIPPSLSSEPDASDVFNNDTKHWSRIVSDIYLEAPVNWSSVRNIMDMNAGFGGFAAALIDRPLWVMNVVPIDMPDTLSVIFDRGLIGIYHDWCESLSTYPRTYDLVHSSFLFKSFNQRCDIVDVVVEIDRILRPDGYLLVQDSMEAIRKLGAILNSLHWSVTSYQNQFLVGRKSFWRPKP >RHN73529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17401058:17401567:-1 gene:gene9352 transcript:rna9352 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRHSQPLICFHASNIRTTPLVDKLVKRKMVISLSLSLSLSLSLSLSLSLSLSLSLSLSLFSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLLSLSLSLSLSLSLSLSLSLSLSLSLSLSLFSLSLHHYLWYLSITFYM >RHN44835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8999795:9002000:-1 gene:gene39001 transcript:rna39001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MEEKYKGFAIGIDLGTTYSCVGVWQEQNDRVEIIHNDQGNKTTPSCVAFTNSQRLIGDAAKNQAASNPTNTIYDAKRLIGRKYSDSIVQKDIKLWPFKVTAGANDKPTIVVNYKGKEKHFLAEEISSVILTQMREIAEAFLESPVKNAVITVPAYFNDSQRRATKDAGEIAGLNVMRIINEPTAAALAYGLQKRANCVDKRNIFIFDLGGGTFDVSILTVKNNDYEVKATAGDTHLGGEDFDNRMVNHFVSEFKRKHKEEIIGNPKAIRRLRTACERAKRTLSYDTEATIDLDSIHQGIDFYSSITRAKFEQMNMNLFEKCMDTVNSCLADAKMDRGSVDDVVLVGGSSRIPKVRQLLQEFFQGKELCKSINPDEAVAYGAAIQAALVSEGIKSVPNLILRDVTPLSLGVELQGNLMSVVIPRNTSIPVKKTKSYHTVYDNQSYVTIKVYEGERTRASDNNLLGLFDFSVPPAPRCSIPIKECFSIDSDGILNVSAEEETNASKKAITITNENGRLSREEIEKMILQAEIFKAQDMEFKKKVKAINALDDYLYKVRKVMEDNCVSSKLTTVDKEKINSAMIKGKSLIDNNQQEDAFVFVDFLKELESIFESSMNKIKKGK >RHN51659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21682657:21683466:1 gene:gene36141 transcript:rna36141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MCCFFLQISNPKKLMAFTTSILAEKKNKILFILGATGTGKTKLSINLGTRYPAEIINSDKIQVYKGLHIVTNKVPESERCSIPHHLLGIIDDPEYDFTMNDFCKNVLESIDLIIGNGRLPIIVGGSNSYIKKLVEEPTIAFLSKYDCFFIWVDVSLPTLFQYVGKRVDEMVESGMVDEIREYYAPGADNSKGIRRAIGVPELDSFFQIEKKNDIDDAQKEKILAEAIRKTKQNTCILVQNQLLKIKNMAQILGSMVYKIDSTEVFEALL >RHN57441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39189334:39191264:-1 gene:gene32986 transcript:rna32986 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSVRGGKKCVGKSCSRGHLEESGFAKGGGVELESLFGSYPNKRQPSEEEYNLSGLIACVCLL >RHN75155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39832263:39832985:1 gene:gene11317 transcript:rna11317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC-2 type transporter MVVLTSNTNDHNTSQLSSQIGLLFFVTGFWGFFPLFQAIFTFPQELMMLEKERSSGMYRLSAYFISRMVADLPMELVLPTIFLLITYFMAGLKATVINFFQTLFSLLLNVLVSQGLGLALGAVVLDQKSATTLASVIMLCFLLAGGFYVQNVPKFIVWVKYVSVSYYTYQLFIGSQYHSGDTYPCSSGQCLIDEFPPIKQIGIDLNGLGFAALALVIMLIGYRLIAYCALMRIGVTKKLA >RHN42001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34680717:34687591:1 gene:gene48384 transcript:rna48384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MRTRNADSSSKSTTPKKTPPARKSAGESPAAKRTPPSKPKNAKKTEASPATDPDQSQKKKLLASATTAKSGKWKSPGKPRTKVVAVTPNLEEKLEVEADETTVIDKVEEVGNVNDIDADTMECEKEGEQKPMEVDQPVVEKVCELVEKGKSTVEVEPIFSQDGSISLKQPGCTLVDLNISAADNEDYTGVKEGGETLKEEELTVQEGERGKEELKAPEGERGTDKLKAQEGERGTDKLKAHEGEKGTDELKEQGENGKEELDQQKSEKEMEEFKAVEGEEGKEELKESVGDKEKEELKEHGEKGKEELKEEDLENTKGEEANIIGHEEHSSVEVQAQLQKDVDLKNYGVERAKSGESIDLGEQERVQLEDEDPEEDPEEDPEEDPEELPEDTETLDEEHDEHMEFEAIAKQRRIRKEHEIFVGGLDRDTTEEDLKKIFQRIGEVLEVRLHKNSSTSKNRGYAVVRFANKEHAKKALSEMKNPVIRGKRCGTSPSEDNDTLFLGNICNTWTKEAVKQKLKDYGVEGVENITLVPDVQHEGLSRGFAFLEFSCHADAMLAYKRLQKPGVIFGHAERTAKVAFAEPIREPDPEIMAQVKSVFINGLPLHWDEDHVREHLKSYGEIVKIVLARKMSTSKRKDHGFVDFSTHEAALACVDGVNKSELGDGTSKIKLRARLSNPLPKTQAVKGGMCGGLRIGQARSEAYPRSERGFGRGRGRQPFNNRGNFSRGRSFNHHGGRGQIRRMGFWDEPDFSMHPDFHQRQFGPQGPMRGGHYAASRGGAPFAGPSRHYHDRAWYGIPDGGPIEPIPPRRPYSPGGQFYGIPDGGPGEPIPPRRPYSPGGQFYGIPDGGPGEPIPPRRPYSPGGQFYRIPDGGPGEPIPLRRPYSPGGQFDMPFMGRHVDDPYLYDDNMRGIKRPFHMTDPEPDYIGPNRFRPRFDYADPSVFHGTQHHDYAGAGRGQFPPDYYASDHGGAPYPSFYGGEGPHGHRYYY >RHN43239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44226562:44232077:-1 gene:gene49783 transcript:rna49783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MGIWKLGFLGLLCATFLFTIDAVELRRNQPTERISGSAGDVLEDDPVGRLKVFVYELPSKYNKKILQKDPRCLTHMFAAEIFMHRFLLSSAVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQRNHVCLKDGSITIPPYAPPQKMHTHLIPDKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVDEQDVPKLDTILTSIPPEVILRKQRLLANPSMKQAMLFPQPAQSGDAFHQVLNGLARKLPHDRSVFLKPGEKSLNWTAGPVGDLKPW >RHN75410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42075233:42083805:-1 gene:gene11608 transcript:rna11608 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRRFQKPPPPPTSDSKNPSDSDSDSSSDAESETPQEDLDIESYLNSFIAQNTEAPVPDSEFDPEQNFKGVIEAYHSEKVEKYYEKKIRRKVQHHNPFDFPPDYENWKEEDLRELWDNGNNTITGTGWDPALATPEEWDYVKWKHYKGQDVPIAPFYLPYRKPIPPVPTNHSAIKGPKGVIEELDRIEEFLKWVSYVFEDGSTYEGTLWDDIAQGKGVYTSPDGLVRYEGEWFRNDPEGHGVIEVEVPVIEPAPGSKLEEQMRAEGKIIRRDFMSSEEREWLDKDIEDSYLLANGNFEVPYYERDEWVKEFGEKPEKGRYRYAGQMKHGRMHGCGVYEINERIIYGRFYFGEILDDDEGCDDQTSALHAGIGEVAAAKARMFVNKPDGMVREKRGPYNDPQHAYLYEGEDVWMAPGFINQFYEVPDYWKTYAHEVDQEREMWLNSFYRSPLRIPMPAELEYWWSKAENSKPPEFVLLNKEPKPDPEDPSKLIYTEDPVILHTPTGFIINYVEDEEYGVRLFWQPPLKKGEKIDPEKAIFLPLGYDDFFGIAKNKRTIWERLKLAIKNVSKPWFDNLGKWAEEQKKISGMKKEALEKEVELIEAELCLEEAIEDMEEILRRKEKEEKRKAESGLPDEDVTKQDEKSRVDEEDEDDEEDEDDIAASSFGSIEQEPKTDQEKGKPGKSPFSTTSLAFASSSLISVVPSKLQQSFPFWNKVGSKPGLVSAQHIDHISDVKTAGSVSFPPVIGQKGRLKAVGKAHEKIVARSYSGGKFLEVSSMSQTRPCYTTSKSNSKERRMCGNMWLHAAPERDLDNILSLHSASSMYNFYPNIQREPHFKFKL >RHN54866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13051856:13053398:-1 gene:gene29962 transcript:rna29962 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFCSKISCAQSQLLFLTPPFRNYTTNAFSLPFHTTFNFFLHQLFHYFTAFYSTFNLFLLPPFINSIATALSSPFSTTFTYNSLINNPTTFLPFSFGTRFSGRITRTKFTHFNIIFLQESRRSSKQPNGFGS >RHN49143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52774678:52775430:-1 gene:gene43941 transcript:rna43941 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKNRQRKSSSFLSVFNIFKSSKHNYKAYEEGPKPVSKIWPSDEDKGTWGVADPVIDMRATAFIARYKKHISDSETHHQLQA >RHN46850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35314884:35315696:-1 gene:gene41384 transcript:rna41384 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGSSFGWISLVDDNDVITLFNPFKCSTIPIRPNLRYLYKVTLSADPITSPNDYVVAAIYGFGRLAFKTANQPFWIRVDKKEFSFNDVVFYKGLLIADQDGEYIVSFKINNPPGGHSYDRKFTYYEKIATAYSVPVQNYMGNTFFVKSFNDDIWKVRKYAIDRDRPASYTLDVYKLELDVQSGKLEQMNKLESLEDNILFVGIGDSISVPASCHSKLEKDSIYFIYDYDMDDLALGVYNMKDGSCQRQSLPISFKWMQPFWVLPQFQWD >RHN54362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9005330:9007309:-1 gene:gene29381 transcript:rna29381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-S seed storage protein, plant MQFDEVDVVLDSKITIDAFHHREVDVTEFGQVISACRSLFNTHFSNLKIEFNEQQATEVAHTLVGVATLTFVGRAWDLDENNVKTLVGKQSAKGIVKLDGKISLPQPKEKHKNGMALNCLEAPLDVDIKNGGRVVVLNTKNLPLVGEVTYIVRGSGRVQVVGVDGKRVLETTLKAGDLFIMPRFFVVSKIAENDGMEWFSIITTPNPVFTHMAGSSSVWKALSPRVLQTAFNVDPEVEKLFRSKRTADAIFFPPPN >RHN43857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49036105:49036639:-1 gene:gene50490 transcript:rna50490 gene_biotype:protein_coding transcript_biotype:protein_coding MVALNMQVCIVGVGADCEEENKFYLGRRVCIPINHSRACFAPDRTEG >RHN77044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3491237:3492714:-1 gene:gene451 transcript:rna451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MATKLCDSCKSTKATLFCRSDSAFLCLTCDSNIHAANKLASRHHRVTLCQVCEQAPAHVTCKADAAVLCISCDHDIHSANPLARRHERVPLTTTFNHQNSQQQSFFSENDHDATTEEAEAASWLLQTPSNPKFPDLNYSHYSYPEIDDFVTVNTKTDLPEQNSPGTTADGVVPVQSHSKTATEHEHEHYSDINIDFSNSKPFTYNFNHTVSSPSMDVGVVPDGNVMTEISYCSYQTTATETAPMTVAVPMTAVEREARVMRYREKRKNRRFEKTIRYASRKAYAETRPRIKGRFAKRSDLNMNLIAEDEYGVVPSC >RHN76639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51938700:51939062:1 gene:gene12994 transcript:rna12994 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMPSFFVVNTRSLQIGTRFCALNADEELEYGNVYVMIPMKKLHSAVTAADMGSLLLTAKRVSAKMNKILPSAESNLEMESQPKLDLDDIDQDLSMHRLSLCSRSKKPLLEIIAEETVC >RHN76595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51523898:51527942:-1 gene:gene12945 transcript:rna12945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MEQHRKRNILFNKYEIGKTLGQGNFAKVYHGRNIATNENVAIKVIKKEKLKKERLMKQIKREVSVMRLVRHPHIVELKEVMANKAKVFMVVEYVKGGELFAKVAKGKMEENIARKYFQQLISAVDFCHSRGVTHRDLKPENLLLDENEDLKVSDFGLSALPDQRRSDGMLLTPCGTPAYVAPEVLKKIGYDGSKADIWSCGVILFALLCGYLPFQGENVMRIYSKSFKADYVLPEWISPGAKKLISNLLVVDPEKRFSIPDIMKDPWFQIGFMRPIAFSMKESAVDDNIDFSGDDEGNGDGNSVEVVGVTGTKHSRRPSYNAFEIISSLSHGFDLRNLFETRKRSPSMFISKLSASAVVGKLENVAKKLNLRVTGKKDFMVRMQGTTEGRKGKLGMTVEVFEVAPEVAVVEFSKSAGDTLEYVKFCEDEVRPSLKDIVWSWQGK >RHN42630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39834004:39834782:-1 gene:gene49095 transcript:rna49095 gene_biotype:protein_coding transcript_biotype:protein_coding MALYSCAISVYVCSEAACSFSSEYVMTHIQKMKPRAEGKYPYDFIDCVNKVFKAIYGLLVLLFTMLFVSFVYGGGFCMVLYYRSTPGARTPTVICPPC >RHN55157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15641091:15641563:1 gene:gene30294 transcript:rna30294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidoglycan binding protein MNMMKLYQFELFLSLLFIIVNTTLSGYIPQLPHSLGKQIDKIKQSSPSDEQPTEEIQGLSQIKKHFDTFGYFPQFLLNFDDVLDEETISAIKTYQQFFNLQVTGHLNTETLQKNLVTAMWYS >RHN63635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52846299:52856130:1 gene:gene26360 transcript:rna26360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdate-transporting ATPase MSNSSSFCTQANALLRKNLTFQKRNVKTNIRLILLPLILCVLLVVLQHLIDSLLDTPDFKCGCACPNKRTTCNDSEKVCGVQYSNQNQLLTCPVPHPPEWPPLLQLPTVLCKENASCRFNMLFTADNHSFAQSVTDNMFPSAPTVDYADIMGSLSSNVLGSQSYPEDNNFLEPAFMSGLPIFYLQSQCPKNNSGFSFPYQMAGITSQQEIRCGQGINLWRNSSSDINNELYKGQTNEIASAFDFLNSNEDGFDIVVWYKSVFSRGIHSPSTTLLRIPRSVNLISNAYLQFLRGPGTKILFEFVKDMPKPETVFRIELASLLGGLFFTWVVLQLFPVILTSLVYEKQQKLRIMMKMHGLGDGPYWLISYGYFLALSVIYILCFVIFGSVLGLKFFTLNDYSIQFVFYFIYVNLQISTAFLLSSFFSNVKTATVTAYLVVFGTGLLACFLFQNFVEATSFPRGWIICMELYPGFSLYRGLYEFAQSAATISSNSGTGGMLWQDLNDSTNGMKEVLIIMLVEWIVVLFIAYYIDQVLSTGSGKSPLFFLKRFQKKPPSFEKLSIQRQGSKVLVQLEKEDVIQEREKVEQLLLEPTINHAIVCNDLKKFYPGRDGNPGKFAVGELFLAVPRGECFGMLGPNGAGKTSFISMMIGLTKPTSGAAYVEGLDIRTHMDGIYTSMGVCPQHDLLWESLTGREHLLFYGRLKNLKGSILTQAVEESLKNLNLFHGGVADKKAGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRKCLWNVIKLAKQDRAIILTTHSMEEAEALCDRLGIFVDGSLQCVGNPKELKGRYGGTYVFTMTTSSDHEKDVENMIRRLTPNANRIYRLSGTQKFELPKKEVKIANVFQAVEVAKRNFTVFAWGLADTTLEDVFIKIICFDDDVNNKYNNHSSLLFLLAIFHLV >RHN65479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2533870:2538531:1 gene:gene13322 transcript:rna13322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative post-transcriptional gene silencing PAZ-Argonaute family protein MIVVVWFILEVMFVYLDCFYSRGCLRIHKSYFHDNQKNITNLEGGIQCCRGFHSSFRVTQRGLSLNVDVSTTLLVKPGPVVDFLLQNQNVQKPNLIDWTKAKRMLKNLRIKANNTQRKITGLSEKSCMTQNFLFKHGNDANGEVQSSEITIYEYFKRHKKIELCYSVDMPCINVGKPKRPIYYPMELCTLVSLQRYTKPLAHKQRAQLILESRTSPRERKEALQYSLRNSRYGDEPMLRSLGITIEPSFTQVDGRVLQPPTLIVGRGQNFCPRNGSWNFNDKKLIEPVKIKRWAIVNFSSQCDTKHLCSMIKKCSEMKGMLIDPPFDIFEEDIRHRNESPFARVARMYEMVKAKLPGPPTHPLAQLLLCILPVSRNCNIYGPWKRRCLVDEGIATQCIAPTKINDHYIINVLLKINAKLGGMNSFLLTEFKHSIPLFSKIPTLVIGMDVSHGSQGQSEALSIAAVVSSRCWPQISRYKAVVRTQSSKVEIVQSLFKPVSDTKDDGIIRDGVSESQFNQVLNIELNEIIKACKCYDESWCPKFTLIVAQKNHHTRFFKANSPQENVSPGTVIDNTICHPKDNDFYMCAHAGRIGTSRPTHYHVLYDEIGFSADNLQEFVHSLCYV >RHN54490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9985926:9994054:1 gene:gene29542 transcript:rna29542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MAAMEGGISQELTPRYYALCTIGGMLSAGTTHLATTPLDVLKVNMQVHPIKYYSISSCFTTLLREQGPSVLWKGWTGKFFGYGAQGGCRFGLYEYFKGVYSNVLVDQHRSLVYFLSSASAEVFANLALCPFEAVKVRVQAQPSYAKGMFDGFPKVYAAEGARGFYRGLVPLLGRNIPFSMVMFSTFEHSVDFLYRNVVKRKKEECSKTQQLGVTCLAGYTAGSVGSFVSNPADNIVASLYNRKAESLVLAIRKIGLANLFTRSLPIRMLLVGPSITLQWFFYDTIKVLGGLPTSGEVATDLEEGSG >RHN65297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:993680:998914:1 gene:gene13122 transcript:rna13122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prefoldin MASKAEDRKEPVNEQAVANMYAAMRSDLNQIYTKITELEMEVSEHSLVLNAIQPLDQSRRCYRMIGGVLVERTIKEVLPAVQRNKEGLEEVVARLNETLEKKKREIAEFETKYKIRMRKADAEVNDESGKKEGSAQGVLVGPAGGSE >RHN63073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48124056:48126909:1 gene:gene25730 transcript:rna25730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MTRRQASSTRRNGGSFSFSGVLNSKLNCSPLLFITLVLLGAFLLIVYAYGGSGLFKVRKDVVSRVEGDFSCTFEVQSVIPILKNVYGENMKNILHVGPESCSVVSKLLKGEVEAWGVEPYDIEDVDKNCKALVHKGIIRVADIKFPLPYRPKSFSHVIVSDALDYMSPKYLNKTLPELVRVSADGVIIFTGYPGQRRAKPAQLSKFGRPAKMRSSSWWKEFFSETNLEENEVVVKKFEQDASRMSYNPTCQIFHIKSYN >RHN44494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5421961:5422954:-1 gene:gene38620 transcript:rna38620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVKIIKFVYFMTLFLSMLLVTTKEDGSVECIANIDCPQIFMLPFVMRCINFRCQIVNSEDT >RHN52577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36751990:36755964:1 gene:gene37270 transcript:rna37270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MSSSDESFVAHVAFLPSSGLGHLNPCLRTAELFLRYGCKITLITPKPTISLAESDLITQFCSSFPSSQLTQIDLNLIPLDPSINVNTVDPFWLQFETIRQSLHRLLPSILTKLSSSPSSSSPLSALIYDVSLISPLVSIMESFTFPSYIYFIAPARMFSFFAYLSVLSEKSNDGKHFSCIGDAVEIPGIAPIPKSSLPPLILQPNSLFEKILMEDSPKLRKLHGIFMNSFEDLEAEALAALNDGKVVPGLPPVHAIGPLVPCEFEKVRCSTNNCTDSVLKWLDEHPKGSVVYVCLGNKTSTRRDQIKDMANGLMSCGYKFLWVVKLKVVDKEEEEELENVLGNEMMKKVNEKGMVINKWVNQMEILGHPAIGGFVNHGGWNSIVEAIWHGKPILSWAHDGDQKIASEVVQISGVGVWPEEWGWGKQNLVKGEEISKVIKEMMSSESLRIKAGKMMEVARKAASVGGSIEVVIKKQIEEWKMNFQSI >RHN79308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27419042:27426527:1 gene:gene3083 transcript:rna3083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(A)-specific ribonuclease MLTVVRVHLPSDIPIVGCELTPYVLLRRFDSTLSTDDVLESSPLDGHFLRYKWYRVQSDKNVAVCSIHPSEPATMQCVGCLKAKIPISKSYHCTAKCFSDAWQHHRALHDRAANAVNENGNEEDEVFGRYNSSNSNLSGSASSASLTNGYAAPMYPAGIRNGNETWFEVGRSKTYTPTADDIGHVLKFECSVVDAVTKSPVGQASTLQTNRVIPAPSPIPRRIIRVDGMGHLDLDRRMTSSGTFTALSYNVLSETCASNDLYSYCPPWALSWPYRRQNLLREIVGYAADIICLQEVQNDHYDEFFAPELDKHGYHSLFKRKTTEVSSGNTIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTEAMIPTAQKKTALNRLVKDNVALIVVLETKVNNQLVDNLGKRQLLCVANTHVHVQQDLKDVKLWQVHTLLKGLEKIATSADIPMLVCGDFNSVPGSAPHALLAMGKVDPSHPDLTVDPLNILRPHSKLVHQLPLVSAYSSFARTAGLAFEQHKRRLDGGTNEPLFTSVTRDFVGTLDYIFYTADSLVVESLLELLDEESLRKDTALPSPGWSSDHIALLAEFRCCKNKSRH >RHN45459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21119896:21121572:1 gene:gene39803 transcript:rna39803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70 MVSEIVRWVRQPKIWRFVGFVSAVAGLLCYALSSSFNHLFGNWNLLKIILYTFFSFIICLAILFANKWQNSPSLRMRAHLVFSVFTITTVYSYFFDKVNGKPDVYSLVSGAAFAIMSLGLSKQSHFGFEVDLLYFFCGYLTLQFMKIKLFLVIAGAIFSYPLIILRFYLHVPTEYSEELTNTADYHVVQIDGSQVNFDSLVAPDFDAFPDSLTLVSQINSPSQQVNIGHISPNTSCWLSRSYITPSKKLNPSLTYHGPIVPFIRHNRSMGGSNMKHGVAPVPWLAERYASFDDVCFSTRF >RHN58123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43896316:43900084:-1 gene:gene33732 transcript:rna33732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MVLSQESADHNVSSDKVQQQVSPQREIALSQGIDDVAKNEVSDSDAMACDLVSSYSSPPEQHSQSPKTPSHELPPMQSGQDRPSIIRDKVTKDGYKWRKYGQKNVKGSEFKRSYYKCTYSDCPARKQFQLSHDGNYEDCSYIGQHNHPKPESNTVPPDTVSPVDRVLPVVEKGPPQSSFADVEGQENSSVEYESMPRQVTPLRFHPPSKVSRTDESKRLKKDNSNTDATGADVLTGESRVIVRTTSESGIVNDGYRWRKYGQKMVKGNTNPRNYYRCSSPGCPVKKHVEKSSQNTTTVITTYEGQHDHAPPTGRGVLDNTAVKLTPIRATILPNQSLESELNEEEQRNEDPSTKEDSISDAMIRDSSLKVPCRLSEHDTPSTTSVAEPAQS >RHN56313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29771586:29779900:1 gene:gene31694 transcript:rna31694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MVILLTMPHVFVIIIIINVLIVLSHISYATDTITKSASFPDGSTLVSKDGTFEMGFFRPGKSLNRYVGIWYKNIPVRRVVWVANRNNPTKDDSSKLIISQDGNLVLLNHNDSLVWSTNASRNSSSPVVQLLNNGNLVLRDEKDKNEESFLWQGFDHPCDTLLAGMKCGWNRKLGLVWNMTAWRNEEDPSSGDVIQIMVLTSNPESVILKGSTKIHRTGPWNAPSSGVVGLKPNPLYDFVFVNNEDEVYYRYTLKNSSVISIVIVNQTLAIRQRLLYVPESKTWSVYQTTPLDACDYYNVCGANAQCIIDGSPMCQCLLGFKPKSPEQWNSMDWTQGCVRSGNWSCGIKNQDGFQKFVGMKFPNTTNSWINRNTTLNDCKTKCFQNCSCTAYTYLDANGAVSGCSIWFGDLIDLRILQSSGQDLYVRMDIDSSNFGGLAHGHGKKVVMAVSITVSMLLVMLLVFSYVYIFKSKLKGKKEKNGAGHEDFELPFFDLATMIKATNNFSINNKLGEGGFGPVYKGTLLDGQEVAVKRLSGNSCQGLKEFKNEVILCAKLQHRNLVKVIGCCIEGDERLLLYEYMPNKSLDLFLFDPTQSKLLSWSLRFNILNAIARGIQYLHQDSRLRIIHRDLKASNILLDNEMDPKISDFGMARMCGGDLIEGKTSRIVGTYGYMAPEYVIHGLFSVKSDVFSFGVLLLEIISGKRNRALTYHERDHNLIWHAWRLWNEGTPHNLIDECLRDACLLHEALRCIQIGLLCVQHDANDRPNMKYVITMLDSESTLPEPKEPGFLIQRILVEGQSSSKSQTSSDNGITITQLSAR >RHN57577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40246450:40248657:-1 gene:gene33146 transcript:rna33146 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASITLCFNHNTNSSSSIVKLIFWEGTSRSLKGKHIAGEIMFEFPEMMVCHADSFFIGHPIPVLSIDDELMLGQTYFVLPIDRFAIDTLSVSSISAFGSCPNKSPIKFGKCPFEYLKGSNGRVVIKVMPEFITGLIYGDKEMNNNGTPYSNGFLCSTPELKKHYEALVKSKDQVWSPKLETITEYKVRFSPCRFIEWKEKEKPMELY >RHN51744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24086755:24089713:-1 gene:gene36257 transcript:rna36257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MDPNGSVDDKCENRLCVGNLDLRITEAALLKMFSPYGKIVSVDLLWHTRGPKRGEPRGFAFIHYSTKEEAILAREKMHGRLACSRPLVVRLAGERYALEKADSSTKAVSEGHKLHLTGGGIGQTSRSAKIAAIKNKLKSLEEDNSKTKKQKLSDNIS >RHN66257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10126650:10127768:-1 gene:gene14204 transcript:rna14204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MAQGNKVLSSQSLTPELPTLPFDLIAEILCRLPVKFLFQLRCVCKFFHSLISDPKFAKNHLQLSTKRHHLMIASMNNLADLVLYDSPIHSVFSTSTIVTQTQLYPPNTLTNGSKYVDVLCSCDGIFCCFLKPGSYVLWNPSIRKFKLLPPLEIRRRHDTFFISFGYDHFIDKYKVIDFASKNDVFVYTLGTDYWTRIEDIPHDYRIYGRGVFVSGTVNWYAEGESDDYLHFILSLALEDESYRQLFLPDSDNESYSWRLDVLRDCLCVFETSDMFLNVWIMNKYGNEESWTKLFHVPNMQDLHGFEDNCWWWSLGLYLSEDDRLLMEFNDFESYDRKLAVYDSKTVTFNILEFQNNCAQKHPIVYIESLISP >RHN61943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39529588:39532162:1 gene:gene24459 transcript:rna24459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MYKEKTKKGNMPTVWFSLKKSLHCKSEPSDVHDPKTRKHLSTILTKKGGGGRSGCSRSIANLKDVIHGSKRHIEKPPSCSPRSIGSSEFLNPITHEVILSNSRCELKITGFQEGINGGGNNASGGSSSGGSSTYVGTLRPGTPGPGGHPTMHYFNPSFRTSSTPPRKSPFLLSEGSNGFHGGGGVHSSNRMSLETDSNGSSTVTCHKCGEQFNKWEAAEAHHLSKHAVTELVEGDSSRKIVEIICRTSWLKSENHCGRIERVLKVHNMQKTLARFEEYREMVKIKASKLQKKHPRCLADGNELLRFYGTTVACSLGLNGSSSLCLSEKCCVCRIIRSGFSAKKELKGGIGVFTTSTSGRAFESIEIFDNEPSLRKALIVCRVIAGRVHRPLENIQEMASQTGFDSLAGKVGLYSNIEELYLLNPRALLPCFVVICKS >RHN50664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9081104:9083320:1 gene:gene34979 transcript:rna34979 gene_biotype:protein_coding transcript_biotype:protein_coding METVTTTLQSLASQMQNLSQQMLQQSVVLTKLSKKLGSKGVTQEGETSSSVSVPSEIVETEKRTKLNLSSATKGKEEVLWAEALVSRRLINFQPSPDIIHAESITEERQRKQENTVNKSSSPSQDARPPPLPEPPDAVPLSKEVSDPPSPEPPPDSGQPVTTIPRRAPPPRPPDLQDSVYGVVYAILKVKKTGNVQWRLGGPPLMSPEPPYAVCNSECGIVAEGEKVLEKAGVKREIGELCICLILMGHMHKSMPSILHSNILLHVSSSGQYITPLLSLVSPNEYSLPAMCHANSIQCELREIHNQKSQVQTNNIGLLQNEFRWMVIGSNVSAAQIWRTIKTKATYTANAAESLARYPGLDSLNKSNKLIPRVKSLINESSKFLSPSLVIRLATLTINLVMKHKWKDGNPLMSGIRQQVILLEVFKYFRIMFDTVMILSLEVLPNFYHHVMIHWCCQLGKRCMYTWDFFDDESAFRETTLIKKDRKYEHVSRTRACIQIGSKLHDLVWNALLCGYEIKLHSVPSFNMIFWCSSFFMSQQDSREFSFSMVVAVNEICWIMFFTLNGLLNFVFDRGKFWCKGKMLQQGMHISAFKLLNILVITCSSIPHYLSDYLLFMHGLDFSWTPLSSTV >RHN58192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44473136:44474180:-1 gene:gene33809 transcript:rna33809 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFLKVLKELTHRIEMPIKTLYYLMSICKLWMVFWVPLVYSLEDRSLRSGGSIDNEEISNALVPKKFGNSSFFVD >RHN47217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37991509:37991958:1 gene:gene41783 transcript:rna41783 gene_biotype:protein_coding transcript_biotype:protein_coding MCWVMRCSAVFLVSGFCRLLVFAAEFLGAILWVQTTLAVFSCAAAVLH >RHN58821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5193336:5193596:1 gene:gene20771 transcript:rna20771 gene_biotype:protein_coding transcript_biotype:protein_coding MTWHKIECDGSVKRKDLKVSMYNHSRLRKSGRTCYFGPHPKAGALGTVEDNPIDLCDEEDD >RHN55895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25215233:25216852:-1 gene:gene31177 transcript:rna31177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endonuclease/exonuclease/phosphatase, reverse transcriptase zinc-binding protein MFLFNNFIGELEVEDLNVLGRRYTWYHTNGRSMSRIDRVLISEEWSQAWWENSLWVLSRDVFDHCPLVLKHGGWDWGPKPFRFNNFWLENLNFKGVVEEAWRNQNVSGWMSFVLKEKLKGLKVKIKEWNKEEYGGMEERVEKLVEEINDLDELSEEVRGLSEGEEALWKRVLVKKYGEGVSSLLDGSNLVWPRYASLWWKEVVKLGDYGGLDWFNSVVKRKVGNRLATSFWNDRWRGVKCFRLKYPRLFSVSNQKEALVGEMREILEVGPGWKFLWRRNLFVWEEELLLSLREDLEGMVWSQEADVWRWGLEESGVFTVKSVYLSLEEVVLSEDRWREDEKRVFKCLWKIPAPSKVVAFGWKVFHNRIPSKVNLVLRHVLPYEESSLCAVCARMEETSLHIFLHCDLAISVCLRIMIWLDCLFLTPSNLFVHWECWGGGERNKKVKRGRWLIWLATIWVLWKARNDNIFNQKIPILDDIVEEIKVLSLRWLLSRTQSPICLFYEWNWDPISCLVRVGSRHSWRLVVCFDFGSAAGGWYC >RHN55917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25395498:25397326:1 gene:gene31203 transcript:rna31203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTNYIVIFFLALFLIVIDVSAILECIFDIDCPTKKCAPPLVAKCDMYECYCRCPPNN >RHN53100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41985258:41985541:1 gene:gene37863 transcript:rna37863 gene_biotype:protein_coding transcript_biotype:protein_coding MMYHIPCVSCTDTCPILLDTYQESIRRLNVTFFKNNSPILFRYIWDTSNWRWKTNLCELPDVSIIFGIYVID >RHN71553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:984995:986553:-1 gene:gene7165 transcript:rna7165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MSAKYTPISAVSGGRKNLKMCVRVAHIWLIREKKVPTSIIFMNMLLVDEKGGRIHATTRKDLVAKFRSMVQEGGTYQLENAIVDFNESPYKVTSHKHKLSMMHNSTFTKVHLPAIPMNVFEFKPFNEILSSTVEEVSTDVIGHVIERGDIRETEKDRRKSRVIDLTLEDLENNRLHCSLWGEHGDKIVTFFGNHDNDTPTILILQFCKTRVYLGAMGVVNAFNGTKLILNGDLPDVAAYMTRMKNASIQFTRSVSQISTNSSASLSDDLLNTNRMTIESMIESTEVTSRGGLKILINDDDGDDTDVASSVVYREVFRNV >RHN69693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45582797:45589664:-1 gene:gene18199 transcript:rna18199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGHCCSKSIAVDNETVATDHHHKPPPHHTPSPLSVSQPVSGVSDATPGRQTPATSFSTSPFNSPLPAGVMPSPATKTPGRKFRWPLPPPSPAKPIMDLYRKMKPKDGPIPEEHGEGGGGGERTLDKSFGYGKNFGAKFELGKEVGRGHFGHTCWAKGKKGELKGISVAVKIITKAKMTSAIAIEDVRREVKMLKALSGHRNLVKFYDAFEDVNNVYIVMELCEGGELLDRILDRGGRYTEEDAKVILLQILNVVAFCHLQGVVHRDLKPENFLFVSKDEDAVLKVIDFGLSDFVRPEQRLNDIVGSAYYVAPEVLHRSYSVEADLWSVGVISYILLCGSRPFWARTESGIFRSVLRANPNFDDSPWPSISPEAKDFVKRLLNKDHRKRMTAAQALSHPWLRDEKNVVPLDILIYKLVKSYVRASPLKRAALKALSKALPVDEVTYLRAQFSLLEPKDGCISLENFRVALMKNATDAMKEARVPDILNLMDPLSYKRLDFEEFCAAAISVYQLEVHPEWERIATTAYDYFDEAGNRVISVEELAQEMNLGPSAYSLMGDWIRKSDGKLSLVGYTKYLHGVTIRSNTRHR >RHN53292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:719529:731306:1 gene:gene28183 transcript:rna28183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloride channel, voltage gated MLSNHIQNGIESARLAWSRIPNSDESPFVLDDDGVGILKKNDGSAVESLDYEVIENFAYREEQAHRRRKLYVSYLLVVKWFFALLIGICTGLAAVFINISVENFAGWKYSVTFSIIQKSYVAGFIVYILINLVLVYSSVYIITQFSPAAAGSGIPEIKGYLNGVDTHGILLFRTLIGKVFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLNSRWFNVFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAIVAVVVRTAMGWCKSGKCGHFGSGGFIIWDVSDGQEDYSFAELLPMAIIGVIGGLLGALFNQLTLYITTWRRNHLHKKGNRVKIIEACLVSVLTSVISFGLPLLRKCSPCPEPDPASGIECPRPPGMYGNYVNFFCSKDNEYNDLATIFFNTQDDAIRNLFSAKTINEYSSQSLLTFLVMFYGLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKYYRKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNDGIYEEQARLRGIPLLDSKPKYEMRNMMAKEACGSGRVVSLPRVVKVSDVVSILQSNTHNGFPVIDHTRSGEPLVIGLVLRSHLLVILQSKVDFQHSPLPSDPRTGGRSIRHDSGEFAKPVSSKGICLDDIHLTSEDLEMYIDLAPFLNPSPYIVPEDMSLTKVYNLFRQLGLRHLFVVPRPSRVLGLITRKDLLIEDAENVNTLELQATSVRIQHQNKRLTTRNPDAERPLLNGLLA >RHN38432.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000018.1:34066:35590:1 gene:gene50751 transcript:rna50751 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSTHVKKHATYLFSKSPCSLPLNTTVPFTQVSKDYQHSKMIGMKTVAKCWNRKEKKTEF >RHN75353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41517688:41517978:1 gene:gene11538 transcript:rna11538 gene_biotype:protein_coding transcript_biotype:protein_coding MCVPLGLDFCVFLLVCAAVVAVLVQQFGFPMLVSLGLFIGEAGVCLVLASISQPHRLMPFLSWDVLGWWCSVYMRHLIILIPFIREFLSAPCSFSM >RHN52946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40645083:40648241:1 gene:gene37688 transcript:rna37688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MESSEIFSQRSNNGLNLKATELRLGLPGSESPERDNDLLEEKNAYSLCMLNSLFSGAKRGFSDAIDMRKSSNQQGSVAKDQTNPLNEKKKSQISGSAAKEQVVGWPPIRSFRKNSMATQSQKNDNDDVEAKSGCLYVKVSMDGAPYLRKVDLKIFGTYKELSSALEKMFSCFTISQFGSHGVFGQGNVCESRLMDLLHGSEYVLTYEDKDGDWMLVGDVPWKMFIDSCKRLRIMKSSEAIGLAPRAMEKCKSRN >RHN64318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58123828:58133817:1 gene:gene27122 transcript:rna27122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MAASADIRGILTAFNPSLDFFAISTGDGRIKIWDALKGQVQTEFADITSTHETNIFDQKGHLSVDYTCIKWLSFQSKRKRKHSSSSLLLLGTGSGDVLALDVSAGQLSWKINDCHPGGVRAISSLANGSTFYTAGADGMICATDFSTGKLLEKFKASSKAVSCISVSPDGKKLATAAAQLKIFNCSNKKKIQKCSGHPGSVRCMVFTEDSKYILSSAVGERYVAVWSIDGSKKQSASCVLAMEHPAVFLDSRCIDKGEHDEAGICVLAISEAGLCYLWFGNSIEELRNAKPTKISLSSEDMSTKNYKGALPAIYAANLQSTQKPASGQVFLVYGMLVKPSFQNIPVHSGADVKLSVSRDGVLLPKSQSRLKSKNRTDVQRVTALDRANAEDALLPIPKVFDSREKEESFQDSLDKDVMDDLFTSGIADSMEIDDGIVQSETNIISMEDRMRSLGLLYNESDCASNFELCSKLLKGIDLESILPKKKVRAAVLSIEPSEAFKLLEVLLAIWQSRSSRREYVLPWMYSILVTHGHNAAEESVTHMLDSVYKITNSRGATLQSLLQLSGRLQLVTSQIDKASQRISHSVHDIQTEESEDEAEFYQEDEDGEKDGEEDDASEISSDNEN >RHN45707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24776778:24778125:1 gene:gene40091 transcript:rna40091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stomagen MGNTKLPKVLMLLLTLILAAKVIQGIETKGWISQSSQPQRELSLKGSINEAWKQRNSRRLMIGSTAPTCTYNECRGCKYRCRAEQVPVEGNDPINSPYHYRCVCHRS >RHN74837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37062308:37066325:1 gene:gene10962 transcript:rna10962 gene_biotype:protein_coding transcript_biotype:protein_coding MREFNISLLGKWCWMMLVDREGLWYSMLVTRYGQNGGMLREGVRRYSNWWREVLRVRDGGGGGLFKESISKKVGDGMVTFFWTDPWVGGVLLAVPFRRLYDLSVNKNCLMGEMFVLGVEEGERLGSGVGGCGIGRRTWFGSIGYRCLILFYKFRLRPMELAP >RHN47558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40599032:40599424:-1 gene:gene42172 transcript:rna42172 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRGLYDNILLPKKDFYFDIELFYGFIGYRSISTNTFVFRYDMISLTLLDVIVILELSLIGEEASSAYISPIPNSRIAFSKNTFRYSKFLASMLKSSMSLMQNTTQFCCIDSKSSTSIHYDSEDVHLLF >RHN56218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28905602:28906602:-1 gene:gene31585 transcript:rna31585 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDAAMGMNSSNADAMNGSLSAEKPAERAMVLSVLKNSIEEAKIVNSDRLSELQDAREGNQILTKQFQELQAGRVNVAKWKRPKRILLKRSKDAALKAVALREKAHSFSEIEWEGYSHKLPFHFLSHLLCDWSCTEIYLNNLSL >RHN81897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49638204:49639158:1 gene:gene5994 transcript:rna5994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-alpha MDKEKVPISIVVIGHVDSGKSTTTGHFIHKLGCIHKSVIESLEKEAAEMIKRSFKYAWVLDKLKTERERGITIDSSLSKFETNKYSCTVIDASGHRDFIKNMITGTSQADCAVLIIDSTTRGFEAGISKDGQTREHALLAFTLGVNQMICCCNKVFLFSAPVKFYLLHNDLTG >RHN47975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43951167:43953478:-1 gene:gene42638 transcript:rna42638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator C3H-WRC/GRF family MRIRKRQLLFSSSDSLSPLHLSDPHLINQSPVVVQQGDGPKPCSSQSQEHVSAGLSLDRYQPSDQTLPLIGKLNNGYDYPSSVEESGVHKQHNKLDPLDKKVRGEEKESDDSGGNKSNHTSKGDILVVSQALPSSSTFSEDEIWLEGEKTIPLKKRKGRFQNNGMEGNDSKKVKAKMNTKMNKKCSLGKDDEESETKVNIKKKVTKRGSAVMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRNRSNIGFSTTTTEPNNAVDSASSSNSDLEKKIIDENDDTLGNDDEKKPVVIAKKRMKLGMVKARSMISLLGQTDNKVVVNENNK >RHN39415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6955840:6958745:1 gene:gene45436 transcript:rna45436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Snf7 family protein MSFLFGSRKTPAELLRENKRMLDKSIREIERERQGLQSQEKKLIGEIKKNAKQGQMGAVRVMAKDLIRTRHQIEKFYKLKSQLQGVALRIQTLKSTQAMGDAMKGVTKAMGQMNRQMNLPSLQKIMQEFERQNEKMELVSEVMGDAIDDALEGDEEEEETEDLVNQVLDEIGIDINSELLNAPASTSAAAPAAKNRIAQAEPTGNDDGGIDDDLQARLDNLRKM >RHN55135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15457264:15461271:-1 gene:gene30269 transcript:rna30269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 13-hydroxylupanine O-tigloyltransferase MNKTSMAWKDPVEVIRNAIAQALVFYYPLADSGGKLMVDCNKEGVMFIEADAM >RHN81381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45387274:45389552:-1 gene:gene5410 transcript:rna5410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MKLFVFGDSYVDTGNYFTSPSYKLPYGITFPGKPSGRFSDGRVLTDYVASFLNIKSPRPYSLKKSSELQYGMNFAYGGTGVFHTLIDGPNMTVQIDTFEKLIQQNVYTKPDLQSSISLVSAAGNDYLKFFVKNGRSTKGVSKFTASLVKQLSLNLKRIQSLGINKIAIVLLEPIGCLPIATENTSYEKCNGTLNTVAMNHNHLLLQAVEELNKEIGKSVFVTLDLFNSFLSTIASMQRNHEANSTLMNPLQPCCVGVSSSYYCGSVDEKGAKKYSVCDKPGLSFYWNNFHPSQNGWHAVYKRVQSSLRKIEDKNL >RHN47029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36589101:36594523:-1 gene:gene41578 transcript:rna41578 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MEASSSSSCRSSSTTSLCSYHVFLSFRGEDTRKGFTDHLCAALERKGITTFRDDKDLERGQVISEKLINAIKDSMFAITVLSPDYASSTWCLDELQMIMECSNKGLEVLPVFYGVDPSDVRHQRGCFEESFRKHLEKFGQHSDRVDRWRDAFTQVASYSGWDSKGQHEALLVESIAQHIHRKLVPKLPSCTENLVGIASKVEEVNKLLGMGLNDVRFIGIWGMGGIGKTTIARAVYEAIQCEFQTTCFLENVREISEANGLVHIQRQLLSHLSISRNDFHNLYDGKKTIQNSLCRKKVLLVLDDVNEINQLENLAGKQDWFGPGSRVIITTRDKHWLITHGVHQPYEVGMLFQNEALNVFCLKAFKGDKPQEGYLDLSKEVVEYAGGLPLALEVLGSYLYGRSVDLWHSAIKNIRSAPLREIQDKLKISYESLDAMEKNIFLDISCFFKGMKRDKVINILENCGYHPEITIQVLIDRSLITLDRVNNKLGMHDLLQEMGRNIVIQESPNDPGKRSRLWSKEDIDRVLTKNKGTEKISSVVLNSLQPYEARWSTEAFSMATQIKLLSLNEVHLPLGLSCLPSSLKVLRWRGCPLKTLAQTNQLDEVVDIKLSHSQLELLWQGINFMENLKYLNLKFSKNLKRLPDFYGVPNLEKLILKGCASLTEVHPSLVHHNKVVLVNLEDCKSLEALPEKLEMSSLKELILSGCCEFKFLPEFGESMENLSILALQGTALRNLTSSLGRLVGLTDLNLKDCKSLVCLPDTIHGLNSLRVLDISGCSKLCRLPDGLKEIKCLEELHANDTSIDELYRLPDSLKVLSFAGCKGTLAKSMNRFIPFNRMRASQPAPTGFRFPHSAWNLPSLKHINLSYCDLSEESIPHYFLQLTSLVSLDLTGNNFVTIPSSISELSKLELLTLNCCEKLQLLPELPPSIMQLDASNCDSLETPKFDPAKPCSLFASPIQLSLPREFKSFMEGRCLPTTRFDMLIPGDEIPSWFVPQRSVSWEKVHIPNNFPQDEWVGFALCFLLVSYAVPPELCNHEIDCYLFSPNGKQLISTRRLPPMDPCYPHLYILYLSIEQFRDKILEDDYWDDVEFSLKCYCCQSLQIVNSGCRLVCKQDVKVFQDHMVVSP >RHN48791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50323404:50324011:-1 gene:gene43544 transcript:rna43544 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLDTTSSFTGWNSLSHEGLNVDWQRAPTVSNSHIVKKMLRLDIPHDNHPTGRYLKHYINALSIIRNHRRATQTCGISIFQLL >RHN61749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38004771:38007744:-1 gene:gene24241 transcript:rna24241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MDKFYEEVQEPVSPHGQYFNSSVICSYVFGFLEFAIPFDNSLVIPLLKDVFIPINPRFSSIMVKDKEGKMRWRKVEVKPEEHLKIPIFPETINSSSCELYDNYFNDYVTSILAERTPQDKPLWEIHIINYPTTNAACTIIFKLHHALGDGYSLMGALLSCLQRADDPSLPLSFPSQRPQLDSKYAKKSLFKKLCVDISSFFSSISDFGSSILKTTMIEDDKTPIRSGYEGNESHPVTMSTISLSLDQIKEIKSKLKVTINDVVCGMVFYGIRLYMKEMNEKTKIANSTAVVMLNTRNIGGYRSLMEMQKPEFKGLWGNQISFLQIPIPKMSQSNISNPLKFVWNSRELIKRKRRSFSVYLVALLMDLERKLFGHEAVAKVIYNTIGNSSVLMSNLVGPVEKMALANHPVNGLYFTATGGPEDLTITIISYEKILRIAMKTQKGFIDEHKLKFYIEKAAEIIFKAAME >RHN79778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32049992:32051225:-1 gene:gene3614 transcript:rna3614 gene_biotype:protein_coding transcript_biotype:protein_coding MRCESLTSSCRRMLLSQKLLEAGCIEICLPTGTEGIPDCFEHQSWGHTVSFWFRKEIPSISSVILFSDPKVPFVFGDDDGDTELRVKLCAMAMNILFHAIGG >RHN63983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55646877:55652852:1 gene:gene26752 transcript:rna26752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MEQPPMEKKARKKIEWFLFGRQVYSAHKKLNPDLSISIGAVKFAANILNNMLKKLTEEAVKIESNTLDVKEMHAVVNQVFPEKMAKLAHQHGLNAVPKDAKKIRRTKKQKVTRNPKQVDPQLALSIENLQKIQDDLAELNDKKYDQLREIEQKLNETIDPVYIKRDEMIKSIPNFWLNAFLRHPTLKKVMNEEDQKIFKYLSSLDIRAHKDIKSACTTFTLKFNPNPYFEDSKLSKIFTYLLEGTTDHPLRWKVGNITATPIRWKEVKGIPDGTDHEEKGNNRAPVDVRFLSWFCDCEQKDDMAYIDYAVANIFANPLAYLKNEEPDVKDADDEVIEVPFFLEKIKEKDEDDYEEKDDDKGVDEEGN >RHN53297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:784608:790446:-1 gene:gene28188 transcript:rna28188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SNARE associated golgi family protein MGSENLSQSSSGHVEMSISGLHEKHKMELENLTLTTQPFKTLKYSTLAVIQYIKKTMLYLLAKGGWLMLFSVAVGTLGVVLMTLGCLHEKHLEEFLEYFRFGLWWVALGVASSIGLGSGLHTFVLYLGPHIALFTIKAMQCGRVDLKSAPYDTIQLNRGPSWLDKNCSQFGPPLFQSEYGTRVPLSSILPQVQMEAVLWGLGTAIGELPPYFISRAARLSGSRMDAMEELDSEDKGVLNQIKCWFFSHTQHLNFFTILVLASVPNPLFDLAGIMCGQFGIPFWNFFLATLIGKAIIKTHIQTVFIISVCNNQLLDWIENEFIWVLSHIPGFASVLPKLTANLHAMKDKYLKAPHPVSPNTKGKKWDFSFTSIWNTVVWLMLMNFFIKIVNSTAQTHLKKQQESEVAALTKKSDSDTQ >RHN61203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33802787:33820492:1 gene:gene23641 transcript:rna23641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MQGLQQQQQQLASLLSAALPNLNKDSSDDPSSRLAALNSLHRAILHPHNSLLISHSASFLSQNLSQLLSDKSFEVRQAAVTAHGALCGVICSIPVNSNGRQNHVILNTLVDRFIGWALPLLSNVTSTDATKELALQGLREFLNVGGNERYALPILKACQALLEDDRTSLALLHAILGVITLISLKFIRCFQPHFHDIVDLLLGWALMPDLAKSDRRVIMDSFLQFQKHWVGGLTMSLRLLTKFLGDMEALLHEGISGTPQQFRRLLALLSCFSTILQSTASGLLEMNMLEQIIEPLNGLLPRLLRCLPLIGQKFGWSEWIEDSWKCLTLLAEILQERFSSFYSLALDILFQSLEYQTGFGKISSVQVHGVLKTNLQLLSLQKHGLLPSSVRKLLKFDAPVSQLRLHPNHLVTGSSAATYVFLLQHGNAEVVDEAVALLIEELELLKSVIGKDTDDSDQFNFDIDSKTFSKLELFAVIKFDLKVLLACVSMSGDSSLIGQTERATLYLTRLEKLLSFITEKMDPFELPIQAFMELQFAAVKTLERLNSVEFLIKCSLREHNRDEDSVEFQAKKEDDDDKFCDGFSAVITENLEKYSKFLVKALHVSSPLAIKIAALDWGQKLCENVIAVNKIASTKGFSYESCGNAGVIMNLVFSLLGGTFEREPEVRSNVAITLEMFIQARLLHPVCLYPLAEVILEKLGDPSTEIQDAYVRLLSHILPTTIYTCGLYDYGRFRPVDLGFGNTTKMHWTQLFALKQLPLQLQSQHLVSILSYISQRWKAPLSSWIQRLVHSCQSSKDAISNQPEETGNFGANCPWLDIQVDEGMLERACSVNNIAGAWWAVQEAARYCISTRLRTNLGGPTQTFAALERMLLDIAHLLQLDNEQIDGNLSMIGSSGAHLLPMRLLLDFVEALKKNVYNAYEGSVILPSATRQSSVFFRANKKVCEDWFSRICEPMMNAGLALHCNDAVIQYCTLRLQDLKNLSVSALKEKPRAQVTDNLHNIRGRNKGDVLKVLRHISLALCKSAEPDSLIGLQKWVSATFSSLLGDENQSFNEFGTVGPLSWISGLVYQARGEYENAAAHFTHLLQTEESLSSLGSDGIQFVIARVIESYAAVSDWESLESWLLELQLLRAKYTGRNYTGALTMAGNEVNAIHALARFDEGDYQAAWSSLDLTPKSNSELTLDPKLALQRSEQMLLQSLLFQKEGKSDKVLHDLQKARSMLEEPLSVLPLDGLAEATPLAIQLHCIFLVEEDLKLKSTDEKAKQLQSSINSLQPFPFSISKIRQDCNPWLKVLRVYQTISPTSPVTLKFCMNLHSLARKQRNLLLANRLNNYIKDNISACPEEKHRNLLVLNLQYESILLQYAENKFEDAFTSLWSFLRPFMSSSTSRIFDVEERILKARACLKLAGWLRRDFSDWNPESTVRKMLADFDVTESTSIGKDVNNENINCKQNLGSIIEEIVGTTTKLSSRICPTMGKSWISYASWCFKQAGGSLPVQSETTLDSCLFSPILVPEILPERFRLTKDEVKRIKSLLLCLLQDNIDMEGFIDEQEEESSGYDSAEHSSTENPLQKLVTHVVNIIETAAGAPGAENSGGERLSAIISSQLRICLLNANLGPEESDIVSILDDFVDIWWSLRRRRVSLFGHAAHGYIQYLSYSSSHLGHSQMLGSENDTFKQKTGSYTLRATLYILHILLNYGVELKDNLESSLLVVPLLPWQEVTPQLFARLSSHPEKVVRKQLEGLLIMLAKNSPCSIVYPTLVDVHAYEEKPSEELHHVLGCLRELYPRLVQDVELMINELGNVTVLWEELWLSTLQDLHTDVMRRINVLKEEAARIAENATLSHNEKRKINSARYSAMMAPIVVALERRLASTSRKPETPHEAWFQEEYKNPLKSAIISFKTPPSSSSALGDVWRPFDSIAASLASYQRKSSISLQEVAPRLALLSTSDVPMPGLEKQMKVPDSGKATDLQGVVTIASFLQQVTILSTKTKPKKLGILGSDGQKYTYLLKGREDLRLDARIMQLLQAINGLLISSSSTRSKSLGIRYYSVTPISGRAGLIQWVDNVVSIYSVFKSWQTRAQHAQCVALGTANTKSSAPPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPHEVKYKVLLDLMKEVPRHLLHQELWCASEGYKAFNSKMKRYSGSLAAMSMVGHVLGLGDRHLDNILIDFCGGDIVHIDYNVCFDKGQRLKIPEIVPFRLTHMIEAALGLTGIEGTFRANCEAVIGILKKNKDTLLMLLEVFVWDPLVEWTRGDFHDEAAIGGEERKGMELAVSLSLFASRVQEIRVSLQEHHDQLLTSLPAVESVLERFADALNQYEIASSIYHQADQERSSLTLHETSAKSIVGEATRNSEKIRVSFEIQAREFAQAKAMVAEKAQEAMTWAEQHGRILDALRCSLIPEISSYFKLSDIEVALSLTSAVTLAGVPLTVVPEPTQVQCHDIDREVSQFIAELDDGLTSAITCLQAYSLALQRILPLNYLSTSAVHCWAQVLELSVNALSSDILSLARRQASELFAKFHVDSTDSIKRSYDDLCLRVDKYALEIEKLENECTEIESSIGLESESITKDHLLSAFMKFMQSMDLLRREGGMSSVQSRYDGTNSTRLLGELEEEREKVLTILNIAVSSFYNEIKHRVLNIYSDLSGGRNQYNMLRNDYGTIFAWFEEQVEKCNLLTEFVNDLRQFIGKDISSIDQNKDNSKFSSESNWVSIFKTILTSCKGLISQMTEVVLPDVIRSAVSLKSEVMDAFGLISQVRGSIETALEQVVEVEMERASLFELEQNYFVKVGLITEQQLALEQAAVKGRDHLSWEEAEELASQEEACRAQLDELHQTWSQRDVRTSSLLKREADIKNSLVSVKCQFQSLVGVEEKSELHILRSKALLAALVKPFLELESSDIMLSPADGSVATPSSKFHTLADFINSGNSISEYVWKVGGLLDDHSFFIWKVGVIDSFVDACIHDVASSVEQNLGFDQSLNFMKKKLEIQLQKHISQYLKERVAPSLLACLDREMEHLKQLTDSSKELALDQVKKDGAAKKVLHMLEEYCNAHETARAAKSAASLMKRQVSELKEALRKTTLEVVQMEWMHDDILNPSYNRRITYEKYLDTGDSLYPIILNLSRSKLLENIQSAISKITSSTDSLQSCEQPSLIAEGQLERAMGWACGGPNSSSSGNSSTKNSGIPPEFHEHIKKRREILWESREKASDIVKLCMSVLEFEASRDGYFLIPGQSYPFRSGVDRNTWQQLYLNSLTRLDVTFHSYTRTEQEWKLAQCTVEAASNGLYTATNELCIASLKAKSASGELQSTVLSMRDCAYEASVALSAFAQVSRMHTALTSECGSMLEEVLAITEDVHDVYNLGKEAASIHLSLMENLSEVNAILLPLESVLSKDAAAMADAIARESETKKEISHIHGQAIYQSYSLRIRESCQTFKPFVPSLTSAVKGLYSLLTRLARTANLHAGNLHKALEGIGESQEVKSQDIVLSTSDAGGGDAVEFDSKEGESLSRSDDDKTDDIIGFSRLSLEEKGWISPPDSSFCSSSESDSTSAEVSLPDSLNDSAENTDMLSQVSSSRNPISHLHTSSLSQTDVEEISPFEVSESFPLEADLNSAESLKLTNEATEHPSAMPFPSEKSVASSAVSQNPSNENLDKFDGEDDFLSTNKAKNGTDHRETPDVDFYTSTRVGRGKNAYALSVLRRVEMKIDGRDISERREISIAEQVDYLLKQATSADNLCNMYEGWTPWI >RHN61806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38525934:38527711:1 gene:gene24305 transcript:rna24305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative catechol O-methyltransferase MNQQIETIVEESKPMGSQSEDHVAKLLQAQTQVFNHIFSFINSMSLKCAIELCIPDVIHNYGQPIPLSKLISLLPIHPSKTGDIHRLMRVLTHSGFFNVSKNDLEVMYELNDASRLLLKDHPFSMTSLLHVILGPILSKPWYQLSNWYKNDDPSPFHTENNGVAFWDYAGHDPNHNNLFNEAMACETRLASSVVMGKCKGVFEGLESLVDVGGGIGTITKVIAKSFPNIECIVFDLPHVVDGLQGSENLKYVGGDMFEAIPPTHSILLKGVLHDWNDEECLKILKKCKEAIGNKGKEGKVIIIDAVMGNEKEDNESIEAQLFYDLEMMVLVNGKERNEKEWSNLFLSAGFSNYKITHGLGFKSLIEVFP >RHN55779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23611110:23613528:-1 gene:gene31032 transcript:rna31032 gene_biotype:protein_coding transcript_biotype:protein_coding MINCFQKYNLNWRRWWPTRITKEAYGSYILAYNAHSVKGIFNAHCLHLNF >RHN67528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27982744:27983936:-1 gene:gene15724 transcript:rna15724 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGDGVVDIKDGVRDLVLEDVENVVGDGVNAEYFPPVITREMRVMLTPPLVFSPELRETFSRHWFEKGMEGGSPPSLAFFIRNGLGQIFDIAQDCFNRGSFQASNSASGHVAASTRATTD >RHN46129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28995465:28996661:1 gene:gene40572 transcript:rna40572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNTIRPKLWRRCHRQSSPSQASPLPDELIYEILSWLTVKLLMQLKCVSKSWKTIISDPKFAKMHLNRSARNPQLSTEFWIDDCDYSFVHFPLAHLLENRSITLPKDPYHQLWDNVVPNILGSCNGLLFLRGYDHDEGTKFRRVLCRFWNPATRTISDILRTDFSWDDWEFHPFVFGYDNSTDTYKVVALNSEGNDVRVFTLGDNVWRTIKSFPEDTLPLSSNYGIYNGAYLNCTVNWLACMRDHWIDNRVPKYVIVSLDLGTETYSQMLLPEPFDEKLSNVPEHVCVLKGVLCFYHDIKGTDFIIWQMEEFGDEKSWTQLVQFSYQNIGVNYEIFRSSLLILRPLHLSENGDTLVLKSNQEDRAILYNRITNKAKKTRINRKIHWLMVDYVESLVSPS >RHN81958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50129584:50130198:-1 gene:gene6064 transcript:rna6064 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTAAEVEVECSGRLRPSRQRSNSFFALWCSFESVPPPAAFMVTTSTVVVDFECFSDEDKGLVTTRFGVTTTLSSVKPFPSISLGTTLSSFLFPAVCNHFNLQC >RHN80107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35148429:35149755:-1 gene:gene3990 transcript:rna3990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain-containing protein MATKASKPSHPTYEEMIKDAIVTLKERTGSSQFAIAKFIEEKHKQLPSNFKKLLLHNLKKNVASGKLIKVKGSFKLSPATKPSAEKKPAAAKPKTKAVAKVTAVKAKPGPKPKAKAVVKTKVAAKAKPVAAKPKPAAAKPKAPAAKPKAAAAKAKPAAKAKTVVKPAKASRTSTRTTPGKKVVAAKKPAVKKAVAAKKAPVKKAVAAKKSPVKKVVSTKRGGRK >RHN43094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43118354:43118686:-1 gene:gene49618 transcript:rna49618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSRVVAISLGLEREILSRLPAKSLMCCKCVQRSWNNLIKTSYFVSKRSKLHISRSLLITEKSNKKLLSCDSDNEKPMLVKSLFPNNVARIESYDSCTGVFCPKGILPTHY >RHN82267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52430892:52434310:-1 gene:gene6416 transcript:rna6416 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLLSPAPCSGKFTAAPLSISAISVPRLPRTILKQNSTPSLPLKLNSSSPSFSSFISHRSWKLPFTATASSQSSPAFAPSNEESEKAKLDQVAKRLEKTARHFKRLGSLGFWGQLVCTIVSAVILSFSVVVTGKVTSPATFYATAGGIAAAFISVFWSFGYIRLSERLRRTANDPTKAPPRSDVVKSLQNGIALNLLGMGSAILGMQATVGFLVAKALTTSANPYYQGIAPGSSPVLALDVFLVQASANTILSHFLGLLFSLELLRSVTLPPSEALPFLKFA >RHN62273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42254637:42261072:1 gene:gene24828 transcript:rna24828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase domain, domain X MLTSLRMRRIITSNTHKLTFFHSSPKLEQQQPLTRTQLKTLVLNNYTNVTNFTNLFQNVVASPSVLFTASHNISTTQNAPFHPYSLVQELRENRFDVAACCFTITQNGSSLVLPNLKLKVVIEAVRMVLEAVYDERFVTFCYGGRVGMGRHTAIRYLKNTLQNPTWWFTVRFKPHRFEHAHVEKLCFFVERKIKDCIFIDFIKRLFECKVLVIELGGNWLGKGLPQECGLCSILMNVYFDGFDKEIQETRLRENQENRELDMKMVGSGLGSDVFYKPVKVYAVRYLDEILVATSGGSKLLAMDLKMKVVKSLELGLSLRVDKLNTAIHSAVSEKIEFLGMELQAVLPSILHPPMSEKAIRARKKYLRQKEVRAIEFRNARARNRRILGLKIFNHSYKKMKQSDGFKFDFSIENEVREIFKSWADEVVQEFLGSVDECQEWHRSLTAGDFLSLRHIRDQLPPDLVVAYDKFQEQVDKHLNPVKLRKVIEDKERKENQEEEQKYSKGTVEDLTRFCIKVDAPVGLIRKAVRLVAFTNHMGRPRPIDFLIALEDADIIKWYAGIARRWLDFFCCCHNFKVVKTIVSYHLRFSCILTLAEKHESTKREAIKHFSKDLKVYDMNGINEIHFPTEKEVKMMGDRNLLDPKPVDGVLSLATVRLASDEPPTHCIAHFCDKTTTVFYRVRLLQNSLNVNPLEKEKWVQGMGVIHESLNQKCLPLCTNHIHDFYLGRITFQDIDLCGCRLTDDCCC >RHN47989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44075383:44078047:1 gene:gene42654 transcript:rna42654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIII family MLCCGGSEEDTSGPPANQYTAPSRGGNSYGAGGGGNDRGEPRSNITKSGGPQKVLPIEIPSISLAELNRLTGNFGSKAFIGEGSYGRVYYAKMNDGTEAAIKRLDTSSSPDSDSNDFAAQLSVVSRLKHDNFVELTGYCLEADNRILVYQYASLGSLHDVLHGRKGVQGAEPGPVLSWNQRAKIAFGAAKGLEFLHEKVQPSIVHRDVRSSNVLLFNDYEAKIADFSLTNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAIAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNAKPAGPDPNA >RHN54968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14079619:14082307:-1 gene:gene30082 transcript:rna30082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MESFQLANESSPLSLTPNFILPEHKRPHLSEVKYLDSIPIIDLSYCDGNNPSSLEVIHKISKACEEFGFFQIVNHGVPDQVCTKMMKAITNFFELAPEEREHLSSTDNTKNVRLFNYYLQVDGGEKVKLWSECFAHPWYPIDDIIQLLPEKIGTQYREAFTEYAKEVGSLVRRLLSLISIGLGLEEDCLLKKLGEQPRQRAQSNFYPPCPDPELTMGLNEHTDLNALTVLLQSEVSGLQVNKDGKWISIPCIPNAFVINLADQIEVLSNGRYKSVLHRAVTNNVQPRISMAMFYGPNPETIIGPIHELIDEEHPPKYRNYHFSKFLEEFFNQEGTRRIVKEVFELPC >RHN51230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15234122:15236415:-1 gene:gene35631 transcript:rna35631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyphosphate kinase MNKKQNSSRIKIFFILYFFCMEYIHQYSWIIPFIPLPVPIFIGVGLLLFPTATKKIRRMWAFPSILLLTIVMIFSIDLSIHQIENSSIYQYVWSWTINNDLSLEFGYLIDSLTSIMSILITTVGILVLIYSDSYMSHDQGYLRFFTYLSFFNTSMLGLVTSSNLIQVYIFWELVGMCSYLLIGFWFTRPIAANACQKAFVTNRVGDFGLLLGILGFYWITGSLEFRDLFQIFNNLIYKNEVNLFLLLCAPSYAMEGPTPISALIHAATMVAAGIFLVARLLPLFIVIPPIMSVIALIGIITVVLGATLAIAQKDIKKNLAYSTMSQLGYMMLALGMGSYRAALFHLITHAYSKALLFLGSGSIIHSMEAIVGYSPEKSQNMVLMGGLTKHAPITKTAFLIGTLSLCGIPPFACFWSKDEILNDSWLYSPIFAIIACSTAGLTSFYMFRIYLLVFEGYLNVHFQNFNGKKNSSFYSISLWGKEEKKKLKNKIHLLALLTTNNNERTSFFRKRTYSHRINRNVKSIRRLFLDSTYFGTKNIPFFYPHESDNTMLFSMLVLVLFTFFVGSIGISFSQEPIDLDILSKLLIPSIDLLHQNSKNSVDWYEFFTNATFSVSIAFFGIFIASFFYKPVFSSLQNLNLFNLFQKSVLKKMIADKIINVIYDWSYNRGYIDAFFEVSLIASVRKVAKFNYFFDRQVIDGIPNGIGISSFFIGEAIKYVGGGRISSYIFFFVLIFLLICYSIFI >RHN59572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12127960:12132496:-1 gene:gene21620 transcript:rna21620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MQPVSGGGGGGLTRFNSTPASWLESFILKEEDEVDERQQDHSLSFTQLLSNNNVAAGPGPGPSTPESHPYLSDYYSSPLTPTSANVANNPFTQGVEDSGIAIDLKMDKILEDSVPCKIRAKRGFATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTAEMLDEAVAYVKFLQNQIEELSEQQRRCKCTIPE >RHN57087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36347577:36348036:-1 gene:gene32583 transcript:rna32583 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRGSGYYTIVVYIYIYIYIYIYIYIYMRNIGYTLSNTHTHTITFFFV >RHN63149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48875192:48876688:-1 gene:gene25817 transcript:rna25817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MEVELSRAVKKDVTMWEFLLKNFPDEILVEICKEFNIFESKEAVGRFIEAWKRASNDQPVWETLDFSMLKSDFVKASIQPYIWVHSRSDSTLYNLLFLALNISQGNIKTLIFNYSLYLTNDQFIYTAIRCPHVRRLVFVSWNRIKKIGIVRAIRVWTNLESMTMPCTEYPGYVFEEITKHCKNFRELKVIAFLPNLKVLSLRCSGLSKEALILILDELKDLEVLNISHSCHVVPLPYPHEGYMFSSGIDPIIIEKGSRLLDFYTCMEESCIMCQRTKADDGLPRWFRYEDGIWKDDEISSLAL >RHN58881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5682327:5682662:1 gene:gene20836 transcript:rna20836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSSSNLSGPIHFSLARLQSLSILKLSHNNLSSIVPDSFANFSNLTTLQISSCGLNVFLPKDIFQIHTLKVLDISYNQNLNGSLPDFSPLASLKYLNLAYRTHPTCLFRLVI >RHN39788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10510514:10511564:1 gene:gene45850 transcript:rna45850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MPICLHADGNTNILKWNERLKIAVDAAHGLEYLHDGCKPPIMHRDLKPANILLDENMHAKIADFGLSRAFGNDIDSHISTRPAGTPGYIDPKYQRTGNTNKKNDIYSFGIILFELITGRKAVARASDEYIHILQWVIPIVEGGDIQNVVDPKLEGEFNINSAWKAVEIAMSCTSSNEVERPDMSQILGELKECLSFETVQINNVSTRARDELVSIATISETTILAR >RHN42849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41440496:41444131:1 gene:gene49346 transcript:rna49346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-galactosidase MRGFTLCLVSFLVIFGSWFQSVSSQNVTKSDIQQAAFPPRGWNSYDAFSWIISEEEFLQNAELVSQRLLAHGYEYVVVDYLWYRKKVPGAHSNSLGFDVIDQWGRMAPDPVRWPSSKSGNGFTEIAKKVHSLGLKFGIHIMAGISTQAVNANTPILDTTTGAAYQESGRVWHAKDIAIQERRCGWMTNGFMSVNTTLGAGKAFLRSLHEQYAAWGVDLVKHDCVFGEDLDLNEITYASEVLSKVNRPLLYSLSPGVKATPDMAKQVSGLVNMYRIAGDDWDVWGDVKSHFNVSRDMAAANLIGAKGLKGNSWPDLDMLPFGWLTDAAVNDGPHRSCRLTLEEQRTQMTLWAMAKSPLMYGGDVRKIDNATYEIITNPTLLEINHFSSNNKEFPYVTKNETRNQVKEIRSKEAPIQSVEGVSSADISSWIATGRKGEVYLAFFNLSEQKTPIYAHRSNLSKAFTGKRIRSCKGQELWSGKYVATKKGSISTDVGVHGCALFVLNCK >RHN59013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6664857:6666416:1 gene:gene20994 transcript:rna20994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MTRIRKKKIISKLIENKTRRKACYKRRLKGLFTKIEHMTILCGIEACAIVFGPSDTKPSIWPSPTVAEELITKFESLSLDVQSKNKTDQLTFLKDKGKKLEASLEKINKENEETLMGAYMHQIENEGKSLDDFDHDVQNRLIAFTLEKIKITRKITRHLEKEILPLNNPPPPLAPISFTLDNGDGVDYGVNMYGHVLNQQPLSDLVEKVDQMSSGFNNNPGSSMGIPPLADLSGGACDKLANQADFVGFDNGMGISSPEYSSSGFDTTFFQDDFEDFDSIMKIPSHENHSGNVHDMFLPRGNLGHFINNIGTNIGKQSHENPSGGVGMLPPQGYFGGQSNFQGFDDITGSTSGILPHANLNGNVDSLPPQENFEGEENFGGFDNTGSGMWIPHSNSSGGDALLPQENFGGQFNFEDFDNNTCSNMLIPPHTNPDVGDVDVLSHRGNFGVQENFGGFDDNTCSNMWKPPHENPSNSGVDMMTLHQNNFGGNINGDGMWSFNANIVDNNYGSNFNPGFPSE >RHN39839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10963686:10968032:1 gene:gene45914 transcript:rna45914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-methyl-2-oxobutanoate hydroxymethyltransferase MAILRSILKATKTTLQHSSSLLRNMSNLPENTVYTGPTPQNKRVTLSQLHQKHKNSQPITMVTAYDYPSAVHLDMAAIDICLVGDSASMVVHGHDTTLPITLDEMLVHCRAVARGAKTPLLVGDLPFGTYECSSNQAVDTAVRILKEGQMDAIKLEGGSPSRIVAAKAIVEAGIAVIGHVGLTPQAISVLGGFRPQGRNVASAVKVVETALALQEAGCFAVVLECVPAPVAAAATAALQIPTIGIGAGPYCSGQVLVYHDLLGMLQHPHHAKVTPKFCKQYARVGDVINKALLEYKEDVMNGSFPDAQHSPYKISETDANGFLNELQKLGFDKAASAASEAVQKMVSKSTK >RHN62464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43622362:43625009:1 gene:gene25044 transcript:rna25044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MDEIQHKFVNVGALKLHIAEIGTGPNVVVFLHGFPEIWYSWRHQMLALAGAGFRAIAPDYRGYGLSDSPPEPEKTTFTHLLNDLLQIIDALAISKVFLVGKDFGGPPAYLFSILHPERVLGVITLGVPYVPPGPSMLHKYLPEGFYILRWKEPGRAEADFGRFDAKTVVRKVYILFSRSELPIANENQEIMDLVEPDTPLPSWFTEDDLSTYGALYEKSGFRTALQVPYRTVGDDLNLPDPVVKVPTLLIMGGKDYVFKFPGIEDLIKSEKTKELVPNLEVTFIPEGTHFVQEQFPEQLNQLILAFLAKHT >RHN52937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40516234:40519787:-1 gene:gene37679 transcript:rna37679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CCRK family MDPPTKSWSIHTRPEITTKYSIFTHIGSGTYSDVYSGRRLSDGTPVALKEIHDHQSASREITALRILRGSENVVFMHEFFWREDEDAVIVLEFLKSDLGTVIRDGFGGGEVKGWMMQIVSGVYDCHRNGIVHRDLKPENFLVSENGVLKIADFGQARILVKSGFDATNHGSSSHSQHPHDVIPLSDNANQTGYENQDEEERMTHDEYFRVLDELKIQSHTYDTDDKDTNTHDGNNSCRATCTTSDDDDDAWKNSLPYEANEERDEKLDGFLTSCVGTRWFRAPELLYGSTNYGLEVDLWSLGCVFAELLTLKPLFPGTGDIDQISRIISVLGNLDEEAWSGCSKLPDYARISFNKVENPIGLDACMPNCLQDEVSLVKRLLCYDPAQRATAAELLHDKYFSEEQLPVPISQLRVPST >RHN69736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45943994:45946030:1 gene:gene18248 transcript:rna18248 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKDCNNESIDLSTPMSETPIRAVVCLKRKEDIKRFEETEECFILDFDDPSDSLSKLSLEKEIDDNHNDDSPDIAVLAEKGQVACRDYPHARHLCVKFPFTTTPHESSCEMCFCYVCDSVAPCKYWTRSEKPHCDCNADSCWKNERDIFKFLAEEEE >RHN43265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44460817:44465689:1 gene:gene49811 transcript:rna49811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactoside alpha-2,3-sialyltransferase MRILRLGLLLALASGFAAICIYITGLSEPSVYLSYHLTDEEAESLLSLHNSFQKCVSANGLGLKAASSSDYCQTTISFPSDTIPKWKDPKTGELEALSFDFNLCEAVATWEQVRNSTTILTKEFIDSLPNGWEEYAWRRINKGIQLNRCENRTLCMEKLSLVLPETSPYFPRQYGRCAVIGNSGDLLKTRFGKEIDGYDVVLRENGAPTQNYTEYVGRKSTFRLLNRGSAKALDKVVELDEQRKEVLIVKTTIHDIMNKMIKELPIRNPVYLMLGASFGSAAKGTGLKALEFALSMCDSVDMYGFTVDPGYKEWTRYFSESRQGHTPLHGRAYYQMMECLGLIKIHSPMRADPNRVVKWVPSPHIIRAARIASEKLLRRVGAGSEDPLRACSVVKKQAKRNINAVSKLRKAALDHMRYVKSTTMYPLEHSPGHGLLCTVPSAK >RHN44258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2962979:2963569:-1 gene:gene38354 transcript:rna38354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M41, FtsH extracellular MSSTLSLSMPSTLIFKPQNIYKDTNHTKSNNKETPTLLGTKVTKRKLLTSSVIGLGSSCVVKPTKAEPESPIDSTSNRISYSRFLQYLDEGVVKKVDLLENGTVAIAEIYNTTLDKFQRVKIQLPGLPQELLRKMKDKNIDFGVYPMDTNWGVAILDLLGNLAFPLILLGTLLLRTSRNNSVGGPNLPFGLGRYEL >RHN75535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43096818:43102714:1 gene:gene11758 transcript:rna11758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative condensin complex subunit 2/barren MAELASPNPTTVHKKRLPTPATTFFVGSNDDQLERAAARAARAAAIRRTVNFQSQPSDSDPCLNKQQILELFHNCIKLASENKINQKNTWDLDLIDHLTDIIRAEDDNNTETNFQMASCTLEAGVKIYSLRVDSVYSEAYKVLARMSRVGQETEQDATLASVNGEGGREESKKGIDKKLSPLSTLESSFEALNVKKFDVAFAVDPLYRKTSAKFDEGGAKGLLMNNLGVYGRCRVLFDSLDVPGKYLASQNEHDVSDTVDLSFARDCVEQMLSDMQIKEEISPTLRVIVNILDETDRRPFDFQSNGQKSSQEFDAAIDCEVGAEMEDYENFPTGPYEHDNQTFVNEMGSNDADPNVPSYPQEEEPFPSQDLEMDELFGNVDEYLSFSLGFRSKKNAWAGPDHWQYQKAKGSESEVHCSTEDASTLKTRPPKTMKQIEVDLDFTNFLGKTPPDIFAPPKSPKSLLLSENRPHCVTKLPEDCHYEPENLVKLFLLPDVKCLGRRTRKLSGVYTDGSTEQCNNNEPFNSWDNGSVCDGEYDDAHSDMDDSSTLISQARQINKIEVQYDKTSKQVDVQALKLTLWNHIEESVHLRFQGEKETVSFRDVLANFPSECNASATVTDISPHLCFICLLHLANEKELSIQSCPDLDDLHIYMPT >RHN63912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55009696:55010571:1 gene:gene26668 transcript:rna26668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MNFPDDIPTCRMSPPQFASSNNDIIEVRPQIPMQNDHFEPHSFKRARIADNNPPPSGLMCPPSRMIQPPPNRGTSSIFFKTRICTKFRFGTCRNGENCNYAHGADEIRQPPRNWQELVDPRNEERHQVETRQQPPNWDEDQKIIHKMKLCKKYYIGEECPYGDKCSFLHEDPARFRDASWKTRECSAISVGNIGSPKSFGYGSNNLEGIRAVNKPARSAYWKTKLCQWQHTGSCPFGETCDFAHGEAEGYFL >RHN82767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56217890:56219475:-1 gene:gene6952 transcript:rna6952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein DnaJ, cysteine-rich MDCNLQASVIPRCLPPTSIKQTGRTRCFIVKSTLPQNQGYHSSSKEEEEEEEEVVSRRQCLKCLCSTPFLINATNSAATALDKPPGCRNCGGSGNIICDMCGGTGKWKALNRKRAQDVYEFTECPNCYGRGKLVCPVCLGTGVPNNKGLLRRPDAKKLLDKMYNGRLLPNS >RHN40137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13570393:13574631:1 gene:gene46246 transcript:rna46246 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVDAAGNPIPTSAVLMASSKHIGLRCHSENLEFLKCKKKDQNPEKCLDKGRDVTRCVLGLLKDLHQKCTKEMDDYVGCMYYHTNEFDLCRKEQEAFEKKCSLE >RHN60277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24550144:24553116:-1 gene:gene22562 transcript:rna22562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative O-fucosylpeptide 3-beta-N-acetylglucosaminyltransferase MQLFQKHTKAQSLINFILVTSSFCGFYVLVSVLILGTSNSKLLLHLQHSSESSRDVFIKKTNTTLDDIVFGIASSKSSWTKRKEYVKLWWKNNNAMKGCVFLDSLPQNEDDPSSLPPLCVSEDTSRFQYTCKGGLRSAIRVARVVAETVALNHSNVKWYVFGDDDTVFFPENLVKTLSKYDHELWYYIGAHSEIYEQNRLFGFGMAFGGAGFAISSSLAKVLAKVFDSCLERYPHLYGSDGRVYSCLAELGVGLTHEPGFHQVDLTGNTFGLLAAHPVTPLLSLHHPDYTDPIFPNMTTTQALKHLFEAVNVDSQRMLQQTICYDRWFSWTISVSWGYAVQVFPNHMFLPDVVNARETFKQWKKGNMLAKAYTFNTKPVHPDPCKRSTIFYFDSASSGKDGIINSYYKRSFQNCSKDLVSPKKLEVIKVVTHKLDLDIKQLQAPRRHCCDVLPSSASDQLEISVRECKDEELIYKH >RHN62260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42107391:42109511:-1 gene:gene24815 transcript:rna24815 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTTTTLLRPSLFLLHHNPTSSTKLICSSSSSRNQSFIPKLQPFSRTKLDRLAKDLPLIEKSEKDLLDYCSILEGDESYSCWQAYFELKDLQKESPRAEIERLIIEIGGVKSLIGCLHGIALMRKLKKNDLNLTNEIYSEEEQNPCPRPDGLPKSADEMMEEEQAKMPDSSYTKLLRSMGKSPAWYSEAPDHETD >RHN44618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6885380:6886003:-1 gene:gene38757 transcript:rna38757 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKANNAAQDATTSVQEGQGGQQMKADAQEVADAAKITVGAHK >RHN45306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16015387:16016460:1 gene:gene39569 transcript:rna39569 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPVYISEDATAFVIRRAFEGSFKGVIENSKTSPWNEVVHKSMFNITKKGAYCDLSMEKKMLLKIQNENLLSKGGGSDQPSLEHKIFIHFFITKEKANVPRYIFKHMIKERRESQDNNSCWVPYRRLISDQILHQGWIMKALKNINFFTDDQLDTKTEKVINGKTLRNMYLIPKDAYTKLSADLKESDAMSILMEEFPPICKQDPLDVQINFIKDHFATPGTKIRLEYVPETVYGGALLVSNRRKTKRKSLTKEEYLGDAPEQPAKKANRAKKERVAVQENIVGPAILTIQKEVEDLEADKILPKRIRSGKSAASSQYVPGQPSIPKKKRRKAIRKLKVADYVMKKRIRLELQLIL >RHN49042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52023988:52026010:-1 gene:gene43826 transcript:rna43826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MIKQCKRKLPRLAITRINFKQQTIFLLYHACFQYFILRMHVLKRLWIRNMCTVTITTPQLNYMINTYIDNNNVKQAHKLLDENLLSCNIVSWNMVMTAYLQHNQIGPVHDLFDKMPLKDAVSWNIMLSGFQRTRNSEGLYRCFLQMGRAGVVPNDYTISTLLRAVISTELDVLVRQVHALAFHLGHYLNVFVGSSLIRAYAGLKEEEALGRAFNDISMKDVTSWNALVSSYMELGKFVDAQTAFDQMPQRNIISWTTLVNGYVKNKQVNKARSVFDDMSERNVVSWTAMISGYVQNKRFVDALKLFVLMFKTETRPNHFTFSSVLDACAGSSSLIMGLQLHPCIIKSGIANDVIWLTSLVDMYAKCGDMDAAFGVFESIRDKNLVSWNAIIGGYASHGLATRALEEFDRMKVVGTPDEVTFVNVLSACVHAGLVEEGEKHFTDMLTKYGIQAEMEHYSCMVDLYGRAGRFDEAENLIKNMPFEPDVVLWGALLAACGLHSNLELGEYAAERIRRLESSHPVSYSVLSKIQGEKGVWSSVNELRDTMKERGIKKQTAISWVE >RHN76286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49142596:49147513:-1 gene:gene12585 transcript:rna12585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease III MQGLSSSLSWSITFSSSKFKPVVKASWDTQQRLPYNRNAPRKLTTQPPKPQSPSPTSSSPAPSTRNENYISDLLKRATPLPTIGKIEEVQEHDETYLGYDRWLPTPPKVVKPRSVFNAATLAYIGDCIYELYARRHFLFPPLSIEEFNDRVMAVVRCEAQDALLQKLLISNFLSDQERDVLRWGKNIISSKTKTKRRAGAAVYNRASSLETLVGYLYLTNVNRLEKLMLELGFSVDSSMPLNLEELIVS >RHN56798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33824321:33827917:-1 gene:gene32252 transcript:rna32252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase METTSNESEEDVSNASEKDVSDANVEVVRLEDVVLSWTYEDVLNENLYKDKVHKIPETFKSATEYKNSFVPLLFEETHTDLSSSLFAVSQAPFCEINNVQKTAQWKLSIPKDQNQFIQFHHDIRLKSTTESDEVEDVGNYKPVSGDLIAFTHIRPKSLNDLNTLQSPYRIAYVKKAIKAGNGIPDRISVLSCKCMKMDIKDDLQNNKERSSKCMNMDIEDDLWNNRELKLYAVYLMNMTTNVRICKALKSISHMNIIKTMLGPRPISGENCQLCPPEPDSQSSLIQEDVIIRSQNLNESQEDAVSSCVSMMNCNHADIKLIWGPPGTGKTKTVACLLFSLLKLQTRTLTCAPTNTAILQVASRLNRLVMDSLEHDTYSLGDIVLFGNGKRMNFSSHQGLVKFFLDYHVENLMECFDPNTGWKTNLLSMIQLLKSMEKSANKKESSEVFEYKQKFVQQKEKLEFLMQTLYTHMPTSLISLEMVKKMLQAFDLLKSLGISIGQAKFKKRDESIPAYFQLLYVKRDECLSILSSLSKTVSLPYFETDRRGGIKRVQVEKFCLSYACLVLCTVSSSIKLIHASWLKPVQFLVVDEAAQLKECELAIPLQLHGLRRCILIGDERQLPALVKSKIADQCEFGRSMFERLVMLGYERKMLNVQYRMHPSISLFPCKEFYDGKLCDAPVVGEESYNKLFLEGEMYSSYSFINIAKGKEQFGHGQSLKNMVEVAVISEIIKSLYEVFMKTRKKVSIGIVSPYNAQVYEIQEEIEQYTKVANSKFSVNVRSVDGFQGGEEDIIIISTVRSNGRRTNVALTRARYCLWILGNASTLINSGSVWRNVVIDAKKRDCFHNVEEDKKLSQAIKDVLPQLRQLEEFESPLKKRRLGGKF >RHN44406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4338230:4338619:-1 gene:gene38525 transcript:rna38525 gene_biotype:protein_coding transcript_biotype:protein_coding MQYNIALRAENTFIFINKKKKLIYCGDGDGAGIPEPVGDRMRFDFSSPLGMSRVMGKDMRVGYGDGEGKTRPRPLPCLALMRVKKWVIVILGGCTKRCILLDGLFAKIYVEESERNCNGFGMILMEQQL >RHN63841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54368691:54375406:1 gene:gene26595 transcript:rna26595 gene_biotype:protein_coding transcript_biotype:protein_coding MWINQLEKDKDVIAQAQAIAALEASPQLSFSVVNALNGFLTDSKAFWRVRIEAAFALANLASKETDFSGLLHLVKFYKSRRFDPDIGLPKPNDFHDFAEYFVLEAIPHAVATIRAADKKSPREAIEFVLQLLKYNDNTGNPYSDVFWLAALVQSIGEFEFGQQSILLLSSLLKRIDRLLQFDSLMPSYNGVLTVGCIRTLAQIALKLAGFIPLDCVYELVKPFRDQKAIWQVRIEASRALLDLEFHCKGIDSALLLFTKYVEEEPSLRGKLKLATHVMKLCQMRDGLNSNDEITSQTLVSLLSLLEGRMAFNNVFLRHYLFCILQILAKRPPTLHGIPRESRTLHMSLTGASNYQRNLFVIDSDSKPLELPSSTQNLTQDLIITEGLRDALNEAPKDQTVEAPKEVHVEVLKDVPLETSKEDLTGLPPEAPIEAPNEISKETDTVSNSHERKRLFKIKVKQSSATSRADTDNQLLERSLGGRNETDHGASSSVSVDAPQRNFAETVSISNHNIEEVNSCYNPGSRMTASIGSAKILSDGDELVKELQCTADSSVVYSQVQPEDPSPSSIIQDNNIDADARRFASLQTLSVTRFDQAGESCGKEVPARGKHKHKDKDKKRKRESHKGQQNDPEYLERKRLKKEKKRKEKELAKLLSNEAKRSSIDLSCKKEEPEVNDAKQLKSVEPSCYNSVSEIGRVDPKPVPPEGTSGAPKIRIKIKNRMLSKS >RHN49587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55800226:55806794:-1 gene:gene44433 transcript:rna44433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGQCYGKTVPGAGSHRQQNTTTIIDTSAGDGDGSRTPLPSFANGIPSVKNTPARSSSHASPWPSPYPHGVNPSPSPARASTPKRFFRRPFPPPSPAKHIRESLAKRLGKAKPKEGPIPEEHGVETDSQSLDKSFGYSKNFGAKYELGKEVGRGHFGHTCSARAKKGDLKDQPVAVKIISKSKMTSAIAIEDVRREVKLLKALSGHKHLIKFHDACEDANNVYIVMELCEGGELLDRILSRGGRYTEEDAKAIVLQILSVAAFCHLQGVVHRDLKPENFLFTSRSEDADMKLIDFGLSDFIRPEERLNDIVGSAYYVAPEVLHRSYSVEADIWSIGVITYILLCGSRPFWARTESGIFRTVLRADPNFDDLPWPSVSPEGKDFVKRLLNKDYRKRMTAAQALTHPWLRDESRPIPLDILIYKLVKSYLHATPFKRAAVKALSKALTDDQLVYLRAQFRLLEPNRDGHVSLDNFKMALARHATDAMRESRVLDIIHTMEPLAYRKMDFEEFCAAATSTYQLEALDGWEDIACAAFEHFESEGNRVISIEELARELNLGPSAYSVLRDWIRNTDGKLSLLGYTKFLHGVTLRSSLPRPR >RHN79599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30447751:30453238:1 gene:gene3412 transcript:rna3412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-RSK-2 family MGSYSGTCEIVEARDDVSTVKDARIYPSSSGYSVAEKNQKLPSLKLGYKDNLDDDINKLFETISLRSSSRDLSYLPDGTSPKLKSALKKPMTMGVPRSPRVGISEPVTLKQALRDLCISKASEMAAMKRLSKSGASPRISEAGKIQTLYNSVVTDARRSGPSNVDSSKRSSTEISPVLEESKSLGLNKESQSLQTSESVLSQNVQSSEIPVATAENDTGASLMRSDLECSSSKVGVSSQSSEPIQMEKQMSASSPPCCNTNGSKVELPEKDSSPKKLGNKASIRKGILQTTSSSSTSINGNRVCKLSRHSPRAVKSIIKNKSLGKKKPKQVSDSGLHVSPSNEANNKSVPATTPLVCERCWCEIENSKGNITPGPADGINLKSMLSGPAPTSCNNSGEVAKVKKNGRLKEQLEFSQSSKSSQGDYSSSTSTSDESNVSGSSFGNRPHMSKDFRWQAIRDAQMQHGVLGLRNFNLLKKLGCGDIGTVYLSELIGQNCLFAIKVMDNEFLARRKKMPRAQTEREILRMLDHPFLPTLYAQFTSENLSCLVMEYCPGGDLHVLRQKQLGRCFSEPAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCDVSPTLLKSSSDIDPAKVSGTCAKSSCIEPFCIEPSCQVSCFSPRFLPPGAKARKLKADLAAHIRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTFGVFLYELLYGRTPFKGSNNEETLANVVLQNLRFPDSPFVSFQARDLIKGLLVKEPENRLGTEKGAAEIKQHPFFEGLNWALIRCAIPPELPDLCEFELGVSDMIAQSQSKGGKYLECTTTGGQVEFELF >RHN41489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30442578:30443260:1 gene:gene47821 transcript:rna47821 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKVLSISLFVLLGLSMCSATRKLYGDENGFQPQGDHLPVPQITGSGGLPGVTGEGGLGDGRLPGEYIKPDGDGFYPEGDSLPSPNEEGSGGLPGGIGSGALPGGLRGVPGEGDLGDEKVLGGLPGGVNSGGDGYQPGGAEVPGGGGDGGLSGTEDGVLPDERGYV >RHN51318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16145956:16149269:1 gene:gene35741 transcript:rna35741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MYLKIFLFLAFILFVAATVTAVNPGDTLSASTNQSWSSPSSTFSLRFIQTTPPTTPPSFLAAVVYSGGAPVVWTAGNSIAVDSGGSFQFQTNGNLRLVNGSGAVKWDSNTTRLGGTSVKIQDSGKLVILNGTKEVWSSFDHLTDTLLPSTNFSVGKVLKSGDYSFSLAKIGNLSLIWNDSVTFWTHGLNSSVNGSLSNPVLGLSPIGILQLSDVNLSTPVPVAYSSDYADAGSGGSDVLRVLKLDSDGNLRIYSTSRGSGSPIARWAAVQDQCQVYAFCGNYGICSYNDTNPICGCPSENFEVNDGGKGCRRKVDLANCRGNETMLELDHTQFLTYPPESLSQSFFIGISACRGNCLSGSGACYASTSLSDGTGQCYIKSVDLVSGYHSPALPSTSYVKVCPPLALNPPPTSGETVNEKSSSVPGWVVLVVVLGTLLGVVAIEGGLWFWCCRKTARFGVLSAQYALLEYASGAPVQFTYKELQRSTKNFKEKLGAGGFGAVYRGILVNKTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNSSLDNFLFPKEEQSGKLLNWEYRYNIALGTARGITYLHEECRDCIVHCDIKPENILLDDNYVAKVSDFGLAKLVNPKDHRHRTLTSVRGTRGYLAPEWIANLPTTSKSDVYSYGMVLLEIVSGRRNFDVSEETNRKKFSTWAYEEFEKGNIKGILDKRLADVEVDTEQVTRAIQVCFWCIQEQPSQRPAMSKVVQMLEGVKEIEKPAPPKFVAEGPVSGTSTYISSNVSAFSTVGASPNVPSSSSSIQTSGVSNFASGRNPEKASSSLLQSDQ >RHN72020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4412048:4414314:1 gene:gene7687 transcript:rna7687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MASSNRHWPSMFKSKPCNTHHQWQHDINSSLISSACHRTPYSSGCEERSPEPKPRWNPKPEQIRILEAIFNSGMVNPPREEIRKIRAQLQEYGQVGDANVFYWFQNRKSRSKHKLRHLQNQNKNQNQNQNQNQDQPPQQNPNSLSQISATVPSSSSSSSEKSPPKELIPAKVFSLGFPNINDVVPNSPTASVNQNQTYFQTQNVTTLLPPPQVTAPTTETFFFPVQHHGQGIVLPNNVTSQGFCFSELSNMVPAQSHGQQHHNTGNCTTSFLLSEIMNNHGVNSSKKDQEQDKSVKIMHQIPPHLNICLPPATTTTTVLHPTTSSTITVPSPIISQVQGIGEPGVAAKSVVFINDVAFEVASGPFNVRAAFGDDAVLVHQTGQPVLTNQYGVTLHSLQHGALYYLVSTCRKENAGECDEGYYSIEVYRRTLLMRMTSDICAVLKYGYHHHDFVDLNM >RHN79327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27630737:27631129:-1 gene:gene3104 transcript:rna3104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MSFENIKPDVVSYGILIDSYSHIGEMCGACNLFNEMEHKGLDPNLMIYNSLLNGLFKSNQPNEALKLFDKMKTQGIRLDDCVYATLILGLSMSGRHDMANMFLEEAFHKGFNLNKEAYHTLYVALGHKQK >RHN39360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6398169:6402416:-1 gene:gene45375 transcript:rna45375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 9S-lipoxygenase MSSLVKGLFDKHQKVKGTVVLMQKNVLDINELTAAQSAGGVVDSFFDFVGDAAGTVADTATSLFRRSVALWLISATVADGKTGKGKVGEKTYLASVITSLPTLGDKQNAFSIEFEWDNDMGTPGAFYIENYLQGGEFFLVSLTLEDVPNHGTINFVCNSWVYNAKNYKTKRIFFANKTYLPSETPAPLVYYRQEELKTLRGDGTGERKEWERIYDYDVYNDLGDVDKNASLARPVVGGSSTLPYPRRGRTGRKAARKDPKSESRSDTVYLPRDESFGHTKSSDFLVHILKSASQNVIPRLRSIVTLQFHEPEFNTFEDVRSLYEGGIRLPTDILSELSPIPLFKELFRTDGEAALKFPPPKVIQVDHSAWMTDEEFAREMIAGVNPHIIKKLLEFPPKSKLDTQLFGNNTSTITKEHLQPNMVGVTVEQAIQNNKLFILDHHDPLFPYLRKINATETKAYATRTILFLQDDGTLKPLAIELSRPHPQGDSFGPVSKVYLPASEGVEASIWLLAKAYVIVNDSCYHQLVSHWLNTHAVVEPFVIATNRHLSVVHPIHKLLLPHYRDTMNINALARNVLVNAEGIIESTFLWGKYALEMSSVVYKDWVFTEQGLPNDLIKRGVAVEDPTSAYGLRLLIEDYPYASDGLEIWAAIKSWVDEYVNFYYKSDASIAQDSELQAFWKELVEVGHGDLKNATWWFKMQTREELIEACTTLIWIASALHAAVNFGQYPYGGYIVNRPTKSRRFMPEQGSPEYDELAKDYQKSYLRTITPKNDTLTDLTIIEVLSRHASDEQYLGERIEGDLWTSDSQPKESFKRFGKKLAEIEQKLIQRNNDETLRNRNGPVKMPYTLLYPSSEEGLTCRGIPNSVSI >RHN52699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37842078:37842476:-1 gene:gene37397 transcript:rna37397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MSPFFHKVILVCVLMLLFVNNIVGVRVNVNNMLEGNLDLTLHCKSKEDDLGVQLLHHGQSFSWKFSPRFPPILFQTLYFCSFAWTSESHHFDIYIQGVRNLDNCDYCNWNVFKSGPCRLQEHGDPICFHWDK >RHN58908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5864722:5866346:1 gene:gene20864 transcript:rna20864 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative receptor protein-tyrosine kinase MRITLVSLFSFLICYYSIYITFQITTIASAKCLEDQQSFLLQLKSSLMFKPEISNKLKLWNSSVDGCEWIGVACDSKGFVVGLDLSEESISGGFDNASSLFSLQHLQKLNLAANNFNSVIPSGFNKLVMLSYLNLSYANFVGQIPIEISQLTRLVTLDISSVNSYITGQGLKLEKPNLQKFVQNLTSLRKLYLDGVSIKAQGQEWRNALLPLPNLQNLSVIILDGNNFSSPVPQTFSNFKKLTTLSLASCGLTGKFPKTIFQIGTFSFIDLSFNYNLHGSIPEFLLGGSLQTLRIRNTSFSGAFPYSIGNMGHLSELDLSNCELNGTLPFSLSNLTKLRYMDLSSNSFTGQMPPFGMAKNLTRLNLSHNRLSGEISSSNHFEGLHNLVSVDLRDNFINGSIPSSLFALTLLLNIQLSLNQFSKFDKLINVSTSVLKTLDLSNNDLSGPFPMSIFKLHSLSVLDLSFNRLNGSLQLDELMELRDLSTLDLSYNNISVNVNVSNPNYTSFPILAHSFWHPAT >RHN52556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36596091:36602213:-1 gene:gene37246 transcript:rna37246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MAHNNEHEDRVVDNGFELEQHHHHQHVHDVDDEHKLSQQKGSSKRLLKEEEASIEKVFKHLLVPSWRNQLTIRAFVVSFFLSVLFSFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKFLEKSDMLKQPFTRQENTVIQTCVVASSGIAFSGGFGSYLFGMSEHVATQSSDTSDFKDPKLGWIIAFLFVVSFLGLFSVVPLRKIMVIDFKLTYPSGTATAHLINSFHTPQGAKLAKKQVKLLGKFFSFSFLWGFFQWFYTASDGCGFQAFPSLGLKAYENKFYFDFSTLYIGVGMICPYIINVSVLLGGVLSWGVMWPLIETREGHWYKKGLGPSNLQGIQGYRVFIAIAMILGDGLYNFVKVLTHTLLGLYSQLRDKRREDALPVADQDSPSSPELSYDDQRRKQLFLKDQIPTLFAVSGYVAIAAISTATLPYIFPQLKWYYILVIYLIAPTLAFCNAYGCGLTDWSLASTYGKLAIFSIGAWAGASHGGVLASLAACGVMMNIVATASDLMQDFKTGYLTLASPRSMFVSQIIGTTMGCIISPCVFWIFYKAFPDLGTHKSQYPAPYAIVYRNMAILGVQGFSSLPDNCLLLCYIFFGAAIVVNLIKDLVGKVGRFIPLPMAMAIPFYLGPYFAIDMCVGSLILFIWEKVDKAKADAFAPAVASGLICGDGIWTLPASILALFGVKPPICMKFLSRSTNDRVDTFLGN >RHN69554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44355119:44358154:1 gene:gene18036 transcript:rna18036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol dehydrogenase MSNTAGQIIKCRAAVAWEAGKPLVMEEVEVAPPQAGEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESIGEGVTHLKPGDHALPVFTGECGDCPHCKSEESNMCDLLRINTDRGVMINDNQSRFSLKGQPIHHFVGTSTFSEYTVVHAGCVAKINPDAPLDKVCILSCGICTGLGATINVAKPKPGSSVAIFGLGAVGLAAAEGARISGASRIIGVDLVSSRFELAKKFGVNEFVNPKDHDKPVQQVIAEMTNGGVDRAVECTGSIQAMISAFECVHDGWGVAVLVGVPNKDDAFQTHPMNLLNERTLKGTFYGNYKPRTDLPNVVEKYMKGELELEKFITHTIPFSEINKAFDYMLKGESIRCIIRMGE >RHN54041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6417494:6420912:1 gene:gene29017 transcript:rna29017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MSKLSFLKFHGSFSAKPTSPRKNIAQKNSFKLRETSSTEMSFQPKKDEMKWVFEKFDTNKDGKISLEEYKAAAKSLDKGIGDPDAVKAFNVMDSDKDGFIDFKEFMEMFNGENNKIKEEEIKSAFQVFDINGDGKISAEELSQIFKRLGESCSLSACKKMVKGVDGDGDGLIDLNEFTRMMMNGKKSKPISPRKNIAEQNSFKLRETSNAEMSFQPKKDEMKWVFEKFDKNKDGKISLEEYKAAAKALDKGIICDNDAVKAFKAMDSDKDGFIDFKEFMEMFNGEGSKIKEEDIKSAFQVFDINGDGKISAEELSQIFKRLGESCSLSACKKMVKGVDSDGDGLIDLNEFTRMMMNGKKCA >RHN53960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5757770:5771501:1 gene:gene28935 transcript:rna28935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MAAPATSQRTELAKLCSTKDWSKAIRILDSLISQSTAIQDICNRAFCYSQLELHKHVIKDCDRAIQLNPLLLQAYILKGHAFSALGRKADALLVWEQGYEQAQHHSADLKQLIELEELLVKAKQAINSSNETNGLSIPQAKSDSSSNRNLTETCESQAKLSGNTSDKSEVLLKSADKFDARNELNSEGGESSKCDGQVNGSPDIIDNLRYDSSDTSESCDKVLTNSGESSDSNDAAEILRKPSFKFTFPSEKSSEARKSKKFSVARVSKTKSISVDFRLSRGIAEVNEGKYAHAISIFDQILKEDSAYPEALIGRGTAYAFKRELHSAIADFTKAIQYNPAAGEAWKRRGQARAALGEFVEAIEDLTKALEFEPNTADILHERGIVNFKFKEFNTAVEDLSACVQLDRDNKSAYTYLGLALSSIGEYKKAEEAHLKSLQLDKSFLEAWGHLTQFYQDLSKPTKALECLTQVLQIDGRFARAYHLRGVLFHAMGEHRKAIKDLTTGLSIDGANIESLYLRAACYHAVGQYKEAVKDYDAALDLELDSMDKFVLQCLAFYQKEITLYTASKFNSEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVFRQPPLRESLRKGKLRKQELTLTKQKSALIQAADSIGQKIQYDCPGFLPNRRQHRMSGFAAIEVAQKVSKIWRILQAEWKSSNKPNSNSKHGKRVRRRERINLPSQNRGGAGCSTSSVFETSSSGIVDDKLSSRHMSWKDIYSIAVRWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMILGQAKVVRYFPNYERTLDIAKTVMKERSYVHGKTDQIIHLSNDGKLEEIMHAKSCSDLYKVVGEDFWSSTWCNSTAFEGKQLEGTRVTLVKMGQHGFDFAIRTPCTPARWEDYDAEMAMAWEALCNAYCGENYGSTDFDVLENVRDAILRMTYYWYNFMPLSRGTAAVGFAVMLGLLLAANMEFTGSIPQGFQVDWEAILNLDPNSFVDSVKSWLYPSLKVTTSWKDYHDVASTFATTGSVVAALSSYDE >RHN55830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24517971:24519668:1 gene:gene31100 transcript:rna31100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb/SANT-like domain-containing protein MDSLKRKISANPPSTNNEGQSSKASWRDIKATEYFVKACLDQVTKGQRNGTCFTKKGWQGIVSQFHEQSGLNYDKVQLKNRYDSLRKEWKVWYNLFGKVTGLGWNFE >RHN80933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42012244:42013664:1 gene:gene4917 transcript:rna4917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MVKDKVHINVVVIGHVDSGKSTTAGHLIYKLGGIEKDVIERLEKEATEINKPSFKYAWVLDKLKAERERGITIDISLSKFETTKYYCTLIDAPGHRDFIKNMITGTSQADCAVLVIDSTTGSFEAGYSQEGQTSEHALLAFVLGVRQMICCCNKMDATTPKYSKDRYEEIVKEFSPFLIDVGYNLDEIPFVPISGFEGDNIIERSTNLDWYKGPTLLEALDQIKEPKRLLDKPLRLPLQDVYKIGGIGTVPVGRVESGVLKPGMAVTFAPTGLKTLVNSMEMHHEKLNEALPGDIVGFNVQHGSVKDLRRGYVASDSKHDPATEAAKFTSRVIITNQTGRIQNGYTPILDCHTSHVPVKFAKLVTKFDRFSGLEIEKEPKFLKNGDAGVVKMIPTKSMVVEDFSTYPPLGRFAVRDMRQTVAVGVIMVVKKKDPHAEGKIAK >RHN75656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44124560:44125362:1 gene:gene11890 transcript:rna11890 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSQAWKWSVATSVGVVEALKDQGICRWNSVIRSAQQHSKHNMRSLSHANNKIPSTKLRDEKSKQSEESLRTVMFLSCWGPN >RHN77186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4764295:4774351:-1 gene:gene612 transcript:rna612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-dependent channel, 7TM region phosphate MDIVALLTSAGINIAVCVVIFSLYSILRKQPSNVNVYFARRLASQYSRQVDFWLERFVPSPGWILKAWETSENEILAIGGLDAVVFVRIVVFSIRVFSITGVICTVLVLPVNYYGKDRLHKHIPLESLDVFTIENVEEGSKWLWAHCLALYIITLAACTLLYFEYKSITKLRLLHITGSPPSLSHFTILVRSIPWSISESYCDTVNKFFSQYHASTYLSHQMIYKCGKVQKLKDGAEHMCKVLKDSSENSCKPGVVPCCCLGNSTDSFKMVSNEMGSIHERTCYTDIDTRKREFPAAFVFFKSRYAALMAAQTLQTSNPMLWATDMAPEPHDVHWSNIRVPYRQIWIRKMATFSATIAFMLVFIVPVGLVQGLTQLEKLQKMFPFLAGVLKNQYVRRVVTGYLPSVILVLFLCFVPPLMMLFSTVEGPISRSGRKKSACWKVLYFTIWNVFFINVLTGSLISQLSVFSSLKELPATLAKAVPAQGTFFTTYILSSGWASLGFELLQVCPLLYNLFQRFLLRVKDDTLNGITFPYHTEVPRLLLFGFLGFTCSILAPLMLPFLLIYFFLAYLVYRNQIINVYITKYDSGGQYWPIAHNATVCSLLFAQLIALGVFGLKRSTVSAGFIAPLLIVTILFHQYCRKRFLPVFRSNSAQILIDLDKKDEQCGRMEEIYEQLRSAYKQPMPHAPSPSECSSPLEDKGIAGTSEDIEKGVVIKQTRPGPIHRTLSPALDISVLGGNQVSDPACTLNKNIPDVPDPVHEHLIKDGL >RHN75840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45461683:45466128:-1 gene:gene12094 transcript:rna12094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative riboflavin kinase MSCFCKNDANIVAVIFDLDGTLLDTERATRGVLSEFLGKYGKELNREREENKRLGLTQKESTAFIVRDYQLPLTPDQFIKEINPLYIERWRDAKALPGANRIIKHLAKNGVPMALASNSSTEYIYAKISHHKGWKESFSVILGNDQVKFGKPAPDLFEETAKRMGVPAVNCLVIEDSLVGVKAATAAKTKVVAVPSRGESDCCKLANITLNSLLEFQPELWGLPPFDDWVNNTLPIEPIHLSGLYSTGSLFGTTENATFAIPDQVVGIYFGWAKVDSDRKFKILVSINLDFSSVTYKKIDVWLIDANTDLTSEQKMQICLVGYIRSWDNKKLGSMEMDKLEEYKSIARASLEKALHGGDNQVA >RHN47393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39407618:39409187:1 gene:gene41986 transcript:rna41986 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLYQALTSSSLILLGLYHLIFTTLNYLKSPHNYTSKPYHPLPTTSHPRLRPIQLYFIIFSLSIAILHHLILATDNDPLIKGSTPVHRLTSLQSASLLFLFLLLSLSLLLPLRLPHEFSFSLLSLLFLLHSSLQTNLSSLQTSSLEAKCDSVSSNLSALASFMCLLLTLFPRLFPADVGLAASLCLRGFWALQTGLTLYADPFIPEGCHRLLDVVNGVEGSTKCDLDESKFRALAILDLAFVVYVMLVMIVVLVVYAVVASAVGGVRRVGSYESLPTAGSSSPTDSNHIQMKALAGTQA >RHN66195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9627492:9627854:1 gene:gene14132 transcript:rna14132 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLFFYRLSFGFITLEFFLFILLSYVYYLPRKRDFSLNGYMFDKLEV >RHN52371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34380518:34383477:1 gene:gene37036 transcript:rna37036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MERLQRWKMAMRQVANLSGYHFSLGYEYEFIGKIVEDISDKINRVVLHVAKYPVGLQYRVQQLKLLLDKESNEGVHMVGIYGTGGLGKSTLAKAIYNYVADQFECVCFLHKVRENSTHNNLKHLQEELLLKTIKLNIKLGDVSEGIPLIKERLHRKKILLILDDVDKMEQLEALAGGLDWFGRGSRVIITTRDKHLLTCHRVDRTYEVEGIYGKEAFELLRWLAFKDKVPLGYEEILNRAVSYASGLPLVIEIVGSNLFGKSIETWKSTLDGYEKIPNTKIQEILKVSYDALEEEEQSVFLDIACCFKGCKWTEVEDILHAHYGHCIKHHVGVLVEKSLLKINTQYRSARNHVDVTLHDLVEDMGKEIVRQESSKEPGERSRLWCHDDIVHVLQKNTGTSNIEMIYLNCPAMEPVIDCNGKSFKKMTKLKTLIIENGHFSKGPKYLPNSLRVFKWKGCTSESLSSSIFSKKFDFMKVLTFDNCEYLTHVPNVSGLLNLEKFSVEKSNNLITIHDSIGKLNKLEILNAKKCIKLESFPPLQLPSLKEFELSYCRSLKKFPELLCKMTNLKEIALHNNTSIGGLPFSFENLSELRHVTIYRSGMLRFPKHIDKMYPIVFSNVESLSLYESNLSFECLPMLLKWFVNVKHLDLSKNNFKILPECLKECHLLRILELNHCKSLEEIRGIPPNLKDLSAIKCHSLSSSSRRMLLSQVCFCSILQ >RHN57435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39146337:39150352:1 gene:gene32980 transcript:rna32980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxymethylglutaryl-CoA reductase (NADPH) MDLHRRPPQTTTGAVATNPHSHRLKSRSPLPSPKASDALPLPLYLTNTIFFTLFFAVAYYLLHRWRDKIRSSTPLHVVNFTELAAIVSLIASFIYLLGFFGIDFVQSFATRASHDGWDLDDTVITTTTTTTTNQPLRKLTSPEPSLCSEEDNSIVNSVVEGTIPSYSLESQLGDCFRAAAIRREALQRTSGRSLEGLPLEGFDYEAILGQCCEMPVGYIQIPVGVAGPLLLDGFEYTVPMATTEGCLVASTNRGCKAIYVSGGAESVVLRDAMSRAPVVRFSTAKRASQLKFFLEDPLNSDTLAVVFNRSSRFARLLGIQCAMAGKNLYMRFTCSTGDAMGMNMVSKGVQNVLDFLRNDFPDMDVIGISGNYCSDKKPAAVNWIEGRGKSVVCEAIIKEDVVKKVLKTKVAALVELNMLKNLAGSAVAGTLGGFNAHASNIVSAIFIATGQDPAQNVESSHCITMMEAVNDGRDLHISVTMPSIEVGTVGGGTQLASQSACLNMLGVKGASKEEPGSNSRLLATIVAGAVLAGELSLMSAIASGQLVKSHMKYNRSCKDVTKISS >RHN66151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9081428:9081607:-1 gene:gene14078 transcript:rna14078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MSFHLTTEAGILDFPLGFNRIISKPEDVDFENLPVKIGDAVAITSVLQLHSLLVTDDKN >RHN66377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11601815:11602906:1 gene:gene14347 transcript:rna14347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase TKL-Pl-4 family MFGEGTNNSSVGSNDSHTRMLDHQGLKWHANDNYSVAQSANLRGRVTHALSNDALAQALMDFSSPTVGLENFEEWTIDLRKLNMGEAFAQGSFGKLYRGTYNGEDVAIKILERTENDRAQVQLMEQQFQQEVMMLATLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLNQRQNRAVPLKQAVKQALDVARGMAYVHGLGLIHRDLKSDNLLIFGDKSIKIADFGVARIEVHTEGMTPETGTYRWMAPEMIQHRPYTHKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNRNVRPILPDDCLPVLREIMTRCWDANPDVRPPFAEIVAMLESAEIEVMTTVRKARFRCCISQPMTAE >RHN41351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29351186:29353602:1 gene:gene47664 transcript:rna47664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain, reverse transcriptase zinc-binding domain-containing protein MPTDENLRTRGCIIVSACCFCLNTDESSYHLFLQCPFAKELWTWLGGKLNCVIECASTLSLLSCIPTRCSSQIVDLFLVAVIHTIHTIRLSRNSIRFSSNAANVQSAKVKIHSLVVMLSKASVGKYLHTDSTLLDSFTMPAHYRTCGGLFQDSRGSFLGAFVGNIGAASVFHSEVLAFILAMEHAAHHGWRNVWLESDSSSAILIFSNPSLVPLLLRNRWYNACSLGIQIIASHIFREGNCCADR >RHN57588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40339332:40347285:-1 gene:gene33161 transcript:rna33161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative magnesium transporter NIPA MGLSNENVTGLILALASSLFIGSSFIIKKQGLRRAASTYGVRAGVGGYYYLLEPLWWVGMITMIVGEVANFIAYAFAPAVLVTPLGALSIIVSAVLADLILKERLHKLGILGIVMCIAGSIIIVIHAPKEEPITSVLEIWNMATQPAFLAYVGSVVVLVFFMVFHFAPTCGHTNVLVYTGICSLMGSLSVMSVKALGTSLKLTFEGNNQLVYPQTWFFMLVVAICVVMQMNYLNKALDTFNTAIVSPIYYVMFTTLTILASIIMFKDWDGQSFSTIMSEICGFIVVLSGTIMLHLTKDFERSHSFRGGGLPSSPTLSVRLYTGNGDSLLKDDEENESPEDMFSRRQDLC >RHN77898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10299688:10313188:1 gene:gene1402 transcript:rna1402 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDNSNLSAAAGGDSSRPPSTFVKIGDRTRFTVELREGETTIVSWKKLLKDANKVNDGSSSAAIEQVPKANHALEARIGSGQTENKEEDAPQTNRFSAVIEKIERLYMGNDSSDEEDLPVVPDDQYDTEDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERLNEPSALPNQPEKKKRRKDISKNPGENVDGHVPNKRVKVGKTAAGKTASLPVKNTVDSSQNLAVPGEHDEDLKSQNQFEISGITLKKKTADTRPISDPSLCLKASNNDVPSVVVCLKASDNDVPSVVEAKDADKQKTGVLQSKTRVDKNKDASGVHDTADQKYQEKSVYAHSKPQPGRTPSRADCLENTGGSTDKNGIDELPDLNLSEGKSVMQAPKSKNMLKKDCSALRPKSTILEKAVQDLEKTVAESRPPIMEKQEVDSTSQAIKRRLPREIKLKLAKVARLAASQGKVSQELINRLMSILGHLIQLRTLKRNLKIMINMGLSAKKEKDDRFQQKKKEVIEMIKMQAPSIDSEQRQQAGVSGDQELGSDGKAISKRNFSMDTALEDKICDLYDLFVDGLDETAGPIIRKLYAELAALWPNGCMDNHGIKGAIVRAKERRRALHNRNKDQEKIKRKKLLAPRREENVELDTSSQQKMRERLAPESSIQTSLNKAVSKTVTDVRVLSPPVNVTKTEKAKGRSSSPVNGPNKEKAKGRSSSPVNGSNKEKAKGSSNPVNGPNKEKTKGSSNPVSGPKKEKAKGSSSCSPDDARVKDGVLTKKVMKKRKTESELEGTHCRPQKLASLKVEDRPQSVKQSAVVPSKSNIQSTSLPGVEQSS >RHN50521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7900642:7900773:1 gene:gene34817 transcript:rna34817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysosomal cystine transporter MASWNSIKLRVTYEVLGWSAFVSWSISFYPQIILNFQRKRYVP >RHN76033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47135878:47136601:1 gene:gene12305 transcript:rna12305 gene_biotype:protein_coding transcript_biotype:protein_coding MASVCISNSVNNKIIPIRPNFTNLYKWPESEVEFVKTMNSNNYRDRVEVDSLSCRQVYLRSYKFSRKKLSVTEKTIKYFSSLKESVICASNILCCNSKGNRKIPGRAKHITHAAVSVYHRLLSRSDKVHVARHDF >RHN39296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5654448:5662275:-1 gene:gene45306 transcript:rna45306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isomerase MWRLKIADGGNDPYIFSTNNFLGRQTWEFDPNAGTSEERAQVEKARQHFYNNRFKVKPCSDLLWRFQILREIFFKQTIASVKIEDGEEITEEKVTTTLRRAVNHISALQASDGHWPSLNAGPLFYFPPLVFCMYVIGHLDSVFPKEYRKEILRYIYCHQVLLSSTFK >RHN44699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7461457:7462281:1 gene:gene38849 transcript:rna38849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VII-1 family MHERRVPHIETWSKCKCLRKSATYSQSTLDWISNPENLLNKASEIGEGVFGTVFKVPLGSQQGRNVAIKKLITSNILQYPEDFDREVRIIGNARHPNLIALKGYYWTPQLQLLASEFAPNGNLQSKLHEKLPSSPPLSWPNRFKILLGTAKGLAHLHHSFRPPIIHCNIKPSNILLDENFNAKISDFGLARLLSKLDKHVMSNRFQSALGYVAPELACQSLRVNEKCDVYGFGASTNDIYYTNMFQLLKP >RHN53598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2771656:2773530:-1 gene:gene28522 transcript:rna28522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exostosin MAVSLSKKRSKFSRKLEPKQSCFAFTILYSFIRRISALIFLLILIYIWSSFSTIITGNIIHVCFSTRKLNDNFYCVSAATHTNPSFDIPTYSINNNTVSTSIITTTEKIEVSNNVTQELGNLSIGTPYNEEVANAVKIIEEHLKVHRSWRSENKNNATCDDGKGIYVYDLPSKFNKDLVGQCSDMLPWQDFCRYISNEGFGEPISKLGKGWYKTHQYSLELIFHSKVLKHPCRVYNENDAKLFYVPFYGGLDVLRWHFQNVSNDVKDSLSLELVKWLERQVNWKRNLGKDHVFVLGKISWDFRRTSDSPWGTRLLELEKLQNPIKLLIERQPWHVNDIGIPHPTYFHPKSDNDIIDWQLKIIRSNRRNLVSFAGAARDHADDHIRSILINQCSSESDGKCKFLNCSSAKCNEPESIIELFVESEFCLQPPGDSPTRKSVFDSLISGCIPVLFDPFTAYYQYAWHLPEDYDKYSVFMDKKEVREMNVNVVERLGNISLRDRENMRRYIVYELLPGLVYGDHNAEFDKFQDAFAIAMNNLIERVNRFKD >RHN39200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4885614:4886065:1 gene:gene45204 transcript:rna45204 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLWFIQVRVTFIHHFIQKFTTFPYAHLYPVQFPIFFSHFLHLRKNK >RHN72895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11594734:11597170:1 gene:gene8661 transcript:rna8661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycolipid transfer protein MASNDQHVHQRKGLKACGGFLTCFSANARNEQQQSVHDHVDNNTEDIIRGRRVASTTAVDEKHLAKIADAFKELANAIVNENMIEVAAFSRACSFVAPLFGSVGFHFQFIEMDYVTKVNDIAEASKSFKTLQSMVDQDVQTNSVRKQGSHSRNLLKIKRGLEFLKVLFEQVLLTEGNSMKDAVSKAYTQIFNSYHGWALRKAVAVRLHYLPTKQQLYRKLREDESSAKVLMETYISESPPLLRYIEKIFLERELGIDW >RHN81437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45883392:45884225:1 gene:gene5478 transcript:rna5478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Homeodomain-LIKE family MSDPSTSTTPLPHPSILTDQHNLPLIHSITTAQPPPPQPQPPSSSSSSREYRKGNWTIQETLILITAKKLDDERRLRNTSTSTSTPSSSSQDPNRTPNTTHVTSIASPSTSTSRSSGELRWKWVENYCWSHGCLRSQNQCNDKWDNLLRDYKKVRDYESKSESSPPNNNSNKDHFPSYWILNKQQRKEQNLPSNMVFEVYQAISEVLQRKQSQRNNPTPLQQHQQQGLVTLASSPLPALPLQTQFLPPPPPPPLPPPLPLQQPPPPPHAPAVSGNAE >RHN79097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22593089:22596073:1 gene:gene2800 transcript:rna2800 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNEMIINTSNNVSFAVYGCLNIEEGNDYNTPLEVKESNETTYSPNISSSSFEPLSDFSELLVQTSYQIFKSEDICKILTMQSGKQNPDQE >RHN45890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26549836:26550780:1 gene:gene40314 transcript:rna40314 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYSLVLLLLGTMVVTTVVGARRSNMFGYPDYYPFELEEDWFDVHSNPSDIRVGGRPIILARPPPLVDLEEKFYDLDSDLH >RHN63397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50938822:50941258:-1 gene:gene26093 transcript:rna26093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MAEANINIKSRNAKCCYSAFHNVTAMVGAAVLGFPYAMSQLGWGLGITILVLSWICTLYTAWQMIEMHESVSGKRFDKYHELSQHAFGERLGLWIVVPQQLMVEVGIDIVYMVIGAKSLKKLHEILCDDCEPIKTTYFIVLFAFVQYVLSHLPSFNSVAGISLVAAAMSLSYSTIAWIASIHRGALPDVQYSSRYSTKAGNIFGIFNALGDIAFGYAGHNVILEIQSTIPSTPEKPSKVSMWRGMIIAYLVVALCYFPVTIFGYRAFGNSVDDNILLSLEKPRWLIIAANIFVVVHVVGSYQVYAVPVFHMLESFLAEKMNFKPSRFLRFAIRNLYVSITMVLAITFPFFGGLLSFFGGFVFAPTTYFLPCIMWIFIYKPKLFSLSWCANWFCIVFGVSLMILAPIGALRQVILQAKDHKFCLLK >RHN79771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32003956:32004156:1 gene:gene3607 transcript:rna3607 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCVSCIVLFLLSLSLLPKIHSAPSFFTDEIYKELKSIAVFLNQDIKSSLSFCIKDPYVYIHLFK >RHN77052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3570214:3572456:1 gene:gene461 transcript:rna461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller MEHRLKRKSPENGFNSFSLDDLNEDVFEKVLSWLPTSSFFRLTSVCKRWKSAADSASFKLACSHIPTRDPWFFMVAPDLNQSVIFDLAENSWKRLNHPNLLLEDSNKSCIPVAASNGLVCYRTSSGNFIVSNPVTGSSTELPPLNFTSENQSLNAVVMSTTSFNGQISYKIVLVFGELPNLSFKVYNSSSACWEDENALGRKVDDSSADCDSTDDNVVYFLSKAGNVVASSMQRSPTKQYSSVITNQDGQEVVYFLSSSGTVVACNLTCTCFFEYPRLLPVFCEYSIDIVECNGEMIVVLLSEFLETTSLRVWRFDETNRCWQQIAAMPAAMSHEWYGKKPDINCVGAGSRIFICLNSPELCTYVLCDLVTNNWVELPKCHINGEVMEFMSAFSFEPRIEASV >RHN59193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8342508:8343584:1 gene:gene21191 transcript:rna21191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MEKKKTSVYLPHHLIILILLRLPVKYLIRFKCVCKSWFSLISDPHFANSQFQFTTATHTRRIIGLSSLSHEIRSIDVDAWLNDDLPSPNLNFLLPKSYFPFEIIGSCGGFLFLYRFPDIYLWNPSTGFKKQIPVSSFDSNKPHDNLYGFGYDQSRDDYVLVVFSHVSSHLEVFSFPDNTWKEIDGTHIAYVVDPSHRKGFLFNGSIHWLAWRQDLELDVIIVFDLIKRKLIETPIQNDFGGLTLDADSGLWVFGETLSIWILTSDGERMEIWVFKDYKVHLSWNKTLVLSVDFIPDNLNVSPMYSTKNGEIIIVTTDGSILVKYNSKGQLLEHQSFCNSPSKVVMYTESLLSLPGDNE >RHN70587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52530752:52532593:-1 gene:gene19183 transcript:rna19183 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPFPSCFRPSPTTDDNRNSPPPPPSPPHSTNPNLTTYLYQSNVGLVSLTWSRSILGRSLHVQLHHHPFDSPPTNPSSSSSSFHLHIKPFIFWKKHGTKKLSSNTHLFWNLSKAKFGSGPEPDSGFYFAVVVDNEMTLLVGDSNKDAYAKSKSKEHKNNFQYLVMKREHVYANKIYNTRARFGGKMREIQIDCGGRDHSRLCFSVDGQKVLQIKRLKWKFRGNERVEIDGVPVQISWDVYNWLFVKDNSDGHAIFMFKFEEEDEDEQQRGKEKSLTNLLAQQNLNLGVYELGKMGKSWSSSSVSMASSGGSFGASSSVLEWSSVEENELVVPVGFSLLVYAWKR >RHN59548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11853030:11854973:-1 gene:gene21590 transcript:rna21590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase-associated protein Rtf1 MSDLENLLLEAAGVTKRQKLRNTRQKHEDASLSDNESDSKEEDSDKGVGNGNKRPKTITSQVPLKKRLELETTKRGSNEDKNKGEGIESTDREDGVREDDSSEESEGIGGDLYKNEDDKKRLAKMTELEREMILSERATKKGDKEVKEKMIMRMKREKNNSSARASSSNNQSSPLPSSTKIRSSARNAEKTAAKGDALSELRAKRMKQQVTDNHGKSEVKKKKTETLETSPSSSSESESVVRSESEKESSSDDGELVDSDDDKNMDDLDKPTFEDIKEITIKRSRLVKWLNEPFFEELIVGCFVRVGIGKSENVPVYRLCMVQKVECGNPNKHYKVENKVTHKYLVCVWGSENSAKKIQVAVVSESAPLEKEFKHWIREVERTCSYMPSKVNIIEKKEAIRKTNTYVYSAATVKQMLEEKKTAPSRPLNVAVEKDKLKREFELAESKNDEAWMERIQTKLAELEALRRARENNVKAIRLEEMNRKNRVENYKNLSEHRNMMNANLKAGEEGYDPFSRRWTRSRNYYNEDQGKESKEEDGKEEKDDKIVDVEATKESLKEAANAGKLTDTIAPVDVETESNMLHDFELQISLAELQKFGSGEEQRRNVFFARKQKIEATIGYQIPENDGRKHHLTLTISDYKRRMGLL >RHN59885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14383561:14385804:-1 gene:gene22051 transcript:rna22051 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLDFFLSPTLATKVRRLVSRTDKPNPKPQPSKSPLTIADRDFPRGHNY >RHN58438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1742903:1743413:-1 gene:gene20353 transcript:rna20353 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFCLVARFKVWSGISSRKLILVRVYFKQVENPKFKLEFELL >RHN78429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15010713:15011380:1 gene:gene1984 transcript:rna1984 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSSTSISPILPIPVLFVSWFKPFQTATNRPPFGIPNGPATL >RHN45633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23977532:23978428:1 gene:gene40014 transcript:rna40014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation protein SH3 MILFAGREKEAVIRLMQKRMQKCTIFSAFVVESLPKCVYVEAHKESDVKEACKGVQTLYCKKIIAVPMNEMNDLMTLASKSNKEEQNFTQSGESGKKQQFRKGDIVMVTDGETEGKIKVVDKVEEGYVLIRAIDHLSVSIQSKGSYEVQTSQIRKHFKEGDRVKVVSGTDEGETGFVVKVDQHDHLVLFTDTRKEICVLADDAVLMTG >RHN78508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15807931:15809351:-1 gene:gene2083 transcript:rna2083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyllase MCSSVSNVFETGNYTTKLLRVDSCSHAQNVPPPKSLLIATPIEGGEFPLLLFLHGYLLLNSFYSQLIQHVASHGFIVIAPQLYTVAGPDITEEIYSVAAITNWLSKGLSKILPLNIKPNFHKLALGGHSRGGKTSFAVALRKLNMTTDLKFSAIIGVDPVDGMDKGKQTSPPILTYVPHSFDYDMATLVIGSGLGDVKKNPLFPPCAPKGVNHEDFFSECEKPSWYFVAKDYGHVDMLDDDTKGVRGKVSYCLCKNGESRKPMRMFVGGVMVAFLKAYLHGDNVDLLAIRDKNLSVPIEMKFDYFV >RHN44251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2921574:2923256:1 gene:gene38347 transcript:rna38347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-farnesene synthase MAVGPVTVSGWWEKLGIKENLCFARNRLVESFMCATGVAFETKYESLRKWLTKVIIFVLVIDDVYDIHASFEELKPFTTAFQRWDAKEIEDLPDYMKFCFNALQDVTNEIAYDIGGEKNFNFVLHYLKKTWIEFCKALYVEAKWYKTGYIPSLQEYLNNAWITSSGPLILLHSYFGTVYELTNEIDDFPHIYDDLVYNVSLIIRLCNDLGTAVAERERGDAASSIVCYMNERNVSEEEARKHIQDIINNAWKRINGHCSNQDAFMEPFFNQARNAARVAHTLYLNGDGFGIQDRDIKKHILSLVVEPF >RHN63463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51469971:51470614:-1 gene:gene26162 transcript:rna26162 gene_biotype:protein_coding transcript_biotype:protein_coding MRACCQSMTRIISIITITTLLVTLAVFYFVFNHSNVPFLYMAVTVVVASVMILSFRAIMVIWITLFVLLTFAGNRRKVLVQRGRRITLDVVWHLVRVLFRSNK >RHN49700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:402836:405521:1 gene:gene33905 transcript:rna33905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-RSK-2 family MVIHCVCLDISVMDSPMTAVETISEVQNSVSEVPIRHSLPITSGIPRSSRPPLYRNNQGASSSSRSFLEQRHYHHNYGTKKIHHLNSYVVNQKHSYHERNNERRLPTKLCSKQLFDDDSENFDSSSEVLESESCILVPSKRAVVNQPKNYYSQPETSFCLSPQNSYYSATVYSEAKQSFTDTEVSECVSVDDKSYESGEVPNSCDFNESRKTSICRASTGSDVSNESNTSSLSSALYKPHKANDIRWEAIQAVRAREGMLEMRHFRILKRLGSGDIGNVYLAELSCTRTFFAMKLMNKTELATRKKLLRAQTEREILQSLDHPFLPTLYTHFETETLSCLVMEFCPGGDLHAIRQRQPGKYFSEHAVRLAIPFFISLLHEIIYLYVTRRHVYSMYLSPVQCDLYMFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLVKSSNPITETKSSGYCIQPACAMQPDCIQHACFSPRFLSGKSKEKKFRLKNDMHHQMTPLPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFKGSGNRTTMFNVVGQPLRFPESPTVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFHNVNWALIRCANPPEVPRQTMMMKPNSTENEVGMNPSGNYLEFDYF >RHN46940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35907347:35909074:1 gene:gene41479 transcript:rna41479 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta MHQKSRVHKGKCVKKGQILADGAATVGGELALGKNVLVAYMPWEGYNSEDVVLISERLVYEDIYTSFHIRKYEIQTHIIV >RHN53734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3642969:3644363:-1 gene:gene28673 transcript:rna28673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(ADP-ribose) polymerase, catalytic domain-containing protein MAGGWVKSLQCKSKAFEDVYNPNTNTKNRIQSASCRKSVQDIKDVVVETTKPKSKKPLQKHHSSRYPTATTDFETTINRSRSTNSTTHRNNIPMPVPSPDPRFSSLTELNEGHPSRNVVEIIFHTSWGPKPFSGRVEMIFKVHNGSRTVTRFEEYRETVKTRPGSRNHEENARCVADGNEVMRFHCLGPTSGGGPYGGACVGSFPGGKGTAAICTFSGSGGAHESSGGGRGRRAMLVCRVIAGRVSKRVGFVDSLLDGRVGFDSVSGDNGELLVFDSRAVLPCFLIIYRL >RHN74138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28981252:28985138:-1 gene:gene10135 transcript:rna10135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPPDE putative peptidase domain-containing protein MGTKCNTNSTSQSEKDYANNKSNNNTNVVLNVYDLTPLNNYFYWFGFGIFHSGIQVHNKEYGFGAHDFPSSGVFEVEPRKCPGFIYRTSVNMGQVNIHPSEFRTFIENMASEYHGDTYHLISKNCNHFTDDIAGRLTGKRAPGWVNRLARLGSLCSCLLPDSIQVTTVKQLPEYHSDEVTDSLSTATPCESMELDDEQAKRLLSSLDSTEDVSFVKGTQVK >RHN69424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43303918:43304680:-1 gene:gene17894 transcript:rna17894 gene_biotype:protein_coding transcript_biotype:protein_coding MFWPFRSCWDENENMIIINYNYLKQKHINNFFLSFVHPSL >RHN51774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24776740:24776979:-1 gene:gene36292 transcript:rna36292 gene_biotype:protein_coding transcript_biotype:protein_coding MKFREIDTQEEFEEILQKIKQEPFDCSKKDNCRCDDPADIEYDSTRTWVKYKPNIPKTPKGFKRISVLRDDYSKLDPTT >RHN81046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42868280:42871866:-1 gene:gene5040 transcript:rna5040 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAKSSISKNQTDSTLNENTSPFPDEVLKNMPHIQEGDLFMIAIFEFNTHVTRHICHSNYLELMQLE >RHN42474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38633477:38634092:1 gene:gene48920 transcript:rna48920 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTKNDESIAADLNDLAINAGIEDHHAAGVSPVPRVRPMVEIEDHHGL >RHN78113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12019239:12021356:-1 gene:gene1634 transcript:rna1634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuole morphology and inheritance protein MRIENHTIWLPIYSLTFSISYKTLFCNCQALLGVLTYLNDIFDTLLKALSDPSDEVVLLVLDVHTCISRDPRHLRQLVVFLVHNFRLDNSLLEKDVQSAAFKILKTHLKAVPSYSFNRAQLNREPSGDYYQISSSDA >RHN59045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7039450:7040386:-1 gene:gene21028 transcript:rna21028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MRLLRSCRVDQVYEIKEMDEIESLELFSWHAFKQPSPIEDFATHLTDMVAYSGRFPLALEVLGSYLSGCKITEWQKVLEKLKCIPHDEVQKKLKVSFDGLKDVTDKQIFLDIACFFIGMDKNDAIQILNGCRFFADIGIKVLVERSLVTTWEDK >RHN64138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56868964:56870562:1 gene:gene26924 transcript:rna26924 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNHSLEREGSIHLQASETGSTCTTMFEPSLLSNIEKSESDAANSVSPTTNIAPEKKLTLFALQLAVLEKASTGLGTLSFIWATVVLLGTRIFCRSQKLEWQNEATWSITDSAIGSKSFKEMVSTSKHRAEVTTPRTPTRMWVSSEVPLLPYAKWFFLLRHVSRILYWLQLISATASVVLSSMKLVRHDFGEVTNRDTGKRNCRSALYTFYSLALAEALSFLIEKAYWEWQVSYCKLLEEVNDGCDLGPSVGLPMNRTNSNIVRNSTRQLVH >RHN41179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27897002:27900859:-1 gene:gene47470 transcript:rna47470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MVNLLSCLLLVLLSLHYFVACLAANTKNITTDQSALLAFKSLITSDPYDMLANNWSTSSSVCSWVGVTCDERHGRVHSLILQNMSLRGIVSPNLGNMSFLVILDLKNNSFSGQFPKELCRLHKLRVLHISYNKFEGGIPAALGDLSQLQYLYLGANNFSGFVPQSIGKLRQLKELDTIQNRLSGPIPQSISNLSSLERIGLSFNYFSDVASALEYLHHGSSIPVVHCDLKPSNVLLDENMVAHVSDFGIAKLLDEGQSQTHTQTLATIGYLAPEYGSKGIVSVKGDVYSYGIMLMEIFTRRKPTDDMFVAELSLKTWIIGSLPNSIMEVLDSNLVQLTGDTIDDILTHMSSIFSLALNCCEESPDARINMADVIVSLMKIKALVLGANRV >RHN62809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45974657:45985571:1 gene:gene25420 transcript:rna25420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MMPLRLYLTIVLIAIAFSFTSFAIDTSPHEDNFLQCLYSYSHNITSISKVVYTKTNSSYSSILKFSIQNLRFATNETPKPLVIITPTQISHIQTAIICSQHHGMQIRIRSGGHDFEGLSFVSNVPFVIIDLTNFRGIDVDVENRTAWVQSGATLGELYYKIAQKSKTLGFPGGVCPTVGVGGHFSGGGYGTLLRKYGLAADNVIDAHIIDVKGRFLDREAMGEDLFWAIRGGGGASFGVIVSWKIKLVQVPSTVTVFTVPRTLEQNATKLVHKWQFVAHKLEENLAINIILQRLDLNSSKQGEPKSTVLALFQSLFLGSVDNLLPLMEEKFPELGLVREDCVEMSWIESVLYLFRFPEGEPLETLLNRTLAAKDNSKAKSDFVKIPIPETGLEGLWPLFDEDGAEDVLMVLFPYGGIMDKISESEIPFPHRYGTLYKIQYAVHWHQEGDEVEKLHINWIRKLYSYMEPFVSKSPRAAYINYRDLDIGVNNINGYTSYKQASIWGVKYFKNNFKRLAKVKTKVDPLNFFRNEQSIPSHVFLSLVCSYLSVALIAILFSYASSAIDTNTYEDNFLQCLSSYSHNSTSISKVVYTKTNPSYSPVLKFTTQNLRFASYKTPKPLVIITPLEPSHIQTAIICSQNHGLQIRTRSGGHDFEGLSYVSEIPFVVIDLINFKEIDVDVESRTAWVQSGATLGELYYTISQKSRNLAFPAGACPTIGVGGHFSGGGYGTLLRKYGLAADNVIDAHIIDVKGRLLDREAMGEDYFWAIRGGGGASFGVIISWKIKLVEVPATVTVFTVPRALEQNATKLVHKWQYLASKIDENIAINIVFQRINSSKKGETTILAIFQALFLGGVDKLIPLMDQKFPELGVVRENCIEMSWIESVLYLFQFPKGALPEVLLNRTLAANSPRFIYKAKSDFVKTPIPENGLEGIWSLFHEEGAKGAMMIWFPYGGIMDTISESEIPFPHRKGNLYHIHYQVDWQQEGDEVEKLHINWIRKLYNYMEPFVSKSPRAGYINYRDLDIGVNNIDGYTSYKQASIWGVKYFKNNFRRLANVKTKVDPLNFFRNEQSIPSLVSKGHK >RHN77950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10790565:10794342:-1 gene:gene1460 transcript:rna1460 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYPRYFPMVLTPPPPPPLIFFFFINKESMEEIIPDGALKKEAHRQTKRSEPTLTELDGRQKIKNDYSNQST >RHN82271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52468912:52472617:1 gene:gene6420 transcript:rna6420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoglycerate dehydrogenase MAAANATSQTLRLPSQSSLALSSKLPLSSAFSVSLRPHNPRRSLVVFVSSSLDAKPTVLVAEKLGEAGLTLLKDFANVDCSYNLTPEELCTKISLCDALIVRSGTKVSREVFESSGGRLKVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLAGMARNVAQADASIKAGKWERSKYVGVSLVGKTLAVMGFGKVGTEVARRAKGLGMKVIAHDPYAPADRAHAIGVELVSFEEAIATADFISLHMPLTPATNKMLNDETFAKMKKGVRIVNVARGGVIDEDALVRALDSGIVAQAALDVFTVEPPSKDSKLVMHELVTATPHLGASTMEAQEGVAIEIAEAVVGALKGELASTAVNAPMVSSEVLTELKPFVDLAEKLGRLAVQLVAGGSGVKTVKVTYASARAPDDLDTRLLRAMITKGLIEPISSVFVNLVNADFTAKQRGLRITEERVILDGSPENPLEFIQVQIANVESRFASAISDSGEVTVEGRVKDGVPHLTKVGSFEVDVSLEGSIILCRQVDQPGMIGKVGSILGEENVNVSFMSVGRIAPRKQAVMAIGVDEQPRKESLQRIGDIPAVEEFVFLKL >RHN66978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22371628:22372491:1 gene:gene15105 transcript:rna15105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MNLGENEFSATIPINLSQKLEVVILRANQFEGTIPTQLFILPYLFHLDLAQNKLSRSIPKCVYNLTHMVTFDAEELPVDIIIELFTKGQDYVIDVRWERRTIDLSANSLPGEVPLELFLLVQVQTLNLSHNNFVGTIPKTIGGMKNMESLDLSNNKFFGEIPQGMSLLTFLGYLNLSYNNFDGKIPVGTQLQSFNASSYIGNPKLCGSPLNNCTTEEENSKITENEDDESIKESLYLGMGVGFAVGFWGICGSLFVIRKWRHAYFRFIYGVGNRLYVTLMVKLNSFC >RHN44469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5107137:5110146:-1 gene:gene38592 transcript:rna38592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MANSINKKLYTKITLLSLLFLFFFLVAKSIAYSPDFSLAIGCGSSTNTTLDKRIWVGDNIDNKNLFTFIEQKTTNPSLKTSPSSASNIEIPYTTARISLSSFTYSFSTITSSPVFVRLHFYPTSYQNFEPSKAFFSVEVNNKITLLKNFNPSLWIHEDGEKITKEYCIQIKSNEKLNITFIPANNINQSNPYYAFINGIEVVSMPSFLYYTNLNDPKYYLKSLDFDNTNYQVLNDKALETVYRVNVGESQVPPGDDTGMFRNWDNDFPRYLEKQYPQSISSDFKHHLNYENNTIQNYTAPEVVYLTARSYGQYATEEYNVTWNFEVDSNFTYMVRLHFCEFDPNITNKGDRAFQIFIVNSMAEENADVIRWSGSRMVPVHKDYTVSINSEEGSSQIERVNLSLKLQQLPKTMFTKYRDVTLNGIEIFKISDKNNNFFGLNPKPFILSPTEQGLPTEQSKKSTIVIVVVVVVSCLMLASVIGVIVFVRRRRRFESHVEEMDESSWTKKKEGEVSSSLPSHLCRYFTITEIRAATNNFDDIFIIGVGGFGNVYKGYIDGDIPVAIKRLKPGSQQGINEFMNEIELLSQLRHIHLVSLIGYCNEGEEMILVYDFMQRGTLREYLYGSDNEPLTWKKRLEILLGAARGLHYLHAGVKHNIIHRDVKSTNILLDEKWVAKVSDFGLSKVGPTGMSITHVSTVVKGSLGYLDPEYYLRQRLTLKSDVYSFGVVLLEVLCARPPLVRSSEKKKASLVVWFQKCYNEGVIIEEMVDPFIKDSITSECLKCYCQMVLSCLHDDGNQRMSMSDVVGALEFALQLEMSEEDTKSGWTHEKEKSEERQQVSQFTSEDGSDVCFTSSSDDYGSQTSKVSTISASTEDQHLLYATVFSEIGNPRAR >RHN68415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35308737:35311825:1 gene:gene16765 transcript:rna16765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribosylanthranilate isomerase MFSGSTTGSYLELRATNFHKRPIPGLRGNKLQFSSIRFGPKYEVSCKLTELANVSCSSKEPEKIKPLVKMCGITSAKDAAMAAEAGANFIGMIVWPNSKRSVSLSVAKEISKVARDYGAEPVGVFVDDDAETILRASDASNLEFVQLHGSGSRAAFPSLIQESRVIYVLHANADGSLLNTIPDEECPLVDWVLVDSAKGGSGEAFDWAQFKLPKIRSKYGWLLAGGVNPENVGEALSSLKPGGVDVSSGICASDGIQKDQSRIASFMDAVHSVQY >RHN63001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47511017:47512551:-1 gene:gene25649 transcript:rna25649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MPYYYDFVVRSDNSSLLKVTIKPNVTDFVPNAFLNGLELMKVIEPSGLIPSDDLGSNSKKIRLPVVLGSVLGGLALVSVVVVVFFWISKIRKQRPVENSGFLATQAAAGGISLRRLTYGKTTQGSPLPNINLGLKISLLDLQFATENFDADRIIGKGGFGNVYKGVLKNGMSVAVKRRVPGSGQGLPEFEAEIMVLSKIRHIHLVSLIGYCDEGYEMILVYEYMEKGTLKENLYNKSLPSFLTWKQRLEICIGAARGLHYLHKGVAGGIIHRDVKSTNILLDENLVAKVADFGLSKAGPLDQHSYVSTGVKGTFGYLDPDYFRSLHLTEKSDVYSFGVVLLEVLCARPAIELSCPSEQVNLAEWGLLCKDKGTLKEIVDPSIKAQINQNSLRIFSETMKKCLQDRGCDRPTMVDVLWDLEYALQLQREPHEDSSSSAFASLQLPNVQRLPSLSTLTKVDGTSTGRVDESESAALTMLDRISCEENQGTTINTQVSP >RHN78336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14224274:14228682:-1 gene:gene1878 transcript:rna1878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MNKLYYHLSHIFINLPKLKITHSYYPFQIRSSSTATLTSEMKRCNYFISKLCREGQINEARKVFDEMSERDSCLWTTMISGYIKCGLINEARKLFDRLDAEKSVIVWTAMVSGYIKINRIEEAERLFNEMPVRNVVSWNTMIDGYARNGRTQEAMDLFGRMPERNVVSWNTVMTALAHCGRIDDAERLFNEMRERDVVSWTTMVAGLSKNGRVDDARDVFDRMPIRNVVSWNAMIAGYAQNGRFDEALKLFERMPERDMPSWNTMVTGFIQNGDLNRAEKLFHAMPEKNVITWTAMMTGYVQHGLSEEALKLFNKMQANDGLKPTTGTFVTVLGACSDLAGLPEGQQIHQMISKTVFQESTYVVSALINMYSKCGDFHVAKKMFDDGLSGHMDLIAWNGMIAAYAHHGYGNEAIILFNKMQELGFQANDVTYVGLLTACSHAGLFDEGFKYFDELLKNRYMQVREDHYTCLIDLCGRAGRLDEALNIIEGLGKEVSLSVWGALLAGCSVHGNADIGRLVADKVLKMEPENADTYLLASNMYASVGMREEAANVRMKMKDKGLKKQPE >RHN78499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15698032:15702151:1 gene:gene2073 transcript:rna2073 gene_biotype:protein_coding transcript_biotype:protein_coding MATHLKPFTTTTPYRSFHHLHHNHHRNQPSSKPTRWVQIRATSSSYLEMWKKAIERERNTTNFNKLAASNDSGVEENLEKKTQDFQKLLEVSSEERDRIQRLQVIDRASAAIAAARALLKDANSNSVRSDGDMLQKYESDSGKKNDSIFVRESGTQNGTLFVPKSGTQKDGIPGPDFWSWTPPVDSDVPSNDANGLKLDTKSSVNPTLSNPVIEKERSSQSLLIPFESLLTQSKNFPTLPPLQSSLEVQTSSSNVESPSVEEEKKRDALSSDHAAEVVRALEVDSKSSPIGVNPDGTRWWREMGIEQRPDGVICRWTVIRGVSADKALEWQEKFWEASDEFGYKELGSEKSGRDANGNVWREFWRESMRQENGLMHMEKTADKWGRNGKGDEWQEKWFEHYNASGQAEKWAHKWCSIDPNTPLDAGHAHVWHERWGETYDGYGGSIKYTDKWAERSTDGGWEKWGDKWDENFDLNAHGIKQGETWWEGKHGERWNRTWGEQHNGSGWVHKYGKSSSGEHWDTHEMQDTWYERFPHFGFFHCFENSVQLREVQKPSERQEP >RHN61239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34072815:34074484:-1 gene:gene23680 transcript:rna23680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MIRMGTDNPITFIRPTTMPNNPITSTNLAMKPNNPITFIKLLMKSSNLVTFIRSTTKPNNPTTFTKPTMKSNNPITFIKPTMKPNNPTTFIKPTVKPNNQPTFTKLAMKSNNPITFIKLVMTSNNQIIFIRLAMKSNNPITFIKLATNPNNPTTFTKLATKSNNPTTFTKSTRKPNNPTTITKLAMKPNNPITFIKPTMKPNNPTTFTKLAMKSNNPITFIKPIKKPNNPTTFTKPTMKPNYIYKANHEAKQPNYIYKANDEVKQPNYIYKANKEAKQPNYIYKASGEAMQPNYNYKAPTHDHIEAFRIGFFNLDDLHVGNVMTLQFPIQEISNFLSRKEADSIPLSISQLPSVLELLSIPEDSPQAKSMRETLEQCQAEPVTGESKTCANSIESMLEFVDKIIGSDSKHNVLTTTHPSPSAIPLQKYTILKVSRDISVPKWVSCHPLPYPYAIYYCHYIATGTRVFKVSLVGDVNGDKIEAVGMCHLDTSDWNPDHIIFRTLRIKPGKNVPVCHLLSINHLLWVPGQTSKAIV >RHN80034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34522858:34525095:1 gene:gene3910 transcript:rna3910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, CRAL/TRIO domain-containing protein MLQFSGKFSLPENPIREEAMSDTDEYNDDNGNNKNYIHLDFNENQISLINEDEYDHDLVSDHDLVSDVERELVDDEEVGTPSPAEIRLKRKKSLLEFRCKIQEAIIGKYLLGEGEKGEKISLPKENLRDITLWGVPLLPSRAHEGTDIVLRKFLKAKDYKVNDAFDMLQKTLVWRIKNNVDKILDEDLGSDFEKVGYLDSRDKEGRPVCYYVYEVFKDKSLYKKTFGTQKKCDLFLRWRIQLMEFAIKKLSFRGGVDGIIQVYDLKSAPIQGMKELNSVSKKALMLFQCYYPEIVYKNIVVNAPFWFYTSQVLFSRFMNQRNKKKFILARPQRVTPILLRYIGPEHLPAGYGGLRRNNDHDFSPDDKVLEHRIRANSVSTVEFPVNETGVTIMWDITVVGWEVIYKEEFIPNDECSYNVLLQNQSVVGDSIRNSFYINEPGKIVITVENGNFKKKRMYYRTKTRVTVPMFILLS >RHN68171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33353554:33355533:1 gene:gene16484 transcript:rna16484 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVFEKNKNRGDQKTSRINKFLVTINIMGSSGPIRFVVNEKELVSGVIDIALKSYARQGRLPLLGFNAADFLLYYPNAGFDALNALEPIGSYEARNFVLCKKQVNQSNKEPQSELVSPKSKSGWKEWLNKSFSLKILSH >RHN40182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13936060:13943520:1 gene:gene46298 transcript:rna46298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PWI domain, nucleotide-binding alpha-beta plait domain-containing protein MGNIDRSDNRSFQTNFTDEGIAVLTETLNEKLKELMGDTDEILVNYVIVLLKNGKAKNQAKNDLNLFLGDDSDSFVSWLWDHLALNIDLYVQSKGLQDEAPKSKVLSKAQAGDDDFQSLNQKSESVKSRSRRNKDWNGLVGRDAEAPPLLSFVVDNMHLDKKDQSKVNGGPKAPSLAPPVQRKKGCAVEQQKVRKRGCAVEQQKTMKRGCAVEQQKTKRDSVSQVTIDAPRRLLQFAVRDAVASSNLGTTVEPSLKRLRAAVSSSYVESSMVEHPHRMQTISNLVKFKSSGSAFDPIDCDIYPSYGNVQLEDNQYQEESPYGNVQLEDNQYQEESPFLYHEKNDYDDQYAANMTMLDYETGFQFEDNQYQEESPFLYHEKNDYGDQYAANMTTLDHETGFQFEDNQYQEESSFLYHEKTDYGDQYPANMTTLDHETGFQFEDNQYEEESPFLYHEKNDFGDQYAANMTGVPSDSSSDNEGFDDVNFMGNRVGRVSQFSSSGGKRGEYSLMGNYSAAKNDDSILLKKNRNQDQSAAAPNSSKIVNISVNVNAWNPPVPKQYAKPRKVADLSGHKTLNSGTRAPKSGLSMVNENAKTVKIDSGKAKPTLDLLKVTQKAQPSTPGTGSSAASCPLDDADSRTIFASNVHFAATKDAICRHFNKFGEVLKAVIVTDSITGKPKGAAYVEFMYKEAADNALSLDGTSFMSRILKVVRKSTAQQEDYAPAVPWPSGVRGSPYPSARFLKAPIPAGTAGAFRPRPPVKFGARSLQWKRDAQGTSSDNSATLNNSSISTPVSRGLTYVRPESKLDGSLGTTQV >RHN70178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49381688:49387686:-1 gene:gene18733 transcript:rna18733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TIFY family MYNSMNMSDQIKNNEDPDNNNNHIHFDSPTLEDASGEGEGQINDVSLENVYVSGDGNHPDMSVQQFDDSSQLTLSFRGQVYVFDSVTPEKVQSVLLLLGGCELNPGSQCLDTSPLNQRSGAEFPTRCSQPQRAASLIRFRQKRKERNFDKKVRYEVRQEVALRMQRSKGQFTSAKKQDGGNSWGSDPESGQDVVQSETSCTHCGISSKSTPMMRRGPSGPRTLCNACGLFWANRGTLRDLSTARRNHEQHTLGSPEQGMRDLSNPKRNHQPHPLPQPEQVGEGNEDLNCGTAPAHNDSVDDKTAVVSDQ >RHN73929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21735103:21747706:-1 gene:gene9829 transcript:rna9829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MPSLKMKSKVSVSCLTERKDLQICPKSKVISKNSCSKMMISAQDAELDATIQNCIDVSSRTLPKDNASNQSNDGKEFLEDEDSESQHQFSVCSSVLGRMELTDPCTDNLDTIFSPAFEPIEVHLQHYTEKGSFGDINMAGVGADEGRNICDFETCDVSDFYISDMIITSLPFCGNSLDDDVGETTFLSDCGSSDPSVFCASEQYMILPAHDDDAKVGCTTDILSCEEAIMVRESASLYSAIAQIRSCNQESNVKDDLDKAECFDPQSFIKNLPELSEVELNGQPTLAPKQSPRRKSVTLVLDLDETLVHSTLEHCDDADFTFNIFFNMKDYIVYVKQRPFLHKFLERVSDMFEVVIFTASQSIYANQLLDILDPDEKFISRRLYRESCMFSDGNYTKDLTILGIDLAKVVIIDNSPQVFRLQVNNGIPIKSWFDDPSDCALMSLLPFLETLADADDVRPIIAKRYGNKE >RHN81151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43668414:43669433:1 gene:gene5154 transcript:rna5154 gene_biotype:protein_coding transcript_biotype:protein_coding MKSENTTSKLHNIKGTHLVTLLSHLKVCLDNTTFPFPTGYFTKNTQEVLIPPMVCWKSDKMLVLFETPAGFALFKLLDEGKLSEFQGSHRDICS >RHN67419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27057289:27064471:-1 gene:gene15608 transcript:rna15608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MAKEEYYPLFETRRRKGRLMYRVFSFSLLIGIWSIWVYRLSYIPKEDGKWVWIGLLCAELWFGFYWFLRQALRWNPIFRQPFPERLTQRYENMLPKVDIFVCTANPDIEPPIMVINTVLSVMAYDYPTEKLSVYLSDDGGSDVTFYALLEASKFAKHWLPFCKRFKVEPRSPDAYFKTLDTCPNNAKEFLAIKRMYQDMESRVENASKLGKVPEETYSKHKEFSEWGSYSSKRDHDTILHILLHRKDNARDEDGFVMPTLVYLAREKRPQFQHNFKAGAMNSLIRVSSMISNGKIILNVDCDMYSNNSQSIRDALCFFMDEEKGHEIAFVQAPQGFENITKNDIYGGSFRIPHEVDLHGFDGFGGPMYIGTGCFHRRDALCGRKYSDQYKIDWKNANDENIDHMIKEVSLQELEEKSKTLASCTYEENTSWGKEMGLLYGCVVEDVITGLYILCKGWKSVYYNPTRRPFLGLTPTTLPESLVQHKRWSEGQFQIVLSKFSPIWYASGLINPGLQMSYCYYNLWALNSIPTLYYSIIPSLYLLKGIPLFPQISSPWFIPFAYVIVGDSTYCLLEFLRVGGTIKGWWNELRMWVYKRTSSYLFAFVDNMLKVFGFSNSNFIISTKVAEENVSQRYEKEIIEFGNSSPMLTLLATLAMLNLFCLVGMLLKEVVSSIRIFEAMLLQVLLSGVLVLINIPIYQGLFLRKDKGRLPRSVAVKSTTLALSACVLFNYLS >RHN38692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1337291:1337563:-1 gene:gene44653 transcript:rna44653 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQTLGSNLGIGKSKLGFLGEKLVFPESCTMTASSVSCSCVFFTRFRFELGFGVKMKVVDNFVSFPMALVWRKNDFWFRSYDENTPRRS >RHN58726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4304756:4306227:-1 gene:gene20660 transcript:rna20660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVSVCMQLKESMALTKKVSNHIPHDLSFDIVSKLPLKSLKRFTCVCKFWANLFENPQFMSVYRNNFFLSRSRYDDHQNSRLLLKVTPAYGYGRVDKMFLLSGDTFENSVKLDWPPLFEEDSKAIFIVGSVVNGILCLCQGGIRVDTTYIFYKLEQKVVLWNPSTDEFKAIPNGSFEHTILKAFPPGSVFEDLPTIHTFINIHGFGYDPVTDDYKLIRYFCFFEDIEEDDDPSDESVWQIYSLKSNSWRDLEVEMPNHTWTDQWQNAGKSVYCQGMCHWWGYEDYDGDEVLVSFNLSDEVFITTPFNYNWGRFFKHMVVLKEFIAMIEYEDDPFYFFISILGEIGVAESWTRLFKIGPLYGVEEPIGVGKNADIFYMKKDEEVARFDLNTEVIEDIRVKGRYKCCQTVIYNESLLPIEGMHG >RHN67922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31491845:31495978:1 gene:gene16180 transcript:rna16180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MGGTGKTTLAKEVGKELKHSKQFTYVIDTTLSLSPDIRKIQDDIAVPLELKFDDCNESDRPKKLWSRLTDEGKIDQTKEEKILLILDDVWDVINFDKIGIPDNHKDSRILITTRKLSVCNRLGCNKTIQLKVLYDEEAWTMFQRYAGLKEMSPKILLDKGCKIANECKGLPIAIAVIASSLKGIQHPEEWDGALKSLQKPMHGVDDELVKIYKCLQVSYDNMKNEKAKRLLLLCSVFREDEKIPTESLTRPGIGGGLFGEDYVSYEYARTQVVISKNKLLDSCLLLEADQNRVKMHDLVHDAAQWIANKEIQTVKLYDKDQKAMVERESNIKYLLCEGKIKDVFSFKFDGSKLEILIVAMHTYEDCHNVKIEVPNSFFKNITGLRVFHLMDDRYTQLALSLPHSIQSLKNIRSLLFTGVNLGDISILGNLQSLETLDLDYCRIDELPHEITKLEKLKLLNLDYCKIAWKNPFEVIEGCSSLEELYFIHSFKAFCGEITFPKLQRFYINQSVRYENESSSKFVSLVDKDAPFLSKTTFEYCLQEAEVLRLRGIERWWRNIIPDIVPLDHGMNNLVKLNLISFSKLPC >RHN45045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11093332:11095644:-1 gene:gene39241 transcript:rna39241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MQLISSVLLDLPPGKKPLDWNTRMRIDAGVAKGLEYLHYKMKPPVIYRDLKCSNILLSYDYHPKLSDFGLAKVSPIGDKNHVTTRVMGTYGYCALEYGMTGQLTIKSNIFSFEVALLELITSRKAFDNSKPLTGG >RHN54431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9488383:9492363:-1 gene:gene29470 transcript:rna29470 gene_biotype:protein_coding transcript_biotype:protein_coding description:G2/mitotic-specific cyclin-1 MKFSVEKNVSNNPTNFEGGLDSRKVGQNRRALGVINQNLVVEGRPYPCVVNKRALSERNNVCEKKQADPVHRPITRRFAAKIASTKTSNAEGTTKKSNLANSSSNGFGDFIFVDDEHKPVEDQPVPMALEQTEPMHSESDRMEEVEMEDIMEEPVMDIDTPDANDPLAVAEYIEDLYSYYRKVESTGCVSPNYMAQQFDINERMRAILVDWLIEVHDKFDLMHETLFLTVNLIDRFLEKQSVVRKKLQLVGLVAMLLACKYEEVSVPVVGDLILISDRAYTRKEVLEMEKVMVNALKFNISVPTAYVFMRRFLKAAQADRKLELLAFFLIELSLVEYAMLKFPPSQLAAAAVYTAQCTMYGVKQWSKTCEWHTNYSEDQLLECSSLMVDFHKKAGTGKLTGAHRKYGTSKFSYTAKCEPASFLLENES >RHN77902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10346118:10356509:1 gene:gene1406 transcript:rna1406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MDQRFLHHQDHRTRYAPPIHHLPPPPSLPPPPPPQPLPYRSSLPPPPPFHQSPQFHFYPLQHRRIPPQPAWNPNPNPDDRFHRDSHHHHHHHPPPPPPPSYPPLRYESESNPIPRETFVYTDNANYHHHHRQLTNTASTSNHAYPERDDVSTRIDSRIDSTRIDSRIDNRRWLNERKVHSSSPSHSPFESVKDELSATVKRDYRDSETGRYSNGGNSGSRGNSREFNHHNQGREFSRTPPKKQIQKKSALLRIQNAKPNHRNRDAYVNDSNGNFFRGNGKDQHGRGYMKGEDRKKGSPVEVDVDISFESNVFVAKHIVTAAPSTSGVDAGSVPGKLSSVVQTMNDNNNDTSQKNVGDDCNPNEKKEGLISVEASGTHTRKLASKVVKKKKAVSGGENLNANKNTLGKNVGDGCNSHEKNEVGVSGEASGTGTRKLASKVVKKKKSIKRVVKKGSAKPNISGVSAPPSVANAVGETVVADSGSNAASENVKTGTCLEEKINAADKVSAPDDQGNALAEDKKEGLSMPSLGPECRSQEYKNDEASDIGKESRFERGGSISNTPSCVSSILDRISGSDCLDVCNSVPDLVSVTHIDKATKSLNGSTSEINQLDCGNKQLCQSEVSLSPGKHLDVGCSGNSNLVDVGNEMNNNVISADIINTYNSADDGVCVLNSSDPTSSGKETTNSGNNDIDGEAYCEKMVHVFNRGSTSDGNSDTAIPLPSSGMVAFSSLGDTRIQDGQDCLQNTSVLMHGSDIGASSLEESITVHQFGIMKDAEKQVSPGEVPISAENCDIDITFPNSNISLGFDVRDTSKIEKKGVKTGLNGLTLNLDEISLPPVSHSNDADRGSRILSKDPCYSDGLDHSIQSLDFYSLSNQVGDTALHGKRGFSEAEFCFANNDSDDENKVSPVPKRKKVTASLPNLTEFQSEFSDSVVPATSNAEVPICFSDNQEHKKDDVASSSMGMSIKSNAQSIPYSGDTAKRSTDANRETKSSEHLELEHSDMVFTQCEDLAIPNVQFSVFGCERNDNATPIEHISNITGEKIDSQAAETNYHYRDVVQRSPRADMLSNDLDKKGHSLAQENLVSCPADGNGVTISNSNNELIEDLPYALSDMYSQGMTSDLPDRMITEFTATDDDENICGDEENPNSVSMVKHGSDSDAFTSSKQHTEKNRKSDHAIGCSDPVPRNITPEPAQAHSKVTPLGLNSSCSELNGSKNSPGGVIPKAFKGYSFPFPKSKTKTPASSINALKSRTWHRTDNSNSPASLPRVKLSAGIVPPKRPILEKKNFPNTSYIRKGNSLVRNPTPVAAIPQISSSSFVRRPTPVSAIPQISNNPPSLGLGETPKGTKPENRAVLIDQPIYSKTYVSNTLLQRTSPLHIDTKSEENISSPLLEPPSSGFCENASDLGKFIETNDAPACSEDVLKQYETPENQTAPSSNGECEDEANVGYNSSLNSKRIVYIKPKTNQLVATSTSSDIIVSTDDKGQTAIPDSYYKKRKNQLVRTTFDNHVNQTVATPNNVVNSDGQGDSKVLRNRRFSKRRSHKAGISSKSSRASLVWTLGSKNSSGNDRDSRHYQKVLPLLSPWKRTTYLRSFIHNSASSFNSCSLSAVGKKLLLLRKRDTVYTRSTRGFSLWKSKVLGIGGSSLKWSKSIEKHSKKANEEATLAVAAVEKKKREKKDPACVGPQTKRERIFRVGSVRYRMDPSRRTLQRISDDESLSSASIGTSLVSKRGYIPRRLVIGNDEYVRIGNGNQLIRDPKKRTRKLAIEKVRWSLHTARQRLARKQKYCQFFTRFGKCNKDGGKCPYIHDPSKIAVCTKFLNGLCSSPNCKLTHKVIPERMPDCSYFLQGLCSNENCPYRHVNVNPKASICEGFLKGYCADGNECRKKHSYVCPSFEATGTCTQGSKCKLHHPKKQSRGKKRKRSGDQNNGRGRYFGSIPVEISEPRMMVAPRHPQQSEEHEDELTDYISLDVYEEAEDRVDQSFEASTFCDNDTVDLQLDTSDELIKPVSIIAKFALQSQSRSLQT >RHN56152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28195229:28196493:-1 gene:gene31509 transcript:rna31509 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSKSQGRNFSSSPPPPIDSSSLPSDVSVCHGSKRKKRKTSNAPSTRTNAADTNRQSRSRLSLAEPSKKLPERKARVGGKPSDNAKKEMALRVRSKGAGKSKVESISKLFSSSERGKSISGSCKPQPLKFSTKPKPLENVSVDEASPKSSSPAIEPQQPLTDEEDVDITRLPNYITIDEILENIKLASLKVVDPIEEEKRYLGGMQCNCEFNKCDCLRAYYESVEYDSDYVFTL >RHN78514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15889181:15889594:1 gene:gene2091 transcript:rna2091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MSLLTKKLFLLCALTLLSTHNVHVVAHKHVNVFNYIEDNLDMYLHCRSGDDDLGEHNLHHGESFGWKFDHNFFGGTKFWCLFNWNGNQEERKFYVFVQSRDDEKDDTLNYYIVKAGPCLTQRSQTLCYNWNGDIRDM >RHN40967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25131551:25152803:1 gene:gene47221 transcript:rna47221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MKKMVNLLSGLFLFLLSMHCFVACLASNTKNITTDKYALLAFKSLITSDPYDILANNWSTSSPICSWVGVTCDERHNRVYGLNLTNMNLRGTISPSIGNMSFLVILDLHNNSFGGQMPKEICWLRRLKFLRFSMNKFVGEIPAALGELSQLQYLRLGINNFNGSIPQSIGNLRRLKLFTVVKNMFSGLIPQTISNMSSLEYVGFSSNYFSGNIPPSIGNCTSLIDVFLDTNFFTGSIPKEIGYLDKLEILILAENSFSGSIPSNLMNMSSLKQLEFEQNYLSGIIPSKTGYNLPNLQYLHLNNNNFVGNIPNSIFNSSNLIGFQLHSNAFSGTLPNIAFGGLRFLKTIFIQDNNLIIEDSLQFFTSLTNCRYLNILDLSGNHILSNLPKSIGNITLMYFLADSCGIDGNIPLEVGNMSNLLSFSVNGNNINGSIPGTFKDLHKLQGLDLRNNGLQGSFVKELCQIDNLDELYLESNKLFGVLPTCLGNMTSLRKLYIGYNNLNSTIPSSLWSLRDILQVDLSSNAFIGNIPLEIGNFKAIILLDLSRNHISSNIPTSISSLRNLQNLSLAHNKLYGEIPTSLGEMINLFSLDLSQNMITGVIPKSLASLLYLRNINLSYNRLQGEIPDGGPFKNFTAESYLHNEALCGDPRLQVPLCDKQAKKMSMKKNLLLKCILPILVSTIFVVACIILVKLNKRKMVENTLERNLSTLGAPRRISYYELVQATNGFNESNLLGRGGFGSVYEGKLSNGEIFAVKVIDLNSEEKLRSFDRECNALRNLRHRNLVKIISSCSNIDFKALVMEFMSNGSVEKWLYSNNCCLNFLQRLNIMIDVAYALEYLHHGSSIPVVHCDLKPSNVLLDEDMVAHVSDFGIAKLMDEGQSKTHTETLATIGYLAPEYGTKGIVSIKGDVYSYGIMLMEIFTRRKPTDDMFVEDLTLKTWISESLPNSIMDVLDSRLVQQNGGKIDDILSCMSSIFGLALNCCKDSPEERINMEDVIKMLIKIKTMVLDENMV >RHN52474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35697200:35700142:1 gene:gene37158 transcript:rna37158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MAMLQSSVSSSSSFSYGFTYDAFLSFRGGDTRYGFTGNLNRALCDKGIRTFMDDRELQGGEEITSSLFKAIEESRIFIPVLSINYASSSFCLDELVHIIHCFKESGRLVLPIFYDVEPSHVRHHKGSYGKALDDHIERFQNNKHSMDRLQKWKIALTQTANFSGHQINPSVGNEFELINKIVEDVSRILNGVPSLHAAVSDVSQTRRVVQVIMLISLRVFQAETTWRVIGFMSCIVGLLCYALSPSFNRLFGRWNPFKVFLYVALSLAILTTILVVKQASPSTRHVQLKNTCITFVVLMIISVYSFFYDEA >RHN52728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38179003:38184575:-1 gene:gene37429 transcript:rna37429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MPVIKWRRPRTKLRHPISETDKTSQLSSLIQSTSCKSSISTLFSTFSNTNTSNETTHDSNQNITKKNNKNSKNVNNTNSSFSASTFRSLGCTAGTSQQVSVPAVIRSSADWQGKKNRKKKNRRNKTCHGVDDVDFQDVWCGPGTGFLSDAAADVSVDCVVARKNVSSRGKLDNGERIPHRERSSIFGRRSVNLNQESLSFLEDNSDIFTTRPGLESFGNSGFYRNASNVSSDGIAEIMKFKGARLMGGRSRDQFRDWRLDVDNMSYEQLLELGERIGYVKTGLKEDEMKNNIRKIKLLISNDSSKHQIDKKCTICQEEYESDDELGRLHCEHSYHFQCIKQWLVLKNFCPVCKQEVVVRP >RHN60777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30302947:30304757:-1 gene:gene23143 transcript:rna23143 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVTAQDLEVNLCVMDKDKTLYQDQGQALWKSMLCGSSLWTKILCTKCLT >RHN44470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5121265:5122995:1 gene:gene38593 transcript:rna38593 gene_biotype:protein_coding transcript_biotype:protein_coding MLFICPCFMTSKFCTLEHMLVFQLFFNTLLSRSNQEDFYEPFLSNGLNLSTNLRFSNFQKTQEALFSPIQARIFSSSLISRKIEGIQTEEGILMKIVEGSLG >RHN43313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44848531:44849885:1 gene:gene49864 transcript:rna49864 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MNKLSFLNLFFFSLCFIASFSHALNNGFSVELIHRDSSKSPFYQPTQNKYQKVVNAARRSINRANHFYKNSLTSSPESNVIPDNGEYLMTYSIGSPPFKLYGIVDTGSDIVWLQCEPCEQCYNQTTPKFKPSKSSTYKNIPCSSNLCQSVRDISCNAHNFCEYTISYGDHSHSQGDLSVDTLTLESSTGGHISFPKTVIGCGTNNTVSFKGASSGIVGLGGGPVSLITQLGSSIGSKFSYCLLPLSLESNRTSKLSFGDAAVVSGEDVLSTPIVKKDPTVFYYLTLEAFSVGNKRIEFGRSSNGSDEGNIIIDSGTTLTILPSNIYNNLESAVAESVKLERVDDPTQQLNLCYSTTSDIYNFPLITAHFKGADIKLHPISTFVSVADDIVCFAFTTSPGVAIFGNLAQQNLLVGYDLKQKTVSFKPTDCSKV >RHN55869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24882334:24885326:-1 gene:gene31147 transcript:rna31147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MKNSNNQHSLNTISRNFNSHLHLIRFLTYLLLLACGITLGIIISFYLKSLNFNLHFTQLSQKTTLLPLRQPIVFDSSLNGSHEGLKKFIEPSQVVHDLSDEELLWRASLSPKINEYPFDRVPKVAFLFLVRGPVPLAPLWEKFFKGHKGYYSIYVHSNPSYNGSEVESPVFHGRRIPSKKVEWGKFNMIEAERRLLANALLDFSNQRFVLISESCIPLFNFSTVYSYLMNSTKSYVMAYDQASSVGRGRYRIKMSPTIKLREWRKGSQWFEMDRNLALEVISDRTYYPVFGKYCNGSCYADEHYLPTLVSIKFWKSNTNRSLTWVDWSKGGPHPVKYVRPEVTCEFLENLRNQTCKYNGNSTNVCYLFARKFLPTSLTRLMRFAPKVMHL >RHN73922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21648846:21651309:-1 gene:gene9822 transcript:rna9822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MQFVINNMPKSFSNQHSWYESTLAQVTTTNNNLNNSTSSKIFYTYTNVMNGFSANLSPEEHESLKTFSGFISSIPDLPLKLDTTHSPQFLGLNPYRGAWPTSDFGKDIIVGVIDTGVWPESESFRDDGMTKIPSKWKGQLCQFENSNIQSINLSLCNKKLIGARFFNKGFLAKHSNISTTILNSTRDTNGHGTHTSTTAAGSKVDGASFFGYANGTARGIASSSRVAIYKTAWGKDGDALSSDIIAAIDAAISDGVDILSISLGSDDLLLYKDPVAIATFAAMEKGIFVSTSAGNNGPSFKSIHNGIPWVITVAAGTLDREFLGTVTLGNGVSLTGLSFYLGNFSANNFPIVFMGMCDNVKELNTVKRKIVVCEGNNETLHEQMFNVYKAKVVGGVFISNILDINDVDNSFPSIIINPVNGEIVKAYIKSHNSNASSIANMSFKKTAFGVKSTPSVDFYSSRGPSNSCPYVLKPDITAPGTSILAAWPTNVPVSNFGTEVFNNFNLIDGTSMSCPHVAGVAALLKGAHNGWSPSSIRSAIMTTSDILDNTKEHIKDIGNGNRAATPFALGAGHINPNRALDPGLVYDIGVQDYINLLCALNFTQKNISAITRSSFNDCSKPSLDLNYPSFIAFSNARNSSRTTNEFHRTVTNVGEKKTTYFASITPIKGFRVTVIPNKLVFKKKNEKISYKLKIEGPRMTQKNKVAFGYLSWRDGKHVVRSPIVVTNINFNL >RHN39863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11171927:11177396:-1 gene:gene45941 transcript:rna45941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAYTTIDEASSSSSPSIQTSRWTNHVFLSFRGEDTRQGFTDHLFASLERRGIKTFKDDHDLERGEVISYELNKAIEESMFAIIILSPNYASSTWCLDELKKIVECSKSFGQAVFPIFYGVDPSDVRHQRGSFDEAFRKHEEKFRKDRTKVERWRDALREVAGYSGWDSKGRHEASLVETIVEHIQKKLIPKLKVCTDNLVGIDSRIKEVYSLLAMDLNNVRFIGIWGMGGIGKTTIARLVYEAIKNEFKVSCFLANIRETVSKTDNLAHIQMELLSHLNIRSNDFYNVHDGKKILANSFNNKKVLLVLDDVSELSQLENLAGKQEWFGPGSRVIITSRDKHLLMTHGVHETYKAKGLVKNEALKLFCLKAFKEIQPKEEYLSLCKEVVEYTRGLPLALEVLGSHLHGRTVEVWHSALEQIRSGPHYKIHDTLKISYDSLQSMEKNLFLDIACFFKGMDIDEVIEILEGCGYHPKIGIDILIERSLATLDRGDNKLWMHDLLQEMGRNIVFEESPNDPGKRSRLWSQKDVDQVLRQNKGTDKIQGIAMDLVQPYEASWKIEAFSKISQLRLLKLCEIKLPLGLNRFPSSLRVLDWSGCPLRTLPLTNHLVEIVAIKLYRSKIEQLWHGTQFLENLKSINLSFSKSLKRSPDFVGVPNLEFLVLEGCTSLTEIHPSLLSHKKLALLNLKDCKRLKTLPCKIEMSSLKGLSLSGCCEFKHLPEFDETMENLSKLSLEETAIKKLPSSLGFLVSLLSLDLENCKNLVCLPNTVSELKSLLILNVSGCSKLHSFPEGLKEMKSLEELFANETSIEELPSSVFFLENLKVISFAGCKGPVTKSVNTFLLPFTQFLGTPQEPNGFRLPPKLCLPSLRNLNLSYCNLSEESMPKDFSNLSSLVVLNLSGNNFVRPPSSISKLPKLEYLRLNCCEMLQKFPEFPSSMRLLDASNCASLETSKFNLSRPCSLFASQIQRHSHLPRLLKSYVEAQEHGLPKARFDMLITGSEIPSWFTPSKYVSVTNMSVPHNCPPTEWMGFALCFMLVSFAEPPELCHHEVSCYLFGPKGKLFIRSRDLPPMEPYVRHLYILYLTIDECRERFDEGGDCSEIEFVLKTYCCDELQVVRCGCRLVFKQDVEDIYRNYY >RHN71854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3087266:3088210:1 gene:gene7494 transcript:rna7494 gene_biotype:protein_coding transcript_biotype:protein_coding MPYVKISPSLSSLTREPTSLHPFPQILSSPLGSLSLSHSESLLHLHEISHTLSTLSSLQQSPLILSLLHFSVHDSLSREIERLFDGVTYRLNIYHYFLLWFAWI >RHN51698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23048219:23049953:-1 gene:gene36198 transcript:rna36198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MASAVADKCIELVENEKTEDFEALKIRARAIKGLVELVKGDIKSAEPFFNKSLRTKLCYGTAALSYAEFQQTRQNYSMAKEIYKNVLEGATELKERGNIYLGGGNMNIDGLRLQAMFAIGQLESHLG >RHN72879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11506839:11511412:1 gene:gene8643 transcript:rna8643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative KRR1 interacting protein MTQNIFDGSDSETEDISNIKIDEEYARRFEHNKRREDLQRYEELKKKGVIGSPSHTEDDESESESSSDDDVNNFNTKSDKEFFDALIKVKKKDPVLKQKDVKLFESDHSSEDESDDEKSKDKEKKSMFLKDVVAKHLIEEGPDFGDEEDETNEIGISNGGKKTYAEEQEELKQAFLKAAEKDGLGDADFLTLKEKTGEDKVESEDEEFEEKLDAYFDDSNENSLFLKNYFKNKMWVDKNAENLNVGEEDLQEISEDEMEIERQEEYEVSFQENPEDRVLGHARKVEGSVRKKTNSRKEQRKSKEEREAIRQKERDEELKHLKNVKMQEIQEKVKKIKKIAGINDDDIIPLSTAELEKEFDPEEYDRMMKKAFDAKYYNEEDVDPEFCSEGEEDMEKPDFEKEDDLLGLPKDWDECGSGGGFLAAREKALKAKIENTSDDDLMEGETEKEDIPEEGSSRKRKRKTALLEKARQIMMDEYYNLDYEDTVGDLKTRFKYTKTKPNRFGLDTPEILLIDDKELNQHISLKKLAPYQEEELKLSKQKRYMLKMRAKEILRAASLGKKKKRSKVDSSKSTKVDSSKLTSNNVVEEEKANTASLDKKRNKKPKADSSKSTSSNSGVVEDEKSNTEESKSRKAKRRENRAKLKLPESRLKAYGKTT >RHN63925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55085125:55089456:1 gene:gene26681 transcript:rna26681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller MDRVLQPPLVDTTACLCRVDTGLRTVAGAKKYVPGTKLCLRPDIKHSIHPTRNKPARGDRSRNQSPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLIGNFFYSLRKSLRLAEEWIYVIKRDRDGKISWHAFDPVYQQWQPLPPVPKEYSGALGFGCAVLNGCHLYLFGGKDPLKGSMRRVIFYSTRTNKWHRAPDMLRRRHFFGSCVINNCLYVAGGENEGVHRSLRSAEVYDPNKNRWSFISDMSTAMVPFIGVVYDGKWFLKGLGSHRQVLSEVYQLANDSWCPVQNGMISGWRNPSTTLNGKLYALECKDGCKLRVYDDATDSWSKHIDSKMHLGSSRALEAAALVPLNGKLCIIRNNMSISLVDVSKLEDLKGSSAEQLWETIAGKGQFKTLFTNLLSSLAGRNRLKTHIVHCQVLQA >RHN42823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41273264:41275631:-1 gene:gene49316 transcript:rna49316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase MQPRRIKRQRKNKKDEDVNISNSDSTTNNNNNMSESWEGPRRQLNPNPRRSLRYSRRLLEMGATSQPKISTGYSSTSDDIQILDANQVPEEGSDQVESMSRYLVQVVYPLTMEPELEHKEAENVENSTGGIPENMGELGEAEMSFSHNSNKMAGQIALEEKVPLEKESLSGKIQASNRFEENAPLEKQSLSGEIQASNRFEEVTNMKSISRAGCLSPSFSLKLTEVVDMVRNEDGDEVDSNVRSRPEDTINGYQVKPEFMPMLRKIIGKHGDIAKNCLAKSVKFRSVLLEMICGIISDLDEKNVKNTREEVLKTKIDQVDEIKSMKVEVEWLRTRLVEALDAREIMKKFVMLKEKTDDNRKLIEDVESELKECEEEKKEASERLREICDKETACKQRLAIAKEESATISTTVGYAKSKVKRFLKCSVVDGLF >RHN54593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10652072:10661345:-1 gene:gene29658 transcript:rna29658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bystin MAKKRKERIQNPEPFIPDGTEYAKSKKSSKAPKRHQKEGELIDSHISSKIIKEAKLQLREVEEEDEADEQNVTRNSFRGVEEAPNVPIVAEDDIDGDIDDFSGFDENQSHVDGEVADITPEDERILALFNSKDSGGQITLADTIVKRLAGPVATENPPVPKMDEKILDIYKGVADLLSRYTVGKIPKAFKHIPSMQNWEEVLYLTEPQKWSPNALFQATRIFASNFGAKKAERFYKLVLLPRVRDDIKKNQRLHFALYQTLKKSLYKPAAFFKGILFPLCESRTCTLREAVIVGSIIEKCTIPPLHSSVALLKLAGMDYCGTTSYFIKLFLEKKYALPYRVVDAVVAHFMRFENDTRTMPVIWHQSLLAFVQRYKNELQKEDKENLKLLLEKQNHILVTPEISRELDHSRNRGEKEDDLMSNYILFFNFLPSLSLLRFNKVRCVLYGIACLVYLELGSRQA >RHN42574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39459501:39462022:1 gene:gene49030 transcript:rna49030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative X8 domain-containing protein MASSKPVSVILLTITMTMMNVNGQGGSASWCVVRSDASFNALQTALDYACGAGADCLPLQPDGLCFLPNTIQAHASYAFNSYYQKRARAPGSCDFSGTSTIAQTDPSYGSCVYPSSTSGAGGPNTPTTSVPMSNTNMSSPATSSPIFGGLSPGLSSPFNDNSRAPSKELAKWFLLFFSSLLILSIIS >RHN73528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17390129:17395633:1 gene:gene9351 transcript:rna9351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MSMMSNRVPGLAFRNQRPYLELQQLELLTLSSTEIQTQFYQEARIKTVVFMGCNKGEIELGFLNMSQADIQTALSSLFPEDFSRQTQQINHNPPSSSSSSMRSLSTAGSPEYSSLIFNIPPGTSPPQFSPDLTQLGGVNIPPMRPVSNTLLPLQLQQLPQITPTQLYPTTQIENDVIMRAIQNVLSTPPSHQHQPQQNYVAHPEASAFGRYRHDKSPNIGSNFRRQSLMKRSFAFFRSLNLMRMRDRNQAARPSSNQLHHMISERRRREKLNENFQALRALLPQGTKKDKASILITAKETLRSLMAEIDKLSKRNQELMSQQLPAANKESTKTKEIVKFSSYERLNVRVLHVTGSSSSEDESMVVDLQVNMMGQISQVDVLIRLLEFLNQDQHVNLVSMDATNTNHAPGNDLLHQITFRLRITQISEWDEEAFQEAVRRVVADLIQSQVDQNK >RHN77123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4260280:4262089:1 gene:gene547 transcript:rna547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-lipid (11-3)-desaturase MVTQTEPLLKPTYISLQELQKHNTRGDAWISIDGKIYDVSKWANHHPGGELPLLNLAGQDVTDAFLAFHPPSAYKHLQNFATGSYLRDYVVSDVSRDYRALFSELTKLGLFEEKGHGVLLLLSIISVMFFVSVYGVLFCDSAVVHVLSGGLMGLLWIQSGWIGHDSGHYQVMVNRKWNQFMQILSGNVLAGISIEWWKWNHNAHHLACNSLDFDPDLQHLPFFVVSSKFFTSLTSCFYGRKMNFDSFARFLVSYQHWSYYPVMCFARLNLFAQSFFLLFSNRKVPNRKMELLGLALFWIWYPLLVSCLPNWGERVLFVLASFCVTGIQHVQFTLNHFSASVYVGPPTAHDWFEKQAHGTLDVKCSSWMDWFHGGLQFQIEHHLFPRLPRCNLRKISPLVKDLCKKHNLPYNCVSFWKANVLTIQTLRNAALQARDLTKPVPRNLVWEAVNTHG >RHN80936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42035022:42035745:1 gene:gene4920 transcript:rna4920 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQKGSMDSGNTRKRMKPEVQEKTRDEAESQIVLVQEEGFETNQIGSEEMELNVSLVLEKIENFTQRVSELLESGKTMLKELCNEFEEKLIMRVMKIHKEQVEKWQEEIKELRALDASNEEANALLQNARYVLQLTRND >RHN74865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37294220:37294398:-1 gene:gene10994 transcript:rna10994 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDEASCTNQFVSALVSQFGTLILPLVVYMMIYERYLLNLVD >RHN45656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24171171:24171740:1 gene:gene40037 transcript:rna40037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MMQNLIRKSLTNLTNVSMNITKHLLSNQKLKEKNVVFSPLSLNTVLSMIATGSEGPTQKQLLSFLQSESPGNLKSLYSRLVSSVLSDGAPAGGPCLSYVNGVWVEQSLPLQPSFKQLMTTDFKATLAEVDFVNKGFKLSVMNYFSGHIIIFFLESLNV >RHN72544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8506787:8512654:-1 gene:gene8270 transcript:rna8270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phytepsin MGNKLNVVVLCLCLWTLLFSLVSCAPNEGLRRIGLKKNKLEPKNLLGSKGCESSWSSIRNYASKNILGGAGEADVVALKNYLDAQYYGEISIGTPPQTFTVIFDTGSSNTWVPSVKCYFSLACLVHAKYKSSQSSTYKPNGTHAAIQYGTGAVSGFFSYDNVKVGDVVVKDVEFIEATREPGLTFVAAKFDGLLGLGFQEISVGNAVPIWYKMVKQGLVKDPVFSFWLNRNPNEEQGGELVFGGVDPAHFKGEHTYVPVTRKGYWQFAMGDVLIDGKPTGYCANDCSAIADSGTSLLAGPTTVITMINQAIGASGVYSQECRTVVDQYGHSILQLLVAEAQPKKVCSQIGLCTFDGTQGISMGIQSVVEQTDRISSGGHQDATCSVCEMAVVWMQNQLKQNQTEERIINYADSLCDKMPNPLGQSSVDCAKISSMPKVSFTIGGKKFDLAPEEYILKVGEGAAAQCISGFTALDVPPPRGPLWILGDIFMGRYHTVFDYGKLRVGFAEAA >RHN63666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53048825:53049747:1 gene:gene26393 transcript:rna26393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Nin-like family MKKFVTFVSEYSLEILFNFCSGIISEYLLMNDKFPIHDDGLEFEELMKCNSPHNPLYIEPMPSLEGYHQTMPHSQFKFWLYLLINTVAADDYGISYDVEKGFGDWREIINDAAFGSDKLYLCYTNENSGNEIKKEVVEVKPERVERISDGNTKNLSRKIISQYFYMPITQAARELNVGLTHLKKRCRELGIRRWPHRKLMSLQTLINNIQELGKEDGLRSDEKFMDIEKLEEEMKLVEEMPDMQLQDHTKRLRQHCFKANYKKRKLMDQLIMF >RHN65277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:795077:796276:-1 gene:gene13099 transcript:rna13099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKFGTKGDDRNTVSTQLMKKKRKYAKRRRSPTITGTLPALPFDLVAEIFCRLPVKLLLQLQCLGKLWKSLISDPKFTKKHLQFSKVFQHNHHLIAYTTGVIDKLILKDSTIPSIFKAAMSTSRIRLTKLWPPDILDRESINLMRVCSCDGILCLTHEGYFFGHSAVLWNPSIRRFNMLPALGNPGKKVRGSTKYSFGYDYFTHTYKIVAVSFFYDKSYEVLVYTLGTDSWRRIQDLPYYGYISEPGVFARGTINWLAHESSSSHNIVSLDLEKESYQKLLKPNLETNSWTLRALMDRLYIIASFKTFVDIWIMKDYDNEEPWNKLYRVPYMQVHGLYPNDGPLYITEDDQVLIYYKHWEELMVTVYDSKSGTFNMSARQKINGFYSPAVYVESLISPCS >RHN64409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58831569:58832374:-1 gene:gene27225 transcript:rna27225 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLTDELKSKAEVYYGDKVCREKFSLLLAEKGLPDGLLTIQDIEECGHVKEIGFVWLKLGKKMESKFDNILVCYDSVVTAYVEPNKIKNLTGVKARDFLVWFTLQEIYVKPVKKGGSFITFKSLVGLSMSFPVSLFQAGKLEDKENQIVIN >RHN51215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15116900:15121261:1 gene:gene35611 transcript:rna35611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MSTLSNSNPETKQRNLADYHPNIWGEYFIQYASESMELDQNIVTQIDTLKSHVRNMLVAKSEKPFEKVKLIDSICRLGLSYHFEKEIDEVLQHIYKSYVENGEIILEDNLFSLAVLFRVLRQHGFYVSPNVFTKFKDEQGNFNETLIMDVEGMLSLYEASHLIVHGEDILEEALAFTSTHLEFIATESSHSLAAQVKYALR >RHN46243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29894382:29899940:1 gene:gene40704 transcript:rna40704 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSSNFGVARVVTVGKETGLGKNVNQYSSFSSLSFRSAMLLNEAAFPSICVNKCGGGLYLSKQKKQTFLTMCMSQPPAESQPAVSTIELSEGGGDTVVGKEQKIFDSESDSKSVGNDSNGVVFDGSGGNGSFGSGGAGDGGDGGSGGGGDDDDKEEGEFGPMLKYDEVLRETEARGVTLPFDMLEAAKTVGIPKLLLLRYLDLEGSFWPLGFAMRSCSILRNRMLADPAFLFKIGSEIVIDSCCATVAEYQKRGKDFWNEFELYVADLLVGTVVNVALVGMLAPYARIGKPSISKGFLGNMQKAYAALPSSVFEAERPGCRFSVQQRLGTYFFKGIMYAGVGFGCGIIGQGIANMIMTAKRNIKTSEDDVPVPPLLKSAALWGVFLGVSSNTRYQVINGLECLVEASPMAKQVPPVALAFTVGVRFANNVYGGMQFVDWARWSGVQ >RHN58856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5464633:5465709:1 gene:gene20810 transcript:rna20810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MTGLCNLKDLVELDISYNMFSAKLPECLSNLTNLRVLELSNNLFSGNFPSFISNLTSLAYLSFYGNYMQGSFSLSTLANHSNLQHLYISPENSGVLIETEKTKWFPKFQLKTLILRNCNLNKEKGGVIPTFLSYQYNLIFLDLSRNNLVGSFPSWLIDNHNMNYLDISNNNLSGLLPKDIGIFLPSVKYLNFSWNSFEGNIPSSIGKMKQLEYLDLSQNHFSGELPKQLATGCDNLQYLKLSNNFLHGKIPRFSVNMFGLFLNNNNFSGTLEDVLENNTGLGMLFISNYSITGKIPSSIGMFSDMQVLLMSGNLLEGEIPIEISNMAILQMLDLSQNKLNGSIPKFSSLTSLRFLYLQ >RHN46712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34260200:34268506:1 gene:gene41232 transcript:rna41232 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDNKKRRKNKKKKNKQNKNNVDIGVGETVIRDHNLVNDNGKDQHVSLSETADNSIVGRETGNGDHNLVNGAKDEQVSISEAVPNSTKDGESRARDQNLVSNGKDEDASLSEVSANSIVHGETDARDQNLINNGKDGHAILSETAENLIVDGEPGVLDHKPLTNAKDEQVNLSEPNLSQAAVSLIIDGEIDSRGHNLVNDANDEQVNLSEALVYSNGYGETSTRDLNLVNNANDDHASISETASNSIGDGEIGTRDHNLVNDAKDEQSVTVDWNGDVEVPIRDLNLVKSAEGEPAQPMESADGQSTNMDSNGHLPNGKECDISDETIKKLKEENAMLIQRETISEETIHKLKEEIDTHILKGVMSEETIRKLNEDKNMHILKETISEETIRKLEEQKDMHVEKEVALEESIRKLKKEIDMHVKKEAILEDTIRKLNKENDTHMQKEATSKETIRNLEEENEVYIQKEAKAEETIAKLKEAVDRHIHKEVTMEEIINKLQTENELQKQKQTDLEVRIAQLQNENNSLLQKEVGLAEKTNLLLNEKAGLVEKVNMLLNEKEGLEQKVNILESNLSSFSEKEAGSVDTTNLLLKEKEGLEQKLNILESNLSSFSEKETGLEMRIAQLQSETNSLLQKETGFVEKTNQLLNEKNILSLKVDSLERKIIHAESDLSSFVEKENSTEEVISNLNGSISMLQGQVAELEESKNNLLLENQQLRENSSSSSASQDASAKDRASENEELKSQIEEAYMLVEKLMAENAELVEKVHMLCVELDRPDTEIKLSEVTGPDGLTEFVKSSEPESSEATSISVPELDSLEKTLVVNDNSDSIHAEHARDLQLVPDDIEEIVQIPLDDNDVRDLQLQDTKNVENDDAVPITDAPLIGAPFRLISFFAKYVSGADLVSQNSSNTSN >RHN45570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23001135:23001959:-1 gene:gene39940 transcript:rna39940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase MTKTKPSSSTTNPQHKPFQVSNRNFTERSLLFKNVSTRRVLSKVQRNTETPIKKLHLKRWYAYEPSANKKILENKRKERINDKNTLSLTSDHSRRKKVNTTLGNFRALCGKFGNEFTGDALPIKVSGVLRQRGKFIHDGKKIIGKVSGIEVGDKFLYWQELNVVGLHRQNLSLIDHVLKNQNLIASSVVSCYFDDMDDTNVFVGEGGNVINSGKCDKETLALMNSYHVKNPIIVIIKFNSKNGSGGAHGGGEVYCYYGLYEVESIWKKKREIRF >RHN80583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39193427:39194855:1 gene:gene4523 transcript:rna4523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MGVFYFIVALLALASSVGFAYDPSPLQDFCVAINDTKTGVFVNGKFCKDPKLANADDFFFQGLGPGNTSNPLGSKVTPVTVNEILGLNTLGISLARVDFAPKGLNPPHTHPRGTEILVVLEGTLYVGFVSSNQDNNRLFTKVLNKGDVFVFPIGLIHFQLNVGYGEAIAIAGLSSQNPGVITIANAVFGSKPPISLEVLTKAFQVDKNVIDYLQKQFWYNNS >RHN43880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49170343:49182829:-1 gene:gene50514 transcript:rna50514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MNMFKGVLLFLTGQVDDRTIQKYEKEAEDKNRCSLFPANETEPLKCSAVLCAVSIYLPPSLPPSLRKNLNYPWVHIEEDIRSLQLDSAEDINGVVNPEHEMPDVDEMDEDPKEEVQAQAVEAGPKAKDKEIPSVQDEEVEQTEKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVTKLLVVVNKMDDPTVNWSKERYDEIESKMIPFLKQSGYNVKKDVLFLPISGLMGSNLKTRMDRSICSWWDGPCLFEALDSIEVPMGDPKRPFRMPIIDKFKDMGTVVMGKVESGTIREGDSLFIMPNKDQVKVVAIYIDENRVKRAGPGENLRVRLSGVEEEDILTGFVLSSVANPISAVTEFVAQLAILELLDNAIFTAGYKAVLHIHSVVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVLCRVQVSNMICVEKFSDFPQLGRFTLRTEGKTIAVGKVTAL >RHN70302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50409286:50411964:-1 gene:gene18869 transcript:rna18869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MMTSSIVQRVSECFIKPLHPIEDSKQISYLTGWDIAMSSMHYIQKGLLFKKPTTSPNNQQDFIESLLKKLKYSLSHALFHFYPLSGRLVTHKTQHPPSYTIFVDCSNNNPGARFIYATADVTISDILSPVDVPPIVESFFDLNKAINHDGHTMPLLSIQVTELVDGVFIGCSLNHCIGDGTSFWNFFNIWSEIFQSQSCENENDVPISRLPFHYRWFLQGYGPPISLPFKHHEEFISRYESPNFRDRFFHFTPESIVKLKAKANKEFNTTKISSFQALSAHVWRCVTRARGLTNDQKTSCKLAINNRLRLEPPLPQEYFGNTVDVVSAEMTVDELLQNNLGWAAWKVNVAVVNHDDKQVRKMLKEWLESPVVYQLGRHFDPQSITVSSSPRFNMYGNEFGMGKAMAVLSGYANKFDGNVSSYQGYEHGSIDLALTLTPNAMRALELDEQFVNIGTLYLPLKNSCNVRTDKDVLLYMLNPFIISAVLFFLSFIY >RHN78244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13075154:13076668:1 gene:gene1778 transcript:rna1778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MTLFQYITLFVAILFIISYNIWRRNKNVLVPNWPIIGMLPSVLHNQSKIHDFATLVLKHHGGTFHFKGPWFTNIANFMITSDPMNVHHITSKNFSNYGKGSDFHEIFEILGVGIFNLDSNEWKQERALFHSLLKRKSFEILLHQCIRNKLEIFLLPFLDHASKGVQILDLQDILERFTFDIICTSVFGFDPNCLPNNFSEISDISYVKAISVTEDVILSRHYIPKCIWKLQKWLQIGQEKKYKVAKENLHQFIYKCLNYYRSGEEKRSDDSHLCLLKDLMEEGLEKGEMVERYIRDTTINLLVAGNGTISTGLTWFFWLVSTHPRVDGKIIQEIKDNCLKHDENFIANLGVEELDKLVYLHGAICEALRLYPSVAFQHKCAIKSDKLPSGDHVSPNTKLIYSLYAMGRMEQIWGEDCLEFKPERWISDRGQIIQVPSYKFIAFNAGPRSCLGKDISFLQMKMVAAAVLFKFHIQVVEGHSIIPRVSILLRMEHGFKVKIRKRCI >RHN47790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42536904:42538411:-1 gene:gene42432 transcript:rna42432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MARKMCIVVLFLVQMLLLPLQNHAEIVVSTVEAPAPQPSKSSGTNQFPNHGITEGSLQPQECGPRCTNRCSNTQYKKPCLFFCNKCCAKCLCVPPGYYGNKQVCPCYNNWKTKRGGPKCP >RHN73718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19293025:19293993:1 gene:gene9572 transcript:rna9572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MSLNWGVRKCIIDIFYLNKLNILAILMEYTLTNTHSFVAEAYGLPFLPAYKTLTKGQDVTKGVNFAFAGSTALNYNNYLNKSRILVPASNYSLGVQLKMFKEFRNSTCKSKKDCRSYFKKSLFLVGEIGGNDLSSHISQNFSNFRNVVPLVVAAITKATTTLIKEGAVEIVVPGNFPIGCGASLLALATGYGNKTENYDEFGCFKAFNTMAEYFNDKLIYSINTLRENYPNVKIIYFDYYNAAKRLYEAPEQYGG >RHN69240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42050508:42054232:-1 gene:gene17682 transcript:rna17682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant specific mitochondrial import receptor subunit TOM20 MEFSEEEFGRLLYAENNRKTAEENYVQDPLDADNLTKWGEALIELSSCQNPRDSKKMIEDARTKLEEALEIDPTKHYTLWCLGNALTSCGFLTPDLSDAKGHFDKAYEYFQKAVDVDPENGLYRQSLKVALQAPELHMEIHKNGIGQMGLGGGGASTSSKVKESKKQKSSEFTYDLLGWAILAAGIVAWVAMAKSHIPPSPPS >RHN82355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53131219:53134670:-1 gene:gene6517 transcript:rna6517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MMKIARNEADELAFRKAVKVSFQVRRKNYNGILKVLKEFQDQSVKGLLKGHTDRITLPFQFETTGKKLKKVEENRDFPWDVLDIISKTLDFDDLFQFAGVCKNWRGFS >RHN72121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5138012:5143953:-1 gene:gene7796 transcript:rna7796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MMESGVSFCNSCGEQVGVDGNGEVFVACHECYFPICKACVDYETSEGRSVCLRCGNPYADKTRGKDDTKVPANQSTTTAAQISTSQDVGLHARHISTVSTTTVDSELNDESGNPIWKNRVESWKEKDKKNKKKKEAPKAGNETPVPHEQQMDEMQPSSEAAAAEPLSVIIPVSKSKLGPYRTVIIMRLVILGLFFHYRVTHPVDSAFGLWLTSIICEIWFAFSWVLDQFPKWSPINRVAFTDRLSARFEREGEPCQLAPVDFFVSTVDPLKEPPLITANTVLSILAVDYPVEKVSCYVSDDGAAMLTFESLVETADFARKWVPFCKKFEIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKREYEEYKVRVNALVAKAQKTPDEGWTMQDGTSWPGNNSRDHPGMIQVFLGHSGAHDIEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFLMDPVVGRDVCYVQFPQRFDGIDRSDRYANRNTVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYSPPSMPPLPKSSSCCCFPSKKPAKDVSELYKDAKREELDAAIFNLREIENYDEYERSMLISQLSFEKTFGLSTVFIESTLMENGGVSESADPSMLIKEAIHVIGCGYEEKTAWGKEIGWIYGSVTEDILTGFKMQCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGFAGGRLKLLQRLAYINTIVYPFTSLPLVAYCTLPAICLLTGKFIIPTLSNIASALFLGLFISIILTSVLELRWSGVTIEDLWRNEQFWVIGGVSAHLFAVFQGFLKMLAGVDTNFTVTAKAADDAEFGELYMIKWTTLLIPPTSLIIINLVGVVAGFSDALNGGYESWGPLIGKVFFAFWVIFHLYPFLKGLMGRQNRTPTIVILWSVLLASVFSLIWVKINPFVSKVDSSAISQTCISIDC >RHN73065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13167403:13171647:-1 gene:gene8843 transcript:rna8843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like, NADH dehydrogenase [ubiquinone] (complex I), alpha subcomplex, subunit 2 MAWRGQLSKNIKELRLLMCQSSPASSSARAFVEKNYKELKTLNPKLPILIRECSGVEPQLWARYDLGVEKGIKLEGMTEPQILKALEDLVKAGQSKA >RHN52822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39203934:39204563:-1 gene:gene37539 transcript:rna37539 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMILVILMIIMSQYQQAPAVQAGLSSEAPTNQNGLTNEAPTSQIGITDEAPASQIGLIGVGPETRIRYGITRSVDSGVAKTNGIAGDSGIDIGVSAGIGRRPGRGGSGVAATTRFGRSDVTKAGSTHQQEYVP >RHN65912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6419301:6425065:1 gene:gene13808 transcript:rna13808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MSKPQRDRESKMGFEMRVYGWIAIAISIAICLCPCLSLEETNGERCNLYEGTWVYDESYPLYDSSTCPHIRLEYDCLKYGRVDKEYLKYRWKPSTCDLPRFDGQSFLTKLKGKQIMFIGDSVSLNQWQSLICLLHSAVPKANIIQQGGDPITNYTFKDYGVSVIVYHSTYLVDIEGEKIGRVLKLDSIKSGNLWKQMDVLVFNTWLWWYRSGPRQPWDYIQIGDKIVKDMDRMEAFRTGLTTWANWVNKEVDTSKTKVLFQGISPMHYNGTQWNEPGVTNCAKETTPIDGTSSSQGLPPASYVLQSVLQKVTKPIEFLNITALSELRKDGHPSSHNGFHGMDCTHWCVAGVPDTWNELLYASISE >RHN62205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41709272:41710556:1 gene:gene24756 transcript:rna24756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MNMNYSSSSFSATTLTSDQELSVIVSALTNVVSGSTSTEFHLPNPAIGSSSSSSLERIVPPANIETCQECNIAGCLGCDFFPEEKKQKQKRAKKKYRGVRQRPWGKWAAEIRDPRRATRVWLGTFSTAEEAARAYDNAAIEFRGPRAKLNFPMVDDSLKQSEVVAPDLENVKDENENENENMNQEMQSETNIGFGNNMDCDFWDRLGETDFQQLMRFMDFGGDSSDSRTGNTFN >RHN73836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20521830:20523555:1 gene:gene9717 transcript:rna9717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKILKFVYIMILFISLFLVVYCEKECANDIDCYKIFLGPPLIPMKCIDGECKRIT >RHN65557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3197276:3198669:-1 gene:gene13404 transcript:rna13404 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLVLVFLFLQITSFIALAEELETFHHKPSSPLHPPTKSPIHKPLASPPHHNHSPSHAPSHVHTPLHPPHPAKPPTHHRHQHHSPSLAPSHVHTPLHPRHPAKPPTHHHHHHHSPAHAPIKTPVHTPLHPPHPAKPPTHHHHQHHSPSPAPSHVHTPLHHRHPAKPPTHHHHHHSPAHAPIKPSFHTPLHPPHPAKPPTHHHHQHHSPSPAPSHVHTPLHPRHPKKPPTHYHHHSPAHAPIKPPTHHHHLPPAHAPTHTPLVSRSLIAVEGVVYVKSCNHTGVDTLKGATPLFGAVVKLQCDNAKHKLVLKAKTDKKGYFYIGGPKNIAGYSTRHCNVVLDTAPKVLKPSNLHGGLSGAPLLKPVKRPVSKGVSLKLYTVGPFAFEPKCHH >RHN68967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39970706:39973187:1 gene:gene17392 transcript:rna17392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Tim10/DDP family zinc finger MDSFSAPPSRPSSQLSPQDLKDQLKSQLAMEYAQQFLETVRGKCFDKCITKPSSSLSGGESSCISRCVDRYIEATGIISKALFSGQQ >RHN66754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18315793:18318186:1 gene:gene14832 transcript:rna14832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MTTQMSLILLLLLFVTTFHKSISSNDHRLVRCNEKDQKTLLTFKQGINGSFSRISTWSTEKDCCVWEGVQCDNITGRVTKLDLKGQPNFDDEPIKVLKGEMNLCILELEFLIYLDLSWNEFDLIRIPSIQQNLTHASNLVYLDLSSLGLDTLHISMDNLHWLFILSSLKYLDLSGLDLHKETNWIQKVNTLPSLLELRLSSCKLNNFMLNSSIGYLNSSSIVTLDLSYNNLTFQLLNGFLNLTKDIKHLDLSWNNIHGEIPSSLLNLQHLRHLDVSQNQLQLSIPDEIGQLAHIQDLDLSMNMLSGFIPSTLGNLSSLNSLSIGNNDFSGEVSNLTFSKLSSLDILDLRRLSVVFQFDLDWVPPFQLTEVYLDNTNQGPNFPSWIYTQKSLQYLDLSSSGISFVEFSSLTERIFVELLLSNNSIFEDISNLTLNCSWLGLDHNNFTGGLPNISPIARVVDLSYNSFSGIIPHSWKNLKDLTYLNLWGNRLFGEVSVHLFELIQLQDINLGENEFSGTIPIKMSQNLEVVILRANHFEGSIPLQLFNLSSLFHLDLAHNKLSGSMPKYVYNLTSMITFHLNSWYPATIELFTKGQDYVYDVNPDRRTIDLSANNLSGEVPLELFRLVQVQTLNLSHNNFSGKIPKTIGGMKNMESLDFSNNKFSGEIPQSASLLNFLGYLNLSCNNFDGKIPIGTQLQSFNASSYIGNPKLCGAPLKNCTTKENPKNTTPSTESEDNDTLKESLYLGLGVGFAVGFWGICGSLFLIRKWRHTYYQFIDGVGDKLYVTLMVKLNNFHRS >RHN57757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41521130:41523291:1 gene:gene33341 transcript:rna33341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MSGKKPYAVVQYGCLLVTHAVKKAVEEVDGNKCVSDWFGKGYMKVERQKKKLISNRDRVRAKVEAIDRKTEKVRDVVFEWLKEADIIMQKMENLKLQSKPPSWIEFNKLQEKITALNKKCNFDPFSTTIPSLEHFSLGNNFECFKSTEKASDELLEALQDDNCCMIGLYGRRDSGKTTLVKVMEQKVQYLNIFDEILFVNVTKNPNITAMQDEIADFLNIRLDRNSETGRARKILSTIEDMDRPILVIFDDVRAKFDLRDVGIPCNSNLCKVLLTARRQKYCDLMHCQREILLDPLSTEEASTLFEKHSGILEEDHSSSFDLFNVAREVAFECDGLPGRIIKEGSFLRSKSLEEWEKSLHNLRHSTAQWQMFLSFRGEDTRYSFTGSLFQALSQGGFKTFMDDEGLHTGDRVSPCLRNAIEASRLSIIVLSENYANSTWCLDELVKILECKKWNNQLVWPIFYKVEPSDIRHLRKSYGKDMAQHERRFGIDSERVQKWKSALLEVSNLSGMTYTTGFEYEFIQKIVEDANHIKSRLQIQSI >RHN39846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11037716:11042562:1 gene:gene45922 transcript:rna45922 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNEEAISKSENSLSFSTLKSHRSWLEIRLFYVRISPCLIDSVPECLTLCHPKREIGFSLVINGSPIPAPSSAPPLPLRRDRVDKESAEVTYVSTDNVRITGGAEFEVYEKDVLFLCGSLERLDVDLGNGSGWDMDCHVAVGSIGSGSSAFFRPKLGVSAPSVEVYVAGCCSGVPVILSKTISLSPRRRVPRHAILDAIPEDEEMNMMEKDHVSAMNGLIPHPKLQITGSAVDDYDLDGKMANGFYSQEMYLGEDGQLTWFNAGVRVGVGIGLGVCVGLGIGVGLLMRSYQTTTRNFRRRFF >RHN40709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19867946:19871256:-1 gene:gene46903 transcript:rna46903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MVCTEKGKPRVLLFFTYLCLWWWWFTTTSIYVKAENTDSMKPGDILNVSATSTLCSKQGKYCMSFNQNTDPENLTYLSIFGKGKDDWLVWISNRNQPVDINSASLSLNYSGVLKIESKIGKPIILYASPPPFNNRNYIVATLLDTGNFVLKDIQKNIVLWQSFDHPTDSLLPGMKLGVNRKTGENWSLVSSISDSILAPGPFSLEWEATRKELVIKRREKVYWTSGKLMKNNRFENIPGEDFKVKVVSDEYFTYTTQNENGLTKWTLLQTGQLINREGGASGDIARADMCNGYNTNGGCQKWGEAKIPACRNPGDKFENKPVYSNDNIVYNIKNASLGISDCQEMCWGNCSCFGFNNYYGNGTGCVFLVSTEGLNIASSGYELFYILVKNTDHKVTNNWIWICAGMGTLLLIIGLSILLRALMKGKQVLREGERITIQNEIQDLEAYRAYCNGDDLEGDLSNGDDLKVFSYSSIIVATNGFSSENKLGQGGFGPVFKGILPSGQEVAVKKLSKTSGQGMTEFRNELTLICKLQHTNLVQLIGHCIHEQERILIYEYMPNKSLDFFLFDSTRRKLLNWNKRFNIIEGIAQGLLYLHKYSRLRIIHRDLKASNILLDDNMNPKISDFGVARMFTKQETEANTNRIVGTYGYMSPEYAMEGVFSTKSDVYSFGVLLLEIISGEKCNSMYCEDRALNLVGHAWELWKEGVVLQLVDPLLNESFSEDEVLRCVHIGLLCVEENADDRPTMSNVISMLTNKIKVDVLPKKPAYYGGTRVFDEETYCEEVGVDYTHENSHSHVQSI >RHN61314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34729915:34731070:1 gene:gene23765 transcript:rna23765 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPVVVRNSSEYLKDFLVSINSHYFVFLIGNIIIITFFAQGSGRNVPNEQEYDDVYEKLVRKSIKHEEKEKIRKDDSKVEKCDSVKEPKMKRGVKKSYRRCESENLKKQHRVLQRCESENNGRKNIEPAHVVKEETIVRILYPEDKMSNEEFHRTVEAFIAKNRGFEG >RHN72332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6849991:6856629:-1 gene:gene8030 transcript:rna8030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase ULK-ULK4 family MNLGISKIKIKPPVRTHRHSLSLSLSLFIYSTHTTPAFEIDDEAIMNQYHIYEAIGRGRYSTVYKGRKKKTIEYFAIKSVDKSQKNKVLQEVRILHTLDHQNVLKFYSWYETSAHLWLVLEYCVGGDLLSILRQDSQLPEDSVNELACDLVRALQYLHSNGIIYCDLKPSNILLDENGRTKLCDFGLARRLKEISKVPSSSLPQAKRGTPSYMAPELFEDGGVHSYASDFWALGCVLYECYTGRPPFVGREFTQLVKSIISDPTPPLPGNPSPPFVNLINSLLVKDPAERIQWHELCGHGFWKTKFTLVSLPPQPAFDDMTELHAKQCLSERNGDKSSHNRTPPKSREKDGKGARAIETPTRATPNGHRTQTKGSGRTVEAKQKDPSIIKKGLNLLRMSRIAKSNLQKENEKENYRRPLPNGSEKDVDVKIENTDMELDFNENNEEDALDENDGSEHTPSVANEKMESNFQNQGKADDTENDIHRLDTPSVTTPASDDSRTFVHESTPDRSDISAISPSVSPLVKKQRLKDDLGSGLDSDSSRSSNDISQVSWHPSDLSVRPVMPSRKVDKGSEVIPSLPFEALQAPEFVKMPKEQLEALHNRIIAILNGSTAIGEKQNAVRYLEMLSTNADAANILTNGPIMLILIKLLRQSKASALRVQLASLIGLLIRHSTFVDDSLANSGILGSLTDGLRDRQEKVRRFSMAALGELLFYISTQSADSRDNTPLESPSKDNRTAHGWQVPNSLISLVSSVLRKGEDDITQLYALRTIENICSQGGAWVGRLISQDVISNLCYIYRAVGKLESMRLTAGSCLVRLVRFNPPSIQSVIEKLSFKDLASALVKGSPREQQISLNLLNTAMLGSHMLTNVGRYLMQLAEDKNLIPSLLAFVEQGSKVLKGKALVFVALLCKHGRRWLPQFFCSHKLLSVVDRLGKEKDAFVRQCLDAFLHIVASTIPGLLDIITGDIQQMMGGRRHGHISSLTSRSAPKSNIHLFPVVLHLLESSAFKHKVATLPVLRQLANLIKLAEAPFQGRDDFQITLLRILESLTEESSVILANPDIFLREILPSLTVLYKGNKDGDARFLCLKIFFDVMIILLSEPIEEEQRLNDLKFVSNTHFLPLYPTLIEDEDPIPIFAQKLLVMLLEFSFISIPDILHLKTISQCFEFLLGDLSNANVNNVKLCLALASAPEMESKLLSQLKVVRRIGNFLEFVCAKGMEDLLEPTLGLCRAFLARSVSCTKGFSYTTEPTLLGDSPPEVSGAVDPQQCIRDITDFGNNVGVFLELSGSRETSVADIASQCVVLLLKAAPREATTGLLTNLPKVTVILESWSKGTPHLTVQRMLHALGYACKQYLLHAMILSISIPEISRIEVIVTELKSSSVPALAKTAGLAALELQRLPRCI >RHN50867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11295698:11296675:-1 gene:gene35209 transcript:rna35209 gene_biotype:protein_coding transcript_biotype:protein_coding MRREDLTKKDSMSNSTGRITRSKSNSSQKFNSLSVGSSSREREDKEDGPSHKINYVDGLVDKNPSCLVSTRLTTVNPNVRKNPDFTKQLGFDDGEKRNRGEISCPDLKEGSQGMSPDKEPLALSEPLKLLDDDTHEVPEDSFSEPVIDNGLLNHTYNNVTNFNVGFPFGAPTDKVNVDSENQAPNTVSWGQNGDLVRPTLLSNGKVTSFSTNFNNFKNSTEGFTNDAEHSCSQHKRRKLEKLVDFMGQRPASGTSSIKEDNLEAVIGVQRSAFDQADDTRQARMPADAMVDAREIQEKEGPSWKVRKEEVNLCCFHSKNILIDVF >RHN79328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27635884:27636529:-1 gene:gene3105 transcript:rna3105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLKMGISLDLVSYNILMKCYADAKLLGYSLSLFGKVFKLGFQSTGFTLNTLLKPLCSSGKISIGILVKGLCDQGNVQSALMCVRGSFCPHISMLNPIFDALCKNPDTFHQACQLFADFFHIVDLHSDIFTYNTLIRGYCVTNQFPKAFSLYKKMLFKKIQPNIYTFGILLDCLCKQGLINESKILINVMLKRGL >RHN63843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54389482:54391326:-1 gene:gene26597 transcript:rna26597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TCP family MYSSNSSLNGNNLAFSSKSPFCSFESNSTSSKDIENSHSPFPLPSPFSFFQFPNYPDDDIDPFQDSHQIFLQQHDVDFQLHHPPVVMNNNSTDHHQRPSNSTIKANNNLLPAAAGKGKTVVDQQIQRKRSSKRDRHSKIKTAKGLRDRRMRLSLEVAKRFFGLQDMLGFEKASKTVDWLLNQSKLEIKLLAREKNVNFPTKSASSTSECTEGVSSLDNEVGNQEEPQQQKLVMKRRNSTNKVCRKSAFNSIGREKARERARERTKEKRKARTSLVDESNSKQYNEEGIKTNLIWNPFESVEESAGTHQSTQGVTHPSFDHVKLIDEAEVETSSQKAKEQHSDEDNSLFIMSKWSPPMMFNSLNNLQQHQFEQFHQSMEKPWEAYNNRI >RHN54034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6393424:6395803:1 gene:gene29010 transcript:rna29010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MSQRSAPAPFLLKTYQMVDDLATDDVISWSESGESFVVWKHADFARDLLPKYFKHNNFSSFVRQLNTYGFRKLVPDKWEFSNENFKRNHKHLLTDIKRRKTISQSSSQPVEVEKTSVNDNSPSNSGNDGVGSTSTSSSNSKNPGSVETTTLPQCVNLSSENEKLKKDNETLNCELARAKKQCEELVAFLKDNLNVGPDQIDRIMKQQGNCCESVQNAVGENEESGRDCLKLFGVWLREENYTNERNNKKRGCEDQLSFGGPRAKVGNNVVDFSAVNVVNMMKSGKVCN >RHN59538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11785978:11790730:1 gene:gene21580 transcript:rna21580 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRDQKQAPPSEQNPNSIPDSNPNPNPNRPRTVKGKSCKGCAYYSSVLKSKSKNPTCYGLSRTLQEVPPYVVGESELEASKEGRKLANFKYACIGYSIYLDNKDSSPDSQDKTAKLPFCVGLEVVSEVNPSTSPVGQVPAHARQTNDREHPAAQPRMSKPPITSAEEFLNRFQKNATLVAYGVVKNLNKVGNRIKESLDDILYRRPK >RHN79375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28206696:28207024:1 gene:gene3159 transcript:rna3159 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTKLWSVFNGAIHWLALSPDAHEYLTVAFDLMERKFVEIPFLDDIDVSKDYGLWVFRGFPSLWVLEDLGKVDIWVGDERIQSAVIFD >RHN52775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38645222:38650982:-1 gene:gene37480 transcript:rna37480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CALMODULIN-BINDING PROTEIN60 MATKRVFDDSNDKDQDNPNDKRMRSSTSRPSFASVIKTAVKVQNMQNFLVALEPFLKRVVSEEVDRAIGKCIPSSINRSPSLRIQAPRDQQPTLQLSFSKRLSLPIFTGSRILDAEGKPICISLVDKTNNNQIVQTSLPYPIKLEIVVLDGDFPHDENENWTSEEFNKDIVKERTGKRPLLSGELNLTMRGGIAPIGEIKFTDNSSWIPCRKFRVAAKVSHVSNQSIRIQEGMTEAFVVKDHRGELYKKHHPPMLSDDVWRLEKIRKDGAFHHKMMAKGIKTVQDFLKLAVIDTPKLREILGIGMSDKMWKVTIKHAMTCDMGSKIYRYRGPQFIILLNPICKFIKANINGQEFSSREYLSQMDKSYIDKLVGEAYTKWNELEEIDGVLNDNIALLTQGDQIVEQYPNNHPATVATTYHQNQYYGDPSIENIASYVPSNNAQMVSGEWLPNANGFPFGFTGSQSDGDMTPSSSVPRDINGFTRQL >RHN63260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49768877:49770068:1 gene:gene25940 transcript:rna25940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MASKVAMLLCLNIIFFTVVSSTYVPCPPPPHKDHGHSHPHHPPSSKNPTCPRDTIKFGVCADVLGLINVELGKPPKTPCCSLIDGLANLEAAVCLCTALKANVLGINLNLPINLSLVLNYCGKGVPKGFVCA >RHN44086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1506270:1511884:-1 gene:gene38144 transcript:rna38144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannose-6-phosphate isomerase MEDSNPNNSLQKLHCSVKNYDWGLPGQLSQVAKLSELNSGFKFDPMKPYAELWIGTHDSGPSFLVSDDDGQRISLKDWIFDNPDLLLGHKVVKKWGSDLPFLFKVLSVGKALSIQAHPDKELARMLHKLHPDVYKDGNHKPEMALAMTDFEALCGFITLKELKAVIHTVPEVVNLVGASNANLVLETSDQNEEKVKPVLQAVFTHLMSASKEIVTDAVNRLINRLHEESEMRHLTEKELLVLRLENQYPSDIGVIAAFFLNHVKLNPGEALFLGANEPHAYLSGECIECMATSDNVVRAGLTPKFIDVPTLCSMLTYKQGFPEILRGVSMNPYVNKYIPPFEEFEIDRCILPKGQTVVFPAVPGPSIFLVTAGEGSMNTGSPKVYEITEGDALFAPAYTEISVASESELHLYRTGINSKFFEDS >RHN72362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7066247:7069216:-1 gene:gene8065 transcript:rna8065 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAELSGSNLDIPEELLQVLPSDPFEQLDVARKITSIALSTRVNTLQSEVSALRDELVKKDELIAELEAQGEPLHAALSEAADKLALAEQDKEKLLKENASLSSTVRKLSRDVSKLEVFRKALMQSLQEDEEKPGAVSPNIAAMLHSQSSTTSTSQLGDEDASLPPRPSSMQTNTSDAGNSYAEDRQSDAGPGPGPVRSQASSSSHNILLASQTTTPRISPPGSPPIVSASVSPSRTSKPASPRRHAVSLQIDRTSSMFSSTGSMSSSGTARTRVDGKEFFRQVRSRLSYEQFGAFLANVKELNSHKQTKEETLKKADEIFGPENKDLYTIFEGLISRNVH >RHN41755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32651377:32653523:1 gene:gene48108 transcript:rna48108 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRLRHDRMKIIDVVIYIYVLSITICSIVHFCNVQSKSLTSVISLIKYWKTVRSKNGSSTPFG >RHN56728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33243554:33247688:-1 gene:gene32168 transcript:rna32168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase WNK-NRBP family MFCKRESMEEFKEENRYVETDPTGRYGRFGDVLGKGAMKTVYKAIDEVLGIEVAWNQVRLNEVLNTPDDLQRLYSEVHLLSTLKHRSIMRFYTSWIDIDNKNFNFVTEMFTSGSLREYRRKYKRVSLQAIKSWARQILQGLVYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILQGSQSAHSVIGTPEFMAPEMYEEEYNELADVYSFGMCVLEMLTSDYPYSECTNPAQIYKKVTSGKLPMSFFRIEDGEARRFIGKCLEPAANRPSAKDLLLEPFLSTDDTSSAMKLKIQKPFLNENEMEKLQLSDEFQRTEMKVIGKLNPEDDTIFLKVQISDKKCSVRNVYFPFDILTDTPIDVAMEMVKELEISDWDPFDIANMINREISALLPHRWKNDYSDSFHTFSYQDDDVDESRLHFRSISSSSSLHESIPDFVSKSEEISHGYYLLHDDLHDDNSSRCSSQGTYSNWNYYSMDDHEHNIASIRKDKLPIMKSHNCNHCKIIGGSQNCSSSKSKMVMENRKLTRNRSLIDTRSQLLHRSLVDELNKRRQVKTVGAVENIGFQSPYDVK >RHN67885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31183346:31185259:-1 gene:gene16130 transcript:rna16130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative salicylate carboxymethyltransferase MEVTQVHMNGSLAEASYANNSLLQRKVISLTKPLRDEAITNLYCNTLPRSLAIADLGCSFGPNTMLVISEIIKGVEKLCQVHHHKSPEYKVFLNDLPGNDFNNVFKSLDTFKEKLRDEMETKIGPCYFYGVPGSFYGRIFPDNSLHFVHSSYSLQWLSKVPEGADNNKGNIYLTSTSPSNVIKAYYKQFRTDFSFFLRCRAKELVEGAQMILTLLGRKCDNPSSKECCYIWELMAMALNDMVLQGIINEEKINTFNIPNYYPSPSEVKLEVLNEGSFVINKLEVSEVNWNALDDCNAFDFESEMSESLRDDGYNMAQCIRAVAEPLLVSHFGEGVITEIFDRYRKILTDRMSKEKTKFTNLSILLTRKP >RHN62666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45074685:45076834:1 gene:gene25263 transcript:rna25263 gene_biotype:protein_coding transcript_biotype:protein_coding MACQMGSMNLPSKLSITENEGSKRSFIHSCCCQPRFSALTPNVGMKPLPFLQSRFLRRRPGWTVAFALNTDGLSGNGEQQSLNDSGSSLGGTRLGRILSAGGRQLLDKLNSARKNFPTKVFLLLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLIYKKPPTTRTGRLQSFLVMVNYWKAGICLGLFVDAFKLGS >RHN55328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17373364:17374382:1 gene:gene30494 transcript:rna30494 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSGFGGALSSHGHTTVKPQLGSFHTLRVWFLSTASPLKWLCSCSFSFHDLNLLWIQIWFMFYDMVCSSLCCSIQVIQILSWIVVCSDSWYVRRFADSKGSRANCFRVRSRKLWCMVLVANFRLLGILIICMIDIGLIGLKRVTIACCLAAACRELLVLGLLCADGWFIHQIADLGLIRIHHWRLDHMCGLKCL >RHN64529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59834252:59836129:-1 gene:gene27352 transcript:rna27352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MDDAGVSKLTAKLKEIFQKWQVGYKEGNDEHSGVNHGGISPMINKRLNSLMSFDSDEDSCNSPKAPHDVPKGYLAVYVGPELRRFIIPTSYLSHSLFKMLLEKAADEFGFNQCGGLTIPCEIETFKYLLSCMENTQLHHDHTSSGNTGTVEERIN >RHN45331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16996020:16996694:-1 gene:gene39610 transcript:rna39610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MCVNAYNLYALGARKIGVTTLPPIGCMPFIITKFGYHSNKCVETINNVALDFNKKLNLTTENLIKKLPGVKLVIFDIYQPLYELIIRPSDYGFFEARKGCCGTGLLEVATLCNKISIGTCADASKYVFWDSFHPTEATNKILMDHLIPAATSLLYSNQTVR >RHN70572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52385840:52395885:1 gene:gene19165 transcript:rna19165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDEDEILGYVTAYLKKKGFKQTEKVFQEEFQQNKTSSSSNSILEPDIANHLHAFSQLENGPARYHNGYSRLRTWTYSSLDLYKHELLRVLYPVFIHCFMDLVAKGHIQEARNFFTTFREDHELMHLRDIQKLEGVLSPTHLKEMEFAHSLRQSKFNIKICEYSYELLLQHLHSTQSTTILGIINEHINFQVTSGQPSLISDDPEAVTLTGSSQEAANQTNQKEIHWGLLEDSLEERLEKPGALLSDSEKGDGEAKEGENDENKKRSIEVGKQGASSKKMKKDRGGTATGKSAKPEVTTVSAAPRVKAELPLPIIPTEVEHSILEDLRNRVQLSSVALPSVSFYTFINTHNGLSCSSISHDGSLVAGGFSDSSLKVWDMAKLGQQPSSSLSQGENDTSQNEQMLGKSGGKRQYTLFQGHSGPVYAASFCPVGDFILSSSADSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPMGHYFASSSHDRTARVWSMDRIQPLRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQSGECVRVFVGHRGMILSLSMSPDGRYMASGDEDGTIMMWDLSSGRCVTPLVGHTSCVWSLAFSSEGSILASGSADCTVKLWDVNTSTKVSRTEEKNGNANRLRSLKTLPTKSTPVNTLRFSRRNLLFAAGALAKNA >RHN71201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57168604:57177281:-1 gene:gene19854 transcript:rna19854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MTLNLAAVGALLLCVSTSLFHITSAEDPYKFFNWNVTYGDIYPLGVRQRGILINGQFPGPDIHSVTNDNLIINVFNSLNEPFLISWNGIQQRRNSFEDGVSGTTCPIPPGRNFTYILQVKDQIGSFYYFPSLAFQKAAGGFGGIRILSRPRIPVPFPEPAGDYTVLIGDWYKSNHTTLKAHLDKGKKLPIPDGVLINGRGPNGLSFNVEQGKTYRLRISNVGLQHSLNFRIQNHKLKLVEVEGTHTLQTTYSSLDVHVGQSYSVLVTADQPSQDYYVVASTRFSSKILTTTAVLRYSNSAGAVSGPPPGGPTIQVDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINTTKTIILASSPGQVNGKQRYGINSVSYVVPDTPLKLADYFKISGVFRVGSISERPTGGGLYLDTSVMQADYRSFVEIVFQNNENIVQSYHLDGYSFFVVGMDGGQWTTSSRNGYNLRDAVARCTTQVYPYSWTAIYVALDNVGMWNLRTEFWARQYLGQQFYLRVYTASTSIRDEFPIPKNARLCGRASGRHTRPL >RHN76067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47413110:47416885:1 gene:gene12342 transcript:rna12342 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRSLCITLSLVFGFIVLMAFAHIFYFLWWKKRRTHIDIEMEQSNYAKGVFYWGCWKKTPCSLHATNTSESVIREKEGTNQEHDLELGVDGKDLLLKSNGEESLEVELMRLHNLPGPPRFLFTITEETKEDLESEDGRSRCGRSRKGSRTKSLSDFIDSPMKCSLDPLESYKHQGFNPLFEVSAESEFNRFRSSPPPKFKFLRDAEEKLYRRLMEESKRKALEENHGSVSEFEVKNSLNVTKATTTSSSQVLPLTSSPTTFKPHENSSMVH >RHN60630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28988872:28989781:-1 gene:gene22975 transcript:rna22975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative retrotransposon gag domain-containing protein MTGSSDSALVAALESVAQAVQQQPKAETGSDGSRRLVTFLRNHPPTFKGRYDPDDAQKWLKEIERIFRVMQCSETQKVRFGTHVLAEEADDWWVSLLPMLEQDDVVVTWAMFRKEFLSRYFPEDVRGKKEIEFLELKQGDMSVTEYAAKFVELAKFYPHYSVETAEFARCIKFENGLRADIKRAIGYQQIRVFSELVNSCRIYKEDTKAHYKIMSERRGKGQQSRPKP >RHN57879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42354675:42357629:1 gene:gene33470 transcript:rna33470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pirin, rmlC-like jelly roll MPENLKEPRLVTRKFLAKPQREGVGAVVRRSIGRFELKYFDPFLVLDEFSVAAPSGFPDHPHRGFETVTYMLQGAVTHEDFEGHKGTIEAGDLQWMTAGRGIVHSEMPASQGIQKGLQLWINLASQHKMIEPKYQEILSKDIVEATKDGIKVRVIAGEALGIKSPIYTRTPTMYLDFTLKPGAHLQQLVPKSWNAFVYILEGEGIFGNKNSHPTTSHHILLLGFGDGLEAWNNSSKVLRFILVGGEPLGEPVVQFGPFVMNTQEEIDQTIDDFENYTNGFEKAKHWRSSEVGLDL >RHN55403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17971566:17973166:1 gene:gene30576 transcript:rna30576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MKLSITYSLLCKLLILQYLSAQCLSAQDFDFFYFIQQWPGAYCDSNQSCCYPITPILPAEFNIYGLRPTKNDGSTPLNCDIHSVFDKSKISDLIENLELNWPSLRCPQLKSIKLWSHEWMKHGTCSESKLTQHDYFQTALKLKKKLNIIQILENAGIEPDDKFYDTSSILDAIQQATGFLPGIVCNRDPGLKSQLLKVYMCVDTSGSNFIECPGVPMGSCGDTVQFSKFWVNLFC >RHN59241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8716858:8718085:-1 gene:gene21244 transcript:rna21244 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPISHLFTLTLTPAVGFPPGSDPSAPITVITTKLFPLENENVLQLENEKIEFFYFDQLYDGSYEFRNLMNKFNLSILPLCLEILLFFVGLYLSLFLTDSSLLLEILFFAFLHIL >RHN80137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35445118:35445876:-1 gene:gene4024 transcript:rna4024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flotillin-like protein 6 MYRVAKASEYLVITGAGIEDIKLAKKSWIFPGQSCTVFDLSPVNYTFEVQAMSAEKLPFVLPAVFTIGPRVDDQESLLKYAKLISPHDKLSNHVKELVQGIIEGETRVLAASMTMEEVFRGTKEFKQEIFKKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQARVDVSEAKMKGEVGSKSREGQTLQNAAKIDAETKIIAMQRAGESDKEGFRVRTEVKVFENQREAEVAEANSKRG >RHN72128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5192761:5194544:-1 gene:gene7803 transcript:rna7803 gene_biotype:protein_coding transcript_biotype:protein_coding MLENTEPFSFEDVSDSGSGSEHGLLGALKAKLFDGKEGKFSFSFPSGSMVTKSTQNCSGKKDSSLSATYCLSQMNEAHANPLPSSLSISSNTFAKSVAIPNNDHEICQTPSMTNMLWSNEMENEFPWPIQMSHVHENHENSTSMLASGSTSNFAWPLIESNIDMTCMDQGILNSNRSDQMNMMNMQLPPLIGGASSDGFWTLDQQQQFVQCENNNSWFGSGGGSWDPLLYVPSELG >RHN72176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5528565:5529230:1 gene:gene7859 transcript:rna7859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSSQILKLDLSHNNISGHFPKEMNFTSSNSPTIDFSFNQLKGSVPLWSGVSALYLRNNLLSGTIPTYIGKEMSHLRYLDLSNNYLNGRIPLSLNRIQNLIYLDLSKNYLTGEIPEFWMGMHMLQIIDLSNNSLSGEIPTSICSLRLLFILELSNNGLSG >RHN58019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43141834:43151262:-1 gene:gene33624 transcript:rna33624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase MDVPLPLDKLALQLINNEPSPGNTSDGKIYIILVATGSFNPPTFMHLRMFELARDALNSKGYCVIGGYMSPVNDAYKKKNLISADHRIQLCHLACKSSEFVMVDPWEANQNTYQRTLTVLSRVHASICETGLISRESLKVMLVCGSDLLHSFGIPGFWIPDQVKSICRDYGVVCIRREGQNIEKTISDDNILNENQANIEVVDELVPNQISSTRIRECIARGLSIKYLTADEVIDYTREHKLYLKSDDK >RHN68662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37558353:37567253:-1 gene:gene17042 transcript:rna17042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S1 MQVFPNSYLFTFPKPLNLKPSPLFHGHPPPLLHRKPPLTTVAKSFTSDEFPVDETFLQTFGPKTKESEDEARRRNWIERGWAPWEEILTPEAKFARTSLNEGEEVPLSSPESIEAFRMLNPRYRKKKIEEMGVTEEEYLAKQFEIKEDVPEELETKWAGPLVVKLVPPRDWPPRGWEVDREELAFIREAHKMEAKRVRLEDIENGVRTETDDVCLDRYKVFLKQYNEWLEANKDLLEEESYKLDQDYHPGRRKRGKDYKDGMYELPFYYPGQICVGKVTTLHLYQGAFVDIGGVYDGWVPIKNNDWFWIRHHIKVGMTVIVEITAKRDPYRFRFPIELRFVDPNIDHLIFNRFDFPPIFHRDEDTNPDELRRDCGRPPIPRKDPGDKPEEEPLFSNHPYVEKLWQINVAEQMILNDMDINPDKYKGKKLSDLVDEDDFDEEKSVQQTKVPYKNALVPKITMKTSVKELDLEAALAERELHKKLWKEAKDRGEDYKIATLKRNIEMDEYDFMHWRRSFEEREALIRDISCRKTLGLPLEEPGRYVDASFFGKDQYDPESPLYRYDYWGEPKNSEKSRKERMTDTHNKSIVGKGTVWCEMPYEDSVKHQPKEVDEYSKQGEAAEEEEEDDDDDDDFDFSILSSLGTNASDQIHVNGTETSRLSDEGVFED >RHN65952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6930397:6933861:-1 gene:gene13858 transcript:rna13858 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSCSEHRSVRIECQSVIQLIQNFKNFFDGLYGANFTESESLLASQISDLSLKSLLITMGSCHIVVNALGNSLSQGLTTNDSFLPGDNYPSWLAYTGEGPFVRFEVPEDCDGCLKGITLYVVYSSTPENMETECLTSVLIINYTKFTLHIYKQETVMSFNNEDWQSVISNLAVGDNVGIFVAFGHGLTVKKTVVYLIYGQSSSMQIEPSISVEVKASSQVQMEPLPELEVQPSSNVKKEASPEDKVQPSFDVKMDPLSQLEVQPLSNVKRDPSLEDEVQPSPSMKTDPLPGLEMQPSSNVKRDPSPIIKNDPLRKRNNKIFVRFSKKVGECLCLNQNRGLDNF >RHN48125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45214325:45214975:1 gene:gene42808 transcript:rna42808 gene_biotype:protein_coding transcript_biotype:protein_coding MNESCGLLLTTSCRHVHSTRRNREGTSKFSACFTPAKRNGLSYYILTRVDYCCCGT >RHN64514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59682550:59686431:1 gene:gene27336 transcript:rna27336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligomeric Golgi complex, subunit 3, cullin repeat-like-containing MGSRGPPQKHPNSEVSTKSYNFTTTWEQNAPLSDQQQNAIISLSHAVSERPFPLNFLAQENASVQDNALSVTIEDTSFDDSGAIKTVMVNTNQFYKWFLDLESAMKSETEEKYQHYVSTLTERIQTCDDILQQVDDTLDLFNELQLQHQAVATKTKTLHDACDRLVQEKQRLIDFAEALRSKLNYFDELENVATNFYSPNMNVGNENFLPLLKRLDECISYVESNPQYAESSVYLLKFRQLQSRALGMMRSHVLAVLKGASSQVQEAIRGSGGDRASISEGVEASVIYVRFKAAASELKPLLEEIESRSSRKEYSQILVECHRLYCEQRLSLIRSIVQRRISEFSKKESLPSLTRSGCAYLIQVCQLEHQLFDHFFPASSKDVSSLSPLMDPLKLKRSAQGSSQSY >RHN80147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35558665:35562814:-1 gene:gene4037 transcript:rna4037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MFPALMSNSTSFSEETNVSSARLNHLVSTLNSSQQPQKTKKKRSLPGNPDPDAEVIALSPKTLLTTNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNNKDVIKKRAYVCPEPSCVHHNPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKIYAVHSDWKAHSKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARMSANQLAITTTNTNPLVQSLFLFPNQQQSFQNPQSHITWDPPQQNPNPSNLNNTLHHNIKPESPNFHTNLSSPPPLPFLHHTNPKSIMTSSPFHVSTQQPSSTAAMSPHLSATALLQKAATVGAAAITGSQPTMSHHQTSQLSIGQFGSVTQLDSVDHYINNMRGCLTGKNDDGLTRDFLGLTNGGGNGGDSLDVKDMLTFTGGVEYHQHQPHQNMMMLKSQSQQAGFGFLGTTTVPESWGNC >RHN65163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64638591:64642734:-1 gene:gene28071 transcript:rna28071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloride channel, voltage gated MEMEDDGRDVEHMGKEEDRIIISSRSSSGGLTLREPLLVKNNNRLNTTSQVAIVGANVCQIESLDYEIVENDLFKQDRRLGAKAEIVQYAILKWTFALLIGLGTGLVAFFNNIGVENIAGFKLLLTNHLMLDHKYHQAFALYAGCNMVLAIAAAALCAYVAPAAAGSGIPEVKAYLNGVDAPSILAPPTLFVKIFGSIFGVAAGFVVGKEGPMVHTGACIGNLLGQGGSKKYGLTWKGFRYFKNDRDRRDLITCGAAAGVAGAFRAPVGGVLFALEEAASWWRSALMWRTFFTTAVVAVVLRGFMEYCKGGICGLFGEGGLIMFDVNSVKPAYSIPDLLVVIFLGVIGGLLGSLYNYLVDKVLRTYNIINEKGPIFKIILVMIISFLTSCCSFGVPWLSKCIPCPPHVGDQCPTVGHSGHYKNFQCPPNHYNDLASLFFTTNDDAIRNLFIAGSHKRFQLSSLLIFFVAIYFLGIITYGIAIPSGLFIPVILAGASYGRVAGSLLSPFTVLDVGLFALLGAASFLGGTMRMTVSLCVILLELTNNLLMLPLVMLVLLISKSVADCFNKGVYDQIVEMKGLPYLEVHAEPYMRHLVAGDVVSGPLFTFSGIEKVGNIVHTLKVTRHNGFPVIDEPPFLEAPELCGLVLRSHLLVILKHKAFTTRPNERSLIMNKLRAHDFGKPGSGKGIRLDDLKISEEEMEMYVDMHHITNKSSYTVVETMSLAKTAVLFRELGLRHLLVVPKTSGRPPIVGILTRHDFMSEHILGLYPHCNSRK >RHN63751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53760240:53762606:-1 gene:gene26494 transcript:rna26494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoaspartyl peptidase/L-asparaginase MGWAVALHGGAGVPFSLPPEARQPREEALRHCLQIGVKALKSNMSPLDVVELVVRELENIPHFNAGRGSVLTNKGTVEMEASIMDGNTMKCGAVSGLSTVVNAISLARLVMDNTPHIYLAFDGAEDFARQQGVETVDTSHFITPENIERLNQAKEADRVQIDYTQPIQNDTTKSETETPFANGDSQLGTVGCVAVDSNGNLASATSTGGLVNKMVGRIGDTPLIGAGTYANELCAVSATGIGEALIRGTVARDVAAMMEFKGLSLKEAADCVVHERIPKGTVGLVAVSAAGEVAMPYNTPGMFRACATEDGYSEVAIWSDAIIE >RHN63438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51324071:51324540:-1 gene:gene26136 transcript:rna26136 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMDVDALRSLAHGILAGRDISESTPIYDVVRYIYREDR >RHN40485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16761015:16763031:-1 gene:gene46641 transcript:rna46641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MESFGVKVEEETMLKAVFLPFISKSHLIFVVDIARLFAMHNVDVTIITTPANAAIFQTSIDHDSSRGRSIRTHIVKFPQVPGLPQGMESFNADTPKDIISKIYQGLAILQEQFTQLFRDMKPDFIVTDMFYPWSVDVADELGIPRLICIGGSYFAHSAMNSIEQFEPHAKVKSNSVSFLLPGLPHNVEMTRLQLPDWLRAPNGYTYLMKMIKDSEKKSYGSLFDSYYEIEGTYEDYYKIAMGSKSWSVGPVSLWMNKDDSDKAGRGHGKEEDEEEGVLKWLDSKKYDSVLYVSFGSMNKFPTPQLVEIAHALEDSGHDFIWVVRKIEDAEDGDDGFLSEFEKRMKERNKGYLIWGWAPQLLILEHGAVGAVVTHCGWNTIMESVNAGLPLATWPLFAEQFFNERLLVDVLKIGVAVGAKEWRNWNEFGDDVVKREDIGKAIGLLMGGGEECLEMRKRVKALSGAAKKAIEVGGSSYTKLKELIEELKSFKLEKINKKLVSVT >RHN55261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16492371:16498843:-1 gene:gene30412 transcript:rna30412 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSTTTDGPVLNLINKRLRALRKKLNRITSMEESLSQGKSLNSEQQEVLRSKSSVLVLIDELEKLRQPLSSALTEELELATQTKNDARETETQAENSEPRPSGENSDVVEDILNLLYFGSLFEVKTQNDFTSTMLTRTHERGCCLTYDYVTDDATDLLGEKDLDAISGLYGLLISRPADSSFSHKNALRRCIEHAKLWVSKAQQPIDPNVDVTYAGLREKLNKIMSSEYFTTTPEMKAPVEVAAAAAGNYGSFQVPVHGSEVSVEVEGSDSQPEKNEGTANSQGQGSGDDRSDPEGEFQKDELEAENAAEAVPVQHEQTNPQGDLEYNQPDGEGKEQQYYPRRGGYQNQRGGRGGGGRRGYSNGRGGRGGGGGRGRGYPNGGNQYHDQPGNYYPRNNYNNRGRGGRGGGYYSNHGAGGQVNHVAGDVGVQS >RHN76964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2764559:2770045:-1 gene:gene361 transcript:rna361 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGNMFFTILITFSVTLITYNIIISGNAPLKQDFPGPSRKPSIKIDPIIKMPLNRKSASSKRLFHTAVTASDSVYNTWQCRVMYYWFKKMKESGDENSGMGGFTRILHSGKSDQYMDEIPTFVAQPLPSGMDQGYIVLNRPWAFVQWLQQADIKEDYILMSEPDHIIVKPIPNLARDGMGAAFPFFYIEPKKYEKVLRKYYPEENGPVTNIDPIGNSPVIVGKESLKKIAPTWMNVSLAMKKDPETDKAFGWVLEMYAYAVSSALHGVGNILHKDFMIQPPWDKELGKTFIIHYTYGCDYSMKGELTYGKIGEWRFDKRSYDLVAPKNLTLPPPGVPESVVTLVKMVNEAAANIPNWSS >RHN81126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43486150:43488719:-1 gene:gene5126 transcript:rna5126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative universal stress protein A MASASSEQKSKPVMLVAIDESDHSAYALKWTLDHFFSTNNSVFKLVLVHARPAATSSVGLAGPVYAGAAEVLPIVDSDLRKIAARVAENAKQLCIKKSVNDVIVEVVEGDARNVLCDTVEKYRASILVVGSHGYGAIKRAVLGSVSDYCAHHAHCTVMIVKKPKTKH >RHN59383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10141861:10143396:1 gene:gene21409 transcript:rna21409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MESVAAKKRKVSTTCISDDIAFSILSKLPFKSIKRFECVRKSWSLILSENPHFMNMFYKNLLSNSHRYPYYDGASLLLKDLELGKEVFYSIYGERFENKVQLDFTNPFANRFNFRIFGFGSINGTLCLHQDDYNGKTLLWNPSTHAIKLIPPTPYELVESSIDEDVEDFDSIDDTSYLHGFGYDELRNDYNVICYVSIMGEHAGYGDMTLDPFWKIYSLRTNSWRILDVFDMPYSLACIDGTQVYMNGVCHWLCEEEEDSQDGPCLVSFYLSNEKFFITPVPSYLDDCFDVQALWINLVVLNGAIALISYHEETTNFHISILGEYGIKESWTKLFLVGPLSCIERPIGVGTKGEIFVIRKDKELVCIDLSTQMIVELVYKEVNSIDRIVIYKENILPIGGISN >RHN42664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40129158:40129406:1 gene:gene49140 transcript:rna49140 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVTCEKGGDKLVSILEAFEDICVNVQQARVSCKNEFSIEAIIVAEDQTLDVTYITQVLLKAIGNQSSEKGSEVLDNFNKL >RHN44604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6699916:6700353:-1 gene:gene38742 transcript:rna38742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MSVQSSKSQTQPWVQDHSRGSIGSSLRRRSNRECWCGEQAVIRTVADMSNPNCGKNFWGCKDYKNSFDKGCRFFKLLDEDVIDEMDVKIEKQKKKIKKLKIELENTIKLLRMSMFFGLMCFGMLLILGTVLMCKSTSMWNGFYLK >RHN45270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14064670:14065047:-1 gene:gene39514 transcript:rna39514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isopenicillin N synthase MSTTPTNLIDFLVNQANGVKGLTDLNLPSIPHQYIQPIEVRIDKCKIIPQDSNISIPIIDFTNWDDLESWGFFQIVNHGMPINVLDDLKASVHGIPINVLGISTPTPLIHYATTKSFTTISSKIQ >RHN61295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34585033:34588063:1 gene:gene23743 transcript:rna23743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA synthase MSTNQQEHVQIHTNRRLPDFLQSVNLKYVKLGYHYLITHLLTLCLIPLMSVIIIQVSQMNPNEIHQLWLHLKYNLVSIITCSAILVFGSTVYIMTRPRSIYLIDFSCYKPPSNLAVKFTKFIQHSKLKGDFDESSLEFQRKILERSGLGEDTYLPEAMHKIPPTPCMASAREEAEQVMYGALDNLFANTKIKPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAIDLAKDMLQVHRNTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGAAVLLSNKGCDRSRAKYKLVHVVRTHKGADDKAFKCVYQEQDDVGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPVSEQLLFFTTLVIKKWFNAKTKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLMPDHVEASRMTLHRFGNTSSSSIWYELAYIEAKGRMRKGNRIWQIAFGSGFKCNSAVWQAMKHVKASPMSPWEDCIDRYPVEIVS >RHN62193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41579553:41579984:1 gene:gene24743 transcript:rna24743 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKPSNAPPPSQRLYKHMSWSPDMLREKAWQRRKENHRRSSRDGHLRLSKSLSEYDLQELNACFELGFGFDSPEIDPKLSDTFPALELYHVVNKQYHNHNMSRSSSSSSIVSDSDIANTTTIFNPGNSIQKRDRHNLNFSLN >RHN65914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6430354:6436074:-1 gene:gene13810 transcript:rna13810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEVDVGRAFLSPVIQLICEKLTSTDFRDYFHEGLVKKLEITLKSINYVLDDTETKQYQNQTVKNWLDDVSHVLYEVEQLLDVIATDAHRKGKIRRFLSAFINRFESRIKVMLKRLEFRAGQKDALGFQVAANHEVGGVSRTLLDQMPTVSLIDESVIYGRYHEKEKMINFLLTDSESDGDNRVPIISIVGLPGIGKTTLAQFIYNDHRIQEQFELNAWVHVPRSFDLVSLTLSILRSFQSSAAHGQDLEILQRQLQQLLMGKKFLLVLDGVWEIDENTWEQLLLFKCGSLGSKMIVTTHDKEVASSMSSARILHLKQLEESNSWSLFVRYAFPGRNVFGYPNLELIGKKIVEKCGGLPLALKTLGILLNRKFSEIEWVRILETDLWRLPEGDGNINSVLRISYLSLPSDLKHCFAYCSIFPKGYEFEKGELIKLWMAEGFLNHFRVDSSIEELGNEFFDYLVSISFFQQSVIMPLWSGKYYFTMHDLVNDLAKSLTRESRLRIEGDNVQDINERTRHIWCCLDLEDGDRKLKHIHNIKGLQSLMVEAQGYGDQRFKISTDVQLNLFFRLKYLRMLSFNGCNLLELADEIRNLKLLRYLDLSYTEITSLPNSICKLYNLHTLLLEECFKLTELPSNFCKLVNLRHLNLKGTHIKKMPKEIRGLINPEMLTDFIVGEQHGFDIKQLAELNHLKGRLQISGLKNVSDLADAMAANLKDKKHLEELSLSYDEWREMDGSVTEARVSVLEALQPNRNLMRLTINDYRGSSFPNWLGDHHLPNLVSLELLGCTHCSQLPPLGQFPSLKKLSISGCHGIEIIGSEFCSYNSSNVAFRSLETLRVEYMSEWKEWLCLEGFPLLQELCLKQCPKLKSALPHHLPCLQKLEIIDCEELEASIPKAANISDIELKRCDGILINELPSSLKRAILCGTHVIESTLEKVLINSAFLEELEVEDFFGQNMEWSSLYMCSCYSLRTLTITGWHSSSLPFALYLFNNLNSLVLYDCPWLESFFGRQLPCNLGSLRIERCPNLMASIEEWGLFKLKSLKQFSLSDDFEILESFPEESLLPSTINSLELTNCSNLKKINYKGLLHLTSLESLYIEDCPCLESLPEEGLPSSLSTLSIHDCPLIKQLYQKEQGERWHTISHIPSVTIS >RHN57761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41550593:41551347:1 gene:gene33345 transcript:rna33345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MNSSKRLWSFLNKPKDCGVNSNRYVFLSFCGEDTRYSFTGFLYHALCREGFKIFMDDEGLEGGNQISQTLLKAIEKSRLSIVVLSENYGVQEDK >RHN56007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26702216:26704877:-1 gene:gene31319 transcript:rna31319 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVGNLYRCALIREHILIHLSFSLSYETIKTLTKRNIERTQFQSQSTFFPYRVFSFSIYVLLTMISSSSSPRTPISPSSHLVPNLSTSPSPIRYQPTMMDSSPSSSSPMDSSSRTPISSYPFVITAETPSPPPAPKKRRHTEHTIEAVRRLDIEIIIETYKKKLQELLTKVNTKEALEEERKKKMRILMSLR >RHN69198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41736255:41743035:1 gene:gene17635 transcript:rna17635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MKHFMLPRNATARDAATELPSSSSPSTTSSAKSRPSRTKHSKENTDPNPIVPSSPSHPKLKSPLPPRPPSSNPLKRKLALDALAADNNSLQPATSDSGVKVIVRMRPLCNVNDDGEANPIVQKISGDSLSINGHTFTFDSVADVEATQLDIFEHVGVPLVENCLAGFNSSVFAYGQTGSGKTYTMWGPANSLVEGNVAKEQQGLTPRVFELLFARINEEQIKHSDEQLNYQCQCSFLEIYNEQITDLLDPSQRNLQIREDVKSGVYVENLTEEQVSTMKDVTQLLLKGLSNRRIGATSINSESSRSHTVFTCVVESRCKSAADGVSRFKTSRINLVDLAGSERQKSTGAAGERLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSRLTFLLQESLGGNAKLAMVCAISPAQSCRSETFSTLRFAQRAKAIKNKAVVNEVTQDNVNHLRQVIRQLRDELHRIKENGYNPLDPSGGHSAAWVRKSLNILQSSLNRPPPLCSVDEDGDEEMEIAEEDVEDHDQVNFVSENDNKMNIDDMDLVQPSEEKINPSVSGSKPLNKESSCPVGESDIGDFTGFSAPDPSNDSPSATMNCVSPGGLSIVACEISPNLKSPTPSVSPRISTSRKSLRTSSGVSPSENDVHVKSELGIKTSNLKSSCTAFSSQTGPSFLTKTENLAASIRHGLEIIDSHRSAALRQSSYRFSLRPRESRLTVPVDKIDVGVQTILDDNVEEDSILFTCSNCKNRAQLDGNETDSNSNLQLVPVECSGSADKPKMHVLKAVEKVLAGSIRREMALEEFCTKQNSEIMQLNRLVQQYKNERECNAIIAQTRDGKILRLESLMDGVLPTEEFMDEELVALTHEHKILKEKYENHPEVLKMDIELKRLQDELQEYHNFYKLGEREVLMDEVHSLRSQLQFYIDSSSTARKQYPLLQLIGSSEPSLAATTLTAIPESTEERNETNEIQASVKDSFEVKLEQERIKWNEAESRWISLSEELRADLEANRSLAEKRKHELDAERECNKELQEAMHSAIEGHARLLEQYADLEEKHVQLLARHRRIQEGIEDVKKAASRAGVRGAESKFINALAAEISALKAEREKERRFFRDENRGLQAQLKDTAEAVQAAGELLFRLKEAEESVINAQKRAMDAEQKAAKAYQQIDKLKKKHEKEVVSLNELVSEARLQKKSIPPVYDDVVMPNYDDDSKEPHCVSEFEPFNNIAEDGELAKLAEPSWFSSYDRCNI >RHN43123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43380478:43382752:-1 gene:gene49650 transcript:rna49650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MHLPYYLPRSPPSSSGKSIFLLNHHVNKWKNHRHKVVKKCLGASIYCSSDVPSIQQLSHARLIYSVAPSMGHNQESHPESHFRVPAIVTALQQMKLTSKFRGSEVIELQNFEPASTDDIASVHARAYVSGLEKVMDQALEKGLIVVDGSGPTYATSTTFQESIVAAGAGLALVDSVVISQPGMSISW >RHN75728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44590050:44591957:1 gene:gene11968 transcript:rna11968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MRRSNLQYVMGTTRQGKRNKYTIRGTDTVVRAKDCVLLRRTDAIKPIIARVEKFEQDNMNRMRVYVRKYYRPEETIEGRVCFHGDKELFLSNHYDVHSADTIEGKCFVHNLKNYMKLEVVGANDYYSRFWYNAFTGAMTPERVEVLCKCEMPYNPDRLMVLCGSCNERYHPKCVGMPFEEAMKSEDFRFVCSKCSPDLKD >RHN82776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56280665:56283789:-1 gene:gene6962 transcript:rna6962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plastid lipid-associated protein/fibrillin MALTLRVVNVNSIGFESCSRCSTFISPNPSNSRFVSAGCSKVEQISIVTEESENSLIQALVGIQGRGRSSSPQQLNAIERAIQVLEHIGGVSDPTNSSLIEGRWQLIFTTRPGTASPIQAAASIGDGKRILFRFDRAAFSFKFLPFKVPYPVPFKLLGDEAKGWLDTTYLSHSGNLRISRGNKGTTFVLQKQTEPRQKLLTAISSGVGVREAIDKLISLNKNSGEEDPELEEGEWQMIWNSQTVTDSWLENAANGLMGKQIVEKNGRIKYVVNILLGLKFSMSGIFVKSSPKVYEVTMDDAAIIGGPFGYPLEFGKKFILEILFNDGKVRISRGDNEIIFVHARTNAQ >RHN66823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19462707:19470380:-1 gene:gene14919 transcript:rna14919 gene_biotype:protein_coding transcript_biotype:protein_coding MFHDRVCVPNVLKLKKRILEEGHMSSLSFHPKATKMYQDLKRLFWWPGMKKDIAEFVYACLVFQKSKIEHQKSSGLMQPLFVPAWKWVVVLNLYIFTIVFTILSLRERVNLCFQHNTYC >RHN49771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1014766:1018367:1 gene:gene33980 transcript:rna33980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGVVDTESMEPFGVEASLAENVMVEGSEQEKNELKEVVKSSVFETEVSVLKENDSQVVNDSGVNNGVSSEMNNDSAVEVVKISVFETEVSILKENDSQAVADSEVNNGVSLLKTGENDGSVVVCEKINCEGKVKEGDENIQTVEIPIVEISENIDIEVNGKENEEGKKDEKIATVEVPIVETSENIDVEMNEKDSEEGEKGENCDGKIESTEVPKVEASESVDVEMTEKEGAEGKKDENCDGKTETIEDPIVEASESMDVEVDDLIDERCDFSVGDFVWGKIKSHPWWPGRVYDLSNASELALKLKQNNRLLVAYFDGTFAWCHSSQLKPFKDNFDDMVRQGSSKAFTYAVQEAVNEVGRVLVTKMSRSFVVAEETKSEFAPMLAKNSGIKEGVFVPDSGIESISAVTLEPAELLSQVKQIAEVIDIASILELEILKARLSAFYFSKGGYKLPCYEPPKLVLGLEDKDDVNNAVEAPSQGPFEEDYSTLPLTPKSGSGSRPNRRRKQKSIADIMGEDKDVDAKDKEWDASDDEVLVAIKSRGRKKKKDNGDAGTSEPVQKTKELLGGTDTETVRGGKESCEDKENSDGGKSQQSDEEKEAFGNDNNSDGSRGENDEGKPKEPNEKGFLSRERKKSKYLSPPFTTSIRDFVKGRGSGPLSPRVSKYNSEAFQEFEFSVSLNHQTPDDEKETLDPEKVKVPSVEILSKIRDAAVSPQISRKGTSSDRLVDFVSVMRSSLYREGSLHKEYNEAEPGTGRKRKKPESELDQSDNISPSEDSGPAKKSKERTTSLSKVKKRARETKTSGKKGTDEKSSAAERSKKSKPSQDKKKEKLLTPATPSLSQGTETSKLIVIKHKLQGLVSMLESSDDKSPDFKTKVESEVKGLLEDVNNMVESTSS >RHN47272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38413686:38416649:-1 gene:gene41848 transcript:rna41848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-glutamyl-gamma-aminobutyrate hydrolase MSSDLSGILPRVLIVSRRTLRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVSGVHMLLDSFEPIHGVLLCEGEDIDPSWYEEDTSCLSQEELEEIRRLHVSDTSIDKEKDSIELALAKLCLERNIPYLGICRGSQLLNVACGGTLYQDIGKELSNKGLEESEMVMHINYDDYDGHRHEVKVVENTPLHHWFKDSLEDDKMDILVNSYHHQGVKRLAQRFVPMAFAPDGLIEGFYDPDAYNPEEGKFIMGLQFHPERMRKADSDEFDYPGCPFAYQEFVKAVVAYQKRLNTSVQKPLKLNKEMENKRKSIVRSFSLAKDLYNHGLGMSSTKESELQEGAEFLESNTALSVQQEKRLKQMGATVRNAGSYIERLRQNEEREKMARSVMGKMSMEQLSELLSFYHTMGQICSEVLEKKIHDIVNDVSL >RHN40661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18983576:18985357:1 gene:gene46847 transcript:rna46847 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MAEELILLDEWLSMFGMRARIALAEKGIKYEYKEEDLENKSQMLLKMNPIHKKIPVLIHKGKPISESIIIVEYIDEVWKDKVPFLPSDPYQKAQAKFWADFVNKKVGDVGGRIWAGKRDEIELAKKELIEGLKELENVLGDKPYFGGDTFGFVDIALIPFYSWFYTYEKLCNFKVEEECEKLIVWAKRCKQRDSVSKSVADEKEVYEFVVNYRMRFELD >RHN79019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21140126:21141559:-1 gene:gene2699 transcript:rna2699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MVKGLSHLSKIEVCECNSMKEIVFRDNDSSANNDITDEKIEFLQLRSLTLEHLKTLDNFASDYLTHHRSKEKYHDVEPYASTTPFFNAQVSFPNLDTLKLSSLLNLNKVWDENHQSMCNLTSLIVDNCVGLKYLFSSTLVESFMNLKHLEISNCPIMEDIITKEDRNNAVKEVHFLKLEKMILKDMDSLKTIWHRQFETSKMLEVNNCKKIVVVFPSSMQNTYNELEKLEVRNCALVEEIFELNLNENNSEEVMTQLKEVTLDELMKLKNNGVEILKEFLVFKI >RHN39216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5036556:5037701:1 gene:gene45220 transcript:rna45220 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFELHRLIKVQRLIAGSPNLLFEDKLLLNKPSVEASSSNKLQSDFISEQQPTVFKLDSKSEKATTSEDVENNAVGKISLPFVNNISKENNQLSNYGNHHLGNLALASADKSSNAKHSPNIVYPPPPPNQWLVPVMSPSEGLVYKPIIGPCPPNSGGIMTPLYGGCSPMSFNQGSKDVVMDPSLTTSFHQKIRLLSGSSLPQLLPPCVPSFMHRSISASSVEQMAQSNGPKNHYSSAELNSTILYQSPSNMSTQISHVMARNFSPYQSLEDNKELQISIANSPSKRMKRDELPLFPLAPTFWSSPDRDSIDEHQEHHSRVIKALPHNPKSASESAAKIFKSIQEERKFL >RHN61557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36374483:36374824:1 gene:gene24032 transcript:rna24032 gene_biotype:protein_coding transcript_biotype:protein_coding MATMCFERAGDSYWGKKSKAASLRATAIRLHDLNLEDANAILREAAEIFEGLGMVDSAAQCFTDLGDYERAGINFNFGIHVYSFMSFSIKKHYDILEHKYQVYPTWSYIVFIC >RHN81905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49694353:49701169:1 gene:gene6002 transcript:rna6002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MRRPGLHRQNGRHIGGSGFKGMVAKLSIAAIVLLICTFSLFYSSSNENVQSIFRSEIRLEELWSNADSSGWRPSSSPRSHWPPPPSKNNGYLRVRCNGGLNQQRTAISNAVLAARIMNATLVLPELDANSFWHDDSGFHGIYDVEHFIRTLRFDVKIVESIPENEKSGKKKKLKAFQIRPPRDAPISWYTTDALKKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKPHIMKLSQSIVDKLRAQGPFMSIHLRFEMDMLSFAGCFDIFTPEEQKILKKYREENFAPKKLVYNERRAIGKCPLTPEEVGLILRALGFDNSTRIYLAAGELFGGDRFMNPFRSLFPRLENHSSVDHSEELAENTRGLAGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDREKGQITDFDEAVKKVMLKTNFGEPHKRVSPESFYTNSWPECFCQTSAKNPEDNCPPNDVLNILHDELVKASTDTNSTQA >RHN71951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3884866:3885145:-1 gene:gene7612 transcript:rna7612 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLLQFPCCSCFCFVTPKKEKHKEKKEANKGKIFSPYVPHHES >RHN61745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37975010:37976244:1 gene:gene24236 transcript:rna24236 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQWSSCCLCCCEINSITTYNYICKITNACCHHFKLLATIIFVFEREFFLLLIMFCARFV >RHN50526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7919234:7924885:-1 gene:gene34822 transcript:rna34822 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSACLMQPFCYASGISNDSNQNINTNHALGQSVSFGRFMSESLAWEKWSSFSHNRYVEEAERYSKPGSVAQKKAFFEAHYKKLAAQKAAALLEQQNNAASQNNVTEKEDNDENNDSQKDSKYEVVVKEDRDDGKVLSHALDSNMEKCIASESNKLEECETQMEQGVVLRNSMMVELQKRLENADTFQEQSEKFCATPPIMTPILEKTVVLRNSLRDELRKEDENVDTLQIMTPILKQVSSIDQEVLASTSKKKPPVSSFNLIKSNNGTSKFTTTTPVKSTAPISSKIDNFATPMSNNRPSALSNADIKRPTPKKVNFTPIREFNRLTASVMRRFESTRVGASSSKVSKDSLTPLKTPTMASKEMQKHSSLTPLTEKKRNKTPTDSSASRNHTAGPKWRLLSGENKMRSPIISSPFSLRTEERAARRKKKLEEKFNANEEQKVQQLHTKLKEKTGSEIRRKLRQSFCFQARPLPDFYKERKASNIETRKVIQTHYESSNEGRHPILSMKECKTSLPLNRPSLKNNGTKNFIAKNGPILAHHPLTSNSMKIITAHENTSPNIQHRNQNGRNYK >RHN43813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48685398:48689218:-1 gene:gene50442 transcript:rna50442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipid-hydroperoxide glutathione peroxidase MGKNHNQEERIEKISYKIRESVKLIDIMDTQLLTFWNFLSFFLLLIALYFFRRNSSSKMAENSSKSIYDFTVKDISGNDVSLSQYRGKVLLVVNVASQCGLTQTNYKELNVLYQKYKDQDFEILAFPCNQFRGQEPGSSEEIQNVVCTRFKAEFPVFDKVEVNGKNAEPLYKFLKDQKGGIFGDGIKWNFTKFLVNKEGKVVDRYAPTTAPLKIEKDIEKLLRSS >RHN74349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32071948:32072526:1 gene:gene10392 transcript:rna10392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MIFVDTLSPDFRGDPDLLSHLIVRDDVRGKDYLRDIVLSLLVVGRDTVVAGLTELFWLLSRNLQVQERIMKEIDRILGPSLELQFNSKYAKKDGILPDGYPVTKGCRVTYHPYAMAREERIWGADCKVFNPEWWLNQDISRKRSYFKYPVFSRQSEMVLWGNICCYRNETCNSWRVEKVSNRSSWKQPRASI >RHN64793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61891076:61893757:1 gene:gene27651 transcript:rna27651 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHESSVSPTHLHYHIAGDDYIYIPLFLFVLTALHFYYPLFLAIPFTSFTLVIMTRVHPLSVARMVKDRNSSTSMLVLFRI >RHN40694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19616161:19618701:1 gene:gene46887 transcript:rna46887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MCNIVLYKIIKSLKSSQFFFFYMEDLNMWLSFYMNESVNLDFPIRRFCPARMEQDQEGECHDQETILDDVELCTFDTTTTSCLLPSSEVDDFVDSFINMDQYSDHESQEKNHQSQSFDHNFLVNDDVFGYDPTTMEDEELEICENTTITMEDEEVEMNGNFGAIPQSQASLGMNQGLDLVHTLLACAEAVGCRDNNQADLLLGKILALSSSSGDSLQRVSFCFATALKCRLSLYPQNVFSNSTLTTSTSNDVSLITRENKLEAFQLLYQTTPYITFGFMAANEAICQGSKGKSSIHIIDLGMEHALQWPSLIRSLASRPEGPPKLRITGFSTNEENNAKLRASMNLHVEEALSLGIVLEFRIISEPATPSLLTIENLGLREGEALFVNSILKLHKYVKESRGYLKSILQSIKKLSPIALTVVEQDTNHNGPFFLGRFLESLHYYSAIFDSLEASMPRNSPIRMKIERIHFAEEICNIVACEGPDRMERHERVDQWRRQLGRAGFQVMPLKCTSQARMMLSVYDCDGYTLSCEKGCLLLGWKGRPIMMASAWQVASLSYTDRAS >RHN54477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9912926:9913351:-1 gene:gene29527 transcript:rna29527 gene_biotype:protein_coding transcript_biotype:protein_coding MSISIHKSFSLLLVIVMSFNNSTFGSRVSIQSYERPPAEKVLSLFVENDLPENSEELHFIIVNEKPNYVLKQGVPLNFLTNFLPKQGQLTWIRKQPLHATFNLYDPNTEGDHKKIFWSVRQDGVYHSWDNVNWNKNTKWST >RHN45717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24954673:24956579:1 gene:gene40104 transcript:rna40104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MQGLMMLTYQAQNPNLQPPENKTPSYIQALFLFTGLYAIAAGLGGMRATLAAHGADQLDHNNKSLISSYFSWYFFSLITGGLLATCVMVPIEQKYGWSTSFFILLFVASLALCTFVSGFPLYRYKRPSGSPLTQIIQTLVTSAGNIKVSTGGSLNHDVTELLLAGDQSHDKFKFLNKALIDQNIGVAQVKETKSFIGLLPIFVTTIMMNCCVSQILTFSVQQGNLMNRTLHNFTIPTQSIAFVPIIISLTFIILFEQLKKMNKHKDASNPKFYKPLFRMGIGLALVSTSMFVASIIESKRLEAFKNGKTLSVFWLLFQYILLGLSDTLTLGGMLEFFYSEAPESMKSICTSLSWCSSSMGFFMSSVLVTLSNSISGRFGKEWFGGKDLNHSRLDLFYALLCIINILNFLLYVYFAKRY >RHN59223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8550985:8553433:1 gene:gene21223 transcript:rna21223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MDVKNRGFSLIAFSLFLLLSSIFPHHFSAANKNNSIPPTSIHSLISSLVYTIKGNVYPDGLYTVSINIGNPPKPYELDIDTGSDLTWVQCDGPDAPCKGCTMPKDKLYKPNGKQVVKCSDPICVATQSTHVLGQICSKQSPPCVYNVQYADHASTLGVLVRDYMHIGSPSSSTKDPLVAFGCGYEQKFSGPTPPHSKPAGILGLGNGKTSILSQLTSIGFIHNVLGHCLSAEGGGYLFLGDKFVPSSGIVWTPIIQSSLEKHYNTGPVDLFFNGKPTPAKGLQIIFDSGSSYTYFSSPVYTIVANMVNNDLKGKPLSRVKDPSLPICWKGVKPFKSLNEVNNYFKPLTLSFTKSKNLQFQLPPVAYLIITKYGNVCLGILNGNEAGLGNRNVVGGKTFLNLQKCYIFENFIVKSILLLLTDISLQDKVVVYDNEKQQIGWASANCKQIPRS >RHN77304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5895346:5900584:-1 gene:gene746 transcript:rna746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MSGDEESTTTKYTSVKHDYDTADKKTDSGKAPKFNGDPEEFSWWKTNMYSFIMGLDEELWDILEDGVDDLDLDEEGAAIDRRIHTPAQKKLYKKHHKIRGIIVASIPRTEYMKMSDKSTAKAMFASLCANFEGSKKVKEAKALMLVHQYELFRMKDDESIEEMYSRFQTLVSGLQILKKSYVASDHVSKILRSLPSRWRPKVTAIEEAKDLNTLSVEDLVSSLKVHEMSLNEHETSKKSKSIALPSKGKTSKSSKAYKASESEEESPDGDSDEDQSVKMAMLSNKLEYLARKQKKFLSKRGSYKNFKKEDQKGCFNCKKPGHFIADCPDLQKEKFKGKSKKSSFNSSKFRKQIKKSLMATWEDLDSESGSDKEEADDDAKAAVGLVATVSSEAVSEAESDSEDENEVYSKIPRQELVDSLKELLSLFEHRTNELTDLKEKYVDLMKQQKSTLLELKASEEELKGFNLISTTYEDRLKSLCQKLQEKCDKGSGNKHEIALDDFIMAGIDRSKVASMIYSTYKNKGKGIGYSEEKSKEYSLKSYCDCIKDGLKSTFVPEGTNAITAVQSKPEASGSQAKITSKPENLKIKVVTKSDPKSQKIKILKRSEPVHQNLIKPESKIPKQKDQKNKAATASEKTIPKGVKPKVLNDQKPLSIHPKVQGRKSKTSKTNPKGPMKIWVPKSELAKNAGVLKGKRETKVMVPRQRMFKAHDWRESFVPYPYNERWRRSEVWWQPNWKDHWYSKTNCTLVNKDDKSITFKGKRVENVYKINFSDLADQKVVCLLSMNDKKWVWHKRLGHANWRLISKISKLQLVKGLPNIDYHSDALCGACQKGKIVKSSFKSKDIISTSRPLELLHIDLFGPVNTASLYGSKYGLVIVDDYSRWTWVKFIKSKDYACEVFSSFCTQIQSEKELKILKVRSDHGGEFENEPFELFCEKHGILHEFSSPRTPQQNGVVERKNRTLQEMARTMIHENNLAKHFWAEAVNTSCYIQNRIYIRPMLEKTAYELFKGRRPNISYFHQFGCTCYILNTKDYLKKFDAKAQRGIFLGYSERSKAYRVYNSETQCVEESMHVKFDDREPGSKTSEQSESNAGTTDSEDASESDQPSDSEKYTEVESSPEAEITPEAESNSEAEPSPIAQNEIASEDFQDNTQQVIQPKFKHKSSHPEELIIGSKDSPRRTRSHFRQEESLIGLLSIIEPKTVEEALSDDGWILAMQEELNQFQRNDVWDLVPKPSQKNIIGTKWVFRNKLNEQGEVTRNKARLVAQGYSQQEGIDYTETFAPVARLEAIRLLLSYAINHGIILYQMDVKSAFLNGVIEEEVYVKQPPGFEDLKHPDHVYKLKKSLYGLKQAPRAWYDRLSNFLIKNDFERGQVDTTLFRKTLKKDILIVQIYVDDIIFGSTNASLCKEFSKLMQDEFEMSMMGELKFFLGIQINQSKEGVYVHQTKYTKELLKKFKLEDCKVMNTPMHPTCTLSKEDTGTVVDQKLYRGMIGSLLYLTASRPDILFSVCLCARFQSDPRESHLTAVKRIFRYLKGTTNLGLLYRKSLDYKLIGFCDADYAGDRIERKSTSGNCQFLGENLISWASKRQATIAMSTAEAEYISAASCCTQLLWMKHQLEDYQINANSIPIYCDNTAAICLSKNPILHSRAKHIEIKHHFIRDYVQKGILDIQFIDTEHQWADIFTKPLSVERFDFIKKNLNMHFVSD >RHN82244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52280665:52282726:1 gene:gene6389 transcript:rna6389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MISHSFSLAMILLLFLFIFQLLSYLKRNKKLHARLPPHPPSPPAIPIIGHLHLLKPLIHQAFRHLSDQYGPLISLRLGSSQFIIVNTPSLAKEFLKTHELTYSHRKMSIAINVVAYDDATFAFAPYGTYWKFIKKLSTTELLGNRTMAQFLPIRTQELHEFIQTLANKSKAEESVNLTQALIKLSNNIISRMMLSIDCSGTDNQAEQVRALVREVTQIFGEFNVSDFIGIFKNFDLQGFKKRALDIHKRYDALLDKIISDREELRREAKLIDGGGENGEEERLKDFLDILLDVYSEKNCEVNFTRNHIKSLILDYFTAATDTTAISVEWAISELFNNPRVLKKAQEEVDIVTRKERLVCEEDGPNLPYIHAIIKETMRLHPPIPMIMRKGMEDCVVDGNMILKGSMVCVNIWAMARDPKIWENPLEFRPERFLENKDIDMKGHQFELLPFGSGRRGCPGMPLALRQLPTVIGALVQCFEWKMLDSECKILDQGKKIDMDERPGLTAPRANDLICIPVARLNPLTFLQL >RHN43421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45722533:45725542:1 gene:gene49992 transcript:rna49992 gene_biotype:protein_coding transcript_biotype:protein_coding MADHNEHEEVKSESLLDKISGKIHDHHDSSSSSSDSDNDKKEKKVSSPTSLKNKVFRLFGREKPLHNVLGGGKPADVFLWRNKKISATTLGVATALWVLFELLEYHLLTLISHLAILALAVLFLWSNASTFINKSPPKIPQVHIPEEPVLQIASAIRIEINRAFAILRDIASGRDLKQFLSVIAGLWVLSIVGSWTNFLTLFYIAFVLLHTVPVLYEKYEDRVDSFGEKALHEFKKQYAVFDEKVLSKIPKGPLKDKKKD >RHN45402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19809171:19819442:-1 gene:gene39723 transcript:rna39723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cadmium-transporting ATPase MATVATTQPCYSSWTRGSIPIQRRRFRGKTNLKRLKILAAVVEETTSSSNIVPLVRNGTNRVLTLPLNRADDIQAEARAMTRAINATLYSPELLSSKYGSQRIKVVQRAVEIVSALGSFGLKLFLEQKNGTLDKNKKARATELKTIFTQLGPTFVKLGQGLSTRPDICPPEYLDELSELQDGLPTFPDEEAFACIERELGVSLDSIFSSISPSPIAAASLGQVYKARLKNSGKLVAIKVQRPSIEEAIGLDFYLIRGLGGFINKYVDIITTDVVALIDEFARRVFQELNYVQEGQNARRFKKLYADRQDICVPDIFWDYTSAKVLAMDWVEGVKLSEQEAIERQGLNVLDLVNTGIQCSLRQLLEYGYFHADPHPGNLLATPDGRLAFLDFGMMSETPEEARSAIIGHVVHLVNRDYEAMARDYYALDFLSPDVDVSPIVPALRDFFDDALNYTVSELNFKTLVDGLGNVLYQFPFNVPAYYALILRSLTVLEGLALNADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKFRWSRLEDLLVQGSMDSDFSAKEALQPVLKVLLSPDGEVIRTLVIKEAVRVSEAFTLSSISDSYKSVPGFMRTLVFNGNANGPLMMSESEMLSMIELRNQVSRIWGLLQSSNDFDPALVLPIFRVLQQPEARRLGGGVMDGITQRLVARFLQQVLRVPATAST >RHN64928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63069950:63073282:-1 gene:gene27809 transcript:rna27809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-B-type family MMAVEDRLVGEDVTEEGFPVGMRVLAVDDDQTSLKVLEKHLLTCKYNVTTTTKSVEALELLREKRSMFDLIISDVNMPEMDGFKLLEQVGLEMDLPFIMLSGHDDRERVMKGVMKGACDYLVKPIRLEELKNIWQHVVRKKIESKDQNQGIISDGVSGQDTSSENIPKKNKTHGRKRKEQSEEEEADTDEENDEEHSTRKKPRFVWDNELHKKFVSIVNLLGLDKAYPKKIRDLMNVEGLTRENVASHLQKYRLSLKRPSKQAKVDAALDPLQQKGSVRGYGDFCTLPGSRRILSSTLPTYASSGMFCRLNAPSSLNFRRMSPSAPVPPLQSQNILSFKQPMFSASESLSFSQGVRTSAEINQFQRNIYPLGNKNLNPIDYTSAFTVSSGFQDIRDTVNNANSSLSCISSNNLSTHNSGAFVNHSSVGGAYVEPKSFNPATYGSSNFASNPSPLSEDFNNDQISGNSLNFASPSSHFRKSPVDFSSTLAVPSEEAMQCQDGLLGNVVKASCYTTQQQNVSSSFNNTLDSLASYNEDTSSMVQSVPQTNSQLMTQMPQVEKFYSDARVTEPRGDCFFEQLLALDGLIENSCVSLDDTISEAVKQSPSD >RHN55645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20560852:20562714:-1 gene:gene30861 transcript:rna30861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MVNMGDNYLENKNAFGSWLDDINYIFGSQDGTVLVMKDARVNMNRIFLDSNDYNYSAPEELYWSARTMSSSNGDSNIRYNLTWSFRVDSGFKYLVRLHFCEILMSVTDINQRVFDVYINNQTAEEKLDLVALAGEPFTPLYRDYVVMVPIETMLISLHPNLESKPKYADAILNGVEIIKLSDSNYNLAASFQLKNEKLHNKKKFPIFVVVVASTLGSTLGLFITFFILRRKGWTKINRGTLNSTEKGEEKIQVKVISGNCYQFTLAEIISATNNFNDDLVIGEGGFGKVYKGIIMLDEETRVAIKRAKPSSRQGLKEFQNEINFHSFYHMNLVSLLGYCQESIELILVYEYMDQGPLCDHLYKKQKQPLPWNKRLEICVGAARGIHYLHTGRKNPVIHRDIKSSNILLDQNLVPKIADFGLSRMVNSIYHTHVSTQVKGTFGYLDPEYYKRRKVSEKSDVYSFGVVLFEVLSGRPAVNSMAVEEENEKVGLVEWAMSCYQSGTIDKLVDSCLEGKIGQECLMAFVEIGVKCLANKSSERPTMGEVVSNLEKILSLQKSLEGQDVNTDHIDK >RHN51165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14701550:14702134:-1 gene:gene35551 transcript:rna35551 gene_biotype:protein_coding transcript_biotype:protein_coding MHILHCLCYLNTTHHCLLYAEDGRFVLVLHHGGGFVEFNHADYNGIETVLECEEDYWAYFSVLSTVKRLGYTMINAIWYYEPYLVDELVRLRDDLGCRRMKAIVEMHGRVHLYVEHSVCASEHAPNLNPLIQYPLGHVDPHGAADVEEGGAHVEEVEEVEVDKVGPDMESSFVGPDMGSGFADVDTDVNEVGPL >RHN77373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6413580:6420855:1 gene:gene820 transcript:rna820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MGGAGGSSKSWEKEIYWTHFQFIHFIQFLQTTTDFQQQLALPKTFSDNLKKKLPGNVTLKGPSGVVWNVGLTARDDTIYFTNGWQRFVKDHSLKENDFLVFKYNGESLFEVLIFHGDSFCEKAASYFVGKCGQAHTEQVCNKGKSSNNSVEEVSTPSNGSVECSLPEKSWEEDIYWTHFQFIHFTQLLPEDFKQQLALPKTFSDNLNKKLPENVTLKGPGGVAWKIGLITRDDTVYFLNGWQRFVNDHSLKDNDFLVFNYNGESHFDVLIFDGESFCEKEASYFVGKCSHTQTELGGSKANETNNSIEEVNTASSDGGVECGLYEKFQDLNNIGTPLAVPVETTNEKTFNAGVESDSPELLIADTVTKTTAIQFPYQPTGKRSKRRRSSTSANCCWRALDRVAYNKQHSVTIAVPSQTTGQKTKKPVNEVTPGRSMKRGRQAKTATSGERSIDWVACNKENSEAANLNRSGKADGHDILSGASLSKLSPHAEKEIAQSFTSSFPYFVKIMKTFNVERPRILNIPYEFSKDHLPNCKIKITLHNLKGEQWTVNSVPRTRLHASHILCGGWLNFVRGNSLKIGDVCIFELIGECELRVRINEVGKDGLDSQVGKLACRLDVASHKTSRFMPKKHKISSKCCAEVDLLDKKLSEICQEAALSSELKKSVRASNTSKKAGLSPKSKASHKKPAVPRKHRIEDALSSQANDSLKMLFALDEQRVAEAFTSPFPYFVKIMKKFNVCGSYTLKIPCQFSTAHLPARNTEVTLRNSRGKCWTVNSVPYVQGETTIHTFCGGWNAFVRDNDINFGDTCIFELVSDYIMQVRISGVGKEGLDHQNGNVKLTS >RHN50500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7693138:7696759:1 gene:gene34790 transcript:rna34790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MGKEKIHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGIVKPGMVVTFGPTGLTTEVKSVEMHHEALTEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKEIEKEPKFLKNGDAGIIKMVPTKPMVVETFAEYPPLGRFAVRDMRQTVAVGVIKAVEKKDPTGAKVTKAAAKKK >RHN47567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40667129:40670507:1 gene:gene42184 transcript:rna42184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MAEKNTSAIKVVSRKSLWFFFTTTTLFFIMSWLFVLRSTRSDSTPATISQLLSTTSNDGSDLVTSQSQNSESSFSNRAILVNTETKTPETPKCITETTTISNNDTKSNNNKSNAVLKVFMYDLPPEFHFGLLDWKGDEKTKNVWPDMKTKIPHYPGGLNLQHSIEYWLTLDILASELPEIYPARIVTRVRNSTEADVIFVPFFSSLTYNRHSKTGPHERRSRNKVLQEKLVRYLMNQEEWKRSGGRDHLILAHHPNSMLDARMKLWPATFILSDFGRYPPNIANVDKDVIAPYKHVIASYVDDQSTFDSRKTLLYFQGAIYRKDGGYARQELFYLLKEEKDVHFSFGSVQKGGVRNATNGMRSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDEIELPYEDVLDYSKFCVFVRTRDAVKKKYLINFIRSIGKDEWTRMWNRLKEVEKFFEFQFPSKEGDAVEMIWQAVSRKVPFMKLKTNRSRRFFRALYGNNKYKGHKSIHAPPNFW >RHN68057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32418661:32420124:-1 gene:gene16347 transcript:rna16347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GYF domain-containing protein MDQKQKLESASEQSRLLSEIPKVIPELVGTNLSPEDSSRKDKFEQNDLQVVAIGETCNSGGQYSTHNGFALCLDKRTDVVGPKSPVKNNQDDPVFPATVEQLSVLAASEDKDDGKPALLKESNSNCQTLNPKKCGLPQPSTTSASEDVDCRNLSINMDANHTVKERRSVTVSDPVKAIINDVIVLSDSDEEDVNSKVTSSGRKGAESIDIPIWYCSGVRGCGTRGPFPMTVLKHWSELDSTYAPLDFKVWKTGESEREAMLLRDALKLFFP >RHN47717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41929805:41930176:-1 gene:gene42348 transcript:rna42348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MKEYGYAHQNQQSMKDALAFVKGVEVEFEDKGDKYDEFLNVMNDFKTLRIDAEGAKARLDELFKEHRHLIMRFNSLTLKGSHFTKHGYETEEEEDMEILDKLEKLESALQLILEILVIKKKEA >RHN47414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39560867:39563856:1 gene:gene42009 transcript:rna42009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain, KAT8 regulatory NSL complex subunit 2 MEDSQQQPPQQIAPMTIDGADEDLALAASSVLTRREVLVRRLRRVRQLSRCYRGHYWALMEELKAKYREYYWTYGRSPFKEDEERNSNGVRDSGNASAAGAFDNGDDFVRCAYTGCKTKAMALTKYCHAHILSDPNQKLYRGCTAVAKSLPTGPVFCNKPVLRSMVPLVCPAHYQLGEKCLLRAVKRAGHNVPVNGKPSPQLHLLIPEFVRQIQNKRKAARKAALPKPKPETD >RHN80303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37010184:37012042:-1 gene:gene4209 transcript:rna4209 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLTTLMFVLRQPIEEEPLQHKPSQIYKPTLLKPKFIKASFIDNTILSPPPRPPRPPRIHPAPVPVFHSSKKT >RHN68941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39764218:39765309:1 gene:gene17364 transcript:rna17364 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDLGPRSDGLYLAIVHLCHCVTFPDDDIFSPTRPQSLPLLFGGAENQWRLCQPRNERYF >RHN61936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39463546:39474479:-1 gene:gene24450 transcript:rna24450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UHRF1-binding protein MESILARALEYTLKYWLKSFTRDQFKLQGRTVQLSNLDINGDALHSSIGFPPALNVTTAKVGKLEIKLPSVSNVQTEPIIVQIDRLDMVLEENSDFKPPETPTSSTPSSASAKGSGYGFADKIADGMTIQIHTVNLLLETHGSARLQGAATWAPPMASITIHNLFLYTTNESWQVVNLKEARDFSSNKKYIYVFKKLEWESLSIDLLPHPDMFTDATSGSSQVGSNMRDDDGAKRVFFGGERFIEGISGEAHITVQRTELNSPLGLEVQLHVTEAVCPALSEPGLRALLRFMTGIYVCLNRGNVDFKAQQQSTEAAGRSLVSIVVDHIFLCIKDSGFQLELLMQSLFFSRVLDRKGQKRARRLSLQASLSEGDNDSNLTRITIAGLFLRDTFSRPPCTLVQPSMESVTQQAFQVPDFARSFCPPIYPLGEQQWQLIVETPLICIHALQIMPSPLPPSLASQTVIDCQSLMVHLHEESCLRISSFLADGIVVNRGDILPDFSVNSFIFTLKGLDITVPLDKAQLDFCVSNADDTIKSLFAGARLHIENLFVLDSPSMKPRILNLENDPACFCLWEDQPIDASQKKWAARTSHLTLSLEANTGTFGRQNSLGWTAGLWRCVDLKDACFEVAMVTADGSPLLKVPPPAGIMRVGVSCEQYLSNTSIEQLFFVLDLYAYFGRVSEKIAIAWKRKEMKDARNTSFSGQLIDKVPSDAAVSLAIKKLQFRFLESSSVNMEGIPLVQFVGDDLFFNATHRTLGGAIVVSSTLRWESVEIDCVDSEEHLACENGSSFIFGENVPSMSDTGYPQLTAVFWVDNSNHLLNGNARSVPFLILSMDQVIPFCEVDNESHCLNISASISGVRLGGGMNYAEALLHRVGILGPDGGPGKGLSKGLANLQKGPLGKHFKTTRIIVDNSENESVIEGKEPSFPHLKKPDTVDVIIELRDWLFALEGAQDMAKRWWFSSQGDIGREQRCWHTTFHSLEVKARSGPKKVSGGKAQHSHRKQPFELVTVVVQGLKILKPTPQKDVMSSMLTTNGVKELTDAVWGIGLEVDLILHENNADDERADWEVGNLKFSIKQPIEAVVTKDEFQHLNFLCKSEIDSMGRITAGILQLLKLEGSVSQSIMDQLGNIGSEGIDKILSPGKLSIDGSVSSRGPSQLPKLINDSPHKSMESTITFLEEAVVDSQAKINALITDIGISESSLQHLDVVKQLSQQIESMQVLLTQLRNQL >RHN44073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1449084:1450397:1 gene:gene38130 transcript:rna38130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribulose-bisphosphate carboxylase MASSMMSSSAVTTVNRASSVQSGAVAPFVGLKSMAGFPITKVNKDITSITSNGGRVNCMQVWPPIGKKKFETLSYLPPLTREQLAKEVEYLIRKGWVACLEFETEKGFVYRENHSSPGYYDGRYWTMWKLPLFGATDASQVLKELDEVVVAYPNAFVRIIGFDNVRQVQCISFIAHTPEVY >RHN61697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37599064:37599799:1 gene:gene24186 transcript:rna24186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MMKFLLFFVPLLNILKFDGETITEVVRPHIARMQYRVTRLPKYMILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPTPKVNEKLHTKYDLIANIVHDGKPGEGSYRAFVQRKSDELWYEMQDLHVSETLPHLVALSETYMQIYEQQQ >RHN65155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64569800:64571316:1 gene:gene28061 transcript:rna28061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase Clp MADSTTFTQAGYVGQDVHSILYNLLQEADFDVELAQPGIVYIDEIDKITNKSESISGGRDVSGEGVQHALLKMLESTIPDKTDIDNINGVLVDEEAVGQVNGTGCGAKIICRDDGALECQARTMCL >RHN64347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58377034:58378352:1 gene:gene27155 transcript:rna27155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAHQSPVALVVGVTGMAGLSLAKALKQPDCLGGPWKVYGAARHSPDEWFPSSILDSFITFDAVNSADTRAKLLPIANEVTHLFWVTFQLVADEEVKISVNKSMLLNVLTVLKSYPSSPLTHITVQTGTKHYLGPVHDPVQSTKLICHEPPFEENMPRLSYPNFYYALEDLVKSYAPSITYSIHRSSIIIGASPRSAYNMLMVLATYAAICRQVGLPFRFPGNRYTWEHFCDMTDARVLAKQHVWAAVTKKAKNQAFNCTNGDVFAWKSMWKVLCKTFAVKFVDLDEKEEFDLVQFMRDKGEVWDQIVEEYGLHKTKLEEIACFDALVPVFRFEFQLVSSMNKSKNYEFFEYAETFNSVKFWVMKLREMNLIPIYEH >RHN69642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45182468:45186485:1 gene:gene18137 transcript:rna18137 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIVYKKSDNIDDDRMYPIYFGVSCAFLALQVLRKPEVEVEKNLTEIVETMLQGSTQLLGLIVWKVQKEVINGGEQKLKSAEMEIENLKKIRHEDAKANEKVVGIFAAQEQSWFSERRKLRQQIGALLNELRVFEKKRDLAISDLNQKLKEMEGLVEEKDKKIEEEEKKRKELEEKAKKAEKDAEELRESSKREGQEHSSDLRKHKTAFIELVSNQRHLEAELGRAVKHLDAAKEELIAVMENKEESDLMVQKLTLEIAKFHKDLEQKDKILSAMLRKSKLDTAEKQMLLKEVKLSKARRKHAEHETEKWREASEGKHDRHSFKNMLMNLSSRKDVFPSSRGMQHSSSTGSSHISNEQEQFSPISDHYLPQRNEDLSIPANAKRLEDWVRAETERYATLIEQRHHIELDAFAEQMRIKDEKLEAFRWQLLRTELETKQLQSHLEGLVKDVTQLRHDKMKLESLLLEREDAINSLKDQFASKLRPSNCFRNNSNLSPQSSEITQDPVWSRVKIVKRKPGEKQLEMMETLTEEVCEKEVQPLNHDQFDDANSQVQSPENKIEEEKHVCREDNPTPVQYQSPNHIEIDTAEKIGSTSKPFNDAKQFQWKMDLHALGVSYKIKRLKQQLILIERLTGMQNNDEHAEINEDSKVGMKAYLSLITLLNKQIGRYQSLQEKTDDLCKRMQENVLYANRGELNNARKKEKTSTLEHFLEETFQLQRYIVATGQKLFEIQSKIVSGFVGVAEEMEKSAGIDMKRFSDSIRNLFHEVQRGLEVRTARIIGDLEGTLAREGMICLRR >RHN39097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4094590:4095366:1 gene:gene45091 transcript:rna45091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo-like helical protein MNKLFEFMHNTHPRVQDMACDTILKIVRNCRRKFVITQVGENEPFVSELLSGLSTTIADLKPHQIHTFYESVGSMIQAESNSQKRDEYFLRLMVLPNQKLLEIIGQAGQNVDFLKDQDVIQTFLNTLQTNTRVASSLGTYFLPQMTLIFSNMLNVYRMYSELMSKSIAEGGPLASRTAYVKLVRSVKREILKLIETFLDKAEAQPQIGKQFVPPMMDLVLRDYVKNMPDARESEVLSLFATIINKYKASMSEDIPHIF >RHN69194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41707957:41708726:1 gene:gene17629 transcript:rna17629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNNNRLFQWMHGYNTTLKIYTHSSFDYDSSSSVGTNNFGSHAPGILKAMEILETQFGHLHTSTSSSSSSININMNDDIIINNNKNNNMMMTMNTYVAASSHLDLHKRNENINDGRIHSLPHKKYGPYPCSKCNKIFETSQKFANHVSSSHCKFESEEDRKKRYISRIRKRPRLQIQKLNDGTTTFVPVIACGDKSHAYVNDDGHNMIALTPLPNGIKVKSEP >RHN39155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4546555:4548388:-1 gene:gene45155 transcript:rna45155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MKILLFFLVTLLATCHGNVQNHEHESNFNIFPLRMKTGPGGKYIPEVSCASWRVAVEARNIINWKTVPQECEEYVGNYMLGDQYRADSKFVNREGFFYARTLNLKDGRDLWVFDIDETTLSNLPYYATHGFGVNPYNETLFNAWVDEGAAPALPETQKLYNKLVNLGVKIAFLTGRPLKQKDITAKNLKEAGYHTYEKLILKDTELYHGKTAVQYKSSERKKLEEEGWRIIGNSGDQWSDILGTNTGERTFKLPDPLYYIA >RHN66971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22293139:22294482:-1 gene:gene15098 transcript:rna15098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MQTADVLLLTNINSEWKNLEHEIGTLKYCIENGFNVPVEPLLGDDSQLQCITDTEYKYCRKTTEDLRRTKFERDFGHLLAKIDELVKDEPGEHYISQLQCHKDYEPKYCMEVQEILRRCQINSGWRNLMPEMVPIENGMNDLLELHLEDDTELKLLIDTKHIDSQVPNVFFSKLIVLKLKGMKKLEELCNGSIFLDSLNNLENLSIKECNIFQSLFKCSLNLCKLKELTLESCPTLVSVFDLTTTQSLVQLESLEISQCEKLENIFTDERRVDIDKIEEIDNGDNDKMNSCNSMFSKLKVLKIFECPLLRSIFPFLSAQDLLLPESIMIESCDKLEYIFGKHQDVQLASLNELELNDLPNFIDIFPESYDIMPLSISKAQTQLEPIKSNIFSWSHICCLRYKLRGPTSTEISLVSEGQPKDFSISLVTSSHIFMYIYLLASKNAQSH >RHN42935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42035110:42035768:1 gene:gene49443 transcript:rna49443 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDSLYPRGKNSLVGFALCVVLGRVDYTRTRGSKFTYRLSFESEGQRHFLPNHDLKSYFHWKGRDRFSVQDHTFLWKYQLDFERIGSKLFHAQHFAFEIHQDYHHHLRFQNHYLSGFQSTVKVKECGICPLYTK >RHN60081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:18741452:18741853:-1 gene:gene22289 transcript:rna22289 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCDNSLNPSYNRRITYEKYLDTSDNLYPIFLNLSRSKLLENIQSSISKITSSRDSLQSCEQPSFIAQGQLERAMGWACEGPNSSSSGNSSTKNSGIPPKFHEHIKKWREIV >RHN80907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41794462:41794932:-1 gene:gene4889 transcript:rna4889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MTRKKVKLAFISDDSARKATYKKRKKGIIKKVSELTILCGIPACAIISNPFDSKIEVWPNLEEAKHVIERYQNSSVKDETKNVNQESFLLQRISKAREHLQKQKHDNREKELNILMIGYMKNKKLPDGLTVSDLKEFDKLIEKNMKEIDNKIDALG >RHN51244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15337598:15338163:-1 gene:gene35651 transcript:rna35651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MDIHVPLQNITNSSGTPDDSTTPPIILLGNKVDVDGGSSRVVSDEKARAWCASRGNIPYFETSAKMDFNLDAAFLSIAMSVLAKERDDVSKC >RHN52954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40684998:40686767:1 gene:gene37696 transcript:rna37696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MENKTCIAMVPSPGLSHLIPQVEFAKLLLQHHNEYHITFLIPTLGPLTPSMQSILNTLPPNMNFTVLPQVNIEDLPHNLEPSTQMKLIVKHSIPFLHEEVKSLLSKTNLVALVCSMFSTDAHDVAKHFNLLSYLFFSSGAVLFSFFLTLPNLDDAASTQFLGSSYEMVNVPGFSIPFHVKELPDPFNCERSSDTYKSILDVCQKSSLFDGVIINTFSNLELEAVRVLQDREKPSVFPVGPIIRNESNNEANMSVCLRWLENQPPSSVIFVSFGSGGTLSQDQLNELAFGLELSGHKFLWVVRAPSKHSSSAYFNGQNNEPLEYLPNGFVERTKEKGLVVTSWAPQVEILGHGSIGGFLSHCGWSSTLESVVNGVPLIAWPLFAEQRMNAKLLTDVLKVAVRPKVDGETGIIKREEVSKALKRIMEGDESFEIRKKIKELSVSAATVLSEHGSSRKALSTLALKWQ >RHN69942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47481382:47483602:1 gene:gene18475 transcript:rna18475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-secretase aspartyl protease complex, presenilin enhancer-2 subunit MESSQSHTNNPNPNPNPLALSVPVWPTIDGPLGLSEEESVKYARRFYKFGFALLPLLWAVNCFYFWPVIRHSHSFPRIRPYVVGSAVGFTVFATLLCSWALTFSIGGERLFGPVWDQLVMYNLADRFGLTGWS >RHN56268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29375575:29379442:-1 gene:gene31640 transcript:rna31640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative M-phase-specific PLK1-interacting protein MEDSEQRKKRLKEMRLQADRVQDSGAAAGDGSGTPSVLANPLAEAPSAVLSTDSAPRFNYYTDPMNAFSDKRGAVDVRPASEYLPPPPPLPLNFVPEFSSQRSATTNPQMSPSPTQALPTPYRNPVWNGPRGPPQYNFPFRPSGGGTYPSPRFESPGSPYNSAPGMNQWPNHNPNISSEYIPIPTSEYSPNQSPAFRNNPNSSRGRGRGFWHNNRSHVSGRGSGQGSSSHGRWSNEDRGCGPERFYKRSMVEDPWISLKPIIWYSTISSSNTSYARENSKSTGTKREGPSAVFSKSNSGPSLAEYLASAFNEASNTE >RHN43463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46084550:46088325:-1 gene:gene50045 transcript:rna50045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoside-diphosphate kinase MASHLCKSASRAARSLLSASFHSQGRAVAAASAVASITKMPLFASNYGRRTASGNGSTSWIAGALALPAAAYILQDQEVHAAELERTFIAIKPDGVQRGLISEIISRFERKGYKLVGIKVLHPTKEFAKQHYHDLKERPFFDGLCDFLSSGPVIAMVWEGQGVIVYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEINLWFKPEELVSFTSNSEKWVYGEN >RHN56047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27162909:27163682:1 gene:gene31370 transcript:rna31370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MAQSENLMAIDRLSSLPDDILCHILSLLPTKFAFTTTVLSKQWTQLCGSLTSLRSDDEETVRDCSHFNSFCRMVNAVMLSPREPNHPIKTFYINCRFGFCKNGSRIFSAWVEAANQRSVEEFHLSNSITLKLNPTIFTSKTLVVLKLERLVVKAENLCVDLPSLKILSLKEICFTYNKDIMKLLNGCPVLEDLHTFGREYTRFVEDNAAEGFKPLSKLVRADISSYDVPFHAIKNVEFLSIHVAPENIFKTIHCFKT >RHN64239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57529907:57534353:-1 gene:gene27032 transcript:rna27032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MEPRYGRANDGSSQLHSDPSPEWTSVGADEGYGGLVGGGGESYPQRPDEADCIYYLRTGFCGYGSRCRFNHPRDRGAVIGAARIAGEYPERVGQPVCQYYARTGSCKFGASCKYHHPRQAAGTTPPVSLNCYGYPLRVGEKECSYYVKTGQCKFGATCKFHHPQPAGGQMIAPSPVPQVSPLPMPVPSPIYQTVQPPSGPSQQQYGVLVARPPLLHGSFVQGPYGPMVMSPTMVPFSGWSPYQMQAPAGSPVLPSSNPLSVGSTQLYGITQLPSPTTAYTQLPSPTTAYTGPYQSSGPSAGPYQSSGPSAGPYQSSGPSTGPSGSSQKEHSLPERPDQQECQHYMKTGDCKFGSTCRYHHPPDMGAPKVNLSPIGLPLRPGAQPCTHYTQRGFCKFGSACKFDHPTGSLSYSPSASSLSDMPVAPYPVGSAIGTLAPSSSSSELRPELASGSSKEPASSRMSSSMSTSTASAGLTLSTVVPVSQSDAQPSSQSSGSLATPSATTSSTVSHTSS >RHN46374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31105596:31108981:1 gene:gene40856 transcript:rna40856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEIFKFVYKWILFVSLFLVIVAKEDDIECVTDADCYEKLPALQRAVMKCIQGFCKIHI >RHN66131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8869099:8869483:-1 gene:gene14051 transcript:rna14051 gene_biotype:protein_coding transcript_biotype:protein_coding MALISAFFNCFGLSSNLSSQVSDYVENSSQMKSSTSEKPKIKEKSIGAPIVVTYFPINNNYSSLM >RHN44367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3900960:3903606:-1 gene:gene38483 transcript:rna38483 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHHYNHHHSHHQPPPPPPPTHCYCTPSYPCYTPTPQPQPPHLSPQHDHLIQTISSVLLSPQLNHLVHHNLVHDHLVHDNLVHHPKSQTLHQNHQSTLSSLINRIESLESSLNQHQTHQSQSLRHSAARVIQTHFRSFLVRRSRTLRNLKLLASIKSSFIAIRSSFSTHTHFDFPALSLKAVNLLIKLDSIQDCDTMIVDGKRSISRDLVQFLDSIEEVAAKKRMHYVKAVKSSRSGQKVQRPRKPEGDDDEKKKLLKNLRGRVEKISKLCKVYGDDEEGLENEESVHDDDDDYDDDGVTDVVVARRDGNKNGVFVQRQVIQPRVKKSVRFAENGNVCEVYSTRTCESDGSSSNDDQGEVLENRKFAVVDVVDSSQGVEDDEEVLVGDSGGSTRSSDDGDIVAHQEKILFSAPLPLKMESRTDLKSKGVKILT >RHN50986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12686119:12687948:1 gene:gene35346 transcript:rna35346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Extensin domain-containing protein MRFQMASITLTIAFTIISLSLPSQISANNYVYSSPPPPSKPYKYASPPPPVYKYKSPPPPVYSPPPPVYSPPPPVYKYKSPPPPVYSPPPPVYKYKSPPPPVYSPPPPVYKYKSPPPPVYSPPPPVYKYKSPPPPVYSPPPPVYKYKSPPPPVYSPPPPVYKYKSPPPPVYSPPPPVYKYKSPPPPVYSPPPPVYKYKSPPPPVYSPPPPVYKYKSPPPPVYSPPPPVYKYKSPPPPVYSPPPPVYKYKSPPPPVYSPPPPVYKYKSPPPPAYSPPPPHYIYSSPPPPYHH >RHN49463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54971388:54975062:-1 gene:gene44296 transcript:rna44296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ssDNA-binding-TF family MVPKMLKFSRMLHSSSRNHLLEVLYARDFSTATNNNYSAKGYTSDRIFAPYSVYKGKAAFSLSPCLPTFTKLDSGALVVDRHGSIMMSFMPAIGERKYDWEKRQIFALSATEVGSLIAIGPQDSCEFFHDPSMKSSNAGQVRKSLSIKPHSNGYFVSLSVVNSVLNTKDNFSVPVTTAEFAVMKTACSFALPHIMGWDRLTNQQSSGTVSFQPKMNSQILDLEWEK >RHN79217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26161947:26167532:-1 gene:gene2965 transcript:rna2965 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVNEHAKLSMLRKASWTLSNFCRGKPQPPFDQVKSALPALVDLIINSRDEEVVTDACWALSYLSDGTNEKIQAVIDTGVCPRLVELLQCTSSSWLIPVLRTVGNIVTGYDVQTQVIVDHQEALRGLYNLLTLDCKYKRTKEEACWTISNITAGNKQQIQAVIEAKIFGPLVNLLQNAKFDIKKEAARAISNATSGGSHEQIKFLVSQGCVKPLCELLVCSDPTIVTVCLEGLENILKVAVTAKMCCNSDDLNLYIQMFDDDKTLDNIEIVQHHENAEIYEKAMKIILTYYLLLDDEVILSEEF >RHN43365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45202489:45204460:1 gene:gene49926 transcript:rna49926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MTPLLVRRVNKIEGLASLLNSKQILSPWLIAIRHFSFNDNRGGRKASAGESDDEFFRQRSFNDNGGNRNEEGFGVQQLSEELNQTRVLRGQKPSNRPPLHSQEYDRGGRRPKFDGNRGNRSSQIDHGFQGRNVDETGRDGGRRGNKSLQIDLGFQGRNFDETDRDGGRRGNKSSQIDLGLQGRNVAETVRDGGQSGDSFLDKYKLGFDDEVGSKSDVEASSQLEEARAVNSSNSNEPAQQSMPQDADEIFKKMKETGLIPNAVAMLDGLCKDGNVQEALKLFGLMREKGTIPEIVIYTAVVEGYTKAHKADDAIRIFRKMQSNGILPNAFSYTVLIQGLYKCSRLQDAVEFCVEMLEAGHSLNVTTFVGLVDGFCKENGIEEAKGVIKTLTGKGFAFDEKAVRVFLDKKAPFSASIWEAIFGKKAPQMPF >RHN43882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49191229:49191704:1 gene:gene50516 transcript:rna50516 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHRYHIDCCLRNECLRLSPELVTAENFVPPPSAIVHHHHDFSVQFQHSSLVLILLFPSYCCCWCVVDVV >RHN53932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5421758:5422596:-1 gene:gene28898 transcript:rna28898 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFFTKCLQNITTKQIMHRQIYVMYITNVLLINVDQCSIN >RHN40640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18726634:18727416:-1 gene:gene46820 transcript:rna46820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MRIVDDAGLDVTYYGFHFPTNHHARKEVGLAIPAEMFNNMVVDNGGNVVVEARLNIPNLDLPIHIPGGDGVAEEYIWTLKVTQPVADGRSVLHFLRFVIENFTFSVGPEIHVMDDATGEMFTCMIKTANTPSGYVMKYLARGWYQFVRSKELSVGDHIVFGVQNPVTDVIIRIIRAA >RHN62255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42090137:42093524:-1 gene:gene24810 transcript:rna24810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, non-heme dioxygenase domain-containing protein MGEVDPAFVQEQEHRPKLSIIEAKGIPEIDLSPILHHAVPNPSDIENLVKEIGSASKEWGFFQVTNHGVPLSLRQRLEEASRLFFAQSLEEKKKVARDEVNPTGYYDTEHTKNVRDWKEVFDFLSQDPTLVPRSSDEHDDGVIQWTNQSPQYPPQFRAILEEYIQEVEKLAYRLLELIALSLGLEAKRFEEFFKYQTSFIRFNHYPPCPYPHLALGVGRHKDAGALTILAQDEVGGLEVKRKSDQQWVLVKPTPDAYIINVGDIIQVWSNDAYESVEHRVMVNSEKERFSIPFFFFPAHDTVVKPLEELTNEENPPKYRPYNWGKFLVNRKSSNFEKKKVENIQIYHYKIA >RHN50990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12716998:12720551:-1 gene:gene35350 transcript:rna35350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, kelch-type beta propeller MAHQQQQEQEEPLIYNLPQDTLHQIFSSLPLQQIIICRSLSKFFNNLLTTPSFLHLISTTLPPLNLLALRHHNHYPPSSTIHLFDPNLNHWLHFPLNFLPFSSPLPVASSHGLIYLWAQPINNSPVSSQAQAHTQTQATKSLIACNPLTRKFRILPHLGSAWCRHGSVIVDSVNRVMVLTELAAFYFAGHGSSDDNDKISSWQKFSSNLPSKPRSPVLIEDWAYALCEVGSPWRSQWKLFSCCFSATTSGKWSRIERQEWGDVFDILKRPRLVRGVGNRILMIGGLKSSFSLNSPCSTILILRLDLGMMEWDEAGRMPVEMFKCFQEAGKFKVFGAGDRVCFSAKRVGKLALWDRGREKGDEWSWIDNVPGNGDGLYRGFVFEGRLDALP >RHN74934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37956957:37958135:-1 gene:gene11069 transcript:rna11069 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVQPNPPRGGANPLQSGLHILQSRGMMPEMTLGHQSVHPFESAQIDDGVALNEGVQNRLDGVALNDQMQNLLDIIDLDYDEVEILLDQHQDMRLDMNNMSYEEFIALGERIGSVSTGLHEERITDQLETRVYIPYPNCTNLEELPFNDKEIVVCTICQTEFEDQEKIGILQCKH >RHN49899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2126384:2129725:1 gene:gene34127 transcript:rna34127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MSQLKLFSGGAEHASFVTSYEILKKTWNVISSSYQDIVTNDDDDDGVGLCWKVYKEQSSDLTIIAFEATKDYSNLQSDLVSSSDLKENKNFHQFEFLCTKKNPSFSLNNTAVSLFCDNLQKLDQLKSKISTPLIVTGKGLGGSIASLFTISLLDNHISSWNFRNRPLCITFGSPLVGDKKLQQAISRGSYWNSCFIHVVSCNDPLPRLFTTNYMPFGTFLFCSDSGSTCFENPDSNLEILITLNKVHGQNQGFDSAEYGNLVEKLRRKTIFKDSSTPTIDKNNSDSLAIGISLQLQQTLGLTPHMLQKQNIDINVLETMIKTLEARFIIQKKISFNPSNKQNEMKGCIAQVEWYKKKTTNLDIGYYDSYKNMYEISDYDVVEFHKKLTSYWEKMVEDVEMKPKKEGAFFPTCWLYGGIIYRRMVEPLAIAQYYKEGGKDYVNKKRSKHFKKLEEQSRNAINELNITRKTNMKMILTRDSCFWAHVEEAILACNELKVVKDKEEVLKKLVEFEDYVYCLLKDYQVSSEIFLSQSSYMSWWKDYKAIKGRSYTSKLDNFMNDAEKVKLYGLGAYEFP >RHN45789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25596009:25596913:1 gene:gene40191 transcript:rna40191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIINLEFFFTSPQALELFTIFKVRMSFISHKVIKSYSISYKNMEESMIKIVKFDYAIIIFISLLVVAMDVDAGPIRICSSDDDCIGYWCPLSIQPRSTKPICRLVESISKRSRTPVGLCTCI >RHN75442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42376087:42376281:1 gene:gene11653 transcript:rna11653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DYW domain-containing protein MALAFELLSIPQEIPSYTVRNLRIYYDCHTFVQLVSKVYNGGVIIRHDCFHNFHKGFSSCTNYW >RHN50666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9175046:9180408:-1 gene:gene34982 transcript:rna34982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MAIPSSLVGKTWPKPWVFYLVEPLGEYVVDCAEYLRILPPSGSEEESVGENGIEVVRDERGYYHFEKKVTKAMSRASILQTLQFPKEIANLLRSDQSTMIMYDYERFRKYECTIMTFGNDKFLGHGWSKYVADNNVEEGDKLCFLLENPETLHCQHVSRKLFLLGAIEPR >RHN82328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52847354:52851204:-1 gene:gene6485 transcript:rna6485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MASRRIVSSLIRSSLRPSLSKSSITTSTSRLSSSQSRASPYAYLLNRVTEYATAAAAAAPAPPQTPPAKKVPDGGGKITDEFTGKGAIGQVCQVIGAVVDVRFEEGLPPILTALEVLDHSTRLVLEVAQHMGEGVVRTIAMDATEGVVRGWRVLNTGSPISVPVGRCTLGRIMNVIGEPIDHKGDFKTEHFLPIHREAPLFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDQQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFVDNIFRFTQANSEVSALLGRIPSAVGYQPTLSTDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPLILGDEHYETARGVQQVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVDLKENCTSFKGVLDGKYDDLSEQAFYMVGGIDEVIAKAEKIAKENAA >RHN46566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32837755:32842039:-1 gene:gene41065 transcript:rna41065 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSNDVTMNFVLFIAILYSIKKKLIHCGEGDEAGLPEPIGDGDEIQFLIPIGYCNTPISIKAIKHANNTFIQEIEATPSYKNSKPINMYINFNNRSGI >RHN61998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39935042:39938335:1 gene:gene24518 transcript:rna24518 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRSSLFCSIVLVFPSSRLAHLFLFLLLEEEDEELVLKKY >RHN47512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40249351:40250243:-1 gene:gene42124 transcript:rna42124 gene_biotype:protein_coding transcript_biotype:protein_coding MYMFLLHKQAHTIHPQTQSLMKNHQSNGEDTCSKNDNGEDRATTSQCT >RHN45667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24282568:24284927:1 gene:gene40050 transcript:rna40050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MANQQSLLDKPRKSLPKTFWLILSLVAIIISSALISTHLKKPISFFHLTTVQNVYCEHAVDTKSCLAHVSEVSHVPTLVTTKDQNLHVLLSLLTKSTTHIQNAMDTASVIKRRINSPREEIALSDCEQLMDLSMNRIWDTMLKLTKNNIDSQQDAHTWLSSVLTNHATCLDGLEGSSRVVMENDLQDLISRARSSLAVFLVVFPQKDRDQFIDETLIGEFPSWVTSKDRRLLETAVGDIKANVVVAQDGSGKFKTVAEAVASAPDNGKTKYVIYVKKGTYKENVEIGSKKTNVMLVGDGMDATIITGNLNFIDGTTTFKSSTVAAVGDGFIAQDIWFQNMAGAAKHQAVALRVGSDQSVINRCRIDAFQDTLYAHSNRQFYRDSVITGTIDFIFGNAAVVFQKCKLVARKPMANQNNMFTAQGREDPGQNTGTSIQQCDLTPSSDLKPVVGSIKTFLGRPWKKYSRTVVMQSFLDSHIDPTGWAEWDAASKDFLQTLYYGEYLNNGPGAGTAKRVTWPGYHVINTAAEASKFTVAQLIQGNVWLKNTGVAFTEGL >RHN49918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2283228:2283980:1 gene:gene34150 transcript:rna34150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MEQKEKLYTNLPNTLLQLIHSLIAGGIDTSAITLTWAICLLLKNPHTLEKAKAELDFHVGRDKCVTKSDINKLVYLQAIIKETLRLYPVGPLSAPREFTENCNIGGYDVIKGTRLILNLWKIQTDHNVWSDPLKFKPERFLNTYKDVDVRGCHFELLPFGSGRRICPEISFGLEMMHLILANFLHSFEILYSSSEPIDMTEVFGLTVSKATPLEILVKPCLSVNCYETM >RHN70002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47887829:47889301:-1 gene:gene18539 transcript:rna18539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trafficking protein particle complex subunit 2 MATTACFIIVSRNDIPIYEAEVGVAAKREDAAQLHQFILHAALDVVQDLAWTTSAMYLKSVDRFNELVVSVKWNKIKEPRVDNDQIKPYIHIPLYAFCFSVIISLTCGIHTRFMLLHDSRNDDGIKSFFQEVHELYIKVILPLLHSITWLIYMLPFFNYLLTFILSVRI >RHN64572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60121787:60124452:-1 gene:gene27400 transcript:rna27400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MVLQLQQCGRIITEYTLPCTLAKSPNCLSRLISLSLTGACRLSDKGLQLLVSSATTLRSINLSQCSLLTFASLDILADSLGSLLKELYLDDCILIDVALILSALKRLEHLEVLSLAGLPTVTDKFIKNYIITCGHNINELVLRDCFNRLVYISMYRNLTDASMKFIAEHCPELHALDITNLCKLTDLTIRYLANSCRALLNLKLCRNSFSDEAIAAFLEINGKSLEELSLNNIKKVGQQTSLSLAKNAKNLHTLDLSWCRNLTDNEFGLIVDSCSSLRFLKLFGCSQVTNAFLKGHSNSELQIIGLKLCPLLQHIKEPNPHQSVLRYSSVSQ >RHN69013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40298590:40300101:-1 gene:gene17439 transcript:rna17439 gene_biotype:protein_coding transcript_biotype:protein_coding MTQITNQKTNRRQRRIHLILHRCHIHRMSFFHLLTLTQPRQHSTNFLTIRNPLCINHLLTHRTRQIKI >RHN78803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18587874:18589151:-1 gene:gene2457 transcript:rna2457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKKSIVKISIFVYVIFFLYLFIFATNINAISECEEDIDCPRTWCFGQFFVKCITNECICVHEDRLLPRIPWDPWIPMI >RHN77903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10358922:10363629:1 gene:gene1407 transcript:rna1407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 43kDa postsynaptic protein MKVTWKNTKKKKPCLPSLSHFTELPFEQENDTTTEHDSSDGTTVRSTDSEQSSQLATQFQTQGDNLAMEGKYREALGKWEAALTLAPDVPALHEQKAQVLLEIGDAWNALKAASRATELKPSWAEAWVTLGRAQLNYGEPDNAIESFDRALALKPDYEEAQDDRKTASRLIKKRKQLHSSGLSEIQNRYTVGDKNESS >RHN66396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11827701:11830816:1 gene:gene14366 transcript:rna14366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MtST5 MRAALALLPLLLFMFVAIVESRNDLREHWKLVMKDQDMPEEIQGLLNANTKSNIMTMDHYSKGSKLGCEELLVKDTLVTNENVFEENIEPRPNISSYENDETNIKENKKDTKDFESRPNISAYGDNDINATEKNGDTKDFEPRPNISAYGDNEIDVKEKKGAAKDFEPRPNISAYGDNDIDVKEKKGSAKDFEPRPNISAYGDNDIDVNEKKGATKDFEPRPNISAYGDNDIDVNEKKGATKDSEPRPNISAYGDNEIDVKEKKGSAKDFEPRPNISAYGDNDIYVNEKKGATTKDFEPRPNISAYGDNDIDVNEKKGATKDFEPRPNISAYGDNEIDVKEKKGATKDFEPRPNISAYGDNDIDVNEKKGAAKDFEPRPNISAYGDNDIDVNEKKGATKDFEPRPNISAYGDNEIDVKEKKGATKDFEPRPNISAYGDNDIDVNEKKGAAKDFEPRPNISAYGDNDIDVNEKKGATKDFEPRPNISAYGDNEIDVKEKKGATKDFEPRPNISAYGDNEIDVKENNGATKIFEPRPNISAYDNNDIDTKEKEKAAKDFEPRPNISGYGNNDIDADKNEEFTNDFEPKPSVTKSDH >RHN78500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15709659:15712385:1 gene:gene2074 transcript:rna2074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MAEILNEDQIVEIKEAFCLFDKDGDGCITVEELATVIRSLDQNPTEEELQEMINEVDADGNGTIEFVEFLNLMAKKMKETDADEDLKEAFKVFDKDQNGYISASELRHVMINLGEKLTDEEVDQMIKEADLDGDGQVNFEEFVKMMMTIG >RHN77886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10230879:10231058:1 gene:gene1389 transcript:rna1389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trans-zeatin O-beta-D-glucosyltransferase MNWLLLQILRMLREREIKLKNVVSTSMDEGGVSRMEMDSFIAHITRYLLCFLARQMLLS >RHN53153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42380918:42381484:1 gene:gene37923 transcript:rna37923 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYERERHEMTCLCNYNDGWCLSCKENHEKPKKSWQQEEREAGDEVAFELFWLDELKKNRMRFEVSLDLWEAMSEDEKLVWRDRGARNCFEARLHGWDALGTTSGDMKEMYCRLSNAVDVANEERAKLRAAAATASAAEASSSNKGGE >RHN62987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47358519:47360995:-1 gene:gene25631 transcript:rna25631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative D-amino-acid oxidase MLICMNLAVAIPRNRIRISTIKSVQSQDSQPMDHPKRVVVCGGGVIGVCTAYFLTKKGASVTLIEKSTIACAASGKAGGFLALDWCDGGPVEHLARASFNLHRSLSQELNGTEAYGYRSLTALSLTVAEESKTTVSSSSSATLPSWIDGPTHGPKTIGTHETTAQVHPQLFTRTLIENAVEKYGVKVVIGKLERLVVEGGKVESVILESGGVVDADAVVLALGPWSNKLELLSSRFRVSGLKAHSIVLEPKEANAITQHALFLSYRASNRRTPLDPEVYPRPTGEVYVCGMSKEEEVPDDPEEIRGNEESIAMLKRVAKTVSSHLGEEGVKVEQACFLPCTDDGVPIIGEVPGVKGCYVATGHSCWGILNGPATGAAVAELVLDGHSTIVDLKRFSPARFVGRTKA >RHN56310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29730621:29732433:-1 gene:gene31691 transcript:rna31691 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:UGT73F20 MNGVEVERPLKLHFIPYPASGHMMPLCDIATLFASRGQHVTIITTPSNAQSLTKTLSSAALRLHTVEFPYQQVDLPKGVESMTSTTDPITTWKIHNGAMLLNEAVGDFVEKNPPDCIIADSAFSWANDLAHKLQIPNLTFNGSSLFAVSIFHSLRTNNLLHTDADADSDSSSYVVPNLHHDNITLCSKPPKVLSMFIGMMLDTVLKSTGYIINNFVELDGEECVKHYEKTTGHKAWHLGPTSFIRKTVQEKAEKGNKSDVSEHECLNWLKSQRVNSVVYICFGSINHFSDKQLYEIACAVEASGHPFIWVVPEKKGKEDEIEEEKEKWLPKGFEERNIGKKGFIIRGWAPQVLILSNPAVGGFLTHCGGNSIVEAVGAGVPMITWPCHADHFSNEKLITTVRRIGVEVGVTEWCTNGNGERKKLVSRDNIEKAMRKLMDGGDEAENMRQRARELGEKATRAVKEGGSSYNNLLALIDELKRLRDSKPHD >RHN78507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15802133:15803608:-1 gene:gene2082 transcript:rna2082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyllase MLIQHVASHGFIVIAPQLYIVTGPDIYDDIYSVAAITSWLSEGLNKFLPPNITPNFNKLALGGHSRGGKTSFAIALRKLNITIDLKFSAILGIDPVDGLGEGIQTSPPILTYVPHSFDFDMPTLVIGSSLGDVRKNLLFPPCAPKGINHEDFFSECEKPSWYFLAKDYGHFDMLDDYTPGFRGKLTYCLCKNGESRKPMRTFVGGVMVAFLKAYLYGDNVDLLAIREGKVRVPIAIKSDDFI >RHN49126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52615743:52622415:1 gene:gene43921 transcript:rna43921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative organic solute transporter subunit alpha/Transmembrane protein MGVLLPLFFYVIAFFCTVGAIALAILHIYRHLLNYTEPTYQRFIVRIVFMVPVYALMSFLSLVLPRLSIYFNSIREVYEAWVIYNFLSLCLAWVGGPGSVVISLSGRVLKPSVCLMTCCFPPIPLDGRFIRKCKQGCLQFVILKPILVVVTLILYAKGKYKDGNFNPKQSYLYLTIIYTFSYTMALYALALFYVACKDLLQPFNPVPKFIIIKSVVFLTYWQGVLFFLAAKSGFIQDADEAALLQNFIICVEMLIAAVGHFYAFPYKEYAGANIGGSRGLTASLGHALKLNDFYHDTVHQFAPTYHDYVLYNHSEGEEGTRKYRSRTFVPIGPEMDNVRKNKHITGNKVDDIQLTSFSSDSSTPSNSGSLPDASNSDAIKSSLLVDVSTSASIPYDLTLIDLDVSSYPEEVPAADKAGLR >RHN69326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42640467:42641246:-1 gene:gene17777 transcript:rna17777 gene_biotype:protein_coding transcript_biotype:protein_coding MINNYFMYAYQGSSSGYLILSEYKSFILLNPFTRRMMVINHSPFEVDIYGFACQVLLVFSRGSTEFDLVVLFKIYHNLHVYQSRNLDWVTYLTSHKVVDFVVLHNTIYVVTNKANIGILNLNSANIIFLEFKSTRSVTPAMSHVRLVRCDEYLLVLNIRSNAIFNVYKIDFSTMNYVKLKTLDDIALFYAPEKRYYALTNPHMWGYKNNNVYVIDLPSDKYIEYKGDDNKMPKLVLPIGYGTSPSKQPYLDWYFRHLHY >RHN77416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6709050:6710206:-1 gene:gene866 transcript:rna866 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPTTGIGMNYAKDDMNFIQQVQRHYVVVIGEEIDLEIELGEDDPSFGNTIVIGVPLRGSFAEEHGESADGGGYSAF >RHN57419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39020223:39032523:1 gene:gene32962 transcript:rna32962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MTRISCSSKIITILLSIISFFYGATALTSDGLTLMSLLTRWTFVPPLINSSWKASDSDPCSWVGVQCDHTYNVISLSLTGHGIIGQLGPEIGNLYHLQNLLLFGNGFSGNVPSELSNCSLLQNLDLSENRFSGSISYSLIKLQNLKFLRLSSNLLTGKIPDSLFEIQSLEEVSLHNNLLSGNIPTNIGNMTNLLRLYLHSNMFSGTIPSSLGNCSKLEDLDLSFNRLRGEIPVSIWRIQSLVHILVHNNDLFGELPLEITNLKCLKNVSLFENQFSGVIPQSLGINSSIVKLDCMNNKFSGNIPPNLCFGKHLLELNMGINQLQGGIPSDLGRCATLRRLFLNQNNFTGLLPDFASNLNLKYMDISKNNIGGPITSSLGNCTNLAYINLSRNKFAGLIPLQLGNLVNLVILDLAHNNLEGPLPLRLSNCAKMDRFDVGFNFLNGSLPSSLRSWARITTLIFRENYFTGGIPGFLTEFSNLRELQLGGNLLGGEIPRWLGTLHNLFYGLNLSSNGLTGSIPSEIGKLGLLQSLDISLNNLTGSIYALESLVSLTDINVSYNLFNGSVPTGLMKLLNSSPSSFMGSPLLCVSCLSCIETSYVNPCVYKSTDHKGIGNVQIVLIELGSSIFISALMLIMIRMYLLKRYKQEFKMSCSPLVMVLKALAKLYDCYNFGKGIVCKTQMTSDLKQQSYSERQPAPASDLNLKPDIERGAAPASDFNKWSYYIEKGVGRIGVTYAREFNISCKEKPLTLKDAVLQATENLNQCYIIGKGGHGTVYKAIIGQHVFAVKKVEFGWNKKKRLSIIRNEIEVLGMFKHRNLIKHADYWIGEEYGLVLYEFMENGSLHDILHEKKPPPRLTWNVRCKIAVGIAQGLAYLHYDCVPRIVHRDIKPKNILVDDNMEPIIADFGTALCKQISEDSNSHSTTRKMLSSHVVGTPGYIAPENAYVNVPGRKSDVYSYGVVLLELITRKKLLVPSLNDEAEETPLVIWARSVWLKTGKTEKIVDHYLASEFPNSSALAKQVSAVLSLALRCIEKDPRDRPTMKGVIRFFNNNLFKLRCDEVQYGDGLTIKLMGNGKIESVKLVRVAALILPKITYAWRHLTFIPSINGPILTKPFNWFFLSRWGQYRHLQKSLDPQTKSYYYINTNQNEDTVQLSE >RHN63705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53376855:53381464:1 gene:gene26441 transcript:rna26441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Calmodulin-binding domain, plant MAEEIIVYQVESEKIEAEDDDKKKNPTINQVEYEKIIVNQAETEPEKIEAENDEKKANPTVNQVESEKITVNQVEPEKIEAEDDDDDDDDDGKKANPTVNLVESEKITGNQIEPEKIEAEEDDDKKATPTLNQVESEKIIVHQVETEKIEAEDDDDKKTNPTDNQVEPEKIEAEYDDKKANPNEGITITKGPAKILSRYLRDRASSCHDLCKYGIQHATETKPWSTSQKRRERKTNVSGEIVISLEGTKKSRRSSNASPLASKHADPNYIVDIKEVTNEKTPFEETNVSMEHSNSDIVQASSKPYSLHVKKRSKSQTEGDLVKNKCAFGSSSIKETASGSKQKITSSSGGKDKSLSSSSGSKQKITSCNGGKDKSLSSSSGSKQKITSSSGGKGKSLSSISFLSSKNNIKSPSSLSDNAKKLKRVSSPKNHENAEVKPKLASNDNLPEKILHVIDSISENSSEEPTPACNATKPPSPSPSKDKILKSISKKTGKSEMSASSRKGLKHVGHGTVSGTLSHQSSMNRFRTNIQHKARTVSRPSSTLSFDSSSNSSVRKQNGTTSRPNKTGRKQGENVRVGYKIRPKMSAIVGAANKVIPSRKLTFRRGKVIEIQPQSNNIPRRLKFKPVRLLDDEVRKDVNGTRKRIISNKEVDGGEVNAANIKTEKVDLKPQTMERGKNRSFVRKVGGVDRSKVYGSRSGSEKVVLRHQNVEGKKQNPGLYNNVIEETASKLAQLRKSKVKALVGAFETVISLDSPREATTSQVSTVC >RHN62347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42803062:42805627:1 gene:gene24910 transcript:rna24910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MGETLKFVYTMSIFLSLFLVVTSIVGEEWNSHSWNSEFYLKKSCSSDFDCPRTMCIKLSLARCFNDFCHCY >RHN56391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30532583:30537369:1 gene:gene31783 transcript:rna31783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MGAVVECLPSPQQAEELAVLSLNKLPLGFRFRPTDEELVDFYLRMKINGNGDEVWVIREVDVCKFEPWDLPDLSIVRNKDPEWFFFCPMDRKYPNGSRLNRATTNGYWKATGKDRKIKSGATLIGMKKTLVFYAGRAPKGQRTHWIMHEYRPTLKELDGTNPGQNPYVLCRLFKKQDESLASSNCGEAEQTTSTPTTANYSPEEIQSDLNLVPLSSSLATEDERHLAAIPENSEEAMSHVITTADCYSDACNASDAQHQSLEVPAAEEDQLLNLDIFDSPRFELEPWDDKLFSPAHAHFPPEFGHQANNELIQYGTNGTDVSDFFDSCVNWDEFSSEASISLELSPNIFKIPENGSCSNSDVEMANMMNLLASHDYPEGVTLQNSDVGLFQNNSQMTLSNDFSMGQMPTVVNEYEQMWNSDTVVDGGTGIGIRSRQRQNEQPDMNQVMQAQGSAPRRIRLGGFVARSLVSETTEDGSCASEYEQSRILDTVVLGDDTGIRIRRRQRRNEEPNMNPVMQAQGSALRRIRLGGFVKHSLVSEETTKDESLQETEASENHAAAESASVLEKTSPMSAREICEQHVTTAESNSGSKNFLLGRVRCTSKTSSISAMRSTVLAYSAIDMTIPKFNY >RHN60206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23537477:23540494:-1 gene:gene22464 transcript:rna22464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MKKKPLFSLCLLIILFYLHTYPSLSALTSISSNQSLSGDQTLVSNDGNFELGFFNKGNSSKNYIGMWYRNISPKTYVWVANRDDPVSDKNSSKFTISDGNLVLLDQSQNLVWSTNLSSPSSNSVVAVLLDGGNLILSNRLNASVTDALWQSFDFPSDTWLPGGKIKLDHITKKPQYLTAWKNSEDPATGLFSLELDPNGTDSYLILWNKTQQYWTSGPWNGQIFSLVPEMRSNYAFNFSFVTNENESYFTYSMYNNDTISRFVMDISGQIKQLTWLESTQQWNLFWSQPRGQCEVYAFCGAFGSCNQNSKPYCNCLYGYEPKSQSDWVLEDYSHGCVKTNKFQCEVSSNPSTGDKDRFLTELNLALPEHAQPVVEAGVSEDCESKCLNNCSCTAYAYNSSGCFIWIGELLNLQQLSQDDSNGQTLFLKLAASEFHDSKSNKGTTIGIVAGAVGGIGVLLVLVLIVVIRRKRLAGARTSVEGSLIAFAYRDLQNATKNFSDRLGGGGFGSVFKGTLPDSSVIAVKKLESISQGEKQFRTEVSTIGTVQHVNLVRLRGFCSEGDKRLLVYDYMPNGSLDSHLFQNSKVLEWKVRYQIALGIARGLTYLHEKCRDCIIHCDVKPENILIDSDFCPKVADFGLAKLVGRDFSRVLTTMRGTRGYLAPEWISGVAITAKADVYSYGMMLFELVSGRRNSDPSEDGHVRFFPTLAANLVHQGGNVISLLDSRLEGDADAEEITKVIKIASWCVQDDEAHRPSMGQVVQILEGVLDVSLPPIPRSLQAFVDDHEDIVFFTDSSSTHSSQVKSNVSTASSQAKNNISSTST >RHN82393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53394058:53398891:1 gene:gene6556 transcript:rna6556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MASGEGRRHHHDLVPLAALLKREMKSEKMEKPTVRIGQAAQSKKGEDYFLIKIDCQRVPGNSSTSFSVFAIFDGHNGNAAAVYTKENLLNHVLCALPRGLGRDEWLQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDRWTVTVASVGDSRCILDTQGGAVTTLTVDHRLEENIEERERVTASGGEVGRLSIVGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPYVKQVKLSKAGGRLIIASDGIWDAVSSELAAKSCRGLPAELAAMQVVKEALRTRGLKDDTTCIVVDIIPPDNELPPTPPPKKRNKLKDFFSFKKRSRDTAGKLSKKLSAINIVEELFEEGSAMLAERLGNDENSGQSTSGLFVCAVCQLDLAPSEGISVHAGSIFSTSSKPWQGPFLCCDCRDKKDAMEGKRPSGVKVS >RHN51745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24110637:24115706:1 gene:gene36258 transcript:rna36258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein phosphatase inhibitor 2 (IPP-2) MKGRVRWDEANIGEIEANKPVRQKITEPKTPYHPMIDDDCSLSPVRGSFDASTDDDKRASQVEAIWTALSDVGSSSKRGTGQSFGWTSSEDELESMEQDGGDSETDRSKKFRKHRKAHYDEYLKVKEHRQKSPNVVDESDEDDNPETGKGEKKCEPCSLSDSVKEMDIEGKRSSTPPANGS >RHN74172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29819860:29823522:1 gene:gene10178 transcript:rna10178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-oxo-beta-amyrin 30-oxidase MELFWTTNSAIILITVTFGLVYAWRVLNWMWLKPKKMEKLLREQGLQGNPYRLLLGDAKDYFVMQKKVQSKPMNLSDDIAPRVAPYIHHAVQTHGKKSFIWFGTKPWVILNEPEQIREVFNKISEFPKVQYKFMKLITRGLVKLEGEKWTKHRRIINPAFHMEKLKIMTPTFLKSCNDLISNWEETLSSNGSSEIDIWPSLQSLTSDVIARSSFGSSYEEGRKVFQLQIEQGELIMKNLMKSLIPLWRFLPTADHRKINENDKQIETTIKNIINKREKAIKAGEATENDLLGLLLESNHKEIKEHGNFKNMGLSLEEVVGECMLFHIAGQETTSDLLVWTMVLLSRHPDWQERARKEVLEIFGNEKPDFDGLNKLKIMGMILYEVLRLYPPITGVSRKVENDIKLGDLTLYAGMDVYLPIVLIHHDCELWGDDAKIFNPERFSGGISKATNGRFSYFPFGAGPRICIGQTFSLLEAKMAMALILQNFSFELSQTYAHAPSVVLTVQPQHGAHVILRKIKT >RHN47220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38024933:38026285:1 gene:gene41787 transcript:rna41787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylic ester hydrolase MTSLNHTTSHISFPKTTTFTIKPQCITLQPLKPNTKQHSYETLKTTHKIPNLSSSKSTFSPLPFPTTKVNKRWKEYQGMTNWEGLLDPLDNNLRSEILRYGHFVEAAYKSFEFDPSSSNYATNKFPKTTLFKKCGLPKTGYKVTKHLHATSGIQLPSWIDKAPSWVATKSSYIGYVAVCDNKEEIKRLGRRDVVIALRGTTTCLEWLENLRATLTNINPLECDNSSQHSINSDENDQPMVESGFLSLYTSKSNSTNNEAIPSLQEMVRSEIERILKTYKGENLSFTITGHSLGAALAILTAHDIKTYFDQKPLVTVISFGGPRVGNKSFRLKLEKEGIKVLRIVNSDDVITKMPGFVLDDKVEESVYDMEGNNDDMAWFLPRWIQKRVKETQWVYSEVGEELRVCSRNSPYLKGVNIATCHDLKTYLHLVDGFVSSECPFRSTARRFLQL >RHN71398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58724456:58725771:1 gene:gene20077 transcript:rna20077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gag-polypeptide of LTR copia-type MPPRVAPVIHQQPDPDSIYYVHPSEGPNSVTVTPLLTGPNYLAWNRSMKRALGTKNKFVFIDGSVPIPPLDDLNRNAWERCNNLILSWIINSVSPQIAQTIVFHESAIDVWIELQERFSKVDRIRVASLRSSINNLKQGDKSVLDYFTEIKSLWEELNSHRPMPMCTCPYPCRCESMRAARDFRMEDQVIQFLTGLNDSFSVVKTQVLLMDPLPSINKVYSMVIQEESNIIPPTSLASNEDSSILVNASDARKPFLRAWFS >RHN53183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42586809:42590524:1 gene:gene37959 transcript:rna37959 gene_biotype:protein_coding transcript_biotype:protein_coding MNATVKRTIIYLHRRNFTGDHSIGIRFRRAGVTIRSFFYFHSDLFKAIGGEKIEELEQLQHAVIHIFVFLGLPLLNVSVEDITTNYTFEFLLQGNIGAMGD >RHN77329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6040298:6040766:1 gene:gene774 transcript:rna774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MAFIERNVSSDGKRVMTLQQFKQWLKTSFDTNGDGRISKDELREVLRITGGLFASWKSNKVLKSVDANHDGFIDDKEFINLAHFAEKHLNIRITK >RHN62903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46638286:46640734:1 gene:gene25538 transcript:rna25538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MVSHMVHQPCNLPHASTNKVSTIHHRTNHYLIPIHFMSENPKPSKDPHGRRLTKPLALTATETQQKQPNIQPLIDLLKSCEQNGSLKQANCIHGHVLKSGFGDRDNLVFLNHVVHAYSKCKDYDSARKVFDGMSERNVFSWTVMIVASNEHGYYRYALELFCMMLEQGLLLDGFAFSAVLQSCVGLDSVVFGEMVHAQVVVRGFLMHAVVGTSLLNLYAKLGMCECSVNVFNNMTDVNDVSWNAMISGFTSNGLYLQAFDFLINMIENGVSPNKTTFLCISKAVGLLGDINRCHEVHRYAYEWGLDSNTSVGTALINMYSKCGVLCDARVLFDSKFANCLVNAPWNAMITGYSQAGCHLEALEMFTRMCQNDVKPDLYTFCCVFNSIAGLKCLKSLKEAHGVALKCGFDAMEISVLNALADAYVKCESLEAGEKVFYKMEKKDIVSWTTMVTAYCQCSEWGKALAIFSQMCNEGFAPNHFTFSSVITACGGLCLLEYGQQIHGLICKASLDAESCIESALIDMYSKCGNLTEAKNIFERISNPDTVTWTAIISTYAQHGLVEDALQLFRKMEQSAVKANAVTLLCILFACSHGGMVEDGLEIFNQMEGTYGVVPEMEHYACVVDLLGRVGRLDEAVAFIDKMPIEPDEMVWQTLLGACRIHGNAELGETAAQKILSTQPEPEHSSTYVLLSNTYIESGLLEDGIGLRDVMKERGIRKEPGYSWISVRGEVHKFYARDQQHPQKDKIYTMLEELTRRIKHMHCEPEFSLIF >RHN79413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28605130:28608952:1 gene:gene3202 transcript:rna3202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YA1 MAMQPVYLKEHEGNVHNSVGQFSSVTSAPWWSNAYGSQPVYGGDSCGQMKPFSLELSNYIDQLAPSKNLVRGVEQLFDKGHTNQFTIFPDDCKMSVDTPNHQATLSLQSPFAAEPLNRFELGFNQSMICAKYPYMDQFYGLFSTYGPQISGRIMLPLSMTSDDGPTYVNAKQYHGIIRRRHSRAKAVLQNKLIKRNKPYMHESRHLHAMRRPRGCGGRFLNTKVSANGNGKSGSEENGNIGGLQLQSSGSQSSEVLQSEVGTLNSSKETNGGSPNVSGSEVTSMYTQGVLDSFTVNHIGSTVHSLGDMIDTGHGIVMPTKWFAAAGRQLLEP >RHN79870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33041287:33043259:-1 gene:gene3719 transcript:rna3719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MRIFCLKPKTPSFSVNSNSSPSSTSSSSKSTTPSIRKATTRIEAAEALIQKWNSETSDYAKVTSIFYNDRNEAIQYIHHVNQLQRAMHSLLELEPSSPKLIHAQNLMQIAMKRLQKEFYQILTMNQACLDAESFSVGSSRTSFCSFDGGTPEEDDIRAAEDCISEVERISSDAVDDLRIIAECMVKNGYGKECIRVYTTVRKSIVDEGIYKLNVEERSFSKMNKMDWEVLEMKINSWLEAVKISVRTLFAGERNLCDRIFASNSIKEACFAEISKDGAILLLRFPEVVAKTKKSKPEKIFRLLDMYATITVLLPEIESIFSFNSTAVVKSQAYNSQHRLIECVRNLLLEFESTILKDSSKSAANFGGVHSLTEETMQYLITLADYSNVLSEIFFDIPPLSKSPLPESYLYSPESSNNTPEMETGFSVRIAWLILVLLCKIDSKSKQCKNVSLSYLFLANNLRHVVEKVRQSNLQYVLGDDWLLNHMEKVKKLIEKYERVAWGEVFSSLPENPTAAMTVAKASKVFMKIKLEFEKAYRNQSSFVLPESEFREEIKASLARKIIPIYRELYTHQIMAGTVSEMKEYFVFTPEDVEKYLGNLFYDGRA >RHN55566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19535936:19540183:1 gene:gene30767 transcript:rna30767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MNGPAAKVDDQQEPEPCTPQNNHKEPDPDASELVQLLDRSQLPRLNPSNAADQANAEATMRKARVSVRARSEAHMINDGCQWRKYGQKMAKGNPCPRAYYRCTMALG >RHN54677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11229054:11232562:1 gene:gene29751 transcript:rna29751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator C3H-WRC/GRF family MSIQQPPLMWSPTHPPFTVSQWQELEHQALIFKYLKAGLSVPPDLLLPIRKSLQFMSHPSLGYYGKKIDPEPGRCRRTDGKKWRCARDAHPDSKYCDRHMIRRRYRSRKPVESSSSSSCSQSSSSVSATTSQGGSSGSTSTVSASVTATSTFQTLPLHTNGTREGLSFNLGNTVPHMDPMLLPLQGSKKPYRFGLNSEAEENNLLQKDLGSVKYQGYDFTSDGMWYNNMSQISSNTVSESRNGSSMVDNYNFQQRTTREPELFNLDAARSKEIVFNGQLGSLKQEYPSSQSLFSDWQWKKDLASSGVEYRPSKDFNTNPDVNVD >RHN50337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6291799:6298360:-1 gene:gene34611 transcript:rna34611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rab-GTPase-TBC domain-containing protein MEKKRTDDYEPSPVPEPRALDRFGFIKQDANTSEGVAKTSRSAHEYERIKEGRRVRKWRKMIGVGGSDWKHYLRRKPNVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQKRHGPGQRSLYNVLKAYSVFDREVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYLAGLPLVQQYLFQFERLVREHLPKLGEHFTQEMINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEGVKIVFKVGLALLKYCHDDLVKLPFEKLIHALKNFPEDAMNPDTLLPLAYSIKIRKRLEELRQEYEKKNGKMSRSGELSEKPILPSIQTS >RHN44940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10186293:10190233:-1 gene:gene39123 transcript:rna39123 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTNFIITVAGVSAVVLLLRSDVKQSASIFKRNVKQIRHWLEEETANSSKKIEKTAKELESKVPPKDPPKDDKH >RHN47394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39411348:39414705:-1 gene:gene41987 transcript:rna41987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative caffeine synthase MATEGILHMKGGVGETSYENNSSLQAPKDLTKNGEPLNKGNIYISRTSPPSVYEAYFKQFERDFKYFLKSRFEELTSDGVMALTFIGRETTITSAQGVIGMVLNEMVKEGLVEEEKLDLFDFPAYHPTVKEVSQLIEAEGSFTLQTIKTFKMGWDANLEKDNVDYVVDSKMRGEFIAKYHRAVYEPLLIAGFGENIMDELFSRFAKLIAQLIEIETLEFTNIVLFMTKNP >RHN42985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42367469:42377638:-1 gene:gene49501 transcript:rna49501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cadmium-transporting ATPase MASSSSLLLTELNFLAPQFTPKRRRSNSRFCILPTQIRALKEEGALVEERIVSDVKWSGNGTVVNGSNGSVRGYVNGGGNGSLVKYVNGNGVAVEVVEDFVETSKRKEVGRKKRLEEIGKEDAWFKQNGEPQVEVAVAPGGRWSRFKTYSTIQRTLEIWGFVIQFIFKSWLNRQKFSYKGGMTEEKKTLRRKTLAKWLKESILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAMAIVEEELGAPIAGIFDQFDYEPIAAASLGQVHRARLRGQEVVIKVQRPGLKDLFDIDLKNLRVIAEYLQKIDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAANAELFASNFKNMDYVKVPSIYWDYTTPQILTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISQNIREGLLEAFYGVYEKSPDKVLDAMIQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRREKEMEAAEVGFKKPLSKEEQVMKKKERLAAIGEDLLSIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLKFREAGVEVIIKDIKKRWDRQSQAFYNLFRQADRVEKLANVIERLEQGDLKLRVRALESERAFQRIATVQKTIGNAVGAGSLINLATILSLNSIRVPATIAYFFCAIFGFQVLLGIVKIKKLDERERLITGTA >RHN66911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21401091:21402585:-1 gene:gene15032 transcript:rna15032 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLPEDEAEDEKVVGDVEVPEDVVADYMGAKSVIPEGEPEPQTQRRRRRVPQLPPYPVGDPPYPGGPETTSLLSDYARHVENHLLVNHHNVSV >RHN44269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3045244:3048149:-1 gene:gene38367 transcript:rna38367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L34Ae MVNFHSLISSVDQSSSSMANSIDLAKSRNRKTLNSLQIPQCERSRSAVVDVVIFIAVVIALGFLVFPYIQFVMSESFKLCGLFMDLVKEEVAVAPVIYVSLGVSVSCAVVATWFFIAYTSRKCSNPNCKGLKNAAEFDIQLETEDCVKNSPSLGKDGGGIKKGLFKIPCDHHRELEAELKKMAPVNGRAVLVLRGKCGCSVGRLEVPGPKKNRKIKK >RHN61908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39251581:39252609:1 gene:gene24421 transcript:rna24421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin B3, G2/mitotic-specific MEPSFDCVASLLCTEEDSSVFDDVEYGGSMEDYEDLWHPRNEQRSGGVPNELHLPLQSEECLVLMLEKECQQWHGADYLNRLKFGDLDFGARNEAIDWIQKVQSHFGFGPLCVYLSINYMDRFLSAYQFPERRDWSMQLLAVACLSLAAKVDETDVPRILELQIGESKFVFEAKTIQKIELLVLTTLKWRMQAITPFSFIEYFLSKINDDKSSLNNSIILQCTQLISSTIKSPDFLEFKPSEIAAAVATYVVEEFQAIDSSKSISTLIQYIEKERLLKCVEKVQEMCIFTAKDSNASSVSSVLQSPMGMFDTLRFRYKCDDNNAGVDAKRRKLNKRCGLELL >RHN56682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32789840:32790637:1 gene:gene32119 transcript:rna32119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MSFCLSRQIDLGFESDLLNFFLGILTVQLMKINLILSIVAAILCYSLIVLRSKLGSRHEIGTLRVEDDVAIEIDGVDNNRNEFQSDYSNGRQQNKSSVRRMNHDDGYNWKKYEEKVAKGSENQRSYYKCTWPNCFVKKKVERTIDGEVIETLYKGTHNHWKPTSSMKRNSSSEYLYSLLPSETGSIDLQDQSFGSEQLDSDEEPTKSSVSI >RHN47184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37724190:37724828:1 gene:gene41750 transcript:rna41750 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFRVRNRENVLPLSWKDLRASSLTWNDFIHYTRDSVVNIPPKYHGALLILCGSFLGYQAKSTTAPFEFRFKYSTNAPQSLVLPFGEAFKAFFPEMTQIAKFILCYFLTLMLVVPLQPGLQIFCMLLLISAPFFYFPELVRKASVSGVDVACGGTFKLPLIGFATLGAVGLSLICGLVTLLMVYLSWRFLYKGKSRVRGIEVSLDDLCEIE >RHN71185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57046852:57047763:-1 gene:gene19836 transcript:rna19836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin MATVTSTTVAIPSFTGLKANASKVSAIAKVPTSNSQLPRLCVRASLKDFGVAVVATAASALLASNALAVEVLLGASDGGLAFVPNNFTVNAGDTITFKNNAGFPHNVIFDEDEIPSGVDAAKISMPEEDLLNAPGETYSVTLDAKGTYKFYCSPHAGAGMVGQVTVN >RHN42346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37575861:37576694:1 gene:gene48777 transcript:rna48777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MTFCFSHSYGAGLVVFIFVLQCILVFFLFDVFLPSRSGCGVFRLLLMGIVGKNLNIEFVVSTGDNFYDDGLKGVDDPAFYESFVHIYTAPSLQQIWYNGKLKKLGFEYFLEKICLFLRSSKQNLVSKLSGYKTSLINKEIIICNYILDT >RHN45104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11844466:11856206:1 gene:gene39310 transcript:rna39310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NET domain-containing protein MNPDIVCCASKFAMSKASGRLVPLDKYERVGPDFFGYYSSEVVNLLSQDEDVLPVSTQLSELPHNKCGEGGKNLVNHSYNFTGPLYSDGVGAGLSDFEKDRLKSLLRQSAFTLSSEVAEVADPVFAMFNLQSGIRSKTHSLSLTTMTSDDVLQIPCKKQKVSSQSPSARSGLLAETSDANPQSSMEVRNDIQFLLQNDSVEVEEMMKKYSEELTGTLGYMEQRLELLLDAVMSKCRSMTLVEKQELQKLIQKLPAGNLDRVVEIICRSRPIEEQNCDKIFVDLEKEDNATLWRLYYYVEAVEKAKSLAARSKA >RHN70556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52271263:52272734:-1 gene:gene19146 transcript:rna19146 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGKSCELSRIGGGCPDKVKCMQTCHPCYIGIGQVNYYCRHAASPMLYETCVCEMVNGAPCQVRGCPGNTPPAAAFAAANYTEPHFMKYLNVTT >RHN80931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41993063:41993263:1 gene:gene4915 transcript:rna4915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MKNWETMSRNWGVNWQSLSYLNGQSLSFRVQLSNGKTRTAINVVPSSWRFGQSFISKVQFRLKEYS >RHN61835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38723802:38724809:-1 gene:gene24335 transcript:rna24335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TCP family MRSTGGEIVQVEGGHIVRATGKKDRHSKVYTSKGPRDRRVRLSAHTAIEFYDVQDRLGYDRPSKAVDWLIKKAKSSIDKLAELPPWHPISENQTLKPLEEVQNVVANSSGMVVGETSESNGYSFHLLRQLSENQGNHNSAFISPHNVETDPIPFFPTTSSTSSSINFQNYPSENLGLSLHSFQDHNGFIPWQSQQVCENHETSNENQNQNQTFFENQYHRIENWNNETTNDYIKKVGFMVNSQSFLGQGSGSEYSPNETLQSNFSHSVRTWNEIPMDSSSEVHHHRSQQVHQASIFGSRFVSDGLAGFCIPDTVQGGEEGHRVSSNRPSSSPNHN >RHN66770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18517378:18518658:1 gene:gene14849 transcript:rna14849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MARLCSYSCLYVLYVSLHYYFFTCLAMSTNKNITIDEFSLLAFKSSITLDPYHKLSNNWSISSSTSFSSCNWVGVTCDEHHGRVKALNLSNMGLEGTISSQLGNLSFLVILDLQGNSFHGEFPQELLHLQRLKRLDLSYNDFVGEIPQSPTCQC >RHN47494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40152072:40156413:-1 gene:gene42105 transcript:rna42105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MQTRTVQVKQLSDLATEREIHEFFSFSGEIEHIQIVREYGKPKTAFVTFKDPRALEIALLLSGATIVDQIVSISPVENYVANRETQEVGVVEYAINIAPEDAASNTEEEKPGGRIYLSKAQDVVTTMLAKGSAIRQDAVNKAKAFDEKHQLTANASAKVSNFDKRVGLTEKLTVGLSVVNEKVKSVDQRLHVSDKTMAAIFAAERKLNDTGSAVKTSRYVTAGTTWLTGAFSKVARAGNVAGTKTREKFNMAVSNLTSKETSLVV >RHN77386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6484022:6488796:1 gene:gene833 transcript:rna833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MGSVNRSWEEDIYWTHFQFIHFTQFLRNTDFQQQLALPKTFSDNLKKKLPENVNLKGPSGVVWNIGLTTKEDTVYFTNGWQGFVNDHSLKESDFLFFKYNGESLFEVLIFHGETLCEKAGSYFVRKCGQGHTEQEGNKAKVAKNSVEEVNTASNGGVECGSEKFRKLDTIRTPLAVPFENTNEKTSNAGVESASPEHVMADAVTIAVPSQTAGKKTKKPVNEVTPGQPKKRGRPAKSATSGERAIVDWVAFSKENSGSKEKDADDREIEGGS >RHN67958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31826702:31827759:1 gene:gene16223 transcript:rna16223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQKARNMAKLVKLVYVIIVFYTLFLVATEIVSGIPCNDDVDCPQTLCEQLIADFKYMIDFKSECVSRMCACTGSPV >RHN40216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14317931:14324728:1 gene:gene46339 transcript:rna46339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin/SUMO-activating enzyme E1, NAD(P)-binding domain-containing protein MASSIIKDAKVLMVGAGGIGCELLKTLALSGFSEIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLKFRPKINITSYHANVKDPDFNVDFFKQFNVVLNGLDNLDARRHVNRLCLAADVPLVESGTTGFLGQVTVHVKGRTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKELLFSKLFGDKNQDNDLNVRSSDAASSSKNIEDIFEHSKDEDIGQYGKKIFDHVFGYNIELALSNEETWKNRNRPKPIYSKDVLSDELDKQNGDLDNDNACGDGFSVSAMSSLGMKNPQDIWSLKENSRVFLEALRLFFTKREKDIGNLSFDKDDQLAVEFVTAAANIRAASFGIPLHSLFEAKGIAGNIVHAVATTNAVIAGLIVIEAIKVLKNDIKSYRMTYCLEHPARNTLLMPVEPFEPNKSCYVCSESPVLLEINTNRSKLKDVVEKIIKAKLGMSLPLVMNASNLLYEAGDIEDDMVAIYNANLEKVLAELPSPVTGGTMLTVEDFQQELKCNINIKHREEFDEEKEPDGMVLSGWTQPVAAAENEDKSVSNGANTSDAPITEVEKSENDDDVGIISPVKKRKLPDDSDKSNTADDERHHKKLQVIDDEDDLVMLDGNLDGFKKRRVS >RHN70591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52571235:52573108:1 gene:gene19187 transcript:rna19187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L39e MPSHKTFVIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >RHN46570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32869712:32871214:1 gene:gene41069 transcript:rna41069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MESQSLQFHNQLHVVFLSYPSPGHMNPMIDTARLFAMHGVNVTIITTHANASTFQKAIDSDTSLGYSIKTQLIQFPSAQVGLPDGVENMKDGTSTEIIGKIGLGISMLQDPTEALFQDLQPDCIVTDMMLPWTVEAAAKLGIPRIHYNSSSYFSNCAEHFIMKYRPNDNLVSDTQKFTIPGLPHTIEMTPLQLPFWIRSQSFATAYFEAIYESQKRSYGTLCNSFHELESDYENICNTTLGIKSWSVGPVSSWANKDDENKGNRGHIEELGKEADWLNWLNSKQNESVLYVSFGSLTRLDNAQIVEIAHGLENSGHNFIWVVRKKESDESENNFLQDFEERMKERKKGYIIWNWAPQLLILDHPAIGGIVTHCGWNSTLESLNAGLPMITWPRFGDQFYNEKLLVDVLKIGVSVGAKENKMRTSTESKDVVVKREEIAKAVEILMGSGQESKEMRMRAKKLGEAAKRTIEEGGDSYNNLIQLIDELKSLKKSKALGEKAD >RHN79156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24707765:24708646:1 gene:gene2882 transcript:rna2882 gene_biotype:protein_coding transcript_biotype:protein_coding MRWHTQKGSKNGVINHPPTAPSSLRQSHLEFLPATDLSKYLPPICHKTKKRSPRRRHFIDNDEELNIAAETVLYISRGGYEGSTTNLDANHHHSKRQKVSSNMVDGSEKMKEQGVLLTRSYQCVCRNEKNLVLRLKIPKDKILQKSQDCKEPVDSNHDIEGKKKISEGETELGSRVSMNFDLNEISSDDFEDETN >RHN69110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41110648:41110791:-1 gene:gene17539 transcript:rna17539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MDSSNSFKTSFGEECSLAKCGRMIGCVDTDGDGRIDFDEFRIMMMDM >RHN75115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39506872:39510059:1 gene:gene11274 transcript:rna11274 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIMNSHLTMFFFLFNLLTISSFSSSQPSYKDHCSSIIIESTPKDLIIHNSFPLGDQYSGYFTGGDTIINNENSFNNKYSSFYLRHIKMHETINSDLFKIESTVSFRTTPNTVYYHVSNFSYGNKPSSRSQHRFKTSFVTFKLEGFWSKSSGKVCMVGTGIGYSQTGDSLNLDAVFKLNNVFHSSNITSLISGSLESLSSEKGDEKDHYFEPISIMMFPKANYSYSLDSKEAENEFSFESDVSEKKGLSLNPYPSSFCSFPLSRAIRRLRLEYTHECNSSKNCTPIISDQLPYMLSLRGAECSQENKHRMKVMMVFSNKSDYWIEKGFNPKTTLVGEGWWDEKKNSLCVVACHFIGIMKSSLNGIRLGDCSVRLRLKFPSVWSIKNTNSIVGQIWSNKSANDPNYFKMITFRNFEDDRVGYRASKYEYSQLERVKKSCPTHKVVKDKGRTRFPDVYSYDMRFDMSVRDRESNIRVASGSSAPLSVGDQVYDDPLTISNSTSETPMVMFNNGSLFNISYKITIFSNSTLYNRNSVFNLSSYRVKISAEGIYDARTGTLCMIGCRDLNSKAGTPLAGSVDCEILLKFQFPSLDAINGSYNIKGSIESMRKKSDLLYFKSLELSSYAIYSETAITAVWRMDMEIIMVLISTTLACVFVGLQLYHVKKHTNVLPFISVFMMSILTLGHMMPLVLNFEALLPQNYNSKNFVFGYVGWLEVNEIAVRIITMIAFLLQFRLLQLTWSSRKTTESQNGLWIAERKASYVIFPLYAAGLLTSFLLKLKNDGFQHDSSWENMKSYGGLVLDGFLVPQDS >RHN43292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44679473:44680865:-1 gene:gene49840 transcript:rna49840 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLWIFITQLNSIAGPVLMLLYPLYASVVAIESTSKVDDEQWLAYWIIYSFLTLVEIVLASLLEWIPIWYNAKLFLVAWLVLPQFKGAAFLYEKFVRPHVRKYITPKKV >RHN56939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35038596:35039200:-1 gene:gene32409 transcript:rna32409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MILRLHNLLGNRWTLIAARLQGRTANDVKNYWNTHLKNKDVSRAKEKKEKDNPKEIIKAHEVIKPRPLTFSTHSPWLNGKHNFVTHPILGNVPRYHDASSDTMVPDQIGRDCASDSQPRLGNAPVLCVQCGCSSLQEQNYKVFKWL >RHN79537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29964864:29970544:1 gene:gene3341 transcript:rna3341 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEPESANLDQPPLTTRPVGGTEYGWCKAVPGGTGSTVLSLLLSKPPQIPHLQNALHKLQNSHPILRSRIHFDNTTNTFLFITPPNPTVQIQSFDLQQTEQILNGHDHDDLVDPFHKLLEHEMNLDSDTRRYRNDVDVDVMYASTYAIDEKRLAVFLRLHTSACDRAAAVALLNELLRLVAAGGGDGGGVKEDKVNLAIEDLIPEGKKNKPFWARGFDVLGYSLNAFRFSNLSFVNADSPRRSRMVRLQLNADETKRLLDGCKSRGIKLCAALAAAGMIAAWVSKHLPDYQSEKYAVVTLIDCRPLLDPVLSSSHCGFYHSAILNTHDVCGETLWELAKRSYTSFENALSYNKHFSDMADLNFLMCKAIDNPGLTPSSSLRTALVSVFDDLVIDDSNEMHEELGLEDYLGCASAHGVGPSLAMFDAIRNGKLDCACIYPSPLHSREQIQELVDHMKRILVDACNSENQ >RHN59111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7555515:7560183:-1 gene:gene21100 transcript:rna21100 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGPNWDGLLKWSIANSDGTRQPRNLSEEDRKWFMEAMQAQTVDIVKRMKEITLVMKTPEQELENQGVTPADIEDMLDELQEHVESIDMANDLHTIGGLTPLLAYLKNPHANIRAKAADVVTTIVQNNPKSQQLVMEANGFEPLVSNFSSDPDVTARTKALGAISSLIRHNKPGVAAFRLANGYAALRDALTSENVRFQRKALNLIHYLLLENSSDCNIVKELGLHRTMMHLASSDDADVREAALKSLFELTRNTKDGSDSSPEDNEKMKQLLQERINGISLMSTEDLGAIREERLLVDSLWSTYFNEPSSLREKGLLVLPGEDAPPPDVASKHFESPLRASSGNPNSKKDSNNEKKDAPPLLLGPGP >RHN68453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35662561:35669912:1 gene:gene16812 transcript:rna16812 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAPQRTCLIFTLFTVFLLSSSLSSATPTTACKFSFRDGNKLYNYTLSSPIRNFPHGILSEDGFYKVSVNQTTLWFQLCDGMIFNHDPPTCADCWDCGGPTRCGMECGALVSNNIGGYHVCAAIGRGPKIDIDVIDKKNPHVGVTVKMSSSSLKYNCSLAVSVLCNVNGVQGPQTLERSGDCNYATELKHPSGCAMIVHVHGSGWGWFGTLLVIVLCLFAAYLLAGIVYRYFFLKIRGTEVIPNLDLWTSLPRRTQSLCSSVVRKFKGPSEGHRSTYSPVNF >RHN39752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10257287:10261059:1 gene:gene45814 transcript:rna45814 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRRWSLLTGPTALVAGVVATVAVANFVLVKNDPFTKPDERKFGDQPATK >RHN55233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16312702:16315263:-1 gene:gene30378 transcript:rna30378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSKHFMIELWIANGFISSNQMLDAEGVGNEVWNELYWRSFFQDTETDEFGQITSFKMHDLVHELAESVTREVCCITYNNDLPTVSESIRHLSVYKENSFEIVNSIQLHHAKSLKTYLAENFNVFDAGQLSPQVLKCYSLRVLLSNRLNKLPTSIGGLKYLRYLDISEGSFNSLPKSLCKLYNLQVLKLDACYNLQKLPDGLTCLKALQHLSLRGCDSLSSLPPHLGKLNSLKTLSKYIVGNKRGFLLEELGQLNLKGQLHIKNLERVKSVADAKKANISRKKLNHLWLSWERNEVSQLQENIEQILEALQPYAQQLYSCGIGGYTGAHFPPWIASPSLKDLSSLELVDCKSCLNLPELWKLPSLKYLNISNMIHALQELYIYHCKNIRSITNEVLKGLHSLKVLNIMKCNKFNMSSGFQYLTCLETLVIGSCSEVNGFHEALQHMTSLQSLTLSDLPNLRISA >RHN49235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53473080:53473792:-1 gene:gene44045 transcript:rna44045 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRVTLVVCSDDSSPYDLTLPASVLCFRSLLRPFSGRGPATVSSHNWSRRPINGSGVF >RHN74570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34795756:34796157:-1 gene:gene10659 transcript:rna10659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-heme dioxygenase domain, isopenicillin N synthase MFQSVNQIQNDLLGIHDGPVLRDKVVRKVQNAFEKRFFFFFQVINHGISTHVLDEMIKGTCRFHQQDVRVRKEYYICDPNKKVVYISNYSLAAKWRESLGFPFLISKSLISFFSLSLCQFDRRIFRKKNINLH >RHN64132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56832871:56835525:1 gene:gene26918 transcript:rna26918 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTSNYLHLLANNFYIKIKITLFPTSSLVINRNGSVEYWREVQLAKQKVKETKMSGHACCSNPPILNPFSGAGHVEKIGGLDAYLTGSPLSTKAILFVSDIYGTNLFLLLFHILNAFTS >RHN39277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5508798:5510101:-1 gene:gene45286 transcript:rna45286 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTLQIERRFQGQHGYLISLYDAHGECKSSFFPFSGVTEELKAFFNDVSENTLKKDSQPEHCLSFVEGARDVALLEAMLESGSKQGELVHVKKFRGNHRTLCMASSFNIYRY >RHN39047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3711340:3711715:-1 gene:gene45039 transcript:rna45039 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSYWNIGGIGNTKTQIHLKQMGFSNLLYRDSLGLTFIRIDMD >RHN81474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46232992:46233767:1 gene:gene5523 transcript:rna5523 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLHIHQVLYHQLPDLSEPNFLGMQYPLEPRYNQNHPVSLLQLPWHVPLFSDNLNGKL >RHN44247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2878663:2881979:-1 gene:gene38343 transcript:rna38343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase MARCH MNMGDEITVHQVEIPIEELSAALREQLVIGKMGECRYCHEEEWIYRLEAPCRCDGSLKYAHRRCISHWCNVKRSIRCEICREVFSPNFAVTEPPPLDEDDIPVSDRWTIPNTNIGMVSPLRLIERGNNHLIRSMVLNTAGGVIFGTLLLMFLASMIIRDAYYLTPPKEDMCSRIMYLAVVFITVPLYVFYWILACRGQQELDRIDREREREMEREREM >RHN61767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38178187:38184316:-1 gene:gene24262 transcript:rna24262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydroorotase MELTIVQPDDFHLHLRDGALLEAVTPHSAKHFGRAIIMPNLKPPITTTSSAISYRESILKAIPKTSNFTPLMTLYLTDATTPNEIQLAKKSGFVYGVKLYPAGATTNSQDGVTDLFGNCYSVLEEMVEQGLPLLVHGEVTNPEVDIFDREKVFIETILEPLIQRLPQLKVVMEHITTMDAVKFVESCKEGYVAATVTPQHILLNRNALFQGGLQPHNYCLPVLKREIHRQAIVSAITSGSRRFFLGTDSAPHDRRKKESACGCAGIYNSPVALSLYAKVFEEAGALDKLEAFTSFNGPDFYGIPRNKSKIKLRKSPWRVPECLSFPFGDIIPMFAGETLEWEALLI >RHN71449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:237868:238149:-1 gene:gene7053 transcript:rna7053 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMCLTNLNTLGPRTCFLDPNLYLIGTISRGTRFSRMLPLTQNRIAINILHPIYWSTTTKMLTET >RHN57049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36099192:36099635:-1 gene:gene32539 transcript:rna32539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MSELGNQHNNGSILVQVVTFGFFNNREVEILFEEKRGWDPNCDMRWIWRLKVPAKIQHFIWLCSYNALPTNVCRHYCNMATSPGCTRCSSLMEDHLHCLRDCPHSKEF >RHN39577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8389240:8394166:1 gene:gene45615 transcript:rna45615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MAEKACIKRLQKEYRALCKEPVSHIVARPSPSDILEWHYVLEGSEGTPFSGGYYYGKIKFPQEYPYKPPGISMTTPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDTSPTTGSVNTTTVEKQRLAKSSMSFNCKNATFRKMFPEYVEKYNKQQLSEQVTSEQPASSETGQDKSPRSVLENNNLESTGEDMKRVEGLKDVRRNKKQGFPTWMMLLLFSIFGVVMALPLLQL >RHN39782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10474197:10474903:-1 gene:gene45844 transcript:rna45844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DOMON domain-containing protein MKTPLPLLFIFTILGSFLHARPETDSCTGNLTLKAPIPFDTTNLHCLSVWDAQGFILRYVQTSANIWSFILSTPDTNSYIAMGFSASGSMVGSSAIVGWVASRGASGGIKQYYLGGFTPNQVVPDKGNLQVIGNSTFITLQSSRLYMVFQLETTVLLSWLILATGSTGLFPAAPNYALTKHLDKFSTRIDYSKG >RHN42869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41564354:41568095:1 gene:gene49367 transcript:rna49367 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSKRKPNESMRLIVIFFFGGFLGLFIGLSVPAFSTTKLNLPSSLLPSIDLSCIRGRDTWSFMKNDDSISSQDQLLNSPSKIWVPTNPRGAERLPPGIVNAQSDFFLRRLWGLPNEDFTSKPKYLVTFTVGYQQKKNIDAAVKKFSKDFTILLFHYDGRTTEWDEFEWSKKAIHVSVHKQTKWWYAKRFLHPDIVASYEYIFMWDEDLGVENFNAEEYIKLVKKHGLEISQPALEPQKGLIWNMTKRRNDSEVHKQAQEKPGKCKYPLLPPCAAFVEIMAPVFSRDAWRCVWHMIQNEFVHGWGLDFAFRKCVEPAHEKIGVVDAQWIVHQGIPSLGDQGEAQTGKPAWRAVKERCGMEWRMFQGRLTNAERGYYKSKGIDFSDLLAHN >RHN48702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49569014:49569750:1 gene:gene43445 transcript:rna43445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MLGKKIVSIKKLAKKVKVVGRVDSDPAHFKLLKECEEEEKKPITKQTGSKKCGVFALYVGEERQKYVVPTRYLSHPLFKMLLEKAYNEFGFEQKNGLVVPCSVSAFQEVVKAIECNNCKFDMGNIFDELI >RHN49921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2291653:2292633:1 gene:gene34153 transcript:rna34153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDLVLDFLTSATTLALFSILLFYCLFLYRSYKVSHSKEDPIVQGAWPILGHLPLLRSSQSPHRTLGALADKYGPLFTIKIGSKRALVLSNWEMAKECFTKIDLAISTRPKGEAYKHMTYNGAFLGFAPNGSYYREIRKIATSEMLTNRRVEQQQHFHILEVQRWIKELFDVWFSKKNESSNYVLVEMKQWLTQLSFNIVLPMLVGKQYFGHPTNVINEEEGQRCVKALKKLMHLLGVFTIGDAIPLLKWFDFGGHVKAMKATSKELDKILGDLLEEHRHKRSLGAKEVDRNHQDFMDVMLSLFDGTTIEGFDSDTIIKATVLVCTY >RHN50670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9270199:9271095:-1 gene:gene34988 transcript:rna34988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MNLLSTVEVTCWHYWLFFLLRGIRNPVKRVIQKYSIYDNNDKLSDFPDCVLLHILSFLNTKYAVQTCVLAKRWKNLWKRLTSLIIGYSHFKDLKGFEYLIHGFFGTRDRSTALQVLNFREECYVGYQSHLEWIVRYAFTHNVKRIRIDVKKVKHLQSYFFSCDTLTSLHICVAFPQRTLFPNSLKFPALTYLFLGSFDFGVDDDGRVEPFSAFKRLNSLILQNCRSLDKQNLCISSATLTNLTIDYDSWALGYCKFELYTPNLCTFVYKGIPPVQQLCGSKSNLSSVKHATIVVISLY >RHN77908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10406460:10410853:-1 gene:gene1413 transcript:rna1413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MDFKTKPFITLFLFLLFSSIFSLTFSAANKPFSTDKSHRVGSSAVFRVHGNVYPLGYYTVSLNIGYPPKLYDLDIDSGSDLTWVQCDAPCKGCTKPRDQLYKPSNNLVQCVDQLCAAVHLTSDHHCSSPDEQCDYEVEYADQGSSLGVLVRDYVPLQFTNGSVIRPRIAFGCGYDQKHSGTTSPPSTTGVLGLGNGRTSILSQLHSLGLIRNVVGHCLSGRGGGFLFFGDDLIPSSGIVWTPMLPSSSEKHYSLGQAELLFNGKPTTIKGLDLIFDSGSSYTYFNSKAYQTIVDQVTNDLKGNQLKRATEDPSLPICWKGSKSFKTVSDVKNYFKPLALRFKKVKNSQMLIPPEGYLIVTKHGNVCLGILDGTEVGLGDLNIIGDISLQDKMVIYDNERQQIGWISYDCDRIPKL >RHN38642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:954516:957377:1 gene:gene44600 transcript:rna44600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MVVKNTNQLEETTNSTYDREAEVKAFDDSKVGVKGLVESGVSKIPRMFHTGKMEISEDSASESKLSIPIIDLKDIHNNPARRVEVIGQIQSACHEWGFFQIINHEIPINVLDEMIDGISKFHEQDANVRKDFYTRDLNKKVMYYSNVLLFSGKPANWRDTFGFAIAPHSFKPDEVPPICRDIVIEYSQKIKNLGFKIFELLSEALGFNPSYLKEFGCAEGLFTLGHFYPPCPEPELTMGSTKHTDSTFMTLLLQDQLGGLQVLHEDKWVNIPPIHGALVVNIGDLLQLITNDKFVSVFHRVLSQNIGPRISVASFFLDSRDPIEGTSKVYGPIKELLSEENPPIYKDITIKDFLAHFYAEGLNGKSSLEPFKL >RHN77306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5924646:5926925:1 gene:gene748 transcript:rna748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydro-lyase MADSSSNLKSEAIIDLMKQHFSTDAGKKLTEKIGLVYQFQIAPKKIGINEVTYTVDLKKGEVTKGAYEGGKPDATFSFTDDDFVKVALGKMNPQIAFMRGAMKIKGSLSAAQKFTPDIFPKPSKM >RHN69435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43387265:43389124:1 gene:gene17909 transcript:rna17909 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVIESLASGFGEAVGNIFSSPLEFLSGKSCRTDFLLGIYVLSSVCGPTWDLSCYIEIFCVANLLRLAVVFVLLYIVFLFFYVLYKLGIIRCLCHSACKLIWACFSSCFHIWVYSCTFLCVKLHNVKRRRRRKFRMEMNEEEYIDESLSYHLPVSAELSRSFSRRRRDYKGHHLRKSLKPKRGRAQVEISRNFSYKNKSNHIIRDPCCSSNVIKHGNYKVMVHDIKVTQTSKFARKCMNNRKRVIRRQRR >RHN48965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51437014:51441119:-1 gene:gene43741 transcript:rna43741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component EXOC6/Sec15 MNPSKPPRRKIPQANGDDSGDKLDQLLLSTAISNNEDLGPFIRKAFASGKPETLQQHLKHFARSKESEIEEVCKAHYQDFILAVDDLRSLLSDVESLKSSLSDSNSKLQSVARPLLTSLDSFVETRNVSKNVNLAIDSVESCVQLTEVCSRANAHLAGDNFYMALKCVDAIERDYLDKTASSTLKKMLEKKIPEIRSYIERKVNKEFGDWLVEIRVVSRNLGQLAIGQASSARQREEDLRIKQRQAEEQSRLSVRDCIYALEEEDEDGMASDDGYSNGNGNVGGVLGFDLTPLYRAYHIHQTLGLEDGFKQYYFENRKLQLTSDFQVSSMTPFLESHQTFFAQIAGFFVVEDRVLRTGGGLISKMEVENLWEIAVSKMCSVLEDQFSRMQTANHLLLIKDYVSLLGVTLRRFGYPIDALLDVLSKHRDKYHELLLSDCRKQIAEAIGGDKFEQMLMKKEYEYSMNVLSFQIQTSDIIPAFPYLAPFSSTVPDCCRIVRSFIEDSVSFMSYGGQLEFYDVVKKYLDKLLSEVLDEALLKLINTSVSGVTQAMQMAANMAVLERACDFFFRHAAQLSGVPLRMVERSRRQFPLRKARDAAEETLSGLLKAKVDRFMTMIENVNWMSDDPPHGGNEYINEVILYLETVVSTTASQILPTQVHKRVLHDVISHISEMIVGTLVSDSVKRFNVSAINGIDTDIKLLESFVETQATLFFDGDADQFKSALAEARQMVNLLVSNHPENFLNPVIRERSYSALDHKKVVIVSEKLKDPSDRLFGTFGSRGSRQNPKKKSLDTLIKRLRDVS >RHN39644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9040454:9040627:1 gene:gene45686 transcript:rna45686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MRIDFEFTYYRSKDPMTPRLYEDVFEEIEQIGIFKYGGLPHWGKNRNLAFRRSFQEV >RHN39537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8010613:8010870:-1 gene:gene45568 transcript:rna45568 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGSFPTAVFSLSSVTISGAAWLLCSTAVSFDVTISDVAWLLSVTVFSFVGCVAAVPIPFLYGGGFAPWPTGLMFVARLHRVG >RHN77874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10138602:10147275:1 gene:gene1376 transcript:rna1376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LIM-domain binding protein/SEUSS MVPPGPPTPIGGSQSVSPSLMRSNSGMMGGQGGQASFPSLVSQRNQFNNMNNMNNMNMLGNMSNVASMMNQSFSNGIPNSGMSGMGSGQRSGMDAGAEQDPLSGVGNGMGFGNQSSSFGQSNVANPGSSGQGQGQGQQFSNPSGNQLLSDQQHSQQLDVQNFQHGQQQSAQQFSAPMNTQQHQQNQQHFQSMRGGIGGIGPVKMEPQGNNDQFGQHQLSSMRNLAQVKMEPQQLQSMRGMSAVKMEPQHNDQPFLHQQQQQQQQQQLLHMSRQTSQATAAQMNLLQQQRILQFQQQQQLLKSMPPQQRSQLPQQFQQQNMPVRSPAKPAYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTTGVFPQDIWHCEICNRKPGRGFEATAEVLPRLFKIKYESGTLEELLYVDMPREYHNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGTVAQKYQACTQNAAANQSVPELQNNCNLFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMDSLAKFPRRTSNSSGHHNQAQQSEDQLQQQQQHMVAPNSNGDQNSVQAAAMQVPSNNGVVSVNNNVNSASASTTTSTIVGLLHQNSMNARQQNSMNNASSPYGGSSAHIPSPGSCSTVPQAQPNSSPFHSPTPSSSNNNPQTSHPGLTSANHMGTVNSPANISMQQQQASVSGEADPSNDAQNSVQKIIHDMMMSSQMNGTGGMVGANSLGNDMKNVNGILPVNTNTGVNSGILNGGNGMMSNGGVNSNSGVGVGGYGAMGLGPSGLPNGMRPGMGNNSVMNGRGGMASIAREQAMNHQQDLSSQLLSGLGAVNGFNNLQFD >RHN80077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34877399:34879290:-1 gene:gene3954 transcript:rna3954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEFSYLQDDSKSSSDENIDRSSDQNNDDDHDHHMGIGRSYECVFCKRGFTTAQALGGHMNIHRKDRANNNKSATKTNFAPPSSSKLVVDHDNYGDLGFYSTINPSHLARGGYYSSISSTTTTPEDVWTRLEGFELVYKSIK >RHN61299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34639716:34642423:-1 gene:gene23747 transcript:rna23747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2'-hydroxyisoflavone reductase MEKSKILIIGATGSLGYHLAESSLKFCHPTFALVRDSAISDPIKSHKLQCLSHAGVTLLKGSLEDEASLVEAVKLVDVVICAVSAKQTLQQKLLIKVIKQLGSIKRFIPSEFGSDPTKAKVCELEDGYNFYAPKIEIRQLVEAEGIPYTIISCNFFMKILLPSLVQPGLSAPPRDKVTIFGDGNTKGVFMQESDVAAFTINAVDDPRTLNKVLYLRPPGNVCSMNELVEIWETKIGKKLESLHVSEEELLEKIKATTFPTNFEMLFIYSAFIKGDHTYFDIESSSGVNGTELYPQLRYSTISEFLDTLL >RHN70303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50426471:50428351:1 gene:gene18870 transcript:rna18870 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQCLGKGSSYFGGSIRMLRGRCQQHAKQANQWFNALSRA >RHN62463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43616016:43621814:1 gene:gene25043 transcript:rna25043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MSTFLQSFLSHEIFSSFPMLFFTIFPLFLISLFAIIKYWHNSNNSCATKKNLPPSPPRFPLLGNLHQLGLFPHCTLQNLAHKYGPLMLLYFGKVPVVVVSSADAAREVMKTHDSVFADRPHRKIFDILFYGSKDVASCGYGEYWKQVRSLSVLNLLSNKRVQSYRRVREEESAKMMLSIQQKNTCVNLTELCSTVTNDITCRVVLGKRYRDEGGVLPELMLEFGELLVIFFIGDYIPSLNWLGKVNGFYSKAEKVAKHMDAFFEKVIDEHIIGSRSDGHVGEGNSDFVDVLLSVQKSNDTSFSIDRTAIKGLLLDMFIAGTDTTYTVLEWTMTELLRHQTVMHKLQDEVRTVVGNRTHVTEEDLVSMNYLKAVIKETLRLHAPVPLLVPRKSMEDIKLNGYDIAAGTQVIVNAWAIARDSSIWEEPLEFKPERFMNSSIDYKGLDFELIPFGAGRRGCPGVLFAISINELVLANLVHQFDWKLPDGVEGKDLDMSETNGLTCRRKYPLLAVATRYDINEYS >RHN65233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:406016:410265:-1 gene:gene13052 transcript:rna13052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNEEIESGEGPPTNDLCSICHGNFQLPCQANCSHWFCANCIIQVWQYSSPLQPCKCPLCRRPINLLVPTDVVDDANSEQDRLNLADIQRYNRVFGQQSNASIVQRLRDLPFLLKRLFKDFVNPNTSLPLVIRARVFITMLLSIIYIFSPIDIIPEGMLGIIGLLDDVLIALIFFLHVAALYRSVLYLRHGGS >RHN38765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1873563:1874173:-1 gene:gene44731 transcript:rna44731 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSYSWFRIDRNLSTIRITLVLLPKCVALVHPFQTSQSYHMHNDTVLAHV >RHN72649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9413562:9414199:1 gene:gene8393 transcript:rna8393 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSISLHAASEFVFHEKSLQLPQFIVYTCHHDSNSFLFMSPV >RHN38472.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000011.1:106975:107193:-1 gene:gene50707 transcript:rna50707 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLSGSTSMPFWLTMKPNNFPDLTPNVHLLGFNRSLYFLNLSNIFVKYSTCCSSSADFTIMSSTYTSTSL >RHN47733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42053544:42058227:1 gene:gene42366 transcript:rna42366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-glucosidase MAILKITKKHHKRFNNPFPSAPTTIPNVQGSLFINSKALSSQDQTFSIGNDFQLSWSTLNGGQFSISHLSQKTRPIWSTISGKAFVSAAVVDAEIEESRGSFLVKDKDVHLTCNHQTIDDIRIINEFGDHLEYEVEDLDQKCSAEETKFPPTLLITGRLFNMSKKKKRFQKYGIQGNIQFEPKGPFVYARYWVLFNQKNKHEIGFQVKIEKLNFSLSNKVVSPEASEIYKGFKKRLSSRKKKIGWCWYLSRPRGFVLVSSVEDESGVMEIPKPKEFNRVWLTYASDENERFYGFGEQFSHMNFKGKRVPILVQEQGIGRGDQPITLAANLVSYRAGGDWSTTYAPSPFYMTSKMRSLYLEGYDYTIFDLTKLDRVQIQIYGNSIEGRILHGNNPCDLIKHFTKTIGRLPELPEWIISGAIVGMQGGTDAVRRVWDELRTYDVPVSGFWLQDWVGQRETMIGSQLWWNWEVDEQRYWGWKELIKDLSTQNIKVMTYCNPCLAPVDEKNNKKRNLFEEAKQLDILVKDNNGNAYMVPNTAFDVGMLDLTHPKTATWFKQILLEMVDDGVRGWMADFGEGLPVDAVLYSGEDPISAHNRYPELWAKINREIVEEWKSKSLDNLKEEQEDGLVFFMRAGFRDSPKWGMLFWEGDQMVSWQANDGIKSSVVGLLSSGISGYAFNHSDIGGYCTVNLPIVKYRRSQELLLRWMELNSFTTVFRTHEGNKPSCNSQFYSNQQTLSHFARTAKIYTAWKFYRIQLVKEAAQKGLPVCRHLFLHYPNDEHVHNLSYQQFLVGSEFLVVPVLDKGMKKVKAYFPLGESSSWLHIWTGNVFSKQGSESWIEAPIGYPAVFIKFGSIIGETFLNNLKNLGILQ >RHN73989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23196222:23198473:1 gene:gene9907 transcript:rna9907 gene_biotype:protein_coding transcript_biotype:protein_coding MRASFLISLVLLSLLLTKAQGIRLERGSLAARPNKHAKESNLLRRSNSGDEEAILCKDEQCTGKIKNRKLFTTSTSAIHANSKKGSRDVAFNTNVKKVNAGGNEGNTTGNDKANGKEHEIRVKQQQEEDVREELIEISDMDYSPAKRKTPIHN >RHN62180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41487399:41487791:-1 gene:gene24728 transcript:rna24728 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQSETINGILKKCLSLEKSNGFDVLKIKNPNLKFSELKWLIVEEIDIYIEGLQVVEIDSLIYPEKGLKMYSQNLNTFSSSYDPIVQSIYSSGKSLKTHEILENCSALFVSSSLFIFILVINCDQLFIL >RHN63647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52919051:52919401:-1 gene:gene26372 transcript:rna26372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S4/S9 MVHVAFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARTLLTLDEKNPRRIFEGEALLRRMFKHGLLDETQNKLDYVLALTVENFLECRLQTLCV >RHN50024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3237225:3238863:-1 gene:gene34272 transcript:rna34272 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIKAKDSARASLCMHNHLLQCSTNTRGPLCLSLFLTPPTFSFFFYYFVVKH >RHN54091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6853673:6855994:1 gene:gene29075 transcript:rna29075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MNKLCFSVITDTLLLKKCRITTSLQEARQLHALLLTTTNASGSKSAFLYNNIISMYSRCGSLEDAHQVFDKMPQRTHVSYNALLAAYSRVSEQHCVYAFNLYTQMENMGLRPSNMTITSLLQAASLHGDLLIGLLLHAKSLKFGFLNDICVQTSLLNMYSSCMDLSSAESVFCDMNERDNVAWNSLILGYLKNDKIEKGVYLFIEMMWVGFTPTVYTFCMILSACSRLKDYFSGRLIHARVIVGNVSPDLHLQNALVDMYCNAGDTQTAYMIFSRMEKWDLVSWNSMISGYFENEDGEKAMNLFVQLKALCFPKPDDYTYAGIISATGAFPCFSYGKPLHGQVIKAGFVRSVFVGSTLVSMYFKNQETEAALRVFCSIPGKDAILWTEMITGYSKMADGMGAIRCFSEMHHEVHEIDDYVLSGVLSVCAYLAILRQGEIIHCYAYKLGYDVEMSVSGSLIDMYAKNGNLEAAYLVFSQVSHPDLKCWNSMLGGFSHHGMVDDALKLFEEIIKQGLVPDQVTFLSLLSACSHSRLVEQGKLLWNYMSSIGLVPGPKHYSCMVTLLSRAALLEEAEEIINKSPYVEDNVELWRTLLSACVINKNLKVGVRAAEEVLRFNAEDGPTLILLSNLYAAAGRWDEVAEIRRNMKGLIMEKEPGLSWIEAKNDIHVFSSGDQSHPKVDQVQAELHRLKGNMIRTENDDSEVQNACYMGYRS >RHN65327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1242369:1242650:-1 gene:gene13153 transcript:rna13153 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYYNIKPTIKRYGCMVDLLGRAGLVVEAYTLIKSMPVECNVIIWRTLLAACRNYGNVELGEKVRKHLLELEYVCKQERINARKESSKTRAW >RHN50408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6868039:6868419:-1 gene:gene34688 transcript:rna34688 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLPCPDFFSPSTLADMLGVSNVFLDAELFLLLFFHSNMLGVLAFGVVSRPFTRAMSLTTTSEPTEGISSLLTMRFSNFEDSRTIGILSVSGVDSKDDLCFELCCQTYCSYVLPLIYSSKFVHLN >RHN47620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41038663:41042734:-1 gene:gene42241 transcript:rna42241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like cupin domain-containing protein MSSTTSATPRRPIQISIPPSSSSSSSSTSAMFQRIRPSLVTSFLQRPHSLPFVLSIFLFLAWISLRSYRSSHPLPLSSPNNDVKANLRRFTSRFPSPIAKDNRGWILDPIALALSSIISGGAVTCASLHLGEIRPGKLRGNHRHHDCNETFVLWGAAIKFRVENSEVTDIGYAEVIIDRDEVVVAASPAHTAHALVNIDPVRSAYFIGCQDSVINYNASNPAILHHSPAIETATVTSLTTINTCKPRSLLMNH >RHN82090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51204254:51208621:1 gene:gene6211 transcript:rna6211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycoside hydrolase, family 19, Lysozyme-like domain-containing protein MEKQNTVVLLITLVILITAATTTVKSEDESKTKLLVKYSHGKKYCDKGWECKGWSIYCCNLTITDYLQTYQFENLFSKRNSPIAHAVGFWDYHSFITAAAVFEPLGFGTTGNKTLKMMEIAAFLGHVGSKTSCGYGAADGGPLAWGLCYNHEMSPSQSYCDDYFKFTYPCTPGAEYYGRGAIPIYWNYNYGAAGEALKVNLLDHPEYIEQNATLAFQAAIWRWMTPIKKSQPSAHDAFIGNWKPSKNDTMEKRIRGFGSTMNILYGEGVCGKGDVDSMNTIISHYLHYLDLLGVGREQAGSYDVLTCAEQVPFNPNKAASS >RHN62307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42522885:42523822:-1 gene:gene24868 transcript:rna24868 gene_biotype:protein_coding transcript_biotype:protein_coding MTKETRILSCILLVLVLCGRGETRHLNPTMVSDHHMLATSHWNWNSIQPFPEEEGGKRDELQRLSPGGPDAHHH >RHN69867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46975229:46983344:1 gene:gene18395 transcript:rna18395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative splicing factor, RBM39, splicing factor RBM39, linker MDFDEYEYLEKTVEELEDKDSKKNESSDKTERSYRKRDEIEDGTEEKRSKRRSSGDGDDERVSKKDRDRDDDRDKERHRSGRDRDRDRDRDGERSSRDRDRDREKDRDREKDRREKEKERERREREREKERERERSRRSKSRSERDREIEREERERSRRSISRSERDREREREERDRSRRSRSRSERDFEMRDSRRFREKKETVEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGIAIMMRDDGNTLHSCLINSCCILKSQYIEFYDAMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSNTTTGAAGVVGPYGAVDRKLYVGNLHFNMTESQLREIFEPFGTVEVVQLPLDLETGHCKGFGFIQFAHIEHAKAAQSLNGKLEIAGRTIKVSSVADHIGSQDTTTKSADFDDDEGGLALNAQSRALLMQKLDRSGITASIGLPMNGLAPAQPAISLPIGNTGLIHPGLIPAPGLALPTQIMPTQVAEPVGTPSNCLLLKNMFDPTTETEPDFDLDIKEDVEEECSKYGRVKHIYVDKNSAGFVYLMFETVEAASAAQRAMHMRWFARKMISAIYMQPHEYEAKFKGEL >RHN76314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49332266:49333919:1 gene:gene12616 transcript:rna12616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MGFKHYSDNNYDRFIPNRSAMYFGYAQYMLTTKNATLGKQNDSSSAWSELYQKILLEAANLPTRILAFRNKPSNPKNTLSPPPPPPQSNPSKSRHIPHSCEITLNATDILECFPLNLLDWGRTGVLSIALNDIVVLCSDSDGFYDSVALPTTLEDGPITSVSWQPDGHILAIGLMNSIVQLWDTSTMTRISTWSVGHRFAVSSLAWNNSHILTTGALDGKIVNNDVRVRTHIVSTYSGHTQVGGGDNVVHIWDRSAASSNSRPTRWLHKFEEHTAPVKALAWCPFQCNLLASGEGDQCIKMWNTHTGARLNSVDTGSEVGALLCNENECELLSSHGFPQNQLTLWKYPSMLKKADLNGHTSKVLHMTESRWV >RHN50679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9329259:9330977:-1 gene:gene34997 transcript:rna34997 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLPGVDYELQKKLDAKMDEVPARRQAREAFKDIQLGIDHILFKTPCDRDERGK >RHN51016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12919973:12921724:-1 gene:gene35377 transcript:rna35377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MEDKYFLWFSILLSLIVLLMLNLVKKIFKSDQITSDLPPGPWKLPIFGSIHHLFGSLPHHKLRELSKKYGPIMHLQLGETSTIVISSKEIAQEVLKTNDLKFAQRYRFIGAEIVTYGCTNLVFSPYGDYWKELRKICTLELLSTNRVRSFQSIRKEEVSNFIKNISSNTGSKINLSHEILSLSYNIISRAAFGDKCKEQEAFTTFIKETAKMAESFSFTNLFPSQHWLHVISGMIVKLRKIHKTGDEILEKIINNATTKTGGDGSLLSYLLNLNIHASPNRDGFHLTTNNIKAVIQDIFFAGSGTSATTLEWAFSEMLKNPRVLKKAQAEVRHVVGSKGYVDEINLQELKYLKAVIKETLRLHPPGPLLIPRECIENCVVNGYIIPAGTQVLVNAWAIGRDPKYWNEGEKFNPERFIDCPIDYKGSNFEFIPFGAGRRMCPGILFAEVGMEFPLAQLLYYFDWGLPSGTSHENLDMTEALGSEAKRKNDLFVIPISYNSVSLD >RHN49113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52530573:52535139:-1 gene:gene43907 transcript:rna43907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-1-phosphate adenylyltransferase MMASELHGISMSLCSKKPSFDRDRVPSSSFFGSKVNLLHSKNAASDFRYERFSSVVLRNKTNRFVTTSTLADVANNFMALQSPIFAGQEANPKTVASIILGGGAGTRLFPLTQKRAKPAVPFGGCYRLVDIPMSNCINSEINKIYVLTQFNSQSLNRHIARTYNLGGGVNCGGSFIEVLAATQTLGESGNKWFQGTADAVRRFLWLFEDAEHRNIENILVLCGDQLYRMDYMELVQKHINSCADISVSCLPVDGSRASDFGLVKVDERGRIHQFMEKPKGDLLRSMHVDTSVFGLSAQEARKFPYIASMGIYVFKLDVLRKLLRSCYPNANDFGSEVIPMAAKDFKVQVGPSISSQACLFNGYWEDIGTIKSFFDANLALMDKPPKFQLYDQSKPIFTCPRFLPPTKLEKCQVVNSLVSDGCFLRECKVEHSIVGIRSRLNSGVQLKDTMMMGADYYETEAEIASLLSAGDVPIGIGKNTKIMKCIIDKNARIGNNVTIANKENVQEADRSSEGFYIRSGITVVLKNSVINNGTII >RHN55646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20572898:20575690:-1 gene:gene30862 transcript:rna30862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MLHKFMNAITCFVMYIFFSNILPYVHSYVPSENIVLNCGSNTSELVQYDGRIWNGDIDSPYVPSYEEIINKSLTAKAPSNLQSIPEVPYMTARIFQSQFTYRFNVTSGPKFIRLHFYPFSYLNFNISKAFLSVTAGNFTLLHNFSVSLNVDYFNLAYLMKEFIVHVKGNSLELTFTPSSNDSDAYAFVNGIEVVSIPNGLYIGGDDSPIPLVGHDTKVVYIYNDSAMETLYRLNVGGEQILPKYDTGMFRNWDIDDGYIFGVDEIKPFNRSMKVLYTDHVPEYTAPDDVYRTSRSMTPYWAVNLNYNLTWFFEVDSGFLYLIRLHFCDVSYAITRVNEVVFSVFLNNQTAEEEFDPFAISGRPGVAIYRDYVVLVPKDSDAKQDLWLDLHPYKYSKPQFYRSFLNGVEIFKLSSVDKKNLAGLNPSEKKIGSSATATPHVVEKVKSSKKLKFILIGCGLGVVAIPILLCLVLLKFKVIKPRRIMSCCVLSPNQTEKEKKSSSFCCQFSLKEIKVATNDFNEALLIGTGGFGTVYKGVIEFETEIHLLSRVRHNNLVSLLGYCNEDDEMILVYDFMSNGSLYDHLHSKQKDQQQPHLSWIQRLEICIGVARGLHYLHTGTKHTIIHRDIKTTNILLDHNWIAKISDFGLSKESYTSLGTTVVKGSTGYLDPEYYQRCMLTEKSDLYSLGVVLLEVLSARQALSPCDDDDDDEHLNLAEWAKFCFENGNVEEIVDPNLEGNIVKECLELYLGIAMKCLAERGVERPSTGDVLQNLFMALQIQKNGVNVQNDLQDYSDLTLGIEFSDIMMPVGR >RHN49385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54455103:54456711:1 gene:gene44208 transcript:rna44208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB family MHVEYSSRAALVALANMLDEGEHFEFEQLSSTTTTSLLPSSSSSSSVANINNTFHHIPLIPSTSTSNSHDQFPLQTQINLFHPSILSLPHHSPNTTTFNSNSNSINFQIQIPPPLIIDSSWTNHELLVLFKITSTIHNFFPDQLITWDHVSSKLAELGIKKSAQNCKEKFEHENASFFPRFVSELQDLYQGGGFSVDENTQLEEDDRLETKQCDDDDDKVRMEKSKNKKRKRRRDRFEMLKSFCETVVNKIIAQQEEIHNKLLEDMLKRDQEKLDREETWKKQEIERMNMMVQEQQAIASDRQANIIEFLNKYLATGYSSSSSTQLHPQNPNNPSNNLESKTPFSNVIADQNPSSSDTEYSNSTSTLVVPTIMEKLEDRRRWPRDEVLALINLKSTTSVINRSNNNVEGNSNKGPLWERISEGMFELGYKRSAKRCKEKWENINKYFKKTKDIVVNKKKRSMDSRTCPYFHQLSSLYNQQQEK >RHN76976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2870772:2872968:1 gene:gene377 transcript:rna377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L39e MPSHKTFVIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >RHN78295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13783416:13796859:1 gene:gene1832 transcript:rna1832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEILISIVGKIAEYTVVPIGRQASYLIFYKGNFKTLNNHVGDLEAARERMIHSVKSERENGKEIEKDVLNWLEKVDGVIKEANQLQNDSHNANVRCSPWSFPNLILRHQLSRNATKIANNVVEVQGKEKFNSFGHLPPLDVVASSSSTRDGEMYDTRESLKKDIVKALGDSTSCNIGIYGLGGVGKTTLVEKVAQIAKEHKLFDKVVKAEVSKKPDIRRIQGEIADFLGLRFEEESIPGRAERLRQRIKMERSVLIILDNIWTILDLKEVGIPVGDEHNGCKLLMTSRNQDVLLQMDVPKDFTFKVELMTENESWSLFQFMAGDVVKDSNLKDLPFKVARKCAGLPLRVVTVARAMKNKRDVQSWKDALRKLQSNDHTEMDSGTYSALELSYNSLESDEMRALFLLFALLAGDIEYFLKVAMGLDILKHVNAIDDARNRLYTIIKSLEAACLLLEVKTDGNIQMHDFVRDFAISIACRDKLVLLRKQSDAEWPTNDFLKRCRQIVLDRWHMDELPQTIYCPNIKFFVFSNVNRSLEIPDTFFEGMRCLRVVDLTGLNLLSLPTSFRLLTDLQTLCLYRCVLENMDALEALQNLEILCLWKSSMIKLPREIGRLIRLRMLDLSHSGIEVVPPNIISSLTKLEELYMGNTSINWEDVSSTVHNENASLAELRKLPKLTALELQIRETWMLPRDLQLVFEKLEKYKITIGDVWDWSDIKDGTLKTLMLKLGTNIHLEHGIKALIKSVENLYLDDVDGIQNVLPHLNREGFTLLKHLYVQNNSNLNHILDNKERNQIHASFPILETLVLLNLRNLEHICHGQPSVASFGSLSVIKVKNCVQLKYLFSFTMVKGLSHLCKIEVCECNSMKEIVFGDNNSSANNEIIDEKIEFLQLRSLTLEHLETLDNFFSYYLTHSRNKQKCHGLEPCDSAPFFNAQVAFPNLDTLKLSSLLNLNKVWDDNHQSMCNLTSLIVDNCVGLKYLFPSSLVESFMNLKHLEISNCHMMEEIIAKKDRNNALKEVRLLNLEKIILKDMNNLKTIWHRQFETSKMLEVNNCKKIVVVFPSSMQNTYNELETLKVTDCDLVEEIFELNFNENNSEEVTTHLKEVTIDGLLKLKKVWSGDPEGILSFRNLINVQLVSCTSLEYLLPLSVATRCSHLKELGIKWCENIKEIVAEEEESSLSAAPIFEFNQLSTLLLWNLTKLNGFYAGNHTLACPSLRKINVSRCTKLKLFRTLSTRSSNFRDDKPSVITQPPLFIAEEVIPNLELLRMVQADADMILQTQNSSSLFCKMTHLGLASYNTEDARFPYWFLENVYTLEKLRVEWCCFKKIFQDKGEISEKTHTQIKTLMLNELPKLQHICDEGSQIDPVLEFLEYLRVRSCSSLTNLMPSSATLNHLTKLEVIKCNELKYLITTPTARSLDKLTVLQIKDCNSLEEVVNGVENVDIAFISLQILNLECLPSLIKFSSSKCFMKFPLLEEVIVRECPQMKIFSEGNTSTPILQKVKIAENNSEWLWKGNLNNTIYNMFENKVAFGKLKYLALSDYPELKDVWYGQLHCNVFCSLKHLVVERCDFLSHVLFPSNVMKVLHTLEELEVKDCDSLEAVFDVKGMKSQEILIKENTQLKRLTLSGLPKLKHIWHEDPHEIISFGKLCKVDVSMCQSLLYIFPYSLCVDLGHLEMLEIESCGVKEIVAMETGSMEINFNFPQLKIMALRRLTNLKSFYQGKHSLDCPSLKTLNVYRCEALRMFSFNNSDSQQSYSVDENQDMLFQQPLFCIEKLGPNLEQMAINGRDVLGILNQENIFHKVEYVRLQLFDETPITFLNEYLHKIFPNLETFQVRNSSFNVLFPTKGTTDHLSMQISKQIRKLWLFELEKLEHIWQEDFPLNHPLFQYLEDLRVLNCPSLISLVPSSTSFTNLTYLIVDNCKELIYLITYSTAKSLVQLKTLIVMNCEKMLDVVKIDEEKAEENIVFENLEYLEFTSLSSLRSFCYGKQTFIFPSLLRFIFKGCPRMKIFSFALTVTPYLTKIDVGEENMRWKGDLNKTIEQMFIEKSHILINMLNETIIYPRICKTESFLTGIPSNWL >RHN42202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36353747:36357984:1 gene:gene48616 transcript:rna48616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cu(2+)-exporting ATPase MGRKKFDGAWECINGGLHLRCCGNLSPESHYPSMTTYPKGTSSSMGEGSEVAITVVFCVMGMTCAACAGSVEKAIKRLPGIREAVVDVLNDKAQVLYLPTIVNEESIRDAIEDAGFEAKSMEDDSSNNTSFQICRVHIGGMTCTSCSSNVQSVLQSLRGVQIAQVALATEEAEIRYDPKIISYTQLMETISNTGFNPILISKGEHISKIELKIDGIKNEQSMYIIEQSLRTLQGVETIETYLDINKIVLTYKPYMTGPRTFIELIESSGSGCFRATIFPNDGGRKAHKQEEINRYFKLLIWSLVFTVPVFLTSMVLMYIPGVKNVLEVKIVNMLNIGLLIRWEFSTPVQFVIGRRFYVGAYKALSKGYANMDLLIALGTNAAYFYSVYVVGRATFSSHFEGSDFFETSSMLISFILLGKYLEVLAKGKTSQAIAKLMDLTPDTATLLTLDDDKGNVLGEREIDSRLIQKNDVIKVVPGTKVASDGFVVWGQSHVNESMITGEAKPVAKMKGDMVIGGTVNENGVLHVKVTRIGSETALSQIVRLVESAQMAKAPVQKYADQISKYFVPIVIVLSLSTWISWFVAGKLHSYPKSWIPSSMNSFELALQFGISVMVIACPCALGLATPTAVMVGTGVGATQGVLIKGGQALESAHKVNCIVFDKTGTLTIGKPVVVTTKLFKNMPVKDFYELVAAAEARLLLLLIFFY >RHN61688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37542534:37543007:1 gene:gene24177 transcript:rna24177 gene_biotype:protein_coding transcript_biotype:protein_coding MILMSQIQVGQKLRQDIAGNQVKVNVNQIVEQTLIDLVWMVVMPTKIFHISFP >RHN47651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41273224:41274142:-1 gene:gene42273 transcript:rna42273 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCSNLFTLTPLSSSSIFPIPTTTPISRVHVGLPTNSLKGLQISTPFVVKPRKNAISIVSATAESNVADGSESESKKESDAVSVDKLPLESKLKEREEKRLKMKLDKKIRLRRKRLVQKRKLRKKGNWPPSKMKKLKSV >RHN80028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34479476:34483750:-1 gene:gene3903 transcript:rna3903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S24/S26A/S26B/S26C, peptidase S24/S26, beta-ribbon domain-containing protein MVSWRTQLRYLASKAQYASSLALKNRIGGHVKENEVATFIFKHFCHGRMTFLHRNKGEEMAPAIAGKGAILLVRRLPIPDLRRLYIGDVVVLKNPEKPDDYLVRRLAATEGYEMASTDEKDESFVLEKDQCWVVAENEKLKAKEAIDSRTFGPIHITNIVGRVLYCLRSAGDHNRVQNSFVSMHYDSPVLEMELNVDEMAKSHKA >RHN70603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52659660:52662202:-1 gene:gene19202 transcript:rna19202 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FLOT1 MYRVAKASEYLVITGAGIDDVKLEKKAWIFPGQSCTVFDLSPVNYTFEVQAMSAEKLPFVLPAVFTIGPRVDDYESLLKYAKLISPHDKLSNHVNELVQGIIEGETRVLVASMTMEEVFRGTKEFKQEVFDKVQLELNQFGLWIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQARVDVAEAKMKGEIGSKLREGQTIQNAAKIDAETKVIAMQRAGEGEKQGIKVRTEVKVFENQREAEVAEANSELAKKKAAWTMAAQVAELEAAKAVALREAELQGEVERMNALTTTEKLKADFLSKASVEYDTKVQEANWELYKKQKEAEAILYEKKAEAEAQKALADSTFYARKQEAEAELYAKKKEAEGIMTLGNAQGAYVSTLLNALGNNYTAVRDYLMINGGMFQEIAKINAEAVRGLEPKISIWTNGGDNNGGITEGAMGMKEVAGVYKMLPPLFKTVHEQTGMFPPAWMGSLPDKNS >RHN63650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52932714:52934882:-1 gene:gene26375 transcript:rna26375 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGSGAGSFLMVLLRNFDVLAGPVISLVYPLYASVRAIESKSPVDDQQWLTYWVLYSLITLFELTFAKILEWIPIWPYAKLILTCWLVLPYFTGAAYVYEHYVRPFLANPQTINIWYVPRKKDVFTKQDDIITAAEKYIKENGTEAFENLIHRADKSKWGSSHHTMYDETY >RHN74316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31786598:31786933:1 gene:gene10355 transcript:rna10355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MIEEVEKRLIPVFYSFTHDGRVFYLHDLMKRFSFGVMCRFSFGWDPYALQAALPHSDFGEAFDTIVRISAERASSVSPLIWKIKQYFNIGSQKKLKEAIKIKINKNIFNAL >RHN57503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39594529:39596704:1 gene:gene33052 transcript:rna33052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MGRRLTNFLGNILLSHSLISPDIYHACVRLRGAKEEECSPMSTLSFIIGAFSRCLRVNPSVEELEKLISTCFPLDFYTYNQLLRRVTQYDMNQACELFNRIRQRGYEPNDWTYNIMVSGFSNHGRNDEAKQWVEEMHQKGFYPRENTKRNVQKGILSNREY >RHN65838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5722243:5726090:-1 gene:gene13730 transcript:rna13730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative splicing factor 3B subunit 5/RDS3 complex subunit 10 MQASDRFNINSQLEHLQAKYVGTGHADINRFEWAVNIQRDSYASYIGHYPLLSYFSIAQNESIGRQRYTFMQKMLLPCGLAPEREED >RHN42600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39622341:39624212:1 gene:gene49057 transcript:rna49057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L32e MAVPLLTKKIVKKRVKHFKRPQSDRKICVKENWRRPKGIDSRVRRKFKGCTLMPNIGTYCAEIAHNVSTSKRKDIVERAAQLDVVLTNKTARLRSQEDE >RHN58193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44474906:44475226:-1 gene:gene33810 transcript:rna33810 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEMVAAFILYDAEIYLCVNSTFLKPSLNIMEIPLNLYLVVRQFLHFSLKFLHLVLDPSALFKLRNTWVI >RHN64831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62300669:62306602:1 gene:gene27697 transcript:rna27697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HD/PDEase domain-containing protein MATAPSASSSPSTAVTGDTNTTPPPSSSAVPDASPSPFAAIEFLTVCHHLKKTKRAGWVTRDVKDPESVADHMYRMSLMALIAPDVPGLDRNKCIKMAIVHDIAEALIGDITPLDGVSKADKSEREQAALEYMCKIIGVGEGSRGKEITELWMDYEANSSPEAKFVKDLDKVEMILQALDYEDEQGKDLDEFFCSTAGKFQTEVGKAWASEIVSRRSNT >RHN64654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60761784:60762242:1 gene:gene27489 transcript:rna27489 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGWGAGGEAWSWKRSLWVWEEEMVEECRILVDIVVVHDNVSDRWQWDSDTNDGYTMKVLIKF >RHN74741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36270427:36289425:1 gene:gene10849 transcript:rna10849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDSIISIAAKISEFTVAPIGQQFGYIIYYKGNLLRVTAAIQNLEGLKDSVQHTVDEARRNGEEIENIVQNWLNKVNYTIAEAKKLIDTEGHAKAQCSMGHFPNLYTRHQLSKKTKKAIQEISDVLEEGKFERISYRTASQVTVTPFGRSYEALDSRTSMLNEIMLVLKDPNIFVIGVYGMGGVGKTTLVKELAWQAENDGSFSAVVMATITDSPDVEKIQGQIADALDLTFNKETKEGRATQLRERITKEKSILVILDDIWGRLDLADLGVPFGNDHKGCKLVVSSRDLNVLNREIGTQKEFRLEVLFEDDSWKLFEKMAGDVVQEFNIKPIAEKVAKCCSGLPLLIVTVAKALRKKHVSAWKDALNELERFDEEGLHKKVYSTLELSYNCLENEELKLLFLFIGSSGVNDVHTGELFSCSWGFGLYKHARTLTDARNRCYKLINDLKASSLLLESEAELVSMHDVVREVAKSIASRLHLFYGVQRYTVLKKWPKIDRLQNLDAIVVPWSYIHELPEKLDCPELKLLVLQTIGDHMKIPDEFFSVMRELKVLELYGMMLTPSPPPSLRLLTNIQSLALTGCVLGDISIVAELKSLEILSLEKSDITDLPKEIGQLANLRMLNLTNCSQLRFIPANLISSLKCLEELYMGNCFIQWNVKGNNDESNNASLEELSNLSHLTTLDIMIQDASVWPMDLQVFEKLERYNIFVGDIWKWSLFMAGGASESSRTLKLMERGSSSIILDHGFNFLLNSAEDMCLARLQCGRDVLSELNTEGFPQLKHLCIQDSAELKFIINSMRWIHTYPAFLSLETLVLQNLFNLEKICHGPIPIQSLTRLKSIEVKGCEKLKHLFWYSLVSDLPQLLEIKISDCKMITEIIAVQTSEANTEINKILFPKLRSLELERLPSLISFCSVPLTAAQCTPLALIDKKVGMPHLELLELSNITSRRLWDDNFPGHSCIQNLRSLTIDKCGSIAYTFSSSVARELVNLQYLGINNCLMLEEIFVSDGNLGSVTSTQKPFSDDEVIFPNLETLEISHVKHLKSVWPNQLAPNSFCKLKQLKIESCHKLSNVFPSYVLDKLQNLERLTISDCPALEVVFEAQGLKADGSRQMRLDMKLRTLTLKNLPSLKHIWNGNPNETFNFQNLCLLKVTECDILNHIFPLSVAKELQHLQGIHIKECGVEIIVAHDEIADTIPMFIFPKLTSLCFRELPQLRSFYRVSHTLDCPVLKDVDVFHCDELPLFKPKALNNRDNVPVDTLPLLSIEKVATNTGELILNCKDVTMLCSGELNDGPIYKVKALRLRCFHDESVKFSTGFLQRFINLKNLKVTCSSFTYIFSSGSEWTGHSETIMKLESLELIKLQNLEFICEENFEVHPVLQDIERLVVNSCSRLKNIFPSSFLFENLQKLVVGHCAGLESIMKPSTARSLQNLKELYIHCCGKIEEIVASDDENDASELIFMKLEFLQLSNLPTLRSFCKGKHGFKFPLLPKLFVINCPMMETFSHGVLNAPKLRAVHVKRQGECHWNGDINTTIRKFAAKKEL >RHN52960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40704783:40706703:-1 gene:gene37702 transcript:rna37702 gene_biotype:protein_coding transcript_biotype:protein_coding MNHEKSLASKCKILAIEDETQPQPQSEQESFTSQVITTSQRRKSTSDNKSNAKTISVFNRKQRNKSRTSISATETEVSAEAPIHLCNEVSDSKKIRTPYHWSIHWSVPQQLLPTR >RHN38705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1395510:1401291:-1 gene:gene44666 transcript:rna44666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Actin family MLLAEPSSNAQQQRERAAELMFEKYKAPALFLAKNAVLTSFASGRATSLVVDCGGGSTTVAPVHDGYVLQKAVVASPIGGEFLTDCLMKSLESKGIA >RHN53184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42603292:42604460:-1 gene:gene37961 transcript:rna37961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation transporter MKNNFGKNSKNLCTYFPNKFNFNFNGFLPRSLSFQFNHFFIHLFYYIILSLFGYLGLKFSKPRSSVRQKDFDLFYTSVSASTVSSMTSIEMEVFSNSQLILLTFLMLVGGEVFTSMLQLFLNMFNFNKNQCLNGECSRSNYPPIKINQIELGLVSIPQSENHTLITIIITLIKTKLSIILLGIYVIYLVTLYITNVPWPSAKNVLKNKGIKIETFSLFTIVSTFATCGYVPTNENMIVFKKNSGLLLLILPHVLLGNTLYPPCLRLFIKVLKSVNKREEFSYLLKNSKEMGYDHLLSSLHCWLLLVTVFGFNVIQFVLFCSMEWSSNIMEDLNLYEKVVATLFQVSNARHSGESVFDLSTISSAILVMFMFVVMM >RHN39634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8914433:8919851:1 gene:gene45676 transcript:rna45676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NDP-glucose--starch glucosyltransferase MATVTASSNIVSRNSHIYHVNVPTISCESKTARMKLGSMRKANTHNGLRILNSLDELLNRTPIKMKAVKSRRKGLQKKNVMPKGIIVCGMNLIFVGTEVGPWSKTGGLGDVLGGLPPVLAANGHRVMTISPRYDQYKDAWDTSVTVEVKVGDRKEKVRFFHCFKRGVDRVFVDHPIFLEKVWGKTGTKIYGPATGDDYQDNQLRFSLFCQAALEAARVLNLTSNKYFSGPYGEDVIFIANDWHTALISCYLKSMYQPNGIFRNAKVVFCIHNIAYQGRFAFADYSLLNLPDQFKSSFDFIDGYVKPVVGRKINWMKAGILESHRVLTVSPYYAQELVSGPDKGVELDNILRRTGVTGIVNGMDVQEWNPSSDKYITVKYDDSTVLEGKALLKEALQAEVGLPVDKNIPLIAFIGRLEEQKGSDILVAAIPQFIKEDVQIVALGTGKKEMEKQLQQLEISYPDKARGVAKFNVPLAHMIIAGADYILIPSRFEPCGLIQLQAMRYGTVPIVASTGGLVDTVKEGFTGFQMGSFNVECDAVDPADVDALAKTVTKALAVYGTPAFAEMIKNCMAQDLSWKGPAKKWEEVLLSLGVPGSEPGIDGEEIAPQAKENVATP >RHN48108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45070072:45077748:-1 gene:gene42783 transcript:rna42783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SLC26A/SulP transporter MGNGDYAYPSSMNVESVHRVAIPPHQPFFESLKYSVKETLFPDDPLRKFKNQPASRRFVLALQHFLPILEWAPQYTLQFLKSDLIAGITIASLAIPQGISYAKLANLPPILGLYSSFIPPLVYAMMGSSRDLAVGTVAVGSLLMASMLGREVNPIENPKLFLHLAFTATFFAGALQLSLGLFRLGFIVDFLSHAAIVGFMGGAATVVCLQQLKSILGLEHFTHQADLVSVMRSVFTQTREWRWESAVLGCCFIFFLLVTRYFSKRQPRFFWVSAMAPLTSVILGSILVYLTHAEKHGVQVIGELKKGLNPPSATDLVFVSPYIGTAIKTGLITGIIALAEGIAVGRSFAMFKNYHIDGNKEMIAIGTMNIFGSLTSCYLTTGPFSRSAVNYNAGCKTAASNIVMAIAVMLTLLFLTPLFHYTPLVVLAAIIVSAMLGLIDYEAAIHLWKIDKFDFLVCFSAYFGVVFGSVEIGLIIAVAISVLRVLLFVARPRTFVLGNIPNSGAYRNVEHYQNAHHVPGILILEIDAPIFFANASYLRERITRWINEEEERIEGAGKTSLQYVIMDMTAVANIDTSGISMLEEFKKTVDRKGLQLVMVNPGSEVTKKLNKSKFLDEIGHKWVYVTVEEAVGVCSFMLGTHKANHMKDESEGLNSV >RHN69870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46989609:46993420:1 gene:gene18398 transcript:rna18398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA/RNA-binding protein Alba MRNYITYATTLFQEKGADDIVLKAMGRAINKTVMITELIKRRIVGLHQNTQVGSTDITDTWEPLEEGLLPLETTRHVSMITITLSKKELDTSSTGYQPPLPADQVKPLNEYEEEGGSPRMRGRGRGRGRGRGRGRGRGMYNGGMEYVDGWDGGRGYGGRGRGRAWGRAFRGRGRGYGAEPAGYYGNDEYDAPPAPRGRGRGRGRGRGAAA >RHN73832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20506300:20506947:1 gene:gene9709 transcript:rna9709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MSKIFKFVYMIFFLSAIVAEIYAFGEFCHSDSDCPKLCHPPKNVTNCFWNHCYCVR >RHN51917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28369075:28369364:-1 gene:gene36487 transcript:rna36487 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSCKSANQEHPKICEDFGKTKNEDSRGLRRLYSGRNFVPKFQGNLFEDVDLKRRKIEKTGHKKHFYAPRTHVMCLEHGKRLPCA >RHN72242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6050123:6055334:1 gene:gene7934 transcript:rna7934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoporin protein Ndc1-Nup MSPTPSKPEVLLTNKFLSFLIWQSIPSSFIFFLSFTLLPITPFWSFPLFLLFNFLFSFSLSFISSPSSHPIFNPLNFKLSLTFLLFVAAAAVSASVAVVSLFGFNDLGKVGFRGFLAGAIYGFHYVFKRRWVLEFPIIQRPPFYNFKMGIPLAAKRAFKLSTVAFIFSAILLEIIPHPFKCSIATKKFVAEQIVFFVASFAIFFSWELTHNLHTVLHTKRSIFAPPKGSAAAETNPSEHLLSALEESNPTSLLRYHAYLDLCMVSENNVDTWRRAAFFEETGETYKRVIAVCLRPLEQLASRLGEDLGNSTDKPTNLSNQLASPTDVKHVEELYNFQLYAWCSRIVASLTACSRKEDKFGAAQLSGSNAAVVSTLISCLLAVENFMGKKTNLQSPNQLLGSAGIKWATANSGRLDIAAASVKRKNGPVNSKAYAIADVLKTSIYQIVSAFHDEMLSGTKSSLLEKDWITSEKPLFGTREMLIQKLRLFLDFRAT >RHN74698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35968521:35969018:1 gene:gene10805 transcript:rna10805 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKEKVYRHLPERVKRLFDFVQDISRHPSAVAQMLTTVLLDFQAWFYPDWVHRCQRAWHHDPGYMAWYAKVSHPHILPPDEGSSPRPMNVEQIIEEEHAREMLDTLTIIRDVVQIADNIVVRSGEMTKEEIFQEVIRIGTTGRPALTYWISRQRRGQRHRRQQG >RHN47615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41006550:41011352:-1 gene:gene42235 transcript:rna42235 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQSSTVSPSSSRRETTPDKIHVKTIGCMSGILHLISTSNSRRHRRFLTFGKKQSKNQVSTVTATANLPPEKTNEKKSSDEVSTVRNSKLSREVPRSPTLPAEIRRSSPRKSSENVRETPALVARLMGLESLPASPESVVEKRQKLLGALQRCDEDLKVLKKIIESVRTPEPVSSPSPAVVKRTVGEVKCSVVNGEQQQPSPVSVLDEFTRSPLSPNCHFGRHSHSRMQQQKQQLLKKPGEEEISSTLYMHERMTNELVSKKVNDEDHSVMWSSKGMKRSVDEVCRDVAWGENRELGRIGLALQDCICRDLIEEIVRELGCSNTLPFESCKRRLCF >RHN59275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8986982:8990278:1 gene:gene21279 transcript:rna21279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEVTLIFSHVKFYCIMNMFLIVSIFLFQTVNQLLVALAFTGVEVNLVLFAKLVLRQSNAESANTFSRWMGTTYFFSLIGAFISDSYLGRYLTCIIFQVVFNIGLVILSLSTHFLLLKPKGCGKIGFLCDPHSPLHVAILYISIYLIALGNGAADPALATFGSDQFDEEEPKEEKSKTLFFSYFYVALNLGSLVAETVLAYIETTGNWVLGFWICAGCGGFSFLIFMTGTLRYRQMKTSRNPISRFAQVFVSSMKKIKFQVPANGEGLYDVQEGSDPSVRRMHHTKGLRFLDRAAIISTKEKNQLLEKAENPNPWKLCTVTQVEEVKCILRLLPVWLCTIFSSVVFIQMLSLFVEQGSTMNRKFYKFEIPPASMTAFDIISTSAFIMLFDILIVPLYVKVIKRDPKLPSELQRIGIGLSITILALIVAGLVERKRLEFASKDGKETSSLSIFWQIPQYVLVGVAEAFVYVAQMNFFTAQAPDGLKSLGMGLSMSSSAVGSYVANTILTVVMKITSTHGRPGWVSPNLNDGHLDWFFFLSACLTGINLIFYIVCARRYKLIELEKREEAKEEEVVN >RHN40954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24952503:24958619:-1 gene:gene47204 transcript:rna47204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3'(2'),5'-bisphosphate nucleotidase MEGEKYARELEIAVRIVHVACALCGRVQEKLLATEVVSKDDDSPVTVADLSVQAITSWLLSKTFGVQNVSIVAEEDVETFTKDDSTGLLEAVVDTVNESLAFASMYGLQSPDITLGTSEVLAAIGRCSSTGGASGRHWVLDPVDGTLGFVRGDQYAVALALIEDGKVVLGVLGCPNYPVKTEWLNYQYQYRQTTISQTSTTLDACGKGCVLYAKRGSGEAWLQSLIPEDRLLEWPNCARPIRVSSVDDPALATLCEPVERANSNHSFTAGLAHSVGLRKQPLRVHSMVKYAAIARGDAEIFMKFAKSGYKEKIWDHAAGVVIVEEAGGVVTDAGGRPLDFSKGTYLEGLDRGIIACSGVTLHEKLIDAVYASWDSSNL >RHN49096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52367192:52373068:1 gene:gene43887 transcript:rna43887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proline--tRNA ligase MAGTDDNITQQQSAAKPQKQPKQPKQPKPQQSGGGKKKEVKKETGLGLTNKKAENFGEWYSEVVVNGEMIEYYDISGCYILRPWSMAIWEIMQAFFDPEIKKMKIKNCYFPLFVSNTVLEKEKDHIEGFAPEVAWVTKSGESDLEIPIAIRPTSETVMYPYYSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADTEVLEILELYRRIYEEYLAVPVVKGKKSELEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFEINYENEKGEKAMVWQNSWAYSTRTIGVMVMVHGDDKGLVLPPKVASVQVIVIPVPYKDADTQGIFDACTATVNMLLEAGIRAESDARDNYSPGWKYSQWEMKGVPLRIEIGPKDLANKQVRAVRRDSGAKIDIGNDDLVVEIKKLLDNIQQNLFDVAKHKRDACIQIIHTWDEFVAALNEKKMILAPWCDEEEVEKDVKARTKGETGAAKTLCSPFDQPELPEGTVCFASGKPAKKWSYWGKSY >RHN55552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19470222:19471029:1 gene:gene30753 transcript:rna30753 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGVVPSIAFKHTTTRLSGMEFGSFRGSTSSGSSALVNQKLASMEAQITALVGYVKAKEGASLPPELAAALFPNDTQQASNVGSGSPTPNDITRSSDESNAC >RHN56036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27051518:27052074:-1 gene:gene31355 transcript:rna31355 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLYISMFVEAVILHQITEKRFSKSTFGTSSFGNNTLCISCLCLRSVFAVLVEKNCIYMKIGRPAMLAYCVFLHGSDFPNCTWVSSYNLGFFCFPFTFLFYLVYPYFT >RHN54795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12398908:12399716:1 gene:gene29882 transcript:rna29882 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGIGVIRLGVGTLRMIMRSLWVVFVLGFCFVCPLLSTCADLRFGSISSKKRGSIGGRIRMSVGLSVIGCLFGLIRGKSRDLTLALVLVVNFIDFGGVGVFFGVFMYEMYVFSFGCSAYIRCPFLCCICSSCART >RHN44964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10323661:10323945:1 gene:gene39153 transcript:rna39153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MTCCSNPTSSIINVTTTDQNPNSSNNKIYRGVRKRKWVSEIRLPNSRERIWLGSHDTQEKAARAFDAALYCLRGPHASFNFPNTPLTINVAFHG >RHN47531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40331714:40335293:1 gene:gene42144 transcript:rna42144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L25 MHLPRTLLRPFLHRRHFSAIATALIHTPEPDHILQPLTYLDGFPKPNPKYDETILAIPRKDSGKNISAKERKVGRVPSIVFEQEDGHLGGNKRLISVRSDQIRKLVNHLGRSFFLSRLFHLQVLHQFDSDSNPNDEDIIENVRVLPRSIHLKAGTDAPLNVTFIRAPSDAWLKVDVPIVFRGDDISPGLKKGASLNTIKRTVKYLCPADIIPPYIDVDLSELDVGQKVLMGDLNVHPALKLLHPKDEAVCKIMGQRVSETQPKKTK >RHN75709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44461240:44463405:1 gene:gene11947 transcript:rna11947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MPQLKLLFTLLYTLTILSYVSTTEFVYNTNFNSKNIILYGNATINKSILTLTNDTSTSFSIARAFYPKKIPTKPSNSSTLLPFATSFIFSVSPIKNFITGHGFAFIFTPSSGLNGTTSTEYIGFLNLTNQGNPNDHVFGVEFDIVRNEEFNDTDDNHVGIDVNSLTSVSSHEAGYWGGKHDKKFNVLNMNSGENYQVWIEFMHSQINVTMARAGQKRPRVPLISMNLNLSGVLRDETYVGFCAATGKKRDSVKILAWSFSNTNFSIGDALVTHNLPSFVPHKGWFFGAKAIDVGITSVVCVLIIGCAVLFIRHMRNKGEEEIEDWELEYWPHRISFQEIHAATGGFSEENAVAVGGNKSIYKGILQGVEVAVKIIPQEREGMREFLAEVSSLGRLKHRNLVGFRGWCKEEKGNLILVYDFMTNGSLDKWIFECEEGKMLTWEERIQVLKNVATGILYLHEGWEVKVLHRDIKASNVLLDKDMNARLGDFGLALMHEHHGQVASTTKVLGTLGYIAPEVIRTGRASTMSDVFGFGILVLEVICGRRPIDEHKPGLIEWMESLMVLDQLHSAVDERLKAKGGYTIEEAERLLHLGLQCSNSDPSVRPVMRQVVKMLEGEMESIESDEENTEKSLLGRIKSAAMWSRTESTLPYRDHPTFEEIRMFSYNSKTSTSGSSSILPSDSDIIREGR >RHN74399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32657237:32657536:1 gene:gene10456 transcript:rna10456 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED20 MKILHCVENFNGVKDERCEATIPFYIPNLRDQSMSAQFPQGFLGITLMEQPNKYYFIIRDHKLIVEADSSILTIIEKLQSYKSKVAHNCEGLQYNLGDF >RHN45068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11282649:11288234:-1 gene:gene39267 transcript:rna39267 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVRHIYACSSKSLQGRHLYLDHQRSLQGRSPQSRSLMDLCKAEAHKLDH >RHN62212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41739021:41739807:1 gene:gene24763 transcript:rna24763 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIHISIRHMIKHPTCISHTFTIPIHFNHLVMHLEITPKSRLQKVIMNFLSILNGFRLTTQIKQNLKAISISFNTFFHQFKRLFHLSLLTKTCQINNRRRRRSLFLNIRGLELVIRVLKLIKVTILWVPLILVNPSIGTIGWLKIRILTNGLPEIRVLRGWWWSEIRGFEGSNPVEEIDQGHFEYCDD >RHN55258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16469950:16471930:1 gene:gene30409 transcript:rna30409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperone DnaJ MFITSPFLFTLLGYISWKLKSEEEILKIHIKPGWKKGTKITFPGKGSQQPGSAPSDVIFVVNERPHPIFKRDGKDLIMTEKISLLEALVGKTLNITTLDGRHITVELDDIVTPGYEKVVADEGMPLSKDPSKRGNLIIKFNVMYPPSLTSQQKYDVRRILNDPADY >RHN73356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15623341:15627537:-1 gene:gene9158 transcript:rna9158 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIGKDEEHVQQEEQRQDDEGARCSVIRRAFSFKCLFILFFSLAAFVSVIFWIIPKHNFEASFVANDVIKHRAHVQASFRLEKPVSQLIPYIERLEDEIFDEISLPNTKVAILSIHQSVAPNWSDVVFGVLSDPMNIPINPVYLSVLKSSLIDLFLQQTNLTLTTSVFGNASLFEILKFPGGLTVIPVQSVSMWQIREVLFNFTLHHSISEVLDKFDDFEEELKFGLHLKSDENVYVQITNARGSTVACPAVVQASVMRGFESLLPQRLKQIAQTVKHTAGNNLGLNNVVFGQVREIRLSSLLKDTLHAYPPAPAPSPQLTDHSEPLISPYHAPSYSPISPATAKTPCFDREVSSPSPSIVTEHPPAYSPAASPISYTSSHTTQVSPDLPRAADVSHGVKLRQDEERSKKLASQLLSPSSPSSAGGDFHGEILLMGFCMLSVSLLFL >RHN80695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40153575:40156860:1 gene:gene4652 transcript:rna4652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine synthase MVAVFFFISMFIFFYNSNNFVVWLIVKLIGNTPLVYLNNITEGCVARIAAKLEYLQSCCSVKDRHGIRIRLFHKLELIALSMIEDAENKGLITPGKRWTTVFGQKSKKLKGGAVGANAAASNRSESQRQSVLVEPTSGNTGIGLASIAAMRGYKLLVSLPFYVSLERRILLRAFGAEVYLTDPAKGIDGVFEKAEELLEKTPNSYMFNQFENPANPKIHYETTGPEIWRDTGGRIDALVAGIGTGGTITGVGKFLKEKNPEIKVYGVEPTESPVLNGGKSGNHRIQGIGAGIIPPVLEVDLLDEVIQVSSEEAIETAKLLALKEGLLMGISSGAAAAAAIKLGKRPENAGKLIVVVFPSSGERYLSSILFESLRHEAEQMTFD >RHN72004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4323303:4326786:-1 gene:gene7671 transcript:rna7671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAVAVVGESFLSAFIEVVLDRLASPEVVDLIRGKKVDVNLVQRLKNTLYAVEAVLNDAEQKQLKDSAVIKWLDDLKDAVYFTDDLLDHISTKAAIGKENKEVSVVNYFSRFFNFEERDMVCELVDIVARLEYILKFKDIFGLQHIATHHHSSWRTPSTSLDAGESNLFGRDQDKMVILKLLLDDDHVDDKTCVTVIPIVGMGGVGKIILAQFVYNNDNIKQNFDVQAWACVSDHFDEFKVTKAIMEAVTRSACNINNIELLHLDLKEKLAGKKFLIVLDDVWTEDYDAWKSLLRPLQYSDKGSKILVTTRIKKVASMLQTFQGYSLEQLSDEDCWSVFENHACLSLKHSIEKMELQKIGKEIIRKCQGLPLAAQSLGGLLRSKRDIKDCNNILTSNIWETERKESSDLLILKLLTVPNLYHSQEKDCLRPT >RHN46871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35448736:35449488:1 gene:gene41406 transcript:rna41406 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGGVDAACCGWGRGAEVGLSHLWFFFFLTLKHCYYVRLFEKCCLCCLMKCTKVTVGDILSVPFSVFLHTFNDFFLHQSHFFFHTFNDLNMGVWISSLCGATRDHLEFIL >RHN71078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56311640:56321296:1 gene:gene19721 transcript:rna19721 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSKRAAPDPVAVLRGHRASVTDICFHPSKPILFSGSADGELRIWDTFRRQTLSSAWLHSAAHGILALASSSSLGTNKFVSQGRDGTVKVWDFDDTGLSRIPSVTIKTNSYHFCKFSLAKNQSTGSKAGEASKDCLETEEVHTNQSCSESSEGLSYVALSGENSSEVEIWDLKSAERFARLPSSIGSNSSSVSSKGMCMALQLCVPSESQGFLNVMAGYSFDLSISLSLTRKYTLKTCCIISERGLVYEDGTMLWWDVRNPGVPVSSVKFHSEPVLSIGIDGSCKGGISGAADERIVMYSLDHSSGTCVVNKEITLERPGISGTSIRPDGKIAATAGWDHRIRIYNYRKGNALAVLKYHHATCNAVNFSSDCKLMASASADTTVALWELYPPRT >RHN78617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16801996:16802564:-1 gene:gene2243 transcript:rna2243 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRQKPNPPEPPSLQQLQDSINTLVAAFTSFRENQDHHHAHYVESINNLQNQLPANLGETIINLQNQIPAHIGESTTTPTR >RHN79644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30861323:30863466:-1 gene:gene3462 transcript:rna3462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Trihelix family MMEANAMFSTITPSGLLGVVDNIPLHQQQQQQKQQNLPNQQQQNPHQLHHSQVVSYAPQHHDTDTNQHHHHSMKHGFPPFSSSKNKQQQQSSQMSDEDEPNFPAEESSGGDPKRKISPWQRMKWTDTMVRLLIMAVYYIGDEAGSEGTDPNKKKSSGLLQKKGKWKSVSRAMMEKGFYVSPQQCEDKFNDLNKRYKRVNDILGKGTACRVVENQGLLDSMDLSPKMKDEVRKLLNSKHLFFREMCAYHNSCGHGGVATSNVQHQVEGGSGTTTPPQNQPQQQHQHQHQQQNQQHCFHSSENGVGSLGVSRGEGLRMLKIGSGYVEEEDDEDEEDESEDFSDEGEDESGEGCSKGHINDQDEEENDGKPSRKRARKGGFSFPRSSSSTQLVNQMNNEISGVFQDGGKSTWEKKQWIRNRIMQLEEQKIGYESQAFQLEKQRLKWARYSSKKEREMERAKLENERRRLENERMVLLIRKKELELMHIQQQQQQQHSST >RHN52472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35668539:35669126:1 gene:gene37156 transcript:rna37156 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLTGTLRIPESFKHQSTRQTISFWFHNKLPSIALFCTTGHKYNTNFSSIFSIFKIFLNGIECALDCPSHPPYFTIEPDHTYLFCLPLQDMVRMDEVLLKSEWNHAVVRYDFSIMPFDLKESRMHIFKQESSMEDIQFTNPYKKQELDDDDDDVLGDDDDDD >RHN68574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36663470:36666515:-1 gene:gene16943 transcript:rna16943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MDIYEPFQQVSMWGDSFKVEGGLNSIASPMLLVNSNTTSMENKSEYIPHEPREHSEAEQEITNNKVLRRLAQNREAAKKSRERKKAYVKQLESSRLKLMQLELEIGRARKQLQGISTGSALDTSFMGSSETINPGIVAFEIEYGNWVDENHRRNEELRNAFQTNASEVQLRLVVQSVLNHYSDLFRMKTDAAKADPLYLISGVWKPSVERLFLWIGGSRPSQLLNLIVPQLEPLSDEQMFSIKKLHLSSQQAEDALSQGLNKLQESLVQDMAFVPLNAANHGIQMAIAIDKFEGLEGFVIQADHLRQQTLIHMSRILSTHQAARGLIALGEYFHRLRTICSLWTSRPFDSMRSPRITP >RHN70844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54422363:54428070:-1 gene:gene19472 transcript:rna19472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MKVTPANANPLRKLHVLTLFSHTCLLRPLTTTTTIPPKLFNYKFKTHATRVTRAALSHYREIETKMEENGAIDEQSKFRSEFLQILRSRRPPQVPLTVQVAKPVVHNSPPSTDEEIMKSCPKKDIPNFNDLLKQENLYLTIEEGDQGRLPVLILSLKESDKQTRRPAVVFLHSTNKYKEYLRPLLEAYASRGYITISVDSRYHGERAKNATTYRDALISAWKTGDTMPFIYDTVWDLIKLADYLTEREDIDPSRIGITGISLGGMHAWFAAAVDTRYAVVAPLIGVQGFRWAIDNDKWQARVDSIKPVFEVARDDLGKSAIDKEVVEKVWDRIAPGLASQFDSPYSIPSIAPRPLLILNGAEDPRCPLAGLEIPRAKASQAHKNFDRVDNFQFIAEPGIEHQITKFMVKESSDWFDKFLKPQLMPGL >RHN41222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28370155:28375312:-1 gene:gene47520 transcript:rna47520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactosylxylosylprotein 3-beta-galactosyltransferase MNKLKLNPFSLFPNRPKLLHIFMLLMLFYLLFTTFEIKTFEFVSVSSVLVNENQNTHHRKALNFPSEEAFGVYQGSLHRKPMQELQKVSTLSFNEGDLNESGLEKDKFSEIQKAVKVAWVKGKKMWEEIQFQSVETVNVAENISDSCRHSISVSGSELRNQNGIMMIPCGLTLWSHVTIVGTPRLAHWEDDPKITIVKDEDEKVLVSQFMMELQGLKVVDKEEPPKILHFNPRLKGDYSGKPVIEQNTCYRMQWGSSLRCEGWKSRADEDTVDGQLKCEKWIRDDDSHSEESKATWWLPRLIGRKHKITFDWPYPFIEGRLFVLTLTAGLEGYHVSVDGKHVTSFPYRTGFSLEDATGLSIKGDIDVHSVYAASLPTSHPSFAPQMHLELLPQWKAPPILDVNVELFIGILSAGNHFAERMAVRKSWMQHKLIKSSHAVARFFVALHARKDINLDIKKEADYFGDIIIVPYMDHYDLVVVKTVAIAEYGIRTVAAKNIMKCDDDTFVRLDSIISEVRKVGIGKSLYIGNMNYHHTPLRHGKWAVTYEEWAEEEYPTYANGPGYIISSDIAQFIVSNFEEHKLKLFKMEDVSMGMWVEQFKSSRPVEIVHSYKFCQFGCIEGYFTAHYQSPRQMTCMWDKLQHKGKPLCCNNR >RHN82381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53319331:53321597:1 gene:gene6544 transcript:rna6544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-glutamyl-gamma-aminobutyrate hydrolase MANSDLSLLLPRVLIVSRRTIRKNKFVDFVGEYHLDLIVKYGAVPIIVPRVSGIHTLLDSFEPIHGVLLCEGEDIDPSHYDDADLCGLTPEELEQIRQLHPSDAAIDKEKDSIELRLAKLCLERNIPYLGICRGSQILNVSCGGTLYQDIEKELFDKCQEHQRVVHMDYGNYDGHRHSIYVMANTPLHDWFKDSFVDDKMQIMVNSYHHQGVKRLAERFVPMAFAPDGLVEGFYNPNNYNPEEGKFIMGLQFHPERMRNQDSDEFDYPGCSMAYQEFAKAVIAYEKKLSSSILIPKSTKLNEELEQKRKLILKSFSVARNIYKSDSHMVASRSSELEPGAEFLQANTALSLQQENRLKQVGATIRNGSSYAQKMKTNQVREKAARTMMSNMSVEQLTDLVSFYGLMHQICSETMDRKMLELELLVEES >RHN38882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2596839:2605711:-1 gene:gene44857 transcript:rna44857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ubiquitin domain-containing protein MQKLMNAYCGQNSLDFNSMAFLSNGHRILPHQTPEELDLEDEDEIDAVLYQQWTERININVKGQDGNVTLVCIDRSTQLKKLVKDYCDQHSVEDPTSITFWFDGNGLQGDHCPDEMHMDDEMDTISYDQSAHIKLNLDLNIKDKDGIEVYFNISRSTPLKKLMDFYGYRHCLDINGVAFLFNGRLVTAEQTPDELQMMDGDEIDVVFFDQIARMKLKVKCQDGNEIFFSINKSTHLKKLMNAYCNHHSVDFNSIGFMFNEHHVQAEQSPNEMQMVDGDEIDAIFYDQSRRINLKVKGQVGFEASFGINRSTRLKKLMDVYCCRYCFDFDGVAFLFNGCLVESEQTPDELGMENGDEMLAMLQLICV >RHN57925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42611821:42615875:1 gene:gene33516 transcript:rna33516 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLQLMIKFYIWVTGFLFFSCVIAVPVLVSLFHENQVVGSYGRNTIEEGNWSTLNFLGFMKFWKDGFMMIFWI >RHN45809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25815748:25817227:-1 gene:gene40218 transcript:rna40218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDKTLKFVYIMILFLSIFYILIVCDSNAFGMTLRPCLTDKDCPRMPPHNIKCRKGHCVPIGKPFK >RHN49063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52167193:52167633:1 gene:gene43850 transcript:rna43850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MIFYTSESLFLSTFFFFWTNSFFCLSSVYIFLLANKFMNTIFYHRLEGKVAIVTGGASGIGAETVKTFVENGAFVVIADINDELGHQVATSIGLDKVSYHHCDVSDEKQVEETVAFALEKYGTLDIMFSNAGIGGATAMSISILMM >RHN57359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38362929:38363355:1 gene:gene32888 transcript:rna32888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MNISYNNLTGTIPNLPMIFSEDCELILESNQFNGSIPVFFRSATLLQLSKNKFLETHLFLCANTTVDRLFILDLSKNQLSRQLPDCWSHLKALKFLDLSDNTLSGEVPSSMGSLHKLKVLILRNNSLTG >RHN44476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5159237:5165106:-1 gene:gene38599 transcript:rna38599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MFMVESVVSFTIERIADLLIEEASLSSGVSDQIKKLEIELKRMQCFLRDAERKQDEGGETIKNWISEIRKLAYDAEDVIETYAIKVSFSGAMTPFYKLRHDHKVGNKIISINSQIADLTRSLQAYGLTATTRDNEESHFVIETRRQLRWSYSHVVEEVTVGLDKDIKKVVKWLLNQDQHCQMVYVCGMGGLGKTTLAKNVYHYSSIRRHFEGFAWAYISQKCNRREVWEGILLQLTASSSKEERDEIRNMRDEELAKKLYKVQQEKMCLIVLDDIWSNETWDILSPAFPSKNAKSKMVFTSRNKGVSSHVDSKGLVHEPGFLNAEDSWSLFQKKAFSTSDDPEFKICNEFERLGRDMVAKCAGLPLAIIVLGGLLATKETINEWEMIHKHITSYLIKGDVPERQSRLAEVLDLSYHNMPYQLKPCFLYLSQFPEDFEIPKNKLIQLWMAEGFVSSQYEIERDERLEDVAERYLGSLISRCMVQVGQMGSTGKIKTCRLHDLMRDMCLSKARKEHFLSVITRSQKDSNSINDVSSSSSNLSLDSRKTGGVRRIALFLDKHVDKLVPPNEQVSQHLRSLVYFNDKKCRVESWKLLKTVFENFKLLRVLDLEGVKGSKGQLLPKEVGNLFWLKFLSLKRTCIQILPSSLGKLENLQSLNLQTINKVSWDSTVEIPNIIWKLKRLRHLYLPNWCGNVPGILQLENLINLQTLVNFPASKCDVSDLLKLKKLRKLVLNDPRCFQNFSESFTLCNQKLECLESLSLKTDLLSFPDQVVDVEKLVLGCPSLHKLHVEGRMERLPEAQLFPPQLSKLTLWGCKLVEDPMVTLEKLPNLKYLSGWEMYVGKKMVCSVNGFPKLEVLAIRGFSNLEEWVVENEAMPHLCRLSISDCNKLKSVPDGLTIVAGLRELEIRWMPKSFKIRLGIDGEDYHKVQHVPSIVFFN >RHN74394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32625095:32625802:-1 gene:gene10451 transcript:rna10451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MERYHFFNSSCQDFGLQRKSLVALHIDENETDGALAKILEVLRQINYKFFDELQGDLVDRDVRQVLSSFQGEVLRGCVIIFSLNFRGDLRKLRRIAERLGATCLKKHDPTVTHVVATDFVTKESRWAVKEKKFLVNRRWLEAANFFLQKQPEENFLCQNTLVSGN >RHN51461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18044458:18047320:-1 gene:gene35896 transcript:rna35896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MGGSRYFVLFIDDYSRFTWIYLMKNRHELPQIYIKFAKMIQTQFSKIIKVFRRDNAMEYRDSKLLDFLGEQGTLSEFSCPYTSQQNGRAERKHRHILDSVRAMLISASCPERAWGEASLTAVHIINRLPSSIFGNISPFERLYHTTPDYNLLKVFGCACFVLLQPHEHTKLEPRARLCCFLGYGIEHKGYRCWDPISQRIRTSRHVVFWEHKMFSSLSNFVSIPSTSTPLFTNPDVDYFNSDTYAGSSSELSSPSDVPSTSNDDVPIVDPAPSTTEIPGRVRNPPSYLRDYHCYSTMLHLHEPQSYKEASTDPHWQQAMTEELQALEKTHTWDLVDPPSNKPLVGCKWVYKIKTHSDGSIERYKARLVAKGFTQEYGIDYEETSAPVARITSVRTLLAIAASRQWSLTQMDVKNAFLNGELEEEVYMRPPPGYTCQESKVCRLRKALYGLKQAPRAWFAKFHSTVSQLGFSSSPHDSALFTRKTENGTVVLLLYVDDMIITGNDSVGIVELKQFLFQHFEMKDLGPLSLTDCKIEHTPLEPNVRFSPQDGTLLDDATLYRQLVGSLIYLPVTRPDISYAVHLVSQFMNAPQSTHYAAVLRIIRYIKGTMFHGLQYSVTSPLILRAYSDADWAGDPSDRRSTTGFCIFLGDSLISWRSKKQTLTARSSTEAEYRALADTTSEILWLRWLLADLETSQSSPIDLYCDNRSAIQIAHNDVFHERTKHIEIDCHFIRQHLLRHELQLVSIGTLDQPADLFTKPHSPSHFRILVSKLKLVTIPPT >RHN65173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64702022:64707419:1 gene:gene28081 transcript:rna28081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase small subunit 1 MTIEVVYNANLEITKKPVPDFSFYDRSSPIYTQPRCLPPSKMLDADITDKVIGEGCVIKNCKIFHSVVGLRSCISEGAIIETLC >RHN72714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10120014:10122541:1 gene:gene8463 transcript:rna8463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium binding protein MLRCLDGLKNLCVGVVNWCYSKVSGSKPPPSGLENPEEISRITVFSVSEVEALYELFKKISSGVVDDGLITKEEFQLALFKTSNKRSLFAERVFDMFDTNSHGVLDFKEFASALSIFHPIAPIDDKIDFLFRLYDLKQQGYIDRERLKQMVVATLSEFGMRLTEEMLNSIMDKTFEEVDTNHDGKIDREEWKNLAMQQTSLLKNMTLPYLT >RHN47718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41934074:41934896:1 gene:gene42349 transcript:rna42349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MAMRDITLPILSFHLECWNYYDCRDISEDIYKFVNIAIQRGVENLNIDFSSSHSLFSRMALPSFVFSSKTLSILKLKQITLNEVTCVNLPSLKALYLDVVTFTYYEYILKLLSGCPILQDLGANDLRVELPYSEGRVISLSNLIRANICDIHIEFDWLQNVERLRATVLMEKLPYTFERIAMFHNLTYLELIINYQHFPRAWMFNGMISCLNIVPNFKVLSLMRFVMLTIVFFNLNKKYLFRYIE >RHN49689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:322218:325754:-1 gene:gene33894 transcript:rna33894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate 1-epimerase MPLNVFQDKDGLPRIVLTDPNGSSAEVLLYGGHIVSWKNQRKEELLFMSSKAKWKQHKAIRGGISACFARFGDVGSLEQHGMTRNRMWSLDRDPSPLPPIDNHSSVDLILKSTGVDLKTPQSFELRLRISLSTGKLILIPRVRNTDNKPFSFAFSLCNYLSVSDISEVRIEGLETLDYVDNLMNRSRFTEQADAITFDGETDRVYLHSPNKIAIIDHEKKRTFVLQKNSLPDAVVWNPWNKKAKALPDLGVDDYKMMLCVNSAAIDTPIILKPCEEWKGYQEISTVSSSYCSGQLDPRRVLYGFQ >RHN41184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27955297:27955593:-1 gene:gene47476 transcript:rna47476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLMEIFTRKKPTDDMFVAELNLKTWINGSLPNSIMEVLDSNLVQQIGEQIDDILTHISSIFGLALSCCEDLPKARINMADVIKSLIKIKTLVLRANRV >RHN50849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11114803:11124999:-1 gene:gene35188 transcript:rna35188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine C-palmitoyltransferase MIAIPYLTALTTYFSYGLLFAFGQFRDFFRKIFDWCSSNNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPISSAPDAWFDVVERYSNDNNKTLKRTSKVSRCLNLGSYNYLGFAAADEYCTPRVIDTLKKYSPSTCSTRVDGGTTALHNELEECVASFVKKPAALVFGMGYVTNSAILPVLMGKGSLIISDSLNHNSIVNGARGSGATIRVFQHNVPSHLEEVLREQIAEGQPRTHRPWKKIMVIVEGIYSMEGELCKLPEVIAICKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDTADIDIMMGTFTKSFGSCGGYIAGSKELIEYLKYTCPAHLYATSISPPAAQQIISSIKVILGEDGSSRGAQKLAKIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQNVAVVTVAFPATPLLLARARICISASHSREDLTKALQVISSVGDLIGIKYFPAEPTKQQQQDGKTVKFE >RHN47415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39571415:39576343:1 gene:gene42010 transcript:rna42010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative omega-hydroxypalmitate O-feruloyl transferase METTSQETTPLLQDMKVTIHKTSMIFPSKQIEKKSLFLSNIDRVLNFHVKTVHFFEANKDFPPQIVTEKLKKALEDALVAYDFLAGRLKMNTETNRLEIDCNAEGVGFVVASSEYKLNQIGDLAYPNQAFAQFVHNAKDFLKIGDLPLCVVQVTSFKCGGFAFGITRSHAAIDGHSLKIFLDNLAALAANMPLIMTPFNDRQLLAARSPPHVDFPHPEMIKLDNLLPLGNESGVFEASSEELDFKVFQLTSKNINKLKEKAKINSIARVTSFNVITTHLWRCKALSGPYDPNRSSTILYAVDIRSRLNPPLPREYAGNAVLTALVEMVWEGTKRMGDEYARSIIDWGELYNGFPNGELLVSSWWRLGFEEVEYPWGKPKYCCPVVYHKKDIILLFPSFHGVEGGDDGVNIIVALPPPFGSRVLEGRGGKGRGDF >RHN51245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15340004:15341392:-1 gene:gene35652 transcript:rna35652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MALRKRTLLKVLVLGDSRYVHKKFEKEYKGTIGADFFTKELKIGDQPVTLQIWDTAGRERFQSRGVSFYRGSDCCVLIYDINHMKSFDALKNWHAEFVEKA >RHN81415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45732796:45736938:-1 gene:gene5454 transcript:rna5454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CWC16 protein MSSLAAARADNFYFPPEWDPSQGSLNKFHGQHALRERARKLDQGILIIRFEMPYNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKAACCRQEITIQTDPKNCEYVIIGGAQKKTEDFDVEDAETFELPADEERGKLADPFYRLEHQEEDLKKKKQAEPVLVRLQRMSDARHSDDYALNKSLRAKLRSQKKRVAEEEAASRKRGLGIRLLPASDQDAAAAAKVKFSSKFDRNRKDKRALINAAPIFPGLSVSSMSGKRRLELESKRRKISASTASSLLAGGYKPSSWSQGAVLPGRQKGASVNARH >RHN48785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50216484:50218963:-1 gene:gene43538 transcript:rna43538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MDIESTNQVNKSGIFSRLGGFFKNLPMNFKSKVMNAKDSVKKIGKDDPRRVIHSLKVGFALTVVSLFYYSRPLYNGFGVAGMWAVLTVVVVFEFTVGATLSKSLNRGCATLLAGALGVGGHHLATAVGKRGEAIVLGTLVFILAAGATFLRFVPKIKARYDYGMVIFILTFCLVTVSGYRVEELLELAHQRLTTIIIGASTCMVISIFVCPVWAGEDLHKLVASNIEKLANYLEGFEGEYFHSLEDKEKSKSLLQGYKSVLNSKASEESLANFARWEPGHGGFSLRHPWKEYLKIGVLARECGYKIETLNTYLNPEIQASLEFKCKIQEPCTKMSSESNKALKVISSSMKTMTHPTTAKSHIENSKIAIEELKVALEAISLEDVELLAIIPVATVAAILEEITISVEKIYESVSELSNLAHFKSVESNVSPEKPHLLHRGIIKPVVEVDNASHVEITIQDLCTNPPEKTRKGTAEILQT >RHN66826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19663304:19665460:-1 gene:gene14924 transcript:rna14924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MVLKLLLILIFLVIHFFTLEAISDFAVRDLTRIQTLHRRVIEKKNQNSISRIQKTKEQSNKSYKPPAVAAPPEYLTGNYSTQLMATLESGVSFGSGEYFIDVFIGTPPKHFSLILDTGSDLNWIQCVPCYACFDQNGPYYDPKDSTSFKNISCHDSRCQLVSSPDPPQPCKAEENQTCPYFYWYGDSSNTTGDFALETFRVNLTKPNGKSVFKIVENVMFGCGHWNKGLFRGASGLLGLGRGPLSFASQLQNLYGHSFSYCLVDRNSNSSVSSKLLFGENKKLLSNPNLNFTSFVGGLENTFYYVEIKSIIVGGEVLKIPKETWNLNEDGSGGTIIDSGTTLTYFAGPAYEIIQEAFMEKIKGYSIVESFAPLKLCYNVSGIEEMELPEFGILFSDGAVWDFPVENYFIQIESDDVVCLAILGTPKSALSIIGNYQQQNFQILYDGKKSRLGYAPMKCDEV >RHN60727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29940653:29944950:1 gene:gene23083 transcript:rna23083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MKESEKGYLDPQLWHACAGGMVQIPQVNSKVFYFPQGHAEHANTNIDLRVSVSPLILCKVVGVKFMADPETDEVFAVMKLLPLRNSELGYDEGGGGGDGLENSEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYAAEPPVQTVVAKDVHGELWKFRHIYRGTPRRHLLTTGWSSFVNQKKLVAGDSVVFLRAENGELCVGIRRAKKGIGGGFDGSSCGWTSGSGSNNVNCGIGPFGAFSFFLKEENKTLRNGCFDGNLSGRVRVRAEDVMEAVKLAANNQPFEVVYYPRASTPEFCVKASAVKAAMRIQWCSGMRFKMPFETEDSSRISWFMGTIASVQVVDPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNVPVIHFSPFTPPRKKLRFPPNLDFPLDVQFLSPTFSGNQLGPNSPFFGLSDNAPACIQGARHAQFGGISLSDLNLNNNKLQLGLFPTNIQHLDVHSRISNSNNMMNNNDKTKESLSCLLSIGKKYDKSLEKSQSDDNVVKKHQFCLFGQPILTEQQISRNCSEKNSVDDSKDKEKWFLDAFSAGKGSNAAEFSWQLGLDIGHCKVFLESEDVGRTLDLSLLGSYEELYNKLANMFDLEKSEMLNHVFYRDATGAVKLIGEEPFSEFMKTAKRLTILTDSGSKNVRGAWITGTHKGEHGLDASNKTGPLSIFA >RHN46224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29799682:29800758:1 gene:gene40678 transcript:rna40678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative splicing factor 3A subunit 1 MQISLYNPKYKEQKERMFSKIRETTLAQDDEISKNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKNDEQPKQVIWDGNSDSIGRTANQAMSQNIESDDQSDAYNNEFKNLPGPAAPPPRPGIPSVRPLPPPPGLALNVPCGPMHNMQYSNPNSIGLPLPPPRPGMHMMQSLRPPPMHPSFPRNNQGIPIPPPPGSQFTPVPVSRPYVPHLHPPSAMMPMMHPPPPPQGVSPPPPPEEAPPSHPEEPKPKRQKHDDSALIPEDKFLTQHQGPSRISISVPNVDEGNLKGKVLEITVQSLSETVGSLKEKIAGENQLPANKQKLSGKPGFLKDNMSLAYYNVSGGEILSLALRERGGRKR >RHN82649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55440882:55444364:1 gene:gene6826 transcript:rna6826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MSSFSGAIQRPLMAAAAVAAASFSTDTIPFIGSSRDCSTSNLEHYPTSNSLQESHSFLASHISDSKLANLSFVTKIRVPVPNVKFLVPVLGSNLYYSSVVSSPLVRNLYYSAELTRFSMPSSSSSTCSNGVSNSTSEVMYKWHLPEPNVFCGSSMKSLKTVVVLLGWLGAKQNHLKKYAEWYTSKGFHVITFTFPMADVLSYQPGGKAEQNVHMLVDHLGDWLEEENEKNLVFHTFSNTGWLTYGVMLEHFQRQDPSLMEKIRGCIVDSAPVANPDPQVWASGFSAAFLKKNSVATKGRVSSNESGIKVSIGSNQDSGLKPAPTEAALLLILNKFFEVVLNLPAVNRRLSDVLSMLSTKQPGCPQLYMYSSADRVIPADSVESFVNAQRKAGHDVRACNFVSSPHVDHFRNDPILYTSQLSQFLEECVIDQCKSH >RHN73919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21604575:21607658:1 gene:gene9818 transcript:rna9818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKKLHHHLPVKQTHSFISTDSEILHHLKTGSLSHAIHLLNTSQPTLSLKPVIYASLLQTSVKTNSFHHGASVHAHVLKSGLHSDRFVGNSLLTLYFKLNPGPHLSHARHLFDSLHVKDVISWTSLISGYTRSDLPHQSISLFYEMLAFPVQPNAFTLSSVIKACSALNDVNLGRCFHSMVLTRGFDWNTVVSCSLIDMYGWNRAVDDARRVFDELFVKDDVFCWTSIISCFTRNDMFKESLKFFYVMNRVRGVVPDGYTFGTILTACANLGLLRQGKEVHGKVVGLGFGGNVVVESSLLDMYGKCGCVRHSRIVFERLSDEKNNVSWTAMLGVYCQNKEYQNVLDLVRERGDLNFYAFGIVLRACSGLAAVNHGKEVHCMYVRKGGSKDVIIESALVDLYAKCGMVDFACTMFASMEVRNLITWNSMVSGFAQNGRGVEALALFEDMIKEGIKPDSITFVAVLFACSHAGLVDEGRKVFTLMGEYGIKPVVEHYNCMIDLLGRAGFIDEAECLLENADCRYDKSLWAALLGACTKCSDYRTAERVARKMIELEPDFHLSYVLLNNIYREVGRWDDALEIRKLMEDRGVKKMAGKSWIDSQNRKGSHVNVCME >RHN64668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60870512:60874173:-1 gene:gene27508 transcript:rna27508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MMYEQQQRLQQTPVRNKYNNSIEEEERLEIVDLSGMSLESLPNPSLNLATICKLDLSNNNLQNIPESLTARLLNMVVLDVHSNQLRSLPNSIGCLSRLKLLNVSGNLIHTLPKTIENCRALEDLNLNFNKLSQLPDTIGYELINLKKLSVNSNKLIFLPRSTSHLTSLKVLDARLNCLRSLPEDLENLINLEILNVSQNFQYLTSIPYSVGLLLSLTELDVSYNKIRSLPDSIGCLNKLQKLSVEGNPLTSPPPEVVERGLHIVKEYLCNKMNAGHQSPTKKKSWVGRLVKYGTFNVRSGAREEHEAFILPEYNRSIDGLASPRHMGMFSPRRLFSPRNYFSN >RHN45421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20560577:20561200:-1 gene:gene39756 transcript:rna39756 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNLKQKLADEVNNTPDEPIPSKQYCGELKSKAKKLSALLRQAAPASSELYMQRPMWPILEQTEHILNETLSLILKFHPNHIKKLIFTIISIDAFRKTSTQLENSINNVHWLLHISRSDEKLDNENFILPSIAFNEPILALIWELIASLCTSSQEDRSDAAACLVSLALQSERYGKMLQEQLVSSKSSIPSLYLSPSASMLCELRS >RHN58987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6415343:6417953:1 gene:gene20965 transcript:rna20965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SOUL heme-binding protein MKTITFNSVIRPMKIEYSKRGKVLVLLLKYSCQIWIYIIYVMHLPRGIQISYPLLYKGLKATSTTHKNLLLPTSFNSHFTLSHSRSIMGMVFGKIGVETPKYEVTKTTQDYEIRIYAPSVAAEVTYDPSQFKGNKDGGFMVLANYIGALGNPQNTKPEKIAMTAPVITKGSAEKIAMTAPVVTKSSEEGERNKMVTMQFILPSSYEKAEEAPKPTDERVVIREEGERKYGVVKFSGVASDEVVKEKVEKLRLSLERDGFKVIGDFLLGRYNPPWTLPMFRTNEVMIPIE >RHN64889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62698851:62700993:-1 gene:gene27761 transcript:rna27761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endonuclease/exonuclease/phosphatase MSVSLTVMTLNLHDDEPQDSPNSWDKRRDICISVITSYSPIILCTQQGVKTQLDFLQQGLPGYDQFGVSRKGPQDTTDEHCTIFYDKEKVELLEGGTFWLSESPSVPGSISWGSEVPCIATWAISLL >RHN42871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41571348:41571722:-1 gene:gene49369 transcript:rna49369 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLIINYKYGSYNRTLQTITIFQTEHLRVEKWHTKTGSTTKAYPYPHHQKRRVAINEEPIAEAHQSYYIKIHGETETETGYQNGINYEAEAFIQHEHKRMELAELMSSRKATCVIVVLMSVTH >RHN68650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37456435:37461203:-1 gene:gene17028 transcript:rna17028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cycloeucalenol cycloisomerase MGASPTPSLWFAPNLSKRWGELFFLLYTPFWLSLSLGIVVPYKLYENFTELEYLLLGLISAVPAFLIPMLFVGKADKGISWQDRYWVKASLWIIIFSYVGNYFWTHYFFTVLGASYTFPSWKMNNVPHTTFLLTHVCFLFYHVASNMTLRRLRHFLADTPEKIRWAAEAAWILALAYFIAYLETLAISNFPYYEFVDRESMYKVGSLFYAIYFIVSFPMFLRIDEKPGDKWDLPRVAVDALGAAMLVTIILDLWRIFLGPIVPVSEAKQCSPTGLPWFSTHANLT >RHN51364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16715695:16719024:-1 gene:gene35790 transcript:rna35790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ionotropic glutamate receptor, metazoa MITIHYMAKSFSCYSWFTLFLLFVVETQTAVTSSGSNETSIGVIKLRVGVPKKEGFDQFVRIVWDSHENKYNVSGYCIDVFNAVVNNLTFKVSVQMEPHVINGSESVGSYYNSILKQIPTKYDVAVGDITIMARRAQFVDFTLPYTEMGVRMLVPMVHGRHQTMWIFVKPFSWDLWLSTLIISMLIGVVLLIMERNVHTLPHQDQSSSYRQQLTAVTILWFPISQAVLPERQVVAKNCSRFVLMVWLLLAFVLMQSYTANLTSILTVDQIQPRFLTLNDLKKWGYYVGYQTGSFVYDILVEQLKFDPSKLRGYKNIYSYHDALKLGSQGGGVIAIFDEVPYLNAYLQTFGSNYIIAGPTFRSEGFGFAFPYKSNLTEHFSRAILNVTENYDIMHKIEEKYFGRIEDVQKQSTQVSSASPSLTFHSFAGLFMITGISISLALIVSETLIWQKCILKIKEYTNRYLLRSTPSTETHVLPNDLQATQETN >RHN61084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32846945:32850818:1 gene:gene23506 transcript:rna23506 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIRYAAEKNRAEKRKARHKQLSWFLPQIGSSPVPLALPRRFH >RHN52475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35707513:35708021:1 gene:gene37159 transcript:rna37159 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNQDLHEAGGKEFYLPGFARIPEWFDHRNMGHKFSFWFRNKLPSFAICFSTKSVATAAWNDINILPTLIINGNKFRRNRHGRAYIMFRK >RHN45457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20954884:20956191:1 gene:gene39800 transcript:rna39800 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDLTEEEYQFELKNFQDMVEAVFKKIQEKNGNEEEKNYQEC >RHN45533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22512034:22513720:1 gene:gene39895 transcript:rna39895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MAKTSHIAVISSPGFSHIAPIVEFSKRLVTNHPNFHVTCIIPSLGSLQDSSKSYLETVPPNINLVFLPPINKQDLPQGVYPGILIQLTVTRSLPSIHQALKSINSKAPLVAIIADNFAWEALDFAKEFNSLSYVYFPCSAFVLSFYLHWPKLDEEVSCKYKDLQEPIKLQGCVPINGIDLPTVTKDRSGQAYKMYLQRAKDMCFVDGILFNSFFALESSAIKALEQNGDGKIGFFPVGPITQIGSSNNDVVGDELECLKWLKNQPQNSVLYVSFGSVGTLSQRQINELAFGLELSSQRFIWVVRQPSDSVSVVYLKDANEDPLKFLPKGFLERTKEKGFILPSWAPQVEILKQNSVGGFLSHCGWNSTLESIQEGVPIVAWPLFAEQAMNAVMLCDGLKVALRLKFEDDDIVEKEKIAKMIKSVMEGEEGMAMRDRMKSLREAAAMALNAKDGSSIQTISHLATQLEKIGGI >RHN57440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39187120:39189019:1 gene:gene32985 transcript:rna32985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MAETAEKKPHIVMTPFMAHGHLIPFLALARKIQETTTTFKITIATTPLNIQHLKSAISNTFSSSNNDISINLAELPFNHSQYGLPPNVENTEKLPLTDIIKLFHASTSLEAPLSSLISKITQQEGQPPICIISDVFLGWATNVAKSLGTRNISFTTCGAYGTLAYISIWCNLPHRKTDSDEFWVPGFPQNYRFHISQMHRYLRAADGTDDWSKFFPPQIALSMKSDGWICNTVEEIENLGLQLLKNYLQLPVWCIGPLLPSTTLKGSNSKYRAGKESGIALEECMEWLDLKDENSVLYISFGSQNTVSASQMMALAEGLEESEKLFIWVIRPPCGFDINAEFKAEWLPEGFEERMKHSKRGLLVHKWGPQLEILSHKSTGAFLSHCGWNSVLESLSQGVPIIGWPLAAEQAYNAKMLVEEMGVSVELTRTVESVISKEDVKWVIEIVMDQEGKGKEMKEKANEIAVHMREATVEKGKEKGSSLRAMDDFVRTILQV >RHN76762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1063439:1067089:1 gene:gene135 transcript:rna135 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GLB3-2 MQSLQQKASEWSGVSTDEAFSIDETNLFQKLGLQTFINLSTNFYNRVYDDEEEEWFRSIFANSKKEEAIQNQYEFFVQRMGGPPLFSQRRGHPALIGRHQPFPVTHQAAERWLHHMQLALDTTPDIDDDSKIKMMNFFRHTAYFLVAGDELKNPNQQIPCKHAAGKDNS >RHN65990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7312675:7313870:-1 gene:gene13899 transcript:rna13899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKRERHNHNQEKNEDRLSVLPECILLHILSFLDPKEAVQTCILSKSWKNLWKYLPILKLTFRHFKKNPKGFTKFVSQILSLRNDSTALHTLDFHCRGRLELHILERILKYAFSHNVQRLGVDLSCNIPQFPLSFFSCHTLTSLDLRIHFFTLTNLFPDSLDLPALTNLSLQGFLFCVGDDGRANPFSTLNKLNSLIINRCAVRDKQNLYISSATLANLKLINDFSSSRIKFQLSTPSLCTFAYRGHVGFQKLFTSNSNLSSIKHVNLDVSIGFEMQSNSADNPLLLLDLLVELANVESLTISSFTLEVLSLVHDLSKVEFNFLHNLKSLKVNTYQQSIPDGILNFLLQNAPSTKVGIH >RHN77509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7317940:7318321:-1 gene:gene973 transcript:rna973 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLGESSSDRDRFHFDTSEKGFPTELLVLELASLFETFPRNSISSWRSKTSEPPSR >RHN39663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9412659:9415248:1 gene:gene45709 transcript:rna45709 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP78A29 MSTNIDNLWIFALASKCTQENIAYSLLIMALLWITMTFFYWSHPGGPAWGKYYYSSNYSTTKTNNKNNLNSSTKPSTTTSSSIFIPGPKGYPLFGSMNLMSSSLAHHRIASTAKTCKATRLMAFSLGDTRAMVTCNPDVAKEILHSSVFADRPIKESAYSLMFNRAIGFAPYGVYWRTLRKISTNHLFSPMQIKSSGPQRSEIATQMIDLFRNRHLHGGFCVRDVLKKASLNNMMCSVFGQRFKIDEVNERMMELSGLVEQGYDLLGGLNWGDHLPFLKDFDVQKIRFSCSELVPKVNRFVGSIISDHRADKNQTNKDFVHVLLSLQEPDKLSDSDMIAVLWEMIFRGTDTVAVLIEWILARLVIHPDVQKKVQTELDEVASGESCAITEEDVAAMVYLPAVIKEVLRLHPPGPLLSWARLAITDTTIDGYHVPAGTTAMVNMWAISRDPDVWRNPLEFNPERFVSEGAEFSVLGSDLRLAPFGSGRRSCPGKNLGLATVTFWVAKLLHEFEWLPLDEVNGVDLTEVLRLSCEMANPLTVQVRPRR >RHN62058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40374082:40377887:-1 gene:gene24588 transcript:rna24588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate kinase MSTIVAEKKPKTKIVCTLGPASRSVPMVEKLLRAGMNVARFNFSHGSHDYHQETLDNLRAAMENTGILCAVMLDTKGPEIRTGFLKDAKPIQLKQGNEITISTDYSLKGDENTISMSYKKLAHDVKPGSVILCADGTISFTVLSCDKELGLVRVRCENSAVLGERKNVNLPGVVVDLPTLTEKDKEDIMAWGVPNKIDMIALSFVRKGSDLVQVRKLLGHHAKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICVEAESTIDYGDVFKRIMEHSPVPMSPLESLASSAVRTANSARAALILVLTRGGTTAKLVAKYRPGTPILSVVVPELTTDTFDWSCSDESPARHSLIFRGLIPILSAASARASHAETTEDAIEFALQCAKGKGLCVNGDSVVVLHRVGTASIIKILTVK >RHN63328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50419463:50419852:-1 gene:gene26015 transcript:rna26015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Hemopexin-like domain-containing protein MFPFFKGTVFENGIDAAFRSTTDKEAYLFKGDKYARIDYGTNSLVQSIENISDGFTCFHGTVFENGLDAAFSSHKINEAYKMNTTHVSYLFQAQQTCMMKNNMEVSRMVKNNMEDSRILGNNKEATRYY >RHN60508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27445725:27446808:1 gene:gene22829 transcript:rna22829 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRTLHFYHNPTLIFVHIYFFTFTRFLLFCFVVLMKDKWIVVKDGKEQLELVIVDYKVVIPTTYKALYDQILNENNTCTLNDYQIGANDLLFKAYDHKYRLKWTSGTTSINVNVHDILNPLLKFKPFSEIIYEKWRVDLLVHVIGVVQDMSYCQLHEGIGKKLQVNFTLRDLSVITLNCTP >RHN60783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30376403:30379092:1 gene:gene23157 transcript:rna23157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRMEHMTKIQFCVYVLIIFLSLFLVVTCGKVYECYNYIDCPVGCRACYMRCIDGQCIPFIKKLI >RHN62198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41616515:41619432:1 gene:gene24749 transcript:rna24749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (+)-abscisic acid 8'-hydroxylase MDIIAILFYTFLFLLITLLLYPFIMKHNKHRATSKPKVPPGSMGWPYIGETLQLYSQHPNTFFASKQKRYGEIFKTRILGCQCVMLASPEAARFVLVTHSHLFKPTYPKSKEKLIGSSALFFHQGDYHTRIRKLVQTSLSPESIKKLIPYIETQVISSLDSWVSTGQVINAFHELKKFSFNIGILSVFGNLEGNYREQLKENYNIVEKGYNSFPNRIPGTAYSKALLARQRIREIISEIICKKKEQRLIEKNLLGNFLNYKDENGQTLTDEEIADNVIGVLFAAQDTTASVLTWILKYLHDHQKLLEAIKTEQMSIYEANEGGKMPLSWGQTRNMPITHRVILESLRMASIISFTFREAVVDVVYKGYLIPKGWKVMPLFRNIHHNPDFYPAPHNFDPSRFEVTPKPNTFMPFGNGVHSCPGNELAKLNMLILIHHLVTKFRWEVAGYNQSEVQYSPFPVPMHGLPTRFWRDE >RHN70544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52184630:52190708:-1 gene:gene19133 transcript:rna19133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MKTIISRLIHSSSSSISRLNAMAVSINGRSFCTDSNKIDEPFKVEEAETVPPPPTEKLLVLGGNGFVGSHVCREALNHGLSVASLSRSGKSSLHDSWATNVIWYKGNLLSTESLKEALNGVTAVISCVGGFGSNSSMYKINGTANINAIRAASEQGVKRFIYISAADFGVVNYLLQGYYEGKRAAETELLTKFPYGGIILRPGFIYGTRSVGSMKIPLGIVGSPLEMVLQHTTALTQIPLVGPLLTPPVNVTAVAKVAVRAATDPVFPPGIIDVHGIQRYSQNKSK >RHN76895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2198637:2199959:-1 gene:gene283 transcript:rna283 gene_biotype:protein_coding transcript_biotype:protein_coding MITKNKINMFCLWPPKGEKLNFIQISCIVDSLVFKNLLLRSFRITQKHTNQIKFRTGDLLSSHPFYHVA >RHN64309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58059981:58061587:1 gene:gene27113 transcript:rna27113 gene_biotype:protein_coding transcript_biotype:protein_coding MELCCFTRGIFLLTWPLLDPFSLENLFLVLFSLILLVFIIDAMVGYCLC >RHN57167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37052606:37053082:1 gene:gene32671 transcript:rna32671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S49, ClpP/crotonase-like domain-containing protein MISFRKYSKGRKWTVAISEAKEQIAIIRVSGNMVSDIVTSNFIEKIGMVSKKLKAVIVQIDSVMVNRGKVSQNFNLDNDDVKVLDNVVHLRLMTLGKLDKMKKVAHGRIWTGKDAASHGLVDAIGGISRAIAIAKLKANIPQNRQVNVCYCYCYIFIS >RHN63959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55391961:55405057:-1 gene:gene26724 transcript:rna26724 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFLSTANPFHLASSSQSSLVTPTYAYNPIKCNLKRQLTPCKVVNQPSLSVTKRDISIGFMTSLVLSLSGKGLFDANAAILEADDDVELLEKVKKDRKKRLEKQGVISSSTKETGYLQDLVYKLSEVGQAIEQNDLTSAGSVLGKGKDADWVQKANIALNKLSSSPEEKSEVDTFNSSLASLVSSVAQNDVESSKIAFVSSASAIEKWTSLTGLVGKLKGI >RHN39616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8707649:8708190:1 gene:gene45656 transcript:rna45656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MKSLLMYYLKNKIPGNDSIVNNVIAEVDVCKYEPWDLPARSVIKSDDQEWFFLCPIEYKYAKSKRFKRTTNNGFWKATGNDRSIKIRGTNKIIGTKKTLVYHEDPVPGVKTNWIIHEYHDAIFDDHQVCYVYIRFYHDANFEYHLSDLSIFFFFC >RHN41057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26467480:26467731:1 gene:gene47333 transcript:rna47333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1 component, dimerization MLRLGTLSSSRLTWLYASELLLAANDLDIKSLYELTCQNVVESIKDKTVEEVRQIFNIGEYDFTPEEEAAVRKELSWSSRSFE >RHN45747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25209445:25215911:-1 gene:gene40137 transcript:rna40137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative quinol--cytochrome-c reductase MTINKLLTLVRRSTRRTPILTSIRSATLSTSSAAPVTRSPPSPPPSPPPPNVMIYDRLAESVKQKLQRLENPDPRFLKFGSPKPEIRDHTRILAAPETRITTLPNGLRVATESSLSAMTATVGVWIDAGSRFETEESNGTAHFLEHMIFKGTERRNARDLEEEIENMGGHLNAYTSREQTTYYAKVSQGDVPVALDILADILQNSKFNENRISRERDVILREMEEVEGQTEEVIFDHLHATAFQYTPLGRTILGPAQNIKTITKAHLQDYIQTHYTAPRMVIAASGAVKHEDFVEQVKKLFTKLSTNPTTATQLVEKEPAIFTGSEVRMLDDDIPLAQFAVAFEGASWKDPDSIALMVMQAMLGSWNKTAGGGKHMGSELAQRVGINEVAESMMAFNTNYKDTGLFGIYAVAKPDCLDDLSYSIMYETTKLAYQVSEDDVTRARNQLKSSLLLHIDGTSPVAEDIGRQLLTYGRRIPFAELFARIDAVDASTIKRVANRFIYDKDVAIAAVGPIQRLPDYNWFRRRTYWNRY >RHN81579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47241277:47244780:-1 gene:gene5648 transcript:rna5648 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSNDEAKQNIFNELETITDEFDHPFTPDQIETIAKFICVDYDDRRLRIQGDIVSKYFDKTPQFLAFGYLDQMLEKLSQHTPLDMVEKHVEIFSFLIQELKGYFVGAVWLHKLESLVRENETYVRELEETQKQLKEIFNERLESIREEREQLLGDLQVRKVQLYCSEENISDQAFAEYLQNSEMIKGVFEKEMRKVQDTIEEIMSDVKPALTFKAKSKLLSLEFDIFSPTIIREKYTRSSSAYDKTGWLEGTSSGSQARFTL >RHN82432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53742905:53745945:-1 gene:gene6599 transcript:rna6599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MTCSSKNVANAVGGKTARACDSCITKRARWYCAADDAFLCQACDSSVHSANSLARRHERVRLKTASYKSINGDEFFNCGPFSGFTKKARTPRQGKHKSKSSSSSEPARNNNNIPFHLVPELGFDEVNSNSIEENEAQLLYRVPIFDPSIADLCTSPPSVCSTEGGLGVVVVASAFAPDVKNNESESRVQLGSDNNYEMESFHGLLPSDIELAEFAADVESLLGRGLENECIGMEELGLIDTKHEESEKWKCGGKVKEEGEECYEVVEGDNMMEIGKESSFELNFDYDDSHETCEEVKEKCGEQNENNDYNKGKRKISLQLDYDAVIIAWDSQKCPWTNGDKPILDADENWPDCMGTFGTEVHYAYGEFGGYGCHPVMVDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRASFAVPTFPLLK >RHN38413.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000025.1:14569:16491:-1 gene:gene50771 transcript:rna50771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MSKEEFLKIQKSVLKVNIHCDGCKHKVKKILQKIDGVFTTEIDAEQGKVTVSGNVDPNVLIKKLAKSGKHAQLWSVPKPNNNNNNNQNNLVNQLKNMQIDNGKGGGNNNNKGQNQNQKGSGNNNQPKGGQQIQLQGLNPQQQQQLQLQQQLQQLQQMKGFQDLAQFKGMKMPPNQNAKGVKFDVPEDEDDFSDDEMDDFDDDEDDDEDFDDEFDDEMVGLPPNKMKPPSMPMGNGAHMMLNGNHPQLLTAMKGGNFNGGGGGGNGQKPGGGGPVPVQIHGMNGGNGNGGKKSGGGGGGGGNNQNHGGGNKNSGGLQEAKNGGGGGNKNGGNHNSNNQNNNGGKKGMSIPVAGGGGNVQAMNNGFQK >RHN40134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13552660:13555463:-1 gene:gene46242 transcript:rna46242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative abieta-7,13-dien-18-ol hydroxylase MDILYTLLTSIAFFFLAIFLAICFIMMTIFKGKSIGDPKYAPVKGTVFNQLFNFKKLHDYHAQLAKTHPTMRLLAPNQSELYTIDVRNIEHILKTNFDKYSKGKYNKDIITDLLGEGIFAVDGDKWKQQRKIASYEFSTRVLRDFSCSVFRKNASKLVRVISEFSHEGLVFDMQDLQMRCALDSIFKVGFGTELNCLEGSSKEGTEFMKAFDESNALIYWRYVDPIWSLKRFLNIGGEAKLKHNVKLIDDFVNGVINTKKSQLELQQDSNVKEDILSRFLMESKNGQTTITDKYLRDIILNFMIAGKDTTANTLSWFFYMLCKNPLVEDKIVQEIRDVTCSHESELNSIDEFVANLTDLILDKMHYLHATLTETLRLYPVLPVDGRTADAPDVLPDGHKLEKGDGVYYLAYAMGRMSSIWGEDADEFRPERWITDGIFQPESPFKFVAFHAGPRMCLGKDFAYRQMKIVAMCVLNFFKFKLANGTQNVTYKVMFTLHLDKGLPLTAIPRS >RHN65087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64112011:64113252:1 gene:gene27984 transcript:rna27984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative X8 domain-containing protein MSSSMVKILIAMLLVTLSFQASGQFLEWCIADEQTPDDDLQRAMDWACHVGGANCSNIQVNHPCYLPNTMKDHASYVFNNYYQKFKHKGGSCYFNSAAITSDLDPSHGSCKFEYIP >RHN43186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43854650:43857749:-1 gene:gene49725 transcript:rna49725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ammonium transporter, ammonium/urea transporter MAAPPVNTLPVAYQAWTSLAVPDWLNKGDNSWQMISATLVGIQSMPGLVILYGSIVKKKWAVNSAFMALYAFAAVIICWVTWAYKMSFGEKLLPFWGKAGPALGQKFLIRQAGLPATVHYHHDGSLETAEIEPFYPMATMVWFQCVFAAISLVILAGSVLARMNFKAWMMFVPLWLTFSYTIGAFSLWGGGFLFQWGVMDYSGGYVIHLSSGIAGFTAAYWVGPRSKKDRERFPPNNVLLTLAGAGLLWMGWAGFNGGDPYSANIDSSMAVLNTNICAATSLLVWTWLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGVLSGSVPWYTMMVLGKKISIFQKVDDTLAVFHTHAVAGLLGGVLTGLFAEPQLSTLFLPVTNSKGGVYGGSGGVQILKQIVGALFIVGWNIVATSIICLVISFIVPLRMTEEELLIGDDAVHGEEAYALWGDGEKLSLYKDDTTQHGMASSGVTQVV >RHN55518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19164356:19166250:1 gene:gene30712 transcript:rna30712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSSSTKRCLVLLEKCKSMKHLKQAHAQVFTTGLENNTFALSRVLAFCSSHKHHHESLTYACRVFEQIQNPTVCIYNTLIKAFLVNNKFKSALQVFVKMLQSELKPDNYTIPYVLKACGTFHDCSFGKMIHGYSSKLGLVFDIYVGNSLMAMYCVFGDVVAARYVFDEIPSLNVVSWSVMISGYAKVGDVDSARLFFDEAPEKDKGIWGAMISGYVQNSCFKESLYLFRLMQLTDIVPDESIFVSILSACAHLGALEIGVWIHQHLNQLKLVPLSVRLSTSLLDMYAKCGNLELAKRLFDSMNMRDVVCWNAMISGMAMHGDGKGALKLFYDMEKVGVKPDDITFIAVFTACSYSGMAYEGLMLLDKMCSVYNIVPKSEHYGCLVDLLSRAGLFEEAMVMIRKITNSWNGSEETLAWRAFLSACCNHGETQLAELAAEKVLQLDNHIHSGVYVLLSNLYAASGKHSDARRVRDMMKIKGTNKAPGCSSVEIDGVISEFIAGEKTHPQMEEIHSVLKKMHMQLDYNQ >RHN45015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10792923:10795631:1 gene:gene39208 transcript:rna39208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MDSIKKRKITTKEYEAVGIDLGTTYSCVAVWLDEHQRVEIIHNDQGNRTTPSFVAFNNDQRLIGDAAKNQSATNPENTVFDAKRLIGRKFSDPVVQNDIMLWPFKVTSGVNDKPMITAKYKGQEKHFCAEEISSMVLIKMREVAEAYLGSPVKNAVVTVPAYFNDSQRKATIDAGAIAGLNVIRIINEPTAAAIAYGLDKRSDCEGNRNIFVFDLGGGTFDVSILTIKGDVFEVKATAGNTHLGGEDFDNRMVNYFVEEFKKKNNVDISGNPKSLRRLRTACERAKRILSFSFVTTVEVDALFMGIDFSSSITRAKFEEINIDFFIECMNIVDSCLRDSKIYKNDIDDIVLVGGSSRIPKVQDLLLEFFKGKELFMRINPDEAVAYGAAVQAAILSEGFKNVPNLVLRDVTPLSLGIEVTNEDIMDVVIPRNTSIPVKMTKIFYTAGDNCGVSFNVYEGERARASENNLLGSFALGYVSGPRGQPLEVCFSIDENGILTVTAKDISTGNMNAITITNDKERLSMLEIKKMIKEAKKYHVEDMKFLRKAKVMSALDSCVYNMKNTLKKKDVNLILSAQEIEKISNAITFAMNLLDKSNKEKKIDVLENHLEELESMSKHLISKTNNFIFL >RHN67119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24081953:24083376:-1 gene:gene15266 transcript:rna15266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-acetate O-methyltransferase MIPESVLDKKSNAYNKGKVFIHGANESTANAYKRQFKTDLASFLSARSVEMKREGSMFLVCLGRTSVDPTEQGGAGVLFGTHFQDAWDDLVQEGLISSTKRDNFNIPVYAPSMQDFKEVVEANGSFVINKLEVFKGGSPLVLNKPDDANEVGRALANSCRTVCGVLVDAHIGDNLSEELFLRVERRATNRAKELLEKLQCFHIVASLSFSQ >RHN65040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63805078:63805907:1 gene:gene27933 transcript:rna27933 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKIQAVINAGVCGRLVELLLHPSPSVLFPALRAVACIVTGDDMHNQESILFLEGVGEFCFGKNEKLYLLITMNLVSSHCLYYVISCATCENNLILWDSFCQAIINHGSLPCILSLLTNNHTNIIKKEACRTISCITAGHREQIQAI >RHN41641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31695761:31696243:1 gene:gene47983 transcript:rna47983 gene_biotype:protein_coding transcript_biotype:protein_coding MIETNEAKGFRHVRPERTGHEEAGKSGSEWEKKLDLFWQGRLNGQDPLEVIAAKENIDAPLDMIPWNLKIKEKGQPGHGSRLYDDSAMENLMKSVEVALNSEVVSVNPVDVKAGVPTHDVSLAFHHNIVNFLQCDLIEYICKNH >RHN39463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7281162:7285558:1 gene:gene45487 transcript:rna45487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MVNIEIYIVGIVFWTATAALSVLAFISLRLDYLLSEGEDKVEWIERKLKLLKALLEDHSQLVKKREEAESRVRSFWDIENLSEIHHQLSIAESEWIESTRDVVSKAEGCVAEYKKQSPGLFWSAITVIFRLMTIKDVLRQIDDVENELTLSFEKRNENAKYIHMSMEKSRSKSRSLLDQFTFEEEDRSVAREVHTSVAAQNQMQKKPSISFDQLMNKSVAMDLFSGMEERWSIYLLLPLHAFLKDLRQLQLITETEKQWKTVAGEIIEDAEIYISNYLWWFETFGILKKSKMNIPLITNHFRKDMKLIVTGFEDLLKYNLTFIDRAAEKSTFHPITDDREIASTIASIESKLRDMDTSSQQVAKENLKCDKLKEMHKQLKEAEKTEGRNACVKELKSITQKLDNLLDNFQEWNVTEPVEYQSEIGNAINLLQNLIKTCTIKRQESTKLVGCKKEEQDLVSKLTSGSGSNPSALSIVGMKGVGKTTLAKVVYYNKDIVEHFPVRVWVTVTEGAVNRAKALLMKRDGTKDQTLYLINVCDQLKEKLCLVVLDNVSKTTDLDKLYRLLYGSGWTDGSRIVLTTRFKDVALHANNSSTPHHIRLLMKEESWMLFQKVASRRLEPKEESLAKKLVGKCGGLPLAILSLGCVISANGTTPTSLSWVLDQINHGHFKKYWLQPKDNREELSNTMRDCLYCFTNFPLDYEIPARRLVNLWVGEGLVQQNNGKTPEDTAESYLEELIDSNMIQVVALKGNGKIKTCRLPSMLREIILQNNNRTSQSRYLGTHLERRISYHFYDHGLNENSAQAFSKKGTPLSVLFFDKREGCKPGEHVGKILSTSIADQQFLETRVLDLECIFRPQLPKTLSKLNNLKYLSLRWTYLEELPPCICKLQELETLDLKHTCINYIPSSIWELKKLKKLYLPQNYRSKLEGKPRGHFNEILHILWGVFLYGNYPLLHYLHKLKNLQKLKLAFQLKISEQETLAEKIVKLEQLHSLTLKSVDETGDPKKLKWINMSKLDNLSSLRLFGKLEDKIRTSLLPINLTELTLTASQLSVDPMPQLQNLKKLKSLCFYADSFIEKRMVCTSRGFEQLQVLRFWNLERLEEWDVKEGAMPSLMEFEARSCINFAFPSGLKHVKTIRTIKLRKMNNPFVKNMWLYRKKALLVNVEIN >RHN41410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29770340:29774887:-1 gene:gene47728 transcript:rna47728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MMKHHLKNVQTNNLVSLLSSTRVTRTFHSTPITKFSSQLHKDPILIPPTKTHLYVSFFYTLISLYLKCGRFSTASDTFSRMRAFDFVPSLHFWKNLLSEFNASGLVSQVKVMYSDMVFCGVVPDVFSVNVLVHSLCKVGDLDLALGYLRNNDVIDNVTYNTVIWGFCQKGLVDQGFGLLSEMVKRGLCVDSITCNILVKGYCRIGFVRYAEWVMYNLVDGGVTKDVIGLNTLIDGYCECGLMSQAMDLMEKRWRGDVEIDVVTYNTLLKAFCKMGDLKRAESLFNEIFAFQKDEDQLTNNDVVTQNEIRNLQPTLVTYTTLISAYCKFVGVEESLSLSEQMIMNGIMSDVVTCSSILYALCRHGKLTEAAVFFKEMYEMGLDPNHVSYATIINSLFKSGRVMEAFNLQSQMVVRGVSFDIVTCTTIMDGLFKVRKTKEAEEMFETILKLNLAPNCVTYSALLDGYCKLGEMELAELVLQKMQKEHVPPNVITFSSIINGYAKKGMLSKAVDVLREMVQRNIMPNTFVYAILIDAFFRAGKQDVANGYYKEIKVHRLEESNVIFDILLNNLKRVGRMDAARPLVKDMHSKGIDPDIVNYTSLIDGYFKEGNESAALSIVQEMTEKNTRFDVVACNTLIKGLLRLGKYDPQNVCSRMIELGLAPNCVTYNTIINSYCIKGNIEDALGILIEMKSYGIMPNAVTYNILIGGLCKTGDVQKAADVLNEMLVMGVVPTPITHKFLVKAYSRFKKADTILQIHNKLIDMGLKLTLTVYNTLITVLCRLGMTRKAKVVLDEMVKRGISADYVTYNALIRGYCTGSHVEKAFKTYLQMFVDGISPNITTYNTLLWGLSNAGLMKGTDKIVRGMKERGFVPNAATYDILVSGYGRVGNKEESTKFYREMLTKGFVPTTGTYNVLINCFAKAGRMHKAGELFNEMRTKGRIPNSSTYDILKARDRPGT >RHN64874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62613278:62614132:-1 gene:gene27742 transcript:rna27742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteolipid membrane potential modulator MPSTCEIICEILIAVLLPPLGVCLRHGCCTNQFLICLLLTILGYIPGIIYAIYVIIFVDREQYFDEARRPLYANKY >RHN58114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43829475:43830786:-1 gene:gene33723 transcript:rna33723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEKSVIAADGKVNNHIHDDIAIIILSKLPLKSLTRFGCVRKSWSFLLDNPYFKTMFRRNFLYKNHSYYNDTSLFLRYNRSWFDTDVISGYPARHGGLFSLSGERFENRVELDWPNLFSDDRIHFKICGYTSVNGIICIDYNSQGRVVLWNLATKENKIIPSSPFASQPSLNYLHLHGFGYDHIRNNYKLIRHAIIYPTTCNMGKNTPYSLWEIYCLKSNSWRKLDVDMPSSSRHKVGGHVYMDGVCHWLSKTYNKNYLVSFNLTTEMFVTTSILTNTNDIDYRYLAMLNRSIALISNFANTTTFHISILGEVGVKESWTKLFTIKLHDIGWPIGVSKNGDIFFRKNDDELVCFNLSTQRIQQFGVKGGYYSQIITYKESLVSIERE >RHN56912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34714876:34718589:1 gene:gene32377 transcript:rna32377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MALHFLLMLFAVSLVAADDAPFIGVNIGTSLSDMPHPTQVVALLKAQKIQNVRLYDADQAMLVALAKTGIQVVITVPNEQILAIGQSNASAANWVSRNVVAHYPATNITAICVGSEVLTTLPNVAKVLVNAIKYIHSALVASNLDRQVKVSTPLPSSIILDSFPPSQAFFNRSLNSVLIPILDFLQSTDSYLMLNIYPYYDYMQSNGVIPLDYALFKPLPPNKEAVDSNTLLHYSNVFDAMVDAAYFAMAFLNYTNIPVVVTESGWPSKGAANEPDATIDNANNYNSNLIKHVFNKTGTPKHPGIAVSTYIYELYNEDTKSGPLSEKNWGLFDANGVPIYILHLAGSGAVLANDTSNQTFCIAKDGADPKMIQAALDWACGPGKVECSSLLQGQPCYEPDNVIAHANYAFDSYYNKMGRTPDSCDFKGVATITTSDPSHGSCVYPGSLGKNNTFGNFTAPSMNSSSDSSAYNIHSCELRIRSLLVVTGFLILGVVLV >RHN67965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31847518:31849420:-1 gene:gene16230 transcript:rna16230 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVTIFLAILTLPLFLSLPFSSIAQIPFDNGISSKALDSVLQNYAFKALVKPKTGVPYDAKLPNSIIGVKVSTLRLRSGSLRTRGVQYKEFQIPPGVVEQPYVERLVLVYHNLGNLSEHFYPLPGYTYLAPVLGLLSYSGVDLFANELPELDVRASDKPILVKFLKVKSAPYGSVPKCVYFDLHGSVQFDILLPDNVCSTMEQGHFSIVVESNAPTPAPAAVAAAVGKGGRRKSNVWIIVGSVVGGCILLIMLSLLVVRLVRIKKGMKIQELEWTADSHETLQMKSIGGTKAPLAIGTRTRPTIENDYIP >RHN51517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19276263:19277031:1 gene:gene35970 transcript:rna35970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MEYLSSLLTLFFLFATVLFYVTNGEPLVPAFFIFGDSIVDVGNNNNLATLIKANFFPYGIDFVTHNPTGRMSNGKFAVDYASEFAGFTSYQPAYVNLNTKGNNILNGANFASSGSGYHYLTAKKYNVIPLMNQLEHYKDCQE >RHN76966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2782798:2787529:-1 gene:gene365 transcript:rna365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-KNOX family MAYQNQHLSQELPIQHFTDQTNNNNNNSFRSILPDSPDPNSKPDSDPNNQLQTTPNWLNNAILRTHYTDNNNNNNNNDDVTNNVNNDSDGNGASNFLNLQQTSDTVQTTGQWLARSILHRQHGEVIDNVTMASEVEHELANMKNDGDSDGLGGKSEVAVVGDGGLVNWQMGRWKAEIMAHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQNVVAKYSAFGQNIGAGVDDKELDHFMSHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDEDEQVDSDANLFDGSFDGGADNMGFGPLIPTENERSLMERVRQELKHELKHGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVLKSKRKRET >RHN40854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23135756:23140456:1 gene:gene47089 transcript:rna47089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L13 MSLLCGSPSVTFPSSSSFSHHKNSTSKSSFLSSQLPLSNLSLSSSSIRSPSFIVHSQQETSIVAEEEDPRFICVEPEPRFQGPDIWNTTWYPKASDHVNTEKTWYIVDATDKILGRLASTIANHIRGKNLVTYTPSVDMGSFVIVINAEKVAVSGKKRTQKLYRRHSGRPGGMTVETFDQLQNRIPERIIEHAVRGMLPKGRLGRTLFTRLKVYSGPDHPHEAQQPIDLPIRDKRIQLQR >RHN63446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51362031:51362442:1 gene:gene26145 transcript:rna26145 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIYLFFLKENIIYLLNPLRKKDQSKCFVFMTGRVKEQNGVLLI >RHN82138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51495196:51496211:-1 gene:gene6264 transcript:rna6264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MDDLRVFKSICGAASALPTYRAVLVTLFLKMFQKMLNSNAFFLCYLSMFIYAVVHNASLPQLKKKLECNCIIMRQIGEASYSLHKFPLISKSGHIRKLISESSNAVADVPLIELIDVSGGSETFELAAKFCYWINFEISVESITMLRCVAKYLEMTEDYSDRNMVGRTDSYLNEVALKSMSGAISILHTSETLLPIAKKAKLVSRCINAIACLHSFPRRVTLVHLVEVMVVAMKER >RHN44992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10502170:10506173:1 gene:gene39185 transcript:rna39185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosome biogenesis protein BMS1/TSR1 MFQVIRSLVPDCQNHEDIVDKHAGEQARPNEADGAKADEDHTQKKTRKRKLPPGTSEYQAAWDEFDEEESDCGIEDDDEDSEEESDNVSMMEVDDMTEEKIQDELKAIKEAHAADEEFPDEVDTPLDVPARTRFAKYKGLKSIRNSIWDPMESLPQDYPKNYNYHSFKRTQKQVLAKALEMERENSQDCIPVGSYIRLHIMEVPTGVASKLCILSKTTPVTACGLLEHENEVSVLHFRPIFSCEFKNADKNKMERFLHAGRFSIASVYAPISFSPFPTIILKRAVEDATPEVAALGSLKTVDRDRIILKRLILTGYPQRVSKRKASVKHMFYNPEDVKFFKPLEIYTKRSLRGRIKEPVGTHGAMKCLLNGVLEQRDTVCMNLYKRAYPKRPNHLFPLSSASDSLPCMEDQNAV >RHN57438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39164165:39164833:1 gene:gene32983 transcript:rna32983 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDVYRKRVIQEEPSHPTPQPKQTNRDWSCFEAQDEEYFIRSAIALQEVGISFKKSKTKSLKDFSFDRGVLRLPTLLLDNNIEYIFLNLIAFERLHIGIGNEITSFIFLIGTIIDGAMDVSILSQNGILINILGNDQVVANLFNSMSKDICVEITKELDEMMESMSHYYKKPWKRWRASLIRTYFRSPWAMVSLVVATFIFSFTIIQTIYTVRQFYQKEC >RHN64938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63134830:63136240:1 gene:gene27819 transcript:rna27819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative short-chain dehydrogenase/reductase SDR MTSNEAKFPPQTQQTQPGKEHVMEPLPQTINPDHNPTNKLRGKVALVTGGDSGIGRAVCLIFAKEGATVAFTYVKGVEDRDKDDTLKMLLEAKTSDAQEPLAIAADIGYDENCKQVVELVVKEYGSSIDVLVNNAAEQHLRNSIEEITEQQLERVFRTNIFSHFFLVRHALKHMKEGSSIINSTSVNAYTGKAETLDYTSTKGAIVAFTRGLAQQLVSKGIRVNAVAPGPIWTPVQPATMPYEKIQNLGSDVPMKRAGQPCEIAPCYLFLASLQDSSYFTGQVLHPNGGVIVNA >RHN59813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13511560:13514845:-1 gene:gene21969 transcript:rna21969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MLSNNISQKGETKKMRGNMVVVSKLSLLLTMMMMVTIVKSLSLINYGDALTKSILFFEGQRSGKLPPSQRMTWRKDSALHDGCDILMNMVGGYYDAGDNVKFNFPMAYTTTMLAWSVVEFGELMGPDLQHALEAIRWGTDYFLKATKRPNIVVAQVGDPISDHDCWERPEDMDTSRTTYYLSATRPGSEVSAEIAAALAASSIAFRNINRGYSRILLARAKRVFDFANYHRGSYNNAIGSGACPFYCDINGYIDELIWGAAWLYKASNNQYYMNFVKSNIEYIQSNEFGWDSKHAGINVLVSHWMMNDASNQSPFISNADNLICSLLPNSPTKSVTYSKGGLLFKAGPSNLQHVTALSFLLVVYGHYMEANNKIVNCGNVVAKPCDLINLAKSQVDYVLGNNPLEMSYMVGYGQKYPQKIHHRGSTLPSLDVHPKHMGCRDGDKYFQSSTPNINVLIGAIVGGPANDDSFQDSRYNVSQSEPTTYINAPFVGVLAYFKKY >RHN60255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24294414:24295361:-1 gene:gene22529 transcript:rna22529 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLVLLYKREEFSYLLKNSKEMGYLHVTVGFNAMQFVLFCSMEWILVSMRKWLQRFMDWIFGKVEYRGEVYPYIGYVLWEAQEIQYERRQSLAPVLRSR >RHN64783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61780243:61780404:-1 gene:gene27640 transcript:rna27640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MWGSVWLFLATSNNTYLSLAMATDPSVPKNAKAFDKITDFNKLPAANKSEDVS >RHN45387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19080471:19081385:-1 gene:gene39696 transcript:rna39696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MASLNLTIIAIWLSIFSCLARVEPSLARHVSKHTENRTKTMEYIASSCQGTRYPDLCIRSLATFAKYSTIDRPDHLAHIALSISLTKALQTRGYLLKVVKELEELNNGSEPTGLAYLTMQDCEKQISDSVDQLSQAIKELRRLNKGTIIDDKMLWHISNVETWVSTALTDASYCVQSFPGHRMSKRTATIKVKAQNVAEVTSNGLALFHRFAARYKAAARAAKKP >RHN55867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24855103:24859658:-1 gene:gene31145 transcript:rna31145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MSNNCQNSGTSHNIRGLISNAGAGAAAGAIAATFVCPLDVIKTRLQVHGLPPVQKGSVIVTSLQNIVRTEGFRGLYRGLSPTILALLPNWAVYFTCYEQIKGLLRTHEGCNELTTIGNIIAAAGAGAATAISTNPLWVVKTRLQTQGMRPNVVPYKSVLSALTRITHEEGLRGLYSGILPSLAGVSHVAIQFPAYEKIKLYMAKKDNTTVDKLNPGSVAIASSISKVTASVMTYPHEVIRSRLQEQGQAKNSSGVQYAGVIDCTKKVFQKEGIRGFYRGCATNLLRTTPSAVITFTSYEMIHRFLTRTIPQNEPNKPKPEASDIDMKNGDDRGESPPSQSNKIPLGNKDQLTRH >RHN57350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38308546:38312799:-1 gene:gene32878 transcript:rna32878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SEP domain-containing protein MKSKSKSGKRKREETERMESENPKPSSSDSTALINSFIEITSSTKQEALFFLESHNFDLDAAVSSFLDNDTTLPLNDTATLPQNDTVSPSDSLSPDFHPDDSPPSQSPSQSPSRAPYELRSRRSIGKKSGSGSRQSGIRTLRDVKRDQDSDSESDEPQEYYAGGQKSGMLVQDPTRGGNSVDDIFDQAKQVAVEPPAENSSRSRSFTGTARLLSGEAVPSAPQPVESVTHVVTFWRNGFSVNDGPLRRLEDPQNAEFLESIKKSECPKELEPTDRRTSVRLSLTRRDENYPEPVKPRNTAFRGVGRTLGDSSSNGEAASGPSQTTADASPLTAPAPAMGLVVDDSKPVTSIQLRLSDGTRMVSRFNHHHAIRDIRAFIDASRPGGTRSYQLQTMGFPPKQLTDLDQTIEQAGIANSVVIQKQ >RHN59255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8802570:8823755:1 gene:gene21259 transcript:rna21259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component EXOC3/Sec6 MMMAEDLGVEAKEASVREVAKLLPLPELLQSIASIKADYISRQQANDAQLSTMVAEQVEKSQAGLKSLSFSEKTINQLRENFLAIENLCQECQTLIENHDQIKILSNARNNLNTTLKDVEGMMSISVEAAEARDSLTDDKEIVNTYERLTALDGKRRFALAAAGSHKEEVGRLREYFEDVDQTWENFEKTLWGHVGNFYKLSKESPQTLVRALRVVEMQEILDQQVAEDLAEAEGDGALASTANPHRSAIKSTSAMASSKNLTQQKLKIQGKGYKDKCYEQIRKTVEGRFDKLLNELVIEDLKAALEEARVIGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRSNDLTNIEILKVTGWVVEYQDNLIGLGVDESLAQVCSESGAMDPLMNSYVERMQATTRKWYLNILEADKTQPPKKTEDGKLYTPAAVDLFRILGEQVQIVRDNSTDVMLYRISLATIQVMIDFQAAEKKRLQEPASEIGLEPLCAMINNNLRCYDLAMELSNSTIEALPQNYAEQVNFEDTCKGFLEVAKEAVHQTVSVIFEDPGVQELLVKLYHKEWSEGQVTEYLVATFGDYFTDVKMYIEERSFRRFVEACLEETVVVYVDRLLTQKNYIKEETIERMRLDEEVIMDFFREHISVSKVENRVSVLSDLRELASAESLDTFTLIYTNILEHQPDCPPEVVEKLVGLREGIPRKDAKEVIQECKDIYENSLVDGRPPKTGFVFHRVKCLTASKGGIWRKLT >RHN62595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44585287:44586793:-1 gene:gene25188 transcript:rna25188 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSLALSSCKVKDAQILTISSDTLANLAIHSPFEEINVDIHDNSSNMPKIELSTPSLYTFTYYGSLIQEICGSGLSSVKQVHIDDSREFSASVRHGLLVFNWLLDFANVESLALSSTTLQILSLVPDLLEVKLPSLCNLKSLEVELVPFIHRDGFLFRSIEAAMLKKAAAKSRKEVAKLRKAFKARLEPRAIPDGMVDFLRQNSPSAEVNITTDFSHVLNPKQVAESIKGAKIISYRSRFSKRLSPVPFHAAPASGTEYDSATALASVSVTAPDAVPASAAPPNLHLCRDEKDDKSSNEDEVEKRQPNTDSPLLDNGQ >RHN46106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28547668:28548891:1 gene:gene40546 transcript:rna40546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MSLNPPSQPRRHPNASPSLVFLPDELIVEVLSFLPVQSLIRLKCVSKSWKYLISEPSFVKLHLKRTKQDAVRKFVSYNMWSIVSRNMSSTNCMVVTFTVFRLLENPPIIINLSKYPYYRLKEKDCFHIVGSCNGLLCLFGGTGNREDTGGYRENWLRFWNPATRTISEKLDGDDGLGFPFNFTFGYDNSTETYKVVYFTPKTTNVRVFSLGNNVWRDIQNSPVVHHHHHQYRKMSVVHLSSSVNWLAIHNYIRDDYYCKDIAIEQFMIISLDLGTETHAKFRPPHSFVEVPFVIPNLSMLKDCLCFSHDFKQTHFIIWQMKQFGVQESWTRLFKISYQNLQIDHPFNYLCLLPLCLLEKKDTLLLMRNDFVERRPILYNLRDKRAKKINIPWSANVHDYVESLISYV >RHN73968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22495224:22496250:-1 gene:gene9877 transcript:rna9877 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVCIYIPTKTTTSALKLVYTLIICVIPVVIDKKAGKMSYYNLSVLVLFLAVNTIITNIAGNPKHQIHAQPVGPIINRSPTIDPETSVGLNYSPSSGIRNRRPRHRKLSSSPILPAPPHNLPKPPILQPSEPPEPPS >RHN57730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41368315:41369586:-1 gene:gene33313 transcript:rna33313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MDFATPRHHQQHFLLKVIKTSCQTKFVETPPYNLIHFVELVWKNNKNLITTPVLESLVLSICPTAPTEYDVALKKKDILYLWNLLKHIGHYQTGILNTRILNQLIGFFRYMGKGRMIVGGYSFYGYIWDKGKAALDVFHKFEVFQCVPNQDTYIFTLQAICGIDYSTDVLQQAASICQKMVLQPDTLLPDDGKILGRLLTWFSKNNMIKEAYALYRAANEKRKRNPNWGLELDRLLPHRIFEFDTLCSRKETVYLALDLLTVIDIHKEVEHKEEGTKLSHCRKVITALCQFKDFDAAKQLIFKMIADGPLQRPSKEVFNVIIKTYVDAREIGQALEMVMLLESIGLHTYDALTCGNGSYYSYMTQAKEILEEAKKKDSKLIVALLYHMLIVDCDFKQNYDKALKLLTEMKDFGLQSIWMNITN >RHN77190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4839494:4840566:1 gene:gene616 transcript:rna616 gene_biotype:protein_coding transcript_biotype:protein_coding MISKALRCSTKGLLTSLIVSPQHQFMGTKWKYGLSAPFLRNLEKVQDLGSPNTLLGLVMI >RHN61412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35443568:35443912:1 gene:gene23877 transcript:rna23877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPSIIKRSSSSKSVGVPKGYLAVYVGKEMKRFVIPISYLKQKLFQELLSQSEEQFEYDHPMGGLTIPCREEVFLDITSSLN >RHN60549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28059090:28060284:-1 gene:gene22870 transcript:rna22870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MEETYAAMNKQLTLISPPSFSSDDDSLPILPFDLIEEILCRLPVKLLLQLRCVCNSWNSLISHPKFSKKHLRMSTTCRIHRIRRNHGRSKFLLKSYTLHSVFTDDVTTDVMHLSFPSTSFYLPSIVASCNGILCIADLYQTSSIHVLLWNPSIRKFKELPLLEKAIGHVINLTSGFGFGYDSSTDNYKVVVVLGYTVLDNNLNYVNKTDMMVHTLGTNFWKSIQECPFGDVCTKQYVIFVSGTINWLTSIDKYRQSALFIVSFDLEKEFCRKVLPPDDEGVDVSNLTLGVLRDFLCIISGNDVWVMKEYGIQESWTKLFTLSNMQDPSKSYMLFKVLYTFEDDKVLLQCIGNGKWILVVYDLINGTFKLTKFECNFLEVCVESLISPCF >RHN52130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31850650:31851180:1 gene:gene36759 transcript:rna36759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MSQYSSLLLVFVILCFSSSNSISAKVVDVDVICKEASNPSYCSNLLNSKPGGAKNVDLVDLANYTIGVVKDNFYIAYKLVINLQRNVTVRNYYTRCAIDFDGDDSIRGRLLGAKIDLELKNYPDMASQIGFVMQHIDDCIDSLHKNDTSPILAKDVDILRQSGEVIQIISKYLTLG >RHN70442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51451516:51452630:-1 gene:gene19021 transcript:rna19021 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKSYIWYKIRESRREVLIHTIVVMGVAFLADRVHEMYHS >RHN73694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18988185:18996648:-1 gene:gene9542 transcript:rna9542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MGTTIKTKTQVVKNKDKQKEKTTNKGDNNNNNTSNVFASCSFSSLGLHQTLCDQLRERMGFEGPTLVQAQAIPVVLSGRHALVNAATGTGKTIAYLAPIIHHLQSYDKRIQRSDGTFALVLVPTRELCLQVHEILQKLLHRFHWIVPGYIMGGESRSKEKARLRKGISILIATPGRLLDHLKNTSSFVYTNLRWIIFDEADRILELGFGKEIQEILNLLGSMKTGHDDQGNGVPRPTKFQRQNLLLSATLNEKVNHLAKISLEDPVMIGIDDKVLEPTSKIRFDNSDCDEDNEGTYSSKIPAVGAYKVPEQLIQRYMKVPCGSRLAILLSILKHLFEREPSQKIVLFFSTCDAVDFHYSLLTEFLFSAFPQTEEGNRPMFLGCKTLRLHGNMEQEDRTTSFQAFKTEKSALLLSTDVSARGLDFPNVRCIIQYDSPGEATEYVHRVGRTARLGERGESLLFLQPSEIEYLQDLEKHGVSLAEYPLLKVLDSFPLSAQKNNTKKSVFIDMHPWIMCLQKALESCISSKPKMEELAKRAFCSWVRAYTAHRGELKRIFMVKKLHLGHVAKSFGLKQIPSLVGKTFQNQTKKRKRFEKKNGSSNKRKVARRVT >RHN39205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4929464:4930971:1 gene:gene45209 transcript:rna45209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MLSKSSVKLLMRVHHKNLTSLVGYCNEGKYIGLIYEYMANGNLDELLSEFYIRTVKSTSILLNESFQAKLADFGLSKNFPTDGGSHLSSVVAGTLGYLDHELTEKSDVYSIRVVLLEIITSQPAITKTPDKSHISRWVGSMFYNGDIKNIIVDSRLQQDFDTNSAWKAVEIGMTCVSTSSSDRPIMSEVVNELKECLAAELARKGAAGGKTKKESSIELVSLNLTTELGPRVR >RHN80069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34775987:34781982:1 gene:gene3945 transcript:rna3945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MGTNLHQLLRSLCLDTDWKYAIFWKLQHCARMILTWEDAYYDNPDNCDSSQSMCSQNTLEQICVGDFSHDPLGLSVAKMSYHVYSLGEGIVGQVAVTGKHQWICADNQVTSSSPSFKTIVVVAVPPLGVVQLGSLNKVGEDMGFVTHIRSLFLSTRDHTLGYLPSQIQSVKHNTQKTMTGETLDMVTPFQCPGKNYSPPTVYQKMTIDVAKHEGLEIDNDRGSILLQSLSNMMTAENTKQVGMKPSNERMCEGSNNGCKDTSLKPDKNVSSFLRNSVMNYNSTKDLTSPSENVEADTVMSKFQTESFYEDTSYTLKFPAGYELHEALGPGFLKESRYFDWAVQGNQDSKASEMSDEISCSQLTFESRPEHLLEAVVTRVCHSNNNIVDNEASFSTSGQDTIVSGRKPEASIQNVRTINSEGYSIDQSSIIKEDRHHRSLSLSGICGVISPKSFSSTCPSSCSNQFERSSEPSKNSKKRARPGESCRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLECTIKHMLFLQSVTKHADKINKFSKTKTKLHHMENDIHGSSDYQQQQGSSWAMEVGGHLKIHSVLVENLSKNGQMLVEMLCEECSHFLEIAEAIRSLGLTILNGASEARGEKTCMCFVVEGQNNRNLHRLDILWPLVQLLQSKSTTHS >RHN76888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2119525:2120013:1 gene:gene274 transcript:rna274 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVAGVDFCGDARGGWYRFLVVILPMLNCALNCRRKVKCGYRSFGALSEGCFWFVCRSLICI >RHN77802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9515017:9515365:-1 gene:gene1295 transcript:rna1295 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPGCRFFSGWCSFLAQGACGLSKGFRPQTFWNGKRVLLFPTYPFLARPIFFFQKCP >RHN69112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41115369:41118030:1 gene:gene17541 transcript:rna17541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDETMAARYWCHACSQIVSPVMGMEIKCPLCQSGFIEEMSSATGISDLQNTPETDFGVDRSPSLWAPILLGMMGNPRLRRRLRRIELEDDYESGNRDDDHGGVGHYDRELESILRRRRRSSATIQQLLQGIRAGLTSESHENTDSDDRVREREREHVILINPFNQTIIVQGSYDSNRDQSDNHNPIGALGDYFVGPGLDLLLQHLSENDPNRYGTPPAPKEAVESLPTVKINENLQCSVCLDDFEVGSEAKEMPCKHRFHSACILPWLELHSSCPVCRSQLRVDEPKQDSDVSRNHRNQRDDEIIGHANANAEGDSEGRSPSGGRRFSFPWPFNGLFSSSSSSSNANGNGTQSERN >RHN60411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26446919:26447281:1 gene:gene22721 transcript:rna22721 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLAQLNESQSEVHTCSPHLHSQAKISAVTAIATSEQLAVQSAILEDPVAQQVNGNASSVMSEGSSMLAVQFNFLADAVVLNGNIGSVMFEGNSMLTHREILVHHSNPSPLLEYSRLV >RHN48595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48681894:48684991:1 gene:gene43329 transcript:rna43329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DYW domain-containing protein MEALLQFQLQLNSTLSFSSFLHPHNHRHHFNFKPNKPHSKTCYHPLHSPTVPISTPHTPPSFPHPSFLFPFLRFSSHNKNPNLAKSIHATLLKNHEIHHLTTALISTYINLRLFSYAHRLFLSQSPPNIVSYSALISAFSKSNREKQSLFLFLHMITVSSLRPNHYTYVAVLTACTRILNLQFGLQLHAAVIKTGYLKSVFVSNALMLFYSKCGFYKNAFKVFDEMPERDIASWNTVMSCAVQEFMYDDVFRLFCDMLVIDGLKVDYFTLSTFLTACAASGLLMEGKQVHAHAVKVGLEDELNVGNALIGFYTNFGDIDDVVCLFERMSVRDVITWTEMVRVYMEFGFVDLGLKIFDEMPEKNCVTYNVLLSGLCRNAEGLKAVELFIRMVEEGVELTDFSLSSGINACSLLADYRVSRQMHGFAIKFGFGSNVFVEGALLDMYTRCGRMVDAEKMWEELEEVSSVVWTSMMCGYARNGQPREAFSLFHLGHSEWKLIMDEVALTSMLSLCGTVGYHDMGKQIHCQVLKFGFHSNVQVGNVVVEMYFKCGNVDDAIKMFSGMASTDIVSWNTLISGYLTHRQGDRALEIWLKMQEEGIKPDDITFVLIISAYRQTSLNLVDDCRILFNSMKTVYHIEPTSQHYSSFISVLGHWGLLEEALETINKMSFKPSAFVWRALLDGCRLHKNTMIGKLAAKNILALEPNDPSTYILVSNLHSSSGRWDCSERTRENMREKGFRKHPAQSWIICRKKMHSFYARDRSHQQDKDIYRGLEILILECLKVGYEPETSFVLHEVEEHQKKKFLFNHSSKLAAAYGLLMTKPGKPIRIVKNILLCGDCHTFLKCASFVTKRDIFLRDSSGFHCFSDGQCSCKDQW >RHN56950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35185747:35187708:1 gene:gene32422 transcript:rna32422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MALANNNILHCVFDETTMEINSVMSHKKSMIEEEDGSQSTNGLSNDSASASAITNSPTLCRKSHVYNNNTSYELEEESLINFKGNDEYYNNLMQGSESLLSFQHSWMVSNENNLQQWNNASPKSTTNLCMVQGFNSFETSSGSYGSMFNSVKEKQNGESSCGWLYSEPNVPCDDDDDDDSLIKESETHESVLKKRSSMGGNMQPTNAKKPCTAASKKEKHKSNPSKDPQSVAAKNRRERISERLKILQELVPNGSKVDLVTMLEKAISYVKFLQLQVKVLAADEFWPVNGGKAPDIGQVKQAIDAILSSQR >RHN58284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:534924:535139:1 gene:gene20181 transcript:rna20181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I MYRMYSMCPSLSTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLGPETTRSMGLAY >RHN59714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12701395:12701580:-1 gene:gene21817 transcript:rna21817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein K MLNIFSLVCICINSALYSSSFFLAKLPEAYAFLNPIVDFMPVIPLLFFLLAFVWQAAVSFR >RHN72371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7119171:7119995:-1 gene:gene8074 transcript:rna8074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-methionine (R)-S-oxide reductase MASTTSSIEKSEEWRAILSLDQFHILRQKGTELKGTGEYNKFYEEGVYNCAGCGTPLYKSSTKFDSGCGWPAFFEGFPGAINRSPDPDGRRTVITCEACGGRLGHVFKGEGFKVPTDERHCVNSVSVKFIPGNATSFI >RHN53997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6103665:6114861:1 gene:gene28972 transcript:rna28972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MASSSPPPPSPSSSSRSDPPLDPSTKKAEETSPAVKDVLAVIESLKKQVAAKRIVTVKTRVEENRQKLIATTNQLWKSSAERTCGIADTDRGLDLLSKRQKEAIDMHNGIRAGNDDGESNGYNGDDHGSTAVLLGSNYAVKNAVRPIKLPEVKRLPPYTTWIFLDRNQRMTEDQSVLGRRRIYYDQNGGEALICSDSEEELIDEEEEKREFVESEDFILRMTIREFGLSDVVLEILAQCFSRKTSDIKVRYETFCNEDNSGEDSKNGDAQDNSQIDDSFLEKDLEAALDSFDNLFCRRCRVFDCRLHGCSQDLVFPAERQPSWTPPNTEDVPCGPNCFRTVLKAEKMAKVTSTQTDVEDKSSGGALSRKKSSGRRRIKCSQSESASSNARNISESSDSENGPGRDAASGSHSAPPKTKPVGKSGIGKRNSKRVAERVLVCMQKRQKKTVASDSDSISEAPDRSLNDMVSDPHVMSGEDNTRKEEFVDENISKQELADNKSWKTLEKGLLEKGMEIFGKNSCLIARNLLNGLKTCWDVFQYINCEEGKLSGSTGDATNSLVEGYSKGNNEVRRRSKFLRRRGRVRRLKYTWKSAAYHSIRKRITERKDQPCRQYNPCGCQSACGKECPCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSSCGDGTLGIPSQRGDNYECRNMKLLLKQQQKVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPVPNCYAKVIMVDGDHRVGIFAKERINAGEELFYDYRYEPDRAPAWARKPDAPGLKKEDGAPSSGRAKKLA >RHN71442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:179311:179949:-1 gene:gene7046 transcript:rna7046 gene_biotype:protein_coding transcript_biotype:protein_coding MMITRIKEGIPSRFLFSKFGSNSLLGGYFGKVDGSQGSGFDVKN >RHN60383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26052075:26055281:1 gene:gene22690 transcript:rna22690 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNKSSVTLLRATLIIVAVCIAGYILGPPLYWHFKEGLAAVKHSSSSSSSTCSPCLCDCSSQPTFSIPQGLSNISFGDCAKPDPEVNGDTEKNFAELLSEELKLRESQALENQRRADMALLEAKKVASQYQKEADKCNSGMETCEQAREKAELALVAQKKVTSLWELRARQKGWKEGAAKSNTQSQGKVQTS >RHN59127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7736523:7737794:1 gene:gene21117 transcript:rna21117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MAFFIDNPSFPAGLKVIAIDHDITVLNTIEDMCNRFHYQVTKCNTASDALNLLERKDCFDVMLIDPHMPNMDAYDFVQHVTLQLNIPVIMMAVDFTTSSIMKSIQCGASTYLTKPLVEEEVKIMWQHVVRKGVTENKECEIVESLVVQECRKRGREDDKVSKETNAKKARLSWSPELHQRFLWAVNQLGLDKSRPKMILKIMDVPGLSVGHVASHLQKYRIHLKRSADETKPGKKKTKWSDSSQAEWIDQNRNDDFLAKWIDCGAGSYLHNLMA >RHN60543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27996754:28003170:1 gene:gene22864 transcript:rna22864 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGAASSTSSLLHSPLLYPFLFPSSSSSSSCRLHRTTTKQLRPPVVVCCSRHQQDPICNKRTFLLMGVAVLPFLKFNTADAAVEGILSSPKESEVKIPEDKKLGEAAPEMEKPSTSFVSLLNGIGIISSGLLGALYALAQKEKLAAVATIETMSNKLKEKEEQFVSLWKNYELKLSNEQEERAKLLGKAKEEQKALIEQLNSAKSTVTSLGKELKSEKSLIAELKLQIDRLEIDLTKADTDKKDLENKLKEKVDSIEILQKRINLLSEDLKDKEHVVQSLNSSLAEKELELRNLNSTYDQTKDELSNAHLHVQGLKDEILKSQEELEGKDSLVMELNSRVSSLNLENNNLRSEYDVLEKEFNDQKLTSEKKAALDAKVLKEKEDELHQLTDKFELALNESSENQVVIADLTKEREGLKELLENESKKVSNLKYELQVTQENLENSRNDSAELEKQLNKSNNLCKDLELQVSKLSSELAEVKELLQRRLDDTKLEAEKLTTELITVKEHLKKAEEELQSTSHELTTTLETRDGLQKELIEIYKKAEVTSEVLKEEKKLAASLNNDLQALKEQVLKDKESRRTIEMDLEEATKSLDEMNRNSVILSSELEEAKSLISSLENEKEVLAKYLTEQRNASKEAQENIEDAHNLITRLGKERESLESRGKKLEEELASAKGEILRLRSQISSSKSKVVKNEQQVQKNEAETNSSKSKVVNNEQQVQKNEAETNSSKSKVVNNEQQVQKNEAETKEVVVSARKNVRRRKTNPS >RHN74106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27927029:27930011:1 gene:gene10085 transcript:rna10085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTKILNVFYAAIIFLSIFLYVTNCSIITCESDEDCPTSFCIPPQIPKCRTICECITKTQHLR >RHN76440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50269067:50270347:1 gene:gene12766 transcript:rna12766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MKNSICKYVKVAVDGAPYLRKVDLEVYECYDNLLTALNTMFSTNCFTIRNDLMNEKKFMDSRKNTNEYLATYEDKDGDWMLLGDVPWKMFVESCKRIRLMISSGDNIDFGSRCTASSEKNNYS >RHN51754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24445045:24449288:-1 gene:gene36272 transcript:rna36272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling &Metalloenzymes JmjC family MFSSLLTKINSTKINSDKINYQKKENRGRKRKIVSDEKHCDKEKKKVKKEKKDQREEKGEKGKEEGEENAGKMKLDCMHKETKNKTETNNDHDIEFSKYMLKYLLPYLRELDLEQMAEKEIEAKIQDSGSHTDELKIDVADCSKDQCACCDNCQSLIFDYHRSCTKCSFDLCLRCCAELRRGELLGGADPVEFEVINQGQDYLHGGNEEITITLEIESHAATKPEIQEWSKSGWHAGSDGSIRCPKPNNECDHGLLELRRMFPPNCISEVVCKAEQLKQTVELEDKEETLDNGCSCFKPVKKEDDIPNDREKVAFREDSPENFLYCPRAIDLQNHEKDLRHFQWHWRKGEPVIVSNVIESSTSSISWEPLVMWHAFHQLNDTNHNSVSDGNINVNDFFSGYTNGRKDKLDWPQLLKLKDYPPNLFEKSLPRHCTELISSLPFKEYTDPFKAALNLALKLPDNVQMGLTTYFAYGFSEELGRGDSVTKLHCDMSDVSITAIKKLTQKHLEQDKRELLIGDNQDGETNVDGLDNLSSPMTASDEQNSVRVMENESGLCDAKVVDSVHHENSLDGALWDIFRREDVPKLKEYLMKHFREFRHIYCSPLKQVFHPIHDQSFYFTNSHKKRLKEEYGIEPWSFVQKLGAAVFIPAGCPHQVRNLKSCTKVALDFVSPENAGECLRLTEEIRKLPVNHCSTADNLEVCQTAFLDLILTCILLSINFRYCIFYFVISFFL >RHN71187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57071268:57071498:-1 gene:gene19838 transcript:rna19838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ornithine decarboxylase MLHAKILKLTLQQFLDPLDAIDTVLKEYMLPELEVNDWLVFPNMGAYTTSSGTNFNGFCSTAKDIYLACSSSVGQE >RHN60820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30627037:30631901:-1 gene:gene23205 transcript:rna23205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDSTQEQEDFHFLLTAQRHELTVAESMESDLDFAYNLQLQEALSASLTDQPSSSTAVFLEDPSISDSVFNANSLQLQELEKMAIEMNDRLQSERAMREARDDLNRRIHDQKMASEIFNMPVKDWDEWGDNFEKPFGEGSSSGSTMRNNEGPVVRVYFKGLVSEESVRGESVSLAGIGVAVCDDEDNLILEISKTVDGNESRKIAVELMALIEGFNAVIALDLKRVIYFGDYYTLFQHLSGKWASKQPKVAALLNEVNMLQRKFAYCSPRLVARHDLKFAFKLARDAIVSQSMRPAESSGVKSLNETCVICLEDSDVSQFFSVDGCQHRYCFSCMRQHVEVKLLHGMVPICPHEGCKNELLVDSCRKFLTSKLVETMQQRNLEASIPHTEKIYCPYPRCSALMSKTEVLDYSKSLMGSVQSMPKKCVKCHGLFCFSCKVPWHSGMTCYTYKRLNPNPPSEDVKLKSLASRSLWKQCVKCNHMIELAEGCYHMTCRCGFEFCYKCGAEWKDKKATCSCPLWEEDNIWLQDRDDEEDDSSDDDF >RHN43890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49260467:49262598:-1 gene:gene50525 transcript:rna50525 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTLPSFNTLLLILFIPCYLSLPIPIPIPDAATVQPLTPNPSSSLQGTIPAFPEQADVARCPLSLSDEHLNGIKNACSSKSNKHDAADDELHRSRCCPALAAWLYSAYSATALGGFEHGHTTSYDMPLLPDDSETCESDLGKALKVRGIELFQPNETCDVVYCYCGIRLHPLSCSESFKLTAHNGNLVGDESVKRLERNCLSSSSNHVNGFPALGGCSKCLHSLYSLRKKTSNSSKSGDRTTKIHNKDCELMGLTWLLAKNRTTYIHTVTWVLRALMLSPDGSDPQSCTLNSDGMPLAVDSSEMYDQSSSINLKVPIFTSLLFLLAMHFILLST >RHN81002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42515035:42518581:-1 gene:gene4990 transcript:rna4990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-beta-dioxygenase 2 MVVPSPTSMIRTKKTKAVGIPTIDLSMERSELSELVVKACEEYGFFKVVNHGIPKEVISRLENEGTEFFSKNSTEKLQAGTSTPFGYGCKNIGPNGDKGDLEYLLLHTNPNSISERSKTIAKDHPIKFSCIVTDYIEAVKELACEILELAAEGLWVPDKSSLSKVIKDVHSDSVLRINHYPPVKKLSKDNLDPSKFQNNNNTIGFGEHSDPQILTILRSNNVGGLQISTQHGLWIPVHPDPNEFYVMVGDSLQVLTNGRFVSVRHRVLTNTTKPRMSMMYFAAPPLNWWISPLSKMVTAHNPSLYKPFTWAQYKQAAYALRLGDSRLDQFKLQKQEDNTHYHHDT >RHN74964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38222228:38224774:1 gene:gene11106 transcript:rna11106 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MFDSKTLLSAMASIVLVRNITNEVIPHEILNFVQSGLHHFCRQFSAQFTIVIEEFQGMAKNQVFEAAETYLGTKATVSTERVKVSKSHDHKKLSFNIDRGEEVSDDFEGIRVKWKLICIQEDGSRIRHNDMYASSMSEIRSYELTFHKKHKNKIIDSYLPYVMEMAKQIKEANMAIKIHSNDYGCWSHEPVKFNHPMSFNTLAIDEELQREIMNDLDNFVKAKEFYRRTGKAWQRGYLLYGPPGTGKSSLIAAMANYLNYDIYDLDLTDVQDNKILKQLILGMSNRSILVIEDIDCTINLQNREEDKDVVDNGYNKVTLSGLLNAVDGLWSCCGEEHIIVFTTNHKDKLDPALLRPEIEVLLGEVQVTPAEIAEELTKDCDATECLEDLIKSLQAKKMIKEDINNEENVKEEHELGSYEEGTAADSDTGSNTNT >RHN72452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7789517:7789951:-1 gene:gene8164 transcript:rna8164 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWSVVQFGVHLLFCVWVWEFVLVGVLRLILTGLGVVSAVWCCDFGVGCYYTFLSMY >RHN74179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29957113:29957511:-1 gene:gene10188 transcript:rna10188 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNKVSTCSDKERVDICVVPLSAYVMEIKTIDDFLQYPKQGLVL >RHN43010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42535976:42536857:-1 gene:gene49529 transcript:rna49529 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVALGMNVKFYKLVTQHILVTVILNHQKRSLKGLMFGTTLSKLIKMEKKCVSV >RHN54681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11271643:11273667:-1 gene:gene29755 transcript:rna29755 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMLCGMSFFLRSLWDELVEEVKVLSWRWVLGRFNVPACMYYEWCWCPCECLLR >RHN55477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18835169:18840339:-1 gene:gene30663 transcript:rna30663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MNTKNSSSKSKILSIPIGDAISRIQFGPNSNNLLISSWDTNLRLYDFDASVVRLEANSEASLLDCCFSEDDSVAFSVASDGFIRRYDLHSGIVDPMGSHDDMATCIGYSNETCLLITSGFDKKLLSWDIRTKKAFSLSMSLDAEIDSMSVSGFMVTVGIGASVHVYDLRNFDKPNLSMEPCNGTQLRCVSSIPYAEGFAVGSVDGRVALQVSNSSNSNDIGYTFRCHPKSKDGQHHLASVNNIAFSPLMSGAFVTGDDEGYATIWDARSRKRLIEFPRYSNSVASLSYNHSGQLLAVASSYTFQEAKEIVEPPQVFIHKVDNIDIGSSSARRKT >RHN64785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61784650:61786293:1 gene:gene27642 transcript:rna27642 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNLTNTMVSVHATYFSLLILISIQFIYRRFFFPFFQKKLVSPLFI >RHN43336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45005037:45007118:-1 gene:gene49893 transcript:rna49893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(A)-specific ribonuclease MNQLKEKPIIIRQVWASNLEVEFALIRQVINQYPFISMDTEFPGVIYSPNVDRRLLKPSDHYRYLKVNVDALKLIQVGITLSDGNGNLPHFGTNNSYIWEFNFCDFDFERDLYNQDSIDMLCRQGIDFKRNLCHGVDSSRFAEFMLTSGLVFNKSVVWVTFHSAYDFGYLVKILTRRNLPNRLEDFLNILTILFGKNVYDMKHMMRFCNALYGGLERVATTLNVGRVAGKSHQAGSDSLLTWHAFKKMMDTYFMNNEAQKHAGVLFGLEIAT >RHN50593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8388005:8390525:1 gene:gene34899 transcript:rna34899 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNGEFHRSVSRWYEDERCRRRSLIDLGFVRSMVREDPWR >RHN52929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40458037:40459397:-1 gene:gene37666 transcript:rna37666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynein ATPase MMSYIFFVYIHTTITIERTRNHLSFVDFQRKQHKMLEGKGVVEETDMPLKMQIQAMSYASQALDLYDVCDCRSIAGYIKKEFDKNYGSRWQCVVGSNFGCFFSHTPGTFIYFSLETLKFLIYKGASS >RHN68176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33402814:33403299:-1 gene:gene16489 transcript:rna16489 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVGILGKLVRQVDCCASGCVKRGVVTMSSCDCCLSTLTTVVIWLWLLIPVAVLEVGEQFALVVFGVLGADFLVWLDLSAAAVLFSWMHCCARTFVVILLMGLSVFYRLQFGLLFDFRQHVLIFFLLWCSCIYWSCPPFSP >RHN50798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10594352:10595499:-1 gene:gene35131 transcript:rna35131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MVLEKCPILEDLQLSDIHSFCSYYDRSSENLRQLKRAYITMCYCYIPMKALSNLEFLGIQLFKIYHQPCEFPTIFHNLTHLVLLYDWDIVVQVLHHCPNLQNLELYQINGYNWLDQENWVRPKNVPGCLSSNLTTCTMREFEFSGLQCYHIMLARFILENARVLETMSIWCCGKRSKIERVLSSCPRASSTCKLSIKNIYDYVSSLSFSVLSIATLASTF >RHN57596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40425088:40426059:1 gene:gene33169 transcript:rna33169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAGLHVLRLMPEPTAVALLYAQQQHKAFQETMGSGSEKVALIFNMGAGYCDVAVTATAGGVSEIKAVAGSTIGGEDLLQNMMCHLLPDSENIFKNHGVKEIKSMALLRVATHDAIHRLSSQINFQVDVDLGDGLKICKVVDRAEFEEVNKQVFGKCESLIIQCLHDAKVKVEDVNDVIIVDGCSYIPRVRNLVTKICEVTEVYKGIINPLEGAVCGAAIEGAVALGIIDPFGNLDLLTIQATPHAIGIRADGNKFVPVIPRNTRIPARKDLLFTTIYDNQTATLILVYEENQLLGYIKITGIPAARKGVPEINVCMDIDAANV >RHN75831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45431904:45436024:-1 gene:gene12085 transcript:rna12085 gene_biotype:protein_coding transcript_biotype:protein_coding MMPWLGNIYQKFENMILEVDDTMFEETGQYLENQMQIVGESVTKFYSEVMEDLLPPSSCATPVLPIGQYANAAAIPKKSFQRSKKRMVKANTKRSTEDSSMNHDSGNSIKKSNFIWRPKQHVRSADIKLNISDDENLKNRKIPAPKTASKVALSKKDGCGSSQSCEISNVNENHEATVSKTASVEVTTFAAVADCCCETEKASSKQNRGFPVLVDSAEEKNMRSFPSDIFEDAHGFSKVKAMQPEDCSHSTIIVSHPANIDASIEQDDKTTRQDNDLKLEEVCVMVTRDELQSVPKATVNTKKREKKWLQPFSLYKKSTRKQEYEVLALLHGNNENGNGDCVESLCPTSEEDVSEPEWELLCIPR >RHN70982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55614145:55614835:-1 gene:gene19622 transcript:rna19622 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFQVLIAFLLALLLYNSSSALVYSFPQPHPPHYQGAPPPGCHQHVPHLPPKHRHRKIPPPPPPPAAFYFFSPPPPSSHHFP >RHN74339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31948598:31950807:1 gene:gene10380 transcript:rna10380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein MDNCLSSCCCVDNLFKRKQFRSRPIDTTFKLPVAPNAWPPGSGFANGIIDLGGLQVSQVSTFNKVWATYDGGPDNQGATIFEPTGIPQGFSMLGCYSQPNNKPLFGYVLVAKDVSSSTTNSTLKKPIDYTLVLNTSTIKVNQDTTCYIWLPIAPDGYKALGHVVTATQDKPSLDKIMCVRSDLTDQCESSSWIWGSNDFNFYDVRPINRGTQAPGVHVGAFVAQNGGTNIPPSISCLKNLNSISKIMPNLVQIDAILKVYSPLMYLHPDEEYLPSSVNWFFSNGALLYKKGEESNPVPIAQNGINLPQDPNNDGIYWLDLPSDDANKERVKKGNLQSAESYVHVKPMLGGTFTDIAMWIFYPFNGPGRAKVKFINVKLGKIGEHIGDWEHVTLRVSNLDGKLWHLYFSQHSKGEWIDSSQLEFQSNRPVFYSSLHGHASYPHEGLVLQGKNGIGIRNDSAKSEMILDLTKFVLISADYLGSFVIEPPWLHYFRKWGPKIDYNIDEELRKVEKILPGKLKDIFENIIRSLPEEVLGEEGPTGPKLKNNWSGDEV >RHN60423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26612747:26618664:1 gene:gene22734 transcript:rna22734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase NEK family MESKMDQYEIMEQIGRGAFGAAILVNHKAEKKKYVLKKIRLARQTERCRRSAHQEMALIARIRHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKSNGAYFPEEKLCKWFTQLLLAVEYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKQDDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCVYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSPSLKILIKGMLRKSPEHRPTASEVLKHPYLQPYVDQYRPSFAPLTAFSPEKPISSATYTKRHMAESQNSTSSSSDKDSLLSNERNIETTAPKCDNKATEMDIALIDDDGSEQEVIKSSQNEQHSNVESKQPKTIRNIMMALKEGKGREATSPMRGSRLKAGGVSAQKVNTDISSKLPKPTFIAPSLKPNFESPTVPLVKASPDSSKRIYGSHQSKLQLLMTEPSPKVKPKHDMTPPSASIKQVEGDGVPPRPRQRTPPSLLRRPTFPGRMRLAGFDVPNAANDSGKLGPSNTDQESEMSHCHLFNGNVPRISRPITREPHKAFERNSKAMQTESSNSASSSVSIQGCELADDATTFIDMREHILPDHDNVTKSVGVDSRPDSSPSTTCSRCTMAEEASEETSEVTLNFQNTISNDEKVSSNLTLDQPVENSKKMFASEDSLPRNQTTVSTASICDDRSVDIPTASMCDNRYVDTSTEVAEEIEGLRDTSNEMALTKSLKHPHSVSGEKSICEEVGSVNKLNNRPETVTDDDKFTVKERLSLVSETAPVITSTKASSQKVLQEKGTVTQNPAQERPDTSHLPAAFDDVIHVIRHSSYRVGSDQPVMESVEMGVQNVDVGKFINVMRDDIEMRNTNPQMTLKSSNSSEAETLKSNISDQLEMRNTSTSTPPTLKSSSFSDAASLKSSSISENPQDVSNTVSLVSESDSSEHSKCNMPTTKDKPPEKEILDVKSFRQRAEALEELLELSAELLQHNRLEELQVVLKPFGKDKVSPRETAIWLARSLKGMMSEENGGRCSS >RHN54306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8500704:8508421:1 gene:gene29317 transcript:rna29317 gene_biotype:protein_coding transcript_biotype:protein_coding MSQASATVNPKVLLKLFSMYRDWQEERVEEISRKQVVDKIKLLTYRWLKEKHVCLPLNYHGWWLSPFSILGIG >RHN75788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45043654:45046052:1 gene:gene12038 transcript:rna12038 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSKKKRTAMNLLADSACDVKDIEDAIAKANAKAKPSANVKAKPAAKAKPSAKYKGKAIQEEVPEWDDTTNALLTKAVKRHGKSHRSFINIVADPDFEDLLAFGSDACRLKWGRIEKQKEKLMKDAQAKAAEKKSDELVKDRKGRQLKVTEEKEGPEESDSELGMELKKKPMKDAQAMAEKKSDGLVKDRKGRQLKVTEEKEGPEESDSQVGV >RHN78273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13479360:13480042:1 gene:gene1807 transcript:rna1807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MYIQTDEENHWEGDLNRTIKKMFFDKVAFGKFKYLALSDYPELKDVWYGQLHHNVFCNLKHLVVERCDFLSHILFPLNVMQLLQTLEELEVKDCDSLEAVFDVKGLKSQEIMIKQSSQLKRLTLSSLPKLKHIWNENSHEIISFGKLCKVDVSMCQSLLYIFPYSLCPDLGIIR >RHN76836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1677765:1678578:-1 gene:gene216 transcript:rna216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fasciclin-like arabinogalactan protein MGFKSSSFLCLALFLTVSSSIHAFDIQKLLDRTPEFSTFNKYLNETKLVGQINRRNTITVFALDNGAMSSVSDKMPEAIRAIMATHVLLDYYDPTKLIGAMRKRELLITLYQSSGIAVDQQGYLKVNRTSDGDLAIGSAVSGAPIDVKLVKVVFAQPYNITIIQVAKPILYPGLETQTLGAPSNASAPAAETNVDVSSVFKAPPAKAKNASAPSAAEEPITEVSDSPSPSDEPSESPVEAPAKSPSLAPGPALQGLL >RHN71698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2011019:2018994:1 gene:gene7324 transcript:rna7324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MGSNFLSPPAKRSITGLPDPNPFPNGSSKRAKPSKPPQQPLPIPAGHVAFRLLCNSSRIGGVIGKSGTVIKNLQLTTGAKIRIEDSPNESPDRVIMVIGASNIDGKVMVRSHSGDGEAIEVSKAQEALLRVFDRILEVAAEMEGIELGDRTVSCRLVADSAQAGSVIGKGGKVVEKIKKDTGCKIWVCKDNLPACISSPDEVIEIEGSVSSVKKALVAVSRNLQDRHHADRTKMTGQNSHEVIHHEALVGVPRETLMSVPRETFIGAPRETLTAVPCETLTDLHVDHLLQRRSALSILPSSSNSYATGVHSLSAEVNRVSSLEPKAHQQEITFKIICSNDRIGGVIGKGGNIIRALQSETGATVSVGPSVAECEDRLITITASESPESRYSPAQKATVLVFSRSVEAGIEKGIDSGLNTGSSVTAQLVVSSNQVGCLLGKGGVIVSEMRKATGASIRIVGTDKVSKCASDNDQVVQISGEFSNVQDALYNATGRLRDNLFGGTLKSAGTRSLSSVQSDTSPYGRLRDVPLGSQSSLRADTNAFVRLRDVPLVGQSSLQADTSPYVRLRDVSLGGQSSLQSDTSPYGRLRDIPLGGQSSLQSDTSPYGKLRDIPLGGQSAVGISHSLSRHTFSQGIDHFSLGRNYDRPSSPGLWTPPRVTGMNSGSINEASWGSTSRKGGLELVSGSKSAIVTNTTIEIVVPEDTLYLVYGENGSNLARLRQISGAKVVIHEPRPGTSDRTIVLSGSPDETQAAQSLLQAFILNGSS >RHN63419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51133039:51136631:1 gene:gene26116 transcript:rna26116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MEMNTQTTPMEVLPEYDVFIHTSDGTRIPAHTTILASVSPVFENIIDQPRKQRSSERIIQIHGVPSTAVTAFVGFLYSSSCTEDEMDKYGIHLLALSHVYNVPQLKQRCIKGLVQRLTSENVVDVLQLARLCDAPDLRVRCMKLLTNHFNAVQKTEGWKFLTKHDPVLELDILRFMDESETRRENSRKHREEQGLYAELSEAMECLEHICTEGCTDVGPYHVEVNKEKKPCSKYSTCQGLQLLIRHFATCKKRVKGGCWRCKRMWQLFRLHSYVCQQTHDSCNVPLCRQFQLRMEQEKRKDDPKWKLLARKVASAKVMFSLSLPKRKRDEEMRVTIDNRGIRCFGYNEYCNNNVSDNRCVSC >RHN72862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11327457:11332904:-1 gene:gene8624 transcript:rna8624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MSRIRLLGVVLWLCCWLVVTADAQVDEMKYKDPKQPVAVRVKDLLSRMTLEEKIGQMTQIDRSVANANVMKNSFIGSVLSGGGSEPLPKATAQDWVNMINEFQKGSLASRLGIPMMYGIDAVHGHNNVYNATIFPHNVGLGCTRDPDLARRIGAATALEIRATGIPYAFAPCIAVCRDPRWGRCYESYSEDPKIVREMTEIIPGLQGDIPPGARKGVPYVGGKTKVAACAKHFVGDGGTTKGLNENNAVVDWHTLMSLHMPAYIDSIIKGVSTVMASYSSWNGVKMHANRDLITGYLKNTLKFKGFVISDWQGIDKITTPPGSNYTYSVQASIEAGVDMVMVPYEFEDFIKDLTLLVKNNIIPMDRIDDAVERILVVKFTMGLFENPLADFSLVNELGSQAHRDLAREAVRKSLVLLKNGKNQSAQLLPLPKKARKILVAGTHADNLGYQCGGWTIKWQGFIGNGDTSGTTILSAINSTVDPSTEVVFRENPDAGFVKSNNFEYAIVVVGEPPYAETAGDSTALTILDPGPNIINNVCGAVKCVVVTVTGRPVVIEPYLSSIDALVAAWLPGSEGQGVADVLFGDYGFTGKLARTWFKSVDQLPMNVGDPHYDPLFPYGFGLTSESVRDLVTRSTSTAEFVRACIVTIMATLLTSLYLIG >RHN70913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54980534:54984297:-1 gene:gene19547 transcript:rna19547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase Aur family MAIATETQPQPHQQHHTASSEVSGSAKDQRRWILNDFDIGKPLGRGKFGHVYLAREKTSNHIVALKVLFKSQLQQSQVEHQLRREVEIQSHLRHPHILRLYGYFYDQKRVYLILEYAPKGELYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGVLCYEFLYGVPPFEAKEHSDTYRRIIQVDLKFPPKPIVSSAAKDLISQMLVKDSSERLPLHKLLEHPWIVQNAEPSGIYRS >RHN64342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58360691:58366223:1 gene:gene27150 transcript:rna27150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exosome complex RNA-binding protein 1/RRP40/RRP4 MRGIHLQLSQTQKLRLQKALEHLESLSSKVNSDSSVTIADSIPVNHEDGVLKGHGTADLDGEVVATLCGVVERVNKLVYVRALRSRYKPEVGDIVIGRVIEVAQKRWRLDINYSQNAYLMLSAMNMPDGVQRRRTALDELNMRCIFEEADVICAEVRGFQHDGLHLQARSQKYGKLSTGQLLTVTPYLVKRQKQHFHHLEQYGIDLIIGCNGFIWVGEHVEVRDDMVEDQVNQSDPQVLTPNKNSVSLEEQEKKYTALETRKYICRAANAVRGLSTLGFIITSEIIKGVIDLSLSANLDIHDMLGSEFCVLVAEKEAERRSSNKKKR >RHN66038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7840237:7844367:-1 gene:gene13948 transcript:rna13948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MALGNDEDDPTANRRQRLIAGTLTFQPRPELPTLPFDVLPEILCRLPVKLLIQLRCLCKFFNSLISDPTFAKKHLQLSTKRHHLVLTNDELVMYDSPIPSLFSTSAVFTQTQLHISSTLTNGRIYAPTCSCDGIFLGMLKVGSYYLWNPSIRKFKLLPTLENPHEHGANFCSFGYDHLIDNYKVIVVSSKNKVSVNTLGTDYWTRIEDIPYSDNICGQGVFVNGTVNWLASDDSNILSLDLNKESYQHLLLPDSENDLWILHVVRDCLGLFGTGDMSLDVWIMKDYGNKESWTKLYSVPNMQDRGLEAYDALYISEDDQLLVDCIEIESGNDKLVLYDSKTGTLNIPEFQNNYERICPNVYIESLISP >RHN68685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37805820:37809120:-1 gene:gene17068 transcript:rna17068 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MAKEEIANQAIKHAFRALRKRHLNEEGAHAAAFAALSRPIVSQASEWKEKAESLEMELQQCYKAQSRVSEQLVVEIAESRTLKSVIQEKETAVDDLQKELTSMRDECKQMKKDLEDKINAHEIILSENTELKTQLEEMTIRAKSAEIEHKTLVDRMMLEKMKNAEQLNEANQLYDDMIKQLKASGLEKLARQQIDGIVRQGEEYADVILQSIVPSTCKHRLQAHEGGCAAILFECNSNKLITGGQDRAVKVWDTDSGSLISNLHGCLGSVLDLAITNDNRSVIAASSSNNLYAWDLNLGRVHHTLTGHTDKVCAVDVSKVSSRHVVSSAYDGTIKVWDLLKGYCINTIIFHSNCNALSFSTDGQTILSGHVDGNLRLWDIKSGKLLSEVAAHSLAVTSISLSRNGNVVLTSGRDNLHNLFDMRSLEVSGTLKAAGNRVASNWSRSCISPDDKNVAAGSSDGSVYVWSASKADIVGTLKEHTSSILCCTWSGLGRPLASADKNGVVCIWT >RHN41242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28546184:28547527:-1 gene:gene47543 transcript:rna47543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin remodeler Bromodomain family MEPQTGPSAFATSSIHKRKHLSEDHSPPFHPSCDSSMHNFTGSVNSLATTSGSVPDFVVKDEAATNIFTENLQIRGGYSAREESLKKEEESGLLKFVCVCNDGVDDHMVSLIALKNIFARQLPNMPKEYIVRLIMDRNHKSIMVIREPNRVVGGVTYRPYANQRFAEIAFCAISDDRQVKGYGTRLMNHLKQYARDVDGLTHFLTYADNNAIGYFIKQGFTKEIHLEKDRWEGYIKDYDGGTLMECKLDPKIPYTDLTTMIRRQRQALFEKIRELSNCHNIVYAGIDFQKRSEDGVIPNRIVDDIPGLKEAKWTPDMYGHSRFRALDGSTDHATNLKHLTEFMRSILKEMHDHPDAWPFKEPVDALSVPGYYEIIKNPMDLKTMSERLESEQYYVTFEMFLADARRMFENARTYNPPKTIYYKCATRLEAHFQSKVTTLLQSGFKIQ >RHN57062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36200590:36203175:-1 gene:gene32554 transcript:rna32554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MFMAPRRGLLDGGEDRYGLMSFGTKDIFTMRRKIRYWQKQFKQRHLFGGLMVLLLMCVITKFILMNMFSDQLDLDTAIPSNVVQDEPSPNNWPTLEIWKHPNSDNYFNCMGRAKKDIRASKLSSLLLNFFLVKFDISDSHSIIHLCITMKNFYYIGQGNNTNGYLLVHANGGLNQMKTGISDMVAIAKIMNATLVFPTLDHNSFWTDPSDFKEIFNWKNFVEVLNEDVQVVESLPPELAAIKPALKAPVSWSKASYYRTDMLQLLKKHKVIKFTHTDSRLVNNGLASSIQRVRCRAMYEALRFAVPIEELGKKLVNRLRENNTPYIALHLRYEKDMLAFTGCSHNLTKEETQELKKMRYSVKHWKEKEIDSKSKRLKGSCPMTPREVAVFLEALGYPVDTKIYVAAGVIYGSEGMKPLQKKFPNLLWHSSLATKEELQPFEGHLNQLAALDYYITVESDVFVYSYDGNMAKAARGHRKFDGFKKTISPDKQRFVRLIDQLDNGLISWNDFSTKVKSIHAKKKGAPQARKIHRHPKFEETFYANPFPGCICQKPTHLMAETNHESL >RHN61695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37588948:37589635:1 gene:gene24184 transcript:rna24184 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNIICNHTKSMSTIIMILNSSIPLISKIWTTEAQAQALMTSMHALLGSCKDNNEVYHHLVQTSVPSRSFHFA >RHN60174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22360353:22365538:1 gene:gene22419 transcript:rna22419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein Thf1 MAAVTSSFSFSTLTQSSQRKLTTLSSTRFFGSDSDAVRFRFSISLRYVGVVRTSNFVSKLVVRCSSSVSDPPTVSETKLNFLKAYKRPIPSIYNSVLQELIVQQHLMRYKKSYRYDPVFALGFVTVYDQLMEGYPSDEDRDAIFQAYINALKEDPAQYRVDAQKLEEWARAQNATSLIEFSSREGEVEGTLKDIAERAGGNGDFSYSRFFAVGLFRLLELANAMEPTILEKLCSALNINKKSVDRDLDVYRNLLSKLVQAKELLKEYIDREKKKIEERAEPQKANEAISKCLGQEQFSNMITR >RHN69601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44795263:44805749:-1 gene:gene18090 transcript:rna18090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trehalose-phosphatase MKHMIFLLVTFKIIKKKLTKLRESMGFQTAHNTKLISQPDLKAKEDSCDGLIPRPLNFTQFTPSGYDSWLVKHPSALSSFDRLMKAAIGKRIVVFLDYDGTLSPIVNDPDRAFMSDEMRAAVSEVATYFPTAIISGRSREKVKDFVKLNNLYYAGSHGMDIMAPSGPIRSSDGNHQCYNNTLDTNGNEVPFQPAKKFLPAIQEILRKLENVIKDIEGAKIENNRFCISVHFRQVHEKDYNKLEEKVKSVLGKNPLFCLTEGKKVMEIRPSIEWNKGNAVEYFLDTLGLSSSSNFLPVYIGDDKTDEDAFKVIKRMGQGYPIIVSSTPRETDALYSLRDPSEVLIFLSRLAKWTKTYYSRRPLNIGN >RHN79869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33027015:33028841:-1 gene:gene3718 transcript:rna3718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MRIFCLKPLTPWFSIYRNRLPSSNSSSSTTPSSRKAATQIEAAEALIQKWNSETSDYAKITSLFYNNKCEAIQYIHHVNQLQRAMHSLLELEPSSPELIHAQNLMQIAMKRLQKEFYQILTMNQAYLDSESFSIRSFSTSFGSFDGGTLEDDDDHDAQDCISEVERVSSDVVDDLKIIAECMVSNGYGKECVNVYTTVRKSIVDEGVYKLNVEERSFSKGNKMDWEVLEMKINCWLEAVKISVRTLFSGERNLCERIFASNSIREACFGEISRDGATLLLRFPEFVAKTKKWTPEKIFRLLDMYATITMLLPEVESIFSFNSTSGVKSQAYNSQQRLVESVRNIFSEFESAILKDSSKSLANFGGIHSLTTQTMQYLTKLTDYSNVLSEIFFDIPPSLNSPLPESYLYSPESSNYSTEIETEFSIRIAWLILVLLCKIDVKSKQCKNISLSYLFLANNLQHVVEKVRVSNLQYVLGDDWLLKHMEKVKRLIEKYERIAWGAVVTSLPKNPTTAISVAEARAVFMKFNLEFEKAYQKQNSFVMPESELREEIKASLGRKIIPIYRELYDTHRIVKGSKSEMNEYVVFTHEDVQSYLVDLFCVGRELNNI >RHN55150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15577788:15578102:-1 gene:gene30286 transcript:rna30286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MDIPPGYTVPSGDRTVCRLQRALYGLKQSPRAWFGRFSMAMKKYGFCYTLFLDLEILGPISFQTLSIIRFQPYSSNCLCLAVFSITILPMFSCIKPFEMSLLVL >RHN72263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6248716:6255238:-1 gene:gene7957 transcript:rna7957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase Do MVATKPTITMFSLQMNLFPSPTLTTHSSTKTLPTTTVTATKRRAIVFSSSLVVASWLNFLNFNSAQPLSLSLSLSQQLQDELQQQEDHLVHLFQETSPSVVSIKDIELTKVPKTSSKEVMLDEDEDAKVEGTGSGFIWDKFGHIVTNYHVVAKLATDTSGLQRCKVFLVDAKGNNFSREGKIIGFDPSYDLAVLKVDVDGYELKPVVIGESKNLHVGQSCFAIGNPYGYENTLTTGVVSGLGREIPSPNGGAIKGAIQTDAAINAGNSGGPLIDSHGHVVGVNTATFTRKGTGASSGVNFAIPIDAVLRSVPYLIVYGTPYSNRF >RHN82673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55586239:55589090:1 gene:gene6852 transcript:rna6852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MVFVLFLLSLLFLTTSTSIPTSSHSHNHSYPHFQRINVKQTIAETKLNLKPFHTTKQPQENKKSNAESMSEKSDAVSGKHKLKLLHRDKISAFNISGDHLSRFNARMERDSKRVTAVVRQIAGGVGYETEEFGSGVVSGMEQGSGEYFVRIGVGSPPKNQYVVVDSGSDIIWVQCQPCTQCYHQSDPVFNPEDSSSYAGVSCGSTVCSRVENAGCHEGRCRYEVSYGDGSYTKGTLALETITLGGTVIRNVAIGCGHRNQGMFVGAAGLLGLGSGPMSFVGQLGGQTGGTFSYCLVSRGTESSGSLEFGRESVPVGASWVSLIHNPRAPSFYYIGLSGLGVGGLRVPISEDIFRLNELGEGGVVMDTGTAVTRLPAAAYNAFRDAFVAQTTNLPKTSGVSIFDTCYDLNGFVTVRVPTISFYFLGGPILTLPARNFLIPVDSVGTFCFAFAPSSSGLSIIGNIQQEGIEISVDGANGYIGFGPNIC >RHN78436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15064366:15072320:1 gene:gene1992 transcript:rna1992 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCLGKEEEYPYSESCSLRLLRDMTPDASHASSGVVRAQT >RHN38516.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:478431:478712:-1 gene:gene50632 transcript:rna50632 gene_biotype:protein_coding transcript_biotype:protein_coding MKELISLWVINPSRQVSHRKTLVQSFGPICFVACSAETATKAQTKASIKAGPRLYLFITRDIKYMVVFIYKNGYDIRYLFKFSYASAYYTCYV >RHN75680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44248494:44248826:1 gene:gene11917 transcript:rna11917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative formiminotransferase subdomain-containing protein MFQEQESSQCPIPILSTDVSAARRIARKVSARGGGLPTVQTLRVVCGDFNEIACMLLEPNQIGADRVQNLVEMLAAQEGLDVEKGYFTDLSPEMIVEQYMNLISNKKSSP >RHN62405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43182781:43185313:-1 gene:gene24978 transcript:rna24978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MNNPYLDPKNPKNELLDTLLHDYFKKRGLSNTAEVFQSEARINGQTPPEFDKQPHGLLYDLWIKSIPQIPGPSGQSQPFICYIDTGDCVTTSKSHSSTILEVRFQPGSTIFATSSADKTVKLWDAKKPGTLLSGFDEHEAQVRSLDFHPLGGTLCSSDTSNVIKVWDLNQRVRINHCIAGSLVRFQPGSGKLLAVANQNVIAILEFPSFDVKNILKGHVKDINSICWDVTGNMIASVSEDDVRVWSDGQCIFEYQSDGKRFQSIIFHPRYPDVLVVAGFQCLELLTVETREIRTKGDASDVLITGLAATTARSEFDIASASSNSVVKIWK >RHN80495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38598349:38605303:1 gene:gene4430 transcript:rna4430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UBX domain-containing protein 2/7 MSDIADKLAYFQAITGLQDPDLCNEILAAHNWDLELAISSFTTTDTPHGGDNDNADQNTHLLSQSQSSSQSEPPLPPPPPGLAWKLIKLPVSVISGSLGLISGAIGFGLWAAGGVLSYSLGFVGLGPGSSSAAASSAGTPLVSVSAATTEAMQFVAAFERDYGGGAFKPNFVSEGFMDALQRSRNSFKLLFVYLHSPDHPDTPEFCRRTLCSEVFVEFVNQNFVCWGGSIRASEGFKMSNSLKASRFPFCALVMAATNQRIALLQQVEGPKSPEEMLVTLQRVLEESSPVLVAARLDAEERRTNARLREEQDAAYRAALEADQARERQRREEEERLAREAVEAERKLKEEEEARERAAQEAAEKQAALAKLREEKAQSLGEEPEKGPNVTQVLVRFPNGVRKERRFNSTVTIQSLYDYVDSLGCLEVENYSLVSNFPRVVYGQEKLALSLKEAGLHPQASLFVELGS >RHN71281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57881600:57882082:1 gene:gene19940 transcript:rna19940 gene_biotype:protein_coding transcript_biotype:protein_coding MAADCRATVHSYKYLLQNRIVNITGAVPCKKCKEKFQMSFHLREKVPEILKFVTENRNDMHDRAPTIWMKPTLPNCVHCNQQNSVKPVIADKKRSINWLFLLLGQMLGCCTRKQLKYFCKHTKNHESGAKNRVLYLTYLGLCKQLDHPRPFDTGPFDYDA >RHN41553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31026410:31026700:1 gene:gene47887 transcript:rna47887 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLISVLVLLLAVITLTTVAGNINPKYESARSNGFISYEPPQTDTQKLVALNHRRRRHLAHFPPRISVPPINIPGRPHPRPLGLPIRPPPKM >RHN73309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15274838:15276014:1 gene:gene9108 transcript:rna9108 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTMTASTDQYWMQYYQQPLMDHTLNPPPIENFSDSTVMTTNPIPLETMILSPTNSYTNTSDQLTPKGGNISKPIRRRSRASKRTPTTLLNANTTNFRQLVQQFTGCPSTTLSSSSSLGVHKGPITLNFQQGSSKQNVQHDTTARLMSQLSSTSYNQVHHQVTKPFPWNKEQIQAPRQQQSGYNSFEYVKNNNFVPNSGNSLSRPRNLDVSDGLVVDNDFGLHDLTVNEFSNDTFM >RHN50225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5325299:5325569:1 gene:gene34492 transcript:rna34492 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLLRDMGREIIRGKSPEELEERCRLWFHEDVLHVLSDQTVRSSYALFVDFHKNFEKLVW >RHN40946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24840981:24846632:1 gene:gene47195 transcript:rna47195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MTCCSQFFRSSSSTRFTGTDIDISELHNVKIYTYKELRIATSGFSLANKIGQGGFGSVYKGKLRDGCMVAIKVLSAESKQGVQEFLTEIKVISSIEHENLVKLNGCCVEDNHRILVYGYLENNSLAQTLLGSGHSSIKFSWLVRRNICIGVARGLAFLHGEVRPHIIHRDIKASNILLDIDLQPKISDFGLAKLIPPNLTHISTRVAGTAGYVAPEYAIRNQVTRKSDIYSFGVLLLEIVSGRPNTNRRLPVKEQYLLTRAWDLYEEGELKNLVDVFLEGDLNVEEAVKFCKVGLLCTQDSPQLRPSMSTVLKMLIGEKDVNDDNMIKPGMIFEFVDANDLGNKRGKAEMESTCTSLLAGSGNQDDSSSSGTGTSFATMTFTAIYDRTN >RHN79970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33974686:33976730:-1 gene:gene3834 transcript:rna3834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MALWMEKGSEPLTENEKADLEAIAAIKESSALELKEKGNQYVKMGKKHYSDAIDCYTRAIDQKALTDSETSVLFSNRAHVNLLLGNFRRALNDANDSIKLSPSNIKAIYRAAKASFSLNLLDEAQDYCQMGLHLDPKNEELKRLDKQIETKILENEKHEAEVSNAIAEAKELASAIENRGLKIGKAMYRELTGLRKPVFDKSNILHWPVLLLYAEVMSSDFIEDFCETDMFTVHLDMISFYMKCFVHIFLILVLCVYDRVTPLAIKRIFRRSTASMGC >RHN49868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1834253:1834505:1 gene:gene34093 transcript:rna34093 gene_biotype:protein_coding transcript_biotype:protein_coding MFWNFLVLLCRCSSQILGYMSINYSSPTLASAIANLVPAFTFMLAVTFRLV >RHN67289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25578372:25582611:1 gene:gene15468 transcript:rna15468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MAYGSNAVITVPQFYGESYHIWVVKMKSCLKSFGLWDYVDEDKQVPPLQANPTVAQMKHREEEKLKKEKAISVLHSALADDVFTGIMHLKTAKQIWDELDERYAGDERVRSIKLLTLKREFERLKMKEHESVKEYTSKLSHLVNQMRLHGEVVDDSKVVEKMLISLPDKFEAKVAAIEESCDLKKLTVSEMVSKLTAHEQRFSMRMDDVFQAKHKQFGVGQKKKNYKHGGDQKGKNKDDGSSSESAAKDKFPPCLTCKRTNHLTKDCFYKGKPQIKCNHCNRWGHKEKFCRLKQNQSQPQHAHQVNFTDEQAHEDHLFMATHTCSSSSKDVWYVDSGCTIHMANEPSLFTSLDKAVRTKVKIGNGKYVQAKGKGIVSVHTSKGPKYIHDVLLIPDLSQNLLSVAQLLKKGYSISFKNNVCVIMDSTDYEVVKVEMCGNSFPLSLNQVNQTALVSKHDDSALWHKRYGHFNFNALKFQQSHDMVRDMPEINCINDLCDVCQLGKMHRKSFQSTNVTRAKEKLELVHTDLCGPMSVPSLSHNKYFLLFIDDLTRMTWVYFLTSKAQTFNVFKKFRAMVESQSGCKIKALRSDNGKEYTSNEFNLFCEDMGIVHQLTVSYTPQQNGVSERKNRTVMEMARCLIAEKQLPKSFWAEAVYTAVYLLNRLPTRAVQGKTPIEAWIGVKPSAKHLKIFGSICYVHVVAAKRSKLDDKAEMGIFLGYVASSKGYRVYNLKTKQIVIRRDIDVDENVYWDWENDEVQRSTKSAESAHDKQEHTTNEDENQIAESDSPILKSKSLAEVYENCNFVVNEPSSFEEASLITEWKDAMKEELLAINKNGTWELTQRPKDKNVIGVKWVYRTKLNPDGSIHKHKTRLVVKGYSQMARIDYGDTFAPVARHETIRLIVALSAQCGREIFHLDVKSAFLNGILEEEIYVEQPADFIVADHEDSVYRLHKALYGLKQAPRAWYSRIDSHFLQNDFRRSQNEPTLYVKDCGNGKRIIVSLYVDDLLITGNDIDEINKFKKSMLQVFEMTDLGLMKYFLGMELHQLDDGIFLSQKKYANDVLKKFKLESCKSVSTPLAVNEKLSKSDGDAKADVTQYRSLIGCLLYLTATRPDLMFSASLLSRFMHSPSVTHLGVGKRVLRYIRGTTDFGIWYNKGDGKIEGFVDSDWAGSVDDSKSTSGYVFSLGSGVFSWNSKKQDVVAQSSAEAEYIAAAAASNQAIWIKKVLSDLNHVQEEPIVLWCDNKSAIAIAKNPIQHGRTKHINVKFHAIREAEQNGDVKLQHCSSEEQLADILTKALPSAKFMELRSKLGVFQISFKEEC >RHN49020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51836329:51840502:1 gene:gene43801 transcript:rna43801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase, protein trichome birefringence-like 6 MERQRSFSFKPTRLLLFTFTLFSSIFFLSSFTIWLTKSTTTPSPNTVSVNLQPLTVHSLTTHNFTLQKLPSLIDNRLSRSQNNVSGSKSEAIENNHVGAASSVNSTVMHGNRTKVEVSKSKVLIFKKVEVKKIVKECDLTKGYWVFDESYPIYARDSCPFIDEGFDCEGNGRLDRNFTKWRWQPKDCDLPRFNATKMLEFIRGKRLVFVGDSINRNQWESMLCMLLSAVKDPKRVYEARGRTITKERGNYCFRFLDYQCTVEYYVSHFLVHESKARVGQKRRPTLRIDAIDHGSSRWRGADILVFNTAHWWSHHKTKAGIYYYQEGTLVHPRLNVSTAFSKAMMTWASWVDKHINSKKTQVFFRSSAPSHFRGGNWNSGGHCTEATYPLNETLNTMYPEKNIIIEETVKQMKTPVTWLNITSLSEFRIDGHPSIYGRKTQSSRIQDCSHWCLPGVPDVWNELLFFHLQNRRG >RHN62467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43632124:43636481:1 gene:gene25047 transcript:rna25047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase-associated protein Rtf1 MADLENLLLEAAGRTGAAGRNRHSLPPSRRRRDGSYSDGGSDSRDDDSDDELNYASRKPSGSQVPLKKRLDPADRDDDLGSQEEADDDGRSDREGDTSDESNIGDDLYKDEDDRRKLSEMSELQREMILSDRASKKDDKSLLGKIASKREKGKAIMTGKQSPPLSSSRMRASARSADRSAKNEALNELRAKRLKQQDPEAHRRLREASRSSGPRNFSPPKRKSFTSANLSSSSHSDSESRSHSDDEGSTGDGGIADSDDDRALSGSEGLSFQDIKEITIRRSKLAKWFMEPFFEELIVGCFVRVGIGRSKTGPIYRLCMVKNVDSSDPDRQYKLENKTTYKYLNVVWGNESSAARWQMAMISDSPPLEEEYKQWVKEVERSGGRMPSKQDVLDKKQAINKIITFVYSAATVKQMIQEKKSASSRPLNIAAEKDRLRSDLERAQGKNDDAEVERIKARLLELEASRHAKVKDAKALKLAEMNRKNRVENFKNASELRPLTGLKEGDAGYDPFSRRWTRSRNYYVATPSEKAAALNNNTVGLVSDAGANGTGVPVTAESGAVATAIALEAAADAGKLVDTSAPVDQGTESNTLHNFELPVSLALLHKYGGAQGAQAGFMARKQRIESTVGFKLSENDGRRHVLTLSVGDYKRRRGLL >RHN63859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54540887:54541684:-1 gene:gene26614 transcript:rna26614 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQVVLIVFTAAPPLSCRRRFATHFAFQICRHDPFSGAAMLRFSVKGVVLVGFQGNLWLSRRVLFL >RHN42378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37871069:37872662:-1 gene:gene48813 transcript:rna48813 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPWRNETSLLRMQNYETEKLKNPNKNHTLEKVELRNWHGNEWIKGEPGIEGGEDNSGVRIRPGH >RHN45581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23257429:23258323:-1 gene:gene39954 transcript:rna39954 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVKGSFLKAVVTSPTMSRPLLDATSKRKIHFLVEVLGHLKFVI >RHN81150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43664215:43664541:-1 gene:gene5153 transcript:rna5153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MYIVAALIADKPVVIFSKSSCCMGDSVKALIRSFGANSTVIEIDKMVNGEKIESALVQLGCRPSVPAVFIGQQFVGGVNQVISLNVQNKLAQLLLKARPIFIWGPISR >RHN60513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27473953:27475688:1 gene:gene22834 transcript:rna22834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MITPEQANKLAEYDSVVSVFESKISKLHTTHSWDFLRLNPVYDENHVALDFTSNVIVGVIDSGNTPLMLSCFSLIWIIQSLTSHCFSGVWPESESFNDYGLGPVPEKFKGECVTGDNFTLANCNKKIIGARFYPKGFEAEFGPLEDFNKIFFRSARDNDGHGTHIASTIAGRSVANVSLFGMAKGIARGGAPSARLAIYKTCWFGFCSDADILSAVDDAIHDGVDILSLSLGTEPPQPIYFEDAISVGAFHAFQNGILVSASAGNSVLPRTACNVAPWILTVAASTVDREFSSNIHLGNSKILKVKFQAQTMYKYI >RHN49509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55278795:55280602:1 gene:gene44348 transcript:rna44348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyanobacterial aminoacyl-tRNA synthetase, CAAD domain, protein CURVATURE THYLAKOID 1 MAVAASAGTVLVPHRLLTTTNVTRCSALPYLPPRLSSSPFLKQQLSESRKFSLHQTRASSSEETSTSLDTNELFTDLKEKWDALENKSTVLLYGGGGLVAVWLSSILVGAINSVPLLPKIMELVGLGYTGWFVYRYLLFKSSRKELATDIDALKKKIAGTE >RHN77819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9600713:9602830:-1 gene:gene1312 transcript:rna1312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative retrotransposon gag domain-containing protein MTVNDVEINWASFKKAIMEKYLPKSFKIKKEQEFLELKQGNMSINEGVKDMLCMKAAGAFYNRVSK >RHN63909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54983897:54985614:1 gene:gene26665 transcript:rna26665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MAFPDDIPTSKITPPQFASLNNDDFKREGTSHSNALICPPSDALICPPPRMTLPQSNRSDHIFYKTRICTKFIFGACRNGTNCNFAHGAEEIRQPPPNSQKLVGPCNEDKKIINKMKLCKKYCNGEKCPYGDKCKFLHEDPAQFRGTYRKTKLCLKWKDTGYCSFGKNCHFAHGEEELQVPGGAIEAEAAVAIEADAAITIAKEEEQAKKKRILGLKFSQINRIYGDWIDG >RHN63645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52899252:52902661:-1 gene:gene26370 transcript:rna26370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MGNCFGSRYKVHEPFSQSNSEVTKVPFRSTQLLVHSSAIVHGHNDGGKPEILPTPRSEGDILSSPHLKAFTFKDLRNATKNFSNDSLIGQGGFGYVYKGWIDAQSLKAARPGCGTVIAVKKLKPEGFQGHKEWLSELNYLGQLHHPNLVKLTGYCLDGDNRLLVYEYLPNGSLEKHLFSRKGTQLLPWATRIKVAIGAARGLTFLHDSNQQIIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRSHVSTQVLGTQGYAAPEYIATGRLTTRCDVYSFGVVLLELLSGRNAVDKTKSGAEHNLVDWARPYLGDRRKLFRIMDTRLQGQYPQRAAYTAAILALQCISEAKFRPQMSEVLTTLENLPVIRHSASPSRFEEKPFPGPIRERI >RHN46390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31247744:31248037:-1 gene:gene40872 transcript:rna40872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ELM2 domain-containing protein MVGNSHSCSAKTSIEEKPKEEDMDLIHSLKNFLPSRGNHLPRPFIPIGPRFQAEVPKWEGTTNIKQYNNDDCVKWLGTQIWPMPSLSKTNAKEYWKR >RHN69358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42878576:42882199:-1 gene:gene17813 transcript:rna17813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MASAGYGDANQKIDYVFKVVLIGDSAVGKSQILARFARNDFSMDSKATIGVEFQTRTLVIEHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRPSFDHIPRWLEELRNHADKNIVIILIGNKSDLEEQRAVPTEDAKEFAEKEGLFFLETSALQATNVETAFMTVLTEIFNIVNKKNLAADENQGNGNSASLSGKKIIVPGPAQEIPKKSMCCQ >RHN54645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11012601:11017779:1 gene:gene29715 transcript:rna29715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sodium/solute symporter MASSSQCPPFEFSSKYYHENGGGCVRQASFFEGKPVLNQGVGYSIILGFGAFFALFTSFLVWLEKRYVGSRHTSEWFNTAGRNVKTGLIASVIVSQWTWAATILQSSNVAWEYGVSGPFWYASGATIQVMLFGIMAIEIKRKAPYAHTVCEIVKARWGTSAHIVFLFFCFMTNIIVTAMLLLGGSAVVNALTGVNIYAASFLIPLGVIVYTLAGGLKATFLASYIHSVIVHVVLVIFVYLVYTSSSELGSPSVVYNRLLEVASKTRTCQDPISHHGQSCGPVSGNHKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPLNESEAGRGLVPPATAVALMGKGGSILLLTMLFMAVTSAGSSELIAVSSLCTYDIYRTYINPNASGKKILRVSRMVVLGFGCFMGLLAVILNKAGVSLGWMYLAMGVLIGSAVIPIAFMLLWRKANAIGAILGTVFGCVLGIITWLSVTKIEYGKINLDTSGRNAPMLAGNLVSILTGGVVHAVCSMLWPQNYDWSTTKQITVVEKEKTDLPAEEFKEEKLTRAKVWIVKWGIGFTVLIVILWPILSLPAGEFSKGYFFFWAVIAIGWGTIGSAVIIALPIIESWDTIQTVILGMFTNDRLVEKVDELNFKLHTIIQAIPEAERLYLLEKEKTKKLEASEQQSVSIPM >RHN55016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14436159:14437243:1 gene:gene30133 transcript:rna30133 gene_biotype:protein_coding transcript_biotype:protein_coding MILLVDIYAIVFEHCSRCISLYSHMDVYKISKVRFRSVVIH >RHN62462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43606433:43609095:1 gene:gene25042 transcript:rna25042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MDEIQHKFVDVGDLKLHIAEIGTGPNVVVFLHGFPEIWYSWRHQMIAVAGAGFRAIAFDYRGYGLSDSPPEPEKTTFTHLLNDLLAILDALSLSKVFLVGKDFGARPAYLFSILHPERVLGVITLGVPHVPPGPSRYHKILPEGFYILRWQKPGRAEADFGRFDAKTVVRNVYILFSKSEVPIADENQEIMDLVEPGTPLPSWFSEEDLAAYGALYEKSGFQTALQVPYRSFGEDFNLPDPVVKVPALLIMGGKDYVFKFPGIEDLTKGEKAKELVPNLEVTFIPEGTHFVQEQFPEQVNQLILDFLAKHT >RHN81074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43119948:43126506:1 gene:gene5069 transcript:rna5069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MSTDSHPPNLYAKGLLCNAGAGAAAGVIAATFVCPLDVIKTRFQVHGTPQLANGSVRGSLIVGSLGQIYHKEGMRGMYRGLAPTVLALLPNWAIYFTMYEQLKRLLSNDESHHLSVGANVVAASGAGAATTMVTNPFWVVKTRLQTQGMRPGVVPYRSTLSALKRIAHEEGIRGMYSGLVPALAGISHVAIQFPTYEKIKFHLANQDNTTVDKLGARDVAIASSVSKIFASTLTYPHEVVRSRLQEQGHHSEKRYSGMTDCIRKVFQQEGLSGFYRGCATNLLRTTPAAVITFTSFEMIHRFLVSLSPSDPQ >RHN75986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46774804:46779386:-1 gene:gene12254 transcript:rna12254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylinositol N-acetylglucosaminyltransferase MSTDDRRKHRILMVSDFFYPNFGGVENHIYYLSQCLINLGHKVVVATHAYGNRSGVRYMTGGLKVYYVPWRPFVMQNTFPTIYGLLPIIRTILIRERITVVHGHQAFSTFCHETLMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLADVTQAICVSHTSKENTVLRSGLPPDKVFVIPNAVDTPMFTPPAVDCPRRLEEIVIVVISRLVYRKGVDLLVEVIPQVCRLHSNVRFIVGGDGAKRVRLEEMREKHSLQDRVEMLGAVPHAQVRSVLIRGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMVVLAEPDPSDMVYAIEKAIHMLPKIDPQVMHNRVRELYDWNDVAKRTEIVYDRALKCSDQNLLERLSRYLFCGAWAGKLFCLVMTVGYLLWKLLELWQPADDIEEVPDFTLARNCDEEILENTQ >RHN41556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31046790:31049016:-1 gene:gene47890 transcript:rna47890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MTQCTFLAPVTWLLTISTVYLGGYDKEEKAARAYDLAALKYWGPTANINFPVSHYAKELEEMKDVGKQEFVASLRRTSTGFSRGASKYRGVTRHHQQDRWQARIGRVAGNKDLYLGTYETEVEAAEAYDLAAIKFRGANAVTNFEISRYNTEDMLDSSPPVGGEAKRLKPSEESKKKAPVTSTSEQPDSTNMNSSIDFLPIASEPYDSATQYFVSNHLHHFHPSINAESGTAESAATTTNGAAEFFLWPRQSQ >RHN59424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10598175:10601343:-1 gene:gene21453 transcript:rna21453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WEB family protein MTHIISKIKAATPLSSSINTKLTRQDRLFCKYLCSHLFNRYTFTFTSTNPKHATTQQPFYFLVFSMEIPEAVVVNRVEPGSKENGLRAEIDTSAPFESVKEAVTRFGGVGYWKPVLNHNNSNAINLIIPQQQNTEELDAGKLEEQAVKLEKELIIKERETLDVLKELESTKRLVENLKSKLQAEEESEANLNIKLNLCDDKENEKKEEEKENHGSRVPSNSASPGLILRELKQAKLNLNRTTNDIADVRVSVESLNKKLQKERISLEKTRESLTQNSSKITSLEEELNQTRLKLQVVKDAEIECGSDEPSDITKELQRLSSEAEHFKKMGEVAKSEVTRAMSEIEQTKAMIRTSEIRLVAARKMKEAARAAEAAALAEIKALSYYDGNCVQKCDGVTLTFEEYNALTCKAREVDEQSKKRVHDAMFQVEEANVSKMDVLKRVEEATFEVKTSKQALEEALERVEAANRGKLVVEEALRKWRSEGNKRRSSYSTKFKNPSHYRRSSRLFDVNGTNQVDDEAKPVLKPTLSIGQILSRKLMMPEKFEGGMLNERISMKRKVSLGQMLGKQNYDPSFEFDRQVENENDQKQFSAKRKKFGFGRFSLLLTKQQKKKKPTLNLR >RHN69614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44949395:44950891:-1 gene:gene18105 transcript:rna18105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,4-beta-D-xylan synthase MMDCGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGIQGPVYVGTGCLCRRTAIYGFNPPQVQEEATSWFCSKKKNSLTVSSVPEVDSLEDQPLRRGGSIDDEEMSNALIPKKFGNSTVFVDSIRVAEFRGRPLADHPSIKNGRQPGTLTSPRDIDAATIAKAISIILCWYEDKTEWGNSVGWIYRSVTEDVVTGYRMHNRG >RHN62300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42465581:42468538:1 gene:gene24860 transcript:rna24860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase, protein trichome birefringence-like 37/38 MALNVHTLFLLSLFSIALLVSMHPATAQKSNNCNLFFGSWVIDSSYPLYDSSSCPFVEAQFDCQQFGRPDNQYLKYSWKPDSCDLPRFNGEDFLNRWKDKKIMFVGDSLSLNMWESLSCMIHASVPNVTTSFSRKEPMSTVFFRNYGVTIQLYRTPYLVDIIQEDVGRVLTLDSIKAGKAWVDMDMLIFNSWHWWIHTGDLRGWDFIRDGSNLVRDMDRLDAFYKGLTTWAGWVDANVDPTKTKLFFQGISPTHNKGQDWNEPKKTCSGEVEPLPGTTYPAPLPPANDVVNKVLKNMKKQVYLLDITLLSQLRKDAHPSIYTKDPTGIDCSHWCLPGLPDTWNILLNAALII >RHN80967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42296381:42300545:-1 gene:gene4954 transcript:rna4954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galacturan 1,4-alpha-galacturonidase MKKFLSLPDVFLVLALICCSSWTVWSSSSCKQINIKEVRPHSVSITEFGAVGDGITLNTKAFQNAIFYLNSYADKGGAKLFVPAGRWLTGSFDLISHLTLWLDKDAVILGSTNSEDWPVVDPLPSYGRGRELPGGRHRSLIYGCNLTDVIITGNNGTIDGQGSIWWSKFRNKTLDHTRPHLVELINSTEVLISNATFLNSPFWTIHPVYCSNVTVQNVTIIVPFGSPNTDGIDPDSSDNVCIEDCYISTGDDLISIKSGWDEYGISFGRPSTNISIHRLTGRTTSAGIAIGSEMSGGVSEVYAEDIYIFDSKSAIRIKTSPGRGGYVRNVYISNMTLINVDIAIRFTGLYGEHPDDSYDRDALPVIERITVVNVIGENIKRAGLIQGIKGDNFVDICLSNITLNVSKNNPWNCSDVKGYSELVSPESCEQLNERIFPDHVSNCYKLPYIIKSSDVIKSSSNRNKVAWLQSW >RHN56030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27011423:27012612:1 gene:gene31348 transcript:rna31348 gene_biotype:protein_coding transcript_biotype:protein_coding MNILLELVAGVPGVTEALFLARQAELAQERDESNESPMLYSCGLCGKGYKSEKAHAEHLKSMRASEGDSQSDGKAIIKPLPQRDVNKPRPKRVVDNSAEDDDSEDEWVEVDSDDDAAKSLTDMNMDENAENDDMDEDDGVDLDPSCCFMCDHKHKTIENCMVHMHKHHG >RHN52470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35655540:35659943:1 gene:gene37154 transcript:rna37154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MALQPPSSSTSFSYGFTYDVFLSFRGSDTRFGFTGNLYKALRDCGIHTFIDDRELQGGDEISPSLVKAIEESRIFIPVFSINYASSSFCLDELVHIIDCFNTKGCLVLPVFYGVDPSHIRHQTECFGEAIAKQEVKFQNQKDDMDRLLKWKCALNKAANFSGHHFNLGNEYEYEIITKIVKEVSNKINRTPLHVADYPVGIESRLLQIKSLLDVGSNDAVCLVGIYGMGGSGKTTLAQAIYNFIADQFECLCFLHNVREISAKHGLEDLQEKLLSKTVGLSVKFGHVSEGIPIIKERLRLKKVLLILDDVDELKQLKVLAGDPNWLGHGSRVVVTTRDKHLLACHGIERTYELDGLNKEEALELLKWKAFKNNKVDSSYEHILNRAVTYASGLPLALEVVGSSLFGKHKDEWKSTLDRYERIPHKEVLKILKVSFDSLEKDEQSVFLDIACCFRGYILAEVEDILYAHYGECMKYHIRVLIEKCLIKIYRQCGCTYVTLHDLIEEMGKEIVRQESPKEPGKRSRLWFHKDIVQVLEENLGTSKIEIIYMESPLSKEEEVVEWKGDELKKMENLKTFIIKRGRFSKGLEHLPNNLRVLEWRSYPSQDSPSIFWQKKLSICKLRESCFTSFELHDSIKKFVNMRELILDHCQCLIRIHNVSGLPNLETFSFQCCKNLITVHNSVGLLNKLKILNAKRCSKLTSFPPMKLTSLHELELSYCTSLKSFPEILGEIKNVTRILLRGTFIEELPYSFRNLSGLHRLLIWGSRNVRLPFGILMMPNLARIEAYGCLLFQKDNDKLCSTTMSSCVQFLRCKLSVEFLPIVLSQITNVKDLVLSGSNFTILPECLKECNFLQSLELDNCKSLQEIRGIPPNLKHVSALRCESLTYLCRWKLLNQELHEAGSTDFRWAGTERIPEWFEHQSKGPSITFWFREKFPSMAIFFATKSINNKLPDSHFLSLRVNGVAWALDHRWNRTNKYHPTLIEVKPDHTYLLDMQLQDKELNYNLDEALSKDEWIRVEVRCDGSMMKSLLTNCGIHVFKQKSSMNDIRFTDPYKLNSEVVQASWI >RHN59063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7233880:7234604:1 gene:gene21048 transcript:rna21048 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPFWSSLVGILVWITFRYFKKGRGPKLLSRYTRRGKWLVDFAQKFITYKKANEARSVSQSQR >RHN50949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12143515:12150603:1 gene:gene35305 transcript:rna35305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate kinase MSQVVATRSIQTSLPTTRSTHERVQKLLKPPTFASKVFQQKGKCCRLVFRSSCISARKSAPVEVVPVSPEDDSKIAEQLQRLHGVLPFSDASNGNGMWSKPTFKRKTKIVCTIGPSTDTKEMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQSKDNVIAIMLDTKGPEVRSGDLPQPINLTVGQEFTFTIQRGVGTADCVSVNYDDFVNDVAVGDMILVDGGMMSMLVKSKTENSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNKVDFYAVSFVKDAEVVHELKNYLKSCNADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIINLCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGSDGIMLSGETAHGKFPLKAVKVMHTVALRTEATIPGGQMPPNIGQVFKNHMSEMFAYHATMMSNTLGTSTVVFTRTGFMAVLLSHYRPSGTIFAFTDEKRVQQRLALYQGVTPVYMEFSDDSEETFRRALDFLQKQGMVKIGEEVALVQSGRQPIWRFQSTHNIQVRKVQ >RHN47192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37777692:37778051:1 gene:gene41758 transcript:rna41758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSKSKKNQRSSIPIEECDRDSSLPDSIICHILSFLPTKDTVATSILSKRWKPLWLSVFTLDFTNHNVFIKTTTLCLCCHVYSVMLSCNNTLPIQSFRFKSCAACKPKVITQLIIAAIQR >RHN50727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9841414:9842267:1 gene:gene35051 transcript:rna35051 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKFALHCLLFFMVFSYLFLPSSSLQTSRRFLSKDEKSTSMQTSLVKVENVTGLSIGEDSFDKGEEFMVKERMNLEIEDYPGTGANNHHDPNPPGRV >RHN45155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12402934:12404165:-1 gene:gene39372 transcript:rna39372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,4-beta-D-xylan synthase MYGEGLWLSLRSLALRIKGRKRLLLARSQTNEFDAQCLFEIKGTYGYGSSMWPKDSENATSSSSCSDWMGGDPNVFKEKSWRPFPESEYSCCNSQPLLLLDHDQLPKLFPINRVADLDILKEKFETPSPAKPNSKSDPPEIDMLVSTADPEKERVASYF >RHN38636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:891039:895193:-1 gene:gene44594 transcript:rna44594 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-RSK-2 family MERYSESKVHPRNLPILVEVSPHTAATARDVGQSQRLNGQEFSNARWISARELNQLSQGRDVPMTRLASIREVAQLSNARILLVQDDMEFDTRESPESISFEPTRTWKGKTSLLEVEGEDLMYDVDTLKESSDSFEDGDPTLFAGASHPPEPVDTDLMRTVYVPIGQNKSESGCLIKNLPMKGPFLEDLSIRVPGKKPSPIVLSPQESLVEELNDTGNISSPFAGSRASQNTENSLLAPDSEEKECVWDASLPPTPNGNASPHSSIDSIGVVKAMSIANSCASTYRSDAMTSDGMLSLDRNFDSTKGSVRGDSLESAKTSASRASDSSGLSDDSNWSNLTGSANKPHKGNDPRWNAILAVRLRDGILGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCTVLPTLVRGYDGDPSKRAGGAFCVQPACIEPTSACIQPACFIPRLFPQKNKKSRKPRSEPGFPSSRLPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLKFPESPATSYASRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVENEPPPPPPPMKVGPPVDAVGVGSTSKRMSGNNEMKSGGKYLDFEFF >RHN74972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38372599:38373697:1 gene:gene11116 transcript:rna11116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pollen allergen Ole e 1 family, immunoglobulin-like protein MARSFSVVALIVSAFCFSSVLAARVAPNAAPNGDDVFIVVGQIYCDPCGFQFQSRLSKPLDGVKVTLKCTKGDKNVTFVKESTTDKNGVYNIECKGDHEEEVCKVKAENVKGACTKIMDNESDSIVLTKNMGVPSLIRFVNPLGFMTQTIDAGCGKVVTELGLDKLDD >RHN43948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49710385:49711186:1 gene:gene50589 transcript:rna50589 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAIPTIKINTNKSYVLKERTVFVPMNRLDVQIESPVDFDSLERNGIDIKGYFAAQQMIDYFKMLNRPSYMNLVKDLWARAEVFHRKDVDEEEAKLVKENPRFKGKSRTEMGLKPFKGTELRSAVMGMEVTITVETIAKACRCSNSGLFQVDAVKSQWEDKIIGVLLNGKAKTKSSEMSSVHRMLLKILSESMLQKCGGSDQLSLDHKVVLYCLASFEKINLPKYILHHMCWAIRESQKSCWNT >RHN49031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51902902:51908969:-1 gene:gene43814 transcript:rna43814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-Extensin family MVSVMSIDIFKKIQGSLMKWMLILSYLVFMIESGVHDKTLEPPLLSAARSPLAAVFVHDLPLPGNSHGSKYALSRGAPIATLSLDSSPSYGPFINPGQPPKSSHFSIPFKKRSEWKLPISGFKNIAPIHSTEAAAPSALPQPPLTHHASNCCKQDMVLKRGSKGCHCVYPIKIDILLLNVSQNPDWDKFLDELAGQLGLQNNTQIDLINFYVINFSTLNISMDITPHKGISFSANEASRINSSLSMHKVRLDPRLVGGYKLLNIIWFEPPPPTQAPTLTASPEKAPLYHSPTATSPSSSTRGGHSNLFLILGIAIGMLFIAIVSILIFCLCTLLRKEKTPPIETEKPRIESAVSAGGSISHPTSTRFIAYEELREATNNFESASVLGEGGFGKVFKGILSDGTSVAIKRLTNGGQQGDKEFLAEVEMLSRLHHRNLVKLVGYYSNRESSQNLLCYELVPNGSLEAWLHGPMGINCPLDWDTRMKIALDAARGLSYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGGANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRTPVDMSQPGGQENLVTWARPILRDKDRLDEIADPKLEGKYPKEDFVRVCTIAAACVAPEANQRPTMGEVVQSLKMVQRITEYNDSVLASSNTQTNLRQSSSTFEFDGTSSVFSSGPYSGLSAAFDNDNISRTVVFSEDLHEGR >RHN42922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41959323:41963789:1 gene:gene49429 transcript:rna49429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MKSLQVAQAQDPESQSDRTEQVSDKSIVIPNKHVTIADSIKNEHSRFVAPRIPTDFSIQVQETTYNVHKYPLISKCGYIGRLEVQPLISNSGNVLKLENFPGGCETFETILKFCYGLPIDFNSDNVAALRCASEFLEMTEELEDGNLISKTEAFLTFVVLSSWKDTVTVLKSCENLSPWAENIQIVRRCCDSIAWKATKNEPASEDAASNQESWWFDDLATLRIDHFMRIISAIRAKGTKQEIIGKCIMQYAKRWLPGMYEELEGLRGYGHGKSNLQFTIFSMKKEESTRHSKEQTTIIESLISIIPPQQDAVPCKFLLQMLKMAMMYSVSSALISDLEKRVGMVLEDAEVDDLLIPRYQNGGQGKMVNMPNSPENCTMQDIDVVQRIVEYFLMHEKQQTQLQQKSGKFNISRLLDNYLAEVATDPNLSITTFQVLAELLPEHTRSFHDGLYRAIDTYLKTHPSLTDQDRRRLCKIMNCEKLSLDACIHAAQNDRLPLRTVVQVLFVEQVKMRAAMQEKEPAQSGINSQQDVNQTSETIDIKTLKAELENVKSNMVELQNDYFELQEEYKKLTSNDKPKNLSGWSLNWRKIKKSFHVKPTGGETRDGQDMPTSPNHTRRRSAPRRRLSVS >RHN76755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1010045:1011980:1 gene:gene128 transcript:rna128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MDFHLVHIITFFMLVVGATNAVMLPPQLYWKSMLPNSPMPKAITNLLHPAGYWSEEKGTWVDVGKGGVDVGVRKGYYEGGGTDVNVGVGRSPFIYNYAASETQLHDKPNVALFFLEKDLHHGTKLNLQFSKTTSNAATFLPRQVANSIPFSSNKMEYIINKLNIKKGSKGVQIVKNTISECEEQGIKGEEKVCVTSLESMVDFTTSKLGKNVEAVSTEVNKESNLQQYTIASGVKKLGEKNKAVVCHKENYPYAVFYCHKTDTTKAYSVPLEGADGSRVKAIAVCHTDTSEWNPKHLAFQVLKVQPGTVPVCHLLPEDHVVWIRK >RHN78638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16996192:16996359:1 gene:gene2267 transcript:rna2267 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVMRGGGGDSVGVVMVRQWWCGLFHCCFCCVVMRGGGSDSVEVVVAALCGSA >RHN74023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23729474:23734271:1 gene:gene9949 transcript:rna9949 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGLNMAEALVHAPSRSQSIPQVSVKTQRLEELAIKQSRQLIPVTPSIPKALCYQISAATTLRQIVVPDFSVMVNGGRYPAISAIIMSYRTVIAPYNEEPRTVHLKEDYVLVVHW >RHN38748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1749180:1749635:1 gene:gene44713 transcript:rna44713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoporin protein Ndc1-Nup MRVKKAFICFCMQPYAWCSRIVASLTARSRKEDKFGVAQLSGNNAAVVSTLISCLLAVENFMGKKTNLQSPNQLGSAVKRENGPVNSKAYAIADVLKTSIYQIVSAFHDEMLSDTKSSLLEKDWIPSKKPLFGTREMLIQKLRLFLVFRAT >RHN49829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1517534:1519653:1 gene:gene34052 transcript:rna34052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative formate dehydrogenase MYASEGKKKIVGVFYKGNENAASNPNFVGCVEGALGIREWLESQGHEYIVTDDKEGPDSELEKHIPDLHVLISTPFHPAYVTEERIKKAKNLQLLLTAGIGSDHIDLKAAAAAGLTVAEVTGSNVVSVAEDELMRILILVRNFLPGYKQAVAGEWNVAGIAHRAYDLEGKTVGTVGAGRIGLKMEPALEKEIGAQFEDDLDTMLPKCDIVVINTPLTDKTRGLFDKNRISKLKKGVLIVNNARGAIMDTQAVADACTSGQIAGYSGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAAGVKDMLERHFKGEDFPEQHYIVKQGQLASQYR >RHN75425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42212076:42214508:-1 gene:gene11634 transcript:rna11634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L12 family MKIIAAYLLAVLGGNQSPSASDLKQILGSVGVEAEDCNIELLLSEVKGKDFAELIASGREKLASVPSGGGAVAVSAATGGGAAAAAPAAEAKEEKKVEEKEESDDDMGFSLFD >RHN55561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19522226:19523282:-1 gene:gene30762 transcript:rna30762 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPPPPPPPPPPKPPNSDAPPSSSKNPPPPPPPPPPKLSDSNAPPSSSKNPPPPPPPPPKLSDSNAPPSSSKNPPPPPPPPPKLSDSNAPPSSSKNPLSSSEKPPSSLQPQQSSLPRRPFSFLRRRTQSLTELPSQSNTPSSPQPPRPPYHSILPTNLSLVPSSSSQPPRTLYYNMVPYWPLRPPCYSFPSQPPPPPSTPSPTVSPPSSPLPYHSNFSSSHIRPPWPRSVNPPPIYHSVIPRRYPSGRPSTPSPTVSPPSSPLRRASQSNEQDNMQIIDPIEIFIVFAATSCVFYLSYVIFHIIRQFKED >RHN46357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30974295:30975023:-1 gene:gene40839 transcript:rna40839 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHICQSFLLGLFCIMVVLASGLPTGTDPDIDCTGHGPCVDCKERCINEGYKKGGACLGFPLCCCMKN >RHN71015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55820506:55822188:1 gene:gene19656 transcript:rna19656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eugenol synthase MAVKSRILIIGGTGYIGKHIVEASVKVGHDTFALVRESTMADPTKAKLLHNFKTIGVNLVHGDLYDNESLVKAMKQVDVVISALSHTHAADQLKIIHAIKEAGNVKRFFPSEFGNDVDRVHAVEPAKSVFSVKAQIRRMIEAEGIPYTYVSTNSFAGYFVPTFVQPGATGPPTDKVIILGDGNKKAVFNKEEDIGTYTIKAVDDPRTLNKILYLRPPKNIYSFNDVIALWEKKIGKTLEKIYVSEDKLLRDIEEKPFPDNVGLAICHSVFVKGDHTNFEIEPSFGVEASALYPDVNYTTLEECLDHFI >RHN63899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54902772:54908859:-1 gene:gene26654 transcript:rna26654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPPDE putative peptidase domain-containing protein MGVDSAASSSSNSWSHNDEDDNSSKNNSSIVVLNVYDLTPINNYMYWFGFGIFHSGIEVYGKEYGFGAHDFPASGVFEVEPKNCPGFIYRCSINLGQIQTSPSEFRTFIENMASEYHGDTYHLISKNCNHFTDDVSYRLIGKQIPGWVNRLAKLGALCSCLLPESLQVTSVKQLPEYHECSDEFVDSLSTATPHGSPEIDAEQEKHLLSPSARAEDVTFVKEAHVK >RHN38967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3133743:3136051:-1 gene:gene44947 transcript:rna44947 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLLLMPQSGDYNEHFKCEWTEMRKWPLAGPLQVEKREWHISSATFSLNKSFPAIIEAEVFSSIAEPLAVFTLSFSVTYSPFGIPSGNHPSWLAYTGEGPSAQFQVPEDVDCHIKGIILCVVYSSTSEIMGAECLTSVFIVVNHTKCNIQIYKRDTIMFFNDEDWKNVISNLGPGDDVEIFVAFGHGLIVKQTAVYLIYGHSITMEIKHSITMEVETSTNMEMKPSEEVNEQLSPEVDVEASITMEVEPSTNMEMEPLAEVNVQSLPEMEMQQSPEVKVEASTNVKTDLSQEVKMQSLPIMEMEPPPKSNRSIFTRFAKKNGCMFMLEPA >RHN45829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26075682:26077636:-1 gene:gene40249 transcript:rna40249 gene_biotype:protein_coding transcript_biotype:protein_coding MRHIYGYGCIRKKVIDAQTTSIHAISALLSLPSSSILRDACSRWRNSAYSPRLQFNALDLCLSVSLDRSPSSHNNSGDCEVVSLKLKQIAAIAEECVGPGVIVSFGELKSFVNDDGGFLNGFICTTWWFFSSQSDLRGPLNGSFGCVPHHNQFGEKCEHEVLGASNERFSVSAHDPYPSNLPQWLKTTEFGTTKTLTVKTKDDGVLGDSSESCTPHNNLDDICQVLHQQIPKANTCPTVVGFHCADNKNEDADNHSSKIVDKSPKNTSISTLMHLLAFKRCLHNNPAILSLFLLGKAGEKYSKSNSCSRM >RHN79552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30066994:30069963:-1 gene:gene3359 transcript:rna3359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbonic anhydrase MALQIYFSILFIATLALCTSANYNSVNFSYIGPNGPEKWGTLSPSFAACSNGKAQSPVDLVMTDIVMNQELKSLDRNYLPTNATLVNNQFNIGVHFEGKVGDININGMNYSLRQLHWHAPAEHRAHGRLHEAELHLVHLTEDNNNIAVIAALYNLGDPDPLISKIEDKFYELANENRVGNKDAKIALGTFDVEEINKRIHRYYRYIGSLTTPPCKEGVIWNIIGKVRTLSKEQLKLLKAPLGPEFQHNARPLQPLNGRKFEMYNYHK >RHN79864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32963575:32965597:-1 gene:gene3712 transcript:rna3712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Lung seven transmembrane receptor MAKTAITTVVSLITILILTTIPQSTAEIKSLTITSDTRPMILLEKFGFTHTGHIQIAVSSVSVAAAATQTDPSRLGFFLLSEESLLQVLIEIQQNPSFCVLDSHYITRLFTFRDLSPPPTAAFNRSYPVTSPNEFIRDYLSAGQTQLPSLFFLFSVVYFGFLGVWFYVCYNNKRSVHRIHLLMAALLLMKALNLICAAEDKHYVKVTGTPHGWDVLFYIFQFIRVVLLFTVIVLIGTGWSFLKPFLQEREKKVLMIVIPLQVLANLASVVIGETGPFIKDWVTWNQVFLLVDIICCCAIIFPIVWSIKSLRETSKTDGKAARNLAKLTLFRQFYIVVIGYLYFTRIVVFALKTITAYKYRWVSNLAEEGASLAFYIVMFYMFMPVEKNEYFVLDEEEEEAAEVALREEEFEL >RHN67841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30673045:30673683:1 gene:gene16080 transcript:rna16080 gene_biotype:protein_coding transcript_biotype:protein_coding MEDINKLIEEDPLFALKKLLTGVQSYSIRTSLQELKILMDSSSDLDHLLSNQDSILNLLSLLRRLNQHQRLLPSNVKEFVEKVQNFFNDNIMRHTTSQQLLKKHNQLLDLETELRNKLKSATSTQTHIDSESSTANAQIHDLSLQIDDLKSVVNKCDVQKQKLKAECTEWALQSKELLSALASTEIDVIEADRMRNLATEGFANLKSSFPTI >RHN76096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47643549:47647061:-1 gene:gene12373 transcript:rna12373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rab5-interacting protein family MKEAKSVPQQHHQNGHLSPFKFAKLLDPEASWDKDQLGDVLHWIRQAMGLVFGLLWGAFPVVGGFWFMLFLVLSSGIIYGYYSVILKVDEEEFGGHGALLQEGLFASTTLFLLSWILVYSLGHF >RHN59437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10740860:10742118:1 gene:gene21467 transcript:rna21467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubby-like domain-containing protein MKRVQSSAANYESGIIGPQYRVPHLVDLSIVRNVTTLTDNFTVKDFNGKIFFNLKSLYDQSLLLDAAGKSVVMTLRRKAMTGHDRWQAFRGESTEVKDLIFSVKRSTMFQTKIKLDVFLASNAKEDISDFKVKGSWLERSCVVYAGESHHIVAQMHKKETVQSAAFGKDNLTVRVHPNVDYAFIVAVMLILDEINQDKT >RHN59218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8509928:8516572:-1 gene:gene21218 transcript:rna21218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MEFNSLSYGDGDSNALILPTKKMKKRKEMEQERGKKRGKVQSNKKQKLSKTQKKKLKKSEDDKEKQLLLEKALKTLNENTLPEYAFPLLQSSCNINRVETVKEKRRKAVHLLKEGLDVPHDDDLSKKQDIACTSESEEEEIHTVQVKEFEENDVIQPFRTEKEILYTTTVPLESTQEPVHRNEVINYETVAEPVADVSTDKQPDEIRSSSPTSRSIDDIKSTNSKDRKNENPTTNFNELSNLPHVSTQRPLTTPTVVHVYRPPEVQEKRKDLPIVMMEQEIMEAINYNSSVIVCGETGCGKTTQVPQFLYEAGYGSSKFHARSGIIGVTQPRRVAVLATAKRVAYELGVRLGKEVGFQVRYDKKIGENCSIKFMTDGILLREVQNDILLRRYSVLILDEAHERSLNTDILIGMLSRVIRTRQKIYDEQQKMVLSGESISPDKMVFPLKLVLMSATLRVQDFTSGRLFHTPPPVIEVPTRQFPVTMYFAKKTEITDYVGAAYKKILAIHKKLPSGGILVFVTGQREVEDLCRKLRKASKEFIMKKVKGSVENDSNVVNETSSVEGININEINEAFEMPGSSSMQQTDRFSGYDEDDNNFDENESDSYDSETESELEFNDDDKNNHNGSENNNNIVDVLGNEGSLASLKAAFENLSGQATLSSSNVNTEDGLDQSKVGREKIARENHDSSPGALFVLPLYAMLPAAAQLRVFDGVKEGERLVVVATNVAETSLTIPGIKYVVDTGREKVKNYDSSNGMETYEVKWISKASAAQRAGRAGRTAAGHCYRLYSSAAFSNEFPEFSPAEVEKVPVHGVVLLLKSMQIKKVANFPFPTSLKAASLLEAENCLRALEALDSKDELTLLGKAMALYPLSPRHSRMILTVIKNTRYKRICNSSLLLAYAVAAAAALSLPNPFVMQYEGNDSNKDSETSEKSRMGDNENNIDKTEKTKRKKLKQTSKVAREKFRIVSSDALAIAYALQCFEHSQNSVQFCEDNALHFKTMDEMSKLRQQLLRLVFFQSDKGGLEQEYSWTHGTLEDVEHAWRVSSAHYPLPLVEERLICRAICAGWADRVAKRIPISSKTDDGVTISRAGRYQSCMVDESIFIHRWSSVSTVRPEFLVYNELLETKRPNKEGETSAKRAYMHGVTNVDPTWLVENAKSSCIFSPPLTDPRPFYDAQADQVKCWVIPTFGRFCWELPKHSIPISNVEHRVQVFAYALLEGQVCPCLKTVRKYMSAPPETILRRESFGQKRVGNLISKLNSRLIDSSATLRIVWKQNPRELFSEILDWFQQGFRKHFEELWLQMLGEVLQETQERPLHKSSKKKSKVKSKSRQ >RHN53088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41946314:41948425:1 gene:gene37845 transcript:rna37845 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFLMKNKIHPFPTKHTLSLSSFSGTETRFFFFPRNVQHSSSSFLSPSWLRLPVTGAASTAVHHPPLQRSPPSPHTASHNSSSQTSLSTTFFFSANRLFIAVLNQIMRNL >RHN73514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17245676:17256951:-1 gene:gene9334 transcript:rna9334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-Extensin family MRVVLPLILICVFGFVVEAQGSEGSIISPSPAFLPGVHPEGEAPGPIHNGQSWRSTASSPSDPDGSVISPSPAILPMDPFSSEAPSLLHPNGTILQPPVALPPATSAPPPQKIKGIESTVSPSPSPSTKSISPPYKSAPAPSTAERNLPPSIQPIPPQMKTPTVSPPISTPTAPDPVATPPGNLPKTSPISQPIDHGSLPPNVDNRNESKSHNPEPVSPGSFLHPSLASPPPSPSAKSLSPPYKAVPAPSTAERNFPPPMQPIPPQSKAPIVRPPISTPIAQAPVAIPPATKTSPTSQPIDHGSLPPSVDKRNESKSHNLEPVSPGSFLQPPVALQPPTSAPPPQKTKGSESSISPSPSQSTKPLTPPDKAVPAPSTAERSFPPPMRPIPPQRKAPAPVATPPGNLPKTSPISQPIEHGSLPPKVDKRNESRNHNLEPVSPAPVATPSTNEPKVSPISHSTNNGSFPPPQPMSPAPVFNIPKHLPVNQSTEPRSLPPTVHRRNSSISHTLEPVSQAPVAEPPANFPKNSSVSQPSQHGSVPPNVHNTTIHKGHIHTPEPVMPPPISTFPVDPPLIHPVIPAAPPNEVPAPVISPTLTPSRSFNGKNGGEPVSAPLNKKPKSPPAIVNSPAQAPSVNKARPFHHAPEPLTSPPKSPFNKEDHSPASSPSTTFHKHQHTRNTITSPAPASSYFVSPPTSKHQDKPIPPSFLPTNRRRHNAPAPMNRGSADSPFTFPIQSPVSQVSPAPSPSFKTFPHSTKIPFHPPKISPQRSFSKSPKKPILPRVQALPPPPPNEDCLSFVCSEPYTNSPPGVPCMCIWPMRVGLRLNVPLYTFFPLVSELASEISSGVFMKQSQVRIMGANAATDQPDKTDALIDLVPLGEQFDNTTAFLTSDRFWHKKVVIKSSYFGDYEVLYISYPGLPPSPPLPPSSVNMIDGGPYSNNGNNGRTIKPLGVDIQKRQHRSGLSKGIIAIIALSSFLAIVLCSAAVFALIKFRDHVSESQPTSTPRVFPPSLTKTPGTAGPSNAGASASTSFRSSIAAYAGSAKTFSMNEIEKATDNFHPSRILGEGGFGLVYSGNLEDGSKVAFKVLKREDHHGDREFLSEVEMLSRLHHRNLVKLIGICTELSFRCLVYELIPNGSVESHLHGVDREKSPLDWSARIKIALGAARGLAYLHEDSSPHVIHRDFKSSNILLENDFTPKVSDFGLARTAADEDNRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDFSQPPGQENLVAWARPLLTSREGLEVIIDPSLGSNVPFDSVAKVAAIASMCVQPEVSDRPFMGEVVQALKLVCNECDEAKEAGSTSSNKDGSSSDFYTVTEQLPDNFQSHSAAANYDFGVDIENGLSTSEIFSSSARFERQVSGSFRRHSYSGPLRTGRSKRLWHIIRKLSGGSVSEHGDSLR >RHN73459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16637221:16638868:1 gene:gene9274 transcript:rna9274 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSGGSNSLNFNVAIKKSSSSTLTSLNSSSNSPSSTLTISEPSNNITQSAIRTKRPRTNRKRPNQTYNEAAELLSKAYPNLFRNLKNLPPPCKFTKAVVTECCGDDFFEPLLLPFDFRGSDYDDVNDFLLERKSDSQTRFSSVCEKEKEEEVSGVVNSDDVVERDSGEEFDCKFILEDEIEEGIDSIIGSTVENYSGNSVGEGGFCYGGGERVNPWFGRFGGKIGVMKALRHVGDVNLLNIAMVDVLEISPGLKKKPAAVISMPAAEKKKQRKKENVEKRNSSLALPLRLKLNYADVRNLWSERGLPFRR >RHN43854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49009708:49013766:1 gene:gene50484 transcript:rna50484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LIM family MSSVTQETKTEQQHMKWRKPPRNQINNKNKPISETDPNTKQIQPIIQSTRSKSTISSLLLSNETTSQQPINKKINFSSAAATFRGLGCTAGASQQVSVPAVIRASADWPHQGKKTRKKKHKNSNDGSSSSSCVDFQDVWCGPGIGFSADAAASVDCVVSKKNVSSRAKIDVDKITHREPSSSFRRRTAVYPETFSFPDTDPDIFTACSFGTATYPRHIRDLSSDDFSEIMALQGRILMGGRFNSRDLYRDWRLDVDNMSYEQLLELSERIGYVNTGLKEDEIEPYIRKTKLQFSDDASKHQVDKKCSICQEEFEADDELGRLNCDHLYHFQCIQQWVAHKNFCPVCKQQVAARH >RHN71803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2711884:2712472:-1 gene:gene7439 transcript:rna7439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSPEYAMEGLFSEKSDVFSFGVLLLEIISGRKNTSFYNHEQALNLLGYAWKLWNEEEIISLIDPEICKPDYVDQILRCIHIGLVCVQEIAKERPTMATFVSMLNSEIVKLPPPSQPAFIQSKIEHREDLAQQNYDSKNSVTVTSLQGR >RHN50760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10209449:10218535:-1 gene:gene35086 transcript:rna35086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease D MNVDHDQPPPTATATAALQTLTSGPLSSSVASLAASSRCIPSERDFFFYRNFNEFKLPIDEISRESQSMLEAIGVASNSPFPGDLDDGYDWLVNVNDDVLERFDVANDEFREYRRIQEEDAKNGNGNGRMNGSEAMMVDDGFEIVYGKKKKGGRGKVVGVEDSEISVAEGVKVAMKDKRTVGGKAKIPFHIPTIRRPQDEFSIIVNNANVPFEHVWLQRSDDGQKFVHPLEKLSVLDFVDKDPENIVPQKPPSLESTPFKLVEEVKDLKEMAAKLRSVNEFAVDLEHNQYRSFQGLTCLMQISTRTEDFVVDTLKLRIHIGPHLREVFKDPSKRKVMHGADKDIVWLQRDFGIYVCNMFDTGQASKVLKLERNSLEHLLNHFCEITANKEYQNADWRLRPIPDEMLRYAREDTHYLLYIYDTMRINLCSLPKAPESSDTPLVEVYKRSYDVCMQLYEKELLTENSYLHIYGLQGAGFNAQQLAIVSGLCEWRDIVARAEDESTGYVLPNKTVLEIAKQMPVTTNKLRRLVKTKHPYLEHNLDSVVTVIRHSIQNAAAFEAAAQQLKEGNATTGSDAVPVTTEDPAPQTQNPKESFQHQDTDVQIKLKPNNVTSELPRESLTIPEQTRDANVGALSTLKGNGATVQVLKKPGGAFGALLGNSASKRKLGLDKKGKEEIKLEQIRSSVTLPFHSFSGGSETSKPVVETPSVADQQKPVTDPASTSALDEIIMLDTDTGVEEDVEQNNNLENPTEQTEKNPSASTSDEDEPKSLSELSANFKKCFQSNKQNNRIRKPKKTEQASGLLQLTPYDYEAAMNHVKFGEKKKNPSSQNCDGRAEKEDASGKKKRSTIGDGQPSDLPKQFQQGRRRAAFPASGNRSATFR >RHN80937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42039566:42040915:1 gene:gene4921 transcript:rna4921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MERASFIGAVVLVVLVGLFTSEVRVESAAWQKAHATFYGGSDASGTMGGACGYGDLYKDGYGIKTAALSTALFNDGKSCGGCYQIVCDASQVPQWCLRGTSITITATNFCPPNFALPSDNGGWCNPPRPHFDMSQPAFQMIAKYKAGIVPILYRKVECKKSGGIRFKINGRDYFELVLISNVGGAGDISKVWIKGSKMRNWESMSRNWGANWQSLSYLNGQSLSFRVQLSNGKTLTAVNVVPSSWRFGQSFISKVQF >RHN54037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6405103:6406359:1 gene:gene29013 transcript:rna29013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Trihelix family MATDSPELTLSLPTKKPQPIPWTHQETINLIRAYQDKWYSLKRGPLRGSQWEEVAVVVAARCGYDYNHPSKTALQCRHKMEKLRQRHRSEKRRLTATSSVASSRSWQYFRLMDDLERGPLPISVRPLSHNHPISDDSDGAAARSRSIHNILNQKQRDETDEEEEDVMAKGLTAELRSFAERIIGLENMKMEMMKETERFRLEMENKRIRMILESQWRIVDSIGKAFGSS >RHN81677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48017828:48023709:-1 gene:gene5752 transcript:rna5752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase chromatin regulator PHD family MLVSMDTNGRLVAGSHNRNEFVLINADDTARVNAVTELSGQICQICGDEIEFTVDDEPFVACNECAFPVCRPCYEYERREGNQACPHCKTKYKRIKGSPRVEGDEEEDGIDDLENEFDIGSNIKHDPHHITEAMFFSHLNNIGRSSQMNASRITTPSEFDTASVAADISLLTYDHEDPGISSDKHALIIPPYKLRGKRVHPMPFPDSFVPVLPRPMDPNKDLAVYGYGSVAWKERVEEWKKKQNEKLEVVKHEGDNNVDEFNDPDLPKMDEGRQPLWRKLPISPSKINPYRIIIVLRIAVLALFFHYRILHPVNDAYALWLTSVICEIWFAVSWILDQFPKWSPVERETYLDRLSLRYEKEGKPCELSDIDIFVSTVDPMKEPPLITANTVLSILAVDYPVEKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFSIEPRAPEWYFAQKVDYLKDKVDAAFIKERRAIKRDYEELKVRINALVAMAQKVPEDGWTMQDGTPWPGNNVNDHPGMIQVFLGQNGVRDIDGNELPRLVYVSREKRPGFEHHKKAGAMNALVRVSAVISNAPYMLNVDCDHYINNSKALREAMCFMMDPTSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPAKKKPPGKTCNCWPKWCFMCCGSRKKNRKVNSGPRKKIRDKDVAKQIHALKNIEEGIEGIDKKKSPLISQLKFEKFFGQSSVFIASTLMEDGGILKAATSASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHSHGWRSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEILLSRHCPIWYGYGCGLKWLERLSYINSVVYPLTSIPLIVYCTLPAVCLLTGKFIVPEISNYASIIFIALFISIAATGILEMQWGGVGIQDWWRNEQFWVIGGASSHLFALFQGLLKVLAGVNTNFTVTSKAADDGDFADLYIFKWTSLLIPPLTLLILNIIGVIVGVSDAINNGYDSWGPLFGKLFFALWVILHLYPFLKGVMGKQEGVPTIILVWAILLASIFSLLWVRINPFVSKNDIVLELCGLNCD >RHN58267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:439722:444270:1 gene:gene20159 transcript:rna20159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAANGIHPYHQQWPPAAAPPPPQPTAIPSPSSEEVRTIFITGLPEDVKERELQNLCRWLPGFEASQLNFKAEKPMGFALFNSPHQAIAAKDILQDMLFDPEAKSVLHTEMAKKNLFVKRGIGADAVAFDQSKRLRTAGDYNHTGYVTPSPFHPPPPPVWGPHGYMAPPPPPPYDPYAGYPVAQVPMPAPVSIAPSSYVPIQNTKDNPPCNTLFIGNLGENINEEEVRGLFSVQPGFKQMKIIRQERHTVCFIEFEDVNSATNVHHNLQGAVIPSSGSIGMRIQYPFLLLSILSFVFLIFFFHSCSFSN >RHN41693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32142205:32142892:-1 gene:gene48043 transcript:rna48043 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFSTQSEPASCYYGLSAQYQEQYEYLRSMNYNLEVQRPKLMPTDWLNFHIDVLSHSVDSNSVTCLNTLLNNYQEVSSKRFFREGKDWFRSILFHQDFPSDSLEQLALKLVSEVHELFNFDERLFGSDLAIGVGPSDARRFTLHLRIVVEI >RHN50605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8503168:8504861:-1 gene:gene34911 transcript:rna34911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAEASSTNSGLRLAGKVAIVTGGASGIGKETAHLFAEQGARMVVIADIQDELGNQVAASIGSRKCTYIHCDIANEDQVKNLVQSTVNAYGQIDIMFSNAGIASPSDQTILELDISQADHVFAVNIRGTTLCVKYAARAMVEGRVRGSIVCTASVLGSQGVLRLTDYTISKHAIIGLMRSASVQLAKYGIRVNCVSPNGLATPLTMKLLGASAKTVELIYEQNKRLEGVVLNTKHVADAVLFLVSNESDFVTGLDLRVDGSYVYGKYELL >RHN69498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43966026:43967516:1 gene:gene17977 transcript:rna17977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase Aur family MEIQISLKHPNILRLYGWFHDSERVYLILEYAHNGELYMLLRERCHFSEKQAATYILSLTKALTYCHEKHVIHRDIKPENLLLDHEGRLKIADFGWSVQSEDKDKKRKTMCGTLDYLAPEMVENKGHDYAVDNWTLGILCYEFLYGVPPFEAESQDDTFRRIVKIDLSFPRTPLVSKKAKNLINGLLVKDSSKRLSLQEIMEHPWIKENANHTGVWK >RHN38415.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000024.1:2371:3571:-1 gene:gene50765 transcript:rna50765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGCFGFCKENDAYTTADKGIFMQTNPNGGNTSYHGRHTAVTVPRHINLQPISVPSITVDELRSLTDNFGTKTFVGEGAYGKCIVPH >RHN65164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64650656:64651133:-1 gene:gene28072 transcript:rna28072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucosylceramidase MIQENMTDMAFQTAGGIYEAAWSSDAWTTKDEYRSLCYMRPLAIWAMQWALSKPKLARHYESNKSDVYEDDIMSRSHAGFLKVAHLLKLKEDTGSRSLFQVIYDFTCKRYMT >RHN82069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51007570:51016323:-1 gene:gene6187 transcript:rna6187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MEEKEQQHTKTLISALNFLSRDVPLPSHLLDSVSSIYRLNNNVNGDVESSGDDLITDLEDALSKQRPKCASGFKLEEAVESRHQNQIRHRLNELQELPSSRGEDLQTKCLLELYGLKLAELQSKVRSDVSSEYWLNVECAYPDRRLFDWGMMRLRRPLYGVGDPFAMDADNQLRKRRDSERLSRLEEVEKNNIETTKRRFFAEILNSVRELQLQIQASLKRRKQRNDGIQAWHGRQRQRATRAEKLRFQALKADDQEAYMRMVKESKNERLTVLLEETNKLLVNLGAAVQRQKDFKHSDGIEPLEDSEADLPESDASKNGIYKESPVDDDIDAIDSDHNDGDSNDLLEGQRQYNSAIHSIQEKVTEQPSILQGGELRSYQIEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAHLFEYKGVTGPHLIVAPKAVLPNWIIEFSTWAPSIKTILYDGRMDERKAIKEEYSGEGKFNVMITHYDLIMRDKAFLKKIKWIYLIVDEGHRLKNHESVLAKTLDNSYHIQRRLLLTGTPIQNSLQELWSLLNFLLPNIFNSVQNFEDWFNAPFADRVDVSLSDEEQLLIIRRLHQVIRPFILRRKKNEVEKFLPGKSQVILKCDMSAWQKVYYQQVTDVGRVGLDNGTGKSKSLQNLTMQLRKCCNHPYLFVGDYDMYKCKEEIVRASGKFELLDRLLPKLRRAGHRVLLFSQMTRLMDTLEVYLRLHDFKYLRLDGSTKTEERGSLLRKFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSVEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRREMLEVIMRRGSSSLGADVPSEREINRLAARSDEEFWLFEKMDEERRQKENYRSRLMEEHELPEWVYAPIKKDDKAKDFNSGVTGKRKRKDVIYADTLSELQWMQAMENGGDMSKLSAKGKRRESRDHLSSDSIAQASDDTGADESILQSRAKIVPTENDRTWEDSFHVTPSSKRFKPEGTNFQKHAHEDVSGSGLDQPVFSWNIHKKKRSSHLGQGSASESRGHNSNGRANWN >RHN40712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19889970:19890308:-1 gene:gene46906 transcript:rna46906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MLSKHENNHLNIMFYVTQWEDSTRRKCLDWKKRLNIIEGISQGLLYLHKYSRLKIIHRDLKASNILLDENMNPKISDFGMARMFTQQESVVNTNRIVGT >RHN46894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35607382:35625141:-1 gene:gene41429 transcript:rna41429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione-regulated potassium-efflux system protein KefB MNIDIGCSLPQSRVLHGGAGTSYGQKYVGQAGCFDFRRRGFGCGLLGKSVLRSRFSVENKVSCISALNDSGDEFKVLNKKRSVSSKNEKLLMGSRKIWLKCQGSDSLAYVNGNGRNVDYVEGSGEDLGVVPVAGVELDVSGEEGGKVEREIGVEEKNVDELKELLQKAVKELEVAQINSTMFEEKVKKISETAIFLHDEAARSLDDVNSTLDSIQKVANEEHIAKDAVQNATMALSLAEAKLQVAIESLEAVKEVHESSNESDGDKDLTEKESTLFVAQEDIKKCQDNLTNCEVELRRLQNKKEELQKEVSKLQEISEKAQLDAVKAEEDVTNIMLLAEQAVAFELEATQRVNDAEIALQRADKSFQNLNAEAVETIQVEDVVLVSEENMVESFSDDVTVGSDKDLATSDDASLPAKLPPETQSEKTGQILEEPTQLDYISDNENGVQTKKQETQKDFTKDSSPLAPKALLKKSSRFFPASFFSFPEEEAESTPTSVFQGLILSAKKQFPKLVLGLLLMGAGAAVYASRADKNAQLLQPADVIMTSAEELSSNAKPLFRKLQKIPKKIKKIIASLPHQEVNEEEASLFDMLWLLLASVIFVPIFQKIPGGSPVLGYLAAGILIGPYGFSIIRNVHATKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLATAGVIGLVAHYICGLPGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGLAAVKAAVAITAIIAGGRLLLRPIYKQVAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLFVSNFPVVTGTLALLICGKTLLVSLMGRIFGISVISALRAGLLLAPGGEFAFVAFGEAVNQGIMSSQLSSLLFLVVGISMAITPWLAAGGQLIASRFEQNDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSERVAVGRALDLPVYFGDAGSREVLHKIGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGVNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPASEISATINEFRCRHLAELSELCEASGSSLGYGFTRIMSKTKSQSPDALDLDDAQVSEGTLAV >RHN46229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29835303:29837696:-1 gene:gene40683 transcript:rna40683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQKGINMAKIVKFICTMIIFLSVSIVAMNDESTFLCTEDFDCPEYMCSPPKKPLCYLFASSPFERKIGVCECH >RHN50898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11617294:11617665:1 gene:gene35249 transcript:rna35249 gene_biotype:protein_coding transcript_biotype:protein_coding MEKITFLLCASAFKVLICGSCSISIPLINFVSFCRYMLNSPCVSGNRIVLLDSRYIFKGCL >RHN45431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20682615:20685818:-1 gene:gene39770 transcript:rna39770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA-intron lyase MAPRWKGKDAKSKKEAEAEALKEPMSKIISQLQSSLVQSNTCGFLSDNCVHLAVQAEQLDLLDKACFGRPVRIVDKDMYWFQLTVEEAFYLSYSLKCLKINDGADTGPLNDEELWCCFKSKKETFPYFYKAYSHLRMKNWVVRSRSQYGVDFIVYRHHPAWVHSEYGVLVLSHDNDGELNGRLRV >RHN63530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51985081:51986723:1 gene:gene26237 transcript:rna26237 gene_biotype:protein_coding transcript_biotype:protein_coding MSVELELESPTAHLQQKRSSALCFPTCFASRRRSVWWERVRSASFSQSHPPTTADRWWSRGLKALKKLRNWSEIVAGPRWKNFIRKFNNHRSKRMTKCQYDPLSYALNFDEGQNEDSHDDGFRNFSTRYAAVNIKSVAPEPEGEIGGFV >RHN48115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45132530:45135545:-1 gene:gene42791 transcript:rna42791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MEMERSEKLKTEFPVLPDCVISYIFSKLCLKDLVKTSVLSKLWLHEWGFRMDLNFDIHTLCDYDKFPENITLYEWVESEFTARVDQFMLHYQGDMIRSIRLVFPLGTKHTGVIERMISKVIAKGVKRLELILSYQDDDDADFDYIRPYTLPFILPFDLLSDADSLTYLHLKRCILITMDNFCGLKNLKTLVLSLVSVKQDLIQCLLSNCIHLLDFTLDDCKFKSDLKITSPTLLNLNIVNCRVKIQKRRSIDIIASNLSSLEYSCTGTEVHTMNIKAHMLSKFSFTGNRIYQLVGFSGMKNVTTIVLDGVGECISSKLVSLLFSVCLQLEDVTLKNYNLIYELEVISKNLRHLNIIDCGSQEQYSPPISIDAANLLSFEYSGHMQRFSIKAPKLLTIFWNAAISEKNPYLFGSIASFHHIENLAMTMTHSQITELSTILVRFQNLRQLELFIKVPCDFNIGFESPDFEIPHDFKIDFFWILDIAMACQHLQKLSLTIRNSHPENSHSIDFERQRREYAGFSHNDLKYVELHGCMCAINVIELASHILRNVNSLKQITFSPGEKFYRGAGRWTEDSDNCCWLYEGIIHDMLQDEVNEQCQLVVL >RHN40556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17556091:17556700:1 gene:gene46718 transcript:rna46718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDAASRNKVSSSSDSHIHEDIAFSILSKLPLKSLKRFTCANKSWSLLFQNPNFMNMFRTNFLKSKHDDDAETRLLLLEQSGFPIQQSLSILSGERFEIRANLDWPLPLQQQGDNANQFPFPFQMGGGNEINVNDFAFFSSG >RHN61561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36387038:36390267:-1 gene:gene24037 transcript:rna24037 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGNLVSQRSFSSIFNPCHTATKAKPFSFLSQFHTYNNTSGSVTITASTKRKDNLDSPLIGKNTNRATRRLITISPADGKYNGEWTSDYLVSLRDLHLQDLIEVEDDPRKNAQVVINLCVQKHASFGLSVDARITTSFPSKCSNCSSPYCRQIDAKFNVWVLRATNRDKRKTPLPEIGDDPYVIYTRPGYEVDLDSIVKDAIRLNSAVNDTCSELCKKSEGTIQNTSGQSQASFDKRWSRLLELKKTIV >RHN79982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34079717:34080127:1 gene:gene3846 transcript:rna3846 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNAEVQNQHVVFDRLKISTSFVKPPQINIFEVFKLDMDKDSIGWQNVKLEDRVYFVSCYKSMVMSRDVLNCNKELRIGGNSIYFAVTFPCPINPWSGLELGMFCLTDSSVKYFPVETSKHGDVPYPLWFVPSLW >RHN68228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33875605:33878523:-1 gene:gene16548 transcript:rna16548 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAGGSSSNMDIDTPAYEIKGRTMSIEEWELIIQAENPVDFTSLTHHGCDLVRFYKKQKLMSYFSLLNGPTYEVLVRQFWVRASVFDKVAAKQEEAQMILVDPTLEGKTREEMGLLAFTGTEIRSNVMGIPVTINEHVIAQAMRRDASGTYDGEEIPNPRTSPWKEIVNNTIYGSKDAKPYSTLSMEKKMLLKIQNENIFPKGGGNDQPSLGHKVFLHHTISQETTMNAPKYMFKYMIKELKKSQMENRKFVPYGRLLSIIFQEGGLLSALKDVGIYDNQKLGAVTGKIINGATLVKMRLISTCRKLDTDMHESDVISDLVTHHIPICKKDPLDVQRAYILDYYKSYNKKISLKDIPEEMYGGDLPVAKGRNSKKKQITKEEYLAEDATEVGAQKHKKAKKEKSAMSTILEEVEDLDDVPLIRKRTRSTQETAEQPASEQAGSEQAASDQAASEKPPSPKNKREAALQTIKRKRSNLTRNLKTGEGRRAQMLEELEENWDEDSSPKKAKRTATSEPIVMPSFEMTAEMEQYTREVAASKIAEKKRMKILYEEERDERLKAAGYVPTPDIAALASELETVKYGATLLSQALKNKQASGATSSEPASEAPEVVHPEAQSSGNPSNAPTNTQIPSLPSSPSSSSTESDDKPLSQHIDKLLKTKPTKLTDLGTLDWEQTQIEFSKNRIKLCEKFNLPPTHPLYPDNPEPVSVQQPQPNPEPTTNSPHNSTTQKASEVASDATTSETPQHQESSTLHNLEKHLGGEMQPTPTKASKTVPEKTVLETQTETQTIPEQTVQEQTASEQVASDQTAPDHQTIPSDHQTTEQQQPDSPTIIDLTSDQPTTSNTTQTEPSPIPDHILESEYIEEQLIRLSDEIQALILRRTVPAPPIHYYDQWIDLQKSFDELLDQLRTKCVSSHSAMLKKLLDDMHEAAKDKELNYVPLLDITPFYPEEEYITRAARIHAGPNLSIS >RHN54246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8036387:8036902:1 gene:gene29249 transcript:rna29249 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFNLSNFKTEKQSFMSTHNLFTSITKTLRLLELCLALLFLLWLLTRLPFFLNISSDFLRSPLFVFALSNAIIAALLVQSDLLSSSSSANSAAPVDEQIKDSSKDDIDSCYATGSGNLGRKVYSRSQSLPEKEKVREVLYPEDKLSNEEFQRTIEAFIAKQMRYLREESC >RHN61241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34091151:34092750:1 gene:gene23682 transcript:rna23682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-hydroxy-3-methylbut-2-enyl diphosphate reductase MMRKFGVENVNEHFISFNTICNATQVRQDAIYKLVDKDMDLMLVVGGWNSSNTSHLQEIAEERGIPSYWIDSEQRIGPGNKIAYKLNHGELVEKENWLPKGPLKIGVTSGASTPDKVVGDALIKVFNLKKEEGLQLP >RHN66743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18193135:18194761:1 gene:gene14817 transcript:rna14817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, peptidase, FtsH MSIKWSTWRTVYFKDVAGCDEAKQEIMEFVHFFKNPKKYEELGAKIPKGALLLGPPGTGKTLLAKATAGESGVSFLSTSGSIFLEPFVGVGSSKVRSLFQEARKCAPCIVFIDEIDAIGRARGTGGYYVHEERENTLNQLLVEMDGFGTTSGVVVLAGTNRADVLDKALVRPGRFDRQITIDKPDIKGRDQIFQIYLNRIKLDNEPSYYSHRLASLTPGFSGVEIANVCNEAALIAARTDEEHVTKDHFEAAIDRVIGGLEKKNMQAMLLWGWFLEHTEPLLKVTIVPRGTETLGFAQYLSNENLLMTKEQLFDRTCMALGGRAAEQVLIGTISTGAQDDLEKVTKMTYAQVAVYGFSEQVGLLSFPHHKEDSFEMSKPYSSETGAIIDKEVREWVNKAFKHTIQLIEEHKGKVAEIAELLLEKEVLYQDDLLQVLGARDP >RHN63510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51850379:51859411:-1 gene:gene26214 transcript:rna26214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MESLRLKTNYRCVPALQQFYTGGPYVVSSDGSFIVCACSDSIKIVDSANASIKSTLEGDSEQVTALVLGPDDKLLFSASHSRQIRVWDLETLKCVRSWKGHEGPVMCMAVDPSGGLLATGGADRKVLVWDVDGGFCTHYFKGHGGVVSCIMFHPDPEKQLLFSGSDDGGDNATVRVWDISKTKRKNCIATLDNHRSAVTSIAVSEDGWTLLSAGRDKVVTLWDLHDYSNKKTVITNEAVESVCAIGVGSPFASSLDSHQQNSKKHAASQALYFVTVGERGIVRIWSSEGAACLFEQKASDVTNSVDEDGSRRGFTSAVMLGSNQGLLCVTADQQFLFYSLNWTEELLQLSLTKRLVGYNEEVVDMKFVGDDEKFLALATNLEQVRVYDLASMSCSYVLSGHTEIILCLDTCVSSSGRNLIVTGSKDNTVRLWESESTSCIGVGIGHMGAVGAIAFSKRKRDFFVSGSNDHTLKVWSIDGLLDDVAAPINLKAKAVVAAHDKDINSVAIAPNDSLVCSGSQDRTACVWRLPDLVSVVVFKGHKRGIWSVEFSPVDQCVVTASGDKTIRIWAISDGSCLKTFEGHTSSVLRALFVTRGTQIVSCGADGLVKLWTVKSNECVATYDNHEDKVWALAVGRKTETLATGGSDAVVNLWLDSTAADKEEAFRKEEEGVLKGQELENALSDADYTKAVRIAFELQRPHKLLELFAELCRKRGAEDHVDRALKAFGNEELRLLFNYIREWNTKPKLCYVSQFVLFRVFNIFPPTDLVQIKGIGELLEGLIPYSQRHFGRIDRLVRSTFLLDFILSGMSVIEPETQQTKSNDEFPLRSEIDAPDQENGIEKKDHTIENKSASKKRKSNKSRHENGIEEKDQTLENDTASKKKKSKHGSHKKVKDVAYNKVESIQLQA >RHN65756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5010828:5011010:1 gene:gene13643 transcript:rna13643 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYKTAQTTLNTIESQQKTPLVDIDMPCRDDVLEMCRWRAITGSVMPVFPRAGI >RHN54850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12895692:12896538:1 gene:gene29943 transcript:rna29943 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSCLTCSQVLQRTDSFRELFKEKENEYRGNRKKVERTWSGNIPPPNKEMTKGGAVSKLKVNHRRNHSTGNIPFSDMAEPKLVRSSGMRRDWSFENLDEQQDQGVTCH >RHN46130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29005551:29008678:1 gene:gene40573 transcript:rna40573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MMDNLKGFFGSTNEIQLRNQSLPVLQNQRFENGFFDQSIEFGSNMIPAEYTPSSTTTSISTHEEPSPEECEFSDAVLSYINNILMEEDMEDKTCMLQDSLDLQIAEKSFYEVIGEKYPVSPLEKPQSLSSETDGVGDNYFFDNYGTCVDDGDLSSIFTNNSLRGNLREAQFPHNGFQGNSIAQSSYSSTNSVKSTVEGTLDSPDSILQVSDQNSESQPILQFQKGVEEASKFLPNGNGLFQNFDVDKYSMREPRVMKDELSVKVEKDERDSFLFGSKGRKHPHGGEVDIEENRSSKQAAIYSEPLLRSTMMDTFLLHSLGDAKTHFTARREALQVKTKMMTLPSNTSKASTSRKGRSKKQNGKKEVIDLRTLLVLCAQAVAADDHKSAHELLKQIRQHSNPFGDGNQRLAHIFADGLEARLAGTGSQIYKGLISKRTSAADFLKAYHLYLAACPFRKMTSFVSNVTIMKSVASSMRVHVIDFGILYGFQWPTFIQRIALRPGGPPKLRITGIDFPQSGFRPAERIIETGKRLAAYAESFNVPFEYNAIAKKWDTIQLDELKIEKDEFLVVTCFYRGRNLLDESVVVDSPRNKILNLIRRIKPNIFIHGIVNGAFNAPFFVTRFREALFHFSSLFDMLESIVPREDWERMLIEKEIFGKEALNVIACEGCERVERPETYRQWQIRILRAGFSQQAFAKSTVERAVEKVRSSYHKDFVIDEDSKWLLQGWKGRIIYALSCWKPA >RHN71287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57945193:57946308:-1 gene:gene19947 transcript:rna19947 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCNIQTLLAYHSLTGPLINNSDEVHVIHNKGGVKRSSTTKPTKLVRVKVEQIMIT >RHN74821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36918558:36925579:-1 gene:gene10941 transcript:rna10941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MVNQTLYGLFIFSLSMHCFIACLASEAGNHQLANQTFQSEELHKLKKMITSRLKQINKPAVKTIQSSDGDIIDCVVSHKQPAFDHPLLKGQKPLDPLERSKGNNQTDILSGKFQLWSLYDESCPEGTIPIRRAKEEDILRASSIDTFGRKLSQVATDATEYKHVHSYGSVTGDRYYGAKATINLWAPHVEDENEFSLSQIWVLANGNENTIEAGWQVSHQIYGDYLPRIFVYWTANGYKGTGCYNLRCPGFVQTSKTFALGGALSPPSTYNGRQIEITLLIYKDQKTGNWWLEYGSGNIIGYWPSSLFTSLKDSATTVEFGGEVYIKSTGTHSSTQMGSGHFADEGSSKASYFRDMQVVNSDNKLIPLSNLNVFAEEPKCYNIIKGTSNEGNYFYYGGPGKNMNCP >RHN39940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11843706:11847965:1 gene:gene46024 transcript:rna46024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein xylosyltransferase MNKDNETYLKLFWGGSKRHKRCLFTNKAKVTIATTTVVALFCLVATFCDFATWNTYIIAGNSIPNQNLSSIQISKNHKEQEFPLRCTNGEKETQTCPRDYPTKHNPTNQNSHTCPSFFRWIHEDLKPWKEKGITREMLEGAKRTANFKVVIVDGKMYVEKYRKSIQTRDVFTLWGILQLLRMFPGKLPDLELMFDCEDRPVIHKGNFQGPNASPPPLFRYCSDQWSLDIVFPDWSFWGWAETNIKPWKNILKEIKEGNKETKWKDRVPYAYWKGNPNVAATRKNLLRCNATSKDDWNTRLYIQDWDKESTQGYKKSSLGNQCTHRYKIYIEGWAWSVSEKYIMACDSMTLYVRPNFYDFFIRGMDPLQHYWPIRDNSKCTSLKFAVDWGNKHADKAQAIGEAASKFIQEELDMNNVYNYMFHILNEYAKLLKFKPTIPQGAVEFCSETMACDVNGNQRKFMEESMVKVPSDSNPCTIPPPYDPLTLQELLERKANSTRQVEIWEDEYWLKKNNGQ >RHN79775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32020888:32028320:1 gene:gene3611 transcript:rna3611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MDREEYLRKCSNMKCQRVQLDESYVPSLQDDEMEVEHLLEEPRNDHVSLDGTLTSHTALNDKDDLELEVLDGFLGDGFLDDVDINDLEGTDVFSNACGGYFLDFDLAKVEILEHGAYEDSPLENSNSESHSPGFSGSSTVGGISESTKAPNSTQSKSEIKSLDETVIHDTHGVFRNNPSQPSNVDCMYNISLDIQHLHELNNGYPLAGSILSCKKENVTVEQCQSAPPREKRFRKPTQRYIEESSNLKSKEKVSTTGVKRKRRTVSLSNEFHTKTKELKDIPSDESSSGNSDVTLSELQRCKKHPKKEKLEYDYEPFSSEESEDEHLTPKRGRSKDRRKNQRMWTVSEVTKLIDGISQYGVGRWTDIQKFLFSSEGYRTPTDVRDKWRNLLRACTAQKFSNKEDEQNDETAPRILPFSVASRVLELAQIHPYPKRRNKKRFTSESYGPSSSLGKRNLRRKKCT >RHN46480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32119544:32121932:-1 gene:gene40971 transcript:rna40971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylinositol-3,4-bisphosphate 4-phosphatase MDIEPTSDSSERFKLYDELELQEFQDKFVIKSHQSPNEGFWISRVDGNINLLDGDTVSESPTKTSTIYGVVGTIRLVVGTYAIVITSRKEVGSFLGFPVYRLMSMRVLACNQALKFSTSQEKKDEAYFLTLLKIVESMPGLYYSYETDITLNLQRRSKFVEGWISKPLWKQADPRYVWNKHLTEELIEFKLDRFIMPVVQGNILIFKLLFSLPRPLFVCVPMLSA >RHN58501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2305116:2305355:-1 gene:gene20420 transcript:rna20420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin MMQMEIFIMAQNGNKIHLEVKSSDTILSVKERIVDKQDFQVHQQNLLFGGRSLQDSMTLADYNIEDESTLDVFLRLMGD >RHN67360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26435628:26437004:-1 gene:gene15541 transcript:rna15541 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFKKVILNLSLLFMLLFSIKLATARHFWNRKAHGSFRYVTDDLAHGHNYAPGHG >RHN82759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56164921:56170687:1 gene:gene6944 transcript:rna6944 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFRSSASSGGSNNSAPPKSTNEQLSWEVFSDSGVNNQAHGKIEDKGFFSKSRKQVADNQSSSAGPNLRRSRSMSSASFQVKDPTRSPTSSIASDPYHQFEHSLGRQTQNSKMRDKPTQSAVSAVKNSQRCEKPASSSSRSHHESSGNSTCSSNVSSMVVDRYIDGEQQLEESRPRSSSQRNGSRHGNPCMNLPPKVQHTTPNSPTNGVKDKPRAHSFRETKVSRLRSSSQDWTDNGYGHESPRTLAKNVIERLSQTCDISKRSSNNFSVDNPITIEDIYARSMNGRYESDFDDAPPKSYSLEEPYRMSNGYHDMDGNCRGLSYDEPEEDLDAELMRRSKEAEDRVILLSKKLEHENFFPDYGYDVTTLIQTIRNLAEEKISLALEVSTHIRSQIADRASAKEELNRVKTELEIRIQRLEKEKNEMQSALEKELDRRSSDWSFKLEKYQSEEQRLRERIRELAEQNVSLQREVSSFSERETESKSVMTHTDQQLKVLTSKAEKMKGEILGLQQNLSELQDRCKIAEENRDCLRRNFEEKEKECKDLHKSVARLQRTCSEQEKTIIGLRDGFSEDLNKNQSVESIDKHIKKMQMELMRLTGIESVLRRELESHKFEEDSLRQENITLLNRLKGDSKECIVATYKLDKELCARICCLQNQGLTMLNESTYLCSKLLELVKENGQIIGNGLAGQFVVESETKIHGLKSGTEGLTRSLQMMPSLLKEKSNLLTIDDDMLAKLNNQSSEEILTIELKAERLVTSLLKEKLYSKELQVEQIQAELATAVRGNDILRSEVQNTYDNLSTASHELKNLELQMLKKDDNINCLQRDLQESTRELSIMRGMLPKVSEERDKLWEKVKQISEENMLLNSEIEVLKEKIATLDTDILIKEGQISMLQEERDKRPCLYGSPDTIFFT >RHN46659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33837610:33842566:1 gene:gene41178 transcript:rna41178 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTVKPLLSPPSLLLLLLLLFSSLISISLAYKPGDIVPMSRMGQYHSSRTVWQDLIGRHCPIFAVNREVLIPIPKPTGYTGADPYKISFQVGREKFYIPWLLVVNRKSTEVPMIDIELKYSGSDLLGVTAKVLDMPHHYVEIHPEIGKHFWDAQHWPKHILARYTWKEHSEIDVTSGFYVLFGSGLLLSFILSIYTLQSSRDKLERFVRETVAESSIPVGEIAKVE >RHN69143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41315451:41317244:-1 gene:gene17575 transcript:rna17575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative naringenin-chalcone synthase MVTFDEIRQAQRADGPATVLAIGTATPQNCVDQSTYPDYYFRITNSEHKTELKEKFQRMCDKSMIKKRYMYLTEEILKENPSVCEYMAPSLDARQDMVVVEVPRLGKEAATKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAVIVGSDPLPQVEKPLFELVWTAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIEKALAEAFQPLGISDYNSIFWIAHPGGPAILDQVEAKLSLKPEKMQATRHVLSEYGNMSSACVLFILDEMRRKSKEDGLATTGEGLEWGVLFGFGPGLTVETVVLHSVAT >RHN50753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10154618:10162229:-1 gene:gene35078 transcript:rna35078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I, cationic amino acid transporter MGFIGDGSHEGSGSNHGGQGSSCSWKFLTRRKKVENSNNSNHGVQLVKELTIPHLMAIGVGATIGAGVYVLVGTVAREHAGPALPLSFMVAGIAAALSALCYAELASRFPSAGSAYHYAYICLGEGVAWLIGWSLILEYGIGGAAVARGITPNLAALIGGVENLPGFLSNQHIPGIDIVVDPLSAIMVFIVTWILCTGIKESTMIQSIVTSVNICALMFIIVAGGYLGFKTGWIGYKLPTGYFPFGVDGMVAGSATAFFAYIGFDAVASTAEEVKNPQRDLPLGIAASLSLCCGLYMIVSIVVVGLVPYYDINPNTPISSAFAVNGMQWAAYIINAGAITALCSSLLGGMLPQPRILMAMARDGLLPPFFSDINKHSHVPVKSTIVTGLVAAALAFFMDVSQLAGMVSVGTLLAFTIAAISVLIVRYIPPIEVPLPHSQQEPIDSESMEYGWSHLETNEKEAIQKPLIDKNLAIDNYVHNVNRRTIVGCVVAVICLGVFVLTFAASSTYLLSYVRFTLCGVGSILLLSGLVFLTCIDQDDARHNFGNTGGFTCPWVPLLPITCILINSYLLISLGGATWVRVSVWLVLGVFVYVFYGRTHSSLKDTVYLKPIQVDDTTNYTSTSII >RHN42332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37440310:37442957:-1 gene:gene48762 transcript:rna48762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanylate cyclase activating protein MADRAEVERVFKRFDVNGDGKISLTEFADALKVLGLTSQEEVQRRMSEIDKDGDGFITLEELVEFQSTHPNLMVDIMKKL >RHN52718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38078219:38081614:-1 gene:gene37417 transcript:rna37417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MKQKIVIKLQMDCEKCRNKALKTAAEVKGVTSVSLEGDDKDKISVTGDNVDTVCLANMLKKKFNCVTILSVEEVKKKTEEEKKKEEEKKKEEEKKKMMEACRTVLFGSCNSCCKTNCNGKCEKCTCGSAKCDGIQCVTICFKCENPKSCCECKPIIKSCCNNKKCDDGCTPKKPPSPKVQQCPQWCTCSRCYGYAPYQPYCNPCPPPNYMVCYDPNPEPCSIM >RHN60297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24779233:24780298:1 gene:gene22585 transcript:rna22585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pistil-specific extensin-like protein MAKFLAMLLLFLQLISFNVFAEELESLHEPTPLHLPANAPLHHRHNHNLSPTTAHTPLHPSHHAKPPTHPYHQHPPAHAPVQPPTHRHHHHPPAHPPIHQQHPPAHAPVDKRARVYPLFRLPIPIKSRIAVEGVVYVKSCKHAGVETLSNATSLNGAVVKLQCNNTKHNVVRKGKTDKNGYFYIKGSKDISSFAAHKCNVVLDSAPNGLKPSNLHGGVTGAVLKRKKSFVSKGHVLILYNVKPLAFEPKCTH >RHN77248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5406273:5408722:-1 gene:gene686 transcript:rna686 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSGFCTLFLMVVAAFTFFSFKSTTAPPWFPDFVATRCGDKSTPIAVSRKLKENFHSIKRKLDVGLDDYSPIDPSPDSGAKNVNPGPIEHGTPLNPYIPKPPPPSRGQDDYN >RHN64144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56882486:56883888:1 gene:gene26930 transcript:rna26930 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSLRIERQAANPMPKWGQGCMNDGEGTSQTGVSQVIDLLKTSTT >RHN58048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43351610:43355760:1 gene:gene33654 transcript:rna33654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MLQCIEGIKHLFAPLLQCCDIDLYKQTTGLEDPEILARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDEKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLRDITTTFPSFVFHSQVDDT >RHN55430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18216911:18218204:-1 gene:gene30609 transcript:rna30609 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQRENKDNYYKELKGFSHHMIVKSKEVIFSIQPVKRLRICFQASGGYYHSYF >RHN44043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1220381:1220701:-1 gene:gene38091 transcript:rna38091 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPWKHDAPSYKDVKVNNLLIAVKILWLMFVGNTGSLVDHVVQHSSVDNMIPPPALETTSDHSQRDTPKTASDSDAQKEMIITASDAHQLTAKGNYCALCKKVHD >RHN72123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5152865:5164035:-1 gene:gene7798 transcript:rna7798 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAIVAEVMEEYTALLARMVEQVFNSAPVPRRVFGKVDPKFYNKFKDGFWQTRL >RHN61642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37114308:37116665:1 gene:gene24127 transcript:rna24127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MLQEGSSSVTSSALKLFSMMPPSSGFGSPHPWLKELKSEERGLYLIHLLLTCANHVAACNLEHANATLEQISMLASPDGDTMQRIAAYFTEALADRILKAWPGIHKALNSTRVSMVSEKILVQKLFFEFFPFLKVAFVLTNQAIIEAMEGERMIHIIDLNAAEPAQWISLLQVLSAHPDGPPHLRITGVHQKKEVLDQVAHRLIAEAEKLDTPFQFNPVVSKLENLDFEKLRVKTGEALAISSILQLHTLLALDDETMKRKSPLLLKTSNGIHLQRFHPINRSTFDNLLEKDLISSYTRSPDSSSSSPASLKTSNSMNTEMFLNALWSLSPKVMVVTEQDSNHNGSHFTDRLLEALHSYAALFDCLESTIPRTSLERFRVEKLLFGEEIKNIIACEGLERKERHEKLDKWFMRFDLAGFGNEPLSYFGKLQARRFMQSYGCEAYRMKEENGCVLICWQDRSLFSISAWRSRK >RHN47661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41335995:41337134:1 gene:gene42284 transcript:rna42284 gene_biotype:protein_coding transcript_biotype:protein_coding MYICLILTKDWNYSTRQERVGFNFLIVFESILSRIMQCNLGVEKMRYQMSL >RHN65032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63768457:63768774:-1 gene:gene27925 transcript:rna27925 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYRKGTVHPSPPTVSDNKLSFLPATILTLTVALSPEDKEVLAYLISCSSSSINGNSRRKTKSFVDGEHLPLFHCNCFRCYMSYWVRWNTSPNHQLIHRINHR >RHN81163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43778009:43781906:-1 gene:gene5167 transcript:rna5167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TUBBY family MSFRSMIMDRKGFDVKFGYGMRSRSQSHCDVNVIADGALVIDDGLSQSCWANMPHELLRDVLMRIEVSDDTWPTRKNVVACAGVCRSWREIVKELVKPPQLSSKLTFPVNLKQPGPRGSLIQCYIKRNRGDQIYYLFLSLNQASTDDGKFLLAARKCRRATHTDYIISLNLDDVSRRSSTYVGKLRSNFLGTKFTVYDAHPPVYGDRFAKSRTTRLSLKQVSPRVPAGNYPIVHVSYDLNVLGSRGPRIMQCVMDAIPASAVEPGGVAPTQTQFLHSRADTSPSIPFFRSKSTRSENLQSAAPLISQNDGELVLKNKAPRWHEQLQCWCLNFNGRVTVASVKNFQLVASPKNGVSEEAQENVILQFGKVGKDVFTMDYQYPISAFEAFAICLSSFDTKIACE >RHN77288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5761423:5763093:1 gene:gene728 transcript:rna728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MKVHQFTRGFWEHEPSLTLGCKRLRPLAPKISSNNTDNSTTNTPSLSFDLKSFIRPETAPRISLSSSDDNKKDPPSPQSQVETHIPGGTRWNPTQEQIGILEMLYRGGMRTPNAQQIEQITVQLSKYGKIEGKNVFYWFQNHKARERQKQKRNSLGLPHSPRTPTTTLVSMSPTFSTITTLDTPKRVQVMERDQEDSPLKKSRSWPFEYLEEKNWSMCKVEEHKTLELFPLHPEGR >RHN72086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4854701:4858641:-1 gene:gene7759 transcript:rna7759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase METCEATEIFKPKRIFLFPLTVLILVILLPLLIHFNQTSSKVLYPIVEPITTSCNNLFLGTWVPYLKQPYYNQTCPFITEKQNCLIHGRPDSDFLKWRWKPDNCELPLFDATQFFKIVKGKSMAFVGDSIGRNQMESLLCLLNSVARPEEITTKYVSSIEDLTYFKWWFYADYNFTIAMLWSPFLVKSSKSYIYNSSNFYKPESLYLDEPDTAWTSRIENFDYVIFSGGQWFFRPFTFYENGTIVGCQKCNNSIELNYYGYKKAYRTAFKTIMNPNLFKGLAFLVTHSPNHFENGEWNRGGGCNRTQPFTNEQKWEVHPYGLEIIHQIQLDEFSAAKNNTGKNGSRFGLIDITDAMLMRPDGHPNKYGHVSGKNASINDCVHWCMPGPVDTINEIFLYMIMRMGL >RHN64195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57188530:57189173:-1 gene:gene26982 transcript:rna26982 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYKVHSSWTKTFVFSTDVIPTHCFSPICRTKSGDIIATDGEVGLVKYDEKGQFLEYTSYYKENCTSRVAMYTESLLSLPP >RHN38850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2437809:2438252:1 gene:gene44819 transcript:rna44819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MQGFIALALILGFVSPCLCTRMNVGTENAIYNVIQYGARGDGITDDLQVDAFVSAFSSACKAAGMSTLIIPAGKKYMVSKANFSGPCSARVLIQVESQILFKIYSLMSVK >RHN59796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13301383:13301556:1 gene:gene21949 transcript:rna21949 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLRGCHRDLFMESSVPINFASRLGVSFYCQVLGMFGIFFGGPKVVLVVEYELVLE >RHN76695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:485514:488060:-1 gene:gene60 transcript:rna60 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MHTLVSDPLTLPPLPKHQTKPPNFSLLHTPPLTSTTYSTILQSSNSLTLGKQLHSHSIKTGFYNHNFVQTKLLQMYSINSSFEDAWHMFDKMTLKNLHSWTAVLRLHLNMGLFYKGFMLFEEFLCDGLGEKLDFFVFPVVLNICCGLGDLELGRQVHGMVLKHGFVTNVYVGNALIDMYGKCGSLDEAKKVLEGMTQKDCVSWNSIITACVANGVVYEALDLLENMLLSELEPNVVTWSAVIGGFSSNAYDVESVELFARMVGAGVAPDARTLASVLPACSRMKWLFVGKELHGYIVRHELFSNGFVANALVGMYRRCGDMKSAFKIFSKFARKCAASYNTMIVGYLENGNVGKAKELFYQMEQEGVERDRISWNCMISGHVDNFMFDDALMLFRDLLMEGIEPDSFTLGSILTGFADMTCIRQGKEIHSIAIVKGLQSNSFVGGALVEMYCKCNDIIAAQMAFDEISERDTSTWNALISGYARCNQIGKIRELVERMKSDGFEPNVYTWNSILAGLVENKQYDLAMQLFNEMQVSSLRPDIYTVGIILAACSKLATIHRGKQVHAYSIRAGYDSDAHIGATLVDMYAKCGSIKHCYQVYNKISNPNLVCHNAMLTAYAMHGHGEEGIVIFRRMLDSRVRPDHVTFLSVLSSCVHAGSIKIGYECFYLMETYNITPTLKHYTCMVDLLSRAGKLDEAYQLIKNMPMEADSVTWSALLGGCFIHKEVALGEIAAEKLIELEPSNTGNYVLLANLYASAGRWHDLAKTRELMNDKGMQKSPGCSWIEDRDGVHIFLASDKSHQRVEEIYFMLDNLTKFIRIKEHKSMIT >RHN68428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35440896:35443525:1 gene:gene16781 transcript:rna16781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MMVGHSPIHAGPSTLLLGVIFCYIGTGKDTGRSCAFPTLARKRCETRGNETQGRVDALSVEARLDAGSANSDQKGANNIAKRSQERIGSAFTRNSLPQDFDDQEILYETCTVSNASQLLVLAPKLQSLRIKDCESLDVLPDGLLDGSTSLKELKLMNCSDLRSIPYPPSLTELYISKCRNFELLRSSKSRENLSFIHRLSIGNSCDSLTTLTLDLFPKLKILFIWNCPNLVSFDVTGVHKGDFPLECFEIRDCPGLTSFPDEGFHTPNLRAFTLSNCKNLKKFPNFIASLTSLLTLFVLRCPHIECFPHGGLPSSLILISIAYCDKLTSQKEWGLENLKSLTTFNIEGGCIGLESFPEENLLPRNIISLHISNLKSLKKLDDKGFQQLNALCTLKIDRCDVLQYLPEQGLPSSLNQLNIRDCPVLTPRLKPETGKYWCKVAHIPHIEIDDKKVGQPWYRY >RHN50115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4177964:4180994:-1 gene:gene34370 transcript:rna34370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MEGRKKRVFASTISPTMVFLLLGFAVLVMLPMVSATRFMVGGRMGWNTNFNYTTWAKGKHFYNGDWLFFVYDRNQMNVLEVNKTDYETCNSDHPLHNWTTGAGRDVVPLNVTRHYYFISGKGFCFGGMKLAVHVENLPPPPKAAPERAAATFLSSKGQIFLMPIVFAIGAAWDSFIYLW >RHN82497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54279270:54280109:1 gene:gene6667 transcript:rna6667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Omega-6 fatty acid desaturase, endoplasmic reticulum isozyme 1 MNVVTMHLVTTNGFDDLVGFILHTSLLVPYFSWKISHRRHHSNTASLERDEVFVPKPKSNVGWYSKYLNNPLGRALTLLITLTLGWPLYLAFNVFGRPYDRFASHYHPYAPIYSKRERLQVFVSIAAVLAATYLLYFIASLKGFVWLICVYFVPLLIVNGFLVTITYLQHTHFSLPHYDSKEWDWLRGALATVDRDYGILIKVFHHITDTHVAHHIFSTMPHYHAMEATKAMKPILGEYYQFDDTPFYKALWREAKECLYVEQDEGTSQKGVYWYKNKF >RHN68691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37857673:37860085:1 gene:gene17075 transcript:rna17075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-L-isoaspartate(D-aspartate) O-methyltransferase MMIGKKIVESVRKRKVAMNPVVLAYDSFRVSCYATTTRTSCQHHHRPVSLSVFQFQNPNFLTGNTIFLKMQRFCSVSGISKNKAMVESLQHFGVITSSKVSQVMETVDRALFVPTGSSPYDDSPMAIGYNATISAPHMHATCLHLLEDNLQPGMHALDIGSGTGYLTACFALMVGPQGCAVGVEHIPELVSLAKENIQKSAAATQFKDGSLSVHVGDGREGWPEFAPYDAIHVGAAAPEIPQPLIDQLKPGGRMVIPVGNIFQDLKVVDRNSDGSISVRTETSVRYVPLTSREAQLRGY >RHN50256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5564849:5569736:-1 gene:gene34525 transcript:rna34525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-beta-hydroxyhyoscyamine epoxidase METMEQRLVSSWSNVHSSVPPSFVQPLECRPGKVTNPSSKTIPLIDLGGHDHAHTILQILKASEEYGFFQVINHGVSKDLMDEAFNIFQEFHAMPPKEKISECSRDPNGINCKIYASSENYKIDAVQYWKDTLTHPCPPSGEFMEFWPQKPPKYREVVGKYTQELNKLGHEILEMLCEGLGLKLEYFIGELSENPVILGHHFPPCPEPSLTLGLAKHRDPTIITILLQDQEVHGLQILKDDEWIPVEPIPNALVVNIGLILQIITNGRLVGAEHRVVTNSKSVRTSVAYFIYPSFSRMIEPAKELVDGNNPPIYKSMSFGEFRKKFYDKGPKIEQVLQS >RHN48198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45730677:45731858:1 gene:gene42888 transcript:rna42888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MDFDSLLFQNQNQNLMYFPNNFSWELEEDFVNFFSDVNNYSNSSGSLSSTESNSSGSVLSNEPLEVSSNTTYKQVIKETQTSSPPPPPPIKESKKRAFRGVRTRPWGKFAAEIRDSTRKGVRVWIGTFDTAEAAALAYDQAAFSTRGSLAVLNFPEEVVRESLKEMASNSKPLDEGTSPVLALKRKHSMRKKSNKVSTKRIKSEYHSDQIQIETNINSQNLFVFEDLGAEYLDQLLSLTY >RHN55926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25596159:25603186:1 gene:gene31220 transcript:rna31220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-Xa family MEFSNQFKESFKHGLIAGYCFTATFVIVFYMFYCLPAQVIKNRKNKKVGHMKTEEKHKLPCQKFKQQKINKKLKKVRQKDRVFPMELIEKSIQISKVGRLTYRMNFTKLCHATKYFSLDNVIGVGVIGIMYKAALPNGRFLAVKRLYDSQSIIKRFELEIMILGQYSHRNIVSLIGFSIEEGNNERILVYQYMSNGRLSDKLKETKKLEWSKVIKIALGVARGLCCLHHSLHMLHLNINSDCILLGKNFEPKISNFGGIMFMNNDLEKNIGLEKKDVSDFGCLLFELINGNKFGEIHESFNNVTVPFVTYPNHVNMLGEDPSGFCDAVDEYLNKIEFKDEEVSTLLRVARECVHPLFEQRPTMLEVYNKICNIGERDRICEDANLLSMDFVTPIEVDTNMPS >RHN42203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36357986:36360504:1 gene:gene48617 transcript:rna48617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cu(2+)-exporting ATPase MVGNKKLMLDHNIAISVEAEEILAEAENMAQTGILVSLDGEIVGVLAVSDPLKPDAKEVISILKSMKIKSIMVTGDNWGTANSIARQAGIETVMAEAQPETKAIKVKELQNSGYTVGMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDIIIAIDLAKKTFSRIRLNYIWALGYNILAIPIAAGILFPSTKFRLPPWIAGAAMAASSISVVCSSLLLKKYKKPTKLNNLEMNGIQIE >RHN80917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41887740:41892707:-1 gene:gene4901 transcript:rna4901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate--tRNA ligase MDIKIKTLSFSAAAPPLAVIAASKLAGFSPSIDTSLPPDSAPTFLFSDGSKLPGAFVLLRHIGRTATLPNFYGQNAFQASQIDVLLEYAPVLSSGPAFENGCKYIDDYLEKRTFLVGYSLSIADLAIWAGLAGAGKRWESLRKSKKYQNLARWYNSIVAEHGTALNEVTATYIGKKGVGEPSATKPKDQPVVKDKVKNVNGDVSDNIKGGGKPSAEIDLPAAEVGKVRLRFAPEPSGYLHIGHSKAALLNKYFAERYQGQVILRFDDTNPAKESNEFVDNLIKDVETLGVKYERITYTSDYFPELMELAEKLISKGRAYVDDTPREQMQKERMDGIESQCRNHSVEENLKLWKEMIAGSERGLQCCVRGKLDMQDPNKSLRDPVYYRCNPMPHHRIGSKYKVYPTYDFACPFVDAHEGITHALRSSEYHDRNAQYYRIQADMGVTRVLIYEFSRLNMVYTLLSKRKLLWFVQNEKVESWDDPRFPTVQGIVRRGLKIEALIQFIVEQGASKNLNLMEWDKLWTINKKIIDPVCPRHTAVIADRRVLLTLTDGPEKSFVRIIPRHKKYEAAGNKATTYTKRIWIDYADAESVSAGEEVTLMDWGNAVVKEVEKDQDGNVTGLSGVLHLEGSVKTTKLKLTWLPELDELVSLTLMEFDYLITKKKLEEGEDFTDVLNPCTKKETLAYGDSNMRNLKRGEVLQLERKGYFRCDVPFVRPSKPIVLFAIPDGRQQTSLK >RHN79850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32836787:32838872:-1 gene:gene3697 transcript:rna3697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MQQVRPPTLTSTSEQPPLFDGTTRLVPSPTPTLVLLNLHARVLILCIFFRLYTSYSCPFAQRVWITRNYKGLQDQIQLVPFDLQNRPAWYKEKVYPENKVPSLEHNGKVLGESLDLIKYVDANFEGTPLFPNDPAKKELGE >RHN82423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53627129:53632702:1 gene:gene6588 transcript:rna6588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein Ist1 MSLLNQLFSRGVFSTRCKTCLNLAISRMKLLQNKRDVQLKQMRKEISQFLQAGQEPIARIRVEHIIREQNIWAAYEILELFCEFVLARVPIIESQKECPSELREAIASIIFAAPRCSDIPDLLHIKNLFTTKYGKEFVTAISELRPDSGVNRTIIEKLSVSAPSGEIKLKVLTDIAEEYNLAWDSSNTAAEFRKNHEDLLGGAKQVGVGAALSHAPSKNNSNNLSASNTEQSIKSTHDKQQYEQLEASIPSNNNSWLNTKEIEQSHKNNDVQFNDTKTETIFQSSDILEKARAAIASANRATAAARAAASLAHSDFGSLKLEGESS >RHN62821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46049505:46053685:1 gene:gene25436 transcript:rna25436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MSKHKKRKIRHFAASIRRMVFMNLLWKGKDIMQSMMSLLATFVVLLSVPLSTSVSIEETFNNCLSLHAQIPNQFPSSIYTSTNKSYTSILESTAQNLRYLLPSVPKPDFIFTPFHDSQVQAAVICAKQHGIHMRIRSGGHDYEGLSYVSLIEKPFMILDLAKLRAVNVDIPHNTAWIQAGATIGEVYYKISAKSSVHGFPAGLCTSLGIGGLITGGAYGSMMRKYGLGVDNVVDARIVDANGKILDRKAMGEDLFWAIRGGGGGSFGVILWWKIKLVPVPKTVTVFTVTKSLEQGGNKLLYRWQQVAPNIDENLFIRVLIQPGNGSVPGQRTVTTSYQALFLGGANRLLQVMKQSFPELGLTRKDCLETSWIKSVLYIAGYPNTTPPEVLLQGKPTSKAYFKAKSDFVRQVIPETSLNSLWKIFLQDEGPLMIWNPYGGMMSRIAESATPFPHRKGTLYKIQYLSGWIDGEKNMAKHMSWMRKFYSYMTPYASKNPRENYVNYRDLDIGMNKKNCTSLSLANSWGYRYFKGNFNRLVKVKTKVDPSNFFRHEQSIPSLPIGK >RHN67230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25053885:25055346:1 gene:gene15396 transcript:rna15396 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQFYSNNHTHSLQFYSIVRVYAPPPTHTHTHTHTSIKERFVFKSVNLNTSTVIGDEIGKCNKSYASNKVVSYVSTEIVVKISN >RHN60275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24522470:24526527:1 gene:gene22559 transcript:rna22559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MSSKMCWFFSFFVCLMLSVSLSTSSKFDELFQPSWAFDHFLHDGDLLKLKLDNSSGAGFVSKSKYMFGRVSIQLKLVEGDSAGTVTAFYMSSEGPNHNEFDFEFLGNTTGEPYSVQTNVYVNGIGNREQRLNLWFDPTKDFHSYSIFWNQRQVVFLVDETPIRVHTNMEHRGIPYPKDQPMGVYSSIWNADDWATQGGRVKTDWSHAPFIATYKSFEINACECPISVAAMDNTKRCSSNEDKKYWWDEPNLSVLNLHQSHQLMWVRNHHMVYDYCSDGSRFPITPLECVHHHHS >RHN68503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36034913:36044651:-1 gene:gene16866 transcript:rna16866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative checkpoint protein Rad17/Rad24 MADPVTDLSPSGDDAPVRNVRRRLVQSTLFPLKPPLNKPEENDDSGDEQDEDYCDTKKNRRKRKSKGKITPLNKGSKSATPKKSASGKKTNEKTSIANGIMGSTSRNVLSDFDKVVTPKPDLRLEAKLSAEENSRLFAGRKVHPLFSSCKAGKKVQELSESGRNLFKAKSGDESITCGPIHVFENIKDDTSSLDWRNWTFLGNSTYVNGCSESSNSSVLEGSVECLNFEKILSTLNPAAASNFHNASTSLDSFSVLPENLQETEEQTISASMPKDAKVDFGVDESLAFSLQAGYFRKSYTEPLSRFLQESMRSSYVGCEDKAEGSLWTYRYKPTKAVEVCGNDEAMNFLSDWLHQWHERRYKPRKDTSNRDTRIMQDDDDDDYICSDSDYDSEDMNEEDSLQNVLLITGPTGSGKSAGVYACAQEQGFDILELNTSDCRNGTAVKEFFGEALGSHGIKRFSEHTVSSQKITTKLPPAPALVNCKTADEVNDGVVELITLFDDEASPGETSQKLIGKNNVACDKGQTLILVEDVDILFPEDRGCIAAIQQIAETAKGPIILTSNCDNPGLPDNFDRLHISFSLPTPKELLCHLYSVCLREGVSIHPLLLEKFIQSCDGDIRKTLMHLQFWLQSKIFRKDRKVQTGYVSLPFDLEVGHQILPKIMPWDFPSEISELVENEFVKSINKMEEDSSVQWLVDDELHINESQNDLDEQYMETDYIKAKKVEMIQRNGSITDYSELEIQCKVISEFSNSSGSPVASYLQNGRRKLVVMSSDSEEEDSNNRYPRDTEDEANQRHSIKGNNECTSEFQLNDNCPSTSVRKLVCSELEDSVEEHVKYSEIANVTPINETSETFDISCVPESTYVPETAIESGTDTMSGVVSYGHCLEASMNNELKPLTFSVRRRLSKLSENSDMLMDTEIPETTIIKVMDECSRVDFKLKSTFVESSPSLETDMVQNLWKKLRQMDLKQHTISEQLGASQVVKLASGLSNLISEADLFHNYQHKHDILEPQSFVSNEATTSSYNDETMTSTIAVHGFCFFVKLIADVGSTLGCANKIDLTSEMLASTTNSMALGKLSGLDLAKSTGIYTGKELELNNPTNNMKKSENKASLFEVVQSIVPARISLALKGDIFNEYLSSLRQISRSEAACVSQGVEKRKARSRGAQHYLSRCTELSPEDIKLVSEGDLYRKTSSQKTNAESKLL >RHN46289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30400060:30401129:1 gene:gene40764 transcript:rna40764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MAAIEEEVQLLGAVGSPFVIRVQIALKLKGIEHKYVEEKLGYLSETLLNYNPVYKMVPVLVHNGKPISESRVILEYIDEAWKQNPILPNDPYQRALDRFWSKFIDDKCVAAAWKSVFIPDEKEREKAREELFEALQFLENELKDKFFGGEEISFVDIAASFITLFQDVAEFLLFSGDKFPKLHKWSQEFYNHPVVKEIMPTKEQQHGFFKARAASIAAANKAAASK >RHN75118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39521980:39522246:1 gene:gene11277 transcript:rna11277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-disulfide reductase MVELANDYEGKVEFYKLNMDDNQNIPVEYGIQGIPTVIFFKNGEQVDIIVGHVTKATFIDRIEQYIYERANKQGSIKPIMSSLDVLLS >RHN46139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29096289:29099459:-1 gene:gene40582 transcript:rna40582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MGFAYIKMSSFMRAMLIVLCALWVLPELAHARHVRTTSHAKHVSTTRHYKFDIKLQNVTRLCQTKSIVTVNGQFPGPRIIAREGDRVVVKVVNHVQYNVSIHWHGIRQVRSAWADGPAYITQCPIKPGQSYVHKFTIIGQRGTLWYHAHISWLRSTLHGPIVILPKKHVPYPFPHPFKQIPIVLGEWWKADTEAVINQATQTGLAPNTSDAHTINGLPGPLHNCSAKDTFKLKVLPGKTYLLRIINAALNDEMFFSIANHTLLVVDADAVYVKPFRTNIVLITPGQTTNVLLKTKSKTPNAKFVIASRPYATGPASFDNTTAIGFLEYKKHSLSNTKSKNKTVKLFRPTLPKFNDTIFAMNFNTKLRSLNSKKFPAKVPKTVDKHFFFTVGLGISQCSKNQACQGPNNTRVAAAINNVSFVMPNTALLQAHFFNKSKGVFTTDFPSNPPVKFNYTGTPPKNIMVKSGTKVAVLPYNTKVELVLQDTSILGAESHPLHLHGFNFFIVGQGNGNFDPKKDPAKFNLVDPVERNTAGVPAGGWVALRFLADNPGVWFMHCHLEVHTSWGLKMAWIVQDGKRRNQKLPPPPSDLPKC >RHN73213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14448834:14455029:1 gene:gene9005 transcript:rna9005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MEEETSQWKQALINSSAGAISGAISRTVTSPLDVIKIRFQVQLEPTSLWTSLHRDLSKPSKYTGMFQATKDIFREEGIRGFWRGNVPALLMVMPYTAIQFTVLHKLKTFASGSSKTENHINLSPYLSYVSGAVAGCAATVGSYPFDLLRTILASQGEPKVYPNMRSASIDILQTRGFRGLYSGLSPTLVEIIPYAGLQFGTYDTFKRWTMAWNQVQYSNTAAEESISSFQLFLCGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPRYGARVEHRAYRNMFDALKRILQKEGWAGLYKGIVPSTVKAAPAGAVTFVAYELTSDWLESILT >RHN64591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60255883:60257298:-1 gene:gene27419 transcript:rna27419 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRERSYPQRRRTPSFSSILLDTIDHSIDQSKTDFVDDVNNQPCLYNQPIKHVKFNEKCVNSKQRMNLRQAVMIEDWMEKNSSSSSSECSSGGIFSSSDTDSSYNRQRSRTKYNPPQKHMNPIHHSNSEKQQKKKQQVWEDGFTRTKLRALKIYGELNQKVKQPISPGSRIATFLSSIFNSHNVKKAKMCYVGAVEDVSFDHKSKSPCFSSSVSSYSRRSCMSKTTSKSNNGVKRSVRFYPVSVILGEDSEQQHQPSTIRKITRNSSVNELKNINKVVMAKERFYDNGEDDDEDEDDDALSCSSSDLFELDHLVGGGRYQEELPVYETTNLEINKAIANGLCVNL >RHN61858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38918994:38919455:-1 gene:gene24363 transcript:rna24363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Agenet-like domain-containing protein MRPPMKRVDYKVGDKVEVCSKEEGFVGSYFEATIVSCLESGKYVIRYKNLLKDDESQLLMETLLSKDLRPSQPRVRNPSKFQLNQKVDVFYKDGWWVGKIASEKILMENNYYYSVYFDYTHQTIYYPVIKLGFIKSCFGESGSWRHNSYISPS >RHN48390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47157885:47161135:1 gene:gene43102 transcript:rna43102 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSATAATTTNGNPAEENPLETLDPTSPKPHSESDPIPADKDADDDKSKETTPTEPETDAPLSDVQKKMRRAERFGITVKLSEKEKRNSRAERFGTASVLQGPEGKKDEDLKRKARAERFGMPTPTSAADDDAKKKARLARFAAPGSKTDPAEEDKKKARALRFSNASSKPLSQVNSEANIEPAAIAGNAGGGT >RHN77058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3624431:3624713:1 gene:gene468 transcript:rna468 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIFRIFLLGVLGVVAQLFGYKGLEYTTPTLASSLSNLIPAFTFILAIIFRFLSLLLYS >RHN52374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34406986:34407300:-1 gene:gene37039 transcript:rna37039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylecgonone reductase MCVHGHHWEHTKYFGVLIAEARNKSVAQVQIALRWIHEQGTSVIVKSFKKERMKQNLEIFDWKLNQEELDKINKIPQCRLYKAEMFLSENGPYKSLEELWDGDP >RHN75373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41717522:41719096:-1 gene:gene11562 transcript:rna11562 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:matK MKEYQVYLERARSRQQDFIYPLIFREYIYGLAYSHNFNRSIFSENVGSDSKYSLLIVKRLITRMYQQNHLIISANDSNKNPFWGYNNNFYSQIISEGFAIVVEIPFFLELSSSLEDAEIIKSYKNLRSIHSIFPFLEDKFTYFNYVSDIRIPYPIHLEILVQILRYWVKDAPFFHLLRLFLYNFSNWNSFITTKNSISTFSKSNPRLFLFLYNFYVCEYESIFLFLQHLVEVFAKDFSYTLTFFKDPLIHYVRYQGKYILASKNSPFLMNKWKHYFIHLWQGFFYVWYQPRTMNINQLSEHSFQLLGYFLNVRVNRSVVRSQMLQNTFLIEIFNKKLDIIVPIIPLIRSLAKAKFCNVLGHPISKPVWADSSDFDIIDRFLRICRNLSHYYNGSSKKKKSGSEELLEEFFTEEEEILSLIFPRDSSTLHRLNRNRIWYLDILFSNDLVNDE >RHN46061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28172636:28173202:1 gene:gene40501 transcript:rna40501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MEVEVTALLSPFHVITHHQHNHFFDGAASELSSRRATFRFRFYNDDERCVVSLKAKGVLVNGVRRVEEDEEDLDPKIGRDSVDELGKLGFVDSRIMGRVKEEFGVVGKNGFVGLGKFRNVRNVYEWKGLKLEVDETGFDFGTLFEIECESSDPEEAKQILEEFLKENEIDYSYSVASKFSIFRSGKLP >RHN49001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51675258:51676426:-1 gene:gene43780 transcript:rna43780 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLKLTLDVTRKSYQAFSSSAIFIKREFASRSHTADPDIHSGQQKPGYTNYRNSPQGTSRGDSDTNTKFAETEKEEGIFESPKSPYQSSPKLRSTGVNERLDPNIQQKRKQGTKAALEDLSCAGLDGTPWPEEENDRTKDEQNEDNKEYYKHHKASPISEFEFADTRKPAERVMHGTVDAKQNGDVIGWLPEQLETAEETLLRAAEMWRQRAMRGDPDAPHSRVLRTLRGEDF >RHN58805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5026326:5033081:-1 gene:gene20754 transcript:rna20754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MAYGKEESFSEVIGNLLQYASIHHAHKLFQETPHRTVYLWNALLRSYCFEGEWVETLSLFRQMNNVSSVSIEERPDNYSVSIALKSCAGLRKLLLGKVIHGFLKKVRIDGDMFVGSALIDLYTKCGQMNDAVKVFMEYPKPDVVLWTSIISGYEQSGSPELALAFFSRMVVSEKVSPDPVTLVSVASACAQLSNFKLGRSVHGFVKRKGLDNKLCLANSLLHLYGKTGSIKNASNLFREMSDKDIISWSTMVACYADNGAETDVLDLFNEMLDKRIKPNWVTVVSVLRACACISNLEEGMKIHELAVNYGFEMETTVSTALMDMYMKCFSPEKAVDLFNRMPKKDVIAWAVLFSGYADNGMVHESMWVFRNMLSSGTRPDAIALVKILTTISELGILQQAVCLHAFVIKNGFENNQFIGASLIEVYAKCSSIEDANKVFKGMTYKDVVTWSSIIAAYGFHGQGEEALKLFYQMANHSDTKPNNVTFISILSACSHSGLIKEGINMFDIMVNKYKLKPNSEHYAIMVDLLGRMGELDMALDVINNMPMQAGPDIWGALLGACRIHQNIKMGEVAAKNLFSLDPNHAGYYILLSNIYSVDENWHSATKLRRLVKEKRLNKIVGQSVVELKNEVRSFIAGDRIHDESDHIYEILTKLHAKMREVAFDPQVQIEEML >RHN82373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53271244:53275538:-1 gene:gene6536 transcript:rna6536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha,alpha-trehalose-phosphate synthase (UDP-forming), Trehalose-phosphatase MASRSYSNLLDLTSCGSPSFGREKKRLPRVATVAGVLSELDDETNNSVGSDAPSSISQERMIIVGNQLPLKAQRKEENGKWEFTWDEDSLLLQLKDGLGDDVETIYIGCLKEEIDPIEQDDVAQYLLDNFKCVPTFLPPELFTKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVINPDEDFVWVHDYHLMVLPTFLRKRFNRARLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGIAYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLESVMNLSETESKVAELRNRFKGQTVMLGVDDMDIFKGISLKLLAMEQLLLQHAEMRGKVVLVQIANPARGRGKDVQEVQCETYATVKRINDTFGRPGYTPVVLIDTTLQSYERIAYYAIAECCLVTAVRDGMNLIPYEYIICRQGNEKIDEILGINSTVQKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSALMVPESEKQMRHEKHYRYVSTHDVAYWARSFLQDLERACRDHLRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKHRAILLDYDSTMVQPGSISTTPSAEAVSILNSLCSDTRNCVFIVSGKERNTLTEWFSSCEKLGLAAEHGYFVKTSHTEEWEACVSVPDFDWKQIAEPVMQLYTETTDGSNIESKESALVWNYEFADRDFGSCQAKELLDHLESVLANEPVSVKSGPYIVEVKPQGVSKGIVAERILITMQQKGVIPDFVLCIGDDRSDEDMFGVITSARASLSPIADVFPCTVGQKPSKAKYYLEDTSEILRMLQGLANASEQTAARSSSQLSPP >RHN69968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47640037:47641062:-1 gene:gene18503 transcript:rna18503 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLLLAWLGLTWILNQVKSGTLFDNVVITDDPEYAKQVAEETWASRRI >RHN54728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11668676:11672505:1 gene:gene29806 transcript:rna29806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAESSVSFLLEKLTWLLQEEVNLQRGVREDVQYINDELERHKAILMAADSMEDKDPELKVWVKRVRVIAQDMEDAIDEYYLRLVDHQQGKIRSYFHKILFGIKTMKARHKIASNIQGIKSKVEVILRRRPIIPDVASSSSQRFSSRLDSQGDALLLEEADLVGIDQPKKQLTDLLFKDESKREVISIYGMGGLGKTTLAKQVYDDPKVKKRFRIHAWVNLSQSIKMEEILKDLVQKLHNVFGKPAPGSIGTMNNDDLKELIKNLLQRSRYLIVLDDVWNVKVWDDVKHSLPNNNRGSRVMLTTRKKDIVRAELGKDFHLAFLPEQEAWSLFCRKTFQGNSCPPHLEEVCRNILKLCGGLPLAIVAISGALATRGRTNIEEWQIVCRSFGSEIEGNDKLEDMKKVLSLSFNELPYHLKSCLLYLSIFPEFHAIEHMRLIRLLIAEGFVNSENGKTLEEVADRYLKELLNRSLLQVVEKTSDGRIKTCRMHDLLREIVNFKSRDQNFATVAKEQDMVWPERVRRLSVINSSHNVHKQNKTIFKLRSLLMFAISDSVNHFSIHELCSSTGVKLLNVLDLQDAPLEDFPVEIVNLYLLKHLSLKNTKVKSIPGSIKKLKYLETLDLKHTYVTELPVEVAELKRLRHLLVYRYEIESYAHFHSRHGFKVAAPIGNMLSLQKLCFIEVDQGSRALMVELGKLTQLRRLGIRKMRKEDGAALCSSIEKMINLRSLNITAIEDDEIIDIHNISKPPQYLQQLYLSGRLEKFPQWINSLKNLVKVFLKWSRLKEDPLVYLQDLPNLRHLEFLQVYVGDTLNFNAKGFPSLKVLGLDDLEGLKHMIIEEGAMQSLKKLVMQRCGSFKNVPLGIEHLTKLKTIEFFDMPDELIMALRPNVGADYWRVQNVPTVYSTYWRDGGWDVYSLETFGERESDSNHSSAKRTRELPTLWKV >RHN41052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26432253:26435959:1 gene:gene47328 transcript:rna47328 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEDSRGLRRLHKARNYVPKFKDILHRSKHLKRQKKHVFSASDNIHKRLAHRLGHQVPKDGLSKRLMHEMGRQEPNTSLTCKRRMAIGLGVWLLAKKVTIL >RHN73335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15474785:15482971:-1 gene:gene9136 transcript:rna9136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerol-3-phosphate 1-O-acyltransferase MNSSGVLKSSSSELDLDRPNIEDYLPSGAAIQQEPRGKLRLHDLLDISPTLSEAAGAIVDDSFTRCFKSNPPEPWNWNIYLFPLWCFGVFARYLIIFPTRVLVLTIGWIIFLSSFIPVHFLLKGHDKLRRSIERSLVEMICSFFVASWTGVVRYHGPRPSRRPKQVFVANHTSMIDFIVLEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRSEAKDREIVARKLREHVQGADNNPLLIFPEGTCVNNHYTVMFKKGAFELGCTVCPVAIKYNKIFVDAFWNSRKQSFTKHLLQLMTSWAVVCDVWYLEPQNLKPGETPIEFAERVRDIISTRAGLKMVPWDGYLKYSRPSPKHRERKQQNFAESMLRRLEEK >RHN48970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51464170:51465163:1 gene:gene43747 transcript:rna43747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSTSTDPNLEAKYALNSKVMIGSSILLFILILIILLFRTYVYICHLRSRRLFSQDSVTTTTFKEERLDPSVLKSLPTFTYSSSSSATGRTLHDCAVCLSEFTDGDECLTLPNCNHDFHSLCVEPWFASHSNCPLCRAPVQPAKVQSYTETCTVLGSGEPGEGCSNFPEPVWCPRKPFSVIVELPPEVVRVGSCTRR >RHN73099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13459181:13460153:-1 gene:gene8879 transcript:rna8879 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSGKLSLKNHLKSLTPYPFFLQHLGIYMLQNQSMASEKASSSSNQGLTSEEISATNVTTKSNVGKELARRAILRSHQRRNGKRKIVSNFNNVKLLPSRLSKVSLGDQDSAE >RHN44802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8637239:8645034:1 gene:gene38967 transcript:rna38967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MSIVPKETIEVIAQSIGINNLSPDVALSVAPDVEYRMRQIMQEAIKCMRHSKRTTLTADDVDAALNLKNVEPIYGFASGGPLRFKRAVGHRDLFYIDDKDLDLKDVIEAALPKAPLDTALTCHWLAIEGVQPAIPENAPVDVISAPSDIKKHEQKDDNLPVDIKLPVKHVLSRELQLYFDKVTELALNEPDSVLFKEALVSLATDSGLHPLVPYFTCFVADEVSRGLSNFPLLFALMRVVNSLLQNPHIHIEPYLHQLMPSIVTCLVAKRLGSRLTDNHWELRDFTANLVASICKRFGHVYSNLQSRLSKTLLNAFSDPKKAMTQHYGAIQGLGALGPNVVRLLLLPNLEAYIRLLEPEMLLETQKNEMKRHEAWRVYGALLRAAGQCVYVSLKLFPAFPSPLPHTVWKTSASVLTSPPNKRKASPMELEQQPPLKKVDTDGEVSVVQENSSASHKEEETVTQASSAELKIGAASSSGETKNKITTDGVVRSSGGDTQDNKITTDGVVRSSGGDTQDLKISSVLAHIWKDELNSGRVLTSLVELFGENILSFIQNREMCMFL >RHN73460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16639574:16647037:1 gene:gene9275 transcript:rna9275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MATTEQPQNGTATLPPENPSADAQQLTIDTEATTNESTQEIETVTETGTDTAATAVTPPEQRWPGWPGDCVFRLIVPVGKVGGIIGRKGDLIKKLCDETKARVRVLDADLGIPDRIILISGKEELEAAISPAMDAVIRIFKRVSGLSETDSENKGPAGVTLCSIRLLVASTQAISLIGKQGTIIRSIQESSGASVRVLSGDEVQYFATAEERIVDLQGEALMVLKALEAVIGHLRKFLVDHSILPVYEKTYNASLPQQDRQAETWSDKPLLHTTSQTSMFSDIPFPTKRDSVFADRESHLDSLLSSSTMSLYGQDSSLSGVRSSSLSRVGAPIVTTVIQTMQIPLSYAEDIIGIQGTNIDYIRRTSGAILTVQESRVPDEIVVEIKGTTSQVQTAQQLIQEVISSHREPVTNSYGRLDAGRMDVGLRSLYSQSSYSQLGNTSRIPPSSLSSQPYTGYGASGLGDYSTFRL >RHN42044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35040593:35041835:-1 gene:gene48433 transcript:rna48433 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNPNAWCIGWDMNLLAAAYVGIISSGLTYYVQGIVMQKKGPVFVRMTTIFSNYYQCLCFIVNVMFDVRVGAS >RHN74164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29659098:29666359:-1 gene:gene10168 transcript:rna10168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MATFTLHKETVQPWLPLRRAYIIFHFTCVLFLFYYRISNLFISYPWFLMTIAEIILSFLWFFNQAFRWRLVNRSVMTEKLPPDEKLPGLDIFVCTIDPEKEPTVDVMNTVISAIAMDYPSNKLSIYLSDDGGSPITLFGIKEAFEFAKVWVPFCKKYDVKSRCPKFFFSALGENERLHRPREFEEERDQIKAKYEKMQKNIDKFGSNLKNLCMVTDRPSRIEIINDQKEMPLVVYVSREKRPNVPHRFKGGALNTLLRVSGLISNGPYVLVVDCDMNCNDASSAKQSMCFFLDPETSKDVAFVQFPQMFHNLSKKDIYDSQTRTAFTTKWKGMDGLRGPGLTGSGNYISRSALLFGSPNQKGDYLLDALYNFGKSNMYVESLKALRGQQTNKQNVSRDVILQEACEVASCSYERNTNWGNEVGFSYAIKLESTVTGYLLHCRGWRSTYLYPKRPCFLGCAPTDMKEGLIQPIKWSSELLLLAISKYSPFTYGLSRLPTIHCLTFCYLVSTTQFATAYILYGFVPQICFLKGIPVYPKVTDPWFIVFTVLYLSSQIHHYIEVISTGGTSMIWWNEQRSGIVKSIGCVFAIIETTKKKFGLNKANFTLSDKAIDKDKLKKYEQGKFNFDGAALLMAPVIVLLTINIVCFFGGLWRLLIVKNFDEMFGQLFLIIYILSLSRPIVEGIISMKRKSG >RHN66526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13363514:13365620:1 gene:gene14529 transcript:rna14529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monodehydroascorbate reductase (NADH) MACSLKSVFYVPKLNESMLLGPSRHVEAKSSTYSVLSTDFMGKPIVLSEVNGSKYYNLKTSRDVSINAHATSCVSKSLKWWKKNLKANMIEIHSAQELVHSLVNAGDTLVVVDFYSPGCGGCKALHPKICQIAELHPSVIFLKVNYEELRSMCESLHIHVLPFFRFYRGAEGRVSSFSCTNATIKKFKDAVSKHGNERCSLGQAKGLDESELTDLASIGEIRIDSPLLYPVEEKLKEFSDVWNMASNSRGLLHDIIC >RHN61983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39775051:39776447:1 gene:gene24503 transcript:rna24503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MAMTLDDDQWIHEFFMGGNQSSGPFNSDKSDENKEPLDIESMSQNVEKEEETLVNKKQCEGGVTSESGNLNVKNDKGKDINCRISSHEKHARAERERRKKMRSMLDSLQDLIPHLAYKVDSVTIVEETVKHIEYLKQTLEKLKLQKKEKLKSFSEAFTSDQRPFSLNSQMVTSNALAFQQMWFSQNVTFNICGDEAQFCIYTTKKPSLLTTIFYVMEKHKIDVIHANILCNNNAKSCMIQAHVSFKFNSHFIVHFVFLYYTSTCGVI >RHN52346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34182697:34187543:1 gene:gene37010 transcript:rna37010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol-polyphosphate 5-phosphatase MQGIKLSESKILRKILSRNSSETKQETTSLNQASENSTRQFYHQTKKIFVGSWNIGGIAPPHNLDIEDWLDTQNDSADIYVLGFQEIVPLNAANVLGPQNKKISMKWNSLIGATLNNKRPMKVVEEDKKAEPQKIYPLKEQTCEEVENVDDFQCIISRQLVGMFITIWARCDLYQSIKHLNVSSVGCGVLGCLANKGSISIRFFLHETSFCFICSHLASGGKEEDKRQRNANAADILSQTNFPVGPLHDLPQKIIDHDRVVWLGDLNYRIDMSHSATQSLIKKREWETLLKHDQLKMELKEGRVFQGWHEGSIEFPPTYKYHPNSDDYIGCNQQHMSKKRRSPAWCDRIIWFGKGMRQIQYNRSESKLSDHRPVQAMFTADIKVAATNYK >RHN63267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49873499:49879413:-1 gene:gene25948 transcript:rna25948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidate phosphatase MGVDKPPPKPTTTPPPFLYRITSLDATISHSIHTFTSPHAPKQFLRFLEHLADFRLFFPVTLSLFLATPPLSPLRPHLFLPLLICSILDLIFIGFTKFLVRRSRPLYSIHGDYNAVVPVDKFSFPSGHSSRVCFIASIFSLSRDWIVDAVNHPSHPRIAVFVERWIGGDEVMAVNLLVVLVWCWALTTVISRVVLGRHYVLDVIVGACFGVLEALITLRFLNFSVLI >RHN66629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15322785:15324092:-1 gene:gene14663 transcript:rna14663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MYIFVLKRKQLINQKKSLKLARHIPGLLEKMKSSSRLSIARADKISNLPDSILCHILSFISTKQAAITSVLSKRWRPLWRSVLALNFNSNDFKTFVRFVRVINSTMKQRDITEPIQSFRLKCPKYSSFDQKNLNQFVKFVLQRGIQNFYLHLPETCKIQTKLPHNILCCRTLEVLKLKSIMMVDFSHLVDIDLPCVKTLHLSRVYFGCHEHVMKLLSSCPIIEDLKTKCLHAPDGNERHPLEEKFRSFPNLFKARICDLSILISMVSTCVTQLPMFQSLTYLELNFRDQDWFLRGLWLLEVLKHSPKLQNLTIQECERLDSMYKTIWMDPPSAPECLSTWLKTCCIRGYRGTKYEFEFAKYIMQHSKVLETMTIKSTCLEKYQMSLKLSSCSRGSTTCKLLFD >RHN72913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11802306:11802482:1 gene:gene8679 transcript:rna8679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MTHIDIAPWGVNPPHSHPRATEILTVLEGTLEVGFITSNPENRHFRKVLHKRDVFVFL >RHN72478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7989522:7994857:-1 gene:gene8194 transcript:rna8194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pseudouridine synthase, catalytic domain-containing protein MEIWGPEEQFKKIQNQLLPVTEEVLRKQKEKMCSVAVASMLFAGSCRTLAVPAAARAIPISLTKHLTNHAFRLRCRSSHNSFTAIAGETESSTTDAVNLTVTSSSNSYPKYDRLLPCPTHKSPPRIEHLVVTEEGPVLEYICKALNLPHLFVADLIQFGAVYFALVCPKPPPNATEEQIRVFKEVTEPLVVRKRASVKGKTIREAQKTFRVTDVDQFVEPGTYLRVHVHPKRFPRSYEIDWRSRIIAVKESYVVLDKPAGTSVGGTTDNIEESCATFATRALGLTTPLMTTHQIDNCTEGCVVLARNKEYCSVFHGKIREKKVKKLYLALAACPIPTGIINHYMRPINMAPRLISEDFIKGWLLCQLEVIECRKIPWPTSVVQDEYCVEDCGWPSQDYAYECKINLLTGRTHQIRAQFAACKAPLVGDTMYMPAAVAEMINPGLNPFGKYKKDFPSESEKEAAVINWVAQHGKEPSVAIGLQACQISWDDDEHFHRAGSPWWRC >RHN82302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52696614:52702144:1 gene:gene6458 transcript:rna6458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribose-5-phosphate isomerase MAIPCPHFISSAKAAMEAGLLIPSSPSSSVSVILSQDDLKKIAAYKAVEYVESGMVLGLGTGSTAKHAVARIGELIRQGKLKNIIGIPTSTITHEQAVSLGIPLSDLDSHPVIDLAIDGADEVDPYLNLVKGRGGSLLREKMVEGACKKFVCIVDESKLVNYLGGSGLAMPVEVIRFCWKFTAGRLQNLFEESGCVAKLRTSGEKGEPYVTDNGNYIVDLYFKKSIGDLRVASDAILNIAGVVEHGMFLDMATTVIVAGELGLTVKSK >RHN59850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14015042:14019696:1 gene:gene22013 transcript:rna22013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MKPFLFFLITFLFFSQTQLLPLVSSATTTIPFQLISLLSIKSSLIDPLNHLNDWKNNPSDSNNQQDPIWCSWTGINCHPKTAQITSLNLSNLNLSGIISPKIRYLTTLTHLNISGNDFNGTFQTAIFQLNELRTLDISHNSFNSTFPPGISKLRFLRVFNAYSNSFVGPLPEEFIRLPFLEHLNLGGSYFSGKIPQSYGTFKRLKFLYLAGNALEGSLPPQLGLLSELQRLEIGYNSYSGAIPVELTMLSNLKYLDISGANISGQVIPELGNLSMLETLLLFKNHLHGEIPSSIGKLKSLQALDLSENELTGSIPSEITMLKEIVDLRLMYNKLKGEIPQEIGDLPKLNTFHIFNNSFTGALPPKLGSNGLLQLLDVSTNSLQGSIPINICKGNNLVKFNIFNNKFTNNLPSSLTNCTSLIRVRIQNNNLNGSIPQTLTMLPNLTYLDLSNNNFKGEIPQEFGSLQYLNISGNSFESELPNSIWNSSNLQIFSASFSKITGQIPDFSDCKSIYKIELQGNSITGTIPWNIGDCEKLLQLNLSKNNLTGIIPYEISTLPSITDVDLSQNSLTGTIPSSFNNCSTLENFNISFNSLTGAIPSSGVFQSLHPSSYSGNENLCGVLLAKPCADEAVTSGENELQVHRQQPKKTAGAIVWIIAAAFGIGLFVLVAGTRCFQTNYNRRFNGNDANGEVGPWKLTAFQRLNFTAEDVLECVSMSDKILGMGSTGTVYKAELPGGEIIAVKKLWSKQKENSTIIRRRRGVLAEVDVLGNVRHRNIVRLLGCCSNKEITMLLYEYMPNGNLDEFLHAKNKGDNMVIVSDWFTRYKIALGVAQGISYLHHDCDPVIVHRDLKPSNILLDGEMEARVADFGVAKLIQTDESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSYGVVLMEILSGKRSVDQEFGDGNSIVDWVKSKIKSKDGIEGILDKNAGAGCNSVREEMKQMLRIALLCTSRNPADRPSMRDVVLMLQAAKPKRKLFDSVVVSHCASGDNVDGGGGDDIPLAQKPITNES >RHN38576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:405480:406750:1 gene:gene44531 transcript:rna44531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MRGLDIEYYMPVLVMLLIQSIYSGMNLSTRIALLEGMSPAVFVMYRNAFATIFLAPIAYLYERNSASYSLNLRSFSWIFMTSLISVLYQNLYFEGLYLSSASIASAMNNLIPAITFVIAAFAGMEKFNIRSMRTIAKIVGTIICVCGAMSIALLKGPKLLNAENISSKSIMATTSTSDENNWFLGCLILLGSTVGGSTWLILQVFNYYIFF >RHN40779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21036459:21038130:-1 gene:gene46986 transcript:rna46986 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGLQTPKVANPLADFHFLMINYLEKMGKRSPFLTGKYKRPSLRDWDVKAANQDLQKVHDLMGLEHGLTAGVTRLYNTDEGPHVMCFDADTCPLSKAEMHLNHCRSCIRIYTTAAETLERRIAEGNVGTFVKNDAVTTETNTTKENPIEVQPEPEENRSLRTRKRKSSIPQTSTRGGERLNNDKDVADEMDDKIIDDNEENEEDEIDAKRLDESVTKACNIDLSFTQETILKYLEFFDEGEKL >RHN67322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26004912:26005331:-1 gene:gene15502 transcript:rna15502 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKYRCKWKKRPKIRTGSLKHSGPNDWRNQSNMQNMSISEFISKLRDTFIQRDFDVVEETLVSREAMFKTEIKEKKKKIELSDKVIVEIELKRVKEKRYKNELMKNGGVVKEKLGSQRCIGEAVKKRKIVDLEDEKVA >RHN65413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1954772:1958980:-1 gene:gene13248 transcript:rna13248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDQRDAVSSPSLTDETLAKALTSSLSLHAPPQLPTLPLDLISEILTRLPVKLLLQLRSVCKSWNSLISDSKFAMKHLRLSNTHLVHTSINSSNYVLKSYPLDSVFDDVTTNPIVQVEFPSDVSVYHVGSFNGILCLLSDFGDYMILRLWNPSIRKFKELPSLQKQQNHFRQDLIYGFGYDVITNAYNVVVGLRVRDTSAKFVDNHEVKVHTLGTNSWKTIQKFPFGCVPLQLLGKFVSGTINWLVYNEYHKEIQIVSLDLGNESYKEVLLPKEVDVSTLRWHLGVLRDCLCLVFGHDVWIMKEHGNKDSWTKLYSVSYMRDHLSSYATIEVLHIFEDGRVLLDSISTEECTKKLVFYNSRNGTTKFSEPKIMHDVCVESLISPCS >RHN57621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40600384:40601996:-1 gene:gene33197 transcript:rna33197 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNFWAFRREIAENNDNNRPVEPDTQTRNNHQSENGYLTPPQTMLQRPQNYHLMGVDNSSTNGFTHHVPHYCQTHRNHQSTNGYLTTPQLERPQNHFMGVNNFNQECYQRNNSVDAATLPMRNFPFSANDTTSFITSSRYGRALPLGSGLTSSPQQHMSSPNTTLLPSYHANLAQNSSSNTVGSQGFSNWSRNSSRPMIRNQRNHRYLPYGEGSNRRNSSFLMNNSNLRPTGHTNRFHNVNDGSIPSATPPPCNDLNMILSSGNANWDSTCDTSTSHRVFDIRDNEAANRSTPPPFCPINRNDFNPHARVDTNRFHLNDGSIPITTPPVCPINTEDMSMFLSSGNDNLDSARETSTSHRVFEIRDTDVWRRPMTPPDNYFEAIQTEKKEQLLFKDTIPTSSVTEADDANANENDNEHLDLSLHL >RHN39354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6323970:6328616:-1 gene:gene45368 transcript:rna45368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 9S-lipoxygenase MFPNPFHRSQMVKGTVILMQKNVFDIDSLTSATSPAGLIKGVINLVHGIISYIIDTYIMASSVDLRLISSTSADESGKGKVGKETSLNVAGQSEFDVHFKWDSDMGIPGAFYIKNRKQREFFLVSLTLEDVPNHGTINFVCNSWIYNAQNYKTERIFFANKTYLPSETPAPLVYYRQEELKTLRGDGTGERKEWERIYDYDVYNDLGEPDSKPQLARQILGGSSNFPYPRRGRTGREPAKKDPKSESRNGFVYIPRDESFDHKKSSEFLDNLLKSASQDFISEIEIECNYKPEFDTFNDVHAFYDEEVPGLTFPRPEVIQVNQSGWMTDEEFTREMIAGVNPHIIKRLQEFPPKSKLNSQDYGDNTSTITKEQLQLNMDGVTVEEAIHNKRLYILDYQDSIFPYLSKINEVNDHTMGYATRTIIFLQNDGTFKPLAIELSSPNPKGDRFDPISDIYLPASEGVESSIWLLAKNYVIVNDSCYHQLISHWLNTHAVVEPFIIATNRHLSVVHPIHKLLLPHYRDTMNINALARNVLVNAKGVIEKTFLMGSYSLELSAVIYKDWVFTDQGLPNDLLKRGVAVEDPTSPHGLRLLIKDYPYAADGLEIWTAIKSWVEEYVYFYYKSDANIAQDSELQAFWKELVEVGHGDLKDAKWWFKMQTRKELIEACTILIWIASALHAAVNFGQYPYGGYILNRPTKSRRLMPKKGSAEYAELSKNYQKAFLRTIPPKKDILTNLTVIEVLSRHASDEQYLGQRIEGDNWTSDSQPKEAFKRFGKKLAEIEKKLTQRNNDETLRNRYGPVKMPYTLLYPSSEEGLTCRGIPNSISI >RHN48804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50407989:50408964:-1 gene:gene43557 transcript:rna43557 gene_biotype:protein_coding transcript_biotype:protein_coding MANKQPHLRKPLKQKQTRQQQTILASKTNTNAGSSPKTCYSKHLTAKPTPTQQQHTKQPVLPLLPPSIKTTAPLTKHPQPDPLFHQKTVGELLAETRQQAHDTPEPASDNYN >RHN40797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21276360:21281768:1 gene:gene47008 transcript:rna47008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MTLDCAHSTRDDYQFREYSVDNWVRAAIGPHLQELNLTLMADFDGSDFNLPKSLFTSANLISLSLCGEICVNIQHSTVISLPSLKMLLINIGHMEVPSINALLCGCPNIESLNLGFSPQSLDKVCIPPPLKRLKITVANVVGAYLEINAPNLEYLNITGITFGQVFTMYNLHNVVEAYLDVFPQSLGSVVALHNLLGALSGTKHLVLSHSTTQWLLGEPHNLLFQEFHYLIRLELILLWFNSNSLLSLLQKCPMLQVLIIQNDKQQLPILGWAPQTRVPDCLVSHLTFIQFKGLRGFPDEVSFVEYVLQNGLVLKTMIIAVRSLDLEKKYSVVKTLSNAPRASTTCQLTFD >RHN39436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7112948:7113515:-1 gene:gene45458 transcript:rna45458 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIILCVVYSSTSENMGPECLTGVLIINYTKCTIQIYKRDAVMSFNDEDWKNVTSNLGPGDDVEIFVSFGNRLIVKKTLVLCT >RHN43524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46508809:46512019:-1 gene:gene50119 transcript:rna50119 gene_biotype:protein_coding transcript_biotype:protein_coding MVLESKRMPKEEVRARRVPGSVQPHLCCCYMVLSRRFSAVVGLLGVFAVFFGKAFWMFFLVWCCNFKGFVPVSQPLACRLVLSCII >RHN57934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42633699:42634229:-1 gene:gene33526 transcript:rna33526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MMSNENTLCLPKLQELYMSANFDLQGQLPKLSCSTSLNILDLSRCQFQGSILQFFSNLTQLTFLSLSGNNVGGELPPSWLSSLKQLTLMDFSGNKLIGRIPDVFGGLTKLKTLYLKNNYLKGQIPSSLFHLTLLSYLDCSSNKLEGYLPDKITGLSNLTALWLNNNTLKGTTPHIP >RHN39853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11080906:11081210:1 gene:gene45931 transcript:rna45931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ste24 endopeptidase MQADAFAVKLGYKSALRSVLVKKQMENLMEFNADPWYYAYRVIQPPAVLRLTAMDELDKKAD >RHN62518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44029231:44030477:-1 gene:gene25106 transcript:rna25106 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MPYVIIDLLHLNSIDVNLEEETAWVESGAILGKIYYTIAMKNNSLAFPSGVCFSVGAGGQLSSAGHGNLMRKFGLSIDNTIDAKIVDVNGNILDRRSIEKDLFWAIRGGGGASFGVILSWKLKLIQVTLEVTVFNVKRNVNEDVTDVFYKWQLIAPKLHKDLFIRAQHNVVQIGEHGEKVVQVSFIGQFLGTIERLLPLINESFPELGLKKSDCSSMSWINSTFFGMVNQSILLLKLYWMNQKTLNQFISKSMWKLMIEGETLSMQWNPYGGRMEEISPSKTPFPHRAGNLFMIQYFNSWTEECPKTIERHVNFSRLFYEFMTPYVSNSPTEALLNYRDVDIGANHPSNLTKIDVARTYGSKYFKENFERLVSVKTKVDPDNFFRHEQSIPSRLYEIHI >RHN58967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6294427:6295848:1 gene:gene20937 transcript:rna20937 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSTKLPLVLLLSSFLIHTSFAMMVCEDLPKEVCAFSVASSGKRCLLETEKNINGETEYQCRTSEVMVERIAAYIETDQCVEACGVDRSSVGISSDAFFEPYFTSKLCSPSCFSKCPNIVDLFFNLAAGEGVFLPELCEKHKTNPRRATIELTSSGAALGPASSISQDIALAPAAAPISEISEDNVPPQKQPLCEDSPLQLNVTACGQTP >RHN45995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27634311:27635959:1 gene:gene40428 transcript:rna40428 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSATKSVDKVTLRVMVDKERNKVLYAEAGKDFIEVLFSFLTLPLGTAVKFGSISSLYQSVANLDEQYLWTRTCKEMLLRPRNSMESYCQKMKLNIDDTEPMKHFICGNWDCVRKERGNLLSIFRNQMCSCGRVMDKVFSPPPQLESLRLGSGLVKETAAFIISDDLCVMPNDFGTVIQLLQKLEISDIDAITEQTVIDILKLSLVSKTSLTDLIFKKKYSEVVNGLKDPICKIGKATSEGGRQISVKVVRRKSTGDILFAEAGDDFINFIFSFLTLPLGGVLHMLEGFSSLNSIDNLYKSLTELSSECYLILKHLKEKLISPPIAAQFGFDNQIIPIGVASSPVYYCHSYIHIGQYTRALTASNTHIVRYPAEKFVLLNLVDPKLSASNASSRGEFAKGPSVYMVTVDLAITPMSSFTAISHLNSSNVSLNDVEERVVRIGQKEGLCILKAWMNSTSGMTNGLNQFITNIKEEK >RHN77430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6818700:6819053:1 gene:gene882 transcript:rna882 gene_biotype:protein_coding transcript_biotype:protein_coding MYHKHKSYLQKTSTNKRWYITSKIIIQGWYKLQTCISFIQTLFKIQRNSYLSLKERLIDLLNSHDGHFDDKQPLKGDCRNNEDLDNCF >RHN71363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58518206:58520540:1 gene:gene20037 transcript:rna20037 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVHRVLHALLLLLPLLFYSVLANLTFNFFFLLISHLYADCYDPLDPNGNISVTFDIYQRMENGYLARVTLENHHQYRHVDKPGWKLGWTWANKEVIWSMSGAIATDRGDCSSYSGSQMPHSCKKDPIIVDISPDVSQNRSDHCCRGGRLSARAVDSFNSLSSFELEVRNLEEKENSLGQAPNNVTFMAPGPGYTCSSFLTSDPSVSLDFGGQRQVPVLRTWKSTCTYSSFLANKSPVCCVSFSTFYNPIITPCRNCTCGGCREANKNSLSCIRCMLFHLLEHSCDPLGGSNDEKNIIECTDHMCPIRVHWHFKNNYMNQWRIKLTISNYNFNRNYSNWNVLVQHPGFNQKATTYSFNSTKLSTLGIQALFWGIDYYNNELLHSDKDRVGSVTTEILLEKDENSFTLQNGWTFPRRIYFNGENCDMPLPDTFPMLPNGSSSLRPTSHVFTLSLIYLLLVQLVLL >RHN57868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42257533:42258729:-1 gene:gene33457 transcript:rna33457 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHRFSCGGGHGHFRFAEVPTWADSCFSLNHNLTRSKLFVGDSKRRQR >RHN81676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48009286:48013401:-1 gene:gene5750 transcript:rna5750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L3 MSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDPTKPPKLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEPVTIIETPPMVVVGVVGYVKTPRGLRTLNTVWTQHLSEEIKRRFYKNWCKSKKKAFSKYSKQYESDEGKKNIQTQLEKLKKYATVIRVLAHTQIRKMKGLKQKKAHIMEIQVNGGTIAQKVDFAYSFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKIYKLGKVGEETHDAQTEFDRTEKDITPMGGFPHYGIVKEDFLMIKGGCVGPKKRVITLRQSLLKQTSRLALEDIKLKFIDTSSKFGHGRFQTTSEKQKFYGRLKA >RHN38910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2757761:2759826:1 gene:gene44887 transcript:rna44887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative meiosis arrest female protein MFPLFLPSRLSRKVFTRFKGRGFSSSSSSSPPEVSVWWHLDSCPVPSGFSFSKVAPSITAAVRANGIMGTIHIHAYGDVDKEAFNSTNITLHSFSDDYPFGIHKRYKDTKNNTYKHFLMDLNDWVSTNPPPLHLFLIFGNRDFSSSGILHRLRMCNYNILLACPGRAYVAALCHAATIMWEWSSMLKGDDLTGKHFNYPPDGPTYSWYENSNVPLENPFSVVELHTSSQNSEEEIYKPTLDIKLSQASKSFSSQVMKILCSHPNGISIGDLRAELTNCDMPLVKRFYGNKKFSNFLISMSYVQLQYLGGDNFWVCLVPSTTSAVKNNQNDGAATQKLPNDGKNMDRSADGVPKISSSCVNSEGDDLKSFQSIPSQGKPLGEYADGKSSTPLFMDSIVHQPPNELQKKSLELDVACAPLPQIQLLPNDNNISKKSDNDVVKSDDGNPEILEKIIPSQNPSTSNDCTILENNHEIPTRKEVDEVCRSPFTSTFCSWISSWWTFWKSNAKSEIDHSASHFEEPKSSELQQDLSHPLQPHWDNFKFRVAVWWDFDSCGVPSGISFLNVAPSIMGVLRANGIKGPIHIDAYGTRSIFPIWHCSPPHSRFFFLTLYLKLLPSSFSVLLFFFLYQKLRGVLVLDFIGLFY >RHN76274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49074616:49080652:-1 gene:gene12571 transcript:rna12571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-methanol-choline oxidoreductase, FAD/NAD(P)-binding domain-containing protein MATLGAVKFLLCLLMIWLINFLPLCQGLGKQNLNNGYKYPFIRKASSFSSPPSISTTNTNDGYDYIIVGGGTAGCPLAATLSQNFNVLLLERGGVPFTNPNVSFLDNFHITLADISPTSASQFFLSTDGVFNARARVLGGGSSINAGFYTRASSRFIQKMGWDTKLVNKSYPWVEKQIVHRPTFSHWQRAFRDGLLDAGVSPFNGFTYEHKYGTKVGGTIFDRFGRRHTAAELLSSGNPNKLTVLIYATVQKIVFDTTGKRPKAMGVIFKDENGKQHKAILGNDRESEVIVSSGAIGTPQMLLLSGIGPKAELENLKIPVVLDNRFVGKGMADNPMNTIFVPLKKSVKQSLIETVGITNKGVYIEASCGFGQTNDSIHCHHGLLSAEIGQLSTIPPKQRSAESIKAFVKNKKDIPIEAFKGGFILSKVANPWSTGDLKLINTNVDDNPAVTFNYFSHPYDLHRCVEGIRLATKVVQSQHFTNLTLCEKQTTEQLLNNTVKANINLIPKHVNDTESLEQFCRDTVITIWHYHGGCHVGKVIDSDHKVLGVNRLRVIDGSTFTESPGTNPQATVMMMGRYMGVKILRDRLGKLAGV >RHN72427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7514684:7515373:1 gene:gene8136 transcript:rna8136 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFIFFFIFLFTLVSPATSRFSSVIHHHTATTVFDPALSCVCIPDSSENDAAKVFSCISITFSVVYHGHDATTILASSAACYGSTDSTVYHASKVFIGSFPFFPDHLSDGLPQFMELVSSRVHFEMVYGFSFISSFISSFPFHSDLLSDQRNHTIPADQMRRTMPLMLRDGGNLEVIDFAKVHFLLFNFMIGNYIIYVIIYIYIYIYIYIYIYIYIYIYFMLIFGLNL >RHN40743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20164022:20168735:1 gene:gene46939 transcript:rna46939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MISFEIKKQVVLIYLWLWWITSTNICVNATNDSLKPGDTLNSKSKLCSKQGKFCLQFSNNSIGSDFPCLFISVNVDYGTVVWMYDINHSIDFNTSVLLLDYSGVLKIESQNRKLPIIIYSSPQPTNNTMATILDTGNFVLQQLHPNGTKSILWQSFDYPTDVLIPMMKLGVNRKTGHKWSLVSDKFNLEWGPKEGELNIKKSGKVYWKSGKLKSNGLFENIPANVQNRYQYIIVSNKDEDSFTFEVKDGKFAQWELISKGRLVGDDGYIANADMCYGYSDGGCQKWEDIPTCREPGEVFQKKAGRPSIDNSTTFEEDVTYSYSDCKMRCWKNCNCSAFQEYYDNGTGCVFHSWNSTQYVQVVADTFYNLVKPTKSAPNSHGVKRWIWIVSAITIALLILCPLILCQAKKKKKHALQDKKSKRKEGKSIDLVEYYDIKDLEDDFKGHDIKVFNFASILEATMDFSPENKLGQGGYGPVYKGILATGQEVAVKRLSKTSGQGIVEFKNELVLICELQHTNLVQLLGCCIHEEERILIYEYMPNKSLDFYLFDCTKKKFLNWKTRFNIIEGISQGLLYLHKYSRLKIIHRDLKASNILLDENMNPKISDFGMARMFTQQESTVNTNRIVGTYGYMSPEYAMEGVCSTKSDVYSFGVLLLEIVCGRKNNSFYDDDRPLNLIGHAWELWNDGEHLQLLDPSLSDTFVPYEVQRCIHVGLLCVEHYANDRPTMSDVISMLTNKYELTTLPRRPAFYVRRNIFEGETTSKGKDTDTYSTTTISSSCEVEGKI >RHN80741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40506501:40510397:1 gene:gene4701 transcript:rna4701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger, cation/H+ exchanger, CPA1 family MLKVAMLPSPLDSSTSYLSTSTIVSLCVFFALLCACIIVGHLLEEYRWASESIIALLLGLCSGVVVLLVTKFRSTEILIFNEDLFFLYLLPPIIFNAGFQVKKKQFFRNFTTILLFGVLGTVISFCVISVGAFLLFNRIGITNLSIKDHLAIGAILSATDSVCTLQVLSQDETPFLYSIVFGEGVVNDATSIVLFNSVQSLNFDSINAITALKLLGTFLYLFCTSTALGVVVGLLSAYIIKTLYFGRHSTDREVALMMLMAYLSYMIAELLNLSGILTIFFCGIVMSHYTWHNVTGSSRITTKHAFATISFIAETFIFVYVGMEALDIDKWKRSKASIGTSVAVSSTLIALVLIGRAAFVFPIANIANCTKTRESTKIEFRSQFIIWWAGLMRGAVTIALSYNQFSKSDIASAEDSALMITSTIILVVFSTVVFGSITKPLIEAVNLKHSKPAISDSTDNQEDLRLLLLESNSSINQSINQSNRRRSNLSLMMRYPTTTVHYFWRKFDDKFMRPVFGGRGFVPVVPGSPHVEEDIS >RHN39065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3864257:3868459:-1 gene:gene45057 transcript:rna45057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lipid-A-disaccharide synthase MFGAKAFSLLRTKWKLNSPNTLLTFSSSFSSSHHAKFKAPMDMAARDGEFRVFVVAGEVSGDSIASRLMASLKLLSPFPVRFSGIGGTKMTSEGLKSLFPIEDISVMGIWELLPHLYTIKVRLNEAVKAASLFEPHVVLTVDSKGFSFRFLKQLRARYSQQKLHSPAHFHYVAPSFWAWKGGEERLGGLAEFVDHLFCILPNEDKICRLNGLSATFVGHPVFEDVMELNSRTNCSNNEWRAEGNGEDFLGKHEVPPGTTVISLLPGSRVQEVSRMLPIFANTMELLKDNVPQLMTVIHVAPNQDVENFIAGAVQRWPVPAVLIPGGTTRLRYDAFSASKIALCTSGTVAVELQLARLPCVVAYRAHILTEWFIKYKAKIQYISLPNILLDSAIIPEALFQSCKPENIALLLKDLIHDHVRREEQIIAARKFVKLLMPSERIIHKLADQNLMSTCPDYSPSAVAALTILNYGKPVIHD >RHN43475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46161538:46166002:1 gene:gene50059 transcript:rna50059 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 4-epimerase GEPI48 MSNKTILVTGGAGYIGSHTVLQLLLGGYKVVVVDNLDNSSQKSIDRVKKLAGDFAGNLSFHKLDLRDRDGLEKIFSSTKFDAVIHFAGLKAVGESVQKPLLYYDNNLIGTIVLFEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLSAASPYGRTKLYIEEICRDIYRSDPGWKITLLRYFNPVGAHPSGHIGEDPRGIPNNLMPFVQQVAVGRRSALTVFGTDYSTSDGTGVRDYIHVVDLADGHIAALCKLDDPKTGCEVYNLGTGKGTSVLEMVAAFEQASGKKIPIVKADRRPGDAEVVYASTEKAAKELNWKAKYGIDDMCRDQWNWASKNPYGYGESEK >RHN78843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19106662:19107189:-1 gene:gene2504 transcript:rna2504 gene_biotype:protein_coding transcript_biotype:protein_coding MCKFCYKLSHELLYIPLCDLFLLVLSFEVTISSNMADGTSTMDILLQSSLQKQFYIACNRMKILVLVYTQHEVKVECGLIFLRHHACLSSSSLGRPLASVSHLAKNEYDAREDVAMLLIRRLLGSTERRICDYNYYNVQILYAELQKARDEKFELNMKMEIVALKAEIELFNKQH >RHN58700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4154304:4159275:1 gene:gene20633 transcript:rna20633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MHIFQKLFNGGFRQRVWVRRCYGSSPGLSLDDSFSFIPNTSLCSTNLNPPLNYVYPGTATECRDMIWQGKPEQRAPTAYYVPNMPELNSQLKQLMKLGKICEARDMFNKMSHRDEISWTNLIAGYVNAANSNEALILFSNMWVDSGLQKDQFVVSVALKACALGMNVYFGELLHGFSVKSGLINSVFVSSALVDMYMKVGKTEQGCSVFENMTTRNVVSWTAVIVGLVHAGCSLDGLSYFSEMWRSKVGYDSHTFAVALKASAESGLLHYGKAIHAQTIKQGFNETAYVVNTLGTMYSKCRKPDYVMRLFGKMSTPDVVSWTNLIMTYVQMGDEERALDAFKRMRKSDVSPNEYTFASVISACANLAITKWGEQIHGHALRLGLVDALSVSNSIITLYSKCGLLQEASLVFDGMTRKDIISWSTIISVYCQGSHAKEAFNYLSWMSREGPKPNEFALASVLSVCGSMALLEPGKQVHAYALCIGLDHETMVHSALISMYSRSGNLQEASKIFDSIKNNDIVSWTAMINGYAEHGYSQEAISLFENISSVGLMPDYVTFIGILTACNHAGLVDLGFYYYKLMTNEYQIAPSKEHYGCIIDLLCRAGRLSEAEHMVRNMPFPCDDVVWSTLLRACRDHGDLDRAIWAAEQMLRLHPNSAGAHITLANIYSASGRREEAAHVRKLMKSKGVIKEPGWSWINSNDQLNTFVAGVQSHPLSKQITTILELLRTSIGDARLDFGSIVEDVED >RHN73853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20679258:20680357:-1 gene:gene9735 transcript:rna9735 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRGSHSFSISRNGGSRKSGGRSRNGGRNANNGGRNTNNGGRNPNQMMCTLPICGCKLPMRMYIATTFENQGRRFWCCRRWNGGSIYMWVVHLGR >RHN68303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34442270:34445825:-1 gene:gene16637 transcript:rna16637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Yip1 domain-containing protein MEEDSRTSSSNTSHLLGSVPAVIVDQKNASNNQVPYANMQTFPPNSGGGGGGGQQGYQTLGTPTDAFGQQPANNWQGFFSVSSYTQYFNVDTDVVVNRLISSLNPVGDDFFAKIDANPDLYGLIWISTTLVFVLALLGNFATYLMEKHTNNRTSWSFDVSYVNTAAWSIYGYVIVVPLAYYFFLQYMGSNANLVRFWCLWGYSLTIFILSSFLLLIPVEILRWIIIILTGGASASFVSLNLRSFIGNDLSVAIIAAFFLQIALAVFIKVQFFE >RHN71295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58033374:58035448:1 gene:gene19957 transcript:rna19957 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSMLHDPYEPFRISTSQQELLNSVPFVGDTLTSPCNCNNNNHHHDATAAEIIPPIIPLYAPDTQHVDNQDVFHTPPEDPPLPSSVIDLSQCEFNQAVIDVDDVSQDSEFGFVEKSRSLEEDLLSEFPEIHPDEFSALDRLISDFDKAPMKLGFDPMNVEQDSDKLRIFEKEISSFHDEFGFDSLEENVETQQQVVQNDAEDVNMVDVEGNKSIGSSFEKATANERGSGEDKDKDKEKEKEKETLTVFDVLKFLAKTSVKEDDGLTLLETLKRAGIKFPRPSWWPDDMKSELFNF >RHN76243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48823843:48829137:1 gene:gene12536 transcript:rna12536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGNQKQKWTAEEEEALHQGVQKYGAGKWKHILKDPQFSQKLASRSNIDLKDKWRNLNVFPGQNPKTPKGKPSGSSPAPSNATPSPSPAPGTPAASAGTPAAAAAAAAPVNVAATPQAQTTIRTPTSQPSQNDDNAAKIYPQYNSLIFEALSTIKDPNGSDLNAIISFIEQKHSLPQSQNFRRTLGAKLRRLVGQGKLEKVQNGYKIKDTSVGVKSADDSKPPAPIEMDLPGSSNNFAYDDAIKEASETLACRLADAENKSFLATAAVRETERYSKFGEENDAMLKIAEEIYAKCMLGETVRFT >RHN75917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46146676:46149436:1 gene:gene12177 transcript:rna12177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MMSEEAVSDTSNFRGTSNSNPNPPNPNSNSVKRKRSLPGTPDPDSEVIALSPKSLMTSNRFICEVCNKGFKRDQNLQLHRRGHNLPWKLKQRNKLEVIRKKVYVCPEKSCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTKEYRCDCGTLFSRKDSFLTHRAFCESLVEGSARIGSVPAVISNFGNNLLINTQAPRNIPHGLFGLNPEYGGSGQETFMGNFPNNNIPHHSYLPNSSAFSSSGANSDLELVHTFGLLPQGQWMNYRYNDQHAETSFTSSGVLKLEQQQQEDKMHDLSHLYSQNQLQGCPSHVSTMQNTTTKVINGNNIVEVKKLFNHGNHATNFNEDQLSLTRDFLGVGDDSLKRTLLQQEMIPRFNPIGSVTNLQSEFGGHY >RHN40435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16269287:16276432:1 gene:gene46584 transcript:rna46584 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSLPNFTSLHLSLSHFLYMPIKKIATVSSLSLSQRLCCHHHGQTNWIFLLLIFTQAIAITSILNFLLILRSFCLRRNRL >RHN71329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58282732:58284662:1 gene:gene19997 transcript:rna19997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator Znf-B family MKIQCDVCEKAEATMFCPSDEAALCHGCDHTIHRANKLATKHTRFSLVHLNSKDYPLCDICQERRGYLFCQEDRAILCRECDLPIHGANQHTQKHNRFLLSGVKLSSNSLDPDSSSTSIVSEARNYSSRSKANIIPTSVSNENASSSCMVEDNMASDTGSVSTSSISEYLIETIPGYCFEDLLDASFPPNGFCKKQKQNHYSAFQYQDIHVNKFFFSSSNVCTSSSG >RHN52714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38028034:38028423:-1 gene:gene37413 transcript:rna37413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MFPMENVEAQSCLSACTIFGSKPLCVSSRCRHCCKMPLNSFVGLCERRLSTDSERWKRNILTTSVSLIWIAQKKGIGSFCARYSNFDNEHGWCFASISEAEHIFKIASQYLVGRGGPKEPVGYLGMEIG >RHN81904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49681542:49685382:-1 gene:gene6001 transcript:rna6001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MCHFLRLKSERERESNMGRGKVIMERIHNKINRQVTFSKRRSGLLKKAFELSVLCDAEVALIIFSSLGKLFQYSTTDLNKIIEKYRQCCFNNMSENGYLGEHESQGLYQELLMLRVKHESLARTQRNFLGEEINALSIKDLQSLEKQLERTLAQARKHQMQKLMERVDELREEVHKVEEVNKELESKICDNSTDSTISSNNNIITNLLDAQANPFEHVTTGQFLHLQDASKRQATDIRTDIGQSSRNKNIGWMNI >RHN73468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16741142:16746023:1 gene:gene9283 transcript:rna9283 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGAYTLQETLTAEAASILKHSLGLARRRGHAQITPLHVAATLLSLPSSSLRNACLKSQQQNNHPLQCRALELCFNVALNRLPTTTTSPLLQPQHVPSLSNALIAALKRAQAHQRRGCIEQNQQQQQQPLLSVKVELDQLILSILDDPSVSRVMREAGFSSPSVKNNLENSSTLINSSSVFHSSPSPLSHNHFLSSYGYGSVLFSSQKKEQVVYHPFLKSSESNKEDINLVFDVLLRKKKKNTVIVGDTVSLTEGLVSEIMKRFERGEVPDEMKTTHFVKFHGLSSVSLKYMKKEEVEMNVIRVLKRKVSDYVALGVGAIFYVGDLKWIVDDNDGSLNEKEVVDYVVEEIGKLFGEEGNKNGKIWLVATASYQSYMRCQMRVPTFENQWCLQAVPVPSGGLGLSLHSSSVHDSKMSISQNPSPMLESKFFSNKEEHEKLNCCEECVSNYEKEAQLFKPDQKNLLPSWLQSHSTEARQKDELTQLNKKWNRLCQCLHQNKQPQNHWSNNHSSNAKIYPYNSSYPYWPNQGSSILPDTSSSISFADSATKPAYSSNLIPRFRRGQQSCTIEFNFNDEKAQKNQVTATLELDSLKGMEGTKEVKTTLALGNSTFSVSDQKRMENLTLQRDHIYKVLQENIPWHCETVSSIAEALVDSKSSKECATWLFLQGNDSVGKKRLALAIAESVFGSVEMFSHVDMMKRENSETPFSEKVVGPLKNNEKFVVLVENADFGDTLIRKMLADEFEIAKFGTLGQKIFILSNGGSMVSEDQKKDSVMKLVLKISETEKKPTFELSPSSSSSSKSPCLGNKRSAELDLFSKIKIPRIEENEGNKKREFSFSRQSSFNNTLDLNMKADEEDNEDYDEGENSPISSDLTRETLGEHLISNESLDSIENLFEFNQSPAKNKEMTQMFMSRVKESFEEVLGNVKFSVQDKVIEEIGVGCGSFTNNMFEKWLKGIFQTSLERVNGGDKNGIVYTLCWGGKEDRKWDSGFMGSCLPKNIQIVNYLMD >RHN78420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14945183:14948821:-1 gene:gene1972 transcript:rna1972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MLQSMKLFPLSCLLWFFCMFVMATSPHASSKTQSSEANALLKWKASFDNQSKSLLSSWIGNKPCNWVGITCDGKSKSIYKIHLASIGLKGTLQNLNISSLPKIHSLVLRNNSFFGVVPHHIGVMSNLETLDLSLNELSGSVPNTIGNFSKLSYLDLSFNYLSGSISISLGKLAKITNLKLHSNQLFGHIPREIGNLVNLQRLYLGNNSLSGFIPREIGFLKQLGELDLSMNHLSGAIPSTIGNLSNLYYLYLYSNHLIGSIPNEVGKLYSLSTIQLLDNNLSGSIPPSMSNLVNLDSILLHRNKLSGPIPTTIGNLTKLTMLSLFSNALTGQIPPSIYNLVNLDTIVLHTNTLSGPIPFTIGNLTKLTELTLFSNALTGQIPHSIGNLVNLDSIILHINKLSGPIPCTIKNLTKLTVLSLFSNALTGQIPPSIGNLVNLDSITISTNKPSGPIPPTIGNLTKLSSLPPFSNALSGNIPTRMNRVTNLEVLLLGDNNFTGQLPHNICVSGKLYWFTASNNHFTGLVPMSLKNCSSLIRVRLQKNQLTGNITDGFGVYPHLVYMELSDNNFYGHISPNWGKCKKLTSLQISNNNLTGSIPQELGGATQLQELNLSSNHLTGKIPKELGNLSLLIKLSINNNNLLGEVPVQIASLQALTALELEKNNLSGFIPRRLGRLSELIHLNLSQNRFEGNIPIEFGQLEVIEDLDLSGNFLNGTIPSMLGQLNHIQTLNLSHNNLSGTIPLSYGKMLSLTIVDISYNQLEGPIPNIPAFLKAPIEALRNNKGLCGNVSGLEPCSTSGGNFHNFHSHKTNKILDLVLPLTLGTLLLALFVYGFSYLFYHTSRKKEYKPTEEFQTENLFATWSFDGKMVYENIIEATEDFDNKHLIGVGGHGNVYKAELPSGQVVAVKKLHLLEHEEMSNMKAFNNEIHALTEIRHRNIVKLYGFCSHRLHSFLVYEFLEKGSMYNILKDNEQAAEFDWNKRVNIIKDIANALFYLHHDCSPPIVHRDISSKNVILDLEYVAHVSDFGTSKFLNPNSSNMTSFAGTFGYAAPELAYTMEVNEKCDVYSFGILTLEILYGKHPGDVVTSLWQQASQSVMDVTLDPMPLIDKLDQRLPHPTNTIVQEVSSVLRIAVACITKSPCSRPTMEQVCKQLVMS >RHN76226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48742473:48743607:1 gene:gene12519 transcript:rna12519 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSASKRVNTTFQTSSEFNSACETTFTHCLSLTQHTFEGVLPYQLKTASDQIHTLLTNHPLIHNWVPSPPDRTQVDSALRRVLRPDHNRDSVLRLPVFKEWARCLYTDAVLASAGKALIVRVPVGVAGIVGIGTVAKSGPVLLGGFVGAYSLGVALSIFLGLSA >RHN64486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59490327:59492226:-1 gene:gene27305 transcript:rna27305 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVDYKRHVNAALGISTDKSPSSSAKGKLLLSTVPEDVKRMRDGLNSSTVKARERVKMFNEALSIFYEVFPPYWNS >RHN53784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4136752:4139577:-1 gene:gene28730 transcript:rna28730 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNPNLQSINSQVPSSMSSPSCPSELPDVGNWFSSYEYQSPNLDSNFTLEESAFRKQESQQDEKKEGDFDKVRVHDEEVVIEKLVQCSETCVKDDNQKEDPCLIKNLDSCSSCTQFSEPPDIRNWFSSYIYESSTFDTNSLLSNEEVSEGNKCEEERFDFEVVNKDEVRSENVPPKVCVEHNGSFDKNMEDDGSTGMKKNLTIAGTSHLEKILQPCMQDKALQHSLNSTTHNEPVNRNHGIPGCNGEAHLMSLDTDTSAMRPPKPVQKHATVEEAKSKIEIKAEEPDISACLAKSFSDRNSTYTRHNENDGFVTTRKNSCTRKNNENSWKKPEKTLLQCSTSTGTVPLSCEKKGVAKRKALTEATNLQQSNVIEITGKWHCPQKRKPDRGPALKQLRLERWVQKVGNISPH >RHN39247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5265693:5272537:-1 gene:gene45252 transcript:rna45252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MAYFDNTIGEISWICLKNFDFNSLCSQRSLIDTINILFVCVYCTSLIITLIRKSSTNGSHGKCWIFIIVSICCGTISIAFFSIGLWDFIAKTDNSEKLSCIIKGLIWISLSVSLIVQRVKWIRILISIWWTFSCVLVSSLNIEILLRNHAIETFDIVQWLVHFLLLYCAFKNLDYIGTHSVQEGLTEPLLAGKNETKQTGLGRATFLSKLNFSWINSLLSLGYSKPLDLEDIPSVVSEDEADMSYQKFVNAWESLVRERTKNNTKSLVLWSIVRTFLKENILIAFYALIRTVSVAVSPLILYAFVNYSNRTEADLKQGLSIVGILILTKVFESLSQRHWFFNSRRSGMKMRSALMVAVYRKQLKLSSSARQRHSAGEIVNYIAVDAYRMGEFPWWFHTTWTCAFQLILSISVLFGVVGVGALPGLVPLLICGLLNVPFARILQNCQSQFMIAQDERLRSTSEVLNSMKIIKLQSWEEKFKNLVELLRDKEFVWLSKAQILKATNSFLYWMSPTVVSAVVFVGCAVTKSAPLNAETIFTVLATLRNMGEPVRMIPEALSILIQVKVSFDRLTNFLLDEELNNDDSERNIQQLSVNAVEIQDGNFNWDHESMSPTLKDVNLEIKWRQKIAVCGPVGAGKSSLLYAILGEIPKIQGTVNVGGTLAYVSQSSWIQSGTVQENILFGKPMDKRRYEKAIKACALDKDINDFSHGDLTEIGQRGINMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAAILFNDCVMTALREKTVILVTHQVEFLSEVDTILVMEGGKVIQSGSYENLLTAGTAFEQLVRAHKDTITELNQDQENKEGSENEVLAKHQSEGEISSIKGPIGAQLTQEEEKVIGNVGWKPFWDYINYSKGTFMLCMIMLSQSGFMALQTSSTYWLAIAIEIPKVTNAALIGVYALISFSSAAFVYVRSYLTALLGLKASTVFFSSFTTAIFNAPMLFFDSTPVGRILTRASSDLSILDFDIPYSITFVASIAIEVLVIICVVASVTWQVLIVAVPAMVASIYVQQYYQATASELIRINGTTKAPVMNFAAETSLGVVTVRSFNMVDRFFKNYLKLVDTDASLFFHSNGAMEWVVLRIEALQNLTVITAALLLILLPQGYVSPGLVGLSLSYAFTLTGAQIFWSRWFSNLSNHIISVERINQFIHIPAEPPAIVDNNRPPSSWPSKGKIDLQGLEIRYRPNSPLVLKGIICTFKEGSRVGVVGRTGSGKSTLISALFRLVEPSRGDILIDGVNICSIGLKDLRTKLSIIPQEPTLFKGSIRTNLDPLGLYSDDEIWKAVEKCQLKETISKLPNLLDSSVSDEGGNWSLGQRQLFCLGRVLLKRNRILVLDEATASIDSATDAILQRVIRQEFSECTVITVAHRVPTVIDSDMVMVLSYGKLVEYDEPSKLMDTNSSFSKLVAEYWSSCRKSSSQRLSG >RHN78758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18096819:18097175:1 gene:gene2407 transcript:rna2407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MADEQRWDIKKVLETSDTCSHLSRLLLDKDWAEKFVIPVLLDGAAAALQEGVQVQVWDIDTKSPLSIVFMYRHSAKMYVFTKTWTKEFVNRRELKKGDQIGLRWDQNNQRFDFSVLKN >RHN72082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4836327:4837802:1 gene:gene7754 transcript:rna7754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pollen allergen Ole e 1 family MAKSTIPLVFTLCFLSFLGSAYSKSDRFFVEGVVYCDTCRTQFITRLTEFIEGATVRVECKEDNGTLTFNKEATTDKSGKYSVEIDGDHEDETCEVILCKSPRKDCSEVDSEAHLQQAARISVTNNNGIVSPVRAASPLGFLKKERLPKCGEVLKELGINEDGTEKED >RHN68609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37080343:37083150:1 gene:gene16981 transcript:rna16981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAGGGFAIDAPSNGFDGKITLSVIITCIVAASSGLIFGYDIGISGGVTTMVPFLNKFFPDILRRAAGTEVNMYCVYDSQMLTLFTSSLYLAALVSALVASKVTAAIGRKNTIMLGGAIFLAGAAINGGSENTAMLILGRILLGFGVGFTSQATPLYLSEIAPPKWRGAFSTGFQFFLGIGVVAAGCINYATAKHTWGWRLSLGLAVVPAAVMTIGAFLISDTPSSLVERGKIDQARKALQKIRGSSIDVEPELEELIKWTEVSKSVQEEPFMTIFERQYRPHLIMAFAIPFFQQFTGINIVAFYSPNLFQSVGLGNNGALLSAVILGLVNLASILVSTAFVDRFGRRFLFIAGGILMLVCLIAVSALLALATGVDGAKHMSKGNATLVLVLLCFYAAGFGWSWGPLTWLIPSEIFPLKIRTTGQSIAVGVQFIILFVLSQTFLTMLCHFKFGAFLFYAFWVAVMTLFIIFFLPETKGIALESMYIIWGKHWYWRRFVKGDVDKDNLP >RHN52193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32563753:32572653:-1 gene:gene36837 transcript:rna36837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MEIEQSYKPSSLGNEVTSNLPSLSPLSETLWREKAKPDIIGDVSARLTWKDLTVMVTLSNGEIQNVLENLTGYAEPGYFTALMGPSGSGKSTLLDALSSRLASNAFLSGTILLNGRKEKLSFGTAAYVTQDDNLIGTLTVRETIWYSARLRLPDKMSRSDKRALVESTIVAMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRALARDGRTVIASIHQPSSEVFELFDQLYLLSGGKTVYFGQASDAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPLDKITTAEAIRTLIDHYRTSQHSYAARQKVDDISKVRGTVLEAGGSEASFLLQCYILTKRSFINMSRDFGYYWLRLVIYIVVTICIGTIYLNVGTGYNSILARGSCASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVISNTLSATPFLILITFLSGTICYFMVNLHPGFSHYVFFVLALYASVTVVESLMMAIASIVPNFLMGIIIGAGIQGIFMLVSGYFRLPHDIPKPVWRYPMSYISFHYWALQGQYQNDLRGLLFDGETPDMPKIAGEYILEYVFQITVTRSKWIDLSVILSMIIIYRIIFFVMIKVNEDVTPWVRGYLARRRIQQKSGAQNTTIAPDVLTQSPSLRNYISNQSRN >RHN68726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38054020:38058580:-1 gene:gene17112 transcript:rna17112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDPNPGTFPILSYVMSRLPSLTTKPTATTSDTELYDIEQPRIVDQMPNLADPELIAAMAGAIDDVQQARAILKLIGERPTHEEVDHAKTKLTDIEAELSRQLEGIVLLSRPTEIEVHGWRAHLAEKEKQCREEAEKEKRVWKSLIQLDEMHEAYEKLLKSAEKKLVRMYDGDTGDVGGEGDGSDEVDEVVVGILQEADGKGMERIEISDRKLKVLPEAFGRIPGLLVLDASKNLLSVIPDSIVGLQNLEELNLSANHLESLPDSIGFLQKLKLLNVSGNKLTALPDAICQCRSLVELDVSFNDLSYLPTNIGYELPNLKKLMIQLNKIRSLPSSICELKSLCYLDAHVNELHGLPAAFGRLTTLEILNLSSNFADLKELPETFGELTNLKELDVSNNQIHALPDTFGCLDNLTKLNLEQNPLELPPVEIVNQGVQAIKTFMAKRWIAMLEEEELKSNQEMQEQGEGGWLTRSTSWLKNVSGNVVGYIGTAVGSPMSPKSPTTDAYLNQQL >RHN66913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21428192:21428584:1 gene:gene15034 transcript:rna15034 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFSILWIFGVLFCGGWWWLWVVARGLFAEVIGVGWWLWCLLVLSWLLHVLVSGPSSAFWRLFVQVATAAAVFLLPSLVCANFSLLSGAFNRHPLSPLSSMSLRPFRSVSGAFGAVWVGWFGLLPGGGW >RHN58734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4358956:4361898:-1 gene:gene20674 transcript:rna20674 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFFLYIDFITDGIKLLAGFLIEPIGSNLVEYLIHFSFFLQSEREIQQKQKTEMNVMIPPSCLLIRKDFFVTLN >RHN56526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31635402:31635716:1 gene:gene31935 transcript:rna31935 gene_biotype:protein_coding transcript_biotype:protein_coding MWINSIPIQTRSLEAILICVVPSFHFQNPLFWFWEHERTTKF >RHN75074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39191815:39192441:1 gene:gene11226 transcript:rna11226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MGVGRAVQNLSYVLPLIFGSHSLTFLELSYGRNAKCPKSLHLPALRTLHLQFFNFFATHNHCADPFPNCHVLNTLVLSGCSLIEDAQVLYMSNQTLSNLTICYISTDQYSLSTPNLSSFTIRDCPIFQKSLSSTCNLSFLQQVNMYGFSNDGEASIFLRWLQVLSNVKILEFGYSIIEKIQNVSDFSVLSHLYFDYTIIWVVEYVSRC >RHN69373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42983175:42984143:-1 gene:gene17830 transcript:rna17830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L25/L23 MGSRLGRRVVYFANLPIKLLLPTNFTDIHEIALKTIPSASKIEIKRVLESLYGFDVEKVRTLNMDGKKKKRGGIVIAKPDYKKAFVTLKTPLLINPNLFPIREIEEDKKSLNKQAMAASIVEEAPGKSHWLDENKNTRGFKPQNGYHRGGFNRSGSNLFESSGSNQGRFDRSGSSRGRFERPGSNRADGSAAKFPWSNMRTGRSNATSVVSDEIMFFPRCYLTMMLLLECSRYYLLLN >RHN72545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8514163:8522073:1 gene:gene8271 transcript:rna8271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IGR protein MAMSLWLQMHRVIRNGSVNLISTHPHSSAYFSSRSYSKSTPYVVKVGIPEFLNGIGKGVESHVAKLDSEIGDFQKLLVTRTLKLKKLGIPCKHRKLILKHAHKYRLGLWRPRAEPIKA >RHN46442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31767986:31768228:-1 gene:gene40927 transcript:rna40927 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIFLTKLLFGGAGGGVVVLPVVRPHHWYVLFMFVLFVIKDWVGLETFGVIWYQKSESVWFCKPERVWSLINVWTHPSI >RHN49052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52104951:52111477:1 gene:gene43839 transcript:rna43839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mRNA (guanine-N(7)-)-methyltransferase MKRGYRESPSSSFGQPHSKPRHNSQGDENFLEDESTKNYARKVADHYSARSNQTLEEREASPIIHLKKLNNWIKSVLIQLYACRGDAVLDLACGKGGDLIKWDKAKIGYYVGIDIAEGSIKDCRTRYNGDADHHQRRKKFTFPARLLCGDCYEVRLDKVLAEDAPFDICSCQFALHYSWSTEARARQALANVSALLRPGGVFIGTMPDANVITKKLREAEGLTFGNSVYSVWFDEEFSDKKFKSSHPFGIKYTFHLEDAVDCPEWIVPFHVFKSLAEEYDFELVFAKNSHEFVHEYMKRTEFVDLMQRLGALGDGNQDQGTLSADEWEAAYLYMSFVLRKRGQPDKNRVSGRKDRGLMHITEEDITYIGNDF >RHN67738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29905228:29910520:-1 gene:gene15961 transcript:rna15961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MASQSPGAKLALAHMRDWLIILALGVMDGLLNMIEPFHRYVNKDIMQDLMFPFKQDTIPMWGVPILSIFIPILIFIAFYFVRGDIYDLHHATLGLLFASLITGVITDSIKDAVGRPRPNFFQRCFPNKIPVFDKETGDVLCTGIKSVIKEGYKSFPSGHTSWSFAGLGFLSWYLSGKVRVFDRRGHIGKLSIVLLPLLIAALVGITRVDDYWHHWTDVFTGGLIGITVSSTCYLLLFPFPTYAHGWAPHAFFYMLKESESSQRESQTPSFVRLEDSSLEMDKMERGK >RHN75674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44219069:44221562:1 gene:gene11911 transcript:rna11911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloroplast protein import component Tic20 MMAGQLTASAATTTYASLLRLPSLPNKDLIQQRRVSFNGKRKNLAIAALAGSSLGKSATRLTAVSSPLFTDNHGHLSLGAPISRRSSSSTRPEAYIYHWSGFRIPANSEKPEWWWRTLSCIPYLIAMQMSAAGYYLEPLLDKYKLFENVIFYIPGAVNRLPTWFPILYCYLAIMVVVKNRDFPIIFRFHVMMGMLLEIALQIVWVASNFMPLIHFKGTLGMYYWAGVALAYIVIIMHSIRCALLGTFSNIPVISESAFLHSLLNIGGFQRPF >RHN79237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26358099:26359100:-1 gene:gene2991 transcript:rna2991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MFSCSLLFHQLPEFDQGKRSCRRRLAGHNERRRKPQPSSFLTSRSPKLSSPTFGNNGKGNNDFLMECASYQKISMGNALSTPRSAKPVPGNQATQFTWQNNSSTTSDFFLQGTNFHAPPTRHLPIMDNYNEVTDSSCALSLLSNQTWCSRNTTPPSVEMNNLLNFNGSLTTQSSQVVPDYQLQTNASSWFLKGVDSRNCLSPEAVPDLGLGQNSQAIHSDLHGELDVSQGKRHYWPL >RHN79077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22168764:22170803:-1 gene:gene2774 transcript:rna2774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MDLISTSSESGQLSVETSSLIHADSSQCYSPSNKESWKSLVFKTIKEIEDFYGNYAYNIGFSVRTMLNSKNNSQNKKSDKVHYVCYVCNKQGFKKGSLLNPNNRPTSDSPVLIDWKKRLVKKKLFSKKLLTPGRAKKFRGDEKMPNSKLHLIANENSGGFPKGGSHGVDVERKKKSKKAFCPDYSFSDGEECLSNHCELDRKGKDLEMRVVKHDSRPHKLQKTGVCEKKAVTRFGAEALHIKSVLLRAKKHNHQHHPKLASPLKSPRITSGKSASRSSRLMGVAAKILEPGLQASRGKGTLTYHASACPLKGGIVKGGVGNAIMPNHSCYVSSASKTSMGQTSCKNCGNLLDVIDCKAEVRGPLDVPPPTVSAVITATSMVSSCKKGMPITPFHGQGRDIVLLRSQEKFASHVTDGEEENYAQQSWNETTTIRIPMPREGPAQWSSNSSCRPIRAQEDDASSFAYKRKAQESKLSSESSSSGSRMCSMQVKRVSSCANTTSGTKDFVALNRSIIGQTRMRSPTKVDSSKFDLSHKYGLMVLIVLYVKLR >RHN59462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10969591:10969881:1 gene:gene21493 transcript:rna21493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative repetitive proline-rich cell wall protein MASFGFLVLLVSLMVTAHWYVSACIDCEKSPPRFGARCCDPREKTPVENLPVYKPSIEKPPVYKPSAEKPLVDNPPAYKIPFEPPFYKPSIEKPQV >RHN64145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56887768:56889843:-1 gene:gene26931 transcript:rna26931 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMEVPSDISSKDEQSVNEQEEKCGDTSPPSSSMISFELSDGHPYGPHVPTVLDDNQYVEYQLEDLAGFPSSAEEEERMLMEAVMESLKDLEVQNPKAEQPPASSIANVSAEPSDKDDSHSSSREISKPMQKESSLVKYSTDAKSITISIASEESVQLKAESNTISAVSESSPIGGTQPPLPQDNTLSVTESSNTSGSARSDSSASLQSSSDTDISHNTTATVTVVRNPAGHIMDGLMRRWDFNFFKNSNSR >RHN77109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4174105:4176474:1 gene:gene530 transcript:rna530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione dehydrogenase (ascorbate) MQDLRRYSNDTIQLDLATTTTTTTTTMSMSSNSSSSLSIDVDESAETRIHRLISEHPVIIFTRSSCCMCHVMKKLLSTIGVNPTVIELDDNEIASLSSDDDDDLASVLRNRSPAVFIGGACVGGLESLVALHVGGHLVPKLVQVGALYV >RHN41958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34337736:34339610:-1 gene:gene48335 transcript:rna48335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative senescence/spartin-associated MQEEKEHSSMDSQNPNNKNSLYPQIIDSNPEASSPFISNPNTTTSSSLYPSIEVSDLVEDLFHENTAPSAPQIAAEDILIKIPGAILHLIDQQYSFELAISDLTIIRLRQGNNTVAVYARVGNEIQWPLAKDEAAVKVDESHYFFCFRVPKEKNDSDSDSSDEEKEKSKLSFRRKGRRKEEDNSDLLSYGLTIASKGQEDLVKELDEVLKECSNFSVQEVSEKAKKKGEALDGSLAMEISPADLDNVKEKKELMEERCAAYWTTLAPNVEDYSGTAAKLIAAGSGQLVKGILWCGDVTVDRLKWGNEIMKKKMGPPTQAEINPQTLKRIQRAKKVTKMTESVAKGVLTGVVKVSGFFTSSVANSKAGKKFFKFLPGEVVLASLDGFSMSIILILVILGTYDLHASLNVVRNLKI >RHN65260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:642304:648059:1 gene:gene13080 transcript:rna13080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rhodanese-like domain, rhodanase MVRMRWCSEAISLSLSGTHTALLLTHVSSSFLNKTSVLFQFHNHNHNHNQPFFFKFKFNNSFSSSSSSISLTHHHLKPLAARRIQNSSSTEDENNFHDFVVVNFYHFVFINDPQQLVAKHLSFVESEGLDINGRIYLNEQGINAQYSGPSKDAMAYVNWIKEDSRFSDILIQISPSETGHTFPVLKLRYKPSLVQFEGGTSNLPLLDPSMRALPLAPSEWRERLEAINDAHSKDCPNRDYIILDVRNGYEWDIGHFRGARRPNVDCFRSTSFGLSQEEITASDPLSNVDKENTNILMYCTGGIRCDVYSTILRQHGFQNLYTLKGGVSNYIKNEGPAEWIGNLFVFDSRLSLPPPVNHPQAITEGGTTIPVSRDDKFAKCYICNAGVSELRHRNCANLDCNLLFLCCSECVKDLRGCCCLTCTSAPRLRPVLNGERRYKKWHVYRDMDLPGELKCS >RHN66128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8838970:8841324:1 gene:gene14048 transcript:rna14048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MMGNYTIITFHALLVLSFIAGFNSKIINGDTKCKERERHALLTFKQGVRDDYGMLSAWKDGPTADCCKWKGIQCNNQTGYVEKLDLHHSHYLSGEINPSITDNLRYLDLSNGGYEGKIPTQLGNLSQLQHLNLSLNDLVGTIPFQLGNLSLLQSLMLGYNSDLRMTNQIQRNSEWLSSLSSLKRLSLSFVQNLNDSSHHTLQFLGKLKSLEELYLTECSLSDANMYPFYESNLNFSTSLTVLHLGWNQLTSSTIFHWVLNYNSNLQELQLHDNLLKGTIHHDFGNKMHSLVNFYLSGNNLEGNIPKSIGNICTLERFEAFDNHLSGEISGSIIHNNYSHCIGNVSSLQELSLSYNQISGMLPDLSVLSSLRELILDGNKLIGEIPTSIGSLTELEVLSLRRNSFEGTLSESHFTNLSSLRVLYLYDNKLIGEIPTSIGSLTKLENLILSRNSFDGVVSESHFTNLSKLKELQLSDNLLFVKVSTNWVPPFQLQLLFLSLCNINATFPNWILTQKDLLELDISKNNITGNISNLKLDYTYNPEIDLSSNKLEGSIPSLLLQAVALHLSNNKFSDIVSLLCSKIRPNYLGLLDVSNNELKGELPDCWNNLTSLYYLDLSNNKLSGKIPFSMGNVPNIEALILRSNSLSGQLPSSLKNCSKKLTLLEIGENKFHGPLPSWIGDNLHQLVILSIRVNNFNGSIPSNLCYLRKLHVLDLSLNNLSGGIPPCVNFLTSLADDPMNSTSSTGHWYIRTVIPCFWT >RHN72393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7264390:7268010:-1 gene:gene8099 transcript:rna8099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamine synthetase MAQILAPSTQCQTRITKTSPFATPISSKMWSSLVMKQNKKVARSATFRAMAINSGTINRVEDLLNLDITPFTDSIIAEYIWIGGTGIDVRSKSRTISKPVEHPSELPKWNYDGSSTGQAPGEDTEVILYPQAIFKDPFRGGNNILVICDAYTTQGEPIPTNKRYKAAQIFRNPKVEAEIPWFGIEQEYTLLQTNVKWPLGWPVGGYPGPQGPYYCGAGADKSFGRDISDAHYKACLYAGINISGTNAEVMPGQWEYQVGPSVGTEAADHIWASRYILERITEQAGVVLSLDPKPIEGDWNGAGCHTNYSTKSMREDGGFEVIKKAILNLSLRHKVHMEAYGEGNERRLTGKHETASIDTFSWGIGKRGCSIRVGRETEKNGKGYLEDRRPASNMDPYVVTALLAESTLLWEPTLEAEALAAQKLALKV >RHN72778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10630389:10630709:1 gene:gene8534 transcript:rna8534 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLNQKYSSDGFTRSVGYSFATDSWPNRVIMCSNFAPTRSRICFITKLTISLINIPFFNTHCYFC >RHN46107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28603204:28603578:-1 gene:gene40547 transcript:rna40547 gene_biotype:protein_coding transcript_biotype:protein_coding MLASLVVRLFGRLLLWCMQLASASIGRSAVGLFVRCFSCLVSIVHSFVLVPARFLSWLLEHCRILVVPNCTPFPPCRSSARCASRA >RHN42549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39229361:39231425:1 gene:gene49001 transcript:rna49001 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRDLLIKMFRQNVNLLLILSRFPLIPQLKLSNDLVGEGAGHHKTRVSSSTTQVHQTTLGQDNDAGVGLGENPPVGLRLDGDALHTRVVLKSRHINFIVKVTNVANNGIVFHFPHVINHDDVLVTGGGNKDVSIRDNIIKIIDINSREQKSSTSLHLIKPLNTSSSLFRNTNKSILHLTIPLRISLQPISNDSKHKLKLSVISRTWIRNLTSFLIKLFSLNTFMDQQSSITTIINNQIRTTTWTPVKSTFSTPPVLLKSLTLPGENGGAVTSDGGGGVVLS >RHN65805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5523293:5523631:-1 gene:gene13695 transcript:rna13695 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSAGVFFHFYGTKGVDKGSWVPISAHPGKQLFPTFASNFKRDWKKSFLRVQSSKDSLVSVASVRGELRFPLGWTATPLAVSGYDYQKMTPYEQGVVGFLDRMLHTDIRNF >RHN59339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9701830:9702727:1 gene:gene21352 transcript:rna21352 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFALHEYCTSVHASTEATPSFINIHHEGHASRGGRSPVNKSSTEIQASLNLNT >RHN73037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12915680:12916360:-1 gene:gene8812 transcript:rna8812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MAYTVTDLGRGRYENLRTYHVYIHESQINFEVTVTATASVVTNWLQSMLNHHFQSLRHLQYLRRNRNLCNRNLIVGLGVQWTPGNLDPPADTLQLCISGSCLIFHLSLADMIPVSLCNFLRHPKNTFVGFWNAADRRRLERFDHRLQMWKDPQDLRHYRFNGENLSRESINVIVRNWLDFEVDQSVQVGRSNWNAENLYEDQIAYASIDAYCAFSIGIRVQAWRYR >RHN39087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4036489:4040919:-1 gene:gene45080 transcript:rna45080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MFMAFLSHNKNHLFITFLIFCTFYSCYSAVNDTITSSKLLKDNETITSNNTDLKLGFFSPLNSPNRYLGIWYINETNNIWIANRDQPLKDSNGIVTIHKNGNLVILNKPNGSIIWSTNISSSTNSTAKLDDAGNLILRDINSGATIWDSFTHPSDSAVPSMKIASNKVTGKQIAFVARKSDNDPSSGHFTISVERLDVPEVFIWKDKKIYWRTGPWNGRVFLGTPRLSTEYLFGWRLGVDDDGTTFITYNFADKTMFGILSLTPHGTLKLIEYKNKKELFRLEVDQNECDFYGKCGPFGNCDNSSVPICSCFDGFQPKNSVEWSLGNWTNGCVRTEGLNLKCEMVKNGSNLVKQDAFLVHHNMKPPDFNERSAGNQDKCGTDCLANCTCLAYAYDPSIGCMYWSSELIDLQKFPTGGVDLFIRVPAELVAVTKKEKGRNKSVLIIAIAGGIGACTLAICAYLLWRKCSTRHRGSKSQNLINREQNQMKIDELPVYEFAKLEAATNNFHFGNILGKGGFGPVYKGIMQDGQEIAVKRLSKSSGQGIEEFMNEVVVISKLQHRNLVRLLGCCVERGEQMLVYEFMPNKSLDAFLFDPLQKKNLDWKKRSNIIEGIARGIMYLHRDSRLRIIHRDLKASNVLLDGDMIPKISDFGLARIVKFGEDDEANTKRVVGTYGYMPPEYAMEGLFSEKSDVYSFGVLLLELVSGRRNSSFYHSEDSLSLVGFAWKLWLEENIISLIDPEVWDASFESSMLRCIHIGLLCVQELPKERPSISTVVLMLISEITHLPPPGKVAFVHKQNSRSTESSQQSHRSNSNNNVTMSDVTGR >RHN39281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5532863:5535233:1 gene:gene45291 transcript:rna45291 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSLPESLKYLPQLRLVHVSKCKLLQSIPALYRFIPNLSVWDCESLEEVLSSTGELYDKPSLYYIVVLINCQNLDTHSYQTVLKDAMVQIELEARENSENEYGHKDIIFNFLPAMPGMENWFHYSSTEVCVTLELPSNLLGFAYYLVLSQGRIRSDIGFGYECYLDNSSGERIWKKCFKMPDLIQYPSWNGTSVHMISDHLVLWYDPESCKQIMDAVEQIKVITDVNNTSYDPKLTFTFFINETLYDEVEIKECGFHWIYQEETVSSIISESHDEEEVFQSNDHEEIVSPTNFESDALEDTIPPRKKLKLDIVKIGKGNVAPSGGKSYSVNDQGQVASNAEIKGTRKSCRVRKPNRIYE >RHN71642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1603553:1605276:1 gene:gene7263 transcript:rna7263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-III family MMRFPLFVPQLITFSVLAMAQEGPKGDNEVQNKSSDDKNNNNSNLLLLILNVVLLLAIILLLILYYNTSKKLNRIVKGHINTFHEQEKDVETSIEKRIEIGEGTTMMTVEERKELMFFKDETKFQMGELLRASAEALGHGIMGNSYKAMLNNGPTIVVKRLRDLKPFTKEEFAKIVKMIADLRHPNLLPLLAYYHSREERLMLYRYAQNGNLFSRLHDGRDGNRVPFNWNSRLSVARGVARALEYLHLNNKFHNIVPHGNLKSSNVLFDENDSVLVSDFSLASLIAQPIAAQHMVVYKSPEYGYAKKVTMQSDVWSYGSLLIELVTGKVSMCSAPQGTNGVDLCSWVHRAVREEWTAEIFDKEISCQKSALPGMLRLLQVAMRCIERFPEKRPEMKEVVREVEKIQQVHLMSEDEDDVSCDQSLTDDSFSTSNSGIFVER >RHN41942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34249391:34252032:1 gene:gene48318 transcript:rna48318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGKVMTTTEKRKGRRKLKKSGTKYLKPGTLAQLRCSKYLGSGSGAGRVCNDIGKKRVELLNLEKNEDHEGKVFDKSPLMLSPVNLVKQSSSVGTPKTPRIDECQSESRLESLPIDLLVKILCCLHHDQLRAVFHVSQRIRKAVIVARQFHFNYTTPDRSRLEMLSTMTPRPSEHWPFLWGDGKGLRIPSPHTPKAPRHGPRPPSRLKVSEMRQVTAVLFKESAFASRCMVPSVIPKPQCKSVASNRVLFCEDELCKAVAQNKLL >RHN67216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24994055:25006789:1 gene:gene15376 transcript:rna15376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin regulator PHD family MASSDDEADTQPLSVSNYHFVDDKDAPVTFSILPIQWSESESVEGKKEKVFLHGNADNGLQKIFMQVVAWRFDLSNVKPEISVLAKDKRWIKLQKPRKSYEEIVRSVLITVYFMHYVRKNPEALGKSVWDNLSKNKDFSHYEVKPSHNDLLNHMGLMGEAATRDAVLAKSKLLLMVMEDKDRMSIKKLSDEEVKELARPGFIIADDIDNDAIDETVAEEESDEEDELFDSVCSFCDNGGELLCCEGKCMRSFHANEEDGEESSCASLGFSRKEVEEIQNFYCKNCEHNKHQCFACGELGCSDKFAGAEVFKCASATCGFFYHPQCVAKLLHLVISDAPTELVTNIAKGEPFTCPAHYCRICKEMENKNEHELHFAVCRRCPKSYHRKCLPRKVAFEDIVEEGIVARAWEDLLPNNRILIYCLKHEIDDELGTPIRDHIKFPYVKQKAKPATKEVINNNNAKLDDLHVKRTSATLPKLSGKMSFGKVGIENPGKILGSNIPRKKANEASRRLLNENKRPTLKEAEKSDHEENQPSLGLQLYSHYQKGSKQINSGNHVNNVADNTLSVKRPKKLSSAPPQLDADSERRLLALVKEASSSITLESVIKEHKFVSTHTHSLKNVVEKTITMGKLEGSVEAVRTALRMLDEGHSIRDAEAVCGPDVMNRLFKWKDKLKVYLAPVLYGNRYTSFGRHFTQVEKLEGIVDKLHWYVQNNDMIVDFCCGANDFSRLMKKKLEETGKSCLYKNFDLLPTKNDFNFEMRDWLTVQRKELPLGSQLIMGLNPPFGLKAALANKFIDKALEFEPKLLILIVPPETERLDRKRSRYVLVWEDERFLSGKSFYLPGSVDSNDKQMEQWNVKPPPLYLWSHPDWADKHKLIAQEHGHLFRERDVSRMESFDKEKSSASHSMDDNYFDDTMLDRMLDHDFLKSTSDQDSSFMIGQMEGSSHGNVFRVSQERQDYLTINAENTSWKRKRTEENDGRGPAVTLPAIRQDINGISTPQSGSDMELSDNEVGNNGHMPLEPQSSIVDDSYRHLEPLSSSRVEFGQAYDGTHNWPNVADPLPDYGLADLQEHNSGHLGDGTSSLGYRPHLRGDDIYPPGLPRPYLTEDVIQPLGVPRPYVMGADYIRPPRVPMPDPMSSSYLSGRGPAYSQMGSTYSVCGSGSELPYMMNTPAMQMSTPAMQRYAPRLDELNHVKTNSLGPEHPIVDRSTTSEHSAQSGSENVPPGFPGGSPHLYSRQNSSNWFSD >RHN48016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44326885:44327364:-1 gene:gene42684 transcript:rna42684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSSTTTKMITLKSYDNEIFEVPEAVALESQTIKHMIEDDCADNAIPVQNVTGKILAKVIEYCKKHVQVASADEKPSESEEYLKNWDADFVKVDKETLFELILAANYLDIKSLLDLTCQSAADMMKGKTPEEMREMFNIKNDFSPEEEAAIRAENKWAFE >RHN63927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55091536:55095440:1 gene:gene26683 transcript:rna26683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MAAAWSDSTTQKQNRPSQQLYVPQFRSNPNNNNIHPSPYYNNNRTHFPSNDSPHYNTFNRPTPRPTRGRGGYGFGHSPAPPRHYSRPEQQQPRYYDPSQNRSPFETNGEKVENGVVANFEAYESIPVEATGENVPPPVNLFAETELHEDLKNNIERCKYVKPTPVQRYAIPIAVAGRDLMACAQTGSGKTAAFCFPIISGIMKERLASGLLPRGGGVDSDVAFPTALILSPTRELSCQIHAEACKFAHQTGVKIAVVYGGAPIGQQLRILERGVDILVATPGRLVDLIERERVSLKKIKYLALDEADRMLDMGFEHQIRNIVQQMHMPPPGDRQTLLFSATFPDNIQKLASDFLSNYVFLAVGRVGSSTELIVQKIESVQDMEKRTRLVDLLRRNVVNGKLALTLVFVETKKGADALENWLCRINFPAIAIHGDKVQMERERALRSFKRGLTPIMVATDVASRGLDIPHVAHVINFDLPRDIDDYVHRIGRTGRAGQSGLATAFFSTKNMPMAKSLVGLLQEAKQEVPAWLVQYSECSAPGGRSSVGSQRSPWRGNYGGRDFRNATEPGMVENYNSYNSNYSNYGDNGYHNVPEQVQNYNYNGTYNNGDHAANSYTDASLDVTNSNNDCSFDNTNTVVAGGFNYTDAGLLEGSDGTNGPCGYASVVPTGWD >RHN54014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6229325:6230386:-1 gene:gene28989 transcript:rna28989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MKNWVRGKMLGSGTFATVNLATHPQNSGIFPSLTAVKTSDTYGSHFLINEKQILESLGSSPHIIKYFGHDQTFENGEECYNIFLEYAAGGTLSDQLKNHGGKLPENLVRRYTRSVVQGLKHVHENGFVHCDVKLQNILVFENDEVKISDFGLAKEKGLKHGGKLECRGTPLYMSPEAVNESVYESPADIWALGCAIVEMATGKHAWNVSSGSNMWSLLIRIGAEDESPLIPDELSQVGKDFLEKCFIKDPSKRWTAEMLLKHEFISGDETVSLVKELINELPLSISPSPRTHFDFPHWASSSVTTLPPDAKEVCELKFEQGFCSPGESLQRLVTNERPLDWSESDAWCFVRSN >RHN39632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8895132:8899470:-1 gene:gene45673 transcript:rna45673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate dehydrogenase (acetyl-transferring) MSFTATKFSHSLLAVPINSSTPRSNDKPLSFSSDLFKHNASSSSFLGSTQKLLRLNARRSPPPVAAVLLQQTSNLLITKEEGLVLYEDMVLGRSFEDKCAEMYYRGKMFGFVHLYNGQEAVSTGFIKYLRKEDCIVSTYRDHVHALSKGVPSREVMSELFGKATGCCRGQGGSMHMFSKEHNVLGGFAFIGEGIPVATGAAFSMKYKREVLNQADSDNVTLAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHLRATSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIDRARRGEGPSLVECETYRFRGHSLADPDELRNPAEKQHYAGRDPITALKKYLFENKLATEQELKAIDKKIDEVLEEAVDFAEKSPAPARSQLLENVFADPKGFGIGPDGRYRCEDPKFTEGTAHV >RHN43126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43402397:43406096:-1 gene:gene49654 transcript:rna49654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribosylformylglycinamidine cyclo-ligase MSFGACTELSHFLAVASFKPNSHNCKCTATINHSFLNAIGGNNRALSMSNLKKNDTRVVAVRAEGQGLTYKDSGVDIDAGSELVRRIAKMAPGIGGFGGLFPLGDSYLVAGTDGVGTKVMLAFETGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYFATGHLDVDVAEQVIKGIVDGCKQSDCALLGGETAEMPGLYKEGEYDLSGCAVGIVKKDSVINGKDITAGDILIGLPSSGVHSNGFSLVRRVLEKSGLSLKDKLPGASTTVAEALMAPTKIYVKQVLDIVSKGGVKGIAHITGGGFTDNIPRVFPEGFGASIYKDSWEMPAVFKWLQEAGKIEDSEMMRTFNMGIGMVLVVTPEAANRILENGNDTDKAYRIGEVISGNGVTYC >RHN73562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17684865:17685177:1 gene:gene9387 transcript:rna9387 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPRGVGNPIIAKMYQGGAGLDMDAAPGDDDAPSGAGGAGSKIEEVLAGLRFFWYLIIFVLLSIKCYLLF >RHN63726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53553048:53559382:-1 gene:gene26465 transcript:rna26465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H oxidase (H(2)O(2)-forming) MLTCDHKNFILQNPSMDEHMVKSKTSLLALFKSSPSSSSSSYSSSYSYSHSSSSSCTVENDIDSFYPVQTGSKGLHEAEKCGHVAENEALEVMGLKFINDIVGDQGGIMEWKDVENRFNQVAWTGNGPEPVVSWSQFGFCIGIGMQSSPELARELLRALRGCMQWKSDITKTDLHNFWLRMNDDSFNSRMRLFFDMCNRNMDGRITETDIKQTILLTASINKLSVTRDEAEDYAALIMESVDNKNKGYIEISEMETLFKESLLKAYSPMKLQGSTNQDCEEQEQEPMSKVEVLFRTYWRRSWVVVLWLVGCFGLFGWKFDQYSKRSGFEVMGYCLPTAKGAAETLKLNMALILLPVCRNTITWLRNDPRLNYVIPFNDNINFHKLIAGGIVVGVILHGGTHLTCDFPRISDSDKSIFRQTIAAGFGYHQPTYMEILATTEVASGIGMVLIMAIAFSLATKWPRRRSPVLPLSIRRVTGYNTFWYSHHLFVIVYVLLIVHSMFLFLADKWIEKTTWMYIAFPVLLYAGERIFRAIRSGSYEVDVMKASLYPGKVLYLKMQKPDGFKYRSGMYIFIQCPQISPFQWHPFSLTSGPQDEYLSVHIRTLGDWSYQIYALFQEAVLSRLQGCPKLYIDGPYGSASQDHVKYDILVLIGLGIGATPFISILKDVANGVQTSQQSNHSGLRECSSTKGSPSKAYLYWVTREQNSFDWFRDVMKEIANSTKQQSVVEMHNFLTSVYPEGDVRSALLSVIQALHHAKNGTDIVSRTPIHTHFARPNWSNIFSRLARKHVEAKIGVFYCGPSNLATELKNLCTKFSTKTTTRFVFHKENY >RHN51835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26337082:26338917:1 gene:gene36375 transcript:rna36375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSSCCARFRSSTNPISLFPFLRIRLYSHSSFNSNNLDNVVSSFNHMLHMNPTPSIIEFNKILGSLVKSNNNHYPTAISLFHQLEFHGITPDIVTFNILINCYCHLWEMDFAFSLFGKILKVGFQPNTITFNTLIKGLCVNGKVKEALHFHDHVISLGFHLDQVSYGTLINGLCKMGKTTEALQVLRKIDGKLVNTDVVMYSTIIDSLCKDKLVTEAYVLYSEMITKRISPDVVTFSALIYGFCIVGQLKEAFGLFHEMVLKNINPNVYTFNILVDAFCKEGNTKEAKNVIAVMMKEGVIPDVVTYGSLMDGYCLVNEVNKAKHVLSLISRMGLTPDANSYNIIINGFCKIKMVDEALNLFNEMCCRGIAPDKVTYNSLIDGLCKAGKISHAWELLDKMHDRGQHANVITYNSFLHALCKNHQVDKAIALVKKIKDQGIQPNINTYNILIDGLCKEERLENAQVIFQDLLIKGYKVTVWTYNIMINGLCLEGLFDQAMILLEKMEENGCIPDVVTYETIIRALFKNDENDRAEKLLREMIARGLFQFSSYCCMNFFIHWSF >RHN46264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30206965:30211130:1 gene:gene40732 transcript:rna40732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVETLRLFYIMILFVSLYLVVVDGVSKLAQSCSEDFECYIKNPHAPFGQLRCFEGYCQRLDKPT >RHN42273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36934411:36935775:1 gene:gene48693 transcript:rna48693 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRMTGLNPARAHLAGLRRLSARAASISTATTTARNGLLSFSPIADKIITNLRNSGIQVQPGLSEPEFARLEAEFGFIFPPDLKAILTAGLPVGAGFPDWRTRLHLRASLDLPMAAISFQIARNTLWARCWGLKPTEPEKALRIARNALKKAPLLIPIFNHCYIPCNPSLAGNPVFYVDENRIFCCGFDLSDFFQRESPNRSSEFSPGPVVYKKQRSFTEKSVTTFCSEANFNRRSLDAGGRTPRWVEFWSDAAVDRRRRISSSRVESRAVSPERFFYIRKFEEPKWVENYVEEIGSVLREGGWSEPDITEMVEVSGSGFFEGDMVMLNNQAVLDAILLKVDRFSDSLRKSGWSSEEVSDALGFDFQPEKKEKKLVKKLSPELVCSIEKLVQSVTRS >RHN40420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16086640:16087694:-1 gene:gene46568 transcript:rna46568 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-oxo-beta-amyrin 30-oxidase MVLLGRYPEWQARAREEVLQVFGNQNPNNEGLSQLKIVTMILYEVLRLFPPLIYFNRALRKDLKLGNLLLPEGTQISLPILLIHQDHDLWGDDAKEFKPERFAEGIAKATKGQVSYFPFGWGPRICLGQNFALLEAKIAVSLLLQNFSFELSPNYVHVPTTVLTLQPKNGASIILHKL >RHN80167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35775321:35776493:1 gene:gene4057 transcript:rna4057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MAFKGYILFLVMVLVANMCTQNEAQSGCTSTITSLSPCLNYIMGSSSNPSSSCCSQLSSVVQSSPQCLCSLLNGGGSSFGITINQTLALSLPSACKVQTPPVSQCKGGNGQTSPTSSTSPAGSPVDSPTESPEGAITPSANSDFPSGGAGSKSIPSTDGGSSNGSTIEVSFNLFLSLLAIVFCVITKF >RHN47078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36943331:36954304:1 gene:gene41633 transcript:rna41633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 43kDa postsynaptic protein MATTAVLRSLLRRSRSSSLLYMSRIPASHPFTPHSPTPTFLDAFHTRAFSTGSSNDELDTDSLGLDSPVHSEILKTIADSAGSEDIPVFPVRAVISLLESFHDLTGFPWWLTIISSTLALRLVLLCPLIFTLHKLKRISEFVPKLPPPFPPPFSGKSYIRQMRFFEEKRKAVGCPSYAWPLLPFIVQVPCFFVWMFSIRRMSLDGHPGFDCGGALWFQNLTEFSHGYSGFTFPFLMAGLHYVIVQVTFKKPMIEETQDIFDLLSKYYKRYLDFLTLPIAFIGFSIPQGSQLYWITNSSLTLVQHFALRNPAALAKLGLLDKNRQIAASKETDASKTPPLLGVQDNSTTAATKETISPEKNPLDSPEKWHKIPIENMSSVELTTLAVPFLNSSDKDSAIPLLKLALDKDPEYLRALVLMGRVLLLKQINDEAIQYFERAISKLSLAGFPTDPEEFDFLILSSQWAGIAFERQGKKDEARAHFERVANMEEPEDPASKRYYFDGLLLLASSLHDSGQKAEAAKYLRLVVAYNPGYKKFLEQCEQHEDIASDLAQTRRDL >RHN67904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31294969:31296780:-1 gene:gene16154 transcript:rna16154 gene_biotype:protein_coding transcript_biotype:protein_coding MMNIFNRLNSDAGSHMARSDWLIFCAARVCRQGASVAVGRGERICFG >RHN53414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1523618:1523755:1 gene:gene28317 transcript:rna28317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone synthase 6 MKAILSEYGNMSSACVLFILDEMRKKSAQEGIKTIGEGLEWGLWT >RHN76427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50173903:50179273:1 gene:gene12751 transcript:rna12751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 1 MIVEERNIMEESSNRGEFQASLAKLSSLRSGGSFKSTLSGRSSPRNNSPSFRRLNSNRTPRKEGRTLGGFLLFRSNRVLLWLLLITLWAYLGIFFQSRWAHIDKKEEFSGFGTGPRNTGVDADPSLRRDLIASDDSLSVNNETVRNKGGIGRTINVALAKKESDDEVPSRRKASPKKKSRRSSKGKARGKKKPPIVEIKNNDIEEQEPEIPQTNSTYGLLVGPFGSTEDRILEWSPQKRSGTCNRKGDFARLVWSRRFILIFHELSMTGAPLSMLELATELLSCGATISAVALSRKGGLMSELSRRRIKVLEDKADQSFKTAMKADLVIAGSAVCASWIEQYIERFPAGASQVAWWVMENRREYFDRSKGVLHRVKMLVFLSESQSKQWQKWCEEENIKLRYQPAIVPLSVNDELAFVAGIPSTLNTPSFSTDKMIEKRQLLRESVRKEMGLTDNDMLVISLSSINPGKGQLLLLESASSVVEHEQLQDDKKMKKLSNIKEGLSTRTRRHRMRKLLPLLKDGKVALKDTSNNSLSRRKQLLANNKATMQQSLKVLIGSVGSKSNKVDYVKSLLSFLEQHPNTSKSVLWTPATTQVASLYSAADVYAINSQGLGETFGRVTIEAMAFGLPVLGTDSGGTKEIVENNVTGLLHPIGRAAGNDVLAQNLLYLLKNQLARKQMGIEGRKKVERMYLKQHMYKKFVEVIVRCMRSK >RHN63252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49691081:49691677:1 gene:gene25931 transcript:rna25931 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKRAFPKVDCCRGTNIEDWRLMLKQNMFSCPSVLSSLEENDICIVPPKLRDICLDID >RHN57839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42081117:42082824:1 gene:gene33428 transcript:rna33428 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLRNYKLTSTVIFELSISSSSNKPTPFVSCCCCFREAPTKPNAEPTQRSQHLIQGVDCIRQKFWIKSSLFGENTLFITVFLFLEVFICQTLLNSIVLC >RHN49478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55049277:55080098:-1 gene:gene44315 transcript:rna44315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translational activator Gcn1, TOG domain-containing protein MAESLQSLVSLSELVSTSSTNQRLRIFQREVPAFLNSSSTSDEMSTELASLLTDIIFRTVAIYDDRRSRKAVDDVIVKSLSGTVFMKTFAAALVQSMEKQLKSQSHVGCYRLLSWSCLLLSKSKFSTVSKNALCRVASGQASLLNLVWRRSFRERRACKKKIFHLFKELPDIYKVYVQEVKNGSIPYKDSPELLLLLLEFSTRSSSLFGEFKSAFLDIYVNAILSAKAKPGKSLIEAFHPLYLQMSHEDFGTIVLPAAVKMLKRNPEIVLESVGILLKSVKLDLSKYAAEILSVVLVQARHADEGRRDVALDIVKNLSQKSSNPDALDIMFNAIKSVIKGSEGRLAFPYQRVGMVNAIQELSNAPDGKYLINLSQTICDFLLSCYKDDGNEEVKIATLSAIASWADKSTNIIQESLVSFFASGLKEKEILRRGFLRSLRAICKNADAVLKMSPLLVPLVQLVKTGFTKAVQRLDGIYALLLVGKIAAVDIKAEEILVKEKIWATISQNEPSLIPISMASKLAVEDSIACIDLLEVLLLEHLQRTLSNFSVTSLLQLVIFFICHPRWDIRRIACNVAKRIITSVPQLSEDILSEFSKYLNLVEEKVSALRISDTDISLDPQVPFIPSVEVLVKALLIMSPAAMKVAPDSFVRIILCSHHPCVVGSAKRDAVWKRLCKCLQTHGFDVIDIVAANVINFVQVLLGPMGLRSANPLEQEAAISSLSNLMSIIPGDTYTEFEKHLLNLPERFSHNALSENDIQIFHTPEGMLSTEQGIYVAESVAFKNTKQAKGRFRMYGEEDGLDHTQSNHSMKRDQPSREAAGAGKKDSGKTTKKADKGKTAKEEARESLLKEEASIRDRVREIQKNLSLMLRTLGNMAIANSIFAHSRLPSMVKFVEPLLRSPIVSDEAFETLVMLSRCTASPLCDWALDISTALRLVVTDEVHLLLDLVPSVAEEQVNQKPSHGLFERIIDGLSTSCKSGALPVDSFTFVFPIMERILLCSKKTKFHDDVLRLIYLHMDAHLPLPRVRMLSVLYHALSVVPAYKASIGPALNELSLGFQPDEVASALYGVYAKDVHVRMACLNAVKCIPAVSSRSLPQNTEVATSIWIALHDPEKSVAEVAEDIWDHYGFDFGTDFSGIFKALSHVNYNVRLAAAEALAAALDEHPDLIQESLSTLFSLYIRDMGIGNDNVDAGWLGRQGVALALHSAADVLRTKDLPVVMTFLISRALADLNADVRGRMINSGILIIDKNGKDNVSLLFPIFENYLNKTAPDEEQYDLVREGVVIFTGALAKHLAKDDPKVHAVVDKLLDVLNTPSESVQRAVSACLSPLMQSKQDEADTLVTRLLDQMMKSEKYGERRGAAFGLAGVVKGFGLSCLKKYKIVIILQECLAERNSAKSREGALLGFECLCETLGKLFEPYVIQMLPLLLVSFSDQVAAVREAAECAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDSHPKVQSAGQTALQQVGSVIKNPEIAALVPTLLKGLSDPNEHTKYSLDILLQTTFVNSIDAPSLALLVPIVHRGLRVRSADTKKRASQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAIGSLIGGMGEDNFPDLVPWLFETLKSDNSNVERSGAAQGLSEVLAALGVEFFEHVFPDIIRNCSHQKASVRDGYLTLFKYLPRSLGVQFQKYLPQVLPAILDGLADENESVRDAALGAGHVLVEHYATTSLPLLLPAVEDGIINDSWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGSSTEAHGRAIIEVLGREKRNEILAALYMVRADVSLSVRQAALHVWKTIVANTPKTLREIMPVLMDTLIASLASASSERRQVAGRSLGELVGKLGERVLPLIIPILSQGLSDPDSSRRQGVCSGLSEVMASAGKSQLMTFMTDLIPTIRTALCDSEPAVRESAGLAFSTLYKSAGMQAIDEIVPTLLHALEDDKTSDTALDGLKQILSVRTSAVLPHILPKLVHPPLSAFNAHALGALAEVAGPGLDFHLGTVLPPLLSAMSDVDQEVQTSAKKAAETVVLVIDEEGVEPLISELLKGVSDSQAAIRRSSSYLIGYFFKNSKLYLVDEAPNMISTLIVLLSDPDSSTVTVAWEALSRVIMSVPKEVLPSYIKLVRDAVSSSRDKERRKKKGGPVLIPGFCLPKSLQPILPIFLQGLISGSAELREQAALGLGELIEVAGEQSLKEVVIPITGPLIRIIGDRFPWQVKSAILSTLTIMIRKGGISLKPFLPQLQTTFVKCLQDNTRTIRSGAAVALGMLSGLNTRVDPLVSDLLSSLQGSDGGVREAILSALKGVLKHAGKNVSSAVSSRIYSVLKDLIHHDDDRVRVYAASILGVLTQYLEAVQFTELIQEVTSLANSPNWPPRHGSILTISSLLYRNPAPIFSSSLFQTVVDCLRDALKDEKFPLRESSTKALGRLLLYRAQEDPSDTVLYKDVLSLLVTSTRDESSEVRRRALSAIKAVAKANPSAIMSHGTVIGPALAECLKDANTPVRLAAERCAIHAFQLTKGSENVQAVQKYITGLDARRLSKFPEFSDDSGDSDEDMSTS >RHN45559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22897015:22900089:1 gene:gene39925 transcript:rna39925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MKCVHFWLFFSMYFCALITNPSSSSSVVGSSFTISAASSTVQSKEASALLKWKASLDNQSQVLLSSWSGNNSCNWFGITCDEDSMSVSNVSLKNMGLRGTLESLNFSSLPNILILHLSFNFLSGTIPPRIKMLSKLSILSLSYNSFTGTIPYEITLLTNLHFLYLSDNFLNGTIPKEIGALWNLRELDISVSNLTGNIPISIGNLSFLTDLYLHINKLSGTIPKEIGMLLNIQYLYLYDNSLSGSIPREIEKLLNIKHLYLYDNSLSGSIPSKIGMMRSLISIDLSNNLLSGKIPPTIGNLSHLEYLGFHANHLSGAIPTELNMLVNLNMFHVSDNNFIGQLPHNICLGGNMEFFIALDNHFTGKVPKSLKNCSSLIRLRLEHNHMDGNITDDLGVYPNLEFMGLDDNNFYGHLSSNWGKFHNLKQINISNNNISGCIPPELSEAVNLYSIDLSSNHLTGKIPKELGNLTKLGRLFLSNNHLSGNVPTQIASLKELEILDVAENNLNGFIRKELVILPRIFDINLCQNKFRGNIPNEFGKFKALQSLDLSGNFLDGTIPPTFVKLILLETLNISHNNLSGNIPSSFDQMISLSNVDISYNQFEGPLPNMRAFNDATIEVLRNNTGLCGNVSGLESCINPSRGSHNHKIKKVILLIVLPFAPGTLMLAFVCFKFSSHLCQMSTTRINQVGGNNIAPKNVFTIWSFDGKMVYENIIEATEEFDDKHLIGAGAQGSVYKAKLPTGQVVAVKKLHSVTNAENSDLKCFANEIQVLTEIRHRNIVKLYGFCSHTHLSFLVYEFMEKGSLEKILNDDEEAIAFGWKKRVNVIKDIANALCYMHHDCTPPIVHRDISSKNILLDLEYVACVSDFGTAKLLNPNSDNWTSFAGTYGYASPELAYTMEVNEKCDVYSFGVLALEIPYGKHPGDIISNSLQWTIMDSPLDFMPLMDELDQRLPRPMNHVAKKLVSIAKTTISCLAESPRSRPTMEQVSRELRTA >RHN81768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48737477:48740219:-1 gene:gene5855 transcript:rna5855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MSHMSFPHKLQQLKRPNPSFMETQNLILFILLFFLPCSFSFVPIDNYLINCGSHSNASLFNRLFMSDSTNPGSNFLSSDDSISLTNKNPSPNLQTLYHTARVFITTGRYRFNMKKNGTHLIRFHFFPFKDQSFDLKSAKFSVLVNGISILSQFKPPDDVMVKEFILKIESNLLQVLFRPFKSGFGYVNAVEVFTAPEDFVIDYGTRLVGPSGVEEYRNLSSQVLETVHRINVGGMKITPFNDTLWRTWIPDEDYLVFKEAAKHAVSTHTPDYQKGGATPEIAPENVYMTAQQMNRENSSLASRFNITWNFPVARDGVSHLIRLHFCDIVSTSLNLLYFDVYINGYIAYKDLDLSALTFHTLASPVYVDFVANSDDSSVIQISVGPSDLSSSIRINAILNGAEIMKMVNDVDNTNIFHRRKHLWELIGSIAGGIVIAFFVVTIFLLATRCRKRKSKESTVESVGWTPLRMFGGSSLSRTSEHGSYGYLGMKIPFAEIQSATNNFDRNLIIGSGGFGMVYKGVLRDNVKVAVKRGMPGSRQGLPEFHTEITILSKIRHRHLVSLVGFCEENSEMILVYEYVEKGPLKKHLYGSSRQSPLSWKQRLEICIGSARGLHYLHTGFAQGIIHRDIKSTNILIDEDNVAKVADFGLSRSGPSLDETHVSTGVKGSFGYLDPEYYRRQQLTDKSDVYSFGVVLFEVLCGRPAVDPQLTREQVNLAEWAIEWLQKGMLDHIVDPHLVGDIKPRSLKKFGETAEKCLAEYGVDRPTMGDVLWNLEYALQLQESDRSASEAVNETTTIVPENSTSRIERNYDNDYSDISTSRVFSQLMNNDGR >RHN54258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8102621:8106110:-1 gene:gene29262 transcript:rna29262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEVAVSTVVTKLTELLLEQTTSTISHISTVRDQVESLKNQLSWMQCFLKDADAKQQSNERVRMWVSDIRNVTFEAEEIIETHIYNSTIQTHFHNKIFTPFHLYKLGSRIERICKKIKEVSDRREMYGVVIKNPGSNSNPDDRDGSSSNERLRHWRQPSPYYAEEEYVVEVKEDFGSIFTQLVSLDSTRHVVSLVGMGGLGKTTLAKKLYNDSRIANHFEIKAWVYVSEEYRRKDVLQGILRGVDGVAREDMDRMPEEELVNKLHNALAEKRYLVVLDDIWGMEVWDGLKYAFPRRKLGSKILLTTRILEVALHADGNSDPYQLRPLNHDESYALLRSKAFPGASVIPSEFENLAKEIVVKCEGLPLAVVVVGGLLSRKLKSSGEWARELQNIRGGLLEDQEKITRILALSYNDLPPPLKSCFLYLGLFPKGMNIQTKKLIRLWVAEGFLPQEGGETAEDVAQRYLNELIGRCMIQVGTVSSMGRVKTIRIHDLLRELSVTKGKEEYFGDMAGSSSTSQLTKSRRHSLHSCHERYDFLKHIADYSRSLLFFNREYNADIDKKVWIHLSFMQEKKLNFIYTEFKLLRVLELDGVRLVSLPSTIGDLIQLRYLGLRKTNLEGKLPLSIRNLLNLQTLDLRYCCFLKKIPNVIWKLVNLRHLLLYTPFDSPDSGHLRLDTLTNLQSLPYIEAGNWISDGGLANMTNLRQLGINGLSGQMVNSVLSTIQGLRNLHSLSLSLQSEEDEFPIFMQLSQCTQLQKLSLNGKIKKLPDPHEFPPNLLKLTLHNSHLQKESIAKLERLPKLKMLVLGKKAYNWAELSFSAEGFSQLHVLRLTLLKELEEWKVEEKAMPMLEYMVIDRCEKLRKIPEGLKDITSLKKLKITGMPVDFEHRLRTKDLLEFKNIPIIESTTDILSID >RHN69918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47348447:47348806:-1 gene:gene18451 transcript:rna18451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-pyruvate monooxygenase MSYPPSGPTYLSKDQFLRYIDKYVEHFNIKSHYCRTVEYAKYGEVRDKWRIETKNTKEGILEFYEAKFLVIATGKKSEGYIPNVPGMDDFEGEVVHSKYYKSGSKYESKEVLVVGCGNS >RHN69264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42196706:42197521:1 gene:gene17708 transcript:rna17708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKIVKFVYVTIIFLYMFHISTNIEAGNYKCQTNYDCLRMWCPIGISPRCIKRRCKCIETLVQ >RHN56439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30879602:30882374:1 gene:gene31844 transcript:rna31844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MKWEMEVLPPASYTQNSNWCMEDNMATNWTPEENKLFENALAVHDKDTPDRWHKVAEMIPGKTVGDVMRQYKELEDDVCNIEAGLIPVPGYNTPTLPFTLDWVNSSGYDEFRGSGKRSSLVRAPEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVITRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLSEKIGTCSSEDTSNRSTSPQNSILLSHQQQQQQTSTATNFRWRNNQQNAMAFNPTHEQVFMDPHGFNSYEVKMQDQNLHKGLVHESSYPHNMVFQMQHSSQHYSHA >RHN57148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36847446:36848211:1 gene:gene32651 transcript:rna32651 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNDFSLSHLPQPHTPTLAPPHLHLFHFFSSLKHQHPLQPDSFITCGKYPLK >RHN62714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45360556:45369751:-1 gene:gene25315 transcript:rna25315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor CG1-CAMTA family MTERSSPEMALRLDFKQLQFEAQHRWLRPAEILGILSNYQMFEISKEPPTRPPSGSLFLFNRKILRHFRRDGYNWRKKNDGKTVKEAHEKLKVEGSDKIHCYYAHGEDNENFQRRSYWRLEPDMMDIVFVHYLDVKVNKSNVGISTDTKEVTSDSQKGSSTSSGFPANYGNMRSRNMDSMSPTSTLTSLCEDADSEDIHQASSVFRTFHELHNPGNGPQTDKIGAHSNGPNLMHPFSGSNYLPLVQGGKFNSNEGQRALDIGSWDNVMDKSSGTHTDPSPVSSYSFPSSSMSVFNEGSGSQSLQSNWQNPFEENAGGFPKWSFTQSLSMEFESNYSAELLGLGKETGYASPEIDPDLFSFDFEPKEQSVHQNLHTEHASMNAKESLTKVDSFSKWIKEFASVDDLHMQSSPDISWGTDECGNVIDDTSLDLSLSQDQLFSIHDFSPKWAYADSEIEVLIIGTFVNSRPEVTTCNWSCMFGEVEVPATILANGILSCQAPPHEIGRVPFYVTFSNRFACSEVQEFEYKEGFTRNVDLADFFNNSTEMRHHLHLEELLTLDSVHLSDQVLEVDMEKSNMIHKLISLKEKDEYSCNEEPTGEMDISKHRLKAHIFHRQVKEKLYSWLLHKVTETGKGPHVFGKDGQGVLHLVAALGYDWAIAPIVTSGVNINFRDVNGWTALHWAASCGRERTVALLVSMGAAAGALTDPCPAFPSGRTPADLASGDGQKGISGFLAESLLTSHLESLTMDDVNKDGTKETLGMKAVQTISERIATPVHWGDMQDAICLKDSLDAVRNATQAADRIHQVFRMQSFQRKQLAQYEEDGEEFGLSDQQALSLLASKASKSGHGEGSANAAAVQIQKKFRGWTKRKEFLFIRQRVVKIQAHVRGHQVRKKYKPIIWSVGILEKVILRWRRKGSGLRGFRSDAINKVPNQPTSLPEKEDDYDFLKEGRKQSEQKFQKALLRVKSMVQYPEARAQYRRLLNVVDDYRQTKTCNLSSISSEEAADGVEDLVDIQMLFNDDNFVPMSFD >RHN53135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42207840:42209654:-1 gene:gene37905 transcript:rna37905 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVLLLFEALSGLKVNFSKSQLVGVNVSASWLAEAAMVLNCKVGSIPFVYLGLPIGGNARRLAFWEPLLYRIKSRLSSWNSKHLSFSGRLVKEVSGLGVRRIREFNSALLRKWCWRMLVERESMWFRVLSARYALSEGRLWCGGRNSSLWWRDVEVLSREEWFMDNVHCGVGNGENTWFWSDVWVGEVLVNNFPFLFSTQI >RHN67303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25817553:25820869:-1 gene:gene15483 transcript:rna15483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MIRGVPKEIAELKDELERIEVFINDADRRADDVEDKKIKDMIKQLIEASFHMEDVIDDYIFHEEQHAPDPGCAAGAVDLVKTKILRLQIAYKIQNIKSQIREIKETSEKDHGFHIQSSSDKPSSSSASSDKPSSSSATNRNTSLFQNLRDAPLYLDEADVVGFDEPRDKLIDWLIEGRAERTVVSIVGMGGLGKTTLAKKIFDNRKVVKHFDCHEWITVSRPYNIETLLRDILLEIYKQQGKDPPQSLHQMDRKPLVDEVRNYLQGKR >RHN67573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28359082:28360183:1 gene:gene15772 transcript:rna15772 gene_biotype:protein_coding transcript_biotype:protein_coding MIQADQTCEDRRRYWKILRAIQIGKILSYVPRLVKEGETLEEWRKNNKFCHAEVQSSPSA >RHN65428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2166291:2168243:1 gene:gene13265 transcript:rna13265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MAYLAVFLILSLSISCASSTSVEKSFMQCILTIGSSFSESSENTLFINSSSILYPQVLESLKQNPRWVNSSSKPLLIMTPSHESEIQSAILCSKEIGVQIRVVSGGHDYEGLSYLCKTPFIMIDLINIRSIEINLADESAWIQAGATLGELYYKISKASKVHAFPAGICPSVGIGGHISGGGFGTLVRKHGLAADHVVDAHLIDVNGKILDRKSMGEDVFWAIRGGSATSFGIVLAWKIRLVRVPPTVTVFTIQKTLEQGGTKLLHRWQYIEDKLHEDLFIRIIAKNSGANSKTILTMFNSLFLGEKDNLIRIMNESFPELGLQEKDCIEMSWIQSVSYFAGFNKDDPIELLLNRIVTFKSPFKAKSDYVKEPIPETGLEGIWKMLLKEDTLALLIMEPYGGRLNEISESEIPFPHRKGNLFNIQYFVQWEVNSIEESNKHIKWMRMLYGYMTPYVSKSPRAAYYNYRDLDLGSNKHDNTSYSEASVWGIKYFKGNFKRLAQIKTKFDPQNFFRNEQSIPLLNSLP >RHN82292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52628015:52637615:1 gene:gene6446 transcript:rna6446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MVVFGGKKVHQIVTGLGGSGLGQLAVAVAVSFLVRIFSAPGPALLPENDVDDDVPINDGETPPSTGKVTPVTIRWNNINCSLSDKSSKSVRFLLKNLSGEAKPGRLLAIMGPSGSGKTTLLNVLAGQLSASPRLHLSGLLEFNGKPSSRNAYKFAYVRQEDLFFSQLTVRETLSLAIELQLHNISSAEERDEYVNNLLFKTGLVSCADTNVGDAKVRGISGGEKKRLSLACELLASPSVIFADEPTTGLDAFQAEKVMETLRQLALDGHTVICSIHQPRGSVYSKFDDIVLLTDGSLVYAGPAGDEPLTYFSKFGYHCPDHVNPAEFLADLISIDYSSSDSVYSSQKRTNGLVESFSLRQSTIIYATPITLDDLSKSRKRISKRSVAKTKGGWWKQFRLLLRRAWMQASRDAPTNKVRSRMSIASAVIFGSVFWRMGKSQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERSIVDRERSKGSYSLGPYLFSKLLAEAPIGAAFPLMFGAVLYPMARLHPTLMRFGKFCGIVTAESFAASAMGLTVGAMVPTTEAAMAVGPSLMTVFIVFGGYYVNPENTPIIFRWIPSVSLIRWAFQGLCINEFRGLQFDHQHSFDIQTGEQALERLSFGKSTIRDTLLAQNRILLFWYCTTYLLLEKNKPKYQQLETTPPDHSKPHLKLEELNPEQVDQTHEAPEVSQVGSDEPLESPELDPVGSFILEGAQ >RHN39739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10142856:10148291:1 gene:gene45800 transcript:rna45800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MSPAAVQSAAADKHGRSRSRKKKNNVNGSSSSLGKKRKSDDGDDLRMEKPNKKNSKRKVQLSNDNDDNEVIAAEVGNLKVVNGGDVSSGIVGVTASYLSDSRFDKCSVSPLSLKGVKDAGYEKMTIVQEATLPVILKGKDVLAKAKTGTGKTVAFLLPSIEVVVKSPPNNRGQNQTPILVLVVCPTRELACQAAAEATKLLKYHPTIGAQVVIGGTSLSSEQKRIQKNPCQILIATPGRLKDHIANTAGFASKLMGVKALVLDEADQLLDMGFRKDIEKIIASVPKQRQTLMFSATIPDAVHQVCNVALKKDFEFINTVQEGTEETHSQVRQMHLIAPLDKHFSLLSVILKEHIADDVDYKVLVFCTTAMVTSLVANLLGKLNLNVREIHSRKNQSYRTRVSDEFRKSKRLILVTSDVSARGVDYPDVSLVVQVGLPADKQQYIHRLGRTGRKGKEGKGILLLAPWEEFFLDSTKDLPIEKAPVPSVDPDTKKKVERALSNVEIESKAKAYQAWLGYYNSNKKIGKDKKKLVELANEFSRCMGLDTPPAVSGLVLRKMGLQNVAGLRSK >RHN43992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:568564:569427:-1 gene:gene38036 transcript:rna38036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSSSSSSSPCPSHDHEPKNNDVYHRSLQHSGYPSFRFLDYSNPIQNELKNPSSTTNDAGKQQNNVKKIVWFFSYSVLGNHKDVEKQNKHTYSCDVCNKVFTSNKALHRHKICHAQESVIHPQTASQSSFEQSHIDCSKYLPPISYKTKKRQRRRRRRYMNTDDDVIAAETLLHISRGGYGTKRQKLSCNMMDDDEKKKKEQGVLLTSGDIDETLKDNCHNEKKLALRFKIPKGKIFQTSQDCKEPPTSNHGIEEKMINEGVTELGPKVVKNFDLNELPSDDFEDETN >RHN49018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51822813:51829390:1 gene:gene43797 transcript:rna43797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acylaminoacyl-peptidase MMRIHKLYHRHRFTLSFSSPLSFPSSPSLLPLAPPRFLTLRRRTAANFASMSTSRFRHIVPLAAVSTEDGAGGAVNGSVSSSSTADTYYDYEDDLALGVGYCVPPPEIRDIVDAPPVPALSFSPFRDKIIFLKRRALPPLTDLARPEEKLAGLRIDGYCNSRSRMSFYTGLGIHEILPDGTLGPEVEIHGFPEGAKINFVTWSPDARHLSFSIRVNEEDSNTSKLSVWVADVETGKARPLFQSPDVYLNAVFENYVWVDNSTLLVCTIPSTRGAPPKKPLVPGGPKIQSNEQKNIIQVRTFQDLLKDEYDEDLFDYYATSQLVLASLDGTTKDFGPPAIYTSLDPSPDEKYIMIDSMHRPYSFIVPCGRFPKKVELWSADGKFVREICDLPLAEDIPITSNSVRKGMRSINWRADKPSTLYWVETQDGGDAKVEVSPRDIIYSQPAEALEGEQPVILHKLDLRYGGISWCDDSLAFVYESWYKTRRIKTWVVSPGSEDVTPRILFDRSSEDVYSDPGSPMLRRTQAGTYIIAKIKKGGDEGRYIILNGSGATPEGNVPFLDLFDINTGSKERIWESDKEKYFETVVALMSDQEEGDLQLDRLKILASKESKTENTQYNFISWPDKKIVQVTNFPHPYPQLASLQKEMIRYKRKDGVQLTATLYLPPGYNPSTDGPLPCLVWSYPGEFKSKDAASQVRGSPNEFAGIGSTSALLWLAKRFAILSGPTIPIIGEGEVEANDSYVEQLVASAEAAVEEVIRRGVAHPKKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATNTYVEMSPFMSANKIKKPILLIHGEEDNNSGTLTMQSDRFFNALKGHGALSRLVILPYESHGYSARESIMHVLWETGRWLHKYCVSNTSDAGEDHDTGTVKENISKGIADAESKVVAASGGGSKEACDLEHEESHSLPRSSLKFL >RHN54532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10290456:10294167:-1 gene:gene29596 transcript:rna29596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribosylamine--glycine ligase MSCTTFNVATSFNLHPCINHEASNPFGFRNHCIFHNSSTHLLKNFNLTFTHHNSSVFKCVAQSSDSPASAGVQTNNNSKRVAVLVIGGGGREHALCYALQRSPSCDTVFCAPGNAGIASSGNATCISDLDVYDGAAVESFCQKWGVGLVVVGPEAPLVAGLSNYLVKAGIPTFGPSAEAAALEGSKNFMKHLCDKYDIPTAKYKTFTDPSAARQYIQEEGAPIVIKADGLAAGKGVTVAMTLEEAYEAVDSMLVKGDFGSAGCCIIVEEFLEGEEVSFFALVDGENAIPLGSAQDHKRVGDGDTGPNTGGMGAYSPAPILTKELQSTVMDSIIMPTIKGMSAEGCKFVGVLFAGLMIEKKSGMPKLIEYNVRFGDPECQVLMVRLESDLVQVLLAACRGELSGVSLDWSPDSAMVVVMASKGYPGSYEKGTIIENLEKAELVAPDIKIFHAGTAFDSEGRFIATGGRVLGVTAKGNDLEEACDRAYQAVENVNWPGGFYRRDIGWRALPQKQHASKV >RHN44978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10420248:10421551:-1 gene:gene39168 transcript:rna39168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonucleoside-diphosphate reductase MPAFPEEPLLAPNPDRFCMFPIQYPKIWEMYKKAEASFWTAEEVDLSSDLKHWNNLTDGERHFISHVLAFFAASDGIVLENLAGRFMKEVQISEARAFYGFQIAIENIHSEMYSLLIETYISDSAEKNRLFHAIETIPCIAKKADWAMKWIDSSDSFAERIVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLRKKLTSERVKEIVCNAVEIEREFVCNALPCALVGMNGGLMSTYIEFVADRLLGELGCEKVYNVQNPFDWMELISLQGKTNFFEKRVGEYQKASVMNSLNGNGAADFCFSLDEDF >RHN67536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28055940:28074295:-1 gene:gene15734 transcript:rna15734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MDPIQVSNELSFRVGFSGHSGHLRVEPLSSVERPKPEHSIPDFILPPAFPKETPESIKKYIEETYLEPRLDTDEFAPEKVGKQWEFDWFDKAKVPLEPSVPRTVVVPIWEPPFRRPVKEKWKPKFEEVSVSDLASGAVESGPLPRTSAKDFVRGSINNRPFRPGGLDDSQNLNRSLPPGASNGEWVREILNGGPAQTIPPSLKQGLDLGALKRYPLSWNVYKDADTPKGPLDENLSGLSVQFDDLFKKAWDEDVVGEQEDGHLSEGETVTLEAEVDTTEVSSKASESAMSLDDILSADSEGSQLHLEGLSDVVGQKQKLAWAKLEDSEEIAGRFHELIPDMALEFPFELDAFQKKAIYYLEKGESVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDVDRGVVWEEVIIMLPRHINIILLSATVPNTVEFADWIGRTKQKEIRVTGTTKRPVPLEHCLFYSGEFYKICEREIFLPQGLRAAKDASRKKHLTAGVSSGPKPGTSAGHDNARGQKRENTPRTKQYGTNFSGTGSGYHNNGNGQSRWRQEASMWLMLINKLSKKSLLPVVIFCFSKNRCDKSADSMTGTDLTSSSEKSEIRLFCDKAFSRLKGSDRNLPQVVRVESLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTVRKFDGKEFRQLLPGEYTQMAGRAGRRGLDNIGTVILMCRDELPEESDLKHVIVGSATRLESQFRLTYIMILHLLRVEELKVEDMLKRSFAEFHAQEKLPEMQQLLKTKLNQPKRVIECIKGEPTIEEYYDLYMEAETYNNQISEAILLSPNVTPFLNTGRVVVIKSESAQDHLLAVIVKTPSPSNKLYIVFVIRPDMSFPVENASSGGNSQDKSSTFDQGFFVMPKSRRGLVDEYTTSVSARKGRGVINIKLPHRGSACGMSYEVREVDIKEFLCICSSKIKIDQVGLLEDVSSSVYAKTVKLLQDLKSDGNKYPPALDPVKDLKLREAKLVETYRKWTKLLEKMSQNQCNGCIKLAEHLKLAKEIKAHKEEVCSLQYQMSDEALQQMPDFQGRIDVLKEIGCIDEDLVVQMKGRVACEMNSGEELICTECLFENQLDELEPEEVVALMSAFVFQQKNASEPSLTPRLSDAKNRLYKTAIRLGELQAQFNLPISPEEYAQENLKFGLVEVVYEWAKGTPFADICELTDVPEGLIVRTIVRLDETCREFKNSAAIMGNSALCKKMEIASNAIKRDIVFAASLYITGV >RHN65002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63560651:63562101:1 gene:gene27892 transcript:rna27892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MEISLPLILLSTVVIMAMPLSFGVKGNQLSYNYYKNSCPNLESLVERELMSVFMTDIRAPSAFLRLMFHDCQVQGCDASILLDTIYATQSSEIASSGNFAIRNRETINDIKSVLEEECPGQVSCADIIVLAAKVSVSLSGGPSIQVPFGRKDSRTSSSKEADAKLPSPTVTVDEFLSIFKSKGMNIQESVAILGAHTLGVGHCLSIVGRLYNQNQQIGNNMNLGYETSLRLACPTVIPMTNLTFVPNDMTPTIFDNQYYRDIMMGRGLLGIDSSISRDPRTAPIVMRFAMDQSYFFENFSSAFVKLSASNVLTNIQGEVRRKCNQLN >RHN66688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16569217:16583366:1 gene:gene14738 transcript:rna14738 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSMCLFVIIVSVCILSEISRVWLINGILVILYSRVFWSFDEQG >RHN75839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45459496:45460162:-1 gene:gene12093 transcript:rna12093 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREGAVVKKGNDESLKTALSLLQEFELPEGLLPLADVIEIGYVKATGFIWIVQKKKVEHKFNLVSKLVSYDTEITGYISKKKIKKLKGVKAKELMLWPPVNEITVDEQPTGKIHFKSLAGITKTFPVEAFAAGQ >RHN40010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12256860:12257147:-1 gene:gene46099 transcript:rna46099 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIHNSKLTFKFFARTEENMEAVIKECGFRWIYSSEGQVVEEEEGCESETSKETHTVEGSKSDEQEETVPAAMNFQQSVYGTPNLEAVETKDLR >RHN45237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13408522:13415320:1 gene:gene39472 transcript:rna39472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monodehydroascorbate reductase (NADH) MGRAFVYVILGGGVSAGYAALEFVKRGVSNGELCIISDESVAPYERPALSKGYLLPEAAARLPSFHTCVGANEERLTPKWYREHGVELVLGTGVKSADVKRKTLLTTTGETISYKVLIVATGARALKLEEFGVNGSDAENVCYLRDIADANRLVSAIQSSPGGNAVVIGGGYIGMECAASLVINKISVTMVFPEEHCMARLLTPKIASYYEEYYKSRGVNFVKGTVLSSFDFDDNGKVTAVNLKTGTKISVDMVVVGIGIRPNTGLFEGQLTLEKGGIKVNGMFQSSNSSVYAIGDVAAFPVKAFGEMRRLEHVDSARKSAKHAVSAIMEPGKTGEFDYIPFFYSRVFTLSWQFFGDNVGEVVYYGEISAAGSTFGAYWVNKGHVVGAFLEGGTKEEYEAIAKVTRLRPAIEDLAELERQGLAFAVTVSQKPVASPPPIEVSSSTSVLLLERPLYAWHATAGVVLAASIAAFAYYYGKRRRRW >RHN80457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38263266:38270762:1 gene:gene4386 transcript:rna4386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylation protein Rxt3 MSGTPKKSHEESVHPSSKHPHEDAGAYPKLAPSSVSNEYHMSYDIGQDSRVVKVPRDVERRSPLHSVYRMPSSSSDPHAEHPVGPEKRLESRESKDSRDIRFENRDTKTEKKEMFGEVRKDPQSAKSEKDAHVEGRGDDNKDVRHDRDSHNDSKGDTKTEKDSFNAASGLHLDWKESEKYHRAKIYSDPPGASLEPWPMSRGNTQASLEVGKESSSAEQREYGGEAREAVGENKIDSKGDDRSKEKDRKRKEVKHRDWGEKEKERIDRRNNIQVSNTGSDWKESVNDRRNNVQVSNTIGDGKEPLKQDRDVERWEREKKDLPKEKENLKEKEKDQMKRESWNGAEKDVSNNEKEPVDGSAKVPEQETVLPEQKKQKDVDREAKDKRKEREADLVGDRSDKRSRGFDKESDDGCADGQGAIEKESEVYNYSGQHRKRIQRSRGSPQVPNREPRFRPRTQDNEGSQGKVEVSYVVYKVGESMQELIKLWTEYESSQSQIEKNGESSKNGPTLEIRISSEYVTATNRQVRGGQLWGTDVYTYDSDLVAVLMHTGYCRPTASPPPAAIQELRATIRVLPPKDCYISTLRNNVRSRAWGAKIGCSYRIERCCIVKKGGGTIDLEPCLTHTSTIEPTLAPVAVERTMTTRAAASNALRQQRYVREVTIQYNLCNEPWIKYSISIVADKGLKKPQYTSARLKKGEVLYLETHTTRYELCFAGEKLVKATPATQANESGAEKAQNHHPHSANGEKSEPDHVMIDAFRWSRCKKPLPQKLMRTIGIPLPLEHVEVLEENLDWEDIQWSQTGVWIAGKEYTLARVHFLSMN >RHN44103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1699222:1700408:-1 gene:gene38162 transcript:rna38162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MASTEEQSKDEQLEAIGEECKNLILSLPKEYGFGNQYFYFFQGFWTTAAQIQSIISFQNHFQAKDSDVVVATVPKSGTTWLKALTFAIINRHHFSSLHNHPLLTSNPHELVPFFELNIYTDISWQFAKLDLLNMIEPRIFGTHIPFHSLAKSIKESNCKIIYICRNPFDTFVSYWNFMNKIALNQSLPTSTLEDDFEKYCEGLCHVGPFWDHMLGYLKESKARPNKILFLKYEDLKEDINFHVKRIAEFLGCPFTQEEESNGVIENIINLCSFEKMKGLEANKSGVLGKIYDKKYFFRKGEIGDWINYLSPSMVDKLSKIIEEKLSGSGISFNVCP >RHN42169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36055320:36057727:-1 gene:gene48577 transcript:rna48577 gene_biotype:protein_coding transcript_biotype:protein_coding MEELPGVLGTSSSFALRLGQTVFSSASLFFMCLDVDFYGYSAFCFLVMVMGLVISWSTTLLVVDAYSIFIKSVPKQRRFIFTITFGDMVLSYLLLAAACSTASVTDLLRSADKSYCPENLCSRYQISAAMAILAWFLSSASCLINFWLFPSL >RHN58730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4344095:4347183:-1 gene:gene20667 transcript:rna20667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyketide cyclase/dehydrase, START-like domain-containing protein MPPNPPKSSLLLHRINHTTTTTITNHHDLHCENNTLFINPVTSTIPNTIAHYHTHSISSDQLCSAVVQETTASITAVWSVVRRFDKPQAYKNFIKSCNLVGGNGDVGTLREVNLISGLPAARSTERLEILDEERHVISFSVVGGDHKLANYRSVTTLHPSAEGDGSGTVIVESYVVDIPSENTKEDTHVFVDTIVRCNLQSLAQTAENINNKCCS >RHN45195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12856596:12859591:1 gene:gene39424 transcript:rna39424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MSPTYRIVVKGMVHNILGDKLHHKPLPDGYLKVSIDVALDQDAELLIPDDVADIRLVRDAIGTYVAWQRNLISLNLEISATYKGNGNDGIRRGDESVTSKKQIQTQKLHEGTKIIKDKPRNMSHAQKLKEVNNKGRHSNIPITKQRQDIAKTKHQKPNSTKCRPSWVLALKSLVEVQMENSDTRQITMEEGIFGEEQYNEEITKEQMYEFFNNTEIGVSVVCVYIRYLYEKFVRDTDVPRKFSFLSPHRISLVLIEAEQEFVKAYMVKEFLKYKDEHKLFILPFYIHKPIGHWVLFVINPISEIIYYIDPLLNDYMNYPKMKSMFDTVLKVFRAARNAQVSKNKFNNIQWVRVQCPRQENGIDCGYFVMRFMKEILISKLNEIPKLYIEDFKCATYSNDKLREIQEEWCQFMMSLLFI >RHN58740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4416330:4420538:1 gene:gene20680 transcript:rna20680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma interferon inducible lysosomal thiol reductase GILT MKMPSFLGSLPPRSFFFCFLLLPLLLLLLLLVAPSSSSSSSNYDKKVTMSIYYESLCPFCADFIVNDLVRLFQTGLISIVDLRMVPWGNAGIKPDGTFDCQHGNDECYLNTIEACAINIYPDVAQHFKFIRCLERLTLENRHNEWFNCFQMTGLGTMPIDCSKTGNGKSIEQKYAKETAQLNPPHRFVPWVVVNNHALQEDYQDFMTYICRAYKGKVKPDACRSVSTKTYYDLNAKTNSFHPVCYVDEAKNLTLLTTSHQIKE >RHN49710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:493534:498577:1 gene:gene33917 transcript:rna33917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactinol--sucrose galactosyltransferase MSPPNPTLNSTRNNTDNIITTTKHVNPCPNSPFTFTLSDSTLKVNNHVILSDVPKNITTTTTPSTTVTATNGCFLGFEANEAKSRHVAHIGKLKNINFTSIFRFKVWWTTLWTGSNGKDLETETQFLMLQNSDPNPGSDPNSGRPYVLLLPIIEGSFRASLQPGDDDNVAVCVESSSTLVTDSRYNAVVYLHAGNDPFKLVKEAMKTVRAHLGTFKLLEEKTVPGIVDKFGWCTWDAFYLKVHPQGVWEGVKDLSDGGCPPGFVLIDDGWQSIAHDDDPIDTNDGINRTAAGEQMPCRLIKFEENCKFKDYKKGLGLGGFVKELKKGFESVEYVYVWHALCGYWGGIRPNVEGLPEAIVEKPKLTVGLETTMEDLAVDKIVNNGVGLVPPHLVDQMYEGIHSLLEESGIDGVKVDVIHLLEMVGEKYGGRVDLAKAYYKALSTSVKKHFNGNGVIASMEHCNDFMLLGTEAISLGRVGDDFWCTDPYGDPNGTYWLQGCHMVHCAYNSLWMGNFIQPDWDMFQSTHPCAAFHAASRAISGGPIYISDTVGNHNFDLLKKLALPDGTILRCETYALPTKDCLFSDPLHDGKTMLKIWNLNKYTGVLGVFNCQGGGWFREIRSNKCASEFSHSVSTKINIKDIEWNSGKNPIPIEGVQVFALYFSQAKKLKLFSPSEIEEISLEPFNFELITVSPVTLFPKKSLKFAPIGLVNMLNNGGAIQSFEYLEAQDLVQVGIRGAGEMRVYASEKPRACGIDGKEVDFEYKEFMVVIQVPWPSSSKWSFVQYIF >RHN51945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28915976:28917637:-1 gene:gene36522 transcript:rna36522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MKKVGEESATLEPLQFDWVVIEAATNNFSTDNYIGKGGFGEVYKGILLDGREVAIKRLSKSSNQGVEEFKNEVLLIAKLQHRNLVAFIGFFLEEEEKYLFMNMCQTKVLISFYLVRYFKLFHLSICRLMHYIYIFFLYINYFVDSQQQKLLTWVERFNIIGGIVRGLLYLHEHSRLKVIHRDVKPSNILLDENMIPKISDFGLARIVEISQDEGSTDRIVGTFGYMSPEYVMFGQFSEKSDIYSFGVMLVEIIAGKKNKSSFTPHHVADGLLNHVWRRWMEETPLSILDPNIKEYHSTNEVIKCI >RHN62817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46011545:46015311:1 gene:gene25431 transcript:rna25431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-dehydrocholesterol reductase MCWCWFGIFNPTIVYDHLGEIYSTLSFGSFIFCIFLYIKGHLAPSSTDSGSSGNIIIDFYWGMEFYPRIGKYFDIKVFTNCRFGMMSWAILALTYYIKQYEENGKVADSMLVNTTLMLVYVTKFFWWEAGYWNTMDIAHDRVYTSPGMYLVNHPVNLGTQLVLFILVAGIMCIYINYDCDRQRQEFRRTNGKSLVWGKAPSKIKMRRIYWVFIMKMYLQIEASYTTSSGETKRSLLLTSGWWRLARHFHYVPEILAAFFWTVPALFNHFLPYLYVIFLIILLFDRAKRDDDRCRSKENHRCSFCHIILFLQTVCFMSHGGACLDRLYGKYWKLYCDRVPYRIIP >RHN43432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45848563:45848841:1 gene:gene50008 transcript:rna50008 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSPPVYTTREQGLFSVVLPLEKKQLLWYTMWVTACVLRFFELITLGYVGFSSMRLLKTQKTQAMEEQAIEDMGLESRLDGLVIVKCLLQQ >RHN48545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48338226:48341056:-1 gene:gene43275 transcript:rna43275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MEPHNNHHVDDVSPSEIGYGHFGCSHYKRRCKIISPCCNEIFDCRHCHNESKNSIQVDPQHRHDIPRHHVKRVICSLCSTEQDVQQVCIQCGVCMGRYFCSKCKFFDDDVSKNQYHCDECGICRTGGEENFFHCNKCECCYSTLMKDSHHCIEKAMHQNCPVCFEFLFDTTKDITVLPCGHTIHLGCVREMQQHLQYSCPVCSKSFCDMSRVWEKMDEEVASMPMPEMYQNKMVWILCNDCGETSEVRFHIVAHKCLSCKSYNTRKTQAASCLSRIEEMVR >RHN41988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34586560:34587088:-1 gene:gene48369 transcript:rna48369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MDLLYVMKVRYAPHLPLVLCGLTCTFRGGLKTGIVGRTGSGKSTLIQTLFRLVEPTVGVLIIDNINISTIGLHDLRSRLTIIPQVPTMFEGTALDKCQLGDEVRKKEGKLDSSGCLQFY >RHN38557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:231815:234052:1 gene:gene44510 transcript:rna44510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannan endo-1,4-beta-mannosidase MEAIFKRFQLCVISFLIFLTIFTQTCSSTAFDDTEYEDWESNIHIPNSILTYGEMEEAEWQMVQKKGNQFVVNDQPFYVNGFNTYWLMVFAADESTRGRVTQVFKHASSVGMTVCRTWAFNDGQWRALQKSPSLYDEVVFKALDFVVSEAKKYRIRLILSLVNNWEAYGGKAQYVKWGNAAGLNLTSDDDFFSHPTLRGYYKDHVKTVLNRVNTLTNITYKEDPTIFAWELMNEPRCTSDSLGDTLQEWIKEMAFYVKSIDPKHLLEIGLEGFYGPSTPQRFQFNPNSYAQQVGTDFIRNHQVLGVDFASAHIYPDSWISQSVADSHIPFVKSWMEAHIDDAEKYLGMPVVFGEFGVSAKDPGYNSTYRDTLINTVYKTILNSTKKGGSGAGSLLWQFFPDGTDYMDDGYAIVLSKAPSTSSMISLQSTRLALFNSLCSERCHWGCKKKKVLQNVLYEDEE >RHN57772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41632417:41634066:-1 gene:gene33357 transcript:rna33357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MFSLTKFCLLILVPLNFVCYFIFCSDSLSLFFFLSIGIVTFMSFLAPLPTFYSIYKKKSSEGFHSIPYVVTLLSTLLFVYYGFLKTNAIFLITINSIGCVMEVAYLIMYITYAPKKLKISTLVLILIVDMGGFGLTMIITTFIVKGSFHVQVVGMICTIFNIGMFAAPLSIMKKVIKTRSVEYMPFPLSLFLTICATMWFFYGFFDKDKYIMLPNGLGFLLGVSQMILYLIYKNAKNNVEASSTNQLQEHGCDGGNNQIFPTVVEMKEINIV >RHN48792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50324013:50325873:-1 gene:gene43545 transcript:rna43545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MNTKEKIKASRKKLDDGIVLWKVGKYERASKRFKQAVKIIEDGTSFSDDEKKDVRDLKSSCGVHISKCDAMLLYDQQLMKSYEEHRRF >RHN72953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12098703:12099085:-1 gene:gene8721 transcript:rna8721 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MCLKYAELERSVGEIERSRGVYVFASKFAHPRSDQDFWNKWREFEVQRGNEDTFREMLRIKRSVSASYIQTHFILPMQKDQTDKAVTIERKVGFASA >RHN79927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33578824:33579369:1 gene:gene3785 transcript:rna3785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MEYTTIGNESIGGGGGEDSNSFAVVSPKVKSLCLARCQYLRDQNIILFASIFPNLEVLDLSYWKDVSEETISQVLKCCSKIKHLNLSYTKVDDKALHIISTSCCGLLQLLLECCTNVTENGVKHVVQNCTQLTEINLRYCDQVKANVVSLMVLSRPSLRKIIAPLGFSFSDRKRKVFLQRH >RHN52645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37347825:37353899:1 gene:gene37339 transcript:rna37339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSISVFRSKHTLIVPILNSLPISTFLLRFYSFSHPLPSFIPNVDDAVSSFNRILNINPTPPIFEFGKILSSLVKLNHFHTAISFSQQMELKGIQPNIVTLTILINSFCHLNQLNFAFSILGKILKLGYEPNTVTLTTLINGLCLNGEVKKAFHFYDDVIAKEFQLNQFSYGILINGLCKSGETKAALSLLRMIEGLSVKPDVVMYTTIIDSLCKDKLVTDAYELYSEMVEKKIFPNVVTYSTLIYGFCIVGQLKDAVRLFNEMMLKNIDPDVYTFNILIDGLCKNGEMRKARNLLGLMIKSGVKLNVVTYSSLMDGYFQVNEVNKAKDVFEIMVRWGVSPNIHSYNIMIDGLCNSKMVDEAVNLFKKMHLRNMVPNTVTYNSLIYGLCKSGRISYVLDLIDEMCDRGQAANVITYTSLMDALCKNHNLDKAIEFFTKINDWGIQADVHTYTVLIDGLCKGGRLKNALEVFRILLIKGYHLDVLTYTVMISGLCKEGLFDEVLTLLSKMEENGCAPDAITYEIIICALFGKGKIDMAEKLLCEMIARGLL >RHN49423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54721920:54722288:-1 gene:gene44251 transcript:rna44251 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSSNDSKHHQKAIHKTLKKTVKVTYISNPILLRDCHASEFRSLVQHLTGKNSNNFTHQSIPLHMHNDHLDHPPLTIAATSAEDVSTTYYNRSMDSVKFDVIDYFWKEVAICFPLTYAIT >RHN72848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11226011:11228981:1 gene:gene8610 transcript:rna8610 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRKYKFNEYPIVFMWLPGFGEPALFSLYSAFGAMWGILLVWYVIYLVNIGVTIYYNHKLAFTRSHEWHMPI >RHN74960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38173579:38176637:-1 gene:gene11101 transcript:rna11101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MFDTKPLVSAMASIVFMRTITNELIPHELLQFFQAGIHHLFRQSSAQFTILIEEFQGMARNQVFEAAQAYLGTKATVSADRVKVSKSEDHKELAFNIDRNEEVSDVFEGVSVKWKLICIQVDSSRIRHYDNDSSPVSEIRSYELTFHKKHKNKIFDSYLPYVMEIAKQIKQGDMAIKIYSNEYSWSGDVKFNHPMSFDTLAIDEELQRDIKNDLDKFVRSREFYRRTGKAWKRGYLLYGPPGTGKSSLIAAMANYLNYDIYDLDLTNVQDNKRLKQLILDMSNRSILVIEDIDCTVKLQNREEDEEIVDNGYNKMTLSGLLNATDGLWSCCGEEHIIVFTTNHKDRLDPALLRPGRMDKQIHLSYCNFSAFKKLVTNYLCITEHELFEKIEVLLGEVQVTPAEIGEELTKDCDATECLQDLIKFLQAKKMIKEEIRNERSTQIKQGMVALKIHSNDYDCWCCKPTKFNHPMTFNTLAIDEELQREIKNDLDKFVRDNEFYRRTGKAWKRGYLLYGPPGTGKSSLIAAMANYLNYDIYDLDLTDVEDNKSLKQLILSMSNRAILVIEDIDCTINLQNREEEKEAVDNGDNDKVTLSGLLNAVDGLWSCCGEEHIIVFTTNHKERLDPALLRPGRIDKQIHLSYCNFSAFKKLIINYLCITEHELFDKIEVLLGEVQVTPAEIAEELTKDVDATECLQDLIKFLQVKKMKKEEIKKEENVKEESELGSENI >RHN54861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12981784:12982826:1 gene:gene29956 transcript:rna29956 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEWYALADTILTLILFSGSQFKNWSFTNTCDKGRDRCFF >RHN57490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39500011:39503307:-1 gene:gene33037 transcript:rna33037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MADQLTDEQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >RHN39421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6982279:6983087:1 gene:gene45442 transcript:rna45442 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFSYQHISTWDRDHDHNHNHNHSIYVYPGSEIPEWLEYSTTTHDYITIDLSSAPYFSKLGFIFGFIIPTNSSEGQIVKLKISDGQDKGIKMYLSRPRRGIESDHVYLMYDRRCSHYLASRVNDQSKIKIQVRASLKTPTLQYVPVQLRGFGVSLVTPSKYDKFKQQLEFRDRSVVPINMCPVEEISKFLGI >RHN56579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32016672:32026410:-1 gene:gene32005 transcript:rna32005 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSILSVLLLSFQQKKSPSNKLRPKIYDGKRASQYAYPRPNQTVRPLFSILVQNHLHILNDIPYETHV >RHN79084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22261066:22262247:-1 gene:gene2782 transcript:rna2782 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAVVWDPWLIVAQIVCLQCMYYITLGLLLAVLVGTRVSRLSLVYFFDYVMLLPLPLLVGAFVLHFCSAQLQEEGFSGGILSCYSHIWFVKAVYMLYLIERSKKCLDFAATIYIIHLCICIVYGGWPSSIAWWIVNGTGIALMALLGEYLCIRRELREIPIPRYRSSKNYFFLGVWLTNLTCAI >RHN73083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13305797:13309247:1 gene:gene8862 transcript:rna8862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MFVVASSICSDIPLLKPIKMKTFSSIQPPHNFHSTLFFSYTSIRFCIRTQLPSSTRVFFSQEKSDTDESLSVKLLPPTLLAAEKEEAKAVLTLFLKKRGLSNANAARTINKSDPFIDHLLSKLHSKHKTWYLSGRELTTLEIRDALISYLESLYEEHGEILVDAVENYPNPPVKDKSDAPIPPPNPSPAVDSKKVKAVSRVSGIDPAEGNLRPHIAYLMELGMNTDQVRSIMRRFPAFAYYSLEGKIKPVVEFFLELGVPKEKIIIILTKRPQLCGISLSKNLKPTMKFFESLGVDKEQWAKVIYRFPALLTYSTQKINESLDFLREFGVSEENIGKILTRCPTIVSYSVEDNLRPTAMYFRSLGVDVGLLLFNCPQNFGLSIEANIKPVTQFFLERGYTMEEIGIMIKRYGMLYTFSLTENLMPKWDYFLTMDYPKSELVKFPQFFGYSLEQRIKPRYTRVKISGVRLLLNQVLSLSSSNFEEILRKKIMKMQVD >RHN64517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59703510:59715404:-1 gene:gene27340 transcript:rna27340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-3-phosphate 5-kinase chromatin regulator PHD family MGTPDKKISDLVDVVRSWIPRRVEPPNVSRDFWMPDQSCPLCYECDSQFTVFNRRHHCRICGRVFCAKCTANFVPSSPREPNIGREDWERIRVCNYCFKQWEKGVAAVDNVTPSATPCLSPSPSSTSLVSSKSSCTCHSSSSTAGSVPYSTGSYQCVPSSPHQSSQMNLITDEQENLNSGMSTNPSEAVENLNSNQYGYCFNRSDDEDDDYGVYHSDTESRHYSRINDYDDLVNIQGIDRVYGPHIMHSDGDNIQERSSSCLAPPQNLELDGTDGVQALGKEADEHDHTDECETSPYQEEANNMEPVDFENNGLLWLPPEPEDEEDDREAALFDDDEDEGSTGEWGYLRSSASFGSGEFRSRDKSGENNRKAMKNVVEGHFRALVAQLLQVESLTVDDDGKESWLDIITTLSWEAATLLKPDTSRGGGMDPGGYVKVKCIACGHRNESMVVKGIVCKKNVAHRRMTSKIDKPRFIILGGALEYQRVSNQLSSVDTLLQQEMDHLKMAVTKIAAHHPNVLLVEKSVSRYAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSVDHLSSQKLGYCEAFHVDKFFEEHGSAGQGGKKLTKTLMFFEDCPKPLGCTILLKGSNGDELKKVKHVVQYGVFAAYHLALETSFLADEGASPLEFPLKSPITVALPDKPSSIVKSISTIPGFSSVTGRGHQGAQTNKEGPKCNDGYKTHRTLPSCSGSIERSLAGESIHMHEISGDVSQLAQDMSSSHCNSFLTNTAFEKDGKERPKESLHCRQDEGTKTMIHADIISDFFGTLEPSGRDGNNHIKAVSLASNQGPDPESPIVKHDDNNNNFDNDMIHSKEDFPPSTSDHQSILVFLSTRCVWKGTVCERSHLVRIKYYGSSDKPLGRFLKDQLFDEKYTCRSCEMPPEAHVHCYTHRQGSLTISVKKLSEFPLPGEGEGKIWMWHRCLKCPRVNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVANCGHSLHRDCLRFYGFGKMVACFRYASIDLNSVYLPPSKLEFNYDSQDWLQKEAHEVHNKAEILFSEVCSALNQISEKFSGSVLQEGGKAVADFRHLIVELKEILQKEKEESEKFLHQEGIAGGLMVDVLELCKLRRHILILSFVWDQRLIYASNQGKITFSEDSKNSYQKVKSIGSKEKAVETDVVTRSARSHSSSDSFLLETKPDGNLDLENSSHLSQSGEMIKGEDKSKDIGQSKFDLSLSHDANINDKSNSSEFGGAIRRRRTSSEGGSRNVANLSDTLDAAWTGENHPENILYEENGCPAPDVAAVTVHSPTVIATAKSNLDNYTAGIGGTETGNTHDSKLHSKGLDTRWSGMPFANSYSFDKTSAFNTQKLVDYNFVHILSFRELDRQTGARLLLPVGINDTIVPVYDDEPTSVIAHVLVSKDYHIQMSDSDRPKDSLESSVSLPLFDSTSLLSLNSLDETITNSYRSFGSSEESMTLSTSGSRMVGDSLLYSKDFHARVSFNDDSSLGKVKYNVTCYYAKRFEALRRTCCPSELDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIVKQVTKTELESFIKFAPAYFKYLSESISTGSPTCLAKILGIYQVTSKHLKGGKETKMDVLVMENLLYRRNIRRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIYVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKTSGILGGPKNTSPTVISPQQYKKRFRKAMSLYFLMVPDQWSPPSLQTSESQNDLCDENS >RHN54012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6215821:6219719:1 gene:gene28987 transcript:rna28987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-V family MHNTDTATMNDQLSNQTSIFGLRLYVILGIIVGVIIVLLLFFISIYLAFKHNNSKKTKTTIPNISKDVPEITLDVPPQPDPSPQPDPPSEQSHPEEETAPLSYKRIQFEIGKNHRISYPEQRGSVEHSGERESRPLDQVNAVIPQVSHLGWGHWYTLRELEDATNEFSPDNVIGEGGYGIVYHGILKDNTNIAIKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEFVDNGNLEQWLHGDVGPCSPLTWEIRMNIILGTAKGLTYLHEGLEPKVVHRDIKSSNILLSKQWNSKVSDFGLAKLLSPESSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEVITGRNPVEYSRPAEEVNLVEWLKKMVSNRNPEGVLDPKLPEKPTSRALKRALLVALRCTDPNAQKRPKMGHVIHMLEAEDSPYKEERRVRRDPGVGSSPTTSIEDRSKEEAAVSIDDGMLENEKCTNETSSNHEVEKQ >RHN71012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55799001:55800164:1 gene:gene19652 transcript:rna19652 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKTKKQFHFRIPWIAGSSIGFQSRRKPRSKFPSQLAYSPPQPPPTSISEPQTTLERNMMFAIATSNPSEKNIQLVADPITMQNSTMKDDVTNLTNKVAKVSTSTATQLPTDDKTVSVVTLAGDNRGATMHVAGSHSQSTRKKGSIHNIHRTKEEEEKDEAGKAYVNSNIQSMNNSLMVQGSINGRDPGVHVILPEKPEPQVKQGLENREAEVKTVSRVERLTYQPMVRRRCLRGLLLEPSDSDPDNPDKPRRHGCKVSCGDVRKDNGIL >RHN38651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1020597:1023368:1 gene:gene44609 transcript:rna44609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L4/L1e MASLSSTLTPTSTSLSFFSSSIFISNSIPKLKFTPNSNSISHTNSLSISCKLATLPLLSFSGEKIGESTLDVKSASPSTSRAVVHRAIIHDLQNKRRGTASTLTRAEVRGGGRKPYNQKKTGRARQGSIRTPLRPGGGVIFGPKPRDWTIKINKKEKRLAISTAVASAAVNTVVVEEFGDEFEGNAKTKEFIAAMKRWGLDPTEKVTFFMMEVKEKVLLASRNIGTLKILTPRTLNLYDVLNADKIVLTPDAVDYLNGRYGDSEQDDDGDYVEEDSQEGPDAEESADAVN >RHN52439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35306683:35309903:1 gene:gene37119 transcript:rna37119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQLIIFVYALMVFLSIFLVESYKTKTPCKSLNDCPKAIKPIFVKCLGNICQYSIGRI >RHN39666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9430812:9431214:-1 gene:gene45712 transcript:rna45712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MEELGHKQEQITVYCDSQSALHIARNPAFHSRTKHIGIQYHFVREVVEEGSVDMQKIHTNDNLADAMTKSINTDKFIWCRSSYGLLET >RHN75351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41488230:41496440:1 gene:gene11536 transcript:rna11536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteamine dioxygenase MPYYVQRLYRLCKSSFSPNGPVSEEAISKVCEKLEKMKPSDVGLEQEAQVVRTWNGQMPESNGNHQPPPIKYLHLHECDSFSIGIFCMPPSSVIPLHNHPGMTVLSKLIYGTVHVKSYDWIDFPGPADPTEARAAKLVKDKDMTAPTSTTVLYPTVGGNIHCFKAVTPCAIFDILSPPYSSEHDRHCSYFQQSQRDDLPGNLELDGVTFSDVTWLEEFQPSDEFAIRRGLYKGPVIKKY >RHN43038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42753883:42754539:1 gene:gene49558 transcript:rna49558 gene_biotype:protein_coding transcript_biotype:protein_coding MNVYVIQHLHQDIVLHIEHKSNSLIFQKKKVELFKMAIAQNKRFLVVVLFLICFISIQARARTLKEKSNDVTSNTHNNKEDHMFKAKEEHNVGDEVFSMDYTPARRKPPIHN >RHN48769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50073932:50074627:-1 gene:gene43521 transcript:rna43521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MANMQPQLNDANYWSTIPLAPGQSSSYNQSNHRSCCSYLCSIFWKLLLALVVLFGLIILIFFLIVQPRAFKFYVTKAELTQFDYTNNTLHYDMVLNFTAHNPNKKLSIYYDKVEARAFYEGSRFANVDVITHMNSFRQNKKTSDPMSGVFLGQKLLVLDNDQVSEFSKDKSVGVYGIYVKLYFRIRFKLGDSISRTYKPKVKCDLKVPLKSNNNATFTFTRVLPEKCNVVF >RHN73873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20929932:20930802:-1 gene:gene9758 transcript:rna9758 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIFECVVHHAGDFSCFMDPKYVGPVETLDCDPDFFSYFALLSTLKNCGYVSLKSLWYHDPSMEGGFFPLNSDSGCRRMQSIALQYDRVHLYVVHPMSQTDVVELDPLIEYPYMAPPVPPVVNESNEGPTDVGPTAEEKNAESGVSGPNCCVNEDGPEVDLNDLGPMVDENDLFSDHENGPEGVNEGVNEDGQCNEGVGEEVTAEREDSALGVHFGDSDDEREDIAGNLDSSVGGNEVNKPTVTLNEDPVFNDPIVNANAENVAGCDSSVLV >RHN39835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10937114:10937476:1 gene:gene45909 transcript:rna45909 gene_biotype:protein_coding transcript_biotype:protein_coding MKRILLVMKRIRLVVKILKLLLQNLRVPPLRKIASFSVVSVIQFPLLFLHRGLLRFSQRLMDLPSKFLAFSSIHVVAMIATLCLLLRKKTRIDIVLIRCFTPLLNLQNRTIENLISPFLK >RHN82345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52990067:52992433:1 gene:gene6505 transcript:rna6505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oleoyl-[acyl-carrier-protein] hydrolase MAVTNFTCSASSFLVRCCSMKDNHHDQLNSNNSIRMNGSYRSPIKVESLSQTAEAAPTTLVENGLRQNIPTKKQLVDPHRQGLIVEGGVGYRQTETALNHVWMSGLLSDGFGATHGMMRNDLIWVVSRMQVLIDYYPIWGEVLEIDTWVGASGKNGMRRDWLIRSQATGHIFARATSTWVMMNSKTRRLSKMPEEVRDELTPWFIEKQAIKEDAPEKIIKLNKEAKYMNSNLKPKRSDLDMNQHVNNVKYLRWMLETIPDQILESHQLSGITLEYRRECGSSDIVQSLCEPEEDEIVLNGMVEPDYCTNLLNGLSFVKSDIINGGGVLSYLEQRPIRYTHLLQAKGEKQNEEIVRGKTTWKRKFTTCPFST >RHN61366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35195831:35198050:1 gene:gene23826 transcript:rna23826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MEVVVAEALKPSLRTESIFQQTICEEILCLNANNVVVGEDFSVDDLLDFSNGEFQHGSVGKEVDVCEEEEEEEHEKNSTTSGSEHERTEDDDNSNSTTFSGAGDGESNSIFAGGLAVPADDVADLEWVSHFVDDSIPELSLLYPVQARSEPEPRPGPTNAYSQLSIIRRKPRTTKTRRPNCNVWIFNPILYSAKKQRKKPEAQTGGAHFQRRCSHCHVQKTPQWRAGPLGPKTLCNACGVRFKSGRLFPEYRPACSPTFSGEIHSNSHRKVLEMRRRKEVDEPVSGLNRIQMVTSW >RHN77727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9000011:9002142:1 gene:gene1213 transcript:rna1213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MSLTILALLLLLSMNLVNGQNNNNSSVECPFRLSCSSNKQQYLEFPSKPVSKKFLIRDIDCKLKHLVLSDPQNCLPSLFLTNNFSLFYPFQSDFSYNNITFFNCSSVGVHHLKSWDQRNPDVQDMLSCPIYATDSTESVVELDLLSCTRMFNKVLPVTASYYIRYNALSLSWSETAFPSQCLEYDQIHNKSKKNLTSIILVTTGAIVGSTMLVVLIGVFIRVYVHFRTKGEDQMRLENFLKDYEASKPTRFSYADIKRITDQFKEKLGEGAHGAVYKGKLSNQIMVAVKMLSNTEGDGSEFINEVGTMGKIHHVNVVRLLGFCADGFYRALVYDFFPNGSLQNFISSPDNKDVFLGWDLLQQIALGIANGIEYLHQGCDHRILHFDINPHNVLLDDNFIPKISDFGLAKLCSKNHSTVSMTAARGTLGYMAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGRKNTKTTTGGEENFQVLYPDWIHGLLEGGDVYIPIDEEGDCRIAKKLAIVGLWCIQWHSVHRPSMKTVVQMLQGEGDKLKVPTNPFNASVSTNRTVNTVPGCLNVELDVIQELD >RHN75067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39154144:39158157:1 gene:gene11219 transcript:rna11219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MAASTILDGTETPLLLPTTHDNGKQNTRPEMENKWWNKILDIEEAKIQLMFSLPMIFTNLFYYLITLVSVMLVGHLGELQLAGATLANSWFSVTGVAVMVGLSGALETLCGQGFGAKEYHMLGIYLQGSCIISFIFSIFISIIWFYTEHILVLLHQSQDIARTAALYMKFLIPGLFAYSILQNLLRFLQTQSVVMPLVILSAIPTLIHVGIAYGFVQWTGLSFIGGPVATSISLWISMILVGSYVLYAKKFENTWRGFSKESFQYLFTNIKLALPSAAMVCLEYWAFEVLVFLAGLMPNSQITISLIAICENTEFIAYMITYGLSAAASTRISNELGAGHPEKAKHAMRVTLKLSVLLGFCFVLMLLFGHDIWIQMFSDSPIIKEEFASITPLLAISILLDSVQGVLSGVVRGCGWQNLVVYVNLATFYLIGLPISYLLGFKTNLHYKGLWIGLICGLVCQIGTLLLLTRHAKWTKLNLSGDKDKDQPIVV >RHN47826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42843082:42846577:-1 gene:gene42472 transcript:rna42472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAESFAFDIARSLLGKLASYAYEEASRAYGVYKDLQEFKDTLSIVSGVLLDAECKKDQKHGLREWLRQIQNICYDAEDVLDGFDLQDKRKQVVEASGSTRVKVRHLFSSSNSLAFRFKMAHQIKEIRDRLDKVAADGVMFGLTNVDPGLVVQQREMTYPDIDTSSVIGRKNDQDQIINLLMQPHPRGDGDGDNSLCVIPIVGIGGLGKTTLAKSVFNDKRMDQLFQLKMWVCISDDFDIRKIIIKIINSATSSTLTSSSVPSSGLAQLENINNLDIVQLVSRLKQKLSGQKFLVVLDDVWNDDRAKWLELIELIKVGAPGSKIIVTTRSNSIASMMGDVFPYVLKGLSPKDCISLFVKWAFKEGEEKNYPNQVEIGKEIVKKCQGVPLAVRTLASSLFSNFDISKWEFVRDSEMWNLEQKINDILPALKLSYDQMPSYLRQCFAYFSLYPKDYIFNSYDIGNLWVALGLVQSLNGSEKLESIARKYIDEMHSRSFIQDVKEIGSICEFKVHDLIHDLALYVSREDFVAVDSHTRNIPQQVRHLSVVKDDSLDLDLFPKSRSVRSILFPIFGVGLESESLLNKLMSRYKYLRYLGLSDSSYKTMPNSIAKLEHLRVLDLSRNGKIRTLPNSICKLLHLQVLDLGGCTEFENLPKGLGKLISLRSLTVTTKQSVLPHDEFATLIHLEFLCFHYCGNIMSLFRHQLPSVEELLIVSCSRLESLPLYIFPELHTLTIDKCEKLNLLLNNESPIQTLKMKHLYLMGLPTLVTLPEWIVCAMETLETLAIKRLPNLKRLPVCLSTMTRLKRLFIVNCPQLLSLPSNMHRLTALERLHIFGCPKLSRKFRAQSGEYWPMISHIKSVFIGKSKGHEVKLKTSTLKTM >RHN70481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51728236:51734995:-1 gene:gene19062 transcript:rna19062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane alanyl aminopeptidase MDQFKGQPRLPKFAVPKRYDIRLKPDLNECRFSGSVSVNLNIVTATNFIVLNAAELTVSDDAVSFTNRDSSKVFKPSKVELFEDDEILVLEFSEKIPTGLGVLAIQFEGILNDRMKGFYRSKYEHNGEKKNMAVTQFEPADARRCFPCWDEPACKATFKITLDVPSDLVALSNMPIAEEKIDRNIKTVSYQESPIMSTYLVAVVVGLFDYVEDHTPDGVKVRVYCQVGKANQGKFALDVAVKTLGLYKDYFDTPYTLPKLDMIAIPDFAAGAMENYGLVTYRETALLYDDQHSAAANKQRVAVVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAVDGLFPEWKIWAQFLNESTEGLKLDGLAESHPIEVEINHAREIDEIFDAISYRKGASVIRMLQSYLGAESFQKSLASYIKRHACSNAKTEDLWAALEEGSGEPVNKLMTSWTKQQGYPVVSVKVNNQKLEFDQSQFLSSGAQGEGHWIIPITLCFGSYDVRKNFLLETKSETRDVKELLGSEITKDKSANSWIKLNVDQAGFYRVKYDELLAAKLRSAVEKRLLSPSDRFGILDDSYALCMARKESLTSLINLMGAYREEDDYTVVSNLLTVSHKVQRIAADAVPDLLDYFKLFFFKVFQYSAERLGWDAKPGESHDDALLRGEILTSLAEFGHDLTLDEASKRFQAFLADRNTPLLPPDIRRAVYVAVMKRATKSNRSGYESLLKVYRETDLSQEKTRILGSLAYSSDPDLILEVLNFMLSSEVRSQDAVFGLAVNREGRDVAWAWLKENWAQIVKTYGSGFLITRFVSSVVSPFASLEKAKEVEEFFASHPMPAIARTLKQSLERVNINANWVQSAQNEKSLADAVKELAYRNY >RHN65436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2246700:2252110:-1 gene:gene13274 transcript:rna13274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylyl-sulfate reductase (thioredoxin) MNGFHGYGRIICVDLAENLAGSGVKVGKFRADGDGKECAKSELGWEATPQYSSFPKHCSWPIKYPSEKRDVDSLMAFVNALR >RHN39569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8297138:8304865:1 gene:gene45606 transcript:rna45606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-associated protein, MAP65/Ase1/PRC1 MASTHPFLSPSGTTRGDSLLHQLQAIWDETGEWSDTDRDNMLLQIEQEYSEIYHRKVEDTIKHKDYLNKVLDDFQSEIANIASSLGEDYVSFSRGKGTLKQQLANIIPVVEDLRFKKKERVKEILEIKSQISQIRAEKAGCGQSKGVTDQDVDQCDLTMEKLGKLKSHLNELQNEKNIRHQKVKSHISTISELSAVMSIDISEILNGIHPSLNDSSNGAQQSISDETLARLNESVLLLKQEKQQSLQKVQFLEELWDFMGITTDEQKAVCDDVIGLISASVDEVSIHGSLSNDIVKQVDVEVQRLQVLNPSKMKEFVFKRQKELAFKRQNELEEIHRGAHMDMDAKAARQILTDLIGNIDMSELLQGMDKQIRKAKEQAQSRRDIIDRVAEWKSAAEEEKWLDEYERRAEKARILVTQIPSMVENLTTKVKTWETNEEKSFLYEKVPLLNSLHEYNVQRQLREEEKRKSREQKQVNEQLAVEQEAVSGSGSATKKPSEPEHSC >RHN58911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5877872:5878771:1 gene:gene20867 transcript:rna20867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGHLSELDLSNCELNGTLPFSLSNLTKLRYMDLSSNSFTGQMPPFGMAKNLTRLNLSHNRLSGEISSSNHFEGLHNLVSVDLRDNFINGSIPSSLFALTLLLNIQLSLNQFSKFDKLINVSTSVLKTLDLSNNDLSGPFPMSIFKLHSLSVLDLSFNRLNGSLQLDELMELRDLSTLDLSYNNISVNVNVSNPNYTSFPNISTLILASCNLKTFPSFLRNQSWLSILDLSHNQIQGIVPNWIWRIQNLQSLNISHNMLTGFDGPLHNLTSNLISLDLHNNQLQGPIPVFLNFLLIWITQ >RHN41257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28669583:28670222:1 gene:gene47560 transcript:rna47560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-heme dioxygenase domain, isopenicillin N synthase MEVKNSNLSHENDDSTYDRNDELKVFDDSKLGVRGLMERGVTKIPRMFYSGEVNIIKNPIKNSMLNVPIIDLKDIHIDPSRRVEVINQIRTACKEWGFFQVINHGIPIDVLDETIDGIRRFHEQDPEVRKQFYNRDMKKKIVYLSTTSLYRDKSANWRDSVGCFMAPNPPKHEELPEVFSEDRFIL >RHN64939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63140110:63145641:1 gene:gene27820 transcript:rna27820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MDTRTLSVSHLLLPLRVLPSSQNQTFSFSSSSSSSSSSSSSTNINHHRVTTTTTTPLAIANPTSAIIPPTADDDTVPITLRQLCQSHVPDQLLQRMEEVGYVMPTPVQKQALPRLFSGRDCILHAQTGSGKTLAYLLLIYSIISTRKSSFQALVLVPTRELGMQVTKVARILAAKPTGVEGEQRSCTIMALLDGGTLRRHKSWFKAEPPAIVVATVESLCQMLERQLFSLGNLRVLVVDEVDFIFNSSKQVSSLRKILTSYSSCNNRQTVFASASIPQHNQFLNEAVQQKWTKRDVIHIHVSPVEPMPSRLSHRFIVCDIKRKLQTLLSLIQSDAPESGIIFVSEQSEKSKKAGNSPSTSLVIDFLKTSYHGSLDILLLEDDMNFNSRAASLLVRKGGGYLLVATDVAARGVDFPEMTHIYNYDLPKTAIDYLHRAGRTCRKPFSDVNCTVTSIIVSQERFVLQRYENELSFVCTELIP >RHN59037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6897799:6906446:1 gene:gene21020 transcript:rna21020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative uracil phosphoribosyltransferase MALSRIHCHLNLPFPSDAARISSSSHNSSLPISSPKAKNPFSIVKRRSSFGAVKSQMTMEDKPLSQNNRMLVYVPAHPLIKHWVSVLRNEQTPCPIFRNAMAELGRLLIYEASRDWLPTVSGEIQSPLGVASVEFIDPREPVAVIPILRAGLALAEHASSILPATKTYHLGITRNEETLQPTVYLNKLPDKFAEGSKVFVVDPMLATGGTIVAALNLLKERGVGNKQIKVISACSSPPALEKLSEQFPGLHVYTGIIDPVLNDQGFIIPGLGDAGDRSYGT >RHN50665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9145429:9146263:1 gene:gene34981 transcript:rna34981 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSRFKFGYVSCHSYNLLGFLFDTITLNVLGSSNEAERTFETTITPDSDEIQIPVAFVSRWGSELLVHKHGWIKDHAANYIRINGSGVVQTHMIPGGLLISHKCGFMGPQRVILCYQIFDNQFNMKIVDDDGADIPYYGFHHPENQHAMSLADPTYVPPQEFVSFADQDENGEVGVNIPYEMFKHLLISDNAADVPDDGPVNYDPIHIPAGEG >RHN54824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12653798:12657679:1 gene:gene29915 transcript:rna29915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamoyl-CoA reductase MAPSFDITSHTVCVMDASGQLGFSLVQRLLQRGYTVHASIQQYGENPFNGISADSDKLKIFRSDPFDYHSIIDALRGCSGLFYSFEPPLDQPNYDEYMADVEVRAAHNVLEACAQTETIDKVVFTSSATAVVWREDRKTIEPDLDEIHWSDVNFCRKFKLWHGMSKTLAEKTAFALAMDRGVNMVSINAGLLMTHDLSIKHPYLRGAAEMYEDGVFVTVDLGFLVDTHICVYEDVSSYGRYLCFNHIINTQDDAVQLAHKLTPTASSSLPQSDDYGKSYIEQKISNKKLNKLMVDFEA >RHN45551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22873084:22873997:-1 gene:gene39916 transcript:rna39916 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLYFEGTSSYYADDIIVNKIEEADLSLTAEENFKRKFNKFKEFF >RHN67789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30293606:30298101:1 gene:gene16022 transcript:rna16022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S23/S29 MLRHITKTAAKNHYSFIRNITPTISSLNYSSKSPSKPTKPTKPTSKTPATTTAATADIFFDEQERLRNLTADEKNPSLNVGPNGRRLFTSSTSLSKLTNNDICTYFNLTKEGLDAVLPEGLPIGMEKEFKDSMRTALMVRQSFLDLRDNFKRVVDPRMWSPHGKGVKVRKQVVLDGPVSCGKSIALAMLVQWAREEGWLVLYVPSAKEWTHGGFFYKHPQTGLWDTPVQAENVLKDFLKYNESYLKELPCQIFDPIPLGEGAGVGWLKDVDSMAIPEGTMLYELVKTGIEQTHAAVGVVVRLRKELSLVKNMPVLIAIDQYNNWFTFSEYEEPVTIRSCRPIHAKELTMVKAFRSMMHDDMMVGAFSHSTAVGKLRKDLPDVPVDARAMFPRYSLEEAETVCHYYLRQRLIRREAFTEDNWKKIYFLCNGNGTEMRGLVPFMR >RHN66822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19402778:19403769:1 gene:gene14917 transcript:rna14917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MQPFGLLPVIQDGDYTLYESRAILRYYAEKYKNQGTDLLGKTIEERGLVEQWLEVEAHNFNPPIYNLVMNLLVYPLVGLPSDQKVVQESEEKLGKVLDIYEERLSKTKYLAGDFFSLADLSHLPFGHYLMNSMGKENMVKERKHVNAWWDDISNRPSWKKVLQLYKYPM >RHN53566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2590236:2595009:1 gene:gene28485 transcript:rna28485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-C-methyl-D-erythritol 2,4-cyclodiphosphate synthase MSSSSLTASSYSFPFTTSLKSSHPIPLSCSFPLKHHTLHLKSSPSLFVSPSGAATPTTSIEIDKSPISATPSRVLPFRVGHGFDLHRLEPGYPLIIGGINIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPKWKGCDSSVFVHESVRLMHEAGYDIGNLDATLILQRPKLSPHKDAIKANLSALLGVDASVVNIKAKTHEKVDSLGENRSIAAHTVVLLMKK >RHN63405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51005912:51007882:1 gene:gene26102 transcript:rna26102 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNIGGSEEIYQEMVEDEVKGTHKVPIGPIHKPIAQKAKSDSLVVKKAHVEIPAHIIAEAISTIRGIGLRWSGPITPKEMECVKQYVLAKYPEYANLIEGDGSGTDMSSFMINGEPLKLPLSDDSRKSLRPSYNFGSDPPEMDMTQLEPSRLLDILNKKSSFPGRFISIPEIQAQNKVLKHCGLPDAEYLVLFTPSYKDTMMLVGESYPFVKGNYCMTILGEQEDYIKEFAFYKKSKVIPAPKTWLDLRIRGSQLSQNFRRCKISPKGLFAYEADVNGKMHWISEARRNYWHVLLDASAMVVGKDRLHVGLHRPDFLVCCLDNTNSNPSRITCLLIRKKSFDTFQFFILVVDNVQLVS >RHN40951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24932755:24935463:1 gene:gene47201 transcript:rna47201 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSVSNVRITGDAFEGMTINGVGDYFGGWEGHSKFEWLRHKDTGDRLLVSAGTTSDYTLTEEDVGCCLTFAYTPINSEGQEGIKAFSAVFPVVQGIPRVHNLKIEGKGFHTSVYYVDGIYSGGKQGKKRIQWFRSMEGRPDMIIPGETCIVYEANVGDVGYKLVAVYTPIREDGVEGQSVSVSTDPIAVEPDILKKVNCIVDRGSVKFEVACDRGQTSEKRQKRILDINRKRVKMVKPAFFPTTEFRASYGPTLHVKLFENDGYRLKIAVEGENETEFKVHYREIRDVIFLVIRLFATPSN >RHN64025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56003985:56006783:-1 gene:gene26800 transcript:rna26800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MSSKINGQISPQPCPHLAEFRRTSTKPFLSLHNCLRIKPPGGRASLRRDPHEIPHCNACGLSAPSRLYACISCAAVSCHSSTVGVISHAAAHAASMSPGHQIAIDVDRAELFCCVCRDQVYDRDFDAAVVIAQTVASTIGDVEKSTVIPMPSTTHPENLRKRRRVDYRPSTPDLRERALIGSCSSPINVPDFPRGLRGLNNLGNTCFMNSVLQALFHTPPLRNYFLSDKHNRYFCQKMSNADDAANGDDSAITTAKRNGDNNGIKNGRLCLACDMDAMFSAVFSGDRLPYSPAKFLYSWWQHAAKSNLASYEQQDAHEFFISMLDGIHEKVDKDRHKPHNEGRGFRSLACCPRS >RHN64521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59750523:59753900:-1 gene:gene27344 transcript:rna27344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eukaryotic translation initiation factor 3 subunit K MGREAAAAAEKGQGGTAYTVEQLVAFNRYNPDILPDLENYVNDQVSSQTYSLESNLCLLRLYQFESEKMSSQIVARILVKALMAMPAPDFSLCLFLIPERVQMEEQFKTLIVLSHYLETGRFRQFWDEASKSRHIVEAVPGFEQAIQGYAIHVLSLTYQKIPRTVLAEAINVEGLSLDKFLEHQVENSGWVIEKSQGKGQLIVLPRNEFNDPILKKNTADSVPLEHVTRIFPILS >RHN52994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40918736:40925214:1 gene:gene37737 transcript:rna37737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CK1-CK1 family MDRIIGDKFKLGRKIGSGSFGEIYLATHIDTFEIVAVKIETGKTKHPQLLYEAKLYNILQGGSGIPSIRWSGVDGEDNVLVMDLLGPSLEDLFVYCGRKFSLKTVLMLADQMMTRIEYVHSKGFLHRDIKPDNFLMGLGRKANLVYVIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQNLKAATKKQKYDKICEKKVSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRELFAREGYKFDYVFDWTILKYQQSQKSRAQPHISPVPVASNNRAMPMDIDNQQGNVSAEQIRSDNATSSGVKFQFKSSGGKNLASENPLGKNIFGEATIPSTSHSFEASRRNSLKPSASTEAANHRHGQGSSKIGPSSSRMNVLHISSAK >RHN44716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7667398:7670702:1 gene:gene38869 transcript:rna38869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTKILMLFYAMIVFHSIFLVASYTDECSTDADCEYILCLFPIIKRCIHNHCKCVPMGSIEPMSTIPNGVHKFHIINN >RHN47019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36506932:36507909:1 gene:gene41565 transcript:rna41565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAATDQTYLAEDVIDDFQCEALRKHIVNTSGSIGRKVQRYFSSSNFLVYRLKMAHQIKHINKKLNKVAADRHNFGLRINDSDNHVVKRRELTHSHVIDSDVIGRENDKHKIIDLLLQDSDHKILSVIPIVGIGGLGKTTLAKTVFNDKSLDETFPLKMWVCVSDDFELQHLLVKIINSASVSDSAPNVIHQANIKNLDVQQLQSHLRNTLAGKKFFLVLDDVWNEDHVKWIEVKNLLQVGDEGSKVLVTTRSHSIAEMMCTNTSYTLEGLSRKDSLSVFVKWAFKEGEDKKYPKLIEIGKEIVQKCGGLPLALRTLGSSLFLIKR >RHN44758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8174742:8175824:-1 gene:gene38919 transcript:rna38919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MQSSSPKSHVLSFIFTLFICLSYLNLFISAQACNHNDKNVLLEIKSHFGNNASVFTTWDPNTNCCQNWTGIACDTNGRVNSLIVINADDINNEFPSSVGNLPFLQVLQFSALPHVSGEIPSSLAKLSNLVHLDLSLNNLTGPIPSFLTLLKGVNFIDFSSNSLSGPIPSSLSQLTNLISINFGANKLSGPIPPSLGRLKRLTGLMLYANRFSGSIPSSLGQLSRLNNLNLRANHLTGTIPNSLSKLTNLNLLVLDTNRLSGPIPSFLGRLKKLTLIVLANNRFSGPIPASLGNLPALSLLDVSHNKLTGSIPEFPKSLTNLDVSFNRLCGPIPKRWFNFDSHVFYHNKCLCGAPLSPCKA >RHN69643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45187966:45190556:1 gene:gene18138 transcript:rna18138 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFTAPSTLQVLYILLDYRTKGVTTPEWKEVTVRSSTKILFQVKNASKSRKFKLSSVCSMHLSA >RHN65224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:352148:353528:-1 gene:gene13043 transcript:rna13043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronoxylan 4-O-methyltransferase MRSKTQLSSNLKLVIISLVFLFLFIMLFKSSIFSFTQPLTTTSNTNNDSKISSQETEKTTKSECPSLPITPTCTKNPPSLANALIHYATTKITPQQTIQEISVSAKVLQKKSPCNFLVFGLGHDSLMWTSLNYGGRTVFLEEDKTWIEQIQSKFPTLESYHVVYDTKVHQSDELMKIGMEQEDCKKVSDPRFSKCGLALKGFPSEIYDVEWDVIMVDAPTGYFDGAPGRMSAIYTAGLIARNRENGDTDVFVHDVDRKVEDQFSKAFLCEGYLREQEGRIRHFNIPSHRARLGRPYCP >RHN55574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19619428:19622520:-1 gene:gene30775 transcript:rna30775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MMEVVIIAAAATLGNLLNGWESSTIAGFFFLLFQSFLICLYSIFSLKCVFASCAGAMTYIKQEFELEKNPTLEGLIVSVSFITATVVTMFSGTISDLVGRRPMLITSSVMYIIGGLVMLWARNVTVILLSRIIKGAAVALAVTFNPLYISEIAPPDIRGQLNTLPQFSCSVGMFLAYILVFIISLMPSPSWRVMLSVISIPSVVYFLLTVFYLPESPRWLVSKGRIVEAEKVLKRLRRVNDVSGELALLAEGLSPGGEDMSIEEYVVSPASEILVNKEDGKDYIKLYGANEEVTMVAQVNGQGSMLSRSMLSMHESMASRSILSQQGSISSQTASNFKDPIVNLFGSLHESTLIENSRLNSMLINNANSISSTGDLESSPFGTSDSLRAPLNPFHGNADRAYGSKDMLSMRSNSSLVHGNDVEIPRNTDIGGGWKLVYKSTDDAMGGKREGLQRVYMHVDPSAAAVSQSPHISVVSTSGNDIDMAMDSGEAFQAAGIVSRSALSMSEVVAKGPKWRTLLEPGVKRALIVGIGLQILQQAAGINGFLFYAPQILEQAGVGSLLSNLGISSISASFLVNIITSFCMLPCIAISVRLMDVAGRRSIMLYTIPILIICLLVLVLRQFFQLNPVLDASISAISVVVYESVFCMGLAIIPAIICSEIFPTSVRGICISLTSLTNWTCMLVVTLTFPYLLQLLSLGGVFSLFVGGCISSWIFVYLKVPETKGMPLEIITEFFAIGAKPGTDPAEFGMED >RHN58294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:556824:557285:-1 gene:gene20192 transcript:rna20192 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLIVVHYLNCFEPSLFSTITLSQPLFLSSHFEEKRASLLIMADFEEQQRKIDDAMAFLVRRQFCAEIYTADNINRAGFTTNQFMKHMQVLTCIEEADELVQVLELGSDDGKFWISPETCKLAQLVRFVGWLKTYKGKTACQWLDTLGSSE >RHN44783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8420274:8424694:1 gene:gene38945 transcript:rna38945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small subunit of serine palmitoyltransferase MNWVQRKVHLYNVTFGLFMLDWWERCSFNILVIVLMCFVVRYITQFFKRYILCII >RHN72160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5475769:5476380:-1 gene:gene7841 transcript:rna7841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MFPWLSVKASLRDTHLSGVDFDNNLVNRLVELFHRKYKKDLNISENSKALWRLRSACDKPCKSINPYEVVVYDASVQAAILSVEGDKKIQDLLLLDVIPHSLGVETDGGVMFVLIRKNTLIPTKKKSVFATVSDNQNSVLISV >RHN43706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47860219:47864811:-1 gene:gene50322 transcript:rna50322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyl-tRNA hydrolase MNPSILWKGIMNVGACSMSRFQFPIRHFTKPLISCNMSLLSVPNSSASSISTTIDSTKPKQNEPWLIVGLGNPGKKFNGTRHNVGFEMVDAIAEAEGISMSSVSFKALFGKGYIGDVPVILAKPQTFMNLSGESVGAIVSYYKIPLKQVLVIFDDLDLPFAKLRLLPKGGHGGHNGMKSVINHLKGNSGFPRLRIGIGRPPGKMDPVAFVLRPFTKQEKEELNFTLQDGLEAVRIILLDGFDKGATFVNSAKKMEQTG >RHN47796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42571160:42578256:1 gene:gene42438 transcript:rna42438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain, ribonuclease H-like protein MAVTNTSPSWKFHVFLSFRGVETRNKFTDHLYAAFIRTGLTVFKDDTELQRGQLIAPELLNSIEQSLSSVVILSPDYASSRWCLDELLTILRSRIDFGRFVFPVFYDVDPTDVRHQRGSFAEAFVKHGERFGDDSEKVRMWREALSQVADLSGWSSKARRETELIEEIVADVWKKLQPKFSHYDDELVGIDSRINNMCSLLRTDSEEIRFEGIWGMGGIGKTTLAKHIYKKIHNQFDVSCFLENVRELSSERDGLLCLQRKLLSHLKISSMRIESLDQGKEIIRNLLFNKKVLLVLDDLSSDIQLENLAGKQWFGPGSRVIITTRDKHLLVSLSVCEIYDAQILNSHESLQLFSQKAFRSGKPEEGFVELSKQAVQCAGGIPLALKVLGSFLCGRKASVWEDALKMLQQDLQNDIYKTLRISYDGLRDMEKAIFLDIACFFKGSRKDHVTQILENCGLNPLIGIDVLIEKSLITYDGWHLGMHDLLQEMGRNIVLHESLNDAGKQSRLWSLKDIDQVLRNNKGTESTQAVVLNLSEAFEASWNPEAFAKMGNLRLLMILNKLQLQHGLKCLPSGLKVLVWKECPLESLPIGDQSDELVDLDMCHSKIKHLWKGTKLLGNLKTINLKNSKYLHQTPDFTGIPNLEKLDLEGCINLVEVHASLGLLKKISYVTLEDCKNLKSLPGKLEMNSLKRLILTGCTSVRKLPDFGESMTNLSTLALDEIPLAELPPTIGYLTGLNSLLLRDCKNIYSLPDTFSKLKSLKRLNLSGCSKFSKLPDNLHENEALECLNVSNTAIREVPSSIVHLKNLISLLFHGCKGLARNSESSLLPLGRIFGFGTHPTPKKLILPSFSGLSSLKKLDLSYCNLYDESIPDDLGCLSSLVTLDISGNNFVNLRDGCISKLLKLERLVLSSCQNLQSLPNLPPNVHFVNTSDCSSLKPLSDPQEIWGHLASFAFDKLQDANQIKTLLVGPGNEIPSTFFYQNYFDRDIQYLKDNYIWADSTVSISINMAQLRQRYDRSEWWGLLVSLVIEDVVSSTPSQDYRVGWISKVPATNHILRQLFQKLLEHGFISGVPNSKHPHLLVLYIPVPAAFRWSYVQDKFQLIFFSSSLKSKLVIKKCGWRILCKEDAQLMRTKLSECSISSAKQYVSRGNCLSSSSSSSSSDYLITSWRWISRLKVPRRHKTFLLAVLCERLPTIAKCRFCSMEGATTIHVLRDCRRACAIWVQMVPPQMQDEFFSISLHDWMHRFLRRSWLPNIREDYDADCLKFSVTTFLLWKDGGDNSIPEGDSLTDNGLYSIIKSLVQEHTSPTRRFIKLNVDGCCMDNPRSAGYGGLFRDVDGNWLGGFYGSLGFTTKMKAELYAICQGLITAWDFGYRNILVETDYLEAIKHIEEANIEHDAYGSLVADIRSLMQRNWSLNLDHSLKEDNACADILSRIGAEQHEVYCFHAYPPQQLQLALMADALHVQLPCM >RHN76437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50232842:50246169:1 gene:gene12763 transcript:rna12763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine N(alpha)-acetyltransferase NatB MGASLPPKEANLFKLIVKSYETKQYKKGLKAADAILKKFPDHGETLSMKGLTLNCMDRKSEAYELVRQGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLTLKSNHRMNWIGFAVSHHLNSNASKAIEILEAYEGTLEDDYPPENERIEHGEMILYKVSLLEECGSFERGLEELQKKESKIVDKLGYKEQEVSLIVKLGRLEEGEKLYQALLSMNPDNYRYYEGLQRCVGLYSENGQFSPDEIDRLDTLYKTLGQQFKRSSAVKRIPLDFLQGDRFREAADSYIRPLLTKGVPSLFSDLSSLYNHPGKADILEQIILELENSIRTTGQYPGRVEKEPPSTFLWTLFLLAQHYDRRGQYEIALSKINEAIEHTPTVIDLYSAKSRILKHAGDLAAAAAFADEARCMDLGDRYVNSDCVKRMLQADQVVLAEKTAVLFTKDGDQHNNLHDMQCMWYELASAESYFRQGDLGLSLKKFLAVEKHYADITEDQFDFHSYCLRKMTLRTYVEMLQFQDRLHSHAYFRKAAAGAIRCYIKLHDSPPKSTAEEDDEMSKLPPAQKKKLKQKQRKAEARAKKEAEEKNEESSVSGISKSGKRHTKPVDPDPRGEKLLQVEDPLLEATKYLKLLLKNSPDSLETHLLSFELYMRKQKILLAFQALKQLLRLDAEHPDSHRCLIKFFHKVGSMNAPVTDSEKLVWSVLEVERQTISQLHGKSLLEANSLFLEKHEGSMMHRAAFGEMMYILDPNRRAEAVKLIEGSTNNPVSSNGALGPIREWTLKDCIAVHKLLGSVLDDQDAALRWKVRCAEFFPYSTYFEGSQSSASPNSALNQICKTTINGSSSHSPGDNIVESVTSNGKLASFKDLTI >RHN48695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49547182:49553232:-1 gene:gene43438 transcript:rna43438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rho GTPase activation protein MTEVLQFPSPSSCSSSPFNDVSHSQHHQTNSSPFNNSDNETVMTHYQIQQQQQQQQQSEDEEEEEKDQLSILTLLIATFRKSLIGCTNTGSELSSSMEIGWPSNVRHVAHVTFDRFHGFLGLPVEFEPEVPTRPPSASTSVFGVSTESMQLSFDARGNSVPTILLLMQRHLYAQGGLQAEGIFRINAENSQEEFVREQLNRGVVPNGIDVHCLAGLIKAWFRELPTGILDPLSPEQVMQSQTEEECAQLVRLLPATESALLDWAVNLMADVAQMEHLNKMNARNIAMVFAPNMTHMVDPLTALMYAVQVMNFLKTLVAMTLKEREESITKSNPSSNLNSFDDDGHQSDSPLLFKDESEYGNDYSDEDTVFVTAEPSQQSPTHLFKDDCETESGSKSLQTSTENFIPSGNRLLVDSCPCGVVSQICSMAIGDQTKNCKSLQLNTSDTDKCSAGSVEKNRGIALIGRINSRSELAEAWR >RHN74007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23450137:23450676:1 gene:gene9932 transcript:rna9932 gene_biotype:protein_coding transcript_biotype:protein_coding MNSERAFVQFSKREEAEAALRTPDSVMGNRFINLGGLIVIVSLVKILLLVGEWYNCNSPRATTHFRSISSNWH >RHN54864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13044670:13046092:1 gene:gene29959 transcript:rna29959 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMESPDLSPPQIDTSRPSLGFPLGTALLLLIIFSLSGIFSCCYHWEKFRSLHQSLSHLEAAQAHTQSPPSKSKPHSTETEGEKKGQSLTVLMPGDEVPKFIAMPCPCQHSRLEEIIVNIEKPSSKPP >RHN75316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41197546:41198513:-1 gene:gene11497 transcript:rna11497 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRAFGCDFDIIIPWDWKNIDYPLSSPIPECFHDQFGGDSIIRIVRSYLDVNWLGFVFCVAFEVNNLATANSGSSHGPSSSSLPHPFYLSFESEHTEERFDMPLSLELDKIDGSKHLWIIYISRDHCHFVETGSHITFKACPGLVIEKWGLRVLVGKEDAEKSDHIHNLLDRFETLAIMFRNQKYFVGFEESDFILDYVEESISWSGPKIQLPYNWMATIRKRKLRVLESSQKKLIFLI >RHN77820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9605819:9609465:1 gene:gene1313 transcript:rna1313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MECPSQKIMDNISEKRCSGLEVGIGSNMNYEDIEKKIPSCCLKAKVSVPKLEGKCHSTVVSGWFSQSQSSSGEVVYFNNPMWPGEAHSIKVEKKLYEEKSNFQEVLVFESLTYGKVLVLDGIVQLTEKDECAYQEMITHLPLCSIQSPKTVLVVGGGDGGVLREVCRHSSVTHVDICEIDKMVIDVSKKYFPELAVGFEDPRVHLHVGDAVEFLRFTPEGKYDAIIVDSSDPVGPAQELVEKPFFVTLAKALRPGGVLCNMAESMWLHTHLIQDMISICQQTFASVRYAWTTVPTYPSGVIGFILCSKEGPPVDFVNPINPIEIFEGTAKYTRELRFYNSEMHKAAFALPAFLKREVKLLRDTASIAHTNSNGC >RHN51055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13441114:13441895:-1 gene:gene35425 transcript:rna35425 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFSDLYFQRIQSIFQTFTNNHHIFNFNPNFLFFLFTQRKFQRISQFIHPPSTLLNLLNLPQKSTTILSLPLLRLLQNPLVQ >RHN43642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47430616:47434607:-1 gene:gene50251 transcript:rna50251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MDDILTNLLRLTSYISDRFLKFIEDLVLRDAVRYTENFGATPLGNSSAHNSKRYYTRSTSLQPSQVGSENHEIYGRRVINNNSELLVDTRSIAVNASYVSAAVPSLLLKGLTLPFLGLQYAWSLGMASCRYSFSCIRCAQLQVHRITSRVLKTLRGSSDDIGWLQHAPGMPPVHDGSSRFLELLSDIRNGKDSIPSSFVYLLIPGLFSNHGPLYFVATKRFFSKMGLACHIAKVHSEASVEHNAMEIKQYIEEIYWGSGKPVMLLGHSKGGIDAAAALSLYWSDLKGKVAGLALVQSPYGGTPIASDILREGQIGDKETRRILELIICKIIKGDIRALEDLTYEKRKDFIMKHKLPLDIPLISFRSEASITPSVLATMTQIAHAELPRLILPKFGSKVSDQFVESGRQVPVMVPVSAAMAAFALHLQLRYGEKSDGVVTCRDAEVPGSVVVRPNMKLDHAWMVYSSNSKKKKSSEPDAREMCQAIFTLLVELGKTEREVEQVL >RHN73992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23294042:23297854:-1 gene:gene9913 transcript:rna9913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metallo-dependent phosphatase MEKTSCACTLLIQLCLCCALYIALNLGQPQTLLNTNATQQDNDIYFISVNGGFRTINQQLHLLNMMEKVAKAYKASFVVCTSKLGGDDPLMQNATLRFPSLRLPWYTTYTSRAAKSGGEQVGCFEQKINLSNGKTLDVIGVDTQALQDSILSRSLNGNKNNQLHWLLRTLQANSSNWRIVVGYQPLLICGQNKENTKKTKAFEYLHHLFTKFAVDVYLSGQDCTIQVPDNRVAYIGNPGLNEKKSYSVFLNGKSVFSRELANGFLLHQVSSTQIVTYYVSLAGEVAFKTVLQEKSTEVM >RHN72644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9362551:9367420:-1 gene:gene8388 transcript:rna8388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MASDVPPPELLEEILSRLPVKSLLQFRSTSKSLKSIIDSHHFINLHLKNSLSRSLIIQHKFDLYQLQIDDHDDFSKSMMIPLNHPFTTKITPVTRNRTRTMALIGSCNGLLAISHGQLAFNHPNNPNEITIWNPSTRKHRMIPFLPLPIPDIMESDNINRCCLCVHGFGFDPLTGDYKLLRISWLASPHNSFYDSHVRLFSSITNSWKIIPSMPYSLIYAQFMGVFVENSLHWIMTKKLGGLHPSLIVAFNLTLEIFNVVPLPDVHNSNESFEIDVDVLGGCLCMTYYETTKVDVWVMKEYGSRDSWCKLFTMVKSCYDLPLKSLRPLGYSSDGKKVLLRVDAEKLFWYDLKSAQVSYVEGIPDFHGVMFCVGSLVPPSFPVDNSKKKENRTSKSMRRDDFLSRGFKLRL >RHN48235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45997794:46004305:1 gene:gene42927 transcript:rna42927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MGSRDKHRGKRLQKIMKCFCSGDMVKEEETMVPNSSESLATKDYFSSTASGISGQDGQVERRLDSGNIEQAESSLRESGILNYEEARALLGRYEYQEGNIEAALHVFEGINIAALTPKIKVFLAKSTERPKRRSQNYTTPPMSIHTAGLLLEAIFLKAKCLQVLARFEESAQTCKIILDLIESSLPEGLPGNFGSECKLQETLSKAVELLPELWKLADCPREVILSYRNALLHRWNLDAGTTAKIQKEFAIFLLYSGGEEIPSDLRSHMDSSFVPRNNIEEAILLLMILQRKIALNKIESDPSIMEHLSFSLSVSGDLTALANQWEELLPVTINRRERYHALALCYYGANKDLVALNLLKKLLSSSEDPKHVPALLMASKICCENPDLAKDGVSYACRARENLFEKCNQLESLANCLLGVSLSTYSKFAVSNSERFERQSEALHSLETASTMTKMKEPLILYYLSLECAEQRKLDSALCYAERFLSLEAGSNIRGWLLLARILSAQKQFLDAEGIVDAALDRTGIWDQGDLLRTKAKLQIAQEKLPSAIETYTQLLGILLVQRKTFGSRTKLYKDNRDHARNLEVEIWHDLAYIYIRLSRWHDAEACLSKSKAIKLHSASRCHVIGTMLEAKGLYKEALKAFRDALDLDPGHIPSLISTAVVLRRDGTQSNPAIRSYLMEALRLNSSNASAWYHLGILHKAEGRMSEAAECFQEANSLEESEPVEPFR >RHN62963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47078518:47081722:1 gene:gene25604 transcript:rna25604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MYSMLYSKLHCNCNNKQLTNMAFTISYFFLAYLLFPFQCSSSLSSLNKGSSLSVEKYAEDVIVSSNGMFSAGFFQVGENAFSFGIWFTELQPHTHNPATIVWTANRDNPVNGKLSKLSLLNTGNILLLDAGQNNIWSSNTESNTPLELYLKEDGNLVLRELQGTTILWQSYDFPTNTLLPNQPLTRYTKLGSSRSQSNHSSGFYKLFFDDNNIIRLEYDGPDVSSTYWPPPWLLSWQAGRFNYNSSRIALLDSHGKFISSDNYIFSTYDYGTVMQRRLTMDSDGNIRVYSRKSLLENWYVSWQVIYDTCTIHGICGENSTCSYHPKKGKKCSCLQGYKVKNHNDWSYGCEPMFDFTCNRSHSTFLKLPGFELYGYDINFVENSTYKNCETLCLQDCNCKGFQHTFERDQGIFKCYTKLNLLNGRYSPSFRGTTYLRLPKGNNFTKQESMSVEDRVCLVQIHKDYAKKPINNTVKFFLWLSIAIGCFELVCFFVVFVFLFKTTKKTTSDQNSYHHTLLGFRRYSYSELKVATKNFSNEIGKGGGGVVYLGTLPDQRQAAIKRLNEANQGEGEFLAEVSIIGRLNHMNLIEMWGYCVEGKHRILVYEYMKNDSLAENLSSKTNMLDWSKRYDIALGTARVLAYLHEECLEWILHCDIKPQNILLDSNYQPKLADFGLSKLRNRNNVNSNSEFSMIRGTRGYMAPEWVFNLPITSKVDVYSYGIVLLEMITGKRSTMMNIEGVDGEVAYNGRLVTWVREKKRSACWVEEIMDPSIVINCDLIKMEILAKVALECVEEDRDIRPNMSQVVEMLESNQRLVE >RHN69662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45352899:45353258:-1 gene:gene18161 transcript:rna18161 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNVGSGSSGSKRSHEDSVGSSARPMGREAAKKKGKMKSKGETLEKVEKEWVQFKELKEQEIEQLKELNLVKQQKNKLLQEKTQAKKMKMYLKLRDEEHLDDRKKELLEKLERELFEN >RHN54191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7688813:7689220:1 gene:gene29189 transcript:rna29189 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDEIKESVSQSASFRFWSALYMFVCAVFFPFLSGYMSAINE >RHN69877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47053733:47059031:-1 gene:gene18406 transcript:rna18406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative meiosis arrest female protein MRHLLQKNLLFFTSSSSSFQPRSLLLLRFHLCEFSSSPAPSYSHWKRLDDESRNVRVSVWWDFENCSVPVGLNVSRVAPSITDAVRANGIKGPVHITAFGDVMQLSKSNQESLAFTGIHLTHIPNGGKNSADRSLLIDLMYWVSQNPPPAHLFLISGDGDFAGILHRLRMNNYNILLAIPGKAPDVLRSAATIMWQWTSLLKGENLTGKHFNHPPDGQFGSWYGNSKVPLENPFSATGQSTSSQNVQIVEINEPSSDLKLAEGVPKSVIRQVKDILSSHPNGISAIDLRAELAKRGVILGRSMFGYRRLSRFLSSIPDVHLQNLGDGNFCVCLIPSESPEPSEKSIVPSTTYAVKNEEKDYTTTPKLHGEDKELDGDKHRTPSMSSSHERIVEDDSKSFQSFPSQEKPIGEDVSHKSWGYTTIPKLHSEDKDTGKDGPPSMSSLHEKTIKDDSKSFLSTPSQGKPIEEDVPCKSSGRSEKVEDVSNVQLSESQLSPKDNDGSKTETGSFKVRSKKFSEDKIVRSEDANPDVLEKYTASRDPSAGIDNTMVESNDRANCEFGKSIARNKHVNQPRKKVDDHSPYSSAAEDSLVDKRPDGRAETYSERSTLFSWIKSWWPFSKSNVKADNLTAHQNKVDSNFEDPRLTELDQTTGNVEERKPLESHQDVSHSGKPEDSKLSELDQTASDLEEAKPLEPHHQDISRSGKPKDSKFSELDQTASNLEEAKPLEPHQDAGHSGKPELFSLDSFWNDMESFVFTPKGSLLISQSKSREDLAHKLQKHGPMVLKSLSENYIFQLVELLVAEKRWLEESTSQAFPFRLTHSVQKSALSGHTNGANGLRSLFLSKTSQPNLQKSFEHDGEKPTQSIQRTGVSRPATEIKYTEKSRSDILQDCQRLVTDILKENPEGYNLASFRKQFAYRYGYTLDVKKLGYQKISYLIQIMHGVKLESYCSKKSKNSESTYMYPAPAVCASDSETSIFKTHAANANHEFNSDDELSDTATKEDNMESPWEELGPVSAKKPSQNDLESNSTLKAIEMDTPKHPDYEPIVSDDDSSESDEDSSCLTQPEELGKPKYDEQDSSLIQTLDIFHSSKEGANSCDDLLNMLDSSLNSKQGFVSKNTSGNHREKQRSQRYCFVDDPGLPDNDKCIGGLPGNSKKEDSANMQN >RHN53459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1770493:1770954:-1 gene:gene28368 transcript:rna28368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MNKIFLLNVIRRASLSATASQAASKSSDVPKGYLAVNVGEKQKRFVIPISYLNQPSFQYLLSQAEEEFGYDHPMGGLTIPCTEDAFQHITSCLNGL >RHN52812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39096181:39102438:1 gene:gene37527 transcript:rna37527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(A)-specific ribonuclease MPRRKRENLPLESSTGNYPSKRRKHSHFESSSSNRLASSSSSSRKWVFSSEDYSDCADTIVVVSYNILGVENASNHLDLYSNIPRRFLDWGRRKRLILEEIDSYNASILCFQEVDHFDDLDDLFQNNGFKSVYKGRTGEANDGCAIFWKDKLFSLLHQEDIEFQKFGMRHNVAQLCVLEVNNNNPESDTCKLTAEEQSTKNKRFVIGNIHVLFNPNRGDIKLGQVRLLIDKAYKLSQEWGDIPVILAGDLNSVPQSAIYKFLSSSKLDIQSHDRRNMSGQLEIRPNHREFRSNISMSVSRQLLHKWSAEELILATGAKGVTCLQHQLKLRSAYSGVPGKHRTRDDIGEPLATSYHSKFMGTVDYIWHSEELTPVRVLETLPVDVLRRTRGLPTEKWGSDHLAVVCELAFAKSDESSESSDLPSDESSESSDIP >RHN63219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49455306:49462598:1 gene:gene25895 transcript:rna25895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA oxidase MEGEDHLAFERNKAEFDVDAMKIVWAGSAHALEVSDRMSRLVASDPAFRKDHRPMLGRKELFKSTLKKAAYAWKRIIELRLTEEEASMLRSFVDEPAFTDLHWGMFIPAIKGQGTEEQQQKWLPLAQRMQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTLTSSKWWPGGLGKVSTHAVVYARLITDGRDHGVHGFIVQLRSLDDHLPLPGITVGDIGMKFGNGAYNTMDNGVLRFEHVRIPRDQMLMRVSQVTREGKYVQSNVPRQLVYGTMVYVRQTIVSDASTAMSRAVCIAARYSAVRRQFGGNNGSLESQVIDYKTQQARLFPLLASAYAFRFVGEWLKWLYTDVMKRLQANDFSTLPEAHACTAGLKSLTTSATSDGIEECRKLCGGHGYLCSSGLPELFAVYVPTCTYEGDNIVLLLQVARHLMKVISRLGSGKKPVGTTAYMGRVEQLLEARSDVQKAEDWLNPNTVLRAFEARAARMSVACAKNLTKFSNPEEGFQELLADLVDAARAHCQLIVVSKFIEKLQQDIPGKGVKRQLEILCNIYALFHLHKHLGDFLSTGCITPKQGSLANDQLRSLYSQVRPNAIALVDAFNYTDHYLSSVLGRYDGNVYPKLYEEAWKDPLNDSVVPDGFQEYIRPMLKQQLRNARL >RHN61897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39199339:39204727:1 gene:gene24409 transcript:rna24409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative toll-like receptor, P-loop containing nucleoside triphosphate hydrolase MTMACNSIRSISSPKMKWKYDVFVSFRGGDTRNNFTDHLFGTLHKKGIVTFRDDTKLKKGENISSELLQAIEGSRILIVIFSRNYASSTWCLKELVKIAECIEVTGQIVLPIFYDVDPSEVRKQSGDYEKAFRDHEERFKGNLEKVQRWRGALAQVAELSGWDVRDQPQYAEIGKIIKEVTCLLSHKSSTLPNDIVGMHSRVEELEKLLIMDSDDDVRVVGICGMGGIGKTTLATALYDRISNQFDACCFIEDVSKIYGDHGPIGVQKQLLCQTLNEENLQICNLFMASNLIRTRLCQIKSLIVLDNVDQVEQLDKLAMTREWLGTGSRIIIISRDVHILREHGVDEVYKVRLLDHNNALQLFCRKAFKSDDIMSGYIYLTDDALTYANGLPLAIKVLGSFLYGRDVSEWRSALARLRENPRTDIMDVLRISFDGLEDTEKDIFLDIACFFYKKSETYVNKVLDFRGFHPEIGLRVLVDKSFITCENGRIFMHDLFKELGKSIVREKSPKEPRKWNRMWDYKDVHNVISENMATSNLEAIVLRRYPENIEEIQGMTTLRTEALAQMSRLKLLMLWNLNFSGSLNFLSSHLGYLCWDKYPFTCLPSSFQPDKLVELVLPRSNIRQLWEGTKCLHNLTHIDLSHSENLIMMPDFLEIPNLELLDLEGCIKLQQIDPSIGILRRLSKLNLKNCRNLVSIPSNIFGLSSLKHLNLSGCPNLLNNKMLERQRQNEHLEMLDIKECTTQYQPTSFIYKVLKPHFPFSFFRKREDSVGLLLPSLSCLSCLQYLDLSFCNLFQIPNAIGRLHCLEILNVGGNNFVTLPSSIKELSKLRQLNLEHCKQLKYLPELSSNTVLPVGKTFFGRYVAGLYIFDCPGLIEMECCYRMAFSWMIQLLKVHMQSYLPMGQINFVIPKTQIPTWFNKQNVGSSISIDPSPVMHDNLIGVACCLTFVARDGPTNLSETGQYQDNPTNLGAGWSPYIGFGFKSKHCGRFPIIPIHLGKDLVTVDLDHLLLILFTREEFINYYMNYITKGLHDISGIELTAVVEQPLGLHLEVKNCGYHWIFKEDLEHLNPQMMYSGNSSVQP >RHN47873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43201160:43203080:-1 gene:gene42522 transcript:rna42522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGTPEFPDLGKHCSVSDCRLIDFLPFTCDRCNQVYCLGHRSYIEHNCTKPNKQDVTVVICPLCAKGVRLIPDQDPNIVWEHHVNTDCDPSNYEKATKKKKCPVPGCRETLVFSNTIKCRDCEVDHCLKHRFGPDHKCPGPKKLETSFSFMSLWNMSSGKEVSKPNLSSTTSSKWTSSLLDMASKLSGIGQSSGSGTSNNQLEKCPLCDAKFSSAASLVDHAKKVHQRSGGNQYGAKKVSISACPKCSKGFLDPVSLVEHVERDHGGCS >RHN41517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30719789:30723877:1 gene:gene47850 transcript:rna47850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MGKPVSETLSFSVFTPYYSETVLYSTSELQKENEDGISTLFYLQKIFPELNFALFTQKNTNTMLYFGIFKGTMTTTQSYSNETRRKCSNIFQSLFHSSTIH >RHN64888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62697153:62698849:-1 gene:gene27760 transcript:rna27760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endonuclease/exonuclease/phosphatase MDELSARARRRSALLTWQHIASLPPSLPVVYCGVFNTQKESTTGRFLLGRSREHGVVGDMRDAWPSARVRKNVSLIRTYHGFKGDKQGTVEYLKLIFRALCLCWDRQTQDLHVDWILFRGRSLIPVSCEVVNDNIDGCYPSSHFPIFSEFMLPRTVRMLESPVQEDN >RHN62057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40366504:40373310:1 gene:gene24587 transcript:rna24587 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCCCSLNLNLNLRDRIQPWLRDYDSLQSFAIILIYIQIGCALLGSLGASYNGVSLINLAISLFALVAIESSSQSLGRTYAFLLFCSILLDISWFILFTHEIWNISSDDYAKFFIFSVKLTLAMQIIGFIVRLSSSLLWIQIYRLGASYVDTASRAADFDLRSSFLSPVTPAVARQISNSNEILGGSIYDPAYYSSLFEDSQENKSTCGMPNHDITQNGSTSATGVSPKTSTEISFQASNVGRECINHKMELV >RHN55759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22712002:22712842:-1 gene:gene31002 transcript:rna31002 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIFECVVHHAGDFSSFMDPNYVGPVETLDCEPDVFSYFALLATLKRLGYVSLKSLWYFDPSMEDGMIPLNSDVGCRRMQSIAYEFDRVHLYAVHPISQPDVVALDPLIEYPCMAPPVVNETNIGPNDVGPTGEGKDADCGVSGPTSEGKDADDGVSGPNSDVNGNGPELDLNDLGPMIEEDDLFGEHENGPGLDEGGHNGLGEDEYGLGNEEGDVNVGSDREDSALNVHFNDSDVERMKPL >RHN73281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15105007:15106330:1 gene:gene9079 transcript:rna9079 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSREPIPESSKGWKSHPLGVLKDARCFEDGHTCWGLIVRNHEGAVITAKRKIENVSMTPILAEVVGL >RHN43979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:479907:480257:1 gene:gene38022 transcript:rna38022 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIHRLVVGDSFGIFCLALASRTSFSSLATSHPTEVRDFWRKEQSQDLTRSVDILTTKIGKGNSEDVILQSLISDGAINVIHLSENLINRLLFRYKDDWKSALAVFRWARFTFKL >RHN45382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:18974874:18980036:1 gene:gene39688 transcript:rna39688 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTKQVKKTKELEPLPSFSIGLTQMEQEERNVTDGDKNKKQKTKEAKGKEKKQHIKMSKKKKQENEEQLEEERKEEAKSKEKNEGSSDEENAKQRLRHKMRIPNIYTSGVGCIIIKAGGPWPWNALSFKAVEHFVVQSGD >RHN53894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5095204:5102703:1 gene:gene28855 transcript:rna28855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative branched-chain-amino-acid transaminase MESSAALTSIRLTSSIRPSRFSSPFLSPAFPPKPTSLSLKLQKQFPFTSQNVLQASNALRPSASVSASEAIELADIDWDNLGFGLQPTDYMYFMKCDQGGTFSKGELKRFGNIELNPSAGVLNYGQGLFEGLKAYRKDDGNILLFRPEENALRMKTGAERMCMPSPSVEQFVEAVKDTVLANKRWIPPQGKGSLYIRPLLMGSGAVLGLAPAPEYTFLIYVSPVGNYFKEGLAPINLIVESELHRATPGGTGGVKTIGNYAAVLKAQSAAKAKGYSDVLYLDCVHKRYLEEVSSCNIFVVKGNVISTPSIKGTILPGITRKSIIDVARSQGFEVEERLVAVDELLEADEVFCTGTAVVVSPVGSITYLGEKKSYGDGVGAVSQQLYTGLTRLQMGLAEDNMNWTVELR >RHN79279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27026500:27027042:-1 gene:gene3048 transcript:rna3048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRRKIKIERVKDSNTRQVTFSKRRTDLFKKANELSILCGAEVAIVVFSPGDNPYSFGHPGVDVVAGKYLELKPKRRNSEGNPSSDSSNMEKLNQELAELLAQIQEGEKKVETHDEILKQNDVAKLSELKELRDSYKDLQDCIKLRLSDIEISECMMLLAQEDPVVGRKAKLSKKKRRKN >RHN53606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2824113:2825104:-1 gene:gene28531 transcript:rna28531 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFRYKHKNLHKNVAEDEHSNLQKTKTLKVILNIIRPKYPNELHGKWVFILPSFSLLLFGVDVGDELFWIHLIRFDCTMSS >RHN70889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54777122:54777673:-1 gene:gene19521 transcript:rna19521 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQQRLRKAVSDVSQEINKYYSLEVERKFDDIEELEEAECQCCGMKEECSKVYMNEVEEDYCGKWVCGLCCEAVKDKVGRSTKVITLVEALKSQMDFSQEYNATIRLNPKLSLTLSMRDIAKRSLDKRNCKGLGITKLTRSSSYP >RHN50718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9736786:9740851:-1 gene:gene35041 transcript:rna35041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MQLGMASALETLCGQAYGAKQYSMLGVYLQRSWIVLFSTTILLLPLYIFTTPILEAFGQDKKIAQVAGTISLWSIGAAFSMCVSFTCQTFLQAQSKNVIIAYLAAFSVSIHLFLSWLLTVKYQFGLSGALTSLLLGVWLPNIGQLIFIMKKCPDTWKGFSILAFKDLWPVVKLSVSSGVMLCLEVWYSTILILLTGNMKDAEVALNSLSICLNISGWELMIALGFFAAAGVRVANELGRGSSRDAKFSIKISVLTSFAIGCIFFFVFLFLKERLAYIFTTNPDVADAVGDLSSLLAFSMLLNSVQPVLSGVSVGAGWQRIVAYVNIGCYYLIGIPVGVVIGVVLNFHVKGIWIGMLFGTFVQTIVLIIITLKTDWNKQVEIAQQRVNRWATVDNQESNDSGTSLLANQE >RHN65688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4386707:4387318:1 gene:gene13560 transcript:rna13560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MSIRPLTIFIIAHVWLFMITTSVAQIVIDTSGEPVEDDEEYFIRPAITGNGGGSILVTRNGPCPLHVGLGNSEGTLGMAVKFTPFAPRHDDDDDDVRLNRDLRVTFQGFTGCGQSTDWRLGEKDATSGRRLIVTGRDNVAGSHGNFFRIVQTQTGGNYNIQWCPTEACPSCKVQCGTVGVIRENGKILLALDGGALPVVFQKE >RHN64795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61941298:61945787:-1 gene:gene27654 transcript:rna27654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TsaA-like domain-containing protein MISEARWLAVTTITLTTLSAAISISISAYIFNRKCNALKSKIHELELSLKSCSDNCASERQGRIRAQQMLRKQLTQPKSENLNLTYYPMIPIGTIHSCFSTRNGTPRQPLLHPSQSGFKAKVRVPRLKGGKIGVFGTRSPHRPCPIGLTVAKVEAVQGNMILLSGVDLVDGTPVLDVKPYLPYCDSIQEAAVPNWLMEDNLLSVASVSFSEEFISSLESCWITAEKKSLYASPGEFQSLVMQVLSWDIRSLSQRNRPRDAIRKKEKGQLLCDTSDVDDEHLGETAIVHEREQNSLNSMEVVYHLILEGLDVSYRIDHDGNVIVEKVSTSAVLDNKLDSYNYLTWKDKLQ >RHN44304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3353885:3358785:1 gene:gene38407 transcript:rna38407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MAACVYTVSQNGTGDFQTVQEAIDAVPLGNSRRTVIRVSPGIYKQPVYVPKTKNFITLAGLCREETVLTWNNTSAKIDHHQPARVIGTGTFGCGSTIVEGEDFIAENITFENSAPEGSGQAVAIRVTADRCAFYNCRFLGWQDTLYLHYGKHYLKDCYVEGSVDFIFGNSTALLENCHIHCKSAGFITAQSRKSSQEATGYVFLRCVITGNGGHSYAYLGRPWGPFGRVVFAYTFMDPCVRQVGWDNWGKVENERSACFYEYRCFGPGCCPSNRANWCRELVDEEAEQFIMHPFIDPEPDRSWLAQRMGLRIPYSA >RHN48975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51501526:51507281:1 gene:gene43752 transcript:rna43752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MRGKTASLLALMPILSTILVLLFSVTNFSKLATAATLQTNHIQVAQSACEGTLYQDLCVSTLSTLPDLTSKTVPQIICSVLNNTIKEVKQSSSNCTGLRIRGRSAQSILDQRALEDCVNLFDTTIDELKTTISDLSQTQTTVASSSKRDCQTLLSGAMTNVYTCLDGFAYSKSKLRKKIEAKLNDISSHVSNSLAMLKKVPGVKTKASESETFPEYGKVKDGFPSWVSPKDRKLLQAAVNQTKFDLVVAKDGTGNFATIGEAIAAAPNSSATRFVIHIKAGAYFENVEVIKKKTNLMLVGDGIGQTVVKASRNVVDGWTTFQSSTFAVVGDKFIAKGITFENSAGPSKHQAVAVRNGADFSAFYQCSFVAYQDTLYVHSLRQFYRECDVYGTVDFIFGNAAVVFQNCNLYARKPDPKQKNLFTAQGREDPNQNTGISILNCKIAAAADLIPVQSTFKSYLGRPWKKYSRTVYLNSFIDNLIDPAGWLEWNGTFALDTLFYGEYKNRGPGSNTSARVTWPGYKVITNATEASQFTVRQFIQGNEWLNSTGVPFFLDLS >RHN79884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33173185:33174760:-1 gene:gene3735 transcript:rna3735 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKVLMDINKIKLAIEDVKCRENEFKPELKAADITALEEEYNALLSDRAGETEYLQSMEKQVGKLKEINQVIKCACGEEYKVALNTQLQCT >RHN76539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51082646:51088679:1 gene:gene12882 transcript:rna12882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal-recognition-particle GTPase MVLAELGGSITRALHKMNNTTVIDEKALTDCLNEINRALLQSDVRFELIRDMQINIKNIVNLEQLAAGHNKRKIIQQAVFDELCRMLNPGKPSFVPKREKTSVVMFVGLQGSGKTTTCTKYAHCYQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKEEDHDLIIVDTSGRHKQEASLFEEMRQLSEATKPDLVIFVMDSSIGQAAFNQAQAFKQIVAVGAVIITKMDGHAKGGGSLSAVAATKSPVIFIGTGEHMDQFEVFEVQPFVSRLLGMGDLSGLIDKIHGVVPMDQQADLVQKLSKGNFTLRIMYEQFQNALKMGPMGELVSMIPGFSSELIPQGHENESSAKLKHYMTMMDSMTNEELDSSNPKLINESRMMRIARGSGRLVREVLELMEVYKRIAKEFSKISRCRNPQDMVKSFPPHLLKQFGGVKGLQDMMGMFGGGR >RHN75704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44430052:44433622:1 gene:gene11942 transcript:rna11942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein Ist1 MFDILFGWSKASKCKKAIKRARYRIKLLKNKKDAIVRQLRKDLVELIQGGYEEAALNRVEQLVKDESLAAAYELLDQFCEFILTQLSYIRRHKDCPNDIKEAISSLIFASARCGDIPELFVIRILFGKRYGEQFATTAVELFPGNLVNKQLRENLSRKYVLEDMKYRVVDEIARANNCLQQNVLAIKYNPDWQKVQLKENKGYRLVESNAQINASISESKVHPSEIEVIERVSKPCESCDNLSKSSIDDSSTIVSIVQQYPQYIMRYPIQSKVEKVVEVDFPKLLSTLNSSFRNKEEKLALISSSEMIDYVDDFEEYQISLSRDGSCQEKMLFKFNSSGLFRSEKTQFGCEESDVDEAESPSESSSTRSSRRNKRNPRKRSRRRSSSIEKIDIVDIGDMIYYQKPCRSPSTHKDVSVQTCLEQGYLKSKESDGNQIKSEDIRRKNFKQNLRGCSLDQPCYFCLYDEKDCLETQTLNPKRVIEAAHAEQGVMLDECCHCRPFSEVSNGNKETKAEIIASNIVSNPRTNGSLTSRTETEVPYSRAMTMPQMRQKKGKDKMLRTYSCPSQHPNHVHPKLPDYDDIAAKFTALRREYLENKD >RHN58304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:608820:612360:1 gene:gene20203 transcript:rna20203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UBX domain-containing protein 2/7 MEGMTLSYPTDEETLVSYFLEIARGQTAATAKHFLQATSWNLEEALKLFLSGTKPPLMDTANQIDPSSPLPLIKETLESEQGASSTSESDKLAYLYHPPFHLMFNGSFIKAKFAASMQDKWLIVNIQSTKEFSSLMLNRDTWANDAVSQIISTNFIFWLVYDDTTEGHKVCTDYRLDLIPVVLIIDPITGQKIRSWGGMIQPESLIEGLLTFLDAGPRGSSSRPKTKATVDSESSEEEDEEVQRKLAASLESVKESSEMTGGDNKDANVAGNLQETTSLEFEKLRI >RHN52355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34238085:34238508:1 gene:gene37020 transcript:rna37020 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLLKWKKALNQAANLSGHHFNLGNEYERDFIEKIVTDVSYKINHVPLHVADYLTWGLLMESA >RHN66435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12248567:12253790:-1 gene:gene14421 transcript:rna14421 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMLLLSPSEKCHKSVSNILTHLISENFLCKRIPNVFRAKGKPPHFSTILIPMDSRFGYSNIFLPSKACLTNKLQESLSSNCLK >RHN72970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12242016:12242306:-1 gene:gene8739 transcript:rna8739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase (ATP-hydrolyzing) MVLRSIYFVPGLHKIMVNIFDCYKRNPSLMECVKVDIDAKVSKVSIYIKGGGVPMVDNGDGVYDLKGSGYGSRLINIFSLEFITEAVKDTTKFKHV >RHN82363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53200305:53203693:1 gene:gene6526 transcript:rna6526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain-containing protein MEAQPQPPTPSTGPPLSSVAPPPPHLNYPDSVDSSPRSRNNDSWDEPFPPATSKLRLMCSYGGHIVPRPTDKALCYVGGDTRIVVVERATSLGDLSTRLSKTLLNGRPFTFKYQLPNEDLDSLISVSTDEDLENMIDEYDRTNSTNSSLKPSRIRLFLFPSKPESAQSIPPQILDPTVRSGEWFFDTLNGAVTSLNRGFSDSAASSVNHLLGLEDDVAGNNHIEQGSTGEAPDGVSQPGSFGNCKNLKQDVHSVPDSPMMETSSSFGSTSSSPSLANLPPIRVHVEDGVSGGVRAQQQQQLQQQQQDQKVLGIDEQFAQMVVGVGGIGQRLQDEGFAVMSSPPHPPVPTTLAAVGVPIGSAVVVGDYQNRVFSDDERSDHGVPVGYRKPPTPQPQVVQVQPQAQNQAQTQSQAQSQAQTQSQAPQFQQKSSGGGSDLPSPDSVSSDSSLSNAMSRQKPVVYQEQIQIQPGTTRVSNPVDPKLNLSDPHSRIQVQQHVQDPGYLLQQQFELQQQQQQFELQQQQQQPQHQPQQSQPQHQPQQQQQLQHHQQQQFIHGGHYIHHNPAIPTYYPVYPSQQQPHHQVYYVPARQPQQGYNISVQQANMGESATTIPSSRPQNPPNPTTLVQQNAAYNPIRSAPLPKTEMTAAAYRAATGGSPQFVQVPTSQHQQQYVTYSQIHHPSQSMAPNSAAPASYAYEYADPAQVYYSQPMAPTMPSQYQTMAAATMMQPEVPGQHPSDGMKQQIRTSQPL >RHN51379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16977822:16978127:-1 gene:gene35809 transcript:rna35809 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDKTKEEALIRTYLITFSSSYESECGSACKFFQPLFLVFILNSIVSRNVMNDEHNARWDQPSGCIVFRNVEPSMVQALAFELTEKLSILAKSNERATEV >RHN40241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14540304:14543144:-1 gene:gene46364 transcript:rna46364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative annexin MATLVTIKSSPVEDAEALQKAFKGWGTDEKSVITILGHRNVYQRQQIRKSYQEIYQEDILKRLESELSGDFERAVYRWMLEPADRDAVLANVAIKDGSKSYHVIIEIVSVLSPEEVLAMRRAYHNRYKHSLEEDLAAHTTGHLRQLLVGLVTSFRYGGAEINPKLAKTEADILHESIKEKKGNHEEAIRILTTRSKTQLLATFNRYRDDHGISITKKLLDNASDDFHKALHTTIRCINDHKKYYEKILRGALKRVGTDEDGLTRVVVTRAEKDLKDIKELYYKRNSVHLEDAVAKEISGDYKKFILTLLGKQD >RHN69132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41262707:41263959:1 gene:gene17562 transcript:rna17562 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEGDLSPYDCSTIVCPSRCARSQYKNECISYCSMCCQKCSCPYALAEKILNKGNGEVPINNVEDDCKYICGKSCGRIGNKDGCLEKCYSCCAQCVCPFPGFSEQLLLNTNTSMLH >RHN70687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53209502:53210718:1 gene:gene19297 transcript:rna19297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MGINFISWILLLHLLVILLCSHGDQAREIQKNHHDHNHMSHNIDPSLMVFFTLKDLKVGNIMQIYFPKRDPSTSPKLWSKQKAESLPFSSNQLSYLLKFFSFSQDSPQAMAMKNTLRECESKPIKGEVKLCATSFESMLEFTQNVLGSKYEIQGYATLHKTKSSVTLQNYTIVEILKEILAPKMVACHTVPYPYAVFYCHGQESDNRVYRASLVGENGDKVEAMAVCHMDTSQWAPSHVSFQVLEVTPGTSSVCHFFPADNYIWVPKLKSQGSSRM >RHN63559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52200762:52202725:-1 gene:gene26273 transcript:rna26273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGSDSIAAVPIPSAANTKNPGKKKRTNRSAKLKQYKIDARREQWLSQGAVKKKGCKDGLDDDVHVSSSPVGKQSKREMEKVGTRRRGGEDDVLIHQDSDSESPSNSPISPNSSVLCGNDSGTNFTGSSSGASSSSSSSSSGGCFSGNITEEEEDDEEEVEQEEEVDDGCLDDWEAVADALAADEKHRASPQDEPVVETDSSCEVTDGLSSGCLDSKPGSGGTVPRASGNGKAWRADDAFRPQTLPNLSKQHSMPNPRFVGGVPWSCMSVPSNCPICCEDLDLTDTSFLPCNCGFRLCLFCHKRILEQDARCPGCRKQYECEPIETEASVHGGSLTLRLARSVSMIERS >RHN82794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56463914:56464664:1 gene:gene6982 transcript:rna6982 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDNYQKMISDVAVRSMVKGLFFLDRVVCLMGRRMVLNEGGDGVERKKREKS >RHN61880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39112565:39119899:-1 gene:gene24390 transcript:rna24390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, toll-like receptor MMIKYDVFVSFRGQDTHNNFADHLFAALQRKGIVAFRDDSNLKKGESIAPELLHAIEASKVFIVLFSKNYASSTWCLRELEYILHCSQVSGTRVLPIFYDVDPSEVRHQNGSYGEALAKHEERFQHESEMVQRWRASLTQVANLSGWDMHHKPQYAEIEKIVEEITNISGHKFSCLPKELVGINYPIEKVANLLLLDSVDDVRVVGICGMGGIGKTTLTTALCGRISHRFDVRCFIDDLSRIYRHDGPIGAQKQILHQTLGGEHFQIYNLYDTTNLIQSRLRRLRALIIVDNVDKVEQLDKLAVNRECLGAGSRIVIISRDEHILKEYGVDVVYKVPLLNGTNSLQLFCQKAFKLDHIMSSFDKLTFDILSYANGLPLAIKVLGSFLFGRDIYEWKSALARLSKSPNKDIMDVMRLSFEGLEKLEKEIFLDIACFFIQSKKIYVQKVLNCCGFHADIGLRVLIDKSLLSISEENNIEMHSLLKELGREIVQEKSIKDSRRWSRVWLHEQLHNIMLENVEMKVEAIYFPCDIDENETEILIMGEALSKMSHLRLLILKEVKFAGNLGCLSNELRYVEWGRYPFKYLPACFQPNQLVELIMRHSSVKQLWKDKKYLPNLKILDLSHSKNLRKVPDFGEMPNLEELNLKGCIKLVQIDPSIGVLRKLVFMKLKDCKNLVSIPNNILGLSSLKYLNLSGCSKVFNNPRHLKKFDSSDILFHSQSTTSSLKWTTIGLHSLYHEVLTSCLLPSFLSIYCLSEVDISFCGLSYLPDAIGCLLRLERLNIGGNNFVTLPSLRELSKLVYLNLEHCKLLESLPQLPFPTAFEHMTTYKRTVGLVIFNCPKLGESEDCNSMAFSWMIQLIQARQQPSTFSYEDIIKIVIPGSEIPIWFNNQSEGDSIRMDLSQIMDNNDNDFIGIACCAVFSVAPVDPTTTTCARRPKIELRFSNSNSHLFSFIIIPVILERDHIVVKSNHMCLMYFPQKSLFDILKWIDGTLTHLDDINMKASIMKGQGLDLEVQNCGYHWVYKPDLQELTMMHPGNSVARKRKFLAIEDEAQP >RHN72069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4753997:4755599:1 gene:gene7740 transcript:rna7740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MKHLIGFCIILLWACAYPTMSRTLTESSVVEAHQQWMMKYERTYTNSSEMEKRKKIFKENLEYIENFNNVGNKSYKLGLNRYSDLTSEEFIASHTGFKVSDQLSDSKMRSVAIPFNLNDDVPTNFDWREKGVVTDVKNQRQCGCCWAFTAVAAVEGQIPGAAQINGYFKVPANDEQQLLRAVLQQPVSVAISTSYDFHHYMGGVYEGSCGPKLNHAVTIIGYGVSEAGKKYWLIKNSWGETWGEKGYMKVLRESSATGGQCSIAVHAAYPTI >RHN59248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8765783:8766154:1 gene:gene21251 transcript:rna21251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase, beta-propeller MFPVRTGVFETPPSFGSPPLIAVVKNVLYAADYGQQKVKKYDKDNNSWVIIGSFPEQATSMNGWGLAFRACGDHLLFLGGPVIHGGIMMEINAWIPNEGEPQWNRLAGNQSGGFVHNCTVMGC >RHN74030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23832799:23834281:-1 gene:gene9957 transcript:rna9957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAGMLPGVESARRRRVHKCGGFSDSPSFSSHNTSRRSSFCLYASNHESRISSSSSMQRNILYQSHQDENMVGAARAAKQRLDDKFRSQRVSENIRKKNMKCVEGRKESIEELQSEVYGTKKSGPRKFSWSKLSWKASEQEDCAVCLESFKIGDKLIPLPCAHKFHSTCLKPWLENNSHCPCCRTTILSL >RHN46812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35040241:35043662:-1 gene:gene41343 transcript:rna41343 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGRKRREKNYRAAHGGSTALPPPPKSSQLDALPFKLRQIMNFTKHQNDSPGLSKDKKFDDGHVKNVKQGDTSEQLKAPQHSDEQLSENDDNKNKKKRKRKEVKDLRFALDEDKTNSQLKKKERKKKYEAKKKKHKKVEEDEILEFPGQEKIKFGDIVQAPPKLSFNPKGFKISQDASHERLRLRAIEDYRSRKAWASRPGNHRPPPVTTSES >RHN56783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33722958:33724193:-1 gene:gene32234 transcript:rna32234 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSSTASCQEFHLGMYGHILTPIIFTSRTLVILKLQNLKIVADNLCFDLPSLKTLVLEREYFKNQKNDFVKLLNACPILEDLHTYYPRLRIMKRKDNNEVQEFKSLFLSKLVRAYIHSMDVPFDAINNVEYLCIIQDEEERSYGMNIEEHSFEIKIEEASFKDIPVFQNLICIELWFFSVFRGWDGIVELLRHCPKLQIFFIRKWNTSLSKEWNCPISTLECVSSPQVMYYFPL >RHN80900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41710548:41723175:1 gene:gene4881 transcript:rna4881 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNNQRKSSKSSSFRSVFNIFKSSSNKQRGGGGYYYDNASYDNKVWPSDEDRGSWGVADPVIDMRATAFIAQYKKRISESQIHCQAQPDQ >RHN73640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18420480:18425297:1 gene:gene9477 transcript:rna9477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MDHQPRDSNLNHPARASTTNAVPRHVTLSDAALPTMGPIRRADAWFVKLASTVFVRYTSFDRFIFYFSKHLTPNLVQEIVNSRFNKTPLLGFRVVEFTKEKLHMNHNYWTYNMLLRSLCETNHHRSAKLVYDWMRFDGQVPDSWLLGFLVSSYALIGRFDVSKELLVDVQCNNVGVNVVVYNDLFNILIIKNKAREAVDLFWELVRLRYCPVTYTINILMRGLCRAGEIDEACKLLGDLRSFGCSPDVITYNTIIHGFCRISEVDRARSFLNEVCLNGQVAPDVVSYTTIISGYCKLSRMKEASSLFDEMIRSGTMPNAFTFNALIDGFVKLGDMASSLAMYHKMLFRGCNPDVVTFTSLINGYFVVGQVKPALEMWNEMNSRKVAASLYTFSVLVNGFCKNNRLHEARDILTRLKQSDVVPQSFVYNPVIDGYCKSGNVDEANKILAEMEENRCKPDKLTYTILIIGHCSKGRMAEAIGIFDKMLAVCCAPDEITINNLRSCLLKAGMPAEAARVKQALCHKHNSSTSLKKKSFHESTNADITIAAY >RHN70583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52486836:52506323:1 gene:gene19178 transcript:rna19178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase transcription regulator Homeodomain-LIKE family MSSLVERLRVRSDRKPVYNLDESDDEDFLLKKPGASQEKFERIDRSDAKEDLCQACGESGDLLSCATCNYAYHSSCLLPPLKGPAPDNWRCPECVTPLIDIDKLLDCEMRPTVEGDGDADSDAAKSGSKQIFVKQYLVKWKGLSYLHCAWVPEKEFLKAFKSHPRLKTKVNNFHRQMASSNTSDEDFVAIRPEWTTVDRIIACRGDNDEREYLVKWKELPYDECYWESESDISAFQPEIERFNRFRSRSSKLASIKQQSRVNDDNELKKQQKEFHQYEHSPEFLSGGSLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEEGVSAHPHLVVAPLSTLRNWEREFATWAPQMNVIMYVGSAQARSVIREYEFYFPKKLKKNKKKKSLVSESKHDRIKFDVLLTSYEMINLDTTSLKPIKWECMIVDEGHRLKNKDSKLFSSLKQYSTRHRVLLTGTPLQNNLDELFMLMHFLDAGKFASLEEFQEEFKDINQEEQISRLHKMLAPHLLRRVKKDVMKELPPKKELILRVDLSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHAYMLEGVEPDIDDPKEAFKQLLESSGKLHLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCSYKKWHYERIDGKVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVVIYDSDWNPHADLQAMARAHRLGQTNKVLIYRLITRGTIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDAAAIDRLLDRDQVVDEETTLDDEDEDGFLKAFKVANFEYVDEAEAAAEEAAQKRAMETANSSDRTHYWEELLKDKFQEHKVEEFNALGKGKRNRKLMVSVEEDDLAGLEDVSSDEDDNYEAELTDGDSNSTGTTTTRRPYKKKARTDSTEPLPLMEGEGKAFRVLGFNQSQRAAFVQILMRFGVGDFDWKEFTSRMKQKTYEEIKDYGTLFLSHIAEDITDSSTFTDGVPKEGLRIQDVLVRIAVLLLIRDKVRFASEHPQTPLFSDDILLRYPGLKGIRKWKEEHDFMLLRAVLKHGYGRWQAIVDDRDLKIQEIICQELNLPVINLPGPGQVGSHVQNGANVANAEIPSNESRENGGSGIAADGAQGSGDAKNQTQLYQDSSLYHFRDMQRRQVEFVKKRVLLLEKGLNAEYQKEYFGDPKAGEVTNEELKSEPKSTTIPSFISVDTDTQMIDQLPQVEIIAPEDVSVVCDSDSNRLELVRLYNEMCKVVEENPMDLVQSSSAREPAEVNAVKKCPPLETICEDINRILTPTAEQPVAETPVLNSDNKSEEISHIEVLGSKSPPNPQNDLKRDSLANDDAKDMVVDSAEKKESNTAMDESSNAELNEDT >RHN61684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37524843:37528356:1 gene:gene24172 transcript:rna24172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MTMKSGEGKVVCVTGASGFIASWVVKFLLQRGYTVRATVRDPSNSNKVDHLLKLDGAKERLQLFKADLLEEGSFDSVIQGCHGVFHTASPVHFVVTDPQTQLIDPAVKGTLNVVKSCAKSPSVQRVVLTSSIATALYTGKPRTPEVEVDETWFSNQDFLWQNKMWYQFAKTSAEEAATKFLTENNIDHVVMNPAVALGPLLQSELNESSTLILNLINGSETYMNAAFGWINVKDIANAHIQAYENASASGRYCLVERVIHFSELAKILRDMYPTLQIPDKCADDKPLMQTFQVSKEKAKTLGVEFIPLEVSLREIVESFKDKKFANF >RHN40522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17118195:17118410:-1 gene:gene46682 transcript:rna46682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b6/f complex, subunit 5 MSIANSWSLRFTVNTNSYLRIDITPTFFPFNQTQMIEVSLFGIVLGLIPITLAGLFVTAYLQYRRGDQLDI >RHN66775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18545870:18552689:-1 gene:gene14854 transcript:rna14854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific polyamine oxidase MESGNKSNAKLTRALCYGNVSSVQERSPSVIVIGGGMAGIAAARALQDASFQVVLLESRERLGGRIHTDYSFGFPVDLGASWLHGVCKENPLASVIGRLGLPLYRTSGDNSVLYDHDLESYALYDMDGNQVPQELVTKVGEVFETILLETNKVRSEFNEDMSIHRGLSIVFERNPELRLEGLAHKVLQWYLCRMEGWFAADSDTISLNGWDQEVLLPGGHGLMVRGYLPVINTLAKGLDIRLGHRVTKVVRRYNGVKVTVESGKTFIADAAIVAVPLGVLKAKRISFEPKLPDWKEAAIAELGVGLENKIILHFENVFWPNVEFLGVVADTSYGCSYFLNLHKAARHPVLVYMPSGRLAKDIEKMSDEAAADFAFVQLKKILPDASSPIQYLVSRWGSDINSLGSYSYDQVGKPHELYERLRVPVDNLFFAGEATSMSYPGSVHGAFSTGMMAAEDCRMRVLERYGELDLFQPVMGEMASLTIPLQISRM >RHN39070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3924489:3926666:1 gene:gene45062 transcript:rna45062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MAVRKVRNHIPQDLALLVLSKLPLKSLKRFGCVHKPWSLLFENQYFITMFGTNFISISHSYYDDTSLILHQVVYNKSRRTVSYLHLLSSQSFENRLKLDLPTPLQQEDPIFYIRGSSTNNGTLCLSNADDSTLVLWNPTTDEIVVIPSSPMESVSPYWSTLISFHGFGYDRVRDDYKIIRCLDYFPLSERDLFYLNLPEEAQSEKIFYDNVWEIYSLRCNTWEKLDVNMLSDTNQHILYTNDGICHWLNNDDRLWLVSFDLSSYVYFTTSTPITIPTRDANFKYGMAAQSVVLNGSIALISWYPKTTTFDISILGELGVSESWTKLFTIGPFPFSIERPIGAGRNGDIFFKKKGKKIVCYDLSTHMVEELGLEEAPSNRIIYKKKWFVNSSKSLVGFLVLN >RHN56960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35280695:35282053:-1 gene:gene32432 transcript:rna32432 gene_biotype:protein_coding transcript_biotype:protein_coding MHCQHLNTHTSLPMVANLNLVESDVARMFASLRQSMEQGIPLLLRFSDLAVRKRLYITLHTLIKLFKNHLFHVYGNEALFRARK >RHN50131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4351721:4358461:1 gene:gene34386 transcript:rna34386 gene_biotype:protein_coding transcript_biotype:protein_coding MDETEQQQQTTMEGVASIALLPNGSISGHFIHLPHSTCYGLHGTELECERECSKGEDYRLIKLTITDFNTKKEQATVVECKGHDAARFHCIDHAHGWDKEITGMVEQKDGKKRITVSFECETLKAEDAAEDHIRKFMPKLAGMDAVVNVGKMTISGLDFGADEADDTE >RHN67358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26416685:26420164:-1 gene:gene15539 transcript:rna15539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MESHHQNQRKKMNQQHPSATPLMAPDWSKLPKDLLQLISEKLDSELYQLRFRSVCSSWHSSIPKKPLLDLPSNFPFPSNNTSNTNTFPLSKRSILLITPPNQTLHPPWLIKIGPDSRDKTRLWYPLSLDYQLYLQLPQLIDFKEYHIIHLGDEFVIDR >RHN42137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35704276:35705597:-1 gene:gene48538 transcript:rna48538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MKKKLIEEAKVKEYSDIISNISNEGGNPDQGKTKKNKPSQKKKNKENNDFNSKEGDAVTEVNDQGKKMKKKKKAKTVTSESPNCAHNETPSNKGDADACFSVTDVNDQGKKMKKKKKAKTVTSESPNSAHNGTSKRKQVTWADEVEEKLCCDGLLRGKRYTPEEDEKIKAAVFDYIDSHGLGDEGLDMVLNVKLHPERLAAVGKKLQKAYLRGLMRVCKWTPEELEFIEKTYEQHGASLRAVADALGKSRAQVKDAWRRLKYTKAKKGHWSQEEYQKLFNLVNLDLLERAKEPYKKSQPGMLRDNICWEAIGHKLETRNSAFCCKKWYEQLTSTMVASGDWCDTDDFRLINALYALDACCMEEVDWDNLVEHRSGDVCRKRWEQMIHHIGEHAAKSFIEQVEVLAKRFCPNLLEDREAFDNKPVIC >RHN47896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43392532:43400233:-1 gene:gene42549 transcript:rna42549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MGSQSSNVWEVKTDSSPLSSENCMSITTPLLDLEKEHEMSSSRVSQNTVIEDAEIDEYSINDSPIEQVRLTVPITDDPSQPALTFRTWVLGLASCILLAFVNQFLGYRTNPLKITSVSAQIIALPLGKLMAATLPTKPIQVPFTTWSFSLNPGPFSLKEHVLITIFASSGSSGVYAINIITIVKAFYHRSIHPVAAYLLALSTQMLGYGWAGIFRRFLVDSPYMWWPESLVQVSLFRAFHEKEKRPRGGTSRLQFFFVVFVASFAYYIIPGYFFQAISTVSFVCLIWKESITAQQIGSGMKGLGIGSFGLDWNTVAGFLGSPLAVPGFAIINIMAGFFLYMYVLIPISYWNNLYDAKKFPLISSHTFDSTGATYNVTRILNTETFDIDMESYSNYSKIYLSVAFAFEYGFCFAALTATISHVVLFHGEMIVQMWKKTTTSLKNQLGDVHTRIMKKNYEQVPEWWFVTILILMVMMALLACEGFGKQLQLPWWGILLSLTIALIFTLPIGVIEATTNIRSGLNVITELVIGFIYPGKPLANVAFKTYGHISMVQALGFLGDFKLGHYMKIAPKSMFIVQLVGTVVASSVHFGTAWWLLTSIENICDESLLPKGSPWTCPGDDVFYNASIIWGVVGPKRMFTKDGVYPELNWFFLIGLIAPVPVWLLSLKFPNQKWIQFINIPIIIAGASDIPPVRSVNYITWGIVGIFFNFYVYRKFKAWWARHTYILSAGLDAGVAFIGLLLYFSLQSYGIYGPTWWGLEPDHCPLAKCPTAPGVHAEANFLRSLYHLCFSNSVLASWISSNNCRMTLLHTC >RHN76916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2352057:2352803:1 gene:gene308 transcript:rna308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M48 MPQTYQESVRHTKILNNIIDALQRERKKMSPESNMSHLDGLNWEVVVVFLPYISGTCFANGKIGLSWDLVKPFPSDAEKATLIAREVAHVVARHFAEKITKSFWFYAIHRMLEIFVTIDFEKRLSPLIDRLPFNRRYD >RHN50242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5432422:5434029:-1 gene:gene34511 transcript:rna34511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone-7-O-beta-glucoside 6''-O-malonyltransferase MAQQESSSKVVEVFNIEPFHEPTKPSQSPTSLPLTFFDLLWLRFPPVERLFFYEFTNSPTFFYETLLPNLKHSLSLTLQHFLPLAGNIIWPSDSSKPIINYVKGDFVSFNVVESKESFKNLSSNQCEALKRHHLIPLLNTSHEKASLISIQITLFPNNGFCIGISSHHAALDGKSSTTFMKSWSYIACSNSNLDSSFLSLPENLTPFFDRSLIEDHHSGIEISEAYVDALMKLGGPNNKSLKVWDFFGAAKNDAIKSLFELTPSNIQKLKDYAKNEMKMNVTNLSTFSVTCAYVLACLAKAEQPKAEEVVFIFSVDSRARLEPSISPMYFGNCVTGQKVVLETKKLVGKDGFLSALEGMNEALNKVKNDGVLNGAKDWLATMFNGKKDAKIYSIAGSPRFEVYSIDFGFGKPKKVDMTSTDKTGAFSISESRNNNGGIEIGLALGKEEMEAFSTLFVQGLESI >RHN79751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31850733:31851125:1 gene:gene3583 transcript:rna3583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MLSSFVGKAQKSLSVFVPRKHALSYWNEDHATTGVADDVMKGYFAVLAKKGVETRRFIVGLDYLTDPAFLGLLDDAWEEYGFRQKGTLVVPCRPIELQNILDGRKI >RHN46902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35658972:35659541:1 gene:gene41437 transcript:rna41437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MKRFCPKASFLLFIITLVLTSSVYSEAQSCRPSGRIEGKNAPPGQCNQENDSDCCVQEKMYTTYECSPAVSTYTKAYLTLNSFQNGGDGGGPSECDNQYHSDDTPVVALSTGWFNHESRCLKNITISANGKSVVAMVVDECDSTKGCDEEHDYQPPCPNNIVDASKAVWEALGVPQDQWGGLDITWSDA >RHN68683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37800600:37802173:1 gene:gene17065 transcript:rna17065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-methionine (S)-S-oxide reductase MATSEGGNGNHNTAFDPDLDIPDNPDDEFAEFGAGCFWGVELAFQRVPGVVKTEVGYTQGHTPDPNYKLVCTGTTNHVEVVRVQFDPKLCPYTNLLDLFWSRHDPTTLNRQGGDVGAQYRSGIYYYNETQSRLAQESKEAKQLEHKDKIVTEILPAKRFYRAEEYHQQYLEKGGGKGRGHGQSAQKGCNHPIRCYG >RHN73134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13676387:13678713:1 gene:gene8920 transcript:rna8920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MHMKIKMTKILKFFYAMIILLSLFLAAIDADVVNCTSVLQCFTTYCYLHGTMLCLNGQCLCV >RHN57185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37173094:37173522:-1 gene:gene32693 transcript:rna32693 gene_biotype:protein_coding transcript_biotype:protein_coding MHELLEHIDDKPIDEYGLRQSLDLGQIGSPEEKSFSMDELLGHIDDNLIDEYGAMQSLDFVQIGSPKESNNPHVGTTLSLFYELENRDAMLSGILPHAKHTPSGVDYGLPLNFNGEGEDTPFLDLDYDLNQDSRGMQGRKTD >RHN43019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42581815:42585265:1 gene:gene49539 transcript:rna49539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative violaxanthin de-epoxidase MSFKLQLHLHLPTHVLQTQHYFLSSNLFPFSHSSPCSFSISLSSHTTTPYCFPPSSTTVDSDMTTDEPPVRMVAIVGHGAVSPLNSASWEQVMLHTAKRLKWVDEGYELLVFTDKCIQSNEPLQRELLKADILVIVAVTNKESVNWIKISSKTIENVICFDSSLDLKNKLGGYEIHNEVRGSIFGKILGSSELLDKTKDSYEVVQTVTEAWDRRSSDDIRFCLLLLINAYIRPVPVLKNLRAKGFSTLNCMLKNCGRQVLNCLLDPNCRKALQCLNKCSPVDQVCNYRCIASYESANLEAFSLCVLQKNNCLELEAEVPTKPYVPPMVEFRGQNLSHEIAEDLFVGWLGSLQWSWRVVAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPVFQVKTFEGQMVWRRRKYRVKRGKVYGTFYFSVLDNGVISNEFWTIVDVANDLSWGLFHYHGAAKAAGQSYTGAVLVSPDGAFPNERERTKIVTALEKCEIKEWELFFVDNCSCIDPPLGTPEGSSFHSVVQINDPNWMNV >RHN60354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25752439:25752662:-1 gene:gene22659 transcript:rna22659 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLSHFLSLLPLRHFLSMLPFCHSFRLMCLYYFIGFVLQMP >RHN72364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7075534:7076432:-1 gene:gene8067 transcript:rna8067 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRRNTFSLLRSSHRFPVTPPGVCGTIIMTAIIIIMAISVRLSAVKTRRRCSDPLNETILW >RHN42590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39549594:39558222:1 gene:gene49047 transcript:rna49047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MDSNSHGNLDEQISQLMQCKPLSEQEVRVLCDKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVSLKVRYRQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKMFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGYNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >RHN57210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37315610:37318601:1 gene:gene32720 transcript:rna32720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclease (SNase-like) MGNALRFLYANCCKPKTSDDDHPQSTVSVSALAHDLFNFENTSQVPEGLSRHVVSSKKAQAKWYRKLIDGWKEARPPPKTPEEAARLVILTLKGHKKEDVEGLLTFYGLPLSHTPVEVPVQRPTSLPHGVQYEIHTLPVDAKAVADGDGLTVYVSTADPRESSQVPSNVHIAAVHRAEARSRRNYQEADALHKQIIDAGYRMISFENGEILAKKYRIRLRGIDAPENAMPYGKEAKTELTKIVQGKSLRVLIYGEDQYQRLVGDIYCNNIFVQELMLKKGLAWHYAAYDKRPELETWEKEARAKRVGLWASKNPEKPWDWRKDRRN >RHN76278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49101109:49103908:1 gene:gene12576 transcript:rna12576 gene_biotype:protein_coding transcript_biotype:protein_coding MCFWSHSVINGVLAVRKNAYRPRRQVVMHISKSYCCKSFWIVVSKECSTSSRLRFKHMSLHSNG >RHN47537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40399707:40400612:-1 gene:gene42150 transcript:rna42150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSSCSKNAERKLGFLTRPTRVKNKNPAPIQITAEQILREARALQEPKIRPPKQKIIYGKELGTYHLRKRKEFEDLIRRVGGLNVNVWIKYAHWEESQKDVNRARSVWERALEQQVHYKNHTLWLKYAEFEMKNRFVNHARNVYDRAVILLPRVHQLWYEYIHMEKILGNVAGVREVFERWMEWMPDQHAWLSYIKYELKYNEIERLRGIFELFVTCHPSVGAWLRYAKFEMKNGEVPRARSVYERAVENIADDDDEAQQLFEAFAEFEQSCNEIERAKCISKIALDHVPPKGRAMLESSIF >RHN40324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15319636:15324877:-1 gene:gene46467 transcript:rna46467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MGEFPWWFHMAWTSVLQLVLSIGVLFFVVGIGALPGLVPLLICGLLNVPLGRVLQNCRSQFMIAQDERLRSTSEILNSMKIIKLQSWEEKFKNLVESLRNKEFIWLSKTQILKSYSSFLFWMSPTVISAVVFLGCAVTKSAPLNAETIFTVLATLGNMGEPIIMFPEALSTMIQVKVSFDRLKSFLLAEELNNDDSKRNLKPCLVNAVDIQDGNFIWDHESVSPTLTNVNLDIKWRHKIAVCGAVGSGKSSLLYAILGEISKIQGTVNVGGTLAYVSQTSWIQSGTVQDNILFGKAMDKTRYEKAIKACALDKDINDFSHGDLTEIGERGINMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAAILFNDCVMTALRDKTVILVTHQVEFLSEVDTILVMDDGKVIQSGSYENLLKSGTAFELLVSAHKDTINELNQDSENNGGSENEVLSNPQDLHGLYLTKNQSEGEISSIKGPIGAQLTQEEEKVTGNVGWKPFWDYVNYSKGTSMMCFIMLAQSAFYTFQFASTFWLAIAIEIPKVTNANLIGVYSLISFVSVVFVHIRTYLTALLGLKASAAFFSSFTTAIFNAPMLFFDSTPVGRILTRASSDLSILDFDIPFSITFVASVVIEILVIICIMVSVTWQVLIVAVPAMVASIYIQQYYQASSRELIRINGTTKAPVMNFAAETSLGVVTVRAFGMVDRFFKNYLKLVDTDASLFFHSNVAMEWVVVRVEALQNLTVITAALLIILLPRGYVSPGLVGLSLSYAFTLTGAQIFWTRWFSNLSNHIISVERIKQFINIPAEPPAVVDHNRPPSSWPSKGKIDLQGLEIRYRPNAPLVLKGITCTFKGGSRVGVVGRTGSGKSTLISALFRLVEPSSGDILIDGINICSMGLKDLRMRLSIIPQEPTLFKGSIRTNLDPLGLYSDDEIWNAVEKCQLKETICKLPSLLDSSVSDEGGNWSLGQRQLFCLGRVLLKRNKILVLDEATASIDSATDAILQRIIRQEFEECTVITVAHRVPTVIDSDMVMVLSYGKLVEYDEPSKLMDTNSSFSKLVAEYWSSCRKSSFPNISRKHQ >RHN64614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60450473:60456993:1 gene:gene27445 transcript:rna27445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MGTMLGDATNWNEDSYREAVLKEREIQTQTVFRTAWAPSQSQPQSLFVASSDGSIASYSLPSTITASKLKNPFGFVNAYADDLLAEPDCLFQAHHGSAYDVKFYGDDENALLLSCGDDGRIQGWRWKELTSFKYTISSEGNDIKPVLDVVNPQHKGPWGSLSPLPENNAIAVNTQAGSVFAASGDSCAYCWDVETGKLKTVFKGHSDYLHCIVARNSSNQIITGSEDGTTRIWDCKSGKCIQVIDPAKHLKLKGPISWVGSVALDASESWLACSSGRNISLWNLPAAECTLNFSTRASVQDLLFDSNQILTVGADPILNRFDMNGLRCSSISLFYLLTSSRGYCRWWLRMSCGCNLAIWQPHVHISMPMCLARFAIYSCSQEPKAQKIFPAQCITRSFRFIIVDLAVDKIIIYFPKVPY >RHN49635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:56109658:56110086:1 gene:gene44484 transcript:rna44484 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFFLKFNKQNCLPLYSSDVFNFFPEASDASRFLCRFFAVLAALVPAVSYPLSTFSFLDLFFFLLESSSFPPISAFFLFFCFAFFGFLLKPSVLRNDEEPRDWSISFLISFTLFELGLLFEVSARKPSFLVDLVSLEPNVA >RHN76037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47170457:47172993:-1 gene:gene12310 transcript:rna12310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing protein MGESSMATPMVHTPRKKMTKQLTGKRDDTPLHSAARSGNLDVVRDILNDAQEDELLELLARQNQDGETALYVAAEYGYIDVVRGMIQYYDLACAGIKARNGFDAFHIAAKQGDIDILKILMEVHPELSMTVDPSNTTALHTAATQGHIEIVKFLLEAGSSLATIAKSNGKTALHSAARNGHSEVVKALLEKEPGVATRTDKKGQTALHMAVKGQNLEVVEELIKADPSTINMVDNKGNTTLHIATRKARTRIVNMLLGQKETDVSAVNRSGETAVDTAEKIGNQDVKAILLDHGVQSAKSMKPQGSKSTAHELKQTVSDIKHEVHYQLEHTRQTRKRVQGIAKRINKMNTEGLNNAINSTTVVAVLIATVAFAAIFTVPGQFVDDPNNIPEGMILGEANISPEAPFIIFFVFDSIALFISLAVVVVQTSVVVIESKAKKQMAAIINKLMWLACVLISVAFLALSFVVVGKEEKWLAIFVTIIGATIMATTLGTMCYWVIKHRIEASNLRNIRKSSMESKSKSFTVTALSDSELMNNEFKKMYAI >RHN70902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54901972:54914638:1 gene:gene19535 transcript:rna19535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tryptophan--tRNA ligase MGVCHYAVPSCFVPSLSCAASTLFRSRFRPTIRCCTTLSNTSPRTPTPSPTSIKKRVVSGVQPTGSIHLGNYFGAIKNWVALQHVYDTLFFIVDLHAITLPHDSQQLSKDTKSTAAIYLACGVDPSKASVFVQSHVRAHVELMWLLSSITPIGWLNRMIQFKEKSRKAGDDEVGVALLTYPVLMASDILLYQSDFVPVGEDQKQHLELTRDLAERVNNLYGGRKWKKLGGRGGTIFKVPEPLIPPTGARIMSLTDGLSKMSKSAPSDQSRINILDPKDLIANKIKRCKTDSFPSLEIDNPERPECNNLLSIYQLASGKTKEEVAHECQDMNWGTFKPLLTDALIDHLHPIQVRYEELMSDSGYLDKVLGEGAKNAADIADATLHNVYQAMGFSRRQ >RHN64623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60516707:60519543:-1 gene:gene27454 transcript:rna27454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MAVAHGGYDGEIGGYNSRLIPSIKPELFNDSANSSSYTYDDVSTERKESVLTEEEEEGATASHAIHIKEEVLEEDDGAAHASSSLRFPKPMEGLHEVGPPPFLKKTFEMVEDLETDPIVSWSASRDSFVVWDSHEFSKILLPKYFKHNNFSSFIRQLNTYGFRKVDSDRWEFANEGFQAGKKHLLKNIRRRSKYNKLHQGGFNLMNPCVESEVEKLKKDQNMLKLEILKLRQQQENSNIQLTNVQERVRRAESKQYQMLFFLTKMAKRPLFMEQIIQKIKRKGEVDGNIDMVKRPRLLGTQGTSVDYRCQGREQFATLQSELNGLFPENMNTGRMEPPVSTPMEDGLGSSLHDLRACGGSRQSVQDANSAYHVMSEKLLGENSVVDEEMDVNDSNIYLELEDLISKPTDWGGTASGLVGQTS >RHN43220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44131106:44132297:-1 gene:gene49760 transcript:rna49760 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPMIFRVIRKTKSRRKYKCLSSGCALRNNDFYHEIDQGYYTYHGEPASHSRVDDYGEKIDYRRYNSVTEFSNVFSSSPKKKSHSTVGSNSKELVSYKVSSVY >RHN52722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38119030:38120416:-1 gene:gene37423 transcript:rna37423 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSITHYKSSQKNLLVGEGDFSFSLCLAKAFGSARNMVATSLDDRASLARKYTNAISNLDELEGLGCTILHEVDVHNMKQHHYLKHSNDFHRIIFNFPHSGLFSREIYESVIEQHKKLVSGFLRSAKSMLRFFGEIHITHKTSYPYSNWNIKNLAENEDLSFIEEVDFHQVLYPGYINKRGAGSKCGQSFTIGECSTFKFRISL >RHN73393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15922027:15924643:1 gene:gene9197 transcript:rna9197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-Os family MTDFHYQFPDSPPSSYTSSDSFEYLQRFFEKYGSYIIVAIFGIVAIGFVLAIVCGIIECLKTVGTAMFSYTQIPTTEKDNQISSNSFSSTNNIEVVVQTDSKHEFATMERIFSNINREKPVRFTPEKLDEITEKYSTILGSGAFGVVFKGELSNGENVAVKVLNCLDMGMEEQFKAEVITIGRTYHINLVKLYGFCFHRDKRALVYEYVENGSLDKYIFGSKNRNDFDFQKLHKIAIGTAKGIAYLHEECKHRIIHYDIKPENVLLDMKLEPKIADFGLAKLRSRESNIELNTHFRGTRGYAAPEMWKPYPVTYKCDVYSFGILLFEIVGRRRHFDSSYSESQQWFPRWTWEMFENNELVVMLALCEIEEKDSEIAERMLKVALWCVQYSPNDRPLMSTVVKMLEGEIDISSPPFPFHNLVPAKENSTQEGSTADSDTTTSSWRTESSRESGFKTKHNAFEIETATSI >RHN75538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43112622:43117438:-1 gene:gene11761 transcript:rna11761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MVMENKTSLPLYEKIWVKHTFSRVMDSFTLLLLFLLLAYRVSSYNNHNFSCFVAFLCESWFTITWITTMSTKWTPAHTKTFLDRLLLRVSDSELPALDMFVTTADPVLEPPIITVNTVLSLLALDYPANKLACYVSDDGCSTLTFYALVEAAKFAEIWVPFCKKYNVQCRAPFRYFCDEAMANNNDLPQFKHDWLKMKEEYEQLSSKIENAAQKSIPCQLMGEFAVFSQTQARNHPTIIRVIRENKGISDVMPHIIYISREKRPKQPHHHKAGAMNVLTRVSGLMTNAPFMLNLDCDMYVNNSKIVLHALCILLDSKGEKEVAFAQCPQRFYDAVKDDAYGNQLVALPMVSQFTMLLV >RHN71868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3210497:3212425:-1 gene:gene7511 transcript:rna7511 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSHIIFLLPPFQLLLISNFKFSPKFSLHRLSVSSTLSLFLFLLQVI >RHN47473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40025256:40027845:-1 gene:gene42083 transcript:rna42083 gene_biotype:protein_coding transcript_biotype:protein_coding MDINEWVILSDDGFFDGDEKQIFLDKRNSLVFDKDYFCTSPKSTKIIDIEPLKVPKQVFHVPIQFEPIIDKVPNEGLVKENTEKIKVPQIEEADQESVSQVFFHIKENKFVDMYYDEKEGEDMNGGFNLWKWSLTGVGAICSFGVAAATICVLFFGSQQRNNKLQQDQSIRFKIYTDDKVVQHTTKLNEAFAAVRGVPLSRAHITYGGYYDSV >RHN66544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13602694:13607149:-1 gene:gene14550 transcript:rna14550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MPMAIFNWTVFTLDPLLYPFTFLQKNSLKTLFTTYFLANLLYQANMAAALVGGAFLSATIQTIAEKLTSSEFRGFVKNTKLNYSQLAELKTTLFALQAVLVDAEQKQFKDLPVKQWLDDLKDAIFDAEDLLDLISYHVLKSTVEKTPVDQLQNLPSTIKINSKMEKMCKRLQTFVHQKDTLGLQRTVSGGVSSRTPSSSVLNQSDVVGRNDDKDRLINMLISDRSTSRNNNLGVVAILGMGGVGKTTLAQLVYNDVKVEQHFDFKVWVCVSEDFDVVRITKSLLESVVKSTTSSASKVWESDNLDILRVELKKNSREKRFLFVLDDLWNDDYNDWLELVSPLNDGKPGSSVIITTRQQKVAEVAHTFPIHALEPLSHEDCWSLLSKHAFGSKDCDRRKYPNLEEIGRKIAKKCGGLPIAAKTLGGLMRSKVVEKEWTSILNSNIWNLRNDKVLPALHLSYQYLPSHLKRCFAYCSIFPKDYPLERKKLVLLWMAEGLLDYSQGENVVEEVGDDCFAELLSRSLIQQLSNDAHEEKFVMHDLVNDLATFVSAKSCCRLECGDIPKNVRHFSYNQEYHDIFIKFEKLYSFKCLRSFLSTYSTMYNYNYLSLKVVDDFLPSQKRLRVLSLSRYKNITKLPDSIGNLVQLRYLDISFTKIKSLPDTAYKLYNLQTLILSSCESLIELPVQIGNLVSLRHLDISGTNISELPVEIVGLENLQTLTLFIVGKRHVGLSIKELEKFPNLHRTLTIKNLDNVVDAGEAHDANLKSKEKIEDLELIWGKQSDESQKVKVVLDMLQPPINLKSLNICLYGGTSFPSWLGNPSFSNMVSLRISNCEYCVTLPPIGQLPSLKELEICGMMMLDTICSKFYYVQGEVGSNSSFQPFPSLELIKFDNMPNWNEWFPFEGIKFAFPRLKTMELRNCPELRGHLPTNLPCIEEIVIKGCSHLLEKPSTLHWLSSIKEMNINGLGEKTQLSLLDSDSLSMMQHVVIEKCAELSVVPKLILRSICLTYLRLHSLSSLTAFPSSGLPTSLQSLHIKDCENLSFLPSETWSNYTSLVSLYLWSSCDALTSFPLDGFPVLQTLDLSDCRSLDSIYILETPSPQSSSLRHLEIRSHDLIELFKVKLRMNSLTALEKLFLNQGVFSFCERVCLPPKLQSIVSFSQITTPPVTEWGLQNQTTLSELVIGNDDNIVTTLMKDLLLPISLVDLSIIDLYEMKSFDGNGLRHLSSLEYLYFWNCQQLESLPENCLPSSLKSLSFQMCEELESLPEDSLPDSLKQLTIWGCPLLEERYKRKEHWSKIAHIPVIDINEKVTI >RHN80952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42142796:42144731:-1 gene:gene4938 transcript:rna4938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRHSCCYKQKLRKGLWSPEEDEKLLRHITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQEEENLIVELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKRLRQKGIDPVTHKPLSEVENNGEENANKSREKAPDILLSNELNLLKSESSKSDAASSYEQRTTSSISPKAYAMEMEGSCNKEFFIDRFIQPSDLMGNFPLQMSYANTENDCLPNDSNTSSNHWFNQTGKSFDMNSDQFHFNPTTTSTTMFLPNSFCCNNSLDIPSDNVSTEMRTSSSSTLNLHNNNFSSWGGLMENHTEEAKWENNNSNYFQNPILMLASESLCNEIKPPLMNFVPDSFGGILPHTKQEQEQSQTTSNIFSKDIQKLREAFGDM >RHN67041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23186965:23187443:1 gene:gene15173 transcript:rna15173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MEENINIIEARQELMVSPSSDENQTFRTAYFLKPCNKEHSYSLPPHFSISFQTTTNLPLEVKYNGWRRPQDEWKKWVNKLRPKFEFLWIKTGIYHAITASTYEIKRNDALILQLADRWCSKTNTFVFPWGESTITLEDIK >RHN44211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2446396:2447312:-1 gene:gene38301 transcript:rna38301 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIGVKFGCCVEQKLRLFGENLGTFEGMFDDDKDEGEVRSRVIVGNKLLWFGGMKKCCFGMWNCCDGYFDFDVMMMMMLMNLIPSLKLQLKLCMPWLMLLLEEGDCVFLHML >RHN72067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4742931:4743358:1 gene:gene7738 transcript:rna7738 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWCYLRLHRWFDQSVALLLFGGSVVADICGRFDVVQFQNPKGSVDFKNPKWSGVTNVLESSLDLGSYVYA >RHN41561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31067679:31077059:-1 gene:gene47895 transcript:rna47895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthine/uracil/vitamin C permease MPQMQCIHQEKAKMETGSSLDIFDKGKVMRGVRNKKKQDSNAIKIEPFVPRRDHNPKELKTWAKKTGFVSDYSSEAGASSSANLDSVIVVDDDHREGGNSPKIEIDPVLGIARPNRDDEIELDSESKHGIRSEKDKFLRSNYALNGTVGNQNQKRKNGLESVLDYGDKKIDLRGNGDTNGSTENLIRDGNGHGLGISAVAPMPEQNKEEEGVSEGEVKVNLYKEGEEPADRGWHRPSDMKFGLTENPGLVPLVYYSLQHYLSLIGSLVLIPLVMVPTMGGTDNDTANVISTMLFLSGITTILHSYFGTRLPLVQGSSFVYLAPALVIMNSEEFRNLTHHKFRHIMRELQGAIIVGSTFQCILGFSGLMSLLLRIINPTVVAPTVAAVGLAFFSYGFPQAGTCMEISIPQIVLVLIFTLHLRGISIFGNHLFRIYAVPLSLTIIWIYASFLTAGGAYNYKGCNPNIPSSNILIDACKKHAYTMKHCRTDISNALSTSSWLRIPYPLQWGFPIFHFRTCIIMVITSLVASVDSVGTYHSSSLRINLRPPTPGVVSRGIALEGFCSILAGLWGSGTGSTTLTENVHTIDITKVASRRVVELGAAFMILFSFMGKVGALLASIPQALAASVLCFMWALITALGLSTLQYGQSGSFRNITIVGVSLFLGLSIPAYFQQYQPQSSLILPSYLVPYAAASSGPFHSGIKQLDFAINALMSLNMVVTLFVAFILENTVPRSSQERAVYIWTRPQDIATDPSLASAYSMPRKVSRCFCWAKWLGV >RHN69625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45022411:45023320:1 gene:gene18117 transcript:rna18117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MNSSKVDIQWTKIIRDPFGTFILVTYNTSLLIISLVLSSQCLCREVAFEYNKKINGVARKLLQGISESLGLESDSIIDSSDSDSGLHIMGVNFYPPCPQPHLALGLPPHSDFGFLTFLIQNGIGGLQVKHEDKWLNVNPLPNCLVVNIGDQLEAVSNGRYGSVLHRAILNNKDTRISLVVVNGPARDKEIGPAPELLEKEKPLFKSIKYCDYLLVQQKSQLSDGRTLDQIRYSSQ >RHN76772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1184773:1185174:1 gene:gene147 transcript:rna147 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLYRTRSLLIKTQLIIKTISTFPYLNQKPKLVNPTPTSFSLPSNSTSGSPLYNENWQNLIPKTSYLLATLCALHSLTYDFHKLLKEMFEAWVRSLDKNGKPNKPDANLFNHYLRANIIIGAYVSDLLGLLA >RHN75478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42570407:42577297:1 gene:gene11694 transcript:rna11694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MTRIYVQRGSSAASGSSQNTNSNPNPNRAGSSSVRPEQVTQVQSVTKDEESGEEEPGLVVADDVLEYAGSSNRAKGDDPLMESLHFEENDTHTPLGNEVLVDLPGKDVKEEVEGLQESRERPVIEKEGLCEDNPVVSGGGGSSPPPPPVPPPKPSSTNLNSRRSASGSPNAVNVGSPRRGSAWPVVVSARTSPAESRPSSPRAHSESEGYNSADEQNPCYVSSYDDVERERQFEIDIRRVKGFEVKRMSEDGNCLFRAVADQVYGDSELYDLVRQMSVDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQAMCEMYNRPIHIYSYSTEPINTFHGSYDTDTPPVRLSFHHGNHYNSLVDPRRPTIGAGLGFSSLRGTNVDKDQVKAAIKSQQDQQIDNALLAEGRFYSDLELTEKEIELAVMEASRAEYLAGGTFKQQLGNRESSTSTAEPSSSGARSSGSDPKMESGKGNNSNLSSSMNMLLSMGFSYLQAIEAYSIFGDDVDSMICYLLETGSSSRRKGKATE >RHN80910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41812693:41814664:1 gene:gene4892 transcript:rna4892 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLDPSVFSVACSGSTSLIISPTSSPTCNCSLSFSSTPLDRGSMFSSFTRIASDPELLVSFTASISESFGGSTSVTRGSFTVFVISTSVATASSFTVSVGSTSVTIGSSFTVSVASNSVAAGSSFTISVGSTTVVTGSSFTVSVASTSVATDSSFTVSVASTSVATGSSFTVSVASTSVATGSSFTVSVASTSVAAGSSFTVSVASTSVATGSSFTVSVGSTSVATGSSFTVSVASASVARGSSFTVSVGSTSVTTGSSFTVSVTLTSVAAGSSFTVSVASTSVATSSSFSVSVASTSVTTGSSFTISAVATSVTMGSSFTVSVGSTSMILVSSDIAAAVFSSLASTVDSTIAIAFGCSASSDFSCDSFSGSFVTFGSSVARGSSSGFSSFSALVSEISACFTFSSN >RHN55383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17817042:17817350:-1 gene:gene30556 transcript:rna30556 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIDVVGNKRRKRCGRVFRFKNFGEQGYPLMFNGASFRENVNGLLEYANLESNLKMGMPMWSFQLELNHHPPLYILLFVIEESIEAALNRHCNHCQYVGNV >RHN76007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46949076:46951782:-1 gene:gene12277 transcript:rna12277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase C MASSLRFLKMCLSFTMLLHFLFFISPSLSFLQLHPKNNDILLSSTLIRGLNLFPKSSINIPENDPHVLHGNIVEKKFTFPGFDDSGYSVEELGHHAGYYSLPHSKAARMFYFFFESRNSKDDPVIIWLTGGPGCGSEIALFYENGPFQFSKDKNLSLVWNEYGWDKASNIIFVDQPIGSGFSYTTDVSDDIRHDEDSISNDLYDFLQAFFKEHPQFTKNDFYITGESYAGHYIPAFASRVHQGNKAKEGIHINLKGFAIGNGLTNPDIQYMAYTDYALENGLINKDEYERINELIPPCQKATKKCGIALSSFLFLSHKSFSTKREFYQFIVQVTCYFSLLTLLIYLLDTEGGHACGTALTTCMKIFYQITNITGNTNYYDIRKKCEGAFGHCQDFSDIETLLNMKTVKEALGVGDLKFEYCSFLVHAALTEDWMKNLEVGIPALLEDGIKLLVYAGEKDLICNWLGNSRWVDAMKWSGQTTFKESPTTSFLVDSEEAGILKSHGPLAFLKVKEAGHMVPMDQPKVALQMLQDWMQGKLHSAQNQNHILVV >RHN72043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4601179:4603241:-1 gene:gene7712 transcript:rna7712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine permease, plant MEMDQEPHLQSSDNIKVPNSDINIIVTQQLQDPRSKDYRWWFRVILYIIFLLVGQSSSLLLERLYYDKGGKSKWMISFVQSAGFPLLLPLIFYFKPHDQFKNMFSNDNSSIIKPNFFALYLGFGLLVEGVYLMYSYGLVYLPLSTFSLICSTELAFNALFSFFLNSQRFTALIFNSVFLLTISTSLLAVDSISEDSTDLHREKYILGFLFTLCACAAFALYLALVQYSFEKIIKRETFSAILDMQFYPSFIATCACVVGLFASGEWKILEKEMEEFANGKKSYIITLVCCSVTWQICYIGILGLVFEVSSLFANIIGSLVLPLVSILAVLFFHDKIDGVKSIALIIAIWGFFSYIYQNYLDDKKAKEDKVIPLEVSSDVIEI >RHN48179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45607819:45609574:1 gene:gene42866 transcript:rna42866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative autophagy protein Atg8 ubiquitin MGKINSFKQEFSLDERREESQNIIVKYPDRVPVIIEKYSRTDLPELDKKKYLVPRDMSVGQFIHILSSRLRLTPGKALFIFVKNTLPQTASLMTSIYQTYKEEDGFLYMCYSSEKTFG >RHN67149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24337568:24349292:-1 gene:gene15298 transcript:rna15298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,4-alpha-glucan branching enzyme MSTTTTLSIPLNIIFFHQIQTTPTSSFSQFPQTVTFSRRKTVVKTACSSSQQNPQQQQKQYKKKKELNNNANETDFEAEKGYDPVGFLVKRGVSHKLFAQFLRERYKALKDLKDEILKRHMNFKEMASGFELLGMHRHPEHRVDYMEWAPGARYCAITADFNGWSPTENCAREHYFGHDDYGYWFIVLEDKLREGEEADELYFQQYNYVDDYDKGDSGVTVEELFKKANDEYWQPGEDRYLKNHFEVPAKLYEQMFGPNGPQTMEELGDIPDAETRYKEWAAKNGPSPYAVIDDGKNYDIFNVKVDPEWQERIRALEPPIAYWLETRKGRKAWLKKYMPGIPHGSKYRVYFNTPNGPLERVPAWATYVQPELDGRQAYAIHWEPPPEDAYKWKNGSPKVPKSLRIYEAHIGISGSEPKISSFNDFTDKVLPYIKEAGYNAIQLIGVVEHKDYFTVGYRVTNFYATSSRYGTPDDFKRLVDEAHGLGLLVFMEIVHSYAAADEMVGLSMFDGSNDCFFHSGKRGQHKFWGTRMFKYGDLDVLHFLLSNLNWWVEEYQIDGFQFHSLSSMIYTHNGFASFTGDLEEYSNQYVDKDALLYLIMANEILHVLHPNIVTIAEDATYYPGLCEPTSQGGLGFDYYVNLSAPEMWSTFLETVPDHEWSMTKIVNTLISKREYANKMLLYAENHNQSISGRRSFAEALFGEIDEHSEDYKESLLRGSSLHKMIRLITLTIGGRAYMNFMGNEFGHPKSVEFPSPSNDFSYSLANRQWDLLEKDGIHCDLFTFDKDMMKLDEKERVLSRAFPTFHHVNDSSMVISYVRGPLLFIFNFHPTDSYDSYAVGVEEAGEYQLILNTDEIKYAGQGILKEDQYFQRTISRRVDGHRNCIEVQLPSRTAQVYKLKRILRI >RHN67287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25570142:25570477:-1 gene:gene15466 transcript:rna15466 gene_biotype:protein_coding transcript_biotype:protein_coding MICLYYLKSCFLYFGLYLEDYEVYSDTLTRQWIAEGFVKEYGGRTMEEVAEGYLKELIHRSLVQVDSVSIDGRVKSCRVHDLVHEMILRKYEDLSFCKNITSKQLSLTGMI >RHN47887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43328284:43331337:1 gene:gene42538 transcript:rna42538 gene_biotype:protein_coding transcript_biotype:protein_coding MIQCLTMLEYLEYAKTLGGEPYLYYSRKALHYKLEISRNKEKSGDMDTLVHKTRRI >RHN65612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3779219:3779685:1 gene:gene13470 transcript:rna13470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S19e MWTMSELVTSIARKIYLRGGLGVGAFQRIYGGSQRNGSRPPHFCKSSGSIARHILQQFSSCSCYYASVGRKITSSGRRDLDQVAGRIVIAP >RHN77437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6863799:6864284:-1 gene:gene889 transcript:rna889 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLLVMRPSMLPNGIGEISFQDTRAEVTEFVKDRHSIQDEKQVSEMLDRVCKYVDRVSPSKVKGDRSKYVLFDAFKLAKNVMEIKDDEEWETKKMWKFITQVWVEMLAYAACHCQVIHHAQHLRHGGELLTHVWLLMAHFGITDRLQISKGFGRAKLIRK >RHN71560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1037462:1039319:1 gene:gene7173 transcript:rna7173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b561 and DOMON domain-containing protein MIGAQALVAIPQASGSPKAYTSNIVDTSTRLQEGTISYPVSGLSATYQNNKVTIFATLTLPNGTTSLVHVWQDGVLSSDSTPQEHSHESSHQNSKEVLDLVSGTSQAASGIGSRQRRRNTHGVLNAISWGILMPTGAVIARYLKVFKSADPAWFYLHITCQVSAYIVGLSGFGTGLKLGSDSEGITYDTHRALAIVLVTLATLQVFALFLRPNKDHKLRFYWNIYHHVVGYVTISISIVNVFKGFEALGDFVGDRYKNWKHAYIGIIGALGGIAVLLEAYTWMVCMKRKKADNKTSDGVNGANGHGSSTL >RHN53236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:289404:291892:1 gene:gene28125 transcript:rna28125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEPTGLISQEWTSLSGLYTAEESDFMNQLLGNSSFSQHFYQNSNFGTETTFWPPYDSTIVTAQVPSSTSDTSNLFPTTSCFNNNPVTTFDYISMGLSIDYSKFTPCTTQSDEQVLANKNLQARKTEREILVSEPEEDKTTSTENSGKRSRSSNEVPKNKRNVKCRKMIRCASISTEENTSTGSQDQTLSGYCSEDESNSSHEPRGRESSSLSLNDSAAKLSGKSRSSRGPATDPQSLYARKRRERINERLKILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDTWMYSPIAYNGMNIGLELGITPTKVTKDMHNNIPIKENAAL >RHN39430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7055299:7058404:1 gene:gene45452 transcript:rna45452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP binding protein, second MPLTHVDEKLCYQILHEYKIHNAEILFREDATVDDFIDVIEGNRKYMKCVYVYNKIDVVGIDDVDRLSRQPNSIVISCNLKLNLDRLLARMWDEMGLVRVYTKPQGQQPDFGDPVVLSADRGGSSVEDFCNHIHRSLVKDVKYVLVWGTSARHYPQHCGLSHVLCDEDVVQIVKKKETDEGGRGRFKSHSDAPARICDRQKKAPLKQ >RHN57066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36219892:36221120:1 gene:gene32559 transcript:rna32559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MFHHSTFMISLTTFSLYLLLSLLPTTTTSLPTIGVTYSSTTRQDSPPPPSPDRITTAMQNLKLTHLRLEEPDPSIIRSLLYTNISLFLTIPNYLVTPIATNRSIARAWIYTHVLPFYPRAKITTISVGNAFTDVYPESINNLLPAISNVHISLRDLGIRKISVSTSFSFVTAVTSPFPPSSAAFQEIPGVNLIGPLLQFLSDTNSSFLINLYPYNLYRLRPEIPLGIALFQEHPFNFRDDFTTGVRYKNLFDIMVDAVVSAMALEGYETIPVIVTETGWPSSGSELDANSAYAEIYLKGLVKHLKSGAGTPLLKDGVKGVYLYELFDKEGAGNGRNWGILYPNGSTKYDKIDFSSGWKRLVNVGFILLLIVLQIGL >RHN51054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13440714:13442297:1 gene:gene35424 transcript:rna35424 gene_biotype:protein_coding transcript_biotype:protein_coding MRFATKYKGSSILNLRATQVHSMENSTLDKELNLFQKHVTDRFNELSSIANNDLLSLSWVSKLLDTFLCCQEEFKMILHNNRSMVCKPPLDRLVNDFYERSVKALDVCNAIRDGVEQIRQWGKSLEIVLCALDHKRIIGEGQFRRAKKALVDLEIGMIDAGSKDSNNVSSFGNRNRSFGRNNVSKVKDSSQFGHFRSLSWSVSRNWSAAKQLQALGTNLCFPKSNELVATNGLALTIYTMSSILLFTMWSLVAAIPCQDRGLHLNFSVLRQLPWASPVMSLHERILEESKKRERKNCCGLLREIQKIEKCARGMNELADSLEFPLSEEKEEEVRIKVEDVVIVCESLKDGLDPLEIQVREVFHRIVRGRMEGLDSVS >RHN75077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39201997:39205487:1 gene:gene11229 transcript:rna11229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MKLHKHICLFLFLFSLISSSQAYTFYVGGKDGWVVNPSESYSQWTGRNRFQVNDILVFKYKKDSNSVLVVNKEDYDKCNKKYPIKKFDDGDSEFQLDRSGPFYFISGKDDNCEKGQKLIVVVLAVRKISPPYVPIIPPKTPSPIHSPPNVPYTPPKVPSPGNQPPYVPITPPKTPSPIINPPNVPYTPPKTPSPENQPPYVPIIPPKTPSPIYSPPNTPYTPPKSTPPSPNQPPYVPTPPKTPSPIYNPPNVPYTPPKTPSPRNQPPYVPITPPKTPSPIHTPPNVPYTPSPTNQPPHVPITPPKTPSPIHTPPNVPYTPSPTNQPPHVPITPPKTPSPIHNPPNAPYTPSPANQPPHVPITPPKTPSPIHTPPNVPYTPSPTNQPPYVPITPPKTPSPIHTPPNVPYTPSPTNQPPYVPITPPKTPSPIHTPPNVPYTPSPTNQPPYVPITPPKTPSPIHAPPNVPYTPSPTNQPPYVPITPPKTPSPIHTPPNVPYTPSPSNKPPYVPITPPKAPSPSNHAPNVPITPPKTPSPTYNPIPPSNVPYTPPKAPSPNNEPPYTPIPPKTPSPVSQPPYVPITPPKSPSPIHTPPNVPYTPPTTPSPSNHPPHVPIIPPTTPSPFSQPPYVPTPPKTPSPISQAPYIQTPPKAPSPSNNPRNVPTPPKTPSPISQAPYIQTPPNTPSPTSQPPYIQTPPNTPSSPTSQPPYISTPPKSTPPSSQTPYISTPPKSTPSPSSNTPYIQTPSGAPSPISQPPYIQTPPNAHSPTSQPPYIQAPSTSSPSSSLPPGVAQTPSGNGIAPSPYQFNNPTSPSSSPSASSPVSTTTSPPASTPASSPVSTTTSPPASTPASSPVPTTTSPPAPTPASSPVSTNSPTASPAGSLPAAATPSPSSTTAGSPSPSSTPPGTRSSNETTPGRSIGVASASPSGVWAYSITILVGAALASTILG >RHN63040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47878119:47879919:1 gene:gene25692 transcript:rna25692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MDTSFLLCEEDHTFLLMHNNDHNENINNSKYEEKEHIEYLFQLEKTESMSFNYCSNSTIGLVHFNNIRVNAIDHIFNTREKLGFKLCTAYLSVTYFDQFLLKQHIHIHEDRPIQLLYAACLSLAAKMTEERDLSLLLKCITEIDQEGGFIVKQCIRQMEVEVFSTLEWELTTVTPFDFLHYFVYLFCPESSSEPLISQAVEHVLDILKDVNLMDLRPSVIALAATLMVTFDATLTREIMDLHIGDILLQLNLDTEGVFYCYHLMKEKSKEKGKLNTSVSTLTSQWSYTSVLDHSVSSSIARRKFGFDNKENCPRQRSHWS >RHN71097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56471692:56474628:-1 gene:gene19740 transcript:rna19740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diphthine synthase MLYIIGLGLGDEQDITLKGLEAIQKCDKVYMEAYTSLLSFGLSSHGLSNLEKLYGKPIILADREMVEEKADDILSQAQLSHSAFLVVGDPFGATTHTDLVVRAKKMGIEVKVVHNASVMNAIGICGLQLYRYGETVSIPFFTETWRPDSFYEKIQQNRNLGLHTLCLLDIRVKEPTLESLCRGRKAYEPPRYMTINTAIEQLLEISQAREESAYTEDTECVGLARLGSEDQIIVAGTMKQLQLIDFGAPLHCLVITGKTHPLEEEMLDFYRCKP >RHN57897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42474328:42474585:1 gene:gene33488 transcript:rna33488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MHLKLGEVPYIIVSSPEMAKEIMKTHDITFCDRPNLLLPTILTYNNTDIAFSIIHGEHWRQLRKLCVIELLSAKRVQSFSSIRSK >RHN46612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33247264:33248140:1 gene:gene41119 transcript:rna41119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRRRNMAILLKFVYIMIIYLFVVLVVVEGYSVYGCNDDTDCPPSCTTRGCPDSCAYPHVLRCIGKNCVCT >RHN81628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47646598:47647475:1 gene:gene5699 transcript:rna5699 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKPLEIFSFLQNSDFSVSPLQPHSSFSTQTQMNRRANLSLSTALFKVTHSFHKSPIPISPIPFPPPTSNFHSFSKFTPTHFSIQQNLRNFSNGSSNFDHNKEVDEINLKFAEAREEIEMALESKETVYFNEEAECARAAVNEVLSKFDALLAKLPEKERGALQRSMGLKIEQLKAEILQLDE >RHN39072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3940733:3941041:-1 gene:gene45065 transcript:rna45065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-IV family MGYLAPEYITMGRFTEKSDIYAFGVIVLQVLSGKTAIGGSIRTAFQSFKFDDCIDTNLKGRYSNSEASILTKLGMQCIHESPDERPNMVDVIQELSVFLAHS >RHN80991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42439948:42440133:-1 gene:gene4979 transcript:rna4979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II protein D1 MAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLVAVEAPSING >RHN47344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39022188:39026128:-1 gene:gene41934 transcript:rna41934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MAQSSSESSSSNRRNMIPSFLYGSSTKTLPVIHQIVSSGPAPSAAATPSLRGTGSGRFMIPSPKEPFGKIEMYSPAFYAACTAGGILSCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGVLFKEQGVKGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLGDGLPKFVKSEGALGLYKGLVPLWGRQIPYTMMKFASFETIVEQIYKHAIPQPKNECSKGLQLGVSFAGGYIAGVLCAIVSHPADNLVSFLNNAKGATVGDAVAKFGVVGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGAAPAPVAEIAKE >RHN63196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49258077:49258850:1 gene:gene25872 transcript:rna25872 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFTSSITDSPKLGTWVPFVLYKLRKPHSTLAFFPCCSSVSINWARIFSAHSRHVAVPRREITISLLPNLEISLLSLQTATYPIQLDLWVIHPRSGATSSTHLGKPIDDVAYKLLVTLYDLSVSDTWRVCGSSCPDMAGLE >RHN63542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52077892:52079428:-1 gene:gene26254 transcript:rna26254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MALHKLLVFGLLLLVCLSKVSSDHEIEKEEDEEMHLTDKPLIVRDGNRRLMQDIDCGGLCRSRCSVHSRPNLCKRACGTCCVRCKCVPPGTAGNREFCGACYTDMTTHGNKTKCP >RHN48495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47949260:47952792:1 gene:gene43215 transcript:rna43215 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQLRLHNVRSTAQLLKQATSSFTSNLFTFLFLSLLIFSFRTVVENGTSRFISFVDGDPSVKSLLSRLDLAGNAVNAANYQHRQRSSQFHPSPAALRRRRPFLHLTRVGTLDDDFFSGDDDDGRTLFGSNSKAPVNGSVVDFGPFSLDSGFSDLVADNGIRVFQIVRSGITLKTEDSEIEVEKNENDDKDIDDEKDKTKEKEVEDEEGKKKEKSDMGNGKEEKVKSVDFQIFVNGIEISRRDTTLFFVLLGSLSVAYGWVIMVFLVTYSCVLGVVVVSVVNDLVGRFSSTTGVVWDGFQLGLKRISAVVLIRWAVRDAVTQLVGIWYFSEIEDQFSFFKLFVRLKLMPFSVMSPWVTGFEKEISGFLVTWFLMDTFVTFIFSVDFWVALEDFRRGSKEIVKEGCYLLSSMFYHAFLIKCLEAVLCGSLMRWFLARICGKVFAKMFQSTMEVYFMVAWLVFYFVAKSRDANQQGRRFGQREIEGLIDGHR >RHN40164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13796114:13796594:-1 gene:gene46278 transcript:rna46278 gene_biotype:protein_coding transcript_biotype:protein_coding MPWVFEKIELLPSPYDEEDEILFIPRWTDTSLEDCSTSIPGLNLPDEESLIVYDAPESNIDIEDDLDSSDSTYDMIKKNVVEYVLNNDDNDDHDDNDDDDYHNFLIMVLLVQHGDDDGYVGDDEVDDFY >RHN67493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27677703:27678382:-1 gene:gene15686 transcript:rna15686 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVREALGVTLSILCSNIRLYHSSHRDNVGDESTNSADNLMKDESWVHCLTVRAAEAVVNIQIASQSDKAVNTIDTNSQNRHLDGDSQDDMKWMETLLYFIISSLKSGRSSYLRDVIVGLLYPVISLQDFDSLILLSLQV >RHN76018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47026750:47026951:1 gene:gene12288 transcript:rna12288 gene_biotype:protein_coding transcript_biotype:protein_coding MWVIRKARNECLFNEGVIRCAELVEEIKVLSWRWSLTRLKIPPCLFYEWVWNPKDCLSR >RHN61633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37037127:37039649:-1 gene:gene24117 transcript:rna24117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MLQSLVPRPPLSSKPNMNTKRAQREHDDDDTNVHEPSFKRPNYSSEKAVAEHDARDDEQQQILEHETETETEGEESTGLKLLGLLLQCAECVAMDNLDFANDLLPEITELSSPFGTSPERVGAYFAQALQARVVSSCLGSYSPLTAKSVTLNQSQRIFNAFQSYNSVSPLVKFSHFTANQAIFQALDGEDRVHIIDLDIMQGLQWPGLFHILASRSKKIRSVRITGFGSSSELLESTGRRLADFASSLGLPFEFHPVEGKIGSVTEPGQLGVRPNEAIVVHWMHHCLYDITGSDLGTLRLLTQLRPKLITTVEQDLSHAGSFLARFVEALHYYSALFDALGDGLGVDSVERHMVEQQLLGCEIRNIIAVGGPKRTGEVKVERWGDELKRAGFRPVSLRGNPASQASLLLGMFPWRGYTLVEENGSLKLGWKDLSLLIASAWQPSDLIAYVD >RHN62115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40847878:40848832:-1 gene:gene24649 transcript:rna24649 gene_biotype:protein_coding transcript_biotype:protein_coding MCVTKSLQQKSAMIGLRERERTRERRVSLARAGILLLWVMLVFALIVTLFFSINNETKNNNNNNHSNIRLLRQRTFNKALLSHAPSKQRTRTTHHHDQARTTTNVGDPLYGDDKRLIHTGPNPLHN >RHN41902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33997797:33999093:-1 gene:gene48270 transcript:rna48270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MKTYLTHLYIIQEVVFFGKQVQQFAQVRGNITQILGAAKADSFISKAVFLISTGSNDIFDFANNNTEFHVGVEEYLSILQLTYFSHLKNLYELGARKFGILSVAPIGCCPAVTSGNGGNCVKPLNDFAIVFHRAIQALLQKLSSGFEDFEFSLANTFEMTSDLLKSPSTFGLKDTQSACCGLGKFNGEGPCLKSLNANLCKNRDDFLFWDWFHPTEKASELAAVTLFTGGKEFVSPKNFGQLAC >RHN69529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44168563:44170559:1 gene:gene18009 transcript:rna18009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-pyruvate monooxygenase MEVEIPVVIVGAGPGGLATSACLNKHSISNIILEKDDCHASLWRKRAYDRLKLHLGKDFCNLPHMPFPSDFPTFVPRVDFLRYLDDYVTHMKICIRYNRYVNDASFDATNGKWRVCVHDTTLNLDEIYVADYLVVATGENCDPYIPMINGLESFEGEYLHCSKYLNGRPWYDKNVLVVGSGNFGMEIAYDVSTWGANTSMVIRSPVHYLTKEMVYIGMSLLKYISIEKIDKLMVFMSKMVYGDMSKYGLVRPKDGPFAMKKKGGRTPTIDVGCVKQIKKGKVKVYPAISSIKKGKIIEFADGKSGQFDVIVFATGYRSSVQKWLKDYKELFNENGMPKARFPDHWKGENGIYCVGFSRNGLQGIHFDALKITNDISFTVNAMKHHDADANA >RHN46201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29589441:29589846:1 gene:gene40651 transcript:rna40651 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEHHLTFFTMFLVLSLHLKTNKMRFRGVVSGLRNILYCFHSSRCNSHLSKIIQLYRCFECFLVRNHVNRPIKTSCEGSRI >RHN77603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8066253:8072892:1 gene:gene1073 transcript:rna1073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdate-transporting ATPase MWKSNFLVFRHIISPCKTIVLPKKNPNIPIPSIRFSTQLQSFLDSASTSILYSLSIQFNSIHFLIVIWDLGFVCCNMSDERLTRIAIVNNDKCKPKKCRQECKKSCPVVGTGKLCVEVTSASKIAYISEELCIGCGICVKKCPFGAIEIINLPKNLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFTEPPDWQEILTHFRGSELQNYFTRILEDDLKAIIKPQYVDHIPKAVQGNVGQTLDSKNERDMKEKLCADLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRPNSFVIVVEHDLSVLDYLSDFICCLYGKPGVYGVVTLPFSVREGINIFLSGFVPTENLRFREVSLTFKVAENPQETAEEAQTYARFKYPTMTKTQGNFRLHAVEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDTTEGVSDVEMPEFNVSYKPQKISPKSQLPVRYMLHQKIRDAYTHPQFISDVMKPLLIEQLMDQEVQNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVAAKVIKRFILHAKKTAFIVEHDFIMATYLADKVIVYEGQPSINCTANCPQSLLTGMNLFLSHLDITFRRDPTNFRPRINKLESTKDREQKNAGSYYYLDD >RHN72904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11697584:11698297:1 gene:gene8670 transcript:rna8670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MSSGRKSQGRQKIEMKKITNESNLQVTFSKRRSGLFKKASELCTLCGADVALVVFSPREKVFSFGHPNVDTVIDRYLSRVPPQNNGTMQFIEAHRSASVCELNIQVTQINQLLDIEKKRAEELSNLHKATETQFWWAGPVDGMNRAQLELFKKALDELKKLVAHHVDRLVIQGAPTQTLPYFAGNSSSSNMPLHHQPNPPQAHMFPAQFYQNPMLQPHLFGFNNMGGGGGYGPSRFF >RHN68462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35758940:35762267:1 gene:gene16823 transcript:rna16823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MFYIYEDIICLLPPFFLLKCLCLHLLNAQTQERNIFFVSINLLNKIHSTHTHIMSHDNKPFEVCFKNNRTVGSLGVWIGDNPLDFVLPVTLCQIILYFMFSRVLYCLLRPLQTPRFICSVLGGVLLGPTFLGRNETLFKTLYPLKQSTFLTSLAKIGASYFVFIYALKLDVTMTLKAAKRCWRFGVIPFLASFISTSILLKMYTPNGSSKTGSAYSIPNVFTVTSFAVVSQALTELNLMSTELGQIALSSAMITEMMQWVTITLQIQVKTMKFKNIFFAFIALGLCVLYILSFFFIVRPMARFIIQRTPIGKPLKEIYVVFVLLGVLIMVAISDALGLHFVIGPILFGLAMPNGPPLATTIVEKSELIVQELLMPFFFSYIGITTNLKGIAKNWKVVFVFQSILFVGFLAKVLACVFVAPTYNMRRKHGFVLGLILNIKGIMELIFFARQRNTLVINNEVYSQMVLYVVVMTGICIPLIKNMYKHGSRVTMVRSIHDGGVRTIQNTPENSEFNIICCMHNDNNVHSMIGLLEVCNPTQKSPLCVHVIHLTELLGKSTPILLPIKMKNQKALSIHYPTSSHILRAFENYSKNSEGPVTIHSYINVSPYNSMHEAICNLAEDKLVPLLIIPFHENDKSTSSDIVITSIRDLSINFQARAQCTVGILVDRNSRISMSTTKLSFNVAIFFIGGQDDREALALGIRMLDRPNTSVTLFCFIVHNNENNINNSGDVKFKIDDGEEEDETLENMLDESLIDEFKGKKLNIDNVVCHEIVVEGYTQLLEALRGLGNENYDLVMVGKRHNIGDLTDEEMTNFMENANLLGVFGEMLSSTEFCNGKVPILVLQCGEKKVNHFGKLSSLVNDGSHRKKLSLNALF >RHN55950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25920525:25920977:1 gene:gene31244 transcript:rna31244 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAPSIPHRVKFGSPIPHRRRKPPLPAKMIAISVTVCYSLSVNSVISSEKSSTGAGYAPICLGLEDFYIRIPLSAMCMAENFEIELTS >RHN71120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56613081:56614139:1 gene:gene19768 transcript:rna19768 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFDLILIIQLNVHCIYSEPSTPIFFHFSPSSLFLFFIIFKIIFMNIHSKKR >RHN40194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14064084:14070329:-1 gene:gene46314 transcript:rna46314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNDGRQMGVHYMDGGGFPYAVNENFVDFFQGINHVPVNYAFPGSMPDQESVYWSMNMSPYKFGMSGPGSTSYYNSYELNDNLPRMENNRVEWEYPSEVISEEPATSDSPPRRDGVNMQTIPEECSPNHQESSSSQVEVIWQDNIDPDNMTYEELLDLGEAVGTQSRGLPQELIDTLPTSKYKFGSLFKRKNSGKRCVVCQMTYRRGDQQMKLPCSHVYHGECITKWLSINKKCPVCNTEVFGEESTQ >RHN40496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16932962:16938072:-1 gene:gene46652 transcript:rna46652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MEFTRLFVFVLFCLTLLGINEAQSGKEYWKSIWPNTPMPQAVLDLLQPESRTNLPIRGEENKQYWTVFFDHDLYPGNKISLGIRKNYDAKPKHLGAPTWSKKESQPYEAHTRGEKRSEDISQPFGAWKSSEKTNEEISQPFGAWKSSEKTNEEISQPFGAWKSTWKSSEKTNEEISQPFGAWKSSEKTNEEISQPFGAWKSSEKTNEEISQPFGAWKSSVKPKLSQPFGAWKSSEKTRTRTQGEKTSEEISKPYRASTEGEKISLPFETRTWGVKERKSYVDYCGHPSAIGEDKYCALSLKSMMNFAISKLGTNIKVITSSFAQNQDQYRVDEVKKIGDNAVLCHRMNFKNIIFSCHQVNATTVYMVPLVASDGTKAKAVTICHHDTRGMNPDHLYKVLKVKPGTVPICHFIGNKAIAWVPNNVVDESVDHPCVI >RHN69197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41731946:41732813:1 gene:gene17633 transcript:rna17633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MMAMTLTIVITTLLCILSTASCGRVIVGARTEISDVGTNKEVQELGKFAVKEYNYKQGLNNGGGGEGLKFVEVVEAEQQVVSGMKYYLNISAVDHNGVQRMFNSVVVVKPWLHQYKKVIHFGPSSTFHQHTTM >RHN68250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33985333:33985704:-1 gene:gene16580 transcript:rna16580 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFTGLSSVHEYFMVLGAPPPRRTVGRLFGKVEELFLFPSCWMQSFYSLVTGYGIWLCSSADVRFFCMILFVLYVCVSDMRLGGHKCGLGFVNSKGSGFINPKGLFGYNALDSSHDLGSSAT >RHN61400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35405596:35407417:1 gene:gene23864 transcript:rna23864 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylecgonone reductase MIPFDIKGTWEAMEECYRLGLAKSIGVSNFGVKKLSILLENAEIAPAVNQVEMNPSWQQGKLREFCKQKGIHVSAWSPLGGYKLSWGSPTVMENPILHEIAEARKKSVAQIALRWIYQQGAIPIVKSFNKERMKQNIEIFDWELNQEELDKISQIHQSRFQKAEIFVSDNGPYKTLEELWDGDV >RHN73829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20491079:20491840:1 gene:gene9704 transcript:rna9704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKILKFVYATILIIFLFVIATEVNAFRNPCKSDSDCTKLKLKCDRHTILTCFWRHCYCIF >RHN45162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12524067:12529084:-1 gene:gene39380 transcript:rna39380 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSNMMNIGLASSYKKKKTMLFEVSCSRKRDRDRERGSNSIHPYKVIEITPPPKSLGVRCLPPQNLQCGESVTIEGQTYTISAVTLRYQLRKGKYEQSEKRLDVLSTGRYLVNLYFENLLEQS >RHN56309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29727272:29729034:-1 gene:gene31689 transcript:rna31689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain-containing protein MEHQCFNSHPNSGNSSPTSRELLENDHHHRSFDEPPPSNGPRVKLMIRFGGKIDLRLHDDQNYSYIGGDTKILTVDRSIKFSSLIEKLSSMTFSDLCLKYQQPGGELDSLISVFNDDDLDSMMFEYDCMCRVSPKPARMKVFLFPLPVNNASSDSLDSAFNLAVVEDSKSDGQMFVNMLNSVHRPPPVEDLSPPPPLTMKTSPDYLFGLDDNQAKIPVTEPDFAAKDTECGTETVTEKEIQEIQMVETVNDDEKKVKVNGENDGINGNAEQQVKFDGENGGNNGGVDVSSEENAERVIPLVTSEPSAEDPVQEVQPGSYCSCSVQVPEPVSVQSSFASEMYNVAVAGAGYSMGYVNEPLPVYLIPTPSGLYQAMRPITGPTGQPVYFAYSPIVNNGGGYSHGSYVANRSALPL >RHN55509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19106917:19107433:1 gene:gene30702 transcript:rna30702 gene_biotype:protein_coding transcript_biotype:protein_coding MISCVSGCGHVETAGHLFLSCTTFASLWQQVRDWIGFSGVDPNIITDHLVQFTHLAGVGKAKRSFLQFIWLLCAWVLWSERNNRLFNNSINIVPQLLNKVKLLSLGWLKAKKVVFVYGTQRWWSDHFACLSLTN >RHN66094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8538185:8539123:1 gene:gene14009 transcript:rna14009 gene_biotype:protein_coding transcript_biotype:protein_coding MAYINLSKIIFPLMILAILSAKSITMVVGARNLLESNIPEVPKLDFPPIPKPELPKIPELPKPELPKVHELPKPELPKVPELPKPELPKFNVPEWPKPEFPKVPELPKLPELPKFPELPKLELPKVPELPKLELPKVPELPKPYIPKVPELPKPELPKFNVPELPKPELPKFPEIPNGVPTTTP >RHN56618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32287949:32292514:1 gene:gene32048 transcript:rna32048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyanohydrin beta-glucosyltransferase MNFSGDDMCHVMAMPFPGRGHINPMLSLCKFLISRKPNNILITFVVTEEWLGFIGNDPKPESIRFATIPNVAPPEQEKTIDNFHLFYEAAMTKMEAPFEQLLDQLELPVNVIVGDVELRWPVDVGNRRNIPVASLWTMSASFYSMLHHLEVFSRHQHLTHDKLENIPGISSLHIEDLRTVVRGDDPQNIQLSLECISKVTKANYLLLTTVQELEAETIDTLKSIFPFPIYPIGPAVPYLDLEEKKTKNTDHSHDYIKWLDSQPSESVLYISFGSFCSTSRAQMDEIIEALNSSEIRYLYVAHRETSRLKDKCGDKGMVIPWCDQLKVLSHSSIGGFWSHCGWNSTLDAVFSGVPILTFPLAHDQHPNSTQIVDEWKNGWKVEKSSKLESDVVFAKEDMEELVKRFMDLESQEGKKIRDRARELKVTCRKAIGKGGSSDRNLNEFISDISS >RHN80434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38149211:38150400:1 gene:gene4362 transcript:rna4362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MACWSAENATKAYLSTLKMGQKAKEPNVAEFISALAAGNNAQMMIVACANVADSTTLALIAAANQTGGQVICIVPNHKDLIASKHVLGIASHQVQFMVGKAQEVLMLDQYEAADFLLIDCNIKNHEEILKTIQEGRNVNVVGYNGFSCKGSWLSCGSKTQLLPIGEGLLVTRFGISENNSPRYGTSRSMGKIKSRWVVKVDKCTGEEHVFRVRFPHGKVIQA >RHN48274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46237354:46238913:1 gene:gene42975 transcript:rna42975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MASMTAPFLGHSMIFCRKVNAALFERGLAYVGTDYLSFPLWDKYIEYEYMQQDWARLAMIYTRILENPNQQLDRYFNSFKELASNRPLSELRTADEAAAVAGVVSEGIDQGVEGEVHPDGADNSPKPASAGLTEAEELEKYIAIREEMYKKAKEFDSKIIGFETTIRRPYFHVRPLNIGELENWHNYLDFIEREGDLSKCTSYSVG >RHN44792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8516554:8517067:1 gene:gene38954 transcript:rna38954 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVKMPQWCWTFWTRVMCADLKVAANNLYLISTKQMNSEFVVSLTNFETTYSRYVLAKVKEMILNWIDEKTVDLLFSFVNDHLRKKLVWVISFRYWFAKPLLIYVPSKYNVVQTLVYLAMCDTFNVLMRNVSTHCVLIICNALCFNQ >RHN60677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29344293:29344457:1 gene:gene23028 transcript:rna23028 gene_biotype:protein_coding transcript_biotype:protein_coding MINMDDDLAGELNNVFFCVLPMFIHVFRLVVITYAQLRRGSTVVSTGRFELEGF >RHN73244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14718586:14722099:-1 gene:gene9040 transcript:rna9040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MKRRREGCAVGIDLGTTYSCVAVWQEQHYRVEIIHNDQGNRTTPSFVAFTENQRLIGDAAKNQAAANPRNTVFDAKRLLGRKFSDPIVQDDILLWPFKVTAGVDDKPMITVKYKDQEKKLYAEEVSSMVLVKMREIAETYLESTVKNAVVTVPAYFSDSQRKATMDAGVIAGLNVMRVMNEPTAAAIAYGLDKRTDYGGERNIFVFDLGGGTFDVSLLTIKGTVFQIKATAGNTHLGGEDFDNRMVNYFVQEFKRKNRLDISGNPKALRSLRTACERAKRTLSFQVVATIEVDALFQCIDFSSSINRAKFEEMNMDFFNECVKIVESCLIDAKMDKSRVDDVVLVGGSSRIPKVQQLLQDFFQGKELCNSINPDEAVAYGAAVQAALLSEDVKNVPKLVLQDITPLSLGRELFGGIMDVVIPRNTCIPVKKTKRYITTRDNQSYDLIEIYEGERTRASDNNLIGSFHLYGIPPAPRGSDLSEVCFAIDENGILTISAKNNASGSSDVLTITNHKERLSSLEIKRLFKKLRITILKT >RHN47489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40117174:40121967:-1 gene:gene42099 transcript:rna42099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan 1,3-beta-glucosidase MMKYKNPNESIDIRVEDLISRMTLEEKIGQMLQIERKYASDNVLNKYFIGSVMSEGGSTPVPQASAENWIDMLNEFQKDALSTRLGIPIFYGIDAVHGNSPVYKATIFPHNIGLGATRDPELVKRIGAATALEVRATGMQYVYAPCIAVCRDPRWGRCYESYSEDPKVVQAMTEIIPGMQGDVPDNMPMGVPFIAGNEKVIACAKHYVGDGGTTNGIDESDTVIDRDGLMEIHMPGYLSSISKGVATIMVSYSSWNGDKMHAHHDLITGFLKNTLHFQGFVISDFEGIDRITSPFRANCTYSVQAGVSAGIDMFMVPKFYTEFIDDLTTLVNNKFIPMSRIDDAVRRILRVKFMMGIFENPFADYSLVKYLGIKEHKELAREAVRKSMVLLKNGKSAEKPLLPLPKKVPKILVAGSHANNLGYQCGGWTIEWQGVNGNDDIKGTTILNAVKNTVDPETTVIYKENPDKEFLESNEFCYAIVVVGEHPYAEMHGDNMNLTIPNPGPEIITNVCGAMKCVVIIISGRPLVIEPYVGLIDAVVAGWLPGSEGQGVADVLFGDYGFTGKLPRTWFKSVDQLPMNVGDPHYDPVFPFGFGLTTKPTKPIYSE >RHN77226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5201408:5205943:-1 gene:gene657 transcript:rna657 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMKLEEQEDLTLIPLTTPLQIDKDLTLLPRIKLNLTIHPSNPSSLTSSSIDQFQLKNSLLTFLQTSKTLPFPLPEEDLIFKRFHKDPKKRKRDEPLVYGTLHIWNLTFLQSKNDVVETRNRLVEKMNGIEMNLLGVKFRLEVSVSDCDDFEFMKKGWEEFYAFGNGNGNRKREPDTIVIRGVPSRWFAETRVSSKPSMLVTHTIFEKFGKIRNLNVAEDDYSAKDANEDSGDLVSGLYCKIVVQFEKYKDFHDALRVLCGRSLQKQGSRLKADYEVTWDKDGFFRNSRNQPQEKNNAVIAADHYRSEAPRRQVYNSRHSPDRVRPRRFKE >RHN60865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31121814:31129564:1 gene:gene23252 transcript:rna23252 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLNSSLNGSASNLQDSAGRSFATSFSSQSGAASPIFHHTGAIQGLHNIHGSFNVPNMPGTLTPRNSTINNIPSGGVQQQAGNLSSGRFASNNLPVALSQLSHGSSHGHSGVTNRGGTSVVGNPGFNSGTNGVGGSIPGFPASIGNRNAVPGLGVSPILGNAGSRITSSVGNMIGGGNIGRTGGGLSVPGLGSRLNFGGNSGSGALGMQGQNRLMSSVLPQGSPQGISMQGNSYPSAGGALSQSHIHAVNNMNTMRMFNDVNSNDSSPFDLNDFPQLSSRPSSAGGSQGQLGSLRNQGLGISPIGQQNHEFSIQNEDFPALPGFKGGNADYDMDMHQKEQLHDNASSMMQSQHFSQMGRSAGFSLGGTYSSHRTQPQQHNPSVSSGGVSFSSVNNQDLLHQHGSDIFPSHSAYQSQTSGPPGIGLRPSNSPNAVSGMGSYDPLIQQYQQQKNQSQFRLQMPSLNQSFRDQSMKSMQAAQSTPDPYGLLGLLSVIRMNDLDLASLALGIDLTTLGLNLNSSENLHKTFKSPWSEESAKGDPEFNVPQCYFTEQPPALHQGYFSKFSVETLFYIFYSMPKDKAQLYAANELNNRGWWYHKEYRLWFKRAPNMELLVQTSTYERGTFHCFDPSSFETVRKDNVVLSYDMLENRHLLPQH >RHN53288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:689051:698843:-1 gene:gene28179 transcript:rna28179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MELLPSSEKKGQKRKLEQQEEQFQQVTQISLPLTGDALDAVLSDVDQHVSILLSSFSSNEFDRASAKRATHALADLAKNEEIVNVIVEGGAVPALIKHLQPPTQNDSVQKPLPFEHEVEKGSAFALGLLAVKPEHQQLIVDSGALKHLVDLLKRHKNGLTSRAINSLIRRAADAITNLAHENSSIKTRVRTEGGIPPLVHLLEFADTKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKDVILAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLQSSDVQLKEMSAFALGRLAQDTHNQAGIAHSGGLVPLLKLLDSKNGSLQHNAAFALYGLAENEDNVPDFIRIGGIKRFQDGEFIIQATKDCVAKTLKRLEEKINGRVLNHLLYLMRVSEKAFQRRVALALAHLCSADDQKKIFIDHNGLELLIGLLGSSCPKQQLDGAVALFKLANKAMTLSPVDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGKRFHAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIRWQVFELMMRFIYTGSVDVTLEIAQDLLRAADQYLLEGLKRLCEYTIAQHVSLENVSSMYELSEAFNATSLRHTCILFILGHFDKLSETPGNSDLIQRTIPDIRNYFVNALTKGNSNIQA >RHN52570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36710817:36712268:-1 gene:gene37262 transcript:rna37262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLLRYGFVPVSKKFHSFQFLINTHFNFIPYFSTSTTFHNNNDVDDAVSLFNRLLQQNPTPPNIEFNKILGSLVKSKHYHTVLYLSQKMEFRGIKPNLVNCNILINSFCQLGHIPSAFSVLTKILKMGYKPNTITLNTLIKGLCLNGKIHDKHCIVGKLKDAVDLFNKMILENINPDVYTFTILVDGFCKEGRVKEAKNVLAMMMIQGIKPGVVTYNSLMDGYFLVKQVNKAKSIFNTMAQLGVSPDIQSYSILINGFCKIKMMDEAMELLKEMHHKQIIPNVVTYSSLIDGLCKSGKISYALKLVDEMHDRGQPPNIVTYSSILDALCKNHQVDNAIALLTQMKNQGIQPNMYTYTILIKGLCQSGKLEDARKVFEDLLVKGHNLNVYTYTVMIQGFCDKGLFDEALTLLSKMEDNGCIPNAKTYEIIILSLFKKDENEMAEKLLCEMIEVYCKIETIQ >RHN82362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53174647:53179565:-1 gene:gene6525 transcript:rna6525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MALQNLLSFPKFWSLFQGGRQIDPTAHTIKSHGATLARNHIHDWLMLVLLILIEVILNVIHPFRRFVGRDMMEDLRYPMKQNTVPVWAVPLYAVLLPIFVFLFFYMRRRDVYDLHHSVLGLLFAVLITGVLTDSIKDAVGRPRPDFFWRCFPDGVEVYDKLGGVVCHGKASDIKEGHKSFPSGHTSWSFAGLGFLSLYLCGKIKVFDRQGHIAKLCILFLPLLAACLVGISRVDDYWHHWQDVFAGGLLGLFVATFCYSQFFPPPYNDDGWGPYAYFVAMEEARGNASLNRESPVVEAMVDNRYANQVPRRNIDSFNRSPTLEAMELGHK >RHN59644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12582435:12583124:1 gene:gene21714 transcript:rna21714 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:cemA MAKKKAFIPLLCLTSIVFLPWCISFTFKKSLESWITNWWNTKQSEIFLNIIQEKTILKKLIEFEELFLLDEMLKEYPETHFQNLRMEIYKETIQLIETNNQDRIHTILHFCTNIICFLILSGYSIRGNQELIILNSWVQEFLYNLSDTIKAFSILLLTDLCIGFHSTHGWELIIGSVYKDFGFTQNNQIISGLVSTFPVILDTILKYWIFRYLNRVSPSLVVIYHSMND >RHN41944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34253829:34256243:-1 gene:gene48320 transcript:rna48320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MMKLFTLIKSFYACPFLVLFYPFVVTATFDEPPYKNHSFPAVMAFGDSILDTGNNNYISTIVKANFKPNGRDFIGGKATGRFCNGKIPSDVFLEYLGIKEAMPPYLDPNLSTEDLLTGVCFASAGSGYDPLTIELAEVLSAEDQLEMFKEYIGKLKEAVGENRTAEIIANSMLIISMGTNDIAGTYYLSPFRKHEYDIEKYTSLLVSANSKFVEDLYLLGARRIGIFSLSPVGCVPFQRTVKGGLLRECVEIVNEGALIFNSKLSSSIIDLAKKHPDSRLVYLENFSQLHDIIINHNDYGFENGDASCCGIANIELGPLCSSFTLKVCNDTSQYVFWDSYHPTEKAYKILVKEILEKKLDEFV >RHN39297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5677700:5683650:-1 gene:gene45307 transcript:rna45307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amyrin synthase MFILSHFYLLLNTARMLCYCRLVYMPMSYLYGKRFVGPITPLILKLREELLTEPYEKVNWQKVRHLCAKEDLYYPHPLIQDLLWDSLYIFMEPLLTHWPLNKLVREKALQVAMKHIHYEDENSRYITIGCVEKVLCMLACWVEDPNGDAFKKHLARLPDYLWISEDGMTLHSFGSQTWDASFIIQALLATNLVEDIGSTLAKGHEYIKNSQVRDNPSGDFESMYRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLLLSMLPPEIVGEKMEPERLYDSVNILFSLQGKKGGLPAWEPSKALEWLELLNPIEFLEENVVEREYVECTSSSIQAMVLFKKIYPEHRKEEVENFIAKAVQFLEDKQTSDGSWYGNWGICFTYGSWFALGGLAVAGKTYENCVAIRKAVKFLLTIQREDGGWGESHLSCSKKIYVPLEGSQSNIVQTSWALIALIHAGQAERDATPLHRAVKLIINLQQEEGDWPQQELTAVFMKNCMLHYAMFRDIFPMWALAEYRKGIMLPSIAV >RHN45983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27526700:27532490:-1 gene:gene40415 transcript:rna40415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative solute carrier family 35 member SLC35F1/F2/F6 MVGSKDLDSKAWKWGLGLIYIIAVAIIWIAASFVVQSVVDAGVSPFLVTYICNSLFVVLIPIVEIGRYLEDSYGSLLFWKSDKSLKGRLGESEQAILLRDNEASGEVVESLVIDEVDGIVDRNDGSELLPSDDVVGGLVGRVGLVENVDQKGLDEKGRWTRCRVAKVSLLICPFWFFAQLTFNLSLKYTTVTSNTILSSASSLFTFLVSLALLGEKFAWLKLFSVLLCMGGTIIVSLGDSQSGLRTVASNPLLGDIFALSSAGLYAVYITLIRKKLNDDEGKNGEASMAQFLGFLGLFNVLLFLPVALILNFTKAEPFYMLTWKQLGLIIGKGLLDNVLSDYLWAKAVLLTSTTVATAGLTIQVPLAAIVDTITGHSPPFMNYLGAVAVMIGFAGINIPAEIFSKSTKTTAVELKNEDVNIRDEEHALPRTQDSAATLHSF >RHN74797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36744173:36744833:1 gene:gene10917 transcript:rna10917 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGKPGKRFLDKLKDLLDTRIMEGLKERYVKGQMARKQGEKELQGMIKDSGILCYYGSCRGNHASKWKDGYWFFEVVSPTIFELDADSANKRPPMYTYLENGNFLRDIMNACSSLLLDTLDEVVQMVLGDFTMQKSNICFNCRDFKCTNLLS >RHN64142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56877662:56878159:1 gene:gene26928 transcript:rna26928 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVSFAMDLLASNSPDEQLIGARVLRQFSISAPFSENTLQKIGIDISVVERLVEMLNWTYHKEEEIRLSSAETLSKLGGMKQNSLRIAAILGAMELILSLLQTNRSLIPAADEIGEKNLEFDHSYTFWTFNHFGPLILKNLAHNHDNFSAFMVFYQKLGFFTKE >RHN70514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51931800:51941840:1 gene:gene19098 transcript:rna19098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cu(2+)-exporting ATPase MASNLLTLSLSSPPNFRFNYAFNLNHDRHFISLLPTKRRRNRNNHRRKILRPLLSVSNTFSTEIRSPESESESFLLQAQTQTKDSPVLLDVTGMMCGGCVSRVKTILSSDDRVDSVVVNMLTETAAVKLKKLEEESTSVADGLARRLTGCGFPTKRRESGLGVSENVRKWKELVKKKEELLAKSRNRVAFAWTLVALCCGSHASHIFHSLGIHIAHGPFWEFLHNSYVKGGLALGALLGPGKDLLFDGLLAFKKGSPNMNSLVGFGSIAAFIISSISLLNPELAWDASFFDEPVMLLGFVLLGRSLEEKARIQASSDMNELLSLISTQSRLVITSSEGSPSTDSVLSSDAICVEVPTDDIRVGDSVLVLPGETIPIDGRVIAGRSVVDESMLTGESLPVFKEEGLTVSAGTINWDGPLRIESSSTGSNTMISKIVRMVEDAQSREAPVQRLADSIAGPFVFSIMALSAATFAFWYFAGTHIFPDVLLNDIAGPEGDPLLLSLKLSVDVLVVSCPCALGLATPTAILVGTSLGAKKGLLIRGGDVLERLAGVNYIALDKTGTLTRGKPVVSAIGSIHYGESEILHIAAAVEKTASHPIAKAIINKAESLELVLPPTKGQIVEPGFGTLAEIDGRLVAVGSLEWVHERFNTRMNPSDLMNLERALMNHSSSTSSSKYSKTVVYVGREGEGIIGAIAISDIVREDAESTVMRLKKKGIKTVLLSGDREEAVATIAETVGIENDFVKASLSPQQKSAFISSLKAAGHHVAMVGDGINDAPSLAAADVGIALQNEAQENAASDAASIILLGNKISQVIDALDLAQATMAKVYQNLSWAVAYNVIAIPIAAGVLLPQFDFAMTPSLSGGLMAMSSILVVSNSLLLKLHGSPTSGKGSL >RHN75825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45384870:45387729:1 gene:gene12079 transcript:rna12079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MGRKRRKSTTRKVIDAELDRISCLPGHVIDQILSCLPIREAVRTSVLSSQWRYKWYTLPNLVFDRRCVSARGSQDPLDIENKLLKIVDHVLLLHSGPINKFEFSDSGQDFVEGIPGNEFDRWIVYLIGRSIKELVLHVLAEDEIYKIPWCLFSCQSLQRLKLACCWLKPPTTFEGFRNLKRLELHNISMADAAFEKMISGCPLLEYLSLIEPDGLTQVNIHAPNLKFFSLLGDFVDFSFYNSFQLTELSLLFDTQSNQSRLRGCSSNLLSYFAHLPHLQHLDISHHFLKYLAAGDVPVPVKLPTTFVNLSFLFICIRFNDMKEVLAALCLLRSSPNLQRLEIIADYKEADVHLTLGSYCWEDTFSGPAMPIQVRHVGIGGIAGIKSELDFIKFLLMYSPMLEKMIVKPVEYARADLLTKLVRFRRASVQAEVIYEGKDSS >RHN69320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42599786:42600239:1 gene:gene17770 transcript:rna17770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MGNKGGFLRYADGVDKLLLFFGTLGCIGDGIQTPLTMLVLGSLIDDYARGGSEHIVSIHNINKYALKLLGIALGVAFSAFIGKYC >RHN66497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13047787:13050713:1 gene:gene14499 transcript:rna14499 gene_biotype:protein_coding transcript_biotype:protein_coding MDEETEVAATECAVGVGATKGAVGVGSTEGVIEAKANPESTQQAAAELPPFPTTRCKRPNANGMTFLDILESNMTQY >RHN47076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36938068:36939805:-1 gene:gene41631 transcript:rna41631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-arabinopyranose mutase MSQVIINDNEVDIVIGALHSNLTPFMNEWKSIFSRFHLIIVKDPALKEELQIPEGFSADVYTNSEIERVVGSSTSIRFSGYACRYFGFLVSKKKYVVCIDDDCVPAKDDAGNVVDAVAQHIVNLKTPATPFFFNTLYDPFRKGADFVRGYPFSLRSGVDCALSCGLWLNLADLDAPTQALKPTQRNSRYVDAVLTVPTRAMLPVSGINIAFNRELVGPALVPALVLAGEGKLRWETVEDIWCGLCVKIVCDHLSLGVKSGLPYVWRNERGNAIDSLKKEWEGVKLMEDVVPFFQSVKLPQSATTAEDCVIEMAKSVKEQLGKVDPMFLKAADAMAEWVKLWKSVGSA >RHN68939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39756983:39762995:1 gene:gene17362 transcript:rna17362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MNSIGFVSSAIISTHTNLKLASFSKPKIKIKTIPYSLNSSFRLSKLRVSATKDQNHSESQTSNNVSDDEVTEQHPLDENGGVGGGFDLGWLPSFPHVLIASLSNFTFGYHIGIMNGPIISIARELGFEGNSFIEGLVVSIFIAGAFIGSLSTGSLVDKLGCRLTFQIDTIPLILGAIISANAHSLDEILGGRFLVGLGIGVNAVLVPIYISEVAPTKYRGSLGSLCQIGTCLGIIASLSLGIPSENDPHWWRTMLYIASVPGFVVGLGMQFAVDSPRWLCKAGRINDAKRVVWELWGASEVEGAIEEFQSVSKNDGSDLDSRWSEILEQPHSRGFAFIGGALFVLQQFAGINAVLYFSSLTFQDVGIQSSALASLFVGLTNFAGALCALYLIDREGRQKLIIGSYLGMAISMFLVVYAVIFPLDEQLSNNLSILGTIMYIFSFAIGAGPVTGIIIPELSSTRTRGKIMGFSFSTHWVCNFVVGLFFLELVEKFGVAPVYASFGAVSLLAAAFAHYFLVETKGCSLEEIERSLNSKA >RHN49405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54592565:54594940:1 gene:gene44232 transcript:rna44232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative twin-arginine translocation protein TatB MMNCVSFCSSISISIPTPIAATQQHLAFSSSSSLCSISRVSINPPRRRRINNNGFTCNALFGLGVPELVVIAGVAAIVFGPKKLPEVGRSIGKTVKSFQQAAKEFESELKKEPGSSGGEPSEKSIAVSEEKKQDTEVSSSKESV >RHN58100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43754045:43755169:-1 gene:gene33709 transcript:rna33709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKKKNPLFLPHDLIIQIRLMLPVNSLIRFKCVCKSWFSLISHNTEFANSNLELTSTTHTRRIMLILTPPPKTQSVDIELPLYASASMNNNFLCPQSYFDIGIKGSCRGFILLHCGACFYLWNPSIGHHKQIPLSPIDYIFNLYGFGYDHSTNDYLVVSISRDQIPYSDDVLSHLWLFLLRATVWKEIACTTHLPFYTNVSSLVRQVESFFNGAIHWLALRHDIYDHVIVAFHLTERKLLEILLPIDINYNSKGCGFWVFRGFLSLWILRDDNVDIWVMKEYKVHSSWTKILVLPIYDDIPYFCPLSYTKNGDIIGTDGGTGLVKYNDKGEFLEHNSYCEDAHGFRLAMYTESLLSLPNDKLTMTKLKKTSKQEE >RHN59579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12205977:12211617:1 gene:gene21627 transcript:rna21627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MAESNEVLLEAELATVDGVVDYRGQPAVRSKSGYWRSAWFIIGVEVAERISYIGIKGNLISYLTGPLKQSTATAAKNVNIWAGTASLLPLLGAFVADSFLGRYHTIILASLIYILGLGLLTLSTILPSLASCSTQSQVILFFISLYLVAIGQGGHKPCVQAFGADQFDEKYPEEHRARSSFFNWWYFTMVAGATATLPILTYIQDNYSWVLGFGIPCVIMIIALIIFLLGTRTYRFNIKDNNKSPFLRIGRVFAAAVRNWRNTLSTTDIEEDGLLRRQKFEQFNFLNKALLKPKGSKEDETCSLSEVEEAKAVLRLVPIWATTLVYGIIFAQVFTFFTKQGSSMQRTIFPGFDIPPASLQTITNVSIVLFSPIYDRIFVPLARSITGKPSGITLLQRIGTGIFISIFTVVFAAFVEIKRLKIAQEHSLDDDPNATVPMSIWWLIPQYFLFGVSEVFTMVGLQEFFYDQVPNELRSMGLALYLSIIGVGSFLSGFLISLIEHFSAKDGHDSWFCDNINKAHLDYFYWLLAGLSVMGFTLFIYFAKSYIYNHKGIITQG >RHN68052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32394593:32395814:-1 gene:gene16342 transcript:rna16342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GYF domain-containing protein MDQKQKLESPSEQSHLLNEIPKVIPEMIDTSLSPENSSKRDKLEHNDLPELAIGETCNSVGQYSTHDGFAQCLDKRTDVLGPKTPVKNNQDGTAFPAATVDQVSVHTVSQVKETSQNLIQDTSISRDDFKHGLLQESSNAIYASADVDCRNLGSNMDADQTVKERKSVMVADPVKAIVNDVIVLSDSDEDVNIRVTSSAARKGVENPDISIWYCSGIRGSETKGPFPMSVLKHWSELDSTFSPLDFKVWKTDESEREAMLLRDALGLFFPPKGK >RHN55320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17294811:17295861:1 gene:gene30486 transcript:rna30486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MDKVMLSPRAQSITLKSLDLRCWSQLWEDEPDDWLEAAKRRGVENLTLVLFNVQLAPSIFCCKTLMHLHLTRIRVGSMLHCSVDLPLLETLIMSQISFKDTEDFMKLLFGCPKLEYLNIHGIKANGTIVEANAGVPDGGYFKHLSKLTRARISLFNVPFTAVYNVKFLSIWIEQNLSNKQINTFEKSLPVLENLRELQLTWFGRIHNWEVVVKMLQNCPILQTLTILKAENSASIEHWEYPDHVPECVSSNLTKFEVMHYEAWEADFRFATYILQNARLLQI >RHN71832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2907312:2908333:1 gene:gene7472 transcript:rna7472 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRYIGRVLYQTAMRTFQGMKDQSSKCDSTIKSLRDSTSSKQVKARVFSNNTAFENSSSAFKGSNSDKVKRAEESLRTVMYLSCWGPN >RHN68016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32186447:32187811:-1 gene:gene16292 transcript:rna16292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MRGSNSLATTKDTSILRIFKYIYFESNIIYETCYGLHHLIPHSLLDQMTKREDMYAFPWNSLISAYAESDFHVDALAFYVHMVEEGFDSLLFQFFTFFGLIIHHSAMQKLLDSQQHVAKEVEEIRLKINILNQHVEDLKHHLTSSEAVLETIIQQKAQVLETKAALSAPLGY >RHN40195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14084636:14090600:1 gene:gene46316 transcript:rna46316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MNENGNANEPNKKWKFPFLVFFNDARLIFKLDALSKEILGIAIPSALAVAADPIASLIDTAFIGHLGPVELAAAGVSIALFNQASKITIFPLVSITTSFVAEEDTIKRMNIKAAENDKSKLTEVTPESDVVQDIEKGTPKESNKAQKESVVGHNETNGTLGNNDKTNGVVMNNEQEPHLLSSDSRSSKIKEIVVKKKKRHIASASTALLFGSILGLLQASVLIFGAKPLLYVMGVKHGSPMLKPAVKYLTYRSFGAPAVLLSLAMQGIFRGFKDTTTPLYVIVAGYSLNVLLEPLLIFKLKMGIKGAAIAHVISQYMMAFTLFFILMKKVYLLPPRIKDLQIFRFLRNGGLLMTKVIAVTFCVTLAASLAARLGSIPMAAFQPCLQVWLASSLFADGLAIAVQAILAGSFAEKDCNKTTAAATRTLQFGFILGAGLSLIVGFGLYFGAGIFSKNLQVIHFIRIGAPIVAATQPINTLAFVFDGVNYGASDFAYASYSLVTVSLVSVGVEFLLYRSNQFIGIWIALSIYMTLRMLAGVWRMGTGTGPWSYLRG >RHN41703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32193504:32194178:1 gene:gene48053 transcript:rna48053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MQLRGFTPNLVTFNILINGHCKDGAIIKAREPLEMLLENRLKPDIFTFSCIIDGLCRLKRTEEAFECFNEMVEWGVNPNAIIYNILIRSLCSIGETTRSVKLLRRMQEEGISPDIYSYNALIQIFCRMNKVEKAKKLFDSMSKSGFNPDNYTYSAFIAALSESGRLEEAKKMFYSIEANGCSPDSYVCNLVIKALVRHDRVEEAQKIVERCRQKGIALNCTLDS >RHN44294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3269350:3274647:-1 gene:gene38394 transcript:rna38394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SPX domain-containing protein MKFGKEFVSQIVPEWQEVYMNYNSLKSILKDMLKFKEENESKAPVASTPKGSLKRRLTLYRAFSGLNCKQRGSSSTNEDEVILVRSQGDEDSKVLYQTKFLNPYEDGAERDLVFFRKLDFEFNKVNGFYKKMMKEVVEEAEELSKQINFLIALRIKVDKVRFGNLDSNENSSSTSIMHHVSDAKHGHSTLHMDVIHEVEMSQSHSNDEDINHAAQTNSKTSIQGFRPAPLEILDHVKINVITPETPVSTIKGILVSSKSNIEFNKKELRKADEQLSAALKEFYHKLRLLKRYSFLNLLAFSKIMKKYDKVSSRNASKDYLKMVDSSYVGSSDEVNRLLERVEHAFIKHFANGNHRKGMNILRPTAKRERHRQTFLLGKGMRNWLIF >RHN39596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8553232:8554804:1 gene:gene45635 transcript:rna45635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSGSRKSRARQRPNPLKESKLMTRKLQLVFDDPDATDVSEDESQQSRTTTKRSFFEVTLPPRAPAALSLTSQNSFYVKPKTVTRRKTCLSVQPQTNKKVVQTSTSPTTGRRSCAKYRGVRMRPWGKWAAEIRDPFRNVRIWLGTYNSAEEASQAYESKRLHFELKFQAMEAIKKGSASAAAPRLAALNKNSYADVDAANASVSEKFSTTEDSDSLFSHTSPSSVLELDTLASSLIEKFDVPSNTEVVAVYEPSDMVVCQLEELDIPDMSVLNLPEPTAAEIPIGTDPNLCSGFDFDRFNIDDFGPDFDEFGDFDDLGDFKDIQIHGFDDNEPSELPDFDFGDIGVDDDEFAGWIEEPLQHNIPCV >RHN62723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45435037:45442485:1 gene:gene25324 transcript:rna25324 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEQERMVEKGSCSCKPEDLFSPCNIIDSCKEQQRLASDNTSITDRYFKSSKIDSCSSAFCANDTILGDKCLVEDDSVSQYSINHISQTDNELSFLDTDGWLDIDNFEDVDRMMLNYDSTFGMESLNNEDEFCWPSLSHGTEGPDDDALKSDFKFSSAEASSPKNISDYNMDLNDNIEGLAILDCDKKPSLFDKKLGSEMDVDFDGAATSLSTFGESDVKSSITDDLIPKQKIQGQLLKQSAGKRKNSCLKDGDSDYPYAHVEQHANLKQPYEVSSSGVTSQDSIHKQIPNTDSDSLGCVQIQTPPVHPDYGHTSKYTSLLPASSASRSEYNGYPSPSFKESSYVSNTESSHGHPLEAAALKTNDKKENLYHCNDANHMSRGFKSDNMQNRMPFKSPSSAQKVGRQFENVNEGHSEVGEVSIGFSPEIESSNVHESSFRSSALDETSPEAASFHLLQQVLDQLDVKIKLCIRDSLYRLAKSADQRHVDVSASGLMGDDGEECKGGTTEDANRCTGFMNLETNTNPIDRSIAHLLFHRPSDPSVLPLSDTLPLKSSFMIHGPVTDPPIFAEKQACQEESSAGVENKS >RHN62092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40677846:40680846:-1 gene:gene24626 transcript:rna24626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-crystallin, glutathione S-transferase (GST) MFCLRSVVLHKSSFTLSLFSHNRHYKHIVEMVRSSLDEISDSGAFTRSASTFRQFVSKDPNSQFPPESGRYHLYISYACPWACRCLAYLKIKGLDKAISFSSVKPIWGRTKESDEYMGWIFPESNTEVLGAEPDPLNGAKSVRELYEIASTNYSGKFTVPILWDKKLKTIVNNESSEIIRMFNTEFNDIAENPILDLYPSELQAQIDETNEWIYPNINNGVYRCGFAKKQEPYIDAARQLYEALDKCEDILSKQRYICGNKLTEADIRLFVTLIRFDEVYAVHFKCNKKLIREYPNIFNYTKDIFQIPGISSTVNMEHIKLHYYGSHPSINPFGIVPMGPNIDYFAPHDRERFST >RHN65692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4444606:4445051:-1 gene:gene13564 transcript:rna13564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (-)-germacrene D synthase MINAAIILCRLMDDIASNEQHNISREGAIQEGRKRIVDAWKDMNKECLMPTEVPMPFLTCILNLSRLMDVVYNDKDNFTHPEGEMKTFIKSLLVDSVPI >RHN49633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:56107170:56109235:1 gene:gene44482 transcript:rna44482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSDSLASDNNQLHDSPAVDITGKIMVVIIILLFMVIVTFLFFHLFAKGFWWTQSRRSSDNNSQSRRRRRSGQEGGGLDPSVLNSLPISIFNSKEDGFDLECSVCLSEVVEGEKVRVLPKCNHMFHIDCIDMWFHSHSTCPLCRTTLAATPPPSSVVVVVDIESSTSAAAAAAAFPTNVLIWGNHNQITSSSSSTTSEQNTSSSSSDGDNTINNNSRADDEGNGMLRIDIPNECEATTSSSSPLSSAEGRLKSLKRLLSSSSRGIMSLNPWSPTSTVQQQTKPKEAAGQS >RHN60292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24714928:24715684:-1 gene:gene22580 transcript:rna22580 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTLVLLGRRPISRIERKIRENDRSLLFQSREDQRRLFFLSEGRLGREEVKSQVDLGKRKWGFNGASGGTHVSGVIRNRDMSVTEAMAIEAMESFLRNVSISFSITKFLMDCLFIHDLSTFLFSYSIC >RHN78949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20213418:20220048:1 gene:gene2618 transcript:rna2618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerol-3-phosphate dehydrogenase MTRLRRLGTAIGVAAATAYGGAILLSPSYTSNDHGSQVAAFQQKIHDPNAVLPSRQVQQSSLIGSTSANPLDILVIGGGATGTGVALDATTRGLRVGLVEREDFSSGTSSRSTKLVHGGVRYLEKAVFKLDYGQLKLVFHALEERKQVIDNAPHLCNALPCMTPCFDWFEVVYYWMGLKMYDLVAGARLLHLSRYYSTKESVELFPTLATEGKGRSLRGTVVYYDGQMNDARLNVGLACTAALAGAAVLNHAEVVSLLKDGGGERIVGARIRDNLTGKEFDTYAKVIVNAAGPFCDSVRKMADKNVRDVICPSSGVHIILPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTLAGTTDSNTAITFLPEPHEDEIQFILDAISDYLNVKVRRSDVLSAWSGIRPLATDPSAKNTESISRDHVVFEEHPGLVTVTGGKWTTYRSMAEDAVDVAIKAGKLTPVGGCVTNNLRIVGGEGWDPASFTVLTQQYLRMKLTHGGKVVPGVMDTASAKHLSHAYGTLGERVAAIAQNENLGKRLAHGYPFLEAEVAYCARHEYCESATDFIARRSRLAFLDTDAARRALPRVIQLMAAEHKWDKSRQKEELQKAKDFLETFKSSKNAQFYDGKHN >RHN62133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40984332:40984681:1 gene:gene24669 transcript:rna24669 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVDKHRKYEPDPLKMTSIAELETGEKNVVETLARVMERKEQLLNNNLSSYDPSAIQVIKETIFKLISDNQFHPYIT >RHN69226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41940010:41944736:1 gene:gene17667 transcript:rna17667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MEWNVKSPGQWDWENLFFLNSKAAETHRLQSTDWSMEEDREINVGMLIPSGGSGYSVSKLMHASSSRSSKSASNNSSSNEDSKTSMLTQEGSPDNSTGKKESSKGDPIETSPAAEPLLTLKLGKRLYFEDVSTGSHSKKASSSAVPLLCGKKGKSSSQNMLNPSCQVEGCGLDLSFAKDYHRKHRICDSHSKSPVVVVAGLERRFCQQCSRFHDLSEFDDKKRSCRRRLSDHNARRRKPQPEAVKLNPSALSSSPYDGRQAMGPFAFPKNTSNLAWPDMPNSKLPQTKDFMLKTPKNFNKIVTMLSDDSSGHFISKGKGTNIAVPGLEDPNTLSDPSATQDVNRALSLLSTNSWGAYDTKPPSFVHSNRTTGTPQYATAQRSPFSSPEYWHTDQHQASSSACISFSGYDNSNRYQDFQLFSEPYESSFPCNQLD >RHN77463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7014823:7016378:1 gene:gene925 transcript:rna925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AT hook, DNA-binding protein MGKKPQKTKEVWAAIAEASSTIDEQQPQPTRKRGRPRKIVVKMESSEEKAEENKEEGTKTSSEVEACMVRKEEGGEQEIQLVPKGVSVSVSCRSSRARRKSKPIKSSTQ >RHN76479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50702489:50703295:1 gene:gene12812 transcript:rna12812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative JAB1/MPN/MOV34 metalloenzyme domain-containing protein MSLTSVKMSEEVWLTCATHAFSTETEEIMGLLLGDIQHSKNGSVTALIWGASPQTRSDRRKDRVETNPEQLAAASALADISFLLLCVIAFKIDLKNSFLNSILFILTSHVTYEAETRGHDTGMLTQVVI >RHN66859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20342089:20342928:1 gene:gene14968 transcript:rna14968 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYEQSLVYFLEKFSLINIHELLDREGDCKLLDIYLQRMLLLTGAQRKKYLDKVDKHKNLDGYVSSDPVGLKLRSLKRKEPAAKGDVEVGDLEMEDLEGVGDAVVIVDSPRSKKTKAGKGKMIRLIPWRVAVLERLHRMPKLLSLSGILSLIFVGTRTLLILLAWFSSYIAEQVPFAKVDVEAGVKAKFNNLVGGSSHFSDEPCDGAKA >RHN67307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25838889:25839341:-1 gene:gene15487 transcript:rna15487 gene_biotype:protein_coding transcript_biotype:protein_coding MESFTFFSPFSGSFCSSPVRSGFDCFGSVLACLCLFVICVVI >RHN59913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14682580:14683795:1 gene:gene22082 transcript:rna22082 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKSSLILVVLFICLLSFSSKALARNIANASKPYPFSEEIDLNKKRLLLAEKCGKRIQHPDSAADPECHHYL >RHN70220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49766314:49768464:-1 gene:gene18778 transcript:rna18778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CR4L family MGYLFCNAESAIATCDPHYWDLKKRSKTLTTNRTHIIKEFLYTDLVTATDSFSAESYLGKGSHGRVYKAKLNGDKDNIVVAVKTTKLTTKSKHSHINGNNKNCTGCGNCTSPAENEIEILSQVPNPRLVNLIGYCTDPNGNKLIVVEYMPNGSLHDLLHSSSKPPGWTRRFRFALQVAKAVRTLHGSNPPVIHRDIKSSNVLIDQDFKARLGDFGLALRGHVEDVRVKCTPPAGTLGYLDPCYLAPEDLSAKSDVFSFGILLLEIISGRNAIDVNYSPPSVVDWAVPLIRKGDFVGICDRRIGAPSDLVMLRQIAVIAARCVRSTAEKRPGMVEVVECLKLARKRFHSSPIWCSWRRRVTRVENAQPLMRCEDYDNDNDYDYRNNNYYDWDDDEDDNKSENVVKIVKSGSSRRKSKVSSVASVEYESKPLKKGARSKPSKKVTRSKTVGSSSGFVSSCSRMSGIQMVTEKTGVMKLKKSKSMGVLQSPNLGEDETMIAMWKLVIRDNKKLEKKMLEKPLVNKHGWESE >RHN42721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40458954:40462814:1 gene:gene49204 transcript:rna49204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha,alpha-trehalose-phosphate synthase (UDP-forming) MIKHQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGYALDMPADEREKRHQFNFKHVTTHTSQEWAATFVSELNDTIVEAQLRTRQVPPLLPNKVAVDCYSKSNNRLIILGFNATLTEPVDPFGRGGQIKELELKVHPDMKEPLKKLSDDPKTTVVVLSGSGRAVLDKNFGEYNMWLAAENGMFLRLTSSEWMTTMPENLNMDWVDSVKHVFEYFTERTPRSNFELRETSVIWNYKYADVEFGRLQARDLLQHLWTGPISNASLDVVQGGRSVEVRAVGVSKGAAIDRILGEIVHSKGMKEPIDYVLCIGHFLAKDEDVYKFFEPELPSEVSPMAKAMRSNSCRPSSLPRFSSGKNGPKASQYKKQRSLSNIDRREVDPASGDPWRPTGRDRTSLHEGSSVLDLKGDNYFSCSVARKRSSARYLLKTSQDIVNLLSDLADRSSSPST >RHN71251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57600956:57605122:1 gene:gene19907 transcript:rna19907 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAPGERTLKETPTWAVAAVCAVFVIISVLIEHGIHKLEKWFHKKHKKAMSEALEKIKEELMLLGFISLLLTFGTKYIAKICIPNKLGDSMLPCKKGEVEEESKDDRRRLLSFDFDENVVWRRGLAPAAGGDDYCSNKGQVPLISQSGVHQLHIFIFVLAVFHIFYSVMTIVLARAKMQKWKAWETETSSVEYQFTHDPSRFRFAHQTTFVKRHSGWSEKPGIRWIVAFFRQFFASVSKVDYMTMRHGFINAHFAPDSKFDFHKYIKRSMEDDFKVVVGISVPLWAFAIIFLLMNVYNWYTLTWLSLAPLVILLLVGTKLELIIMEMAQEIQDRTTIVRGVPVVEPNNKYFWFNRPHWIIFLIHFTLFQNAFQIAYFLWTWYEFTITSCFHENLPLIVTRVVLGVALQVLCSYITFPLYALVTQMGSHMKRGIFEEQTTKALKKWQKAARDKRKLRNAGSIEIPSVSGDTTPSQGTSPLHLLHKFKPSNQTDTDSVLYSPRSYQSETDFSDTEGSTHQLNLNQIMSPPQHHPAGNNQQNHNIDFSFDKP >RHN79093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22442156:22442473:1 gene:gene2794 transcript:rna2794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRGKIEIKKIENLNNRQVTFSKRRNGLLKKAKELSVLCDAEVAVIIYSGTGKLYEFSSTRLYILFLQRSFWFVDKLSRKKNLKV >RHN69578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44559041:44561002:1 gene:gene18061 transcript:rna18061 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFPDIPTGRSPRRELQGPRPTPLRIHKDSHKIKKPPLAPQQQQQQQQYQQPRQPIIIYTVSPKVIHTTPGDFMNLVQRLTGSSSSSSSSSTSSSSSSNIDPFRGDGTISPAARYATMEKAMSPLGKKQQQQQQIVAPITSFTSDVISDMEGIEQTQLMNHGVERGNMMFQGILSPGPASLSPIPSNFFSPPSSDPNMFNNFLHDLSPALHSGRNFMEGGANFLLPSPSNFVSPITPSIDLFNYFLG >RHN47305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38670083:38674336:1 gene:gene41884 transcript:rna41884 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWGGENDGFEVEGIDINVCVAADGDLWVSVGSAGGPIGQFSVESEHDLAHMVSDFLENAGSFGAESWCSSDSDSGFNDFAHLAEKIQICKRSMTQHESDLLPVVHSLIRSMQETNLEMMNSGPCYANCIRFYLAKLMRLSGYDAGVCTSKWQPTGKIPGGDHEYIDVLVENNSGKSERLIIDIDFRSHFEIARAVDSYNRILNSIPVVYVGSPTRLKQFLGIMVEATRTSLQQNSMPLPPWRSLAYLQAKWLSPYERITHSDSNIDFGNDDKCFDHKQCHGHLKKLQSCLQTGMEVERMLKARNMESNRRIKPDRWRHSLLRPI >RHN50725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9824292:9834534:1 gene:gene35049 transcript:rna35049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Arf GTPase activating protein MGSRKEEEKIEKIIRGLMKLPPNRRCINCNSLGPQYVCTTFWTFVCITCSGIHREFTHRVKSVSMAKFNFQEVDALQNGGNQRARDLYLKDWDFQRQRLPDSSNVNKVREFIRNVYVDKRYAGTKLAEKPPRDAQSPSINEDEVRRASSYHSYSQSPPYDNQYEDRRYGTQAGALTRKPGSDKVRYEGKMASIIFSPGRLSDHSYDERFANEGSGPRNSDFSVSSGREQFKPDVQSPKFGFNSPSYKRPGSSSSEDVWTQAKIAALESNAAAKRDADGIHRPQRTTSLQPRDNNLSTLRSDNSGGPVDFFSEAFQSSGSGNSLTSGPARSVSLDLSKAPAASASSIDLFQTVVPSQSALGDLFQLSDKEAAPSFKGSQPTQTPQVASIDFFADLPQQQPSAATSDAKSVELFIPKNEGWATFDTPQFTSSTTQVEIPAAVPSSAESSQDRFDPFSTAQVEIPAPVPSSVESLQDRFEPFSTLNGNFQMPSFEMPSVGVPSTVTSNVWHDGVWNGEKQVPVMATDTQPWNAFEDFGTHLPVDVNNQVLQSHNFPSESFGLSASEVPIKDGIQGVAPIGGFDNHEFPSNGDIQPNGINRKSTNPFDFPFDSDVEQNNMFLDMNSLQAALPDALLPATFGGIPEPWLPQNTVTPYISSAEGGFSFMAVHTPSSQLQNIQAQEPVASFGGNPFA >RHN54022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6292907:6298538:1 gene:gene28997 transcript:rna28997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MASTCDKGFADSYMILNPEDAHFFDIIRILFSRNIGHRKFVDSNAEGDVEGSFRGRWLIFISIVLQKVLLFIAKPLAMIGYWVEMLINLIALNGGITMIIINFLSGHLEVPNPKSSKYLSCIGNLDSRVNMDILKREDNKYYVSLAMMASKAVYENEAFLKYTIKYDWKMEYVGFFDCWNEYQERATTQVLILLDKFKDRDTYVVAFRGTEPFDADAWCTDLDISWYGIPGVGRAHGGFMKALGLQKNLGWPKEIERDEKLAPLAYYVIRDILRKGLSENPNAKFIITGHSLGGALAILFPTIMFLHDEKLLIERLEGIYTFGQPRVGDERYTQYMTQKMKENRITYCRFVYCNDIVPRLPYDDKDMLFKHFGICLFFNRRYELKILEEEPNKNYFSPWCVIPMIFNAILELIRSFTIAYRNGPHYREGWFLFFFRMVGLLIPGLPAHGPQDYINSTLLGSIERHFKED >RHN52034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30665774:30666610:1 gene:gene36648 transcript:rna36648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MSLIPSFFGGRRSNVFDPFSLDVWDPFKDFPLTNSALSASSFPQENSAFVSTRIDWKETPEAHVFKADLPGLKKEEVKVEIEGDRVLQISGERNVEKEDKNDQWHRVERSSGKFMRRFRLPENAKMDQVKAAMENGVLTVTVPKEEVKKPDVKSIEISG >RHN45064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11276123:11277686:-1 gene:gene39263 transcript:rna39263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MHKINMEENFKPVAQPQRRLNPTMKEVVRKEVVKLIEVGMIYPISDSAWVSPVQVVPKKGGMTVVKIEKNELIPTRTVIGWRMCIDYRRLNQATRKDHFPLPFMDQMLERLSGQAYYCFLDGYSGYNQITVNPEDHEKTTFTCPLGIFAYRRMLFGLSNAPATFQRCMQAIFSDLIEKSIEVLMDDFSVFGSSYDVCLNNLDTVLKWCKETNLVPNWEKCHLMVTEGIVLGHKISSRGIEVDKGKIDVIGKLPPRVNVNGVCSFLGHAEFYRRFIKDFSKIAKPLRNLLNKDKSG >RHN50434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7089558:7090369:-1 gene:gene34717 transcript:rna34717 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase subunit 1 MGTCFSVLIRMELARPGDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGSGNWSVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSSALVEVGSGTGWTVYPPLSGITSHSGGAVDSAISSLHLSGVSSILGSINFITSFPLLLSLPVLVGAITMGDPILYQHLFRFFSHPEVYIPILPGSGIISHIVSTFSGKPVFRYLGMVYAMISIGVLRFLVWAHHMFTVGLDVDTRAYFTAATMIIVVPT >RHN80398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37702884:37704074:1 gene:gene4323 transcript:rna4323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prenylated rab acceptor PRA1 MSSATETLSNFKEATLSILSTRHPWSEFLSFSTLSLPSSFSEATTRVGINLTRFLFNYTFIFLFILLISLVYHPLAIVLLLIAFAGWYFLFFSRDSDEPLSLFNVVAVDDRVVVVALVVFSLFVVWVSGVWVNVVVSVLVAAGIVCLHGALKRTDVGGLDDYESPYGPMLATDTAGPYAPV >RHN58594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3064859:3067811:1 gene:gene20518 transcript:rna20518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, non-heme dioxygenase domain-containing protein MGTEATPKLPIIDFNNLNLEAKSPNWELVKSQVYKALVEYGCFEAVFDKVPLDLRKAIFASLQELFDLPLETKLLNVSKKPYHGYVGQYPMIPLFESMGIDDANILEKVDSMTNNLWPDGNQNFSKTIHSFSEELTELDQIIRKMILESLGVEKYLEEHMNSTNYLLRVMKYKGPQTSDTKLGLSTHSDKNVVTILYQNQVEGLEVMTKDGQWISYKPSSSGSFVAMIGDSIHAWSNGRLHSPFHRVMMSGNEARYSTGLFSIPKGGYIIKAPEELVDEEHPLLFRPYDHVEFLKYYYTEKGQRDQFAMRTYCGV >RHN82199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51892405:51893640:1 gene:gene6339 transcript:rna6339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb/SANT-like domain-containing protein MGDSQQENNRGKGKDKDGYVAWTMEETSELLYLLVDAINRGLRDANGSFSKQNVERAILPQLNAKTESHKTYNHYLSRMKWFRNQYNKMSTFMRNNSGFGWDSVAKTYTATEEVWNNYLKSHPSHKNLQGKSMIDYDYLKIVVGGGVSSGNNSIALDPEDTDATTFEQESESFGMEDFSYDPNSDTFIAPDNYEPAYQPPSPNQPTPSPNQPTPSPHFSLNSEAPIEKRNRHKRNRSEYERSSGVVGINNQGPAMENLSSSIGTIVVHFEKMSNMMEKREKDRELKNNIWDIIKDIPNLDDKTRFKIAELMKSKANKDLFLKMSPEDRLSWIKFKLGDD >RHN67228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25045161:25047473:-1 gene:gene15393 transcript:rna15393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MPYSKSYLFLCLVFFVIIFSLVAADIHVPPPPIPKINLSPMINPLTDKQISAFDKDQDGFFSAAELRHVIITLGKNVTHEEVNEIIKEADVDGNGLVNYKEFFNIMCKGWGFICD >RHN40244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14549722:14551041:1 gene:gene46369 transcript:rna46369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MNTCSLLILFYFSLCFIISLSHALNNGFSVELIHRDSSKSPLYQPTQNKYQHIVNAARRSINRANHFYKTALTNTPQSTVIPDHGEYLMTYSVGTPPFKLYGIADTGSDIVWLQCEPCKECYNQTTPKFKPSKSSTYKNIPCSSDLCKSGQQGAVCTDQNFCEYSISYGDNSQSKGNLSVDTLTLESSTGHPISFPKTVIGCGTDNTVSFEGASSGIVGLGGGPASLITQLGSSIDAKFSYCLLPNPVESNTTSKLNFGDTAVVSGDGVVSTPIVKKDPIVFYYLTLEAFSVGNKRIEFEGSSNGGHEGNIIIDSGTTLTVIPTDVYNNLESAVLELVKLKRVNDPTRLFNLCYSVTSDGYDFPIITTHFKGADVKLHPISTFVDVADGIVCLAFATTSAFIPSDVVSIFGNLAQQNLLVGYDLQQKIVSFKPTDCSKV >RHN67886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31188579:31189204:1 gene:gene16131 transcript:rna16131 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVVVFSICSFQWEHCAYALVEVFGYFGHLCRFGYKAFCECNFEEQFSCCMMLPGFLVGFYCSLGGVVCEQRNVMVDVVFVATCDDV >RHN81191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43948342:43951847:-1 gene:gene5198 transcript:rna5198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VI family MKEKVDSPITVLEDYFRSSDSESSSSKEPTLDSESNDDISKPVSRWHSFLQLLKSRSKKQLPALNHPLNGQFSRRMSRSMRETILPSCLTLANATSTPCRSPWKIFSHHDIQVATNNFSQENLIGKGGYAEVYKGCLPNHRLVAIKRLTRGTPDEIIGDFLSELGVMAHVNHPNTAKLVGYGVDGGMYLVLELSEKGSLASVLYGSKEKVQWCIRQKIALGTAKGILYLHEGCQKRFIHRDIKAANILLTEDFEPQICDFGLAKWLPENWTHHNVSKFEGTFGYLAPEYLLHGIVDEKTDVFAFGVLLLELVSGRRALDYSQQSLVLWAKPLLKKNDIMELVDPSLAGEFDFRQMNLMLLTASLCIQQSSIRRPSMRQVVQLLNGNLSCFKAMKKSRLPFFQKVFQEELLDSD >RHN39135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4358871:4359615:-1 gene:gene45134 transcript:rna45134 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEVVDRSESSSEMESDRRVQGLEGGLELERKAGVEDTDSEREEYGGDYGKEWMVRGRSTTETEI >RHN63713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53442188:53444964:-1 gene:gene26449 transcript:rna26449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEEDQIQEFKHACKFCSKSFPCGRSLGGHMRSHINNLSAEKAEHKEKQLIFSTKKNDSIGSEAATNGSYGLRENPKKTWRKRIADSSEDSYVYDKFCKECGKCFHSWQALFGHMKCHSEKERVSNSLEDQDSWTNDAKDVQSDNEITAPNRKKRSKRTRTRYNMVATATASSSVSEVEHEQEEVAMSLIMLSRDVSPWSGLHYLAAESSDNNSVYFEARSSVRTNLVTKFDANIAKLMKQSENKKYELGNSVSKNFKFKGKSLEILDTEKGFKMIKTGVSIKGFLKSTKSELDYLSTLEDSEVENGKSRVNGTESVLSKSATIRSSVNNPHHDHEDEFIKNFNKRGKFECTTCNKIFHSYQALGGHRASHKKNKGCFASKIESSENSIEFETDLSHHEPTTESKLMKISDNIEYVQNMGERETVRDSKKKIKGHHECPICFKVFQSGQALGGHKRSHIVATGSESRVVLEEQVPQIRDFLDLNLPAATEEESNSHADSNRPWWIVEGNHNQEALVG >RHN44079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1476597:1483075:1 gene:gene38137 transcript:rna38137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-acylglycerol O-acyltransferase MATRFPGVDNELQKILDANMDHVSARRQAREAFKDVQLGIDHILFKTQCDGLKMKESYEVNSKGIEIFYKSWFPETARPKAAVFYCHGYGDTSTFFFEGIARKLACDGYGVFAMDYPGFGLSEGLHCYIPSFDSLVDDVIEIYSKIKENPELQSLPSFLFGQSMGGAVALKMHLKQPKAWDGAIFVAPMCKIADDMAPPWLLAQILIGIANVLPKQKLVPQKNLAEAAFRDLKKREMTAYNVVAYKDKPRLWTAVEMLKTTQEIEKRLEEVSLPLLILHGEADIVTDPSVSKTFYEKASSSDKKLKLYKDAYHSLLEGEPDEMIIQVFSDIILWLDEHSSKHSSSK >RHN72731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10235488:10240456:1 gene:gene8483 transcript:rna8483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MMGVRNIVLLLLCITVVAPIVLYTDRLGSFESSPSTKQEFIEEVTVLPLSTADSGHLNLLPQETSTVLKEPIGVVYTNEDSINRRNLPRGLQLAKTREHVSARVLSATTKEDQAEKDATIKLVTDEIKQGNQSGEGTLEKADATGENVNGEDAIDVDDNDGKLAKSSHDSTQEPLVKGTMLEQQQTTEISSGTNKRRPETNKQNDQMPSDARVQQLKDQLIQAKVYLSLPVVKSNPHLTRELRLRVKEVSRTLGEATKDSDLPRNAKEKMKAMEQTLLKGKQIQDDCASVVKKLRAMIHSTEEQLHVLKKQTLFLTQLTAKTLPKGLHCLPLRLTTEYYKLNSSQQQFPNQEKLEDPQLYHYAIFSDNILATAVVVNSTVLNAKDASKHVFHIVTDRLNYAAMRMWFLVNSPGKATIQVQNIEDFTWLNASYSPVLKQLASPAMIDYYFKAHKATSDSNLKFRNPKYLSILNHLRFYLPEVFPKLNKVLFLDDDIVVQKDLTGLWSIDLKGNVNGAVETCGESFHRFDRYLNFSNPLIAKNFDPHACGWAYGMNVFDLVQWKRQKITEVYHNWQNLNHDRQLWKLGTLPPGLITFWKRTFPLNKAWHVLGLGYNPNVNQKDIDRAAVMHYNGNMKPWLEISIPKFRGYWTKYVNYNHVYLRECNINP >RHN49926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2354946:2366638:1 gene:gene34161 transcript:rna34161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MGGGDQKNVSINDKKKKNGSFKSIFMHADVLDWFFMAFGFFGAIGDGMMVPFVLFITSKIMNSVGSASGTSSSNFVHDVNKNAVVVLYMACASFFVCFLEGYCWTRTGERQAARMRVRYLKAVLRQEVSYFDLHVTSTTDVITSVSSDSLVIQDVLSDKVPNFLVNASRFLSSNIVAFALLWRLAIVGFPFMVLLVIPGYMYKRISMRLARKIREEYNQAGTIAEQAISSIRTVYSFVGESKTLAAFSNALEGSVKLGLKQGLAKGLAIGSNGVVYAIWSLIFYYGSIMVMYHGAKGGTVFVVGVTLAIGGLAFGTCFSNVRYFAEASVAGERIMEVIKRVPTIDSENMEGEIIEKVLGEVEFNNVEFVYPSRPESVILNDFCLKVPSGKTVALVGGSGSGKSTVVSLLQRFYDPIGGEILLDGVAIHKLQLKWLRSQMGLVSQEPALFATSIKENILFGREDATYEEIVDAAKASNAHNFISMLPQGYDTQVGERGIQMSGGQKQRIAIARAIVKMPKILLLDEATSALDSESERVVQEALDKAVVGRTTIIIAHRLSTIQNADIIAVVQNGKIMETGSHESLMQNDSSIYTSLVHLQHTKNDQDGDTLSIMNKHHISCRFLSRSSSFNSMTHGGGDVVNYNNVVEDVVNDIDHNTNKKKKKVKVPSFRRLLAMNAPEWKQVCLGCLSSVLFGAVQPISTFATGAVASVYFLNDRDEMKKQIRMYAFCFLGLALASIVFNMLEQYSFAYMGEYLTKRIRERMFSKILTFEVGWFDEDQNSTGVICSRLAKEANVVRSVVGDSLSLVVQTISAMVVTCTMGLIITWRLSIVMISVQPITIFCYYTRRVLLNNMSSKAIKAQDDSSKIAAEAVSNLRIITSFSSQNRILKMLEKAQQGPRHESIRQSWYAGIGLACSQSLIFCTRALNFWYGGKLVSQGYITKNQFFETIMIWISIGKVIADAASSMTNDLAKGSDAVRSVFAILDRYTKIKSDDLEGFRAEKLIGKIVFHDVHFSYPARPNVMVFQGFSIEIDAGKSTALVGESGSGKSTIIGLIERFYDPLKGIVTVDGRDIKTYNLRSLRKHIALVSQEPTLFGGTIRENIVYGAYDDKVDESEIIEASKAANAHDFISSLKDGYDTLCGDRGVQLSGGQKQRIAIARAILKNPEVLLLDEATSALDSQSEKLVQDALEKVMVGRTSVVVAHRLSTIQNCDLIAVLDKGIVVEKGTHSSLLSKGPSGAYYSLVSLQRRPNNIIADSCHEIN >RHN71687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1920902:1921178:-1 gene:gene7313 transcript:rna7313 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLAARNLLQASTTEQALTNFPGFPPLPSFSFPPLPKPDCPPFPNIPSIPKFSTIFPFLTPPPSTTSP >RHN49775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1071295:1078839:-1 gene:gene33986 transcript:rna33986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamoyl-CoA reductase MGVVRTCAIKRSELDIFHRNLVAAAGIHRRKDDEGLRKNKLFSSLDDEDVGKTLVCVTSGVSYLGLALVNHLLVLGYSVRIIVDNPGDREKLREIRHEEMRNNLEIIIAKLNDVDSLVKAFEGCRGIFHTSAFTDPAGLSGYTKSMAEIEVNVTENVMEACARTPSIKRCVFTSSLAACIWQDNVNSDLTTPIINHGSWSSESLCIDKKLWYALGKMRAEKAAWRIANERGLKLTTICPALITGPEFCHRNPTATIAYLKGAQKMYSNGLLATVDVKKVAEAHACVFKEMNENAYGRYICFDNVIDAQSEAEKLAKDIGMPKEKICGDASNISLQRYELSNNKLCRLMSRPIRCSSEN >RHN48408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47273665:47275493:-1 gene:gene43121 transcript:rna43121 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELLKHALVSQTSLTDWLLGNKPTLEMSRFFPCDVKNNSDIKFSVTLVLKKSVNKILYALVSKEFADFLLSLLSFPLGAVIHLLEGKSCVGNMDLIYNSILKLDDRYMRSMDSKKWLINPRMGCQFKLSNQISEIEEKMPYYCYYQGNTFKESIGHGEFYITDVFKILEKKVRKLSLIDYSIPSKGNPQGYVKEHRTFLVTDELVFKPSCPISDFEFLMSLEIPLNDVKNKAVTFGLKECLNILKAALTSDSALTNGLLCYIDPMLQIV >RHN54403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9312304:9313165:-1 gene:gene29434 transcript:rna29434 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNYHVRSNSFPSQSHPNSTRIEQELTKIKTWEATSTSTSDSITTGLSFLEDLYISLEDLLNMSSTQKAISHHQGEKFVEELLDGSMKVLDICGITRDIVLQIKENVQSLHSSLRRRKGESNIEKSVAEYKFFTKKMKKNVTKLITSLKHMETKFGASSLLNQDQDLVALITVLREVIKMNLSIFQSVLSFLVGSSSKSKATKWFKVTKLMQKRVTCEENMENFNELQCVEASLRTLSSDGSIVAHERFEALENAIESIEKGLENIFRRLVKTRVCLLNIITLS >RHN54426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9450556:9454951:-1 gene:gene29464 transcript:rna29464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isocitrate dehydrogenase (NAD(+)) MATRRSTNLLKHLISTRHHLTHHRTVTYMPRPGDGTPRTVTLIPGDGIGPLVTGAVEQVMEAMHAPVLFEKFEVHGNMKAIPSEVMESIKKNKVCLKGGLATPMGGGVSSLNLQLRKELDLYASLVNCFNLEGLTTRHDNVDIVVIRENTEGEYAGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAVFEQGASAGNVGKEKVVQEKKANPVALLLSSAMMLRHLQFPVFAERLESAVKRVILEGKYRTKDLGGTSTTQEVVDAVIDALQ >RHN52953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40684951:40687059:-1 gene:gene37695 transcript:rna37695 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAFWSTGSVCYEGNLSLLEKPFIKDDSVSVKNLVRRSIAGICETIKVWRFPDHRPISEFLQNISNC >RHN40038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12490914:12498054:-1 gene:gene46130 transcript:rna46130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MNINFKTLLHTFKSKIPNILLTNLYFITFAFFLCTFFYFLALWHHYPSTTVISAAGYSTTTSQPSTSSICFHHNNNSAITTPSLDFTATHHLPDPPLKTARVSHLPPCEPSLYEYTPCEDQKRSLRFSRKKLIYRERHCPLPEETLRCRIPAPFGYRLPLRWPESRDGAWYANVPHKELTVEKKNQNWVRFNGNRFRFPGGGTMFPRGANAYVDDIGKLINLKDGSIRTAIDTGCGVASWGAYLLSRNILTVSVAPRDTHEAQVQFALERGVPALIGILASIRLPYPSRAFDMAHCSRCLIPWGQDDGIYLTEVDRLLRPGGYWILSGPPINWQKHWKGWERTRESLNDEQDAIENVAKSLCWKKLVQKGDLAIWQKPTNHMHCKVKRKIFKTRPFCEEAQDPDMAWYTKLDTCLTPLPDVNNVKEVAGGEISKWPKRLTSIPPRISGESLKGITPEMFKENTELWKKRESYYKTLDSQLAETGRYRNLLDMNSYLGGFAAALVDDPVWVMNIVPVEAEINTLGVIYERGLIGTYQNWCEAMSTYPRTYDFIHGDSVFSLYQHRCSMENILLEMDRILRPQGSVILRDNVDVLTKVKSIADEMQWDAKIRDHEEGPYQKEKILVAVKQYWTAPPTEQN >RHN74784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36679016:36680171:-1 gene:gene10899 transcript:rna10899 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRYINVLCLSFSNYIFIPFLIFHDRQRKYIKRKIMMNGKNKKLFVDNEEVIDNTFI >RHN64697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61073732:61077564:1 gene:gene27541 transcript:rna27541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxysterol-binding protein MLSMVMATEGKKQPKIVLTKPFSLEVETDSEPSYGYRAPNLIRRLLSLLKNVRPGADLTNLQLPPLFYFPKSQLQCYGESVYSTTSNSNLLNKCNNEQTPLERFTSVVAWSISTTRPTSFGVAPYNPILGETHHVSKGNLNVLLEQVSTNPPVSALHATDDKENIEMIWCQQAVPKFRGTSIEAEVHGKRVLNLRNHGETYEMNCPRLSIRILPVPGVSWAGIVNIRCKETGLVAELSYESSYSFLGLGGNSKLIKGKILDSSSFNVLYDIDGQWDRTVNVKDTKSGKVKVIYDAKEVISGLKAPIVKDAEGVWQTESALIWGELTQAIISNDWEKAKEAKQGVEERQSKTLKERETRGERWTPKNFLVSYSNESGLDCDCSPINTWVPAAPIIAP >RHN63958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55387222:55392097:1 gene:gene26723 transcript:rna26723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MASSVEKEGSGAVGAAAGAPEEPVSFELPAPSGWTKKFFPKKSGTPKKNEIVFTSPTGEEIRTKRQLEKYLKTNGGPNISEFDWGTGETPRRSSRIVEKVKAAPPAEPKTDPPKKRSRKSSASKKEASEDEAEETKDVEMQEAGETKDDKDVELEKKVVNENEDKKGAEDADVKESIQPGETTDEGKSNTADGDLQASKENIDDKGAEGSGVVQNKDEEKTGQPAEETKQEEKIEQPAEETKREEKIEQPAEETKQEERIEQPVEETKQEEKIGQPVEETKQDGGSGDAEKSEAAPTSEKMAQVEGENNEEDNRITRESGGETKEKDAIRMHDEANYKVHDINKAESEVTVNGSS >RHN56411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30678842:30680447:-1 gene:gene31808 transcript:rna31808 gene_biotype:protein_coding transcript_biotype:protein_coding MPILTEVEPMCYFRTLIFICSENEWNSAVGRETSVMTTKNCYGETAPISGTTTDFGGGETYTDQQMRVVDMVIREMHDPA >RHN66469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12692385:12693326:-1 gene:gene14462 transcript:rna14462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MDSEGKLLMNRYEFRKPGQGNFAKVYKERDLRTRDRVAVKVIDKEKVLRARMMIQTKREIATIGRVKHANVLRLYEGVYNWDLKPENLLLDENSVLKIADFRFSTVIESHRYNMLQTMCGTPMYVAPYVLRGKGYYGEKADDQNLMELYRKIHKGEYKCPPGFQSRYVDYYQRLQMCLKMLSLIKLVLKMKLLLLSQIKNWFNQNILMLFTYFLYLQGSICLSCVSNDDEIEDIKFTISILLR >RHN66033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7794061:7794372:-1 gene:gene13943 transcript:rna13943 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLNPLSEAFALSEEAIANANRDGIVYAHVQQKRGRIIGKEERIRKTWRFSRFREDSLQRRRTPTAFSGEVFGFRQSGFSATLQVPFFFFLTKTLQVLVLVI >RHN53233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:269133:272978:-1 gene:gene28121 transcript:rna28121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MASSSSQPEGGLSEEDYDLIHGSQSGWVDARTSCHHLHSLSNDLTHIPTPDTPCNRCQHPSENWLCLSCKDVLCGRFVNRHMLQHFRETNHSVALSFSDLSVWCFSCDAYLDAQVIQQLRPVHEVAYILKFDEPPPVVAS >RHN53662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3197780:3200614:1 gene:gene28593 transcript:rna28593 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSFISIKGGMSKRPFTGSKYCHSYCLFSHQSEQHCISNQYEATTLKNGCHGQFLFTTNSLISYLCITKIAIRCSLRITSTCILYI >RHN55944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25862122:25863027:1 gene:gene31238 transcript:rna31238 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIVVSVCLAVECFSCWAPFFLAASVFVYIELEMSKIFVAWRGDITACF >RHN39075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3953059:3955348:1 gene:gene45068 transcript:rna45068 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRNRETKISLLCPSLSKSKSVELVTCDDEKIDLGSIAIAFGLDPSTLRLNGYFISRGVDLISSSVTWNSLLSFFSSRGLSTGKSNHDAIVVTGKDVNKGGHESLDFRKGISKVIETEHALDSSRGNQLDDINLHKNKKLKESKSGEILNGLNCKRKYLSEDVNQFKKLKINEEKSDLRDKVDEFSGSISRSQFTCSYTSNNVKRIREDEAIVAANYKRIR >RHN46167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29345810:29347988:-1 gene:gene40610 transcript:rna40610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MFFRLVFMLFYLVTLVASEENSFIYNGFQSSNLYLDGIAELTSNGLLKLTNDTEYEKGHGFYPNPIVFKNTSNGSVSSFSTTFVFAIRPQYPTISGDGIVFVVSPTKGLPNSLPRQYLGLFNNSNNGNSSNHVFGVELDSVLTNEFNDIDDNHVGIDINDLKSAISTSTGYYDEYNRFRDLSLFSGYPMQVWIDYDGVKKKIDVTLAPIDASNKPTQPLLSLTKDLSPILNNRMYVGFSSSTGLILASHYILGWSFKVNGQAQTLEISELPKLPAEKKKSKFLTVGLPLILLSLVFMITIGIMYYVKLKKFDEVLEDWEHQYGPHRFKFKDLYSATKGFREKGLLGVGGFGRVYKGVIQSSKLEVAVKRVSHESRQGMREFVSEIVSIGRLRHRNLVQLHGYCRRKRELLLVYDYMPNGSLDNYLYKEPKVRLNWIQRFKIIKGVASGLVYLHEEWEKVVIHRDIKASNVLLDSEFNARLGDFGLSRLHDHGADPHTTHLAGTIGYLAPEHIRTGKATKFSDVFSFGAFLLEVACGRRPIANVGENECVILVDCVFECWKRGDILEAKDVNFGTNYVSEEVELVLKLGLLCSHSEPLARPSMRQVVQYLERDIPLPDFSSLSLSSFGLTFGYQECVEDKTMPYTSVSISESVLSGGR >RHN55289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16836791:16841626:-1 gene:gene30441 transcript:rna30441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L9 MGYLQFGRYSVRQIVRFKDVVNEGVVVNPLMYASQGLRYNRKLQVILTTNIDKLGKAGETVKVAPGFFRNHLMPKLLAVPNIDKFAYLLTEQRKIYQPTEEVKQEDVVVVTESKEDLMKEYERAALILDKAKLVLRRLIDVKKAKARESKDEPLELQIPVSKNALVAEVARQLCVNITAENLHLPTPLSTIGEYEVPLRLPRSIPLPEGKLNWALKVKIRSK >RHN56772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33615542:33627104:-1 gene:gene32220 transcript:rna32220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cadmium-transporting ATPase MIAAASRYAVPTTSGSGNRVQSLLTASCITTGHSIPTKSSYRYSHSNRISYINRQFRPCPSSIFSIKCFLSNSSSSSNSRASFSTDDGSLKNQKTASTITKSPPGGGQIADIKILKSLVSYLWMKDNLEFQLRVVAATFLLVGAKVLNVQVPFLFKLGVDWLNTATGNASVVASNPTMMALFATPMAVLIGYGIARSGASAFNELRNALFSKVALRTIRLVSRKVFSHLHDLDLRYHLSRETGALSRTIDRGSGAINFILSSTLFNIVPTILEISMVSGILAYKYGAPFAWITSLSVAAYIGFTLSITQWRTKFRKAMNKADNDASTRAIDSLINYETVKYFNNEVHETDHYDKYLMRYEDAAQKSEQSLSLLNFGQTAIFSTALSTAMVLCSHGIMNGTMTVGDLVMVNGLLFQLSLPLYFLGGVYRATIQSLIDMKAMFQLLEEKADIKDKENAKPLRFNGGKIQFENVHFSYLTERKILDGISLVVPAGKSVAIVGTSGSGKSTLLRMLFRFFDPHSGSIKIDDQDIRDVSLESLRKSIGVVPQDTVLFNDTIFHNIHYGRLSATKEEVYEAARKAAIHDTITSFPEKYSTVVGERGLKLSGGEKQRVSLARAFLKSPAILLCDEATSALDSTTEAEILSALKSVSTNRTSIFIAHRLTTAMQCDEIIVLENGKVVEHGPHEVLLANAGRYAQLWGQQNNTIDVIDTAIKVGA >RHN40577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17779979:17787503:1 gene:gene46740 transcript:rna46740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-N-acetylglucosamine--dolichyl-phosphate N-acetylglucosaminephosphotransferase MGTRKRLISPTEPSPDPKQTTTQDKPLQQQTTDPPIAPPKWGFLFKLSLFSIPYFYLIFFHFTIDSHLRRSIIINAGLSLAGFFVTVRMIPVASRYVLKRSLFGYDINKKGTPQGNIKVPESLGIVVGIVFLVVAILFQYFNFTADSNWLVEYNAALACVCFMTLLGFVDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIVIPKPLVPHIGIEILDLGWIYKLYMGLLAVFCTNSINIHAGLNGLEVGQTVVITYAILIHNIMQIGASTDPEYKLAHAFSIYLVQPLLATSLALLSYNWYPSSVFVGDTYTYFAGMTMAVVGILGHFSETLLIFFLPQVLNFLLSLPQLSGYIPCPRHRLPRFDPQTGLLTGTNDGTLVNFFLRNLGKKSEKSLCIYLLIFQGIACCFCFLLRYFLAGWYK >RHN66279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10289385:10290071:-1 gene:gene14229 transcript:rna14229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MSSGKKGRGRQKIEMKKMSNESNLQVTFSKRRSGLFKKASELCTLCGADAALVVFSPSGKVFSFGHPNLDTVIDRYLSLVPPQNNGTMQLIEAHRNANVRELNARMTQINNTLDAEKKIDDELSHLLKETEANFWWACPVDGMNKDQLELFKKALEELKKLLIQHATTRTLPFFVGNASSSNIYLHHQPNTQQSEMFPSHFFQNPMLQLQPHLFDGSMMPHHGFNNMV >RHN71503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:597474:604416:-1 gene:gene7111 transcript:rna7111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative T-complex 11 protein MAAGVELPEGKNGIVMEFPIGDDESLSSPVRLPKRLRRRLLDTECKSPSSVEEIEEKLRHAEIRRQKYYEKLSSKARAKPRSPSRCSSQDEDLGQRLEAKLQAAEQKRLSLLTKAQMRLARQDQLRQAAKNGVELRHANERVKLGTKVESRVQQAEANRMLILKARRQRRASLRERSSQSLMRRMTRESKYKERVRAAIHQKRAAAESKRLQLLEAEKKRVHAKVLQARHVAKSVSHQREIERRKKKDELEDRLQRAKRQRAEYIRQRGRLRGYAFENWITMSKQAEYLSRKLARCWRRFLRQKRTTFTLTKAYAVLGINEKSVKSLPFEQFALLIESASTLQTVKTLLDRFESRLRVFTAVVPANYYTSLDNIDHLLKRVASPKKRATPRSSTRSPAKKSDTVKELNNRLSRYQVRVVLCAYMILGHPDAVFSTMGEREIALAKSAQEFVKMFELLIKIIQEGPIKSSDEESVSASVKRCTFRSQLAAFDKAWCSYLNCFVVWKVKDARSLEDDLVRAACQLEASMIQTCKLTPEGVGISHDMKAIQHQVTEDQKLLREKVMHLSGDAGIERMESALSETRSRSSRVKDSGSPMGFPMTQYLTPSPTPLSTVASPTPLSTVASPSERNISNKSNKTSRVVRSLFKESDTSPIESSFSSPITSSNTQLSTTSEKFVAPNEVLVNEFLHEHHRSFADGFDVSDHIQNSVEGKIKQTMEKAFWDTVMESVKQDQPNYDQIIQLMEEVRDEICEMAPISWKDDIIAAIDLDILSQVLKSGKLDVDYLGKILDFSLVSLQKLSAPANEEIIKAKHKALLCELSEICQSRDESNNACVVALVKGLQFVLEQIQILKKEISKARIRLMEPLLKGPAGLDYLRNAFANKYGSPSDASTSLPLTLRWLSSIWNFKDQEWVEHVNSSSALADNSSQGIPSTTLRTGGNIMLKSTGSPMVFSPDGSNTKGDQQPECKGEPIDLVVRLGLLKLVSGISGLTQDDLPETSSLNFARLRSLQAQIQKIIVISTSVLICRQIIMSEKAVASSADMENAVSKCAEELLELLDRVEDADIEDIVGVICNLPSVDGEDAGKVQSRKAVAARMLGKSLQAGDAVFERVFNAVYSALRGVVLGGSGARGRKLAEMALLKVGAGALTERVVEAARVLIVAATISVGVHGPWYKYLTDNI >RHN82712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55839668:55841207:-1 gene:gene6894 transcript:rna6894 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSSSPNKVTLKLLIDTKNDKVLFAEASKAVIDFLFNLLRLPVGTVVKLLTKNGMVGSIGNLYHSVETLSDNYMEQNQTKEELLNPIAPVSSAGIAGFLPANDADANNIVGDEVTLYYRCLNRCAYVTCDSSTRCINCSQVMAYQMDYIGKKAVRKNISTANGFVKDVVTFMVMDDLVVQPMSTISSITLLNKFNIKEVGALQEKVVEMGMDEGIKLLKASLQSKTVLTSVFIKKDV >RHN79066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22052003:22052839:1 gene:gene2762 transcript:rna2762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MRKKNTPYCSFYNPCSSYHSFDDNEKSQRSSIYECELCGKRFNSGAALGGHKTSHRSQPQNKKQRHNHAVNKDDKDDEKQKHCCRVCNKVFSSKQALCGHMRCHKRDGKDIHLPTSSSIDLSKYLLPISYPTNKGSKRKIIDEDVARTLIKISYDNNHGDSKRLKLSCGDMVNETVKEQTVMQTTGHVRVNDETVVIKEKDGSGNKRLKFSSNSEDISDIDESPETKVKDNNTSIDIEEKKNNNEGETVREPSHIELKADRVVMNFDLNEIPMKDETN >RHN50554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8070893:8071015:-1 gene:gene34853 transcript:rna34853 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLFGALPASKSKKIDVDLVHKIRRYIILGICKKFDHYL >RHN74564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34777222:34792234:-1 gene:gene10653 transcript:rna10653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MGFTATDEVEGNFKFNSDRLQELKAFDETKAGVKGLVDQGILKIPTLFHHPPDKYGKATNSTNTQHIIPVIDFANIDKDPNTRQEIITKIKEASETWGFFQVINHGIPLNVLEDMKDGVKRFFEQDTEVKKEMYTRDRTRPLVYNSNFDLYRSPALNWRDTFICDFAVNASKLEDLPVVCRDILMEYGTCLMKLGRVLFELLSEALGLHPNHLKDLDCCEGNMIIGHYYPACPEPDLTMGTTKHSDVSFLTVLLQDRIIGGLQVLHQDKWIDVPPVPGALVVNIGDLLQLITNDKFKSVEHRVLANGIGPRISVACFFKAGLRAHKKLYGPITELLSENNPPRYKETTVADFIAYVYAKGLDGTSVLQDFKL >RHN41512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30650936:30651945:1 gene:gene47844 transcript:rna47844 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLGFAIRSRRIAISQIYTKLSPLAFVVMFLWNIWQLALASFSQGDKRN >RHN81303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44684961:44686510:1 gene:gene5321 transcript:rna5321 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIAITLVTMCLRSMININMNNAHQTISFVPLNSHQPFDLTQNPSAHTIRPVWAEYYIFVPPLGERTKNPLALSNSSEITIIPSIHFSSTRQ >RHN70693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53244369:53244835:-1 gene:gene19304 transcript:rna19304 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCLSYEQRFFTRIPPSLSVSPALPPRAAQLLRKPNPPRIQKIPQRFAISVNRVAAPILTEEKRTTTDAKREEERSTVVIEKRWDEKKEKERRSGRREYLEQAKKELIGAADGGPPRWLSPLECGSRLEEEEK >RHN48241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46057532:46058010:-1 gene:gene42936 transcript:rna42936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family MMEMVEEKGSANGKEDYTQDGTVDLKGRPILRSKTGRWKACSFIVVYEVFERMAFYGIASNLVVYLTSKLHEGTVESSNNISNLGGAVWMMPLAGAYIADAYLGRYLTFVIASCIYFMV >RHN39742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10184324:10187157:1 gene:gene45803 transcript:rna45803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyketide cyclase/dehydrase, START-like domain-containing protein MNCKLSQVRLTLFYTNYFLFSLKLIPLHHLFFLLFFCYIQNTTKKVSVTRKKKKKMNNGCEQQQYSVIETQYIRRHHKHDLRDNQCSSALVKHIKAPVHLVWSLVRRFDQPQKYKPFISRCIMQGDLSIGSVREVNVKSGLPATTSTERLEQLDDEEHILGIRIVGGDHRLRNYSSIITVHPEVIDGRPGTMVIESFVVDVPEGNTKDETCYFVEALIRCNLSSLADVSERMAVQGRTDPININP >RHN47284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38474226:38475195:-1 gene:gene41862 transcript:rna41862 gene_biotype:protein_coding transcript_biotype:protein_coding MEITRYLSRRGYRRLDYGTTTAGRRKKMQIIRMRSPRRDWKIRTSPKLRWMIRSPLKLLTKVKNTYMNFMLKLAGNVGGAFNTDNKFGMKRIPKARQVSNSKGYSGDAFEARLIFEISKTLVASHELYSM >RHN75178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39984644:39984979:1 gene:gene11346 transcript:rna11346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MRTSADTSANFIAKVADFSLSKTGLELNQTHVRTTVKGSFSYLDPKYFRRQQLTEKLDVYSFRVVLMEVLCTRPALNPFILENKVVLIQSKKNYICVSHFGTEGVHDKKSQ >RHN59685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12644354:12644533:-1 gene:gene21770 transcript:rna21770 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl32 MAVPKKRTSISKKNIRKNFWKKKGYWTALKAFSLAQSIFTGKSKFVTNKIKMLDWNNIN >RHN52767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38605641:38611899:-1 gene:gene37472 transcript:rna37472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MLPISLFCSFKTMLKQLFLLDLVLIFCVLVLASAQSEINVNATYRSFNSPTTKQGIGNKWNLNTAGVLCASQDGNKSLSWRSKYGWAAFCGIWLSKYACGKCLNVTNRDSGSTVAQLRFPITQTVRIVDNCSHGGLELDMDVFQKLDTSGYGMSQGYLVVDYEFVNCVYSEPHVLSKSNVSSREPHVLSKSNVSISEPHVLSNVSIIISPTPAPTPTPTSTPTPTPTFLSPNPLSNFTQQFHRATTGSHSNWKRNVIIGVVSAVMTVLLMCIIIYCLRSKSSIRQGKFQCRTRNEKKIEAFLKDHGALLQKRYKYSEIKKMTNSFKVKLGQGGFGVVYKGKLFNGCHAAIKILNSSKGNGEEFINEVASITRTSHVNVVTLLGFCFEGTKKALIYEFMSNGSLDKLIYNKGPETIASLSWDNLYQIAKGIARGLEYLHRGCTTRILHFDIKPHNILLDENFCPKISDFGLAKLCPRNESIISMSDQRGTMGYVAPEMWNRHFGGVSYKSDVYSYGMMLLEMVGGRKNINADASLTSEIYFPHWVYNRLELGSNLRPDGVMDTEEDKIARRMTIVGLWCIQTFPSDRPTMSKVIEMLEVNMNLLEIPPKPYLSSPTRSISESFKS >RHN63974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55525965:55535654:-1 gene:gene26740 transcript:rna26740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSSLSRELVFLILQFLDEEKFKESVHRLEQESGFFFNMRYFEEMVTNGEWDEVEKYLTGFTKVDDNRYSMKIFFEIRKQKYLEALDKGDRSKAVEILVKDLKVFAAFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARGIMLAELKKLIEANPLFRDKLQFPSLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNPLMAGVPKVGGFPPLSAHGPFQPTPNALPTSLAGWMAASPQVQHPSASAGVGPIGLAQANNPALLKRPRTPPNNPAMDYQTADSDHVMKRTRPFGISDEVNNLPVNLLPVAYSSQSHGQSSYSSDDLPKTAVMTLNQGSTVKSMDFHPLQQILLLVGTNMGDVMVWDIGSRERIAHRSFKVWDLQVCSVALQASLSNEYSASVNRVVWSPDGTLCSVAYSKHIVHIYSYHGGDDLRNHLEIEAHAGSVNDLAFSYPNKQLCVVTCGEDRVIKVWDAVTGAKQYTFEGHEAPVYSVCPHHKENIQFIFSTATDGKIKAWLYDNMGSRVDYDAPGHSSTTMSYSADGTRLFSCGTNKEGESFLVEWNESEGAVKRTYHGLGKRSTGVVQFDTTKNRFLAAGDEFMVKFWDMDSTNLLTSFDADGGLLASPCIRFNKEGILLAVSTSDNGVKILANAEGIRLLRTVENRAFDASRAASAANVKPPTIGAFPSTNVAVGTSLADRTPPVAAMVGLNNDSRSLADVKPRIVDEAVEKSRIWKLTEINEQSQCRSLKLPDGLSSMRVSRLIYTNQGVAILALAANAVHKLWKWQKNDRNTSGKATASLQPQLWQPSSGILMTNEIGDTNPEDAVSCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHVLNILVSSGADSQLCVWSTDGWEKQTNKFLQMPNGRALAPLADTRVQFHLDQTHLLAVHETQIAIYEAPKLECLKQWVPREASGPITHATYSCDSQSIYVSFEDGSIGVLTASTLRLRCRINQTAYLNPNPSLRVYPLVIAAHPSESNQFALGLTDGGVHVLEPLESEGRWGSPPPTENGVGPSTPSGASVSEQPQR >RHN60469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27143127:27144463:1 gene:gene22782 transcript:rna22782 gene_biotype:protein_coding transcript_biotype:protein_coding MFMCFYYKSYVILTHRKMLICIWPVLLRLETARFINLLKVENEKECMALSSKEVEACLWYIRFQV >RHN76978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2887588:2889835:1 gene:gene379 transcript:rna379 gene_biotype:protein_coding transcript_biotype:protein_coding MTFMSSTFPSCFRPSKTTNHHHPLPSHPNLTTYIYQTNAGTISLTWSRSILGHTLQIHLQNNSSYFELNIKPYHFFNKNGSKKLSHNTLLFWNLSQASFGSKSPEPEPCSKFYIALVVKNEIVLYINNMEHARTNKFLRARDETKIPQILVLKKEHVDMEVNRNGSSSYATKTRFGGKVREVEIEIGSDCDDDNKGNNTRLLFSVDGERVLEVRKLKWKFRGNERVEIDGVSVLICWDVHDWLFENGGSDGDGHAVFMFKFEENEVGGCCGERSEFGSCKSWSSSSLSMSSNSVGGSCSVTEWSSFEESEFLVPLGFSLFVYAWRR >RHN59613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12528538:12534254:1 gene:gene21667 transcript:rna21667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MASVRQILKLQLSTLTSYFNQQYTLLPQTVPMYSRGRDFLFCHLCGTMLTVPSTDYALCPLCKTKCNIKDIKGKEISYTISAEDIRRELGIDLIEEQKVQLSKVNKTCEKCGHGEAAFYTRQMRSADEGQTTFYTCTRCGHQFQEN >RHN73634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18380336:18388413:1 gene:gene9471 transcript:rna9471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubulin-tyrosine ligase/Tubulin polyglutamylase, leucine-rich repeat domain, L MVAMATTTKKRIETYEDFTKVHGILLAASGLPESLHRRLFHKLSTETFDGGEHFQIEPCEENRMRRLVLTSDSMAKDSNVFLVDHAWTFRLSDAYKQLREVPGLAERMGSLMCVDIDLDGEIEVNGVDDEVSREEVSVVEMLESEVREVKEKGDGTLRWLELDGLDIDDDTFLSLDLPARFPNLVALSLYGNKLTRPELIIQEVIKFKHLKGLWLNNNPVLQNCDGDLAGIILKELPELEIYNSTFTSNFGEWALGFCAEIYGKDNPSNADQADSPLLSVSNLDLSNRNIHNLINKDFSPVCLPSLSYLNIRGNPLEQSSVTDLLDLLRRFSCLCSLEVDIPGPLGGSAVEILESLPNISELNGICASKILESGKHVIDSVLLPRLPEWTPDEPIHDRIISAMWQYLMTYRLADEEKLDETSVWYVMDEFGSALRHSDEPNFRVAPFLFMPEGNLASAVSFSILWPTRNVWKGDECTRDFLLGIGEDKQRSARLTAWFNTPENYFIQEYEKYDQRLQSTSLIPPTVQSSESRSIRPHDVRPLRVYTDIPHVEEYLTHPEFAIIKDPKDADIIWTCVQVDEELKKATGITDQQYINQFPFEACLVMKHHLAETIQKAHGSPQWLQPTYNLETHLSQLIGDYYVRKREGLDNLWILKPWNMARTIDTTVTDNLPAIIRLMETGPKICQKYIEQPALFQGKKFDLRYVVLVRSMHPLEIFLSDCFWVRIANNQYSLAKSSLFEYETHFTVMNYRGRINHKNIKDFVREFEEEHQVKWLDIHARVRTMIRSVFEAAAVAHPEMHSPTSRAIYGVDVMLDSSFQPKLLEVTYCPDCTRACKYDMDIVVGEGGIAKGCDFFNNVFKCLFLNEISQVSRL >RHN55331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17396026:17400989:-1 gene:gene30498 transcript:rna30498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLKKAFGTPRFFGFRRNHNNSLTTSSVSSDQRGIRFCIWVALKFENLSYEPYCLVNRILFHRNWYSIYWEALELLKKNGVLVTSDSVRALVRSYSHMGYTEKAIESFSRMREFGIEPDAHMYNTILRDVLNEKLLELALALYTTMLKSNVEPNFYTYNMLIDGFCKRGEVKGAQEMLDEMKRVGIVPCVLSTTSILYGCCQANNVDEAHKLFNDMKETSYPPDMISCNVVLNGFCKMGRLEEALSFVWMIKNDGFSLNRNSYSSLINAFFKARRYREAHAWYTKMFKQGIVPDVVLYAIMIRGLSKEGRVGEAAKMLEEMTQIGLTPDAYCYNAVIQGLCDVGLLNRAQSLRLEISEHNVCTHTILICEMCKRGMVAEAQELFNQMEKLGCEPSVVTFNTLINGLCKANNLEKAKNLFCKLEVGRRHSLHLSLSQGSGQVSDSARLLKKAKEMCEAGQILRAYKLITDLAGEVKPDIITYNILLNALCMDREVNAAYNFFEFLQKKGYPSPDNVTYGTIIKGLFMVDREDEAFKVFQRMQKTGSEPTLSVYRTLMTCLCRKSKVSRAFTLYFEHLKSLPSRDNDSISALEKYLFGEKLEQVIRGLLELDFKARDFKLAPYTILLIGFCQAGKVSEALIILSVLDEFNIKINATSCVHLIRGLCKEQRLHDAVKIFLYSLEKGFMLKPMICNHLLTCLLYSRDYKECVVDLIGRMESFGYRLNSEEFATTLALLQHHQKGKKRKITIRKD >RHN49777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1085041:1085373:-1 gene:gene33988 transcript:rna33988 gene_biotype:protein_coding transcript_biotype:protein_coding MIETGLAPSDGDLKPVNMVVQLAHEFLFQCQEVRSRLAASIQHQQQHIEAWQLPNEDFMKWNVDAAMFEAQRCFCIGMYIQNSRDHFLKAAPLLKKRKQWNYVMRNFLAW >RHN46611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33246269:33246718:1 gene:gene41118 transcript:rna41118 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGIISEVHLSLRLALIHSSMFPWKLRTRWNKCMISLRSISSTHTHVMREGNSVADALAKNGQGLAPFSSQYWDAPPLFVLSLLQRDSLGLSSSTLNM >RHN65931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6637781:6643641:1 gene:gene13829 transcript:rna13829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MLEPLLGTITNVTFFYMILIWVLFNSLKLSKHNNNLRFKHKPTLFASITVLFNGVMSILNVATVFYDHSYTTSGVIGYNPNPISLSFTWVLATLVSYYSMKKTLGECKRFPIVLILWWVFATIIDIISLSLKLVKNSESMNFRVFLLENIVGAVSFPILLLLCINALPNVCTKEQSETEQRLLEEEFESSTLGEEDEEAFTKASLWSLLTFTWLNPIFKIGRIQKLEHVHVPCVPNSETAAIASSMLKESIHNQTLKGGSLAKAIIFFIWKSMAFNAVLAGVNTIASYIGPLLISNFVNFLLSKNDNSSNQYGLIIASILFLSKTVESLSQRQLYFGAQRIGIRVRAALMAQVYSKSLLVKCRVPADGNITNLINIDIDRVGDFCNHIHGIWLIPVQTFLALIILCINLGWIPSLVAFAVTTLVMMCNTPLAIMQKGLQSKIMEATDARMKMTSETIKNMGILKLHSWELTFMQKLLRFRDTEMSWVKKFLHASSAVATLFWTTPTLVSVFTFGACILVKTELTAATVLSALATFRILQEPICNMPELISMITQTKVSIDRIHKFIEKEDQNPFINRPASESSTVSIEIKPAEYAWEATDQTTTKKATITIKESFMIKKGHKVAICGPVGSGKSSLLLSMLGEIPLISGEATEVYGTRSYVPQSPWIQSGTVRENILFGNKMDTILYENVLDGCALNHDIKIWRPYGDSIPVEERGINLSGGQKQRIQLARAVYNNSDIYFLDDPFSAVDAHTGSHLFKECLVKLLCDKTVVYATHQMEFLEAADVILVMKDGQIVESGSYRDLIACPDRKLVRQMAAHKETIKQIPSLEEDDTVSCRSRPCPKNLIEIDEQNGQEIAKDEKRTREEESMTGRVKWSVYSTFVTLAYNGALVPVILLCQILFQAMQMGSNYWISWAAEQRGRFNNGRLIGIFTLLSGGSSIFILGRTVLMTIVSVETARRLYHGMTTSVFRAPISFFDNTPSSRVLSRSSTDQTRADSDIPYRLAGLVFALIQLLSIIALMSHAAWQVLLVFFAVFAISIWYQVRAVKHRKFVKTFLNACVYYITTARELARMVGIRKTPILHHFSESIVGATTIRCFNQERIFLTKAMSLIDDYSRVAFHNYATMEWLSVRINFLFNLVFYFVLVILVTLPRSSIDPSLVATYGLSLNVLQAWVIWNLCNVENKMISVERILQFSTIPSEAPLVIQDCRPTPEWPSEGKIELNNLHIQYDPAAPIVLKGVTCVFPGHKKIGIVGRTGSGKSTMVRALFRAVEPLRGCIVIDGVNISNIGLHDLRSKLGIIPQDPTLFLGTVRTNLDPLEEQTDEELWEVLRKCHLAELVRQDPRLLDAPVAENGENWSLGQRQLVCLARLLLNKRRILVLDEATASIDTATDSLIQKTIREETSDCTVITVAHRIPTVIDNDMVLVLNEGRILEYDNPARLLRDQSSSFSKLVSEYSRRSSQS >RHN61406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35420041:35421472:1 gene:gene23870 transcript:rna23870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylecgonone reductase MEYCYRLGLAKSIGVSNFGIKKLSMLFESAKIYPAVNQVEMNSSWHQGKLREFCKQKGIHVSAWSPLGGYKLSFGSPAVMENSILREIAEARKKSVAQIALRWIYQQGAIPIVKSFNKERMKLNTEIFDWELNQEEFDKINQIPQCRLQKVEMFVSDSGPYKTLEELWDGDA >RHN53424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1572798:1574221:-1 gene:gene28328 transcript:rna28328 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWLLIRFRPQEINLSRKTSTGSNSNGSNSNMIDSGINGTVYGSGFCSGGDYIGGRLNRDLRKQATKSRTVRFEFHITETESAKKWR >RHN76765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1106590:1107073:-1 gene:gene138 transcript:rna138 gene_biotype:protein_coding transcript_biotype:protein_coding MTFISSTFRPSKTTNHHHHPPPSHPNLTTYIYQTNACTISLTWSRSILDHTIKFIFKITLPSSNFT >RHN78143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12247567:12248732:-1 gene:gene1665 transcript:rna1665 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGILLWILMKLSQRRRRVGSMAHNRSQVQISHAHVISVDAGCFSDGYTAYVCVFKDYTSLTTFSACKKEQMTADPKTAEALGIRWCMQLAKDQRIKG >RHN58722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4279364:4284086:-1 gene:gene20656 transcript:rna20656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MMHGFVLRRYVTATVDSILKIGRFSCRNSLLNCETLYSKKTCLWPYISSQFSSISIIATTKYDVFVSFRGKDIRGDFLSHLIEALRRKKIKAFVDDELKRGDEILQSLVRGIEGSLISLIIFSQDYASSRWCLEELVTILQCREKYGQIVVPIFYGIDPADVRYQMKSYENAFVEHQRVYSSTKVQIWRHALNKSANLSGIKSSDFRNDVQLLKEIIKCVSMNLNNKHLISSKGLIGIGKQIAHLISLLSLDSQDVRIVGIWGMGGIGKTTLAEEVFHQLQTEYEGCCFLENIREESAKHGMLFLKEKLFSALLDEDVKVDTANRLPHYVKTRISRMKALIVLDDVNDFDQMEILAGDHDLFGFGSRVIITTRDKQMLSQDVDDIYEVGALDFDKSLELFNLNAFKVKELEIEYYELTKRVVNYAKGIPLVLKVLAHLLRGKDKLVWESQLDKLKKMPSKKVQDVTRLSYDDLDRKEKKIFSDLACFFNGSNLKVDYIKFLLKDSESDNSVASGLERLKDKGLISFSKDNVISMHDIIQEMGREIVRQESNGDPGSCSRLWDDDVYEVLKNDTGTEAIRSIWMQLPTLRKLKLSPSTFANMRNLQFLYVPSTCDQDGFDLLPQGLHSLPPELRYLSWMHYPLKSLPDEFSAEKLVILDLSYSRVEKLWHGVQNLLNLKEVKLFFSRYLKELPDFSKALNLEVLDIHFCSQLTSVHPSILSLEKLEKLDLSHCTSLTELTSDTHTSSLRYLNLKFCKNIRKFSVTSVNMTELDLRYTQVNTLPASFGCQSKLEILHLGNCSIENFPSCFKNLIKLQYLEVRYCQKLQNLPVLPPSLEILLAQECTALKTVLFPSIAEQFKENRKRVVFANCLKLDEHSLANIVFNAQINITKFAYQHVSASRDEFHNKFNNYNEDDSHQALYVYPGSCVPDWFEYKTTTDYVAIDLPSSTSHSRFLGYIFCFVLGGNRLIVDMLKFNITLCVEGQGKEEDYFELYISRPSSIIVSDHVFMIYDQQCSCYLNSKAKDITRFKIKVTTRLSSMHPRSYSDICMVLKGFGVNIIDTSADHNFIQMMGLPDSTRNISSGIEM >RHN63233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49572965:49574428:1 gene:gene25910 transcript:rna25910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MERHKCKLCSRTFGNGRALGGHMKAHLAIAKSQQKQFTQPGSFSSSESEEDEEEEEKALINYVLRENPKKSLKVADPEFSFVRETESVIVQDRESETESKNNPTRQQRSKRNRKQNNDNNNQNFLPQKKPKPSFMVPTTPSQFTEQEPVSSVSDTSPEEDVAMCLMMLSRDKWSRKMNNVNNVEQEEDEGSVEKISKVKLLKRVRGKHLCENCGKTFRSSRALGSHRSICCRDEAKNGNGNDDKIFECPFCFKVFGSGQALGGHKRSHLMIPSSSTSTANVNVNPTAARFKDSFIDLNMPAPLEEEDDLSVVSDA >RHN50031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3280019:3283568:-1 gene:gene34279 transcript:rna34279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MAFPPSHTFIFQTHEDHHHENILSSSTSLNSYPSFPPHQHFQGSGSNGGASFMMKRSMSFSGIESNHINTNKCDELVHGDEDQLSDEEGYSQMGEKKKRLSLEQVKALEKSFEIGNKLEPERKMQLAKALGLQPRQVAIWFQNRRARWKTKQLEKEYEVLKKQFDSLKADNNTLKAQNNKLHAELQTLKKRDCFENGTISLKKENEGSWSNGSDNSSDMNLDLSRTPNSLKPTSMTQLLQCSSRSDLQDESFCNMFNNIDEQQSLWPWTDHQQHQFR >RHN40224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14367774:14377359:-1 gene:gene46347 transcript:rna46347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNAJ-containing protein MSDKEHFSTCLILKFRQRFCHTDPQEIWFISNQSSSQFLQVGNFFHFYFLGCFENLGWNCDLISQKMVKETAYYDTLGVSVDASAADIKKAYYVKARIVHPDKNPGDPKAAENFQLLGEAYQVLSDPEKREAYDKNGKAGVSQDAMMDPTTVFGMLFGSEFFEEYIGKLALASLASIEVEEDSLEPQVRMQKIQEKMKVWQKEREEKLKSVLIDRLQPFVDGREEEFTTWANSEARNLSKAAFGEAMLHTIGYIYTRKAAKELGKDIRFMNVPFLAEWVRDKGHRIKSQVTAASGAVSLIQIQEELKKLNQGENKEENIMKALEDKKDAMINSLWKINVIDIESTLSRVCQAVLKDPSVSKDVLVSRAKALKQLGTIFQGAKDAFRRENSLRQENEKQVEAGSAS >RHN40290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14950230:14952108:-1 gene:gene46429 transcript:rna46429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MEGSVQINVPESHSNIEQEKKPILSWDVIIEKSLSNFGWMDFLQAVLVAVAMFFDAQQSFISIYTDNYPKWHCTNSICTSSSDICKLPRSSWSWDTHPSNTIISHWNLECASTFITGLPQSSFFIGCLLGSFFLAALADSSIGRKNMLIFSCVSMSITSMLIIFSTNVWIYSAMKFLIGFWRSSIGTCVLVLLTEKVSAEWRFRVGIVEYFTFTMGYMSLPGFAYINRNSSWKSLYIWSSVPAICYSVIAYLFVTESPRWLVMQGREKEILKMLKRVSSEESADDDSVNLASNLPKLPPKEKVSFFQLYSSIGELFHKRWAVIRMIAVMILGIGLGMVYFGMPLAVGNLGFNIYLAVVFSASMELPSCVATYFLENLRRKPSILVFSILGGICCVMCAVLENRVPAAKVVLAMVAFFGACTAYNVFLIYIIELFPTCVRNTTTSLVRQAIVFGCIFCPFLISAGRKNNIYSYGVFGVVIMLSNFTLFFLPETMGIVLCDTMDQQEKKEIAMSDAMNQDEKSRNVSV >RHN78486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15552543:15552851:1 gene:gene2058 transcript:rna2058 gene_biotype:protein_coding transcript_biotype:protein_coding MKCGYIVKEVEQVIRDIGRSLVALSLTNTEVLSIISDQVNRLLSKMQRVNFEASQSQLDMVDKLSHGIKYSTRLLRTICLRDSKGSWGASGAFRDPQRDSQH >RHN59470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11061677:11062921:1 gene:gene21501 transcript:rna21501 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIDRIVLSNDRVTVQKLRDVGRKLSKNLASSVDKLLEILDKLELVLSNLDQDPAKPIQESLVLPMKTLISDELLRHTDDDVKISVTACLTEIARITAPNAPYNDEHMKEYLKLMADAFEKLSGVSGRGYEKAITRKNFKDQNILDHAGP >RHN81099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43322207:43324469:1 gene:gene5096 transcript:rna5096 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLKSVLILLISLTISSSLSSCTLIEPKNLSAYDLLMEYGFPMGLLPKGAIGYSLNRETGQFSVYFEKTCSFVIESYTLSYKSTISGVISQNRLYKLKGVSVRILLLWLNIVEVSRKGNDIDFSVGITSAGFGVENFLECPQCGCGFDCNNILRLNGDVQVSSI >RHN71026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55888149:55891708:-1 gene:gene19667 transcript:rna19667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (+)-abscisic acid 8'-hydroxylase MLSQLVKEHPYFVGFLLVFLSSLKVCALWCKNNKCSRSVGIPPGNRGLPFIGETLHFMAAINSTKGVYEFVRLRRLRYGNCFKTKLFGETHVFISSKESAKVILRNEGGKFSKKYIKSISKLLGPDSLLCAAEHHHKLIRSRLLSLFSPHSLPSFVQLFDELVTEATGTWTCGSLVIIQDEALKLACKAMCKMLISIESSHELAMMHKDVARVSEAMLAFPLRLPWTRFYKGLQARKRVMDILENVISKRRHEIADRRVDFLQQLLADDENNSEKDEVTTLTDKEIKDNILTMIIAGQDTIAIAMTWMIKFVDENQEVLNELKKEQLQIEKKGRGGVYLTLKDLSEMQYASKVVKEALRMASVVQWFPRVALQDCEIEGFNIKKGWNINIDARSIHHDPTIHNDPEIFNPSRFPSESKPNSFLAFGIGGRMCLGKNMAEAMMLVFLNRLVTNFKWKVIDSDSSIQKSALFTKLKSGYPVRLIYVKEEDLNKNK >RHN65109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64241713:64246039:-1 gene:gene28007 transcript:rna28007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MSASTEEDQIQLLTSTDDEYSGVIVELMDHPIMDSTTFLSILRPSISNWKQQGKKGVWIKLPIHLANLVETLIKEGFWYHHAEPKYLMLVHWIADSANTIPANATHRVGIGAFVVNEKREVLVLQEKTGHFQGTGSWKFPTGVADQGEDICAAAVREVKEETGIDSEFVEVLAFRQSHMTFFEKSDLFFVCLLRPLSFDIKIQEVEIEAAKWMPFDEYAAQPFMEKYEVLRYINDIYLAKIDGHYSGFTPISTKSNFSNQPNSHFYLNAGGLKRSNSL >RHN47964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43876976:43882436:1 gene:gene42623 transcript:rna42623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Omega-6 fatty acid desaturase, endoplasmic reticulum isozyme 2 MGAGGRTSVPPSARKSENDTVKRVPFEKPPFSLSQIKKAIPPHCFKRSVIHSFSYVVYDLTIAFFLYYVATHYFQHLPRPLSFLAWPAYWAIQGCILTGVWVIAHECGHHAFSDYQWLDDTVGLILHSALLVPYFSWKYSHRRHHSNTGSLERDEVFVPKQKSSIYWYSKYLSNNPLGRVLTITITLTLGWPLYLALNVSGRPYDRFACHFNPHGPIYSDRERLQIYVSDAGILAVCFGLYHLAMAKGLAWVVCVYGVPLLVVNGFLVLITFLQHTHPALPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGDYYRLDETPFYQALWREARECIYVEPDQGTERKGVFWYNNKW >RHN47538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40404826:40411357:1 gene:gene42151 transcript:rna42151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I, cationic amino acid transporter MGGGVSQKGSWILFFTRRKQVDSPRQNTKPLLAKELSVYHLIAIGVGSTIGAGVYVLVGTVAREHSGPALAISFLIAGLAAGLSAFCYAELACRCPSAGSAYHYSYICLGEGVAWLIGWALLLEYTIGSAAVARGITPNLAPLFGGLDNLPFFLSRQHIPGIDIVVDPCAAILVLLVTGLLCLGIKESTVVQGIVTSVNVCALLFVIVAGGYMGFKSGWVGYELPTGYFPFGVDGMLAGSATVFFAYVGFDAVASTAEEVKNPQRDLPLGIGASLFLCCGMYMLVSVVVVGLVPYYAIDPDTPISSAFSDHGMQWAAYIINAGACTALISALMGGILPQPRILMAMARDGLLPPFFCDINKHTLVPVKGTIITGLAAAVLAFSMEVSDLAGMVSVGTLLAFTMVAISVLILRYIPPNKVPVPPSLQDSIVEIKVEYEEENIGTSEVSKPLDVTRDFSIDYPLISKHRSKGNHLNRRRVVGWTIAIICLGAFVLTYAASCLTFLSSVRFALCGVGGTLLVSGFVFLTCMDQDDARHDFGHSGGFVCPFVPLLPVACILINSYLLINLGAETWLRVSVWLATGLLIYGFYGRTHSSLKDAIYVPASQVDERYQPPRSHAA >RHN76770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1171873:1175684:-1 gene:gene144 transcript:rna144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain, tetratricopeptide-like helical domain-containing protein MSSFLPATTESIAQALEAKDPSESISILYRVLGDPSSSPDALRMKEQAITNLTDLLRQENRAEDLRSLLTQLRSFFSLIPKAKTAKIVRGIIDSVAKIPGTSELQISLCKEMVQWTRDEKRTFLRQRIEARLATLLMESKEYSQALTLLSGLVKEVRRLDDKLLLVDIDLLESKLHFSLKNLPKAKAALTAARTAANAIYVPPAQQGAIDLQSGILHAEEKDYKTAYSYFFEAFESFNALEDPKAVFSLKYMLLCKIMVSQADDVAGIISSKAGLQYLGPDLDAMKAVADAHSKRSLKLFETALRDFKAQLGDDPIVHRHLTSLYDTLLEQNLCRLIEPFSRVEIAHIAELIELPIDHVERKMSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPATLETISNVGKVVDSLFVRSAKIMT >RHN71105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56511376:56511501:-1 gene:gene19748 transcript:rna19748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MSGYGSNNKYSFLGGLRDAAQSISYEIPLTLCVLSISLRVD >RHN71753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2432110:2435426:-1 gene:gene7387 transcript:rna7387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gliding motility-associated protein GldE MAVEYRCCETEFFIRIMIIVLLVVFAGLMSGLTLGLMSLSLVDLEVLAKSGTPQDRKHAEKILPVVKNQHLLLCTLLICNAAAMEALPIFLDSLVVAWGAILISVTLILLFGEIIPQSVCSRYGLTIGATVAPIVRVLVWICLPVAYPISKLLDYLLGHRQEALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELSEKTAGDAMTPINEIFSIDINSKLNRDLMTLILEKGHSRVPVYYEEPTNIIGLILIKNLLTIDPEEEVPVKSVTIRKIPRISEMIPLYDILNEFQKGHSHMAVVVRHFDKTGQQSSNNNCTDSVRDVRVTIDGEKNNPQEKVLKNKMQLHKKKSSPNSNNSNSNSSASSRSKKWSQNIYSDILEIDGNSIPKLPEKEEAVGIITMEDVIEELLQEEIFDETDHHFEDS >RHN65788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5355045:5357843:1 gene:gene13678 transcript:rna13678 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKAAKISPLLFTCSSSLLQNDSLPVEPFQMHVCQLNQSPWDVIPFHFDKSSIQFEDSLAALDESVASMMETDIVDIDNKPVIENSSIMAIDNNQQCDGNKKVVNNNGGCNTGLKRNSNGGGDIEAPRRGRGRPKKVKADSASVLKSHEE >RHN65254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:587770:588136:-1 gene:gene13074 transcript:rna13074 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTYFERSRLFTDLFPSSVVSSWVNWVVRFEIVFTSFGLFWVVFTPKVSFQISFGCNGTFNFVSIVVGLVVFWRLYEICCMERFWLVMLEWWCCL >RHN78810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18625429:18628413:-1 gene:gene2464 transcript:rna2464 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLTMLFEVCGAKFHDKRVLMHEINVNDVVVALVNYAKKSGEVECYQNSIKSEFKSLKENLESFLDNLVRECQHGPFFDKVLFEKCMKYIIALSCTPPRVYRQVASLMGLSLITSYITIANMLGVQRDITRRQLDGQKKKKTEGPRMETLNIKLSDMHEKITSLEEMMGKIFTGLFVHRYRNLDPNIRMSCIESLGVWILSYPSIFLKDVYLKYLGWTLNDKYAGVRKSSIRALQNLYEMDDNVPSLGLFTERYSGRMIDLADDIDVAVAVQAIGLVKQLIRHQLITGDELGNLYNLLTDDPPEIRHAIGALVYDYLIAKKFNSSESESRGENDNSSKVHLERMLRILDEFPPNPILTSCMIDDVWDYMEAMKDWKCIISMLLDENSLITNESKTNLVRLLCASVKKAVGEKIVPAIDNRKQYYNKTQKEVFENNKHDITIAMMESFPLLLQKFISDEAKVSLLVEIVLYMNLEFYSLKRQEQNFKNLLQLMKNAFFKHGDKDPLRGCVKAINFCCVESRGELQDVARNNLKEVEDLVIDKLESAIREVKAGGDEYSLLVNLRRLYELQLSKYVPIDKLYEDIVMVLRDVRNMKDEVVGLLLQNLYFDLAWSLKFVIDGESVSDASVKTLLSKRDTFLQELDYFVNLVTDSNEGDKSGSELDGRGYQPNADVLQKFSKLCQQQLNVSDEVEDDDVNKEETNRCAVLVGACKLIYTDVVPKDYLAPEIISHFVMHGTGVAAIVKNLITFLKKRKNDLAAIFLEALKKAYHRHTVDNSGNDDMSSENSFSECKNLAVQISRTYIGAARNKYKSDILKLVNGGIEYAFEDAPKHLSFLEAAVIHFVSKLPASDVLEIKKDVEKRTENVNKDENPSGWRPYYTFVEVLQEKGAKNEVFQVKKEGVSVKRQGRPQGKKLSYDHSSSEDEDSISTSEQEEDDEDVPLTHSIRRSSKLRPLGGKRIKIRHTGSF >RHN51516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19272543:19273331:-1 gene:gene35969 transcript:rna35969 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIQTMDAVTSDLEFHTMCCNLMMFKPAREMFVSLRGFEERRLTWLKFASFNPTMLMKY >RHN69168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41487260:41491978:-1 gene:gene17601 transcript:rna17601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-induced protein ARG7 MKRFVIPTSYLNQASFQNLLSQAEEEFGYDHPMGGLTIPCTEDVFLHITSHFNGL >RHN82599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55072834:55077344:-1 gene:gene6774 transcript:rna6774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mechanosensitive ion channel MscS, LSM domain-containing protein MQTIKKSFKSYASQNNKHSRKFSADSDHEQLPILHDRQHSSRSFPMAGDVVVKINDDGGEPSQANNKIWRESSYDFWNDTGDNVRDESFDFRNKAKLEDPPSQLIGKFLHKQRASGDMLLDMDLEMEELQNEGNGADGKLTPVEESPTVIQRELKVSFEEPASNSNGIDAVVNDPVRRRHSKDSPSMGEYARPPQPPNHDRRRSPSPAGHGGGDCEVLRCSSNASFERNLSMQRKSTLMKTKTKSRLMDPPDEPDRRSGRVAKSSQLLSGMIGRKGDDDEDDPFMEEDFPDEYKKTHFSLWILLEWLSLILIIGASVTTFCVPLLREKKLWQLKLWKWEVMILVLICGRLVSDWVIRIAVFCIERNFLLRKRVLYFVYGVRKAVQNCVWLGLVLIAWHFLFDKRVQRETNSDVLQYVTKVLVCFLVGTLVWLLKTLVVKVLASSFHVSTYFDRIQESLFNQFVIETLSGPPLVEIRKAEEEEERLADEVQKLQNAGVSIPADLRASAFPNIKSGRLRSGMLQKSPVVKSGKFSMPLSKKSDDNGIGNGGGGITIDHLHKLNPNNVSAWNMKRLMNMVRHGALTTLDEQILDSSADDEHATQIRSENEAKAAAKKIFQNVARRGCRFIYPEDLMRFMREDEAIKTINLFEGASDSGKISKSALKNWVVNAFRERRALALTLNDTKTAVNKLHRMLNFLVAIIILVIWLLILEIATTKFLLFVSSQLVLVAFIFGNTCKTVFEAIIFLFVMHPFDVGDRCEIDATQMVVEEMNILTTVFLRFDNQKITIPNSVLATKAIHNFYRSPDMGDSVEFCIHVATPPEKISLMKHRIHNFIDNKKEHWYPSPFIVLKDHEQLNMVKVAIWPTHRMNFQDMGERYIRRSLLIEELMKIFRDLDIQYRLMPLDINVRALPTTSDRLPASWTTITN >RHN57317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38082056:38082793:1 gene:gene32842 transcript:rna32842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MKMFEYICWFICFFFFTLEQYIKSLVPQHFYFRSNNKGKTSSEIFKTTHENLIQESSSWLKDTSESCSVVSGLVAGVSFATASQVPGGTTDEGSPVLEGKPAFDAFAISSLIGLCFSVTGLIMFLSILTSRKQAKDFRRDLPLKLLLGLSSLFVSIASMFISFCTGHFFLLSHNFKSILFPIYAATCLPVTFYAVAQFPLYFDLITSILTKVPTATDKGDNL >RHN51647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21606599:21608379:1 gene:gene36129 transcript:rna36129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MIELKAGNPITQNSTSSLQFSNQFRSDLNRSPPYSIPMEDNIPNLLRQPTPTHSKRASRVDSLNDFQDDILSQILSFLPIKDAFRTTILSKRWVSLCHSRDVHHFNDIQSGVNEIEAWIHFCQMLDAILLSPRAQQHTLKSFHLKCRYDFWQFDYSSINQWVETAIRRHVEDLSLFLLPRVTLTSAIFCCKTLVVLKLTNLLVATLFDCSVHLPLLKTLHLSDVRFDDIEDLKKLISGSPMLEDLKTAYVTSNIGVEVTAGGYSKTLSKLIKANIRLFDVPLRAVSNVQFLTVTGMGKSLPNQEINSYYQGCPVFENLIELRLFWFDNCIHDWYEVVQMLHNCPKLQSLSISKWTGLSTTNEIEDWKYPYTVPECVSSHLTTCKILDYHAIEADFRFVTYILRNARHLQVMEIHYRSTWNSMESPQFLEDLFSYPRISPACNLSFI >RHN54808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12521868:12526917:-1 gene:gene29896 transcript:rna29896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MDGASGGSGGGEGSATQIPAPTPMQNANAPPPFLSKTYDMVDDPSTDAIVSWSATNNSFVVWDPPEFARDLLPKFFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKQLLKSISRRKPAHGHTQQQAQQPHGQSSSVGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQTTDSQLQTMVQRLQGMEQRQQQMMSFLAKAVQSPGFFAQFVQQQNDSNRRITEVNKKRRLKQDGIAETTEQATPPDGQIVKYQPMMNDTAKAMLRKIMKWDTPRVESFNKNPDNYLIGDGTSPSSAMDSNSSTSWNSGVTLQEVPPSSVQSTQFPVATGTQGHIPSSEKPEILSVPQAAASANVMKDGTHAASTIPTSQADVIMPDIPSVPEIVPKSILDIPENDYMAPETDDGFMDPSSLGSLPIDLDCLSPGADIDDLLSSSIWDDLLQTPIPEDFEANIDEISRGNEVQPTENGWDNNTQPLDQLTEQMGLLSSDAKRI >RHN43768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48354104:48355528:1 gene:gene50390 transcript:rna50390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MNPQGSKFQQPYNQPPATGFPVNVGHQPNANQEWSTGLFDCFSDCKTCCITYWCPCITFGRIAEIVDKGSTSCAVSGALYTLICCVTGCGCLYSCIYRNKMRQQYMLKDTPCCDCLVHCCCESCALCQEYRELENRGFDMELGWHGNVAQGNQGVAMAPTAPAVEHMTR >RHN55277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16714421:16715734:-1 gene:gene30429 transcript:rna30429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MRFYSSLLLLFCFCRVSVSKTQNNGFSVELIHPISSKSPFYNTAESHFQRMSNNMKHSTNRVHYLNHVFSFPPNKVPNIVVSPFMGDGYIISFLIGTPPFQLYGVMDTANDNIWFQCNPCKPCFNTTSPMFDPSKSSTYKTIPCSSPKCKNVENTHCSSDDKKVCEYSFTYGGEAYSQGDLSIDTLTLNSNNDTPISFKNIVIGCGHRNKGPLEGYVSGNIGLGRGPLSFISQLNSSIGGKFSYCLVPLFSNEGISGKLHFGDKSVVSGVGTVSTPITAGEIGYSTTLNALSVGDHIIKFENSTSKNDNLGNTIIDSGTTLTILPENVYSRLESIVTSMVKLERAKSPNQQFKLCYKATLKNLDVPIITAHFNGADVHLNSLNTFYPIDHEVVCFAFVSVGNFPGTIIGNIAQQNFLVGFDLQKNIISFKPTDCTKS >RHN76636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51879535:51884402:1 gene:gene12990 transcript:rna12990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IXb family MWKGTRKGGGGGGGNGLIAVAIDKDKGSQNAIRWAADTLLTRGQTVILIHVSHALSSRGSEGAIVCNAANSTSSAASSPQRHLIDNVAKDLFVTFHCYCRRKDIQCLDVLLEDTDVVKAITEYVSYAAIENLIVGAPSRHGFIRSFKSSNTPSGISKGAPDFCTVYVISKGKISSVRNASRAAPHTSPLLNHIHNNLTSEDVNQTAQQICSRRMNMRDRTSMKPNSWQDESMKSPFGRRARGTSGMLCVDFTDSDTDISFVSSGRPSSACSSSIYDYMDSGRTSRISTSTDRSSGSHRLGIKFTEPSSPDTSFSLDSSRTSCSYSNMDETEADMRRLQLELKQTMDMYNTACREALAAQHKLMEMSHWKIEEEKKLEEARLAQEAALAIAEREKARSKAAMETAEAARKIANVELNKRSGVEVKSLKETEELRKLLDNLAQTDLRYRRYNIEEIEAATNMFSEKQKIGEGGYGPVYKCYLDHTSVAVKVLRPDAAQGKSQFQQEVDILSCIRHPNLVLLLGACPEYGILVYEYMANGSLEDCLTRKKNDRVLCWQLRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVCKISDVGLARLVPVVAENVTQCCMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGIIFLQLLTGRHPMGLAHHAEQSIENGTFQEMLDPSLSEWPLQQAISLANIAVNCAQLRRKDRPDLAKEVLPELVKLTEFAEDNMGPIFLGGGITCSSSNEPSPNHSEASMTQDVMSDPQIVNSGSSISPPPEEENS >RHN82612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55187228:55192094:1 gene:gene6787 transcript:rna6787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAEEENNDIVTYIVKIKVILTVPDPDEPIPDHDHRETQPTANVGAEMVPLEDGDVAQAIGVGAETASLKDDERDDVVEVAEESVPDRGKQDMDVGPKTVVLLSTDDDGSVEADSMAAKDSDENNAAQEGEENVMKEKKRAGRKRRKTVYSLEEGEENELVSENNWEGADVEEGGFSSGCVRKRERPRKIKSLKEDYISDLEEDVKKRWKRGRKKKVIIEVSDENDEAEREEENAKTEKKKPGRKSKVSYSSEENDEDEQEGDNGKKVKIDENELISEKNLESNVLSDDNKGYSLRTFKKVKAKSIEQLKQKINKRNPKVTSHDLFAHYISILCWIAEESLMCHQCQRNDKGRVVRCTKCKRKRFCIPCLNNWYPHLKEEEVAEACPVCCGNCNCKACLRSCALIKEIKTKTETNNNHEFELSKYMVKELLPYLRRLDEEQMVEKEIEAKRQGTFSSKSLISCCPFLLAFFSILLLIDNCKTSIFDYHRSCTECSFNICLLCCCELRCGKLLGGTDPIEFEFIFRGRDYLHGGKEERVKKNKPHSAAQPEICEWSRFGWHADSDGSIPCPKADDDCGHGFLELRSILPPNCISELVCKAKELEETVRLQDAEETFDSTCSCLKPVRNATDIHNNTRKAASREDSSDNFLYSPRALNLLRHEDLRHFQWHWSKGEPVIISNVLECTTGLSWEPLVMWRAFRQIRNTQHKTLLDVEAIDCLDWCEGNINVHQFFTGYTNGRPDWLNWPQVLKLKDWPPSNLFRESLPRHCAEFISSLPYKEYTDPFKGILNLAVKLPENVLKPDMGPKTYIAYGFAQELGRGDSVTKLHCNMSDAVNVLTHIAEVKLKSEGIAAIEKLTQKHLEQDKRELHGDNQDGETNVDMFDNSSSSINVSDEQNSVRVMENGGDSLDGALWDIFRREDVPELEEYLKKHFKEFRHVHCSPLKQVKLLTSWFIF >RHN79459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29134361:29135352:-1 gene:gene3253 transcript:rna3253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MSVKECDHHKGRKRILFQRIFWAIMVFLFLVLLTILLIWAILRPTKPTFILLDVTLYAFNASQPNFLTSNFQVTLSSRNPNDNIGVYYDRLDTYMTYRSQQITLRTAIPPSYQGHNEYDVWSPFVYGNDIPVAPFNSVSLSQDENNGNIFVTVRVDGRVRFKVGAFISGRYHIHVRCPAYISLGNNGKGVDMGENAVKYQVDQRCSVNV >RHN81079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43175894:43176491:-1 gene:gene5076 transcript:rna5076 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGICFKFKILYFWNHTIFIIYFRFANFIVWFREIYFIIYIGFGFLNL >RHN45150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12351577:12351840:1 gene:gene39367 transcript:rna39367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSLLETSTAPVAVDRISNLPDDILIHILSSFPTKQAFVTSILSKRWKHLWCYVPDVELEFVDDKAEEAKNSLFDKFVYSVLVSRSCG >RHN70724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53466638:53472096:1 gene:gene19336 transcript:rna19336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MGEVAVMHSEPLQFECNDRKLITEKDVHNLPSNEERMHGEKSSQSPDHKKINDLRERGYMEYGCQHYRRRCHIRAPCCNEIFDCRHCHNEAKNSIDIDQKHRHDIPRHQVKQVICSLCETEQEVQQNCINCGVCMGKYFCGTCKLFDDDVSKQQYHCSGCGICRTGGSENFFHCYKCGCCYSTLLKNGHPCVEGAMHQDCPVCFEYLFESVNDVSVLHCGHTIHKSCLNEMREHFQYACPLCSKSVCDMSKVWEKIDLELAATPMPEPYLNKTVWILCNDCGENSHVQFHLVAQKCLNCKSYNTRQTRG >RHN60536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27879813:27880532:1 gene:gene22857 transcript:rna22857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MNFYSPVLKNQNQNCTENSFSNNISWEFEDYVNFFNDDINSSKNSQSLTNESLLLPSLSAESHSSLSNTTYTQVIKETQTSSSLPPQPIKESNKRVFRGIRTRPWGKFAAEIRDSTRKGARVWLGTFNTAEEAALAYDQAAYLARGSLAVLNFPEEVVKESLKEMSRNSKPLEEGTSPVLAIKRKHVMRKSPNKVSKKKIKTDHHSDRIQRETNTKSQNVFVFEDLGSEYLEYLLSLTS >RHN56567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31913815:31916711:-1 gene:gene31985 transcript:rna31985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc/iron permease MATSCSQDIARETSCRDGAAASHLKIISLFVIFITSAVGMTAPVLLARVFQGKPLYDRALVLIKCFAAGVILSTSLVHVLPDAYAALADCHVASRHPWKDFPFSGLVTLIGAILALFVDLVASSHVEHGQYAPVGEKEMELEGGEGDCERGEELIKLKQRLVSQVLEIGIIFHSVIIGVTMGMSQNVCTIRPLVAALAFHQIFEGMGLGGCVAQAGFSYGTVAYMCFMFSVTTPMGIILGMILFSLTGYDDSNPNALIIEGLLGSISSGILIYMALVDLIAADFFHNKLMNSDPRLKKASFVALTMGSASMSILALWA >RHN55890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25184412:25194352:-1 gene:gene31169 transcript:rna31169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclease S(1) MDCYKIVLVTIVSFMLLVQNTKEWGEDGHVIVCKIAQARLSDAAAKAVKKLLPESANNDLSSKCSWADHVRFIYPWSSALHFADTPDSVCSYNNKRDCKDQKTGIKGRCVVGAITNYTNQLLDYGSAIKPKYNLTQALYFLSHFMGDIHQPLHCGFVSDKGGNEITVHWYTRKQNLHHVWDVSIIETELERFYDSELGEFIDAIQQNITKVWAKEVEEWENCSLDNIACPSIYASESSVDACKWAYKDASEGSTLKDDYFLSRFPIVNLRLAQGGVRLAATLNRIFDNDTKLAMSM >RHN81195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43979385:43984137:-1 gene:gene5202 transcript:rna5202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endonuclease/exonuclease/phosphatase MISTNQDPHFSIMLKLLNKNLRRLLSHLRCPNYRRSKSKVTIINNPKSQNETSSNFSSTVHPNTQLGTQKQIRVATFNAALFSMAPALPTTTTFEDENNVVGKTNTFDINSRSKSTNDRPPKSILKQTQSQKNINLVQLTKSKTRVSINLPDNEISMLRSRQSSFSEHEKERASTSGVHYYKHVKSGRTLVEVLREVDADILGLQDVKAEEENGMKPLSDLAAALGMNYVFAESWAPEYGNAVFSKWPIKRWNVNKIFDHTDIRNVLKATIDVPEAGELNFYCTHLDHLDENWRMKQINAIIQSNDEPHILAGGLNSLDESDYSQERWTDIVKYYEEMGKPTPKVEVMKHLKSKDYTDAKNYAGEYESVVMIAKGQSVQGTCKYGTRVDYILSSSYSPYKFVPGSYSVLSSKGTSDHHIVKVDVMIKVNNDSQENATKKPQQHRQKIVKVTHSNPSKGIWKTHNREVY >RHN58459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1882634:1883390:1 gene:gene20376 transcript:rna20376 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVMFVFSPGIPLSSFFPLPSLWIFHKSLVCRSHSFWGSSLSVVLLSVGLIAASPFPTVAAALPWLREIRDWVSTRSKELYACCLFRELLALRILIPCWCLVLCP >RHN66493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13028153:13030900:-1 gene:gene14494 transcript:rna14494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling CW-Zn family MHRLPDHIYVSSSSSSSSSSSSSDDDDEYNPMTNSDNTNQLAHDPLLRRPPRSSAHRVLPVVGAFAVQCHYCSKWRLTPTEKKYEEIREHNLQHPFVCENAREWRPDISCDDPEDVSQDDSMIWAIDKPGIPQTPDGWQRLLQIRGEGSSQFGDIYYEAPSGKKLRSMPEVNKFLVNHSEYTTDGVTSARFSFQKPKPLQENYVKKKRSHAKSVEPNQGIPVSRPAKKQATQSFIHKDAV >RHN67546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28141268:28142784:-1 gene:gene15744 transcript:rna15744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MTCSLSKKDRNSDAKTKAACKISITKLKKIISLPDSNAKEKKPNMMKRKSLSEGYDEKKKKKKKKQDSHEEKEKHNSFSAPLVIKEQSEHDSDAKEKKPHMVKRKSLPDGYNEKKKKKKQESPEKKEKHRSFSAPLVIKEPSEHDSDAKEKKPHMVKRKSLPDGYNEKKKKKKQESPEKKEKHRSFSAPLVIKEPSEHDSDAKQKKLKRKSTSESYDEKMKKPLIIDEEPEEFTTKMLIGESSRSSCGICFDLKTDLDMFKRKSCNHLFCVDCISKYVDSQLNNNVVKVTCPTPNCSAKLLPRHLQHILPKEFIDRWELAKYESKIALEQKTYCAFKDCSVLLVNDNDRGEVLTSCECPSCHRLFYAQCKVPWHAEMNCQEFQDLKHNTNEVDLDDKFLKLAKRNKWQRCPNCSIYVKRRSGCEHMKCRCKCNFCYLCGKKWKHGHLCKKRERLLG >RHN52407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34942382:34944562:1 gene:gene37079 transcript:rna37079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein, plant MDEQQSIMNNFLDILTDGVHSLKQENNKGYESQHSVTGKGRFDNLLQHLSDPWHNTIVQTLSGGN >RHN80577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39161499:39163704:-1 gene:gene4517 transcript:rna4517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MEEEKSTIEALPEGCIAKILSHTTPVDSCRLSLVSNSFCSAAESDIVWDRFLPSDLISIVSDSQSASSLFTTSPSKKSLYLTLSDHPILINNGKTSFQLEKQSGKKVYMLGARDLSIAWGDTPCYWDWIILPESRFQEVARLRTVCWFEISGTINKRVLSSDSQYVAFLVFKMINAYRFEDLPTKLTVGVLGDQVGLSTKNVWLNPYYDDRERNDEFQGLERPKVRSDGFLEIEMGEFFNSGLEDEVVEMRVLENGGQHKGGFILEGIEIRPKK >RHN43755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48225213:48228364:-1 gene:gene50377 transcript:rna50377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MHNISFSKMDKLIFNSCIAYFLLFSLLFVHGKELSCNQTPYPHVCNHYIGTTTNKLSTLDSSSSFHDIVLKVTLDQAIEAHKLVSTMELNNFKDKHAKSAWEDCLELYEDTIYQLKRSINSNNLNDKLTWQSASITNHQTCQNGFIDFNLPSHLNYFPSMLSNFTKLLSNSLSISNTLTSSQSSSSSSSSTKQNGGRRLLSDGFPYWLSGSDRKLLQATPGSGIGPRADIVVAQDGSGNYKTISEGVAAAAKLSGKGRVVIHLKAGIYKENVDIKKTVKNLMIFGDGMDSTIVTGNHNAIDGSTTFRSATFGVMGDGFIAKDMTFENTAGPQKHQAVALRSGADHSVFYRCAFKGFQDTLYVYANRQFYRDCNIYGTIDFIFGNAVTVLQNCNIFVRKPMSNQQNTVTAQGRTDPNENTGIVIHNCRITASSDLKAIQNSVKTYLGRPWQKYSRTVVMKSNLDGLINSEGWAPWMGGFALSTLYYGEYMNVGGGANTDGRVKWPGFHVITNPSDAVKFSVGNFLAGDSWISGSGVPFDAGL >RHN60135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21544895:21552474:-1 gene:gene22369 transcript:rna22369 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQYQNLVLKLNINNNPTNFKEQHNIGESIISNLRMYTNTLILLLRLVVRKPCI >RHN57240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37502355:37505177:-1 gene:gene32754 transcript:rna32754 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYGESAGINEIFTHKKYLFLAWEIEKSNTNIGVDCCSYWLGLGAIRNGNKGKNNDGCGDGIEGHCGKGYVDNSWKMERSWSTGWWGSGAKGGDDGKGGKGGEGGGGGYKLPIPGGGKGGRNGKL >RHN63301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50216527:50216819:1 gene:gene25987 transcript:rna25987 gene_biotype:protein_coding transcript_biotype:protein_coding MNCKVSRKMTALLKRLLLCRWKKNPKKVILLKLNRCTKNKNQMMSIMVFVDQRVKRRNHLGNQTML >RHN48050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44527106:44532576:-1 gene:gene42721 transcript:rna42721 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-dependent channel, 7TM region phosphate, deacetylase LmbE-like protein MATLVDIGVSAGINLFSATMFLLAFAVLRLQPFNDRVYFPKWYLKGIRSSPTNSRSIVKKFVNLDFGTYIRFLNWMPAALHMPEPELIDHAGLDSAVFIRIYILGVKIFAPTTLLAFMVLVPINWTGKTLEAPAAKGLTFSDIDKLSISNIPFGSKRFWAHIGMSYVFSAWTCYSLYKEYMIIATMRLRFLASERRRPDQFTVLVRNVPPDMDESVSEHIEHFFCVNHPDHYLMHQVVYNANMLASIVAEKKRLQNWHVYYHNKYERDSSKRPTTRTGMMGLLGTKVDAIDYYTAEIEKLSQQEAEERENVINDPNAIIPAAFVSFKTRWGAAVCAQTQQTSDPTIWLTEWAPEPRDVYWENLAIPYFDLNLRRLLTTVSLFFLTFFFMIPIAFVQSLANIESIKKVFPFLKSIIEKPSVKSVIQGFLPGLALKLFLILLPSVLMAMSKIEGYSSLSVLERRSASKYYLFVLINVFLGSIVTGSAFQQLEHLINEPSSEFTKTVGSTIPMKATFFMTYIMIDGWAGIAGEILRLSSLITFHLKNTFLVKTEQDRQNAMDPGSLNFATSEPRIQLYFMLGHVYAPVTPLLLPFIVVFFAFSYMVYRHQIINVYNQQYESGATFWPDVHRRVVIGLIISQILLMGLLSTRGTSKSTLLLVAQPVLTIWFHLYCKGRFESAFVKYPLEDAMVKDTLERAIEPNLNLRHYLQEAYVHPVFKGDEFEKQVNVEDEEENPLIQTKRACRSSKPESDNEAGSAASSTTVAM >RHN51567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20054657:20059148:1 gene:gene36030 transcript:rna36030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MHLQTQSIIIHFHTNLSSAASRNRTQLPAKTQTCPEISGGVSHQPPPQAVMLSLTNSPLLIAKSYQQINISNKRSIVVFAAAKGPRPRYPRVWKTNKKIGTISKAAKLVQSIKELSNVKEEVYGALDTYVAWELEFPIITVKKVLKTLEYEKEWKRIIQVTKWMLSKGQGKTMGSYFTLLNALAEDDRVDEAEELWTKLLMQYTESLPRRFFDKMISIYCKRGLHDKMFEVFADMEELTVKPSSSVVSMVGNVFKELGMMDKYEKLHKKYPPLQYIKGKRVRIRVQGQPNKVDRYVRKHDNVQPNSGLREEDSSEETSELTNDEQFEQDADLICMETKQISDESSEFMKPSLDV >RHN43145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43527455:43534313:-1 gene:gene49676 transcript:rna49676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MAANETSPFESSEMLARFLISTPLLPESWRLCSKVNASAVNFRSFVVERVGNVVYVAFSGFQMAGGGSDPSWRTLEPLESIGGVPLFSTRRNKEEEEPVKVHSGMLNLFSSLFNSIQNQVLGILENTDAKSLVITGHSIGGAIASLCTLWLLSYINSISSSLPVMCITFGSPLLGNKSFSQAISREKWGGNFCHVVSKHDIMPRFLFAPITPHTSQLNFLLQFWHFSMTSPEFGKLAAQVSEKEKAELFTAVLDSLETATQNGEAAEASVPILFHPFGNYLFVSEEGALCVDSPHTIIKMMHLMLSTGSPTSSIEEHLKYGELVNRLSLEMLNKKNIMLGNIPNSSYEAGLELAIQSSGLANQESAVIPAKECLKSARRIGLSPALKAANLPLSLAKVVPFRAQIELYKSRCDKQDDQMGYYDTFKTRGSAKVHMEVNKIRYKLARFWDSVIDMFEKNELPHDFDQRAKWVCASQFYKLLAEPLDIADYYKQGKHMEKGHYIEHGRARRYEIFDRWWKNREVTTGEENKERSTFASSTQDSCFWAKVEEARDWLNGMRSESDSNKLDTLWGKIENFEQYAIELIQNKEVSIDVLARNSSYSTWVEDLKEFRQLRANVQRFPQQFGRFLDGEVVP >RHN66364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11467566:11467850:-1 gene:gene14331 transcript:rna14331 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLTVLLLERVLHRKQFSNLDSTSSICNGKENKNLFLSIKNTL >RHN79588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30339302:30344902:-1 gene:gene3401 transcript:rna3401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding protein MEVETHSSNPSSSFSSYNIHPSDFRSSEYPDPTFHLSLHDDPPLSPQPFQFSGPHALQSASSHSNAAVHVQKVYRGYRTRRRLADSAVVAEELWWQAIDFVRLNHSTISFFNLPETAASRWTRVKLNASKVGKGLSLDAKAQKLAFQHWIEAIDPRHRYGHNLHYYYEEWCKTDSGQPFFYWLDLGNGKTLDLDRCPRPKLRKQCIKYLGPQEREHYEYIVCEGIIIHKQSGDLFHTKNDSEDAKWIFVMSTSKKLYAGKKKKGLFHHSSFLAGGATLAAGRLEAVDGILESISAYSGHYRPTDDTLDTFLSYLKQNGVNLDEVKVRKPNDDTDIYEDGKLGEKTLTSESSITSNVSEETENTPSVDKKDVDKKDAPQLSLEVSSYKRTLSGGLQSPRTTEVPKTSILQRINSKKGSKSYQLGHRLSRKWSTGAGPRIGCVADYPVELRLQALEMLHLSPKLPPSPMSYMSGALISPVVSPTPNTVHIYNDESAHLK >RHN47472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40019412:40019768:-1 gene:gene42082 transcript:rna42082 gene_biotype:protein_coding transcript_biotype:protein_coding MHYRYVTTGCIIKVIFVFLGGLKRYWGGLKSNLPDVMAIKRPNKIPNVAHVGRLLSIMASRRLSNSN >RHN65038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63803912:63804799:1 gene:gene27931 transcript:rna27931 gene_biotype:protein_coding transcript_biotype:protein_coding MVATVWSDDNNQQLEATTQFRMLLCNYPGPPIDQVIQSGVVSRFVQFLLRDNFPRLQFEAAWALTNIASGTSENIKVVIDHGTIPMFVRLLVHPTKMFRSRLRGHWETLPVSPLGAVILFSVMVP >RHN41481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30336360:30346200:1 gene:gene47812 transcript:rna47812 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPLPKPSFNPNKHLKEQFVSNLTGSSLFEIVALTVTIPILVLIRHSFTSVPINGDSLKKKNDDPPSEHRSFKAHLATLTLDFLVIVVPMLLFFTVLADWSYIFASLFTIVTLLYIAVKRPGGSSPDLGEPNSVRAYITSYRVIVMIITVLCILAVDFRIFPRRYAKTETYGASLMDLGVGAFVLANSLVSRQARNVASVNWKTAIVSSSPLIFLGFFRLVTTTGVDYQVHLAEYGVHWNFFFTLAAISILTSVINIPPQYSGVFGSLVLVGYQFCLMHGLNHYLLSNERGTDIISQNKEGIFSIFGYWGMYLIGVQIGNYLLFGSHSTAFKSSRCFRMRVWVLSILFWLLTVLLDRLVERTSRRTCNLPYVTMVLADNLQLLSILTLADLIPGSKTSVLEEAFNRNLLATFLLANLLTGLVNLSVDTLSASSTTSVFILLVYAYILSTVIGIADYFDIKLKFW >RHN67042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23189666:23189986:-1 gene:gene15174 transcript:rna15174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MDLANNKFSRFIPKNMSQHLKILILRGNRFEGMIPQDLSNLSELFHLDLAENQLSGSILVCLENMFNMMIKDDGIFGGFIAQFDLHIKDQIYEHLSNGERRTIDFS >RHN59167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8130653:8130922:-1 gene:gene21160 transcript:rna21160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem antenna protein MYEQGLILLHRYSRFGVAPGGEVIDTFPYFVSGLLHFISSAILGFGNIYHALLRLETLEESFPFFGYVWKYINKMTTILGIRYLYPLFL >RHN76489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50745150:50746048:1 gene:gene12825 transcript:rna12825 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFEVHGDCIGKICNMIFVRFGTNGWMPESATLYYGDYPPVTFNFNYFIPSGVPSGINNC >RHN65802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5512734:5515706:-1 gene:gene13692 transcript:rna13692 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDKHVGLWELGRNIESIKKASNLVPHMVKDAWEGINKIIKFGINDKNNVDKPIL >RHN78018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11285702:11287686:1 gene:gene1532 transcript:rna1532 gene_biotype:protein_coding transcript_biotype:protein_coding MIITSFTIKWTPMLTMGTMPSNRKRNNSGFRKRVGSSGFLLRATIINTLFNNRTNQLKCNLLLLLLLLLA >RHN53253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:401592:435315:-1 gene:gene28143 transcript:rna28143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MATTSQSHSHSHSHRYIGPPSVLPGDALNRILADLCTRGNPKEGASLAFKKHLEEEARDLSGEAFSRFMDQLYDKISSHLESSDVAENLGALRAIDELIDVALGENGSKVSRFSSYMRTVFEAKRDPEILVHASRVLGHLARAGGAMTADEVERQVKIALDWLEGPRIEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVPLRDPALPVRERAVEALRACLNVIEKRETRWRVQWYYRMFEATQDGLGKNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILSVLKVPQDRDSGFIALGEMALALDGELSHYLPTISTHLREAIAPRRSKPSLEALACVGNIAKAMGPTTEPHIRGLLDVMFSAGLSTVLVETLEQISMSIPSLMPTIQDRLLDSISMVLSKSPYLGRPAQSIGRGTNIIVVPQVSELSGSALIQLALQTLARFNFKGHDLLEFARESVVVYLDDEDRSTRKDAALCCCKLIATSFSGIASAHFGSNRLSRSGGKRHRLIEELVEKLLISAVADADVTVRHSIFTSVHGDRGFDEYLAQADNLSAVFAALNDEDFDVREYTISVAGRLSEKNPAYVLPALRRYLIQLLTYLGQSADSKCKEESAKLIGCLIRNCERLILPYIAPIHKALVARLVDVNANIGIISGVLVTVGDLARVGGFAMRQYIPELMPLIVEALLDGAAVSKREIAVATLGQVVQSTGYVITPYNEYPQLLGLLLKLLNGELVWSTRREVLKVLGIMGALDPHLHKRNQKALPGPHGEVTGPACDSSQQIQSIDEFPKDLWPSFASSDDHYSTVAINSLMRILRDPSLASYHLKVVGSLMFIFKSMGLGCVPYLPKVLPDLFHTVRTCEDSLKDFITWKLGTLVSIVRQHIRKYLQDLLSLVSEFWSAFSLPAPARPALGYPVLHLVEQLCLALNDEFRTYLPVILPRCVQIISDAERCNDYTYVLDILHTLEVFGGTLDEHMHLLLPALIRLFKVDTSVDIRRAAIKTLTRLIPRVQVTGHISSLVHQLKLVLDGKNDDLRKDAVDALCCLAHALGEDFKIFIPSIHKLLRKYHLRHKEFEEIEGRLQRREPLILGTTATQRLNQRLPVEVISDPLHDVEKDPYEAGYDAHKLKGHQVNDSRLRSAGEASQRSTREDWAEWMRHFSIQLLKESPSPALRTCARLAQLQPFIGRELFAAGFVSCWAQLNEATQRHMVRNLEMAFSSPHIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGARFTKMDANPVSVVEALIHINNQLHQHEAAVGVLTYAQQNLEFQLKESWYEKLQRWDDALKAYTAKASQVTSAQLVLETTLGRMRCLAALARWEELSDLCKEYWTPADAVTRLEIAPMAANAAWNMGEWDQMAEYVSRLDDGDETKLRSAGNNASSSDGSSNGTFFRAVLSVRRGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIDYCTLPIGDRVAEERRALIRNMWTQRIEGAKSNVEVWQALLAVRTLVLPPAEDIETWLNFASLCRKSGRISQARSTLVKLLQYDPEITPENVQYHGPPQVMLAYLKFQWSLGEDSKRREAFIRLQNLAMEFSSAPNIQPVTQTVFTSGLNPSVPLLARVYLKLGSWQWSLSPGLVDESIKDILNAFTKATQYANKWAKAWHKWALFNTAVMSHYTLRGFPDIAAQFVVAAVTGYFHSIACAANSKGVDGSLQDILRLLTLWFNHGATAEVQMALTKGFSLVNINTWLVVLPQIIARIHSNNHAVRELIQSLLVRIGQNHPQALMYPLLVACKSISNLRKAAAQEVVDKVRKHSGVLVDQAQLVSKELIRVAILWHELWHEGLEEASRLYFGEHNIEGMLKVLEPLHEMLEEGAMKNNATIKERIFIEAYRQELLEAYECSMNYRRTGKDAELTQAWDIYYHVFRKIDKQLQSLATLDLETVSPELLECRNLELAVPGTYRADVPVVTIASFARQLEVIPSKQRPRKLTIHGSDGDDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSPKTAEKDLSIERYAVIPLSPNSGLIEWVPNCDTLHQLIREFRDARKVILNHEHKCMLSFAPDYDHLPLINKVEVFEHGLSSSEGNDLAKVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRFSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSMLNSNHVPPVVTSDESGANRELPHPQRGVREREILQAVNQLGDANEVLNERAVVVMARMSNKLTGRDFSTCSSVLNTSLQNAVDHNSLGAGDAREIDQALSVKLQVQKLISHATSHENLCQNYVGWCPFW >RHN54938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13724469:13725184:1 gene:gene30041 transcript:rna30041 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKCCSSLCLQRTGTNLCFLVEIWTYKGIKILSDEIVTKQNLVQEKRNGIGDFEKLGFLLSFLLVYF >RHN46454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31860887:31866411:1 gene:gene40941 transcript:rna40941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LMBR1-like membrane protein MGDFNLALVIVAIVVCVIVFLVNVYLLVNYQHPDDVNQAYFPKFVVVLGLSVAAISILMLPADVANRQACRHAIYNGACNLTLPMKNLWLAVYIIDAILVFFVIPFAMFYYEGDQDKSIGKRIKSALMWMVSTAVVCALVLGILYGLVGKVDFTVRHLSSSTEAFPGSWGLNSGNPCVGNGVHQCSAYSASPSSEKTWTMRSTFPEYVVALATIVGSVLFAIFGGVGIACLPLGLIFSFIRRPKAVITRSQYIKEATELGKKAKELKKAAESLHQEERGGAKGRKHRKNVKAVEKELYQLEEDVKLLEEMYPQGEKAETSWALTVLGYLAKLVLGILGLIVSVAWIAHIIIYLLINPPLSPFLNEVFIKLDDIWGLLGTAAFAFFCFYLLLAVIAGATMLGLRLVFITIHPMKWGATLMNSFLFNVGLILLCSISVIQFCSTSFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYAFVILAGLTFVYYAAVGWRRKKPSGRFQLST >RHN77767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9299948:9300196:1 gene:gene1255 transcript:rna1255 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWRFVEAHTAWTFWNFWIKYDDVVVYGVSFKMMKERKKMKKEGINGVSGGFGEDEWV >RHN49992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2956398:2960753:-1 gene:gene34238 transcript:rna34238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronosyltransferase MKKLKNYYMHFKNHHHHHHQSPERKWIFPLAIGSILSLFLLFIATLTSPQGTHILPFYRSISASYSVFVENKIKPLPVSTLPPPPRLAYLISGSAGDGGSVKRVLLAMYHPHNRYVVHLDLESSAKERADLFEFVMNHELFKRFENVKMITKANLITYRGPTMVANTLHAAAILLKECGDWDWFINLSASDYPLVTQDDLLHTFSFLPRDLNFIDHTSDIGWKDHQRARPIIVDPGLYMNKKQDVFWVTQRRSRPTAFKLFTGSAWMVLSRPFVDYVIWGWDNLPRTVLMYYSNFISSPEGYFHTVICNAQEFRNTTVNSDLHFIAWDNPPKQHPHYLTVADMKVMTDSNAPFARKFHREDPVLDRIDTELLSRNPGMPVPGGWCIGSRENGTDPCSVVGNTTVLRPENGSKRLETLITKLMSNENFRPRQCV >RHN42819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41248707:41250426:1 gene:gene49311 transcript:rna49311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-RSK-2 family MLETGARDSELSLETVNSTQRSSMSSESICSTSFSRLSFDLLPPSPESLSLKPHRSSDFAYSAIRRKSALTFRDFHLLRRIGAGDIGTVYLCRLRNGNDKFKNEEDNTCLYAMKVVDKDVVALKKKSHRAEMERKILKMLDHPFLPTLYAEFEASHFSCIVMEFCSGGDLHSIRHKHPHNRLPLISARFYAAQVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLSDFDLSLCSNAIPAVESSDNLQDSSTFSSTLPYTRSRSFPTPFTCFSNRLFKSRKVQTVQPNRLFVAEPVSARSCSFVGTHEYVSPEVAAGNSHGNAVDWWSFGIFIYELVYGRTPFAAPSNKETLRNILKKPLTFPTPTASSALELHARDLISGLLNKDPTQRLGSKRGSADVKQHSFFKGINLALIRMLTPPEVPPGSRKPKTMSLYRGKGSNSSSRGQQTSSFDIYF >RHN43989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:533850:554725:-1 gene:gene38033 transcript:rna38033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MSSSSSRGPTPSEPPPRRLVRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVEKTHPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKKSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTANVLFEVLKAVNMTQSMEVDREILETQDKVAEKTEILVPFNILPLDPDSANQAIMKFPEIQAAVYALRNTRGLPWPNDYKKKKDEDILDWLGSMFGFQKHNVANQREHLILLLANVHIRQFPNPDQQPKLDECALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENIKPAYGGEDEAFLRKVVTPIYNVIAEEAKKSKRGRSKHSQWRNYDDLNEYFWSADCFRLGWPMRADADFFCLPAERVVFDKSNDDKPPNRDGWFGKVNFVEIRSFWHLFRSFDRMWSFFILCLQAMIIVAWNGSGDPTVIFHGDVFKKVLSVFITAAILKFGQAVLGVILSWKARRSMSLYVKLRYILKVISAAAWVILLSVTYAYTWDNPPGFAETIKSWFGSNSSAPSLFIVAVVVYLSPNMLAAIFFMFPFIRRYLERSNYRIVMLMMWWSQPRLYVGRGMHESTFSLFKYTVFWVLLLFTKLAFSYYIEIKPLVGPTKAIMKVKISTFQWHEFFPHARNNIGVVVVLWAPIILVYFMDTQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNASLIPEESTDEPRKKGLKATLSRRFTEIPSNKGKKAARFAQLWNQIITSFREEDLINDSEMDLLLVPYWADTQLDLIQWPPFLLASKIPIALDMAKDSNGKDRELTKRIEADNYMSCAVRECYASFKSIIMHLVRGEREKPFIEYMFGEVDSHIEAGTLIKEFRMSALPSLYGQFVQLIQYLLVNNQKDRDQVVILFQDMLEVVTRDIMMEDQDQIFSLIDSSHGGVGHEGMFPLEPEPHHQLFASEGAISFPIEPVTAAWTEKIKRLFLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPVAPKVRNMLSFSILTPYYTEEVLFSLLDLDSPNEDGVSILFYLQKIFPDEWTNFLQRVKCSSEEELKGNESEELEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDEDLMEGYKAMENSDDNSRGERSLWTQCQAVADMKFTYVVSCQQYGIDKRSGSPRAHDILRLMTRYPSLRVAYIDEVEEPIKNSKKKINKVYYSCLVKAMPKSSSSSEPEQNLDQVIYKIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKHDGVRFPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLRVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGSVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDVYRLGHRFDFFRMLSCYFTTVGFYFSTLITVLTVYIFLYGRLYLVLSGLEEGLSAQKAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYFGRTLLHGGAKYRPTGRGFVVFHAKFADNYRLYSRSHFVKGIELLVLLVVYEIFSHSYRSAVAYILITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEEEQDHLQYSGIRGIIVEILLSLRFFIYQYGLVYHLNITKKGSKSFLVYGISWLVIFVILFVMKTVSVGRRKFSANFQLVFRLIKGMIFVTFIAILVILIALPHMTPQDIIVCILAFMPTGWGMLQIAQALKPIVRRAGFWGSVKTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKERASRSKE >RHN55949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25913710:25914165:1 gene:gene31243 transcript:rna31243 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMRRSINCFCRFVDTCMLSPCARNQPIKIFGLKCRPRIYDVNLQKSLHAWVEAAKQRYVEEFNLIAHYTRLNPIIFTSQTLFVLKLEWLHVENYNFYVDLPSLKILGLKYVCCENQNDFIKLLNACPILQDLHIFYPRYSRLLKYDAHF >RHN72515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8313509:8313754:-1 gene:gene8239 transcript:rna8239 gene_biotype:protein_coding transcript_biotype:protein_coding MANESRSWADQWGAGGIGAMKYEEIDTRSQKDTGNSKNSSAKAGFTKAKAIATIGLEKIKSGASICVKWITNHFKKKRTST >RHN63331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50437255:50444318:-1 gene:gene26018 transcript:rna26018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MNPNFQFLPQIWNSLNPHQTQSVVSKNLRVGDGTDAVFIFSFKGNNFKSFIFFQLTFTTTMDLLNQYSDNNNSDPGSPTQNPNSPENSPTRLLPSRSAAPKVDDTMLALTVADPKTLSKPIDPTQHLVAFNPTYDQLWAPIQGPSHPYAKDGLAQGMRNHKLGFVEDANIEPFLFDEQHNTFLKFGYAADPSASNYIGDFDALQKNNAASVYNIPHREQKKRKIESLKKKEENDDEEDKNNNDEEGVEIENPASEAWLLKNKKSPWAGKKEGLQGELTEDQKKYAEEHAKKKGEEKSGFGGEKVEAVKDKSTFHGKEERDYQGRSWIAPPKDAKASNDHCYIPKRLVHTWSGHTKGVSAIRFFPNSGHLILSAGMDTKVKIWDVFNTGKCMRTYMGHSKAVRDICFTNDGTKFLSAGYDKNIKYWDTETGQVISTFSTGKIPYVVKLNPDEDKQNVLLAGMSDKKIVQWDMNTGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEYGIPVVIKYISEPHMHSMPSISLHPNANWLAAQSLDNQILIYSTREKFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVTIGCQWHPLEQSKVATCGWDGLIKYWD >RHN60509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27450312:27451673:1 gene:gene22830 transcript:rna22830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MMLKKQSHLLSFSLAILISLLYSTTITLSQLSPSPAAAPIHPTLPAPTTPAAAPKPLVPSLPEAPSDSTPDSSGAVDIVGILRKAKSFNVLIRLMKTTQLINQLNSQLLATKSGGLTILAPDDNAFSQLKAGFLNSLSDGQKLELLQFHVISDYVSSSNFDTLTNPVRTLAGAKPGKVELNVISYGGSVNISTGEVNTTIDGIIYTDKRLAIYKVGKVLLPMDFFSVAKAPTKGLSLAPEPSTMTPKADKEKPLSPDSSDSSVKPTNDNSGAVKVNVYGRWASLVFGAVLVTMMPQ >RHN39628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8852405:8855533:-1 gene:gene45669 transcript:rna45669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MERLQGPINSCFFGEVNCLDQTLLDEQSLRFEEEEQFLISSLEDNMPFLQMLQSVDSPQFFPLKEQNFQTLLRLQHMKKPWEDIAYIPRMDSQQVQGTTLEFESCVTQEMLEMQSPVKSESNKVSLSTSCIEKTSYECNQQEETKTAQSCSKSQQGTTREKRKRKRTRPVKNKEDVENQRMTHIAVERNRRRQMNDHLSVLRSLMPSSYVQRGDQASIIGGAIDFVKELEQLLESLEAQKRIKKNEDFGSSSSSSPPSYGMKLSPYEENEVKAENKSEAADIKVTLIQTHVNLKIECKKKAGQLIKVIVALENLRLTILHLNITSFESSVLYSFNLKIEEDCKLGTANDIAEAVHQIFSYINNGS >RHN59229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8598059:8598712:-1 gene:gene21229 transcript:rna21229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ananain protein MKLVTIFIIILLACTYPAAMSRILSKKSSSSVDEVFQQWMLEYNRTYSYSTEMKIRKEIFKKTLESIENFNSTAKDNKLIYIYDLVKN >RHN48629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48976489:48978725:1 gene:gene43368 transcript:rna43368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructose-bisphosphate aldolase MSAFKSKYQDELIANAAYIGSPGKGILAADESTGTIGKRLASINVENVETNRRALRELLFTTPGAFECLSGVILFEETLYQKTAAGKPFVELLKEGGVLPGIKVDKGTVELAGTNGETTTQGLDGLGQRCQKYYEAGARFAKWRAVLKIGPNEPSELAIHENAYGLARYAVICQENGLVPIVEPEILVDGSHDINKCAEVTERVLAACYKALNDHHVLLEGTLLKPNMVTPGSDSPKVSPEVIAEYTVKALQRTVPAAVPAVLFLSGGQSEEEATLNLNAMNKLNGKKPWGLSFSFGRALQQSTLKAWAGKDENIKKAQDAFISRCRANSHATLGTYKGDATLGEGASESLHVKDYKY >RHN44570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6271746:6273338:1 gene:gene38705 transcript:rna38705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosylmethionine decarboxylase MTMTNSAIGFEGYEKRLEITFFENGVFSDPSGLGLRALSRDQIDEILKPAECTIVDSLSNDYVDSYVLSESSLFIYAYKLIIKTCGTTKLLLSIPAILKLADGLNITVKSVRYTRGSFIFPGAQSFPHRSFSEEVAVLDSYFGKLGSGSQAYMMGNGDKSQIWHIYSASAKLEASPEAVYGLEMCMTGLDKEKASVFFKTDASSAGLMTKNSGIRKILPKSDICDFEFEPCGYSMNGIEGSAISTIHVTPEDGFSYASFEAVGYNFEEKSLNELVGRVLACFYPAEFSVALHIDTNGEKLDKFPLEVKGYNCGERINEVLGEDGAVVYRTFVRNDGCSSPRSTLKCCWSEDENEEEVKEM >RHN70180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49425192:49425602:1 gene:gene18735 transcript:rna18735 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSVMNALFSHLSSFTSFSTTTIVIALLKTRYGILTDSRRRHRCCRPRKRGRYPHPQPPVVVGQEKERREREREHLSEVNDNGDGGGDGGGSWSVRKRKEQGRKCECVFHIGDSRRIGRIEKKVFVKLSKYPAR >RHN78597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16539145:16539829:-1 gene:gene2222 transcript:rna2222 gene_biotype:protein_coding transcript_biotype:protein_coding MESFTFFSPFSGSFCSSSVRSGFDCFGSVLACLCLFVICVVI >RHN42301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37231303:37233677:1 gene:gene48726 transcript:rna48726 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLHSLAYTNSLTYGSSLGWDYHNLGLLNSDNMSLIMDASAASFSTQESDFSTGYLEDALVEFGESSKRRRLLTDDEQSKNTISIDDFDKFWNFNPVWHQPVENFYCMDQIERICGFSDEHNSTLRSRIIEQPNILLEDTKTTEETISASESPNSSSSSYKELLPLTISKTSRDTPHVSRTSDEVMRKKVVVRTTRVVYPFALVKPGGEEGDVTLNDINERILMPPTRPVRHPVGDFACRPCVSAQGPGLSGKAVVALTRIHTQGRRGTITIIRTKG >RHN69192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41696141:41697298:1 gene:gene17627 transcript:rna17627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEVSQDIAVEIFSWLPGKSICKLKSTCNSCFEFSEEAVFKTKQARNLFGKDDTCFFIQPDQISQRYTKRVQLHSLPENRQSSGAPNKALSFLSNSICVLASSNGLVVGHTINDHDPIEFFICNPVTKSWSSIPTPESLQRNHSSGSINLVLDCSLDDYKLFLFENTSEWSPTCYTCSVYHSKEGVWTTMESGFSCGGRNMKFDMPVFHKGGLHFISDSDPYFAKSSPFYKPYIMSYNIENGISTMLKLPREAIKGCHNMCTMGIFNWGKKTSYNSSICLVKLSKSVFTIWVLKDYESGSWQKVLKVRVRALGLKEKDPNVRGFMVMNGDLLIFATEEKVYSCGLDCERYMMVEEICQHSCGFYPRFISYSDTLRLCRTNAEVMPC >RHN49263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53648858:53653895:1 gene:gene44076 transcript:rna44076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MDDASDRDPEFSPRIGHAYQAEIPSVIAKSDHLSLRMNPADSEDVHDKSLSFAIDLPMSDTWSDADANSFELGLFIFGKNFTQIKRFIENKGMGEILSFYYGRFYKTDGYHRWSKCRKKKGRKCMIGHNLFAGPRQHELLSRLIPHVSEESQNALSQISKSFMEGRTSLEDYTSSLKSTVGLGVLVEAVGIGKEMGDLTRIGMEPGKDVQALPVPACKALSSLGPSEIIQYLTGFRLSKTKSNDLFWEAVWPRLLARGWHSEQPKYRCYVTSNDYLVFLIPGVEKFSRRKLVKGDHYFDSVSDVLRKVVAEPNILVLKEEEGEAKFGSCNEEDPENGSNEDDLSKDHRYCYLKPRSSTYSKDHIKFMVIDTSLVHGGKSSALRELKSVPVNSVPKVEVDFGCRKVNHSKDAPKTIKQKSTKLIVIDTNRLSEGKLLKLKVKQLKYLPVELEDASTMTTGLLRESKGGSSIDDSSRKVEAKRLVCDKKNINKTDGCRGVSNSGATSKKAHDNLDNNRLTRIIKHQFNRRVRSGDSNHAAVPIKRRKLTACAKSEKSRIVENSSGCLGLSRSSCFRDANQNVCGPVSHHQNENSTSSADRSVEEDNGKSIINDSYQHTSVSCVKVEKSESFTFNIPQVPSNSENSKTVAMAAEDEQGLTAKNPCLTYASQEVVKEPLRIPCDVGSLEQQPDMNPRRQSSRNRPLTVRALECIANEFLHVPKRQKKKDIQTHQDPFNPCRKART >RHN43783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48445092:48445601:-1 gene:gene50405 transcript:rna50405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation protein, beta-barrel MYRIRYLLTYLRIYQGVIKKGDFITNVNTGKKIKIPCLGKRHYGKIKEVDEAHAGEIVFVFGAILRSGDTFTDGSVRYIMTSADVLRLKSFWREIFKWCEWIHSRELILLRQLVWLVNYALLILSLLSRLSI >RHN44697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7436971:7442732:1 gene:gene38847 transcript:rna38847 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MAEEEEDGEDRISSLSDDLLVRILSNLHTRESVSTCVLSKRWVHVFKALTCLRLDDENGSLLDALDEMRHRTDLTSFDLSIFTRRSGDIVTVAEKAVRNIVRLNLSLNSLSIYGILNMYLTRPVFNSRTLVELKLHRVCIAETLSVASLPSLKVLSLSRVQFDTKSVFLSLLSAVSRVLEELRISSPTFSAPICDREADLFPCLRGAFLHKLPWEMLVLFISVSHLLTTLEFTPMDSRQMEEGEENVDNWINPIIVPVCLTNQLKSCFLHGYKSTTCQDRFVRYVMLNSNSLKTISIKCLPSTDTTVKYGWLKKLASWRRSSTTSLLFD >RHN47191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37772069:37773168:-1 gene:gene41757 transcript:rna41757 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MFFLQSFHHRQTPSSYPPSQSSNSIVPNRYPNRNGYIKFRTTYNENLHYLKALTIINPNTKPNNLPHPDTINHILTIITFLKSHSFTEADIPRLVHHSPHLFTTSISPTSLSPVFTFLASDLLASVEDSHGLILRCPNLLFTDVNHILKPTLHFLREEVGVSNLNRPTNRNAHLLNTRVEKMRMRVRFLEEVVGFTYEEARNVCARLPAILGYDVENNLWPKFVYLVKEMEREVEELKKFPQFFGFSLDKRIVPRHLHLKERGVRIPLNRMLMWGDEKFYAKWK >RHN51926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28516931:28517645:1 gene:gene36498 transcript:rna36498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKNMVHILVFIYSWVIFMYLFLVVTSKTPFICVSDKECPTASYPLVCKCIDNFCHVLIAE >RHN46422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31610809:31614987:1 gene:gene40905 transcript:rna40905 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYEHVINHGFLKGYIHWIFHGEKENPSNPLCASEVEHGFDHDMDALIHDAFPMHTNSDNDDDAYTNIEDRGPEVFTNNHNAQQSEEGDNSKKFFKLLKEAEQNLYPGCKFSKLSFIVHLYHVKCMNGWTDKSFSMLLELLSDAFPEENTLPKSFYETKKIISRLGLSYEKIHVCPNECILYWRDLAHLNVCPKCGLSRWKVNLDDVEGRKKIPLKVLRWFPLKARLQRLFMSSKTASFMTWHKDNQSKDGLMRHPADSFAWKDFDRRYSDFSRDARNVRLGLASDGFNPFKTMTISHSTWPVVLIPYNLPPWMCMKQPNFILSLLIPGPKGPGNNIDVYMQPLIEELKELWEIGVRTFDACKRESFQMRAAIMWTINDFPAYANLSGWSTKGRYACPCCGFDTTSKWLRYSRKFCYMCNRRWLEPGHKWRYNKGHFDGNQEFRAPPELPNGTIALKQMEDHGIGTPSPWKKKCILFTLPYWEYNVLRHNLDVMHFKKKCM >RHN77566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7743948:7746224:-1 gene:gene1033 transcript:rna1033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MCVIQTRKRSKLPSKRKTVRVDTDGVIERVKELFRGHRDLILGFNTFLPKGHEITLCLRMSNLNKSNTLVYKEVAALYQNHPDAASTHAFARNSMFRDRSSAMPTLRRVQVEKVCLQFLCPFL >RHN79174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25163389:25163634:-1 gene:gene2904 transcript:rna2904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, DPH-type MSYDDVEIEDMEWNEELQSYTYPCPCGDLFQITKEDLKLGDEIVRCPSCSLYITVIYNMEDFTGDSNKGLQPSKQQPVTVA >RHN57508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39630780:39631515:1 gene:gene33057 transcript:rna33057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSSSSSVDTKKGKWSKEEDEILKAYVEKHGTRNWDEVSKNTGLAHFGRSCRFRWYNNLRPGVKKGPFSKEEENKVFQLRKKFGGFKWSKIARELPGRTDNDIKNFWNARKRKHKKCGLSPFPDNMELDDELNGGSSGQQVEDSQENNFDVSNKQFSKLVDDSNMFYNNVGSTSTSNHTTSRLIDR >RHN40533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17256021:17258381:-1 gene:gene46693 transcript:rna46693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MVLAGKLMTELGIKTPADRFFKLFASELHEVQKHCERVHHTKLHEGEDWHHTDSVKHWTYVIDGKVHTCHEKVEEIDEKNKKGTYKLFGGDIDEHYKDFKLIIEVIDKGDGTSAVKWTVEYVKINEDIDPPNGWMDYLCKCTRDIDAHLVKQAKVAI >RHN63738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53627973:53629413:1 gene:gene26478 transcript:rna26478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TCP family MMIESSRKDYNKEATKQEGEHGRMESEKFSNKAIATSRQWTSLRNPRIVRVSRSLGGKDRHSKVCTIRGLRDRRIRLSVPTAIQLYDLQDRLGLSQPSKVVEWLIEATQSDIDKLPPLDIPQSFTQQQTLFQQPAGSTCFSLGGGFYGMNQTKGKEAESSEKGNYYNTNNSSNGFPFPYNLDPSSTLSLSQFGSHHGNLFPSYQISTSGSGMQFSSSSSNLTVPNSSGNSQLLFCPSVMPLPSLFTSTLNAPSMESSDIQRQSNHVQILNSSSNGSFIPTIHLPINSPFRRHPTLFSSKLLDSDHNI >RHN75562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43313370:43313651:-1 gene:gene11789 transcript:rna11789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rhomboid protease MGSSPLTLVKMGAMYFQKSDRLHHAYRLFTCLWLHAGVIDLLLNMLNILYYYIYLEKKFGYIRIAILHTMSGMGSNLFSALCIPTSVSVGASG >RHN73423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16276564:16277260:1 gene:gene9235 transcript:rna9235 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQMSTSFSFFVILVLVVAGQLIQVEGECTKIVGSCARQDCSEHCNSFARGVRVLGSSCSFYNLCTCTYDQPPPGLTPPGNCGIGMGICTGDCQDDCCNKRCVSRYSKTGVGKCVDALDMSLCLCSYDR >RHN73540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17498918:17499373:-1 gene:gene9364 transcript:rna9364 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYRVHGRIGMLDAVSLKKGHNLCWTWHGLVNRKMAAWSSDGPEGLPQKVSVGENICQRRIEVDMIKLHEHVKELNAKLLLLKFQAIMMIILLLLSFIAMLFYIFW >RHN78013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11241681:11247265:1 gene:gene1527 transcript:rna1527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSKSNNFFHNLIKPFKFGSTREGNSEDDIQNIATQEQRTFSYETLVAATNNFHVHNKLGQGGFGPVYKGKLNDGREIAVKKLSRGSNQGKTQFVAEAKLLTRVQHRNVVNLYGYCIHGREKLLVYEYVPLESLDKFLFRSNKKQELDWKRRFDIINGVARGLLYLHEDSHICIIHRDIKAANILLDEKWVPKIADFGLARLFPEDETHVNTRVAGTHGYMAPEYLMHGHLSVKADVYSYGVLVLELISGHRNSSSDSAFNGDNLLNWAYKLYKKGKWLEMVDPTVASSVATTEQVEVCIRVSLLCTQGDPQLRPTMGRVALMLSKKPPSHMEEPTRPGMPGSRYRRALPRSHTPFTTDDENSSASHIDSSNCDTITTATTTTNSTSSATRTASATAQVDSRGKRPMFP >RHN64421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58913224:58915592:1 gene:gene27237 transcript:rna27237 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWR1-complex protein 5/Craniofacial development protein MSSADTINGNSAKSVKEPVPGTSLEPKQDVTEVKAEVDAIWEQMNKGVSNKVISSFKSKPKSTAKKRSSNWMSYLGLAPKATESPGQCASQSVPGVLEGSTSDEGKKFAAAALAAVKDDSFVSASSRGKVVITEVRDFAGKEIEYKKLVDSDSKEAIERAKAPAPSAVDAVLEQIKKKAKLSVLDKTKKDWGEFKEENKGLENELDTYKKSSNQYLDKVSFLQRTDYREFERERDARLALQSRKRPDMQDDAV >RHN66103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8557729:8558358:1 gene:gene14018 transcript:rna14018 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGNSKFISLTDKITAISIQNMADSIVAYGNYNKSKNMYTKILFFSSDSSPVDCCFF >RHN56406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30622339:30622576:1 gene:gene31802 transcript:rna31802 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVAIMMEIFGEYTAVLTRATERFLSRSGMSLDGFRSGNLRFGSSISSSDSSSFLVYF >RHN43276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44560633:44560949:1 gene:gene49824 transcript:rna49824 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDSVEIRFRLNNGSDIGPFKYSSAATVDMLKQRIISHWPQVEVFLYSFRSCLFDLMSWIYIFVEGKSLTM >RHN77659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8476215:8476538:-1 gene:gene1133 transcript:rna1133 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNSKQDQPKLIQLKDLMPLRPWMSPPLQFSEVRGVEYMKLKKPIIKDGVEIYWQAKIIANEYEVHEDVIPCQGVFEFIDRHVVNVIKRWVDKFVGQTFNFKLNYR >RHN80166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35773006:35774500:-1 gene:gene4056 transcript:rna4056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MGHLSIFQMKVISTFLLITSVKLVFGQISTPCTTSMISSFTPCANFITGSTNYNGLITPSSSCCDSLQSMMSTSMDCACLLITANVPFQLPPINRVLSFFLPQSCNLNGLHAQCKASGSPLPAPGPAIFGSNVPSLPPINASPLSSQVDETIEVADSPKYVIQHSAIAPAPTKLAPMKHSRPRKLQEFNK >RHN54335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8818970:8819539:-1 gene:gene29351 transcript:rna29351 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYVQYQVASKPNFAGFILIKLFCDRKSQPTKFTTSHVM >RHN45417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20385575:20394759:-1 gene:gene39746 transcript:rna39746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major sperm protein (MSP) MSTGELLNIQPDELQFPFELRKQISCSLQLSNKTDNYVAFKVKTTNPKKYCVRPNSGVVLPRSTCDVTVTMQAQKEAPSDMQCKDKFLLQSVIASPGTTTKDINPEMFSKEAGHNVEECKLRVVYVAPPGPPSPVREGSDEDSSPDSVSENGHSNVTEFTAASRTFNERDEHQGPTFETSAQVSKVTEERNSAIDQQRRLQQEVELLRRQVSRSRGGISLTYVVLVAVIGFILGYLLKRT >RHN44837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9008745:9010735:-1 gene:gene39003 transcript:rna39003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAKKHKGPAIGIDLGTTYSCVAVWQDQNNTAEIIHNDQGNRITPSFVAFTNDQRLIGDAAKNQAASNPANTVFDAKRLIGRKFSDSVIQNDMELWPFKVVSGFDDKPEIIVKYKGEEKRLCAEEISSMVLTKMREIAEKFLESPVKNAVVTVPAYFNDSQRKATKDAGVIAGLNVMRIINEPTAAGLAYGLQKRESNIGKRNVFIFDLGGGTFDVSLLTLKGDSFDVKATSGDTHLGGEDFDNRMVHHLVKEFRRKNKVDLSGNARALRRLKTACERAKRTLSYDTEATIGIDAIYEGVDFHLLVTRAKFEQLNRDLFEKCLEIVKNCLANAKMDKNIVDDVVLVGGSSRIPKVQQLLQDFFMGKDLYNSINPDEAVAYGAAVQAALLCDGIKNVPNLVLQDVTPLSLGTSIYDDIMDVVIPKNTSIPIRKKQEYVTCYDDQSSVNIDVYEGERMVAIENNLLGLFNLKVRRAPRGLPIQVCFSIDADGILNVSAEEESSGNKKNITITNENGRLTSEEIERMIQEAEHFKAEDMKHVKKVRAMNALDDYLYDMRKVMKDDSVASKLTSVDKVRINSAMIKGKKLIDDNQPKETYVFVDFLRELKNTFDSALNKFK >RHN66664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15977976:15981593:1 gene:gene14704 transcript:rna14704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MTLSFHNGTYPNRERSYRKKRRRRMATVGGVRDVSGNQNSLAIDGLARFAVEEHNKKQNALLEFSRVISAKEQVVAGTIHHITLEVKDGVNKKVYEAKVWEKSWMNFKEVQEFKLVEDAPAQ >RHN80180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35890888:35892077:1 gene:gene4077 transcript:rna4077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor OFP family MYIFYSNYIYIHYHRAKGLLNVDIFILQVHRNMPNTRNLRNLNLCFSNSTLESKSPPTSPISTTISEASSHQHHHQILQKPSSSTPSPSIMIKNFNSLYDPSLTSDHTLCSSSLSTTFTTSTSFDLEIEPEPEPADFAAAFASQRFFFSSPGSSNSLIEYTNTDCIQHSSVPLMDKSNSTKREKKQEKEKEEVLFNGSVAVPTYSPDPYMDFRRSMQEMVEARPELMDVKSNWNILHELLLCYLALNPKNTHKFILGAFADLLVTLMSF >RHN68684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37801524:37803867:-1 gene:gene17066 transcript:rna17066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vignain MTTKKLLLIVLSIALVLVVSESFDFHDKDVSSDESLWDLYERWRSHHTVSRNLNEKQKRFNVFKSNVMHVHNTNKMDKPYKLKLNKFADMTNHEFKTTYAGSKVNHHRMFRGTPRVSGTFMYENFTKAPASVDWRKKGAVTDVKDQGQCGSCWAFSTVVAVEGINQIKTNRLVPLSEQELIDCDNQENQGCNGGLMEYAFEYIKQKGGITTESYYPYTANDGSCDATKENVPAVSIDGHETVPANDEDALLKAVANQPVSVAIDAGGSDFQFYSEGVFTGDCGKELNHGVAIVGYGTTVDGTNYWIVRNSWGAEWGEQGYIRMKRNVSNKEGLCGIAMEASYPVKNSSKNPAGPLSSTKDEL >RHN50616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8590742:8593242:1 gene:gene34924 transcript:rna34924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MASLGSMNIVTLTFCVIILTTCNHQAHASSRVFLNKKNDKSPIQGLCASSVTIHGFKCEEHEVITKDGYILSIQRIPEGRSEAKSNVTKKKEPVIVQHGVFVDGATWFLNSPKQNLPMILANNGFDVWIPNTRGTKFSRKHTSLDPSNKTYWDWSWDELVTYEMPAIFDFISKQTGGQKIHYVGHSLGTLTALASLAEGKWENQVKSVALLSPVAYLSQMKSILGQIAARSLLSKVYNLINSTNYSRTFYGLNFL >RHN53969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5839228:5841793:-1 gene:gene28944 transcript:rna28944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GH3 family protein MAVESEILLEPPSSVDEKSLNKALEFIEEMTKNTDSVQERVLSEILEQNAETEYLKRFGLNGATDRETFKSKVAVITYEDLLPDIQRIANGDRSPILSAHPISEFLTSSGTSAGERKLMPTIHQEMDRRQLLYSLLMPVMNQYVPDLDKGKALHFLFIKAETKTPSGLVARPVLTAYYKSEQFKKRPFDPYNVLTSPDEAILCPDSFQSMYTQMLCGLIMRHEVLRVGAVFASGLLRAIRFLQLNWAELVHDIETGTLNPKITDPSIKQCMSKILKPNPELAKFVTKECSGDNWERIIPRIWPNTKYLEVIVTGAMAQYIPTLDYYSGNLPKPCTMYASSECYFGLNLKPMTEPNEVSYTIMPNMGYFEFLPHDDSSPITLSRDSPPKLVDLADVQIGKFYELVITTYSGFCRYRVGDILQVNGFHNSDPQFKFVRRKNVLLSIDSDKTDESELQKAIENASALLKEFKTSVVEYTSFAETKSIPGHYVIYWELLMKDSSCPPTDEVLNQCCLVMEESLNSVYRQGRVADNSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVSFTPIMELLDSRVVSVHFSPSLPHWTSERRR >RHN39481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7431209:7431436:-1 gene:gene45506 transcript:rna45506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M76, ATP23 MVACTFLVVEVIFHDLIHAFDDCRTENLNWTNCVHHVCSEIRVNRLSSDCHFKRELLRGILKIPDTNQNASKEEF >RHN42827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41303498:41305239:1 gene:gene49320 transcript:rna49320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RIN4, pathogenic type III effector avirulence factor Avr cleavage MASYENNGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGGGSGRVNSQRLRSNSRKDDDKSSKKKWFCFKP >RHN71546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:942359:943913:1 gene:gene7158 transcript:rna7158 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKGKNLCYKRKSVTMKPLNNVVSDRKFIIDFIITTYLGPDVKYDNPRCSSLQRLISGLPSYNLNDLGSSYVSISFLEKLYYYLLKNALPELILDLNMFHMYLKGNLDLPNSEFSEGCQQFTSFFPLNLHQQIWYPDSFRIVKGVVLIDDLIVSSCVKEEDLNRFRLLSGVDSFKLDLSECLHVRIQPRLSKESDGVCVNKLSKESDGDHVKKLLESSHNEGCQSGKFRQECKRKYVDHDSPSMLKFPNTANGDCVNNGGSQSGNIRQECKRKYVDDDTPSMPELPHVANENPSSSRMCKSDEPSLMPLISLPDIDSCVQDHSIVLTGTANRGLLGPSVGVVDIGISKVAYLFRVSLPGVKREYNQFSCDIESDGKVEIRGLLSGIRTIATQSRVFQMKTQQLCSPGPFTISFSLPGPVDPRLFAPNFRDDGIFEGVVIKL >RHN81208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44098972:44119724:-1 gene:gene5220 transcript:rna5220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 Ubiquitin ligase, GIDE-type MGDFLVYGGICCSVAAVLYYIGKSPNRNAEILQSVPRCKLEDLAHLMDANILPRVVTISGRVVCEAPITGELSGLGGAIVEERVEEHHLKRDVEIKGKKESKGSVEPVTKKCGEADSWTPGYELKSVNHKEALWYLDDGTGRALVVRAWGGTGFELPVGSSTFENSTETRFHEKSDRIPFHEKSEHFQLIKILGFKRIERVLPVGTSLTVVGQPVRDSAGAIRIQRPHNGPFYVSQQTIDEHIENLKSVARWCQCVSVGLTVFGVSLIANALSHTSEDSVAVNCGKDLSRVVVMELALMGGVVFCSAAAVLYTCSKYNHRNAEILKSVTQVNKLMDIEQLLDGERSHLVVAISGCVVSETPIKCELTGLRGVVVEETVVQHYLRECDANKLIMKCNDNGSWLQNSPLTLYTRNEVPWYLDDGTGRVLVVGSETFEDSGWARACKTLNHLQGVKVGVSAPLSASVSVVGLKRIEWALPFGTSLTVVGEASRDGDGTVRIQRPPKGPFYVSRKTIDEQIADLTYFARRFKYASVGLTLFGAWLIAEFAIWCITKDGDAVSCEKVEIAPVDFSEGVGSQQVDSS >RHN40639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18723258:18724684:-1 gene:gene46819 transcript:rna46819 gene_biotype:protein_coding transcript_biotype:protein_coding MGNICVYSFVGVLLLASLHGCFFIWVCWERRYMGKNMNGFLTFFLDEIQIPVDFVSRWGSELLVQKHGWIKDPAANYIRIDVSGVVQTHMIPGGLLIFHKCGFMAPQRVILCYQIFDNQFNMKIVDDDGADIPYYGFHHPENQHAMSLADPTYVPPQEFVSFADEDENGELGVNIPYEMFKHLLISDNAADVGDVDPVNYDPIHIPAREGQTEEYIWPVKVTRAIAEGRNVLHFPRYVVDNFEFAVANGEDIDVHNDDTDETVRCKFVTSTRPSGHVDKFISRGWYQYVRSKALIPGDRIVFGVENPVTTVTHKIIHR >RHN51837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26413722:26414345:1 gene:gene36377 transcript:rna36377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MGLTPNANSYNIIINGFCKIKMVDEALNLFNEMCCRGIAPDKVTYSSLIDALCKSGRISHAWEFLDQMHDRGQPANVITYNSFLHALCKNHQVDKAIALVKKIKDQGIQPDINTYNILIDGLCKEGRLENAQVIFQDLLIKGYNLTVWTYTIMINGLCLEGLFDEAVTLLSKMEDNGCIPDAVTYETIIRALFKNDENDKAENFYVK >RHN76105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47732274:47736193:-1 gene:gene12383 transcript:rna12383 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDFWINLPDQLQHSFSWTIQCHSFIRKVLQSVQTANKSYFIPVYL >RHN60875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31236461:31238302:-1 gene:gene23266 transcript:rna23266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA synthase MEFLSLLYVVPALYICFLIWKLYDQKRDQECYILDYQCYKPTQDRMLGTEFCGKIIRRTKNLGLDEYKFLLKAVVSSGIGEQTYAPRNVFEGRESSPTLNDGISEMEEFFNDSIAKLLARSAISPSEIDILVVNISMLAILPSLSSRIINRYKMRHDVKVYNLTGMGCSASLISLDIVKNIFKSQRNKLALLVTSESLSPNWYTGNDRSMILANCLFRSGGCSILLTNKRSLKNRSILKLKCLVRTHHGAREDSYNCCIQKEDEQGRLGFHLGKTLPKAATKVFVDNLRVISPKILPTRELLRFLLVLLFNKLKIATSSSKSYSGGATKSTKSPLNFKTGVDHFCLHTGGKAVIDGIGMSLDLSEYDLEPARMTLHRFGNTSASSLWYVLGYMEAKKRLKKGDRVFMISFGAGFKCNSCLWEVMKDVGDANVWEDCIDNYPPKSLVNPFMEKYSWVNEVEDPNNYDLPEFLK >RHN76688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:443508:446944:-1 gene:gene53 transcript:rna53 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MFGGLIIFNLFSLKDLIIPMGSVSNDEQELKEIEILERDDIEKVGDELEDASRVAPWMKQITIRGLIASILIGIVYSVIVMKLNLTTGLVPNLNVSVALLGFVFIKLWTKILEKANIASTPFTRQENTIIQTCAVACYSGFGSYLLGLNRATYERVGGASTPGNTPDTKEPGISWMTGFLFVTYFVGLTALIPFRKVLVMNESGFCAVKLQLLYDFEQTANFCSLRLTKLQSKFPTITTLFSGTNLNIQVANNIQFKMNWMMIIDSKLPYPSGTATGVLINGFHTPKGNVMAKKQVKGFMQFFSFSLLWSFFQWFYAGDGQCEFAQFPTFGLKAWKNTFYFDFSMTYVGAGMICSHLVNLSLLFGAVVSWGIMWPLIRVLKGNWFPESLPESSMKSLNGYKVFISIALILGDGLYNFIKIIYFSALNFQACVKMRNHNAGSITDNNQNQTLDDLTRDEFFIKESIPIWLACVGYAVLTVISIIVIPLIFPQVKWYFVVVAYLLAPILGFCNAYGAGLTDMNMSYNYGKVALFILASLGGNSNGVVSELVACGLIKSLASTSSDLTHDFRTGYLTLTSPRSMLVTQAIGTAIGCVIAPLTFFIFYNTYDVGNPNGEYKAPYAIIYRNMAIFGVEGFSALPSHCLQFCCGFFAFALLANLLSDLNPKNIGKWVPLPMAMAVPFVVGAYFAIDMCVGSLVVFVWSWMKNKEAGLMIPAVASGLICGDGIWVLPSSLLAWFKIHPPICMNFVANN >RHN49746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:794248:799587:1 gene:gene33954 transcript:rna33954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triose phosphate/phosphoenolpyruvate translocator, sugar phosphate transporter MSPYFLSSNLNMHNLYVSPSSSTLHLSNHITKPKFHPFQNSHSHNFSSSPLRLTIDGFACPSSSFFQTVGKSSPFFISNPKMDSFRVFAASSVPEAQSDEGKQTSGLVQSLQLGFMFATWYLLNIYFNIYNKQVLKVYPFPATVTVFQFGFASLVSNLIWTLNLHPRPKISRSQLTAILPLAVAHTLGNLLTNISLGKVAVSFTHTIKSMEPFFTVVLSSLLLGEMPTLWVVSSLLPIVGGVALASMTEVSFNWIGFGTAMASNLTNQSRNVLSKKLMANEEEALDNINLYSVITIISFFLLVPYAIFSEGVKFTPSYLQTAASQGLNVRELCIRSVLAAFCFHAYQQVSYGILEKVSPVTHSVGNCVKRVVVIVSSVIFFQTPVSPINALGTAIALVGVFLYSRAKRIKPMPKTKEA >RHN63839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54362464:54365797:1 gene:gene26593 transcript:rna26593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M1, membrane alanine aminopeptidase MFNYDLEFTVAHNLVAVSTGSLLYQVLSKDNPPRKTYVYKLDVPVSARWISLAVAPFEVLPDHQFGLISHMCLPPNLAKMRHTVEFFHSAFSCYKDYLSVDFPFDSYTQVFVEPEMVVSSLSLGASMSIFSSQVLYDEKVIDQTIDTRVKLAYALARQWFGVYITLESTNDEWLLEGLAGCLADFFIKKHLGNNEARY >RHN60488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27300175:27303850:1 gene:gene22803 transcript:rna22803 gene_biotype:protein_coding transcript_biotype:protein_coding MNMASDKNIRCHHCAGPLSKEMETSKWNIAPLIRDSFSMIGSAVGGTTSAFYGFNHVMPIVHRYVKGPMWVHFLVGTPPVIVFSSVCAGLAGGAVPALAQLVSSTYHAAQSSPPSDDEKIQKSRTSSTL >RHN63181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49157329:49157535:-1 gene:gene25855 transcript:rna25855 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFFMTYLVDSLTGVGLVDQMNNFCKTLLFVEVAGLLLIRKKVLEETTFYDKQATLLDENSSSSKKY >RHN69170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41491274:41491555:1 gene:gene17603 transcript:rna17603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFHLPAAIVRASFRSSQTSLKVTNVPKSYLAVYFGEEMKRFVIPMSYLNQTSFQDLLSQAEEEFGYDHPMGGLTIPCTEGVFLRVTSRFNGI >RHN60946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31745526:31748732:1 gene:gene23347 transcript:rna23347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRISDVSVQSDMKLWPFKVIPGPADKPMIVVNYKAEEKQFSAEEISSMVLMKMKEIAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKATSTGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKNSVDDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKSEDEEHKRKVEAKNALENYAYNMRNTIKDDKIASKLSADDKKKIEDAIEGAIQWLDGNQLGEADEFEDKMKELEGICNPIIARMYQGAGGDAGGAMDEDGPAAGSGSGAGPKIEEVD >RHN55047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14693881:14695318:-1 gene:gene30173 transcript:rna30173 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPTPRKMSQLERSIVKRAVKLSLDDLKANHSGDFLSSRALQIRNYAPDLAQSIAGLILSSSDLDFQRECFSLLGLQSQDVGTEIMENSIKEKIVSVIETNDKDPDKSKEIAPFLFEEDDHIHEPDVEFQESDFTYLDPDLDMF >RHN79750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31844358:31844678:1 gene:gene3582 transcript:rna3582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MLSSFVGKVQKSLSLFVPRKHALSYWSEDHATAGVADDVMKGYFAVLARKGEETRRFIVGLDYLTDPAFLGLLDDASEEYGFRQKGTLVVPCRPIELQNILDGRKT >RHN70979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55588059:55589395:1 gene:gene19619 transcript:rna19619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ELO family protein MSQTYSMLEFTKENLNYWLLDHPSIVSFRWSPALSWGATWWFLISAIFFYISITVTIHVILKLCRRLRPVPLGPLPAIHSLSMSLISLTIFFGMFFSAEAEVRDTRWLWKRTRTTPFEWLLCFPLGIRPSGRVFFWSYAFYLSRYLHMIRTIFIVLTERKLSFFRLFNNSIILIMSYLWLEFSQSLQVLAILFSTLVCSVVYGYRFWTQIGLPSKTFKFSGNFHMVLFGCNLACHVGVLLLHYSRGGCNGIGAWVFNSFLNVLILWHFLKSYVDTNCQRKDASSKREDDSAKGTSAHDN >RHN47178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37697299:37699008:-1 gene:gene41743 transcript:rna41743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MAELENPNEMPKLIAFLSSLLKRVAESNDINQQLLEQKISVFQGLTCPNISIQNYLERIFKYANCSPSCFIVAYVYLDRFTQRQPSLPINSFNVHRLLITSVMVAAKFMDDMYYNNAYYAKVGGITTIEMNFLELDFLFGLGFHLNVTPNTFQAYCVHLQSEMMMIQPLNFTDSSLGLGKSLNTHVCFNEDESSHQKQQQLAV >RHN48598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48716811:48718159:1 gene:gene43332 transcript:rna43332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein LEA MASRKVFKEDRAEAAAKLAAKDIGDVNRTNEREEGYTLNSELQFKQARAEAASKLAAKDLEDVNRARESAFNASGYQQEEQKPGVLGSMFKAAKEAVVGKSYEAGEKARDTTDYAAQKTRENADYAAEKARETKDTTANKAGEYTNYAAEKAREAKDTTANKAGEYTNYAAEKAKEAKDATVNKAGEYTNYAADKAKQAKDATVQKAGEAKDATVNKAGEYKDYTAEKAVEAKDTTVGKLGELKDSAADAAKRAMGFLTGKKDETKEKTREMLGGTEEDARMRMDDLSVERGGRGREKVVVKVDESRPGVVADGLRAAAGMDKTDTGYLEEEGTIHVERRREKM >RHN52134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31899679:31903477:-1 gene:gene36763 transcript:rna36763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stizolobate synthase MALKDTFYISHGSPTLSIDDSIEARKFLQSWKKDVFEERPKSILVISGHWDTTVPTVNVIQTTNDTIHDFYGFPKPMYQLKYPAPGAPELAKRVKELLNKSGFDRVDEDKKRGLDHGAWVPLMLMYPEADIPVCQLSVQSDLDGTYHYNLGKALAPLKDEGVLIMGSGSAVHNLGTLNPRAGVAPWALEFDNWLKDALLDGRYEDVNHYEQKAPHAKKAHPHPDHFYPLHVAIGAAGENSKAKLIHSSINLGTLSYASYQFTSDSS >RHN71188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57071575:57072342:-1 gene:gene19839 transcript:rna19839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ornithine decarboxylase MNKWAIKLPTVEPFYAVKCNSNISLVGVLASLGSNFDCASRAEIESVLSLGVSPDRIIYANPCKSELHIEYAASVGVNLTTFDSVGEVEKIKKWHPKCELLLRIKTDEGSGARASLSVKYGALHNEVLELLKAADVAGLKVTGVSFHIGSGGADAKAYHGSILLDKEVFETATRLGMPKMKILDIGGGFTSGSNFDEAALNVNDAIKTHFENDEDLVVIGEPGRYFSETAFTLATKIIGKRVRGELREYWINDGI >RHN71261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57678992:57680701:1 gene:gene19918 transcript:rna19918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chymopapain protein MAFFSPKTLVLTCSLCLFLSLAFGRDFSIVGYSSEDLKSMDKLIELFESWMSRHGKIYETIEEKLLRFEVFKDNLKHIDDRNKVVSNYWLGLNEFADLSHQEFKNKYLGLKVDLSQRRESSEEEFTYRDVDLPKSVDWRKKGAVTPVKNQGQCGSCWAFSTVAAVEGINQIVTGNLTSLSEQELIDCDTTYNNGCNGGLMDYAFSFIVKNGGLHKEEDYPYIMEESTCEMKKEVSEVVTINGYHDVPQNNEQSLLKALANQPLSVAIEASGRDFQFYSGGVFDGHCGSELDHGVSAVGYGTSKGLDYIIVKNSWGAKWGEKGFIRMKRNIGKSEGICGLYKMASYPTKKK >RHN66492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13026869:13027618:-1 gene:gene14493 transcript:rna14493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLMNNVEPDQIVLTTALSACADTGGLEMGEWIHDFVRRKQGMKIDLHLNNALINMYAKCGDIGNARKLFDSTRNKDVTTWTSMIVGHAVHGQAHEALQLFSEMKFIVSPNDVTFIGVLMACSHAGLVEEGKRHFRSMTEDYGIEPREPHFGCMVDLLCRSSHLREAYDFVMEMSVPPNAVMWRTLLGACSLHGDLKLATEVRDKLINLDPGYVGDSIALSNIYADKEMWDKKIIVRNQIKQWRTTQPLS >RHN48758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50009331:50010504:-1 gene:gene43509 transcript:rna43509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MHEKQQLNGAYYGPSIPPPTRSYNRPSRGGGFDCCCGCIFNLIFKLILTVIIIIGIAVFLFWLIVRPNAVKVHVTDATLTQFNYTNNNSNLNYNLALNITIRNPNRRLGIYYDSIEARALYHDARVDSIILDPFYQGHKTTHFLNPSFKGQKVVVLSSDQSLELNKEKDSGVYEIDVKMYLKVRFKLGVFKTRKMKPKITCELRVPLNSGGGSPAGGSFEATKCDWDR >RHN68851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39102580:39106523:-1 gene:gene17262 transcript:rna17262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative superoxide dismutase MASCYLNPIPTSSRLFSPDLSTKFKIPKLLHRKKRFGVLPRSSKVTAFYGLKTPPYEHDALEPYMSKQTIDVHWGEHHRNFIEGLNKQLGKDDILYGYTLDELVKVTYNNGNPSPEFNNAAEVWNHDFFWESMQPGGGDIPILGLLQQIEKDFGSFTNFKEKFTEAALTLFGSGWVWLVLKREEKQLAIVKTSNSICPIVWGDIPIINLDLWEHAYYLDYKNDRAKYVNVFLNHLVSWNAATERLTWGEAFVNLGEPKIPVA >RHN52838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39367205:39370925:1 gene:gene37557 transcript:rna37557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cholestenol Delta-isomerase MEGSQTSQDSVHPHPYVPKDLHLPGYVPCFLSQSNILSGYGSFFVILFALTWFFSGRLKKTKVDRLLIFWWAFTGLTHLILEGYFVFAPEFFKDNTGFYLAEVWKEYSKGDSRYAARDAAVVAVEGLTAVLEGPASLLAVYAIATGKSYSYILQFAVSLGQLYGTATYYITAILEGDNFSTNSFYYYAYYIGANFSWIVIPSIISIRCWRKISAAFRVQSQTRKTKVR >RHN81727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48494882:48499924:-1 gene:gene5812 transcript:rna5812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate kinase MSQVRSIQTSFSRPTSGSTHDRSQTLLKPPSFSSKIFPPKSNNPPKLCFTTSPINARKSSSAEVVPVSPEDDSKIEEELQKLHVLQQVGNVSGGIWSKPMIKRKTKIVCTIGPSTNTKEMIWKLAEAGMNVARMNMSHGDHASHKKVIDLVKEYNAQSKDNVIAIMLDTKGPEVRSGDLPQPIMLKTGQEFTFTIQSGVGTADCVSVNYDDFVNDVEEGDMLLVDGGMMSFLVKSKTADSVKCEVIDGGELASRRHLNVRGKSATLPSITEKDWDDIKFGVDNEVDFYAVSFVKDAQVVHELKNYLKSCGADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRICRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGSDGIMLSGETAHGKFPIKAAKVMHTVALRTEAALPNGQMPPNIGQVFKNHMSEMFAYHATMMSNTLGTSTVVFTRSGFMAILLSHYRPAGTIFAFTDQKRVQQRLALYQGVCPIYMEFSDDAEETFTKALDLLQKNGMVKEGEEVALVQSGRQPIWRFHSTHNIQVRTVAATN >RHN80401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37726574:37732265:-1 gene:gene4327 transcript:rna4327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Mg2+ transporter protein, CorA-like/Zinc transport protein ZntB MARDGSVVPADPQALVKKKTQSSRSWIAFDGTGQGSLLDVDKYAIMHRVQINARDLRILDPLLSYPSTILGREKAIVLNLEHIKAIITADEVLLRDPTDEHVVPVVEELQRRLPKLSDIHQLQGDGKEYLGGQHDNEAAEEDESPFEFRALEVALEAICSFLAARTTELEMAAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKAGSASPVSGSGANWFAASPTVGSRISRASRASIVTVRLDENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLAFYSLVAGIFGMNIPYTWNDDHGYMFKWVVIFSGVFSAIMFLMIIIYARKKGLVGS >RHN82669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55564473:55565687:1 gene:gene6848 transcript:rna6848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MVKVSAAFCCCSGFDMTTTCCKQGGTQTPPPPTNSLPFLSFDLVTEILCQLPVKNLLQLRCICKSWNSLISHDSEFTMKHLRLSTSNLDRHHLFLSSLRTSRLLHLSDSSISSFFSPPSTTSFTQINHYSPILNKNLNFGERVSTYDGMLCVRIDSSSALLCNPSIRKFKILPPLINPDQNYLQSSFTLVYDRFTNNYKIYSLSKTTDGYKQVNVHTLGTDYWRKIQDFPKHYYLVQNPGISLSDTVNWLVYHDRSFARVIVSLDLENELCQELFHPLYNYKPGTCIALGVLRDCLCIFSHSDKFSDVWIMKEYGNVESWTKLLSVPQMGGCGCYIYTKVLYISEDGLMLIYFFKRGKFRLAVYDSINDTLKIPEIQNNIHDLVKEVYLPEVYIETLISPLSQY >RHN60886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31380341:31382839:-1 gene:gene23279 transcript:rna23279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MISQPILLALILFLLFLLQLFLFKRNNRAKEHLPYPPSPLAIPIIGHLHLLKPLVHQAFRDLSDRYGPLISLRLGSVPFIVVSSPSLAKEFLKTNELVYSSRKMNIAINTVVYDDATFAFAPYGAYWKFIKKLSTFELLGNRTIGQFLPIRTRELNEFIQTLENKSKVEESVNLTQALLKLSNNIISRMMLSIESSGTDSQAEQARTLVRDVTQIFGEFNISDFIGFCKNLDFQGLKKRALDIHKRYDAFLEKLICDREESRRKAKVEGGCEDRDEKVKDFLDMLLDVFEAKECEVDFTRNHIKSLILDYFTAATDTTAISLEWTIAELFNNPIVLKKAQEEVERIIGKERLVCEADIPNLPYIQAIIKETLRLHPPLPMIARKGTKDCVVDGKMIKKGSIVCVNIWAIGRDSKTWKNPLEFRPERFLESGKESEIDIKGHDFELLPFGSGRRGCPGMPLAMRELPTVIGALVQCFEWKMLDSEGKLLDQGKTIDMDERPGLTAPRANDLFCIPVARLNLIPLVQL >RHN64905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62864833:62865355:1 gene:gene27781 transcript:rna27781 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAYGFAAGFVLGVVRFEIASSNICRTLLRYWLFTMLLIHGVSLYTIALLVLQILFMGLRRLRTLWFFQIVLWVLITRLFAQKGFDLVIWKFVGISCGFTVCSDFFAVSCWFVDLLPCWRSIHSLVFNIMI >RHN39139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4403020:4403843:-1 gene:gene45138 transcript:rna45138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MASSNLTHLMRDQSRDGQEACQQDKVNHEFKQLILSLPRVKGWGASQIFYFFQGFWCPSNLIQPINSFQNHFQAKDNDIVVASLPKSGTTWLKALTFAIVNRHHFSSLEDHPLLKFNSHELAFWTHVPFFSLAKSIKESNCKIIYICRNPFDTFVSAWFYANKIRSNQSLPTLSIDEIFESYCDGISPFGSFWDHKLGYLKESMARSHKVLFLKYEDVKENAIFQVNRIANFLGYPFTREEESNKR >RHN51864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27223827:27224117:-1 gene:gene36415 transcript:rna36415 gene_biotype:protein_coding transcript_biotype:protein_coding MENEEVATPASSWWGEEPLEIESVFANDGVGEIPFESIGDVEDWEWMLPSTFDRVCSVYKNHTFPMYEVVFKDLGFRLPFSEFKREMLRWTKLSPS >RHN61732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37902782:37908989:-1 gene:gene24222 transcript:rna24222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MAGKQISRSKSRIILLSLSLLGFSLLIFLSSLSPLRTTSPSSTHFHLPTTSHTSFVTSLEHFLKTHPSPSLPDDTPHKPLTDQHVTNLDNSVFHSETNRLNSDPFYPISLPLKVYVYNMPNKFTYDLLLLFRNTYRDTSNLTSNGSPVHRLIEQHSIDYWLWADLIAPESERLLKSVVRVHRQEEADFFYIPFFTTISFFLLEKQQCKALYREALKWITDQPAWKRSGGRDHILPVHHPWSFKTVRRYVKKAIWLLPDMDSTGNWYKPGQVYLEKDLILPYVANVDFCDATCLSEINPKRNTLLFFRGRLKRNAGGKIRSKLVDQLRGADGVVIEEGTSGEGGKEAAQNGMRKSLFCLNPAGDTPSSARLFDAIVSGCIPVIVSDELELPFEGILDYRKIALFVSSNDALKPSWLLKYLKDIRSAHIKEMQQNLAKYSRHFLYSSPAQPLGPEDLVWKMMAGKVVNIKLHSRRSQRVVEGSRNVCTCECRPGNITNTVSTIS >RHN68514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36129306:36129913:1 gene:gene16877 transcript:rna16877 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTRFGFDVASIHHLVSPPSMNTGSCPRLRRRSHLPLPLATLFIEAKNCGGGY >RHN55416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18109760:18110023:1 gene:gene30594 transcript:rna30594 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSISSGFVQTLMHFFLLNSCDGYVAVSIVFVPFFELVNLARVYFLGVCEFGLCFVGPLTILEFLLF >RHN56910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34679874:34682490:1 gene:gene32375 transcript:rna32375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVLYPKLLLCVYSQEPLNSLRLSLFTTWLCVICKRIMGRQPCCDKVGLKKGPWTAEEDRKLINFILTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEYEEKMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPMTHKPLALSNASDQTQDQPKQQLHQSLEKEQENQQPLTIDIDNKIESKVEEHNKEVEKPKTSFESPTIIEIKEKDEITITPLFDTMDGFSIDEVPMIEPNEIIVSNSSSSTSSSNSTNFLEDLHLLDFEWPNNNNKEECNNNNMAFWDDDFISSLNLLLNDDDIDVNNGERKQELDAPLSNYSRMAMDLESWAHELF >RHN40423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16093761:16097249:-1 gene:gene46571 transcript:rna46571 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-oxo-beta-amyrin 30-oxidase MEVFMFPTGTTIIISVLSVLLAVIPWYLLNKLWLKPKRFEKLLKAQGFQGEPYNLSVFKDKSRQNYMLKLQQEDKSKFIGLSKEAAPSIFTHVHQTVHKYGKKSFLWEGTTPRVIIADPDQIKEVFNKIEDFPKPILKSIAKYLSVGIIHYEGKKWAKHRKIANPAFHLEKLKGMLPAFSYSCNEMISKWKELLSSDGTCEVDVWPFLQNFTCDVISRTAFGSSYAEGEKLFQLLKKQGFLLMTGRQTNNPLWGLLATTTKTKMKEIDREIHDSLEGIIEKREKALKNGEPTNDDLLGILLQSNHAEKQGHGNSKSNGMTTQDVIDECKLFYIAGQETTSSLLVWTMVLLGRYPEWQARARQEVLQVFGNQNPNIEGLNQLKIVTMILYEVLRLFPPLIYFNRALRKDLKLGNVSLPEGTQISLPILLIHQDHDLWGDDAKEFKPERFAEGIAKATKGKVSYFPFGWGPRICLGQNFALLEAKIAISLLLQNFSFELSPNYVHVPTTVLTLTPKNGASIILHKL >RHN65339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1297904:1301648:1 gene:gene13165 transcript:rna13165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like ferredoxin MMGNNNREREEPLTFTIPSSSSHSSPITVSDTLDSYLTDPRSASGSFQNDGVLSSGDVADVEFGFSRPDFRQSSLVGTVELYERHVFLCYKNPRFWPPRIEAAEFDRLPRLLYAAVKARKNHMKKETRLTICEGHDGTETSNGDVLIFPDMIRYRRLTHFDVETFVEEVLVKNGEWLPGTPETLKGSYVFVCSHGSRDRRCGVCGPVLVNRFREEIEYHGLQGKVFVSPCSHIGGHKYAGNVIIFGSSMNGEVTGHWYGYVAPEDVPLLLQQHVMKGEIIDSLWRFAPYAFKWQLRPGSSLVNCLYFLLHGILSKGLI >RHN51382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17010134:17012713:-1 gene:gene35812 transcript:rna35812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADALLAIVIENLGHFVRDELASFLGVGELTEKLRGKLRLIRAVLKDAEKKQITNDAVKEWLQQLGDSAYVLDDILDECSITLKPHGDDKCITSFHPVKILACRNIGKRMKEVAKRIDDIAEERNKFGFQRVGVTEEHQRGDDEWRQTISTVTEPKVYGRDKDKEQIVEFLLNASESEELFVCSIVGVGGQGKTTLAQMVYNDERVKTHFDLKIWVCVSDDFSLMKILESIIENTIGKNLDLLSLESRKKKVQDILQNKRYLLVLDDVWSEDQEKWNKLKSLLQLGKKGASILVTTRLQIVASIMGTKVHPLAQLSDDDIWSLFKQHAFGANREGRAELVEIGQKLVRKCVGSPLAAKVLGSLLRFKSDEHQWISVVESEFWNLADDNQVMSALRLSYFNLKLSLRPCFTFCAVFPKDFKMVKENLIQLWMANGLVASRGNLQMEHVGNEVWNELYQRSFFQEVESDLAGNITFKMHDLVHDLAQSIMGEECVSCDVSKLTNLPIRVHHIRLFDNKSKDDYMIPFQNVDSLRTFLEYTRPCKNLDALLSSTPLRALRTSSYQLSSLKNLIHLRYLELYRSDITTLPASVCKLQKLQTLKLRGCCFLSSFPKTFTKLQDLRHLIIEDCPSLKSTPFKIGELTSLQTLTNFIVDSKIGFRLAELHNLQLGGKLYIKGLENVSNEEDARKANLIGKKDLNRLYLSWDDSQVSGVHAERVFDALEPHSGLKHVGVDGYMGTQFPRWMRNTSILKGLVSIILYDCKNCRQLPPFGKLPCLDILFVSGMRDIKYIDDDLYEPATEKALTSLKKLTLEGLPNLERVLEVEGIEMLPQLLNLDITNVPKLTLPPLPSVKSLSAKGGN >RHN74401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32703630:32703797:1 gene:gene10458 transcript:rna10458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MQRMNKLRPFVRTFLKEASEVFEMYIYTMGIRQYSLEMAKLLDPQVEYFKDKVIY >RHN43248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44309427:44311360:1 gene:gene49793 transcript:rna49793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSEMCEPNSEKASEISAESPTTPVGAQPVETAEPKSSERVKATRHPRWTRQETLVLIEAKKVIENGDQVCRYRSSTSGLVQTDPKWDLVSSLCQQHGVKRGAVQCRKRWGNLLTDFRKIKKWESNIKDESESFWIMRNDVRKENKLPGFFDSVVYNVLDGGVCTAAAFPLTLIKMMPRAENGDQVEGVPALEQCNKEDENEEDEDEAIVDSDKMEWSTEEENNETNIMVNSPFKAPNAKKSNIVGGLKVTPPPPITLPGSAERPPHPFFQGNYDPGCQREALFNEGYKRKRLSSDNSEDTADFNEDVIKVLRRNSNILKTHLGAQNMNSQLARDQQKQQNDSLVAALGRLTDAITKIADKM >RHN45567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22966482:22967217:-1 gene:gene39936 transcript:rna39936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEIVKFFYVLFLFISTPFIIKKPGSPNLRNHSCLRDKDCPQLKFHNIRCRNGFCVDIR >RHN78821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18710335:18715169:1 gene:gene2476 transcript:rna2476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, von Willebrand factor, type A, copine, protein BONZAI MGGCLSDMKGAKEAVGGIAPGNNTNDAVDFFYTAQGFQPLFTNVELSLSASNLLDLDIVSKSDPMAVVYAKKGDGKLEELGRTEVITNSLNPEWIEKINIAFHFEIVQPLVFHVYDIDTSYHGVPTKTLKLNDQEFLGEASCTLSEIVTKQSRSLTIRLQNKGGNISQINQGAITIHAEETVAAKNAVDIIFRCSHLDNKDIFSKSDPFLRISRVVESGGTVPIFKTEVIDNNLNPKWRPLCLNFQQFGSKDTPLLIECFDFNSSGNHVLKGQLFVDQYCEKEQFSFIDYISSGFELNFLVAVDFTASNGSPQQPDSLHYIDLSGRLNSYQKAIMEVGEVIQFYDSDKLFPAWGFGGKIPGGTISHCFNLNGSPTSSEVVGVEGIMEAYGSALRSVTLSGPTLFGPVINMAAQMAAEALSSYNSTKYYVLLIITDGVVTDLQETIDSVVKASDLPLSILIVGVGSADFTSMEVLDADNGRRLKSSTGRLATRDIVQFVPMREVQSGQISVVQALLEELPSQFLAFMRSRDIKPLVSHFPHARSIQ >RHN59541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11820316:11830486:1 gene:gene21583 transcript:rna21583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LisH family MKETNGKNTIEKPDQDQNQDLGFHFLELSRRKAKLDSEDFEVDDEEKEESPSELNTINSSGGFVVVATDKLSVKYTSVNLHGHDVGVIQANKVAPMKRIVYYFEIFVKDAGVKGQVSIGFTTESFKMRRQPGWEANSCGYHGDDGFLYRGQGKGEAFGPTYTTGDIVGAGINYAAQEFFFTKNGQVVGTVFKEMKGPLFPTVAVHSQNEEVHVNFGQKPFTFDLKEYEAQERMKQQIKIEEVPVSPNASYGIVRSYLLHYGYEDTLNSFDEASRSTIPPINIVQENGIDDQETTYALNHRKTLRQLIRDGEIDAAFGKLREWYPQIAEDNTSAMCFLLHCQKFIELVRVGALEEAVKYGRIELSSFFGLSLFEDIVQDCVALLAYERPLESAVGYLLKDSQREVVADAVNAMILSTNPNIKVTKNCLHSNLERLLRQLTACCLERRSLSGEQGEAFQLQRVLSSGKRS >RHN78950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20219820:20226794:-1 gene:gene2619 transcript:rna2619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MAKGDDSVLKKHNKKLRKKQNSKNSVSAKIAAVIASKKRRKAGKRRICEGMCFSLPSLDDPFNDRQGKPEFKKKDPKKKTSSQKEKTTPVKGKSVPGEKGTAVGRNGANNKSEMVEVCCGEQHDNEVSDFPSKFVFWCLSAIENALRHDDAYTDGEGNSFFLNPWGLEFSKHFSTGKDLIDTGGTFATTEQIAWMVSAAADIFVRKEKQGLSLDTPFLLFLVPSEKKAGQVRTVCKPLKSVGIHTVSVHPGASLDHQIQGLKSCEPEFLISTPERLLELVSLKAIDISGISMLVIDGFDAICKAGHADAIKSIKKFISGNPSLVVFNDSFNHTSIPVVRHLLTGPICRISINNSIASLSSCIVQSVQVCTSDEDKLVKSIEVLRQFRSSQTHSSNLLYILRKDVKCHKLVKTLKSMGCSTSLDSDAATINDSVDSNRRLVTMIDLEDISTLDIGMYDVIVLPSLVPSMDTYEHILTNMARQSVNGILHGFLTKSDTEHAGPLISILEQCGQEVPETLKDLHQASNICRKIES >RHN66221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9852786:9857273:-1 gene:gene14164 transcript:rna14164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MCGGPDKSKSIATSSSSSSSAAEGSNTVEDMKNLTVRTDDSFSSLLEHASNNDFEDFKVALDSDASLINEVGFWYVRQKGSNQIVLEHRTPLMVAASYGSIDILKLILSYPEADVNFSCGTDKSTALHCAASSGSVNAVDAIKLLLSAGADINSVDANGKRPVDVIVVPIVVPHKLEGVKTILEELLSDSASEGSVDDCSLPLSLISSSPGSSAPLSSAENGSPSSPVAPKFTDTAVNSTSEKKEYPVDPSLPDIKNSMYATDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRSDMCEYAHGVFECWLHPAQYRTRLCKDGMGCNRRVCFFAHSPEELRPLYVSTGSAVPSPRSAASTANVMDMAAAMSLFPGSPSSISLMSQSPFAQPPLSPSANGNNAWPQPNVPALHLPGSINQTSRLRSSLSARDMPHDDFNNMLQDFDGQQQILNDLSCFSQPRPGAISVGRSGRPKTLTPSNLDDLFCAEIASSPRYSDPAAASVFSPTHKSAVFNQFQQLQSSLSPINTNVMSPTNVEHPLFHQASYGLSSPGRMSPRSMEALSPMSSRLSAFAQREKQQQQQQQLRSLSSRELGANNPLSAVGSPVNSWSKWGSSPIGKADWSVNPNDFGQTQRSTSFEHGNNGEEPDVGWVHSLVKDPTPEKKEKLAGSGPIPSVEKNPNPQADGIDHSVLGAWLEQLQLDQLVV >RHN41806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33148697:33154491:-1 gene:gene48167 transcript:rna48167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MDLSTDSEPPPPSPPPDSTTATLNGTITPDQNPEPPPPPPPQSSSSSSPPPELQLPISWPEDAKLTIEWIQNLTLAFDWSSKNLPASEFPSILPVHVFDSLILIASKMLHKEPNCVPIQPFRPEPDSSASVVVVGDVHGQLHDLLFLLQDAGYPSKDRIFVFNGDYVDRGAWGLETFLLLLAWKVFMPENIYLLRGNHESKYCTSVYGFEKEVMVKYGDKGKHAYRKCLGCFEGLPLASIIAGCVYTCHGGLFRSVTVTPAKRLKGKKNRKMNVNTDSKNICLGSLEELSKARRSVLDPPWEGPNLIPGDVLWSDPSKTPGLSPNKERGIGLMWGPDKTEEFLKKYQFKLIIRSHEGPDAREKRDGFEGMAEGYTIDHIVDSGKLVTVFSAPDYPQFQATEDRYNNKGAYVVLEPPNFDNPIFRGFSAVTPRPKANPFYDYQEVIDSDEELDLASMVTT >RHN41758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32684093:32691230:-1 gene:gene48111 transcript:rna48111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-RCK family MERYKLIKEIGDGTFGIVWRAINKQSGEVVAIKKMKKKYYSWEECVNLREVKSLRKMNHSNVVKLKEVIRESDILYLVFEYMECNLYQLMKKREKLFSDDEVRNLCFQVFQGLAYMHQRGYFHRDLKPENLLVTKDIIKVSDFGLVREISSQPPYTEYVSTRWYRAPEVLLQSSLYSSKVDMWAMGAIMAELFTLRPLFPGTSEADEIYKICGVIGSPTAESWADGLKLSRDINYQFPQVATADLSVLIPSRSDDAINLIKSLCSWDPCKRPSAAEALQHPFFKSCFYIPPSLRTKGVTRTPPSGIRGSVDRQGVKRYSGGLLNSKLTTNFSSNKLHPALAPGVQRKLDMANEDGTKNKKTVKTTQPSKYRPPGKDSPTSINKGRTARGVSETANKLANMSIATRRQSLGQTRPPPMKAGVNWTSESGNILLRSSHPIPTGRTFTKKVAG >RHN40106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13334465:13337161:-1 gene:gene46209 transcript:rna46209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MADETKNLLPQVFFHGPPEFPAYLKPKPYHNFHIINPSSLPSLHQFISTNPHNVSSITAILSMGLYPLNADILQSLPCLRFIITSSAGTNHIDLDECRRRGIQVANAGNLFSEDVADMAVALLIDVGRKISAADGFFRRQIQPPASWDFPLGSKISGKKIGIVGLGRIGLEVAKRLETFGCTLSYNSRNKKPLVSYPYYSSVLELATNTNVLILCCDLNDQTRHIVNKEVMLALGKGGIIVNVGRGALIDEKELLKCLIEEEIGGAGLDVFENEPHVPKDFYSLDNVVLSPHSAVLTLESHMGICQLVEQNLIAFFSNKPLITPVI >RHN41795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33064840:33068671:1 gene:gene48156 transcript:rna48156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MIIWLHTFPEGKVHQDDAPIRRLKWGTASLIVRAPITPIVLPIVHHGFHEVMPEKYMFGRRPPLPLCNKKINIIIGDPIEFDLPAMSEMAIAQSRNDSFPTIGWPRTSDGLDEAAQRHLYTTLSEKIRVAMEKLRCYGKSFLKS >RHN54907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13402638:13403267:1 gene:gene30005 transcript:rna30005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MKIIHILFYFIFFSFTISHASINDFCVANLKASYTLSGYPCKALANITSDDFVFHGFVAANTSNSFKLGITTASVANFPALNGLGISAMRVNIDEGGFAPMHTHPGSTELIIVVQGEITVEFVTPTSFYSKALKSGDLFVIPQGMLHFVVNSGKGTAAGFAAYSNENPTVQQLDLLLFANKLPSNLIAQTTLLDLDQVKKLKAHFGGSG >RHN72637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9290934:9292547:-1 gene:gene8380 transcript:rna8380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HECT domain, ubiquitin MKKITKTKSSKLQFFVRMMWNCNTLVIRASREKTVESLLEQISSKTRIPIQHQRLIYEGKQLTLEQSLCECGIENDANLQLVGRLRSIACPKVWKATQYIVSLILRLCRGELVIGASRIIDDHLTYYINDSEYFSVFMFMEIPSLLVALYMSRYANACVADSVIKDFVRICLDLKDKKLQGVYLEVSLEFCELLRRARCKYDDPLYVFCRDSFSSLLTLVGRVNFQNPKGEVMLRGVFDCVHEIADELLRFLDLSMNCPTGEGFSFNFVLDFVKFSGHLRMGLAEQQATSDEFLNCTIGYEEEPLIAGVVDQLHIVFIKLLSKMDECLQVMEDCLVNKKHGKGDGAVINNGWSHYIIILKVLYHISKLYSGAKEMFWEVLLRQKSMLSHMIVRYSKNTDDHRWVLENRSVTDFECRRHLAMMMFPDLNDESLGYEMLIDRSQLLAESFEYISQANSTSLEGGLFMEFRNEEGTGPGVVREWLVLVCQEIFNPEHALFVACPNDRRRFFPNAGEFRLEFKMHNFTLLHAIQLEYFQCQ >RHN41181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27906958:27909641:-1 gene:gene47472 transcript:rna47472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MSNTVESYLSHKIIIPKKRKKEVGFYCTIPKEIGYLDKLEVLSLSNNSLSGSIPSKIFNLSSLTYLEVDRNSLSSTIPSNTGYSLPNLQYLHLYQNNFVGNIPNNIFNSSKLRQIALDKNAFSGLVPNVIGNLRSLESLFIYDNNLTIEDSHQFFTSLTNCRYLKYLELSRNHHISNLPKSIGNLTSEYFTAESCGIDGNIPQEVGNMSNLLTLDLSDNNINGPIPGTFKGLQKLQHLSLSNNGLQGPFIEELCEMKSLGELYLENNKLSGVLPTCLGNMISLIRINVGSNSLNSRIPLSLWSLRDILEINFSSNSLIGNLPPEIGNLRAIILLDLSRNQISSNIPTTISSLQTLQNLSLADNKLNGSIPKSLGEMVSLISLDLSQNMLTGVIPKSLESLLYLQNINFSYNRLQGEIPDGGHFKNFTAQSFMHNEALCGDPRLQVPTCAKHVKKWGLSILGAPRRISYYELVQATNGFNESNFLGRGGFGSVYQGKLLDGEMIAVKVIDLQSEAKSKSFDAECNAMRNLRHRNLVKIISSCSNLDFKSLVMEFMSNGSVDKWLYSNNYCLSFLQRLNIMIDVASALEYLHHGSSIPVVHCDLKPSNVLLDENMVAHVSDFGIAKLMDEGQSKTHTQTLATVGYLAPEYGSRGIVSVKGDVFSYGIMLMEIFTRRKPTDDMFVAELSLKTWISRSLPNSIMEVMDSNLVQITGDQIDNILTHMSSIFSLALSCCEDSPEARINMADVIATLIKINTLVVRANTV >RHN80218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36194199:36197675:-1 gene:gene4117 transcript:rna4117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein DnaJ, cysteine-rich MSFSAPTVPITVTVSVNSTLSFPTKTLPFLKPHFPSYKFNSLTTHCSIPDREEHRWLREEQRWLREEQRWIREENRWNRERAELLREISELKLQIQSLEHRILSSSSSTSVSDVVAPLLQVLKDKNLVLDSGSIQRRLVLEEEKEQEVEEEKESVEVVEHVKEIVVVEKPAATVEKRTVLRTGSEGEEVQKLQEALLKLGFYSGEEDMEFSSFSSGTERAVKTWQSSLGIPEDGIMTSHLLERLYLDIRTTDIDSVNETKKSASVLPKEVENGAAVASVTEISEVQQKVVGEVDQETEASHPRVFLLGENRWEEPSRLSTKVRVDRGKNKDGTMRCLQCSGLGVLLCTECDGSGEPNIEPQFMEWVGEDTKCPYCEGLGHITCDLCRGKTMV >RHN79709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31415965:31417642:-1 gene:gene3534 transcript:rna3534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MQVSMRLISKAFIFLLSIIVMQSSTSYSKKKTYKTHIKSATYLSEKLELGPGEVVSKSLMDIEFPRGHIGVKSFDIDLVDEQGNSIPLYETYIHHWFALKYDENDDKNMSHDPNDNTKPFGGPIIKRNQGTCNDLILPLYWGLGGESRGTISKLPDPFAVEVGNPANITKDWKEKWLFYVMFIDTRGTKNRKSCSECRCDQFNLPKNFYNKTHDIHDKPLSHDYKGGIFCCHNKFQCKLRKGLPAPRRKLAIRYKIMWVDWNEQQIPVRFYVMDSTDRVKTNGSKTIHDCLTEYFIPGNNSSDHVHVQKASFPIEKGGYLIYGTAHMHTGAINATLYRQDGRTLYTSKTKYGTGKKAGNEKGYLVGMSVFYPKLGSIKIKDGEIVSMESIYKNEFHTGAMGHMYFYLADRLPHGKYSSYSYDL >RHN73251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14784470:14785245:-1 gene:gene9048 transcript:rna9048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MGGSGKTTTAKSIYNRIHRKFVHRSFVENIREACENDNYRGVIHLQKKILSDALKTKEKIDSIGLGANKLETRLRGKKVFIVLDDVTSFQELKALCGNRAWFGTGSVIIVTTRDVHLLNLLEVDHLCKTEEMNKDDSLELFSWHTFREACPAKDFNQLSKKVVAYCGGLPLALEVIGSYLYGRTKPEWESVLSKLKRIPNDQVHQKLSISYNGLEDDLKKDIFLDICFFFIGKDRA >RHN38897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2720690:2721582:-1 gene:gene44873 transcript:rna44873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MTMKKGEVALLTIAPQYVFGSSESRLELAVVPPNSTLYYQVELVSFVKAKEVSDMNTEEKIEAALEKRQEGLALVYAAEYARASKRFQKVTRLH >RHN73674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18736106:18737649:-1 gene:gene9520 transcript:rna9520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MATAANSILLLLLFLSFLSLSTAQPSPSETQALLKLKQSLINSDKILSTWIPNVSPCSGTWIGVICFDNVITGLHLSDLQLSGTIDVDAIVEIRGLRTLSFVNNSFTGPIPQFHKLGAIKSLLLQQNQFSGPIPGDFFSQLTSLKKVWLSGNKFSGNIPPSLTELDLLKELHLEGNEFSGQLPSLKQDMKSFDVSNNKLEGPIPESLVRFGPVSFAGNEGLCGKPLEKQCDSPSSEYTLPDSKTESSSSSWVPQVIGLVIMAVIMAVIFLFVKSRQRKREDDFSVVSRDSSVDEVMQVRVPISRASSASERVGRRNVGESSKKGGMGGGSRNGIGDIVMVNDEKGSFGLQDLMKAAAEVLGNGGLGSAYKAAMATGLSVVVKRMREMNKIGKDVFDAEMRQFGRIRHANILTPLAYHYRREEKLFVTEYKPKGSLLYVLHGMHILYITLCIVHIPQ >RHN63671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53090705:53091956:-1 gene:gene26399 transcript:rna26399 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFFSLFVILLLGLSHLLCLTAVPFTRTENLMQDVQVHLITLENTPKVITEKNMHLEEPKPRINERMDLELNDYAPSGANGRHTPRAP >RHN72880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11510994:11518719:-1 gene:gene8644 transcript:rna8644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-hydroxyisobutyrate dehydrogenase MKICRLRSLFSSIAKCNSQAISPSPSSAAHSFSSINHHLQNVGFIGLGNMGSRMANNLIKAGFKLTVHDKNYDVLEMFSQMGVPTMKTPYEVSEASDIVITMLPSSAHVIDVYTGPDGLLHGGKRIRPWLLIDSSTIDPQTSRNLSATISNYILRERQGDWEKPVKLDAPVSGSIIAAEAGTLTFMVGGSEEAFLTAKSLFLSMGKSTIYCGEAGSGSAAKICNNLALAVSMLGISEALALGQSLGVAASTLTEIFNCSSARCWSSDAYNPVPGLMEGVPSSKDYNGGFASKLMAKDLNLAVESAKLAGCKYPLTSQAQKIYTELCNGGHDAKDFSCAFRHFYSGMDEPLDN >RHN39630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8874496:8875839:-1 gene:gene45671 transcript:rna45671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MEGEIMHIERQEDPNQQPHSQRHFPNKMPQQQQHRQYPEMPQQQQRHQYPEMEQPRQQQRHQYPEMEQPRQQQRHQYPMEHKGMVPRYRVPNAPKREHCICITIFFLVLGIIILILWLAYHPSKPHITVTSAAIYSLNATSPPDMSISMQFTIFIRNPNKRVSIYFDRLSTFVSYRNHPITPHHMLPSLYLEKHETVSVSPVLGGVPVPVSVDVLNGLVLDENYGVVGVKLIFQGRLRWKTGEIKSAHYNMYAKCDLLLGLKKGLVGQIPLIGAPVCDVDT >RHN43922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49479519:49481904:-1 gene:gene50559 transcript:rna50559 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCAGWPQPKRKRRKSICFPREGFLDLGAKMETEEPWESLDIDDSDLSNFLRPCNHHRITDTPLIPGPAGAVQSAMIQRRTLGSSNTLPTQELVRHVLQNGHDSDLDFNSNPWLSAQVHVESVTPLNSIKKHLNGEGKVPSIVAVIKSCTPNGFGDMTVTLKDPTGSVGASIHRKVFTEGGFRKDITVGSVLLLQKVAVFSPNGSTCYLNITLSNILKVFSKDSGPPSQQISAIRTTPISGAERQEKSWMPPSSVLSLPQERTQGILNNLRVESRFREVADRENLSLRQDIVRPVEAICGGDLESEMEDQQNHPNLGKGDSLVGNDQANSSSSNSAHISADQETGIENHMETQEIMNPKSSIPQWTDEQLNELLSFD >RHN44137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1944057:1944551:-1 gene:gene38207 transcript:rna38207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MHRAKNMAKTLRFVYVLILFILIFLVIIVCDSAFLPRSRTCITDKDCLQVRNYIARCRKGICQQHPRR >RHN60193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23089069:23090407:-1 gene:gene22446 transcript:rna22446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MARTPSCDKKSGMRKGTWTAEEDRKLIAYVTRYGCWNWRQLPKFAGLSRCGKSCRLRWLNYLRPNIKRGNFTQEEEELIIRMHKKLGNRWSTIAAELPGRTDNEVKNHWHTSLKKRAIDNIVTNEETKSTQGRCVSNYQITLPANSQISDTNGPLSPFSSSSELSSTSSDHNSTALDDFGFLDSFIEDVDESFWLHNLSNKPSGIVQNHTTESFVMDNDFGSFLDAYNESTVDSFWTQPYEVDMSHVPTELLIPLSMESENFSIVYDKDIWS >RHN50568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8117371:8121979:-1 gene:gene34868 transcript:rna34868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glyceraldehyde-3-phosphate dehydrogenase (phosphorylating) MGKIKIGINGFGRIGRLVARVALERDDVELVAVNDPFITTDYMTYMFKYDSVHGVLKHREITVKDTKTLLFGGSPVTVFGVRNPEEIPWGEAGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMYVVGVNEKEYKSDIHIVSNASCTTNCLAPLAKVVHDKFGIVEGLMSTIHSITATQKTVDGPSMKDWRGGRAASVNIIPSSTGAAKAVGKVLPSLNNKLTGMSFRVPTVDVSVVDLTVRLEKGASYDEIKAAIKQASEGDLKGILGYTEDDVVSTDFVGDSRSSIFDAKAGISLNNNFVKLVSWYDNEWGYSSRVVDLIRHMASVG >RHN60470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27145623:27146257:1 gene:gene22784 transcript:rna22784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRRKNMAKIFIFVYALIIFLSQFLVITSRTSVPCNSDSDCPETTLRKLWKCVDGFCDVTVKEITKSCFICPKDVISMIRD >RHN78165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12407987:12409590:-1 gene:gene1690 transcript:rna1690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MKDLVRVYKPDIVILIETLVNDNKISDLCYSIGFENHFTVDRTGRSGGIAVLWRISVDCSLINFSQNFINLKIKDQSVGNWRLTAFYGYSDSGRRRESWDLLRSLSTLSDDPWCIIGDFNDHLSTSDKRGGPERPPWLIRGFQDVVNDCNLLDIPLIGYQFTWFKSIGTESSKEARLDRALVTSSWQTLYPNATLQTLVAPISDHTPLLLQLDPLPWRKPYRCFRFNNAWLLEPELDQIVKDNWEHYPPSNIIAKLRHFSEDIASWSKNLVPNFRHLINKQRSAIEDFRNSHADASDPHLISMQNNMATLLLQEESYWKQRSKVFWLSDGDTNSKFFHASASARKRSNNIKKLRDSSGNWITSHDDLCSLIHDYFTDIFMARHSDPLPITSCVQDKVSDDDNALLIQPFSEQEFKEVIFSMHPDKSPGPDGLNPAFYHRFWEDIGGELFLAASNWLSSGHIPPGLNETNIVLILKGDCPETIKDLRPISLCNILYKIISKVLANRLRPLISK >RHN78870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19451785:19455160:-1 gene:gene2535 transcript:rna2535 gene_biotype:protein_coding transcript_biotype:protein_coding MALNNGLRSAAKLIASSESSISKSVSRGFHSTGVKRMGGGHGHSEPEYMHAYHMYNLDKMKYQGLKMSLAVFTAFSIGVAVPVYAVIFQQKKTASG >RHN47820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42788541:42790432:1 gene:gene42466 transcript:rna42466 gene_biotype:protein_coding transcript_biotype:protein_coding MLWEREDVARTVARGVYYAVKTSWLEDCDREKKQVHVLQRYNAYDIVFPKGQKKLVEVSDRIKKKNLEKKELTKAHVDLAQVLVDYAASRRLARTRVCFEIVELKRRQKEMEMEYVRIHDDLREKLGPLYGYQKPKNELKIEAYKLYEEDCKSKGIAAISKHHEMGFKKAMEAFGDIAKESCRCNFVTYLNDPVRKENIENHFKGMILKFPGDKTDPDVAKFVSKFV >RHN76150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48029303:48037730:1 gene:gene12433 transcript:rna12433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bacterial surface antigen (D15) MLARVTSKLNIKVFLFVLKHKKTRFCLTLPKARAINETHSIQPCKCKCNTTTSLHLTHFNIQIPHHHQNDTQIPLTMPQNDDIRFISSSIKIPLPSSKPKPTSPFKTLHSHFTNATNSFSHLIHSFTTHSTQLTRSVLQKSHSLCSTSLSLNAANRSPPLSLSSAEETQLKTRQNEERVLISEVLVRNKDGEELERKDLEAEAAQALKACRPNSALTVREVQDDVHRIINSGYFCSCVPVAVDTRDGIRLVFQVEPNQEFQGLVCEGANVIPAKFLENSFRNGYGKVINLRRLDEAISSINDWYMERGLFAMVSAVEILSGGILRLQVSEAEVNNISIRFLDRKTGETTVGKTKPETILRQITTKKGQVYSMHQGKRDVETVLTMGIMEDVSIIPQPADTGKVDLVMNVVERPSGGFSAGGGISSGITSGPLRGLIGSFAYSHRNVFGRNQKLNVSLERGQVDLIVRANYTDPWIQGDDKRTSGTIMVQNSRTPGTIVHGNLDGNSSLTIGRITGGVELSRPIRPKWSGTAGLIFQRAGVCDNNGVPIIRDRYNSPLTASGNTHDDTLLGKIETVYTGSGEHGSSMFVLNMEQGLPLLPDWLSFTRVNARARKGVEIGPTRLNLSLSGGHVVGNFSPYEAFAIGGTNSVRGYEEGGVGSGRSYVVGSGEISFPMMKPVECVIFSDYGTDLGSGSTVPGDPAGARNKPGSGYGYGLGIRVDSPLGPLRLEYAFNDKKEKRFHFGVGYRN >RHN81526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46732207:46732740:1 gene:gene5585 transcript:rna5585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MVVTFAPTGLTAKVTSVEMRDKPMVDVLFKEKPLMEYLPGDCVRFNVENVDVKDLKSGYVASNSMDDPAKEAANFTSQVVVMNHPGKIENGYAPVLHCHTFHILVKFDELVTKIDRRSGMVIETKPKFLMNGDAGIIKMIPTKPMVVETFSEYPPLGRFTIRDKRQTVAVGVITAVE >RHN73449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16519618:16529808:-1 gene:gene9263 transcript:rna9263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MKLLVEFGCVFMILLSIVLYGEAEVYIVTVEGEPIISYTGGIDGFEATAVESDEKIDTSSELVTSYARHLEKRHDMLLGMLFESGTYTKLYSYRHLINGFAVHLSPEQVETLRHAPGVKSVERDWKVRRLTTHTPQFLGLPTSVWPTGGGCDRAGEDIVIGFVDSGIDPHHPSFTTHNTEPYGPLAKYRGKCEVDPKTKKSFCNGKIIGAQHFAQAAIASGNFNPSIDFASPLDGDGHGSHTASIAAGRNGIPVRLYGHEFGKASGMAPRARIAVYKALYRLFGGFVADVVAALDQAVHDGVDILSLSVGPNGPPAATKTTFLNPFDATLLGAVKAGVFVAQAAGNGGPFPKSLVSYSPWIVSVAAAIDDRRYKNHLTLGNGKILAGLGLSPSTHLNGTFTLVAANDVLLDSSVMKYSPTDCQRPEVLNKNLIKGKILLCGYSYNFVVGTASMKKVSETAKALGAVGFVLCVENVSPGTKFDPVPVGLPGVLITDVRKSKELIDYYNISTTRDWTGRVKSFKGTGKIGDGLKPILYKSAPQVALFSARGPNIRDFSFQEADLLKPDILAPGSLIWGAWSRNGTDEPNYDGEGFAMVSGTSMAAPHIAGIAALIKQKHPRWSPAAIKSALLTTTTTLDRGGNPILSQQYSETEAMKLVKATPFDYGNGHVNPRAALDPGLIFDAGYKDYLGFLCTTPGIDVHEIKKYTNSPCNRTMGHPYNLNTPSITVSHLVRTQTITRKVTNVAKEETYVLTARMQPAVAIEITPPAMTIRAGASRRFTVTLTVRSVTGTYSFGEVLMKGSRGHKVRIPVQANGYSR >RHN76682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:382289:395455:1 gene:gene46 transcript:rna46 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein FAM135, alpha/Beta hydrolase MPHSLKVRPVAMFEAVQEIAIYIHRFHNLDLLHQGWYQLKVTMRWEDNENMSFGIPARVVQYEAPDQGPCSLYGIWRIDDTDNSFSTQPFRIKYARQDVHLCMMVSFNLSLSRFKGLPTTAVILKFELVYAPTVENGADLQASLDDYPAAVHEFRIPPKALLGLHSYCPVHFDAFHAALVDVSVHASLLRAAPYPSALKVPRFAKGEVASIDMKNVTLLKALLTAREILLQELQKLSKAVDQTIEISEFLSKLNNEKILNYVAHANQFATAVGISAQGNQQNGLERGNGALDLLIAEKLNSLSESDLLHCCHSVGDQLFYLWNTFLKFHRDNKTKILEFLRDAWAKDRKAEWSIWMVYSKVQMPHHYINSRNDESSYRGVHRRVSSLLKSSDEPPQTAATRAELHRRSIAQMRINNQSIQDMQMFGDPLRIPIVIVERVTNAPRRTISENSYLRNVILADSHNFEATHNSDTANQESAPQSNARVLKIVVFVHGFQGHHLDLRLIRNQWVLMDPKVEVLMSQANEDKTSGDFREMGQRLAQEVISFVKKKMDKESRCGNLRDIRLSFVGHSIGNLIIRTAIADSMMVPFLRYLHTYVSVSGPHLGYLYSSNSLFNSGLWLWKKLKGTQCIHQLTFTDDPDIQNTFIYKLCKQKTLDHFRHIILLSSPQDGYVPYHSARIESCQAASLDNSKKSRVFLEMLNDCLDQIRANPSERRVFMRCDVNFDATAYGKNLNSFIGRAAHIEFLESDIFARFIMWSFPELFR >RHN57607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40490384:40491086:-1 gene:gene33181 transcript:rna33181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I13, potato inhibitor I MSDECKGKNSWPELVGVEGKVAEATIQSENPLVNAIIVPEGSFVTADFRCDRVRVWVDKDGIVYQVPIIG >RHN73753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19622600:19623035:-1 gene:gene9614 transcript:rna9614 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVRHIYACGIKSPQGRHLRCNKNIVRKISHPSYIISEVKSSTLFQLQDST >RHN64590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60249433:60249952:1 gene:gene27418 transcript:rna27418 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKKFLREGETVAASATSGEIIEAVNAAAVKPVTIFSFKDAFTTNLAAATDFDGFRVNGAFKNA >RHN50190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4956272:4956667:-1 gene:gene34453 transcript:rna34453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MFYGLFMHPLLQHKSISEVNPASMLSIYYMIKMQWNLTGATLSKGFNRVLGTFSAGRLAVGMGELSALAGEWEEIIVISSTFIVGFCATYAKLYPTLKRYEYRFRVFLITYCYMFYSNSRFLSLIYCHEHC >RHN77715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8909133:8913889:-1 gene:gene1198 transcript:rna1198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein TauE MRNVVLFSFILLFALLIFCANRTIKSEERSWIVNEAQSFYYHVKANEHVWPDIRLGWQIIVGTLIGIFGASFGSVGGVGGGGIFVPMLILIIGFDAKSATAISKCMVTGAAISTVFFNLKLRHPTLDIPMIDYDLVLLNAPVIILGISIGVVLSVVFADWMITVLLIIVFIVTSVRAYLKGLDTWNKETIIKEEAVKSLESNATTSEDVEYKYLPSCPDDGPEKEIKQVTVIQGTILSNIRWKEFGLLCFVWFSVLLLQIAKNYTTRCSITFWIFTFLQIPVTVGVSLYQATGLYQGKKITISKQDHGTHWPLHLLALSLSCSLLAGILGGLLGVGSGFVMGPLFIELGIAPQLASASASLGMTFTASISVAQYYLLKRFPVPYALYLTLVSTIAAYIGQKIIDKLVNIFQRASLIIFVLSFTILVSAIALGGVGISRMIQRIQNNEYMGFEEFCY >RHN40974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25232300:25234016:1 gene:gene47232 transcript:rna47232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase, cytosolic MSTVQSITASQKTVDGPSAKDWRGGRAASFNIIPISTGAAKAVGKVLPTLNGKLTGMAFRVPTVDVSVVDLTVRLEKAMIKEESEGNVKGILGYTEDDVVSTDFIGDTRSSIFDAKAGIALNDNFDKLVSWYDELGYRT >RHN51712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23281917:23282682:-1 gene:gene36217 transcript:rna36217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzyl alcohol O-benzoyltransferase MMHRTFFFGPTEVAPIRTLLPPHQLQPHSYFEIISAYFWRYPNLLLPDGYYGNAFVYSVTITTAGKLIENPLAYALDLVKKEKTNVTKEYMQSTTDLMVIKGRPYFNKSRLYLVSDVTRAGFRDVEFGWGKPVYGGPAKGGDGANPGLACFRIAFKNVKGEEGVLKRWMCLSATLIIQNLVLLILPCNSCFIKFQVITRITEYILCLFFMITHLESLIDLKNVFKWETFIIE >RHN77069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3746350:3747415:-1 gene:gene479 transcript:rna479 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNKNLGFITKSWFAWTTNIVFMLLVLFANRTLEIEDKVEEPSSGLLCISECVTCPTICSPPPPKLASSNSNHPPPSLPTQNPSSPPLLTPNAPPFPLITPHPPSSPLLTQNPPPPPLLTSYSPPFPLTTLNSPPPPPPLLKSYPPPSLSLPPPLLTLPPPSPPSPSSHSSGETPPPPLKSSPSSGSGQGQPKVIGGPPQNYPYPYYYYYASSASHFSNHVVLFFIVLLFLHQIFFAW >RHN58184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44410587:44413342:-1 gene:gene33801 transcript:rna33801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nonaspanin (TM9SF) MQMELATMRMRKSLIYWICFLVIGFVQISKSNGFYLPGSYMHTYANGDPIYAKVNSLTSIETELPFGYYSLPYCQPLGGIKKSAENLGELLMGDQIDNSPYLFKMNVNQSSYLCTTAPLNEHEVKLFKQRTRDLYQVNMILDNLPVMRFANQNGVKIQWTGFPIGYTPTDGSADYVINHLKFTVLVHEYEGNDVEIIGTGEEGMGVIAETDKKKESGFEIVGFHVVPCSVKRDPEVMTKLHMYDNISSINCPNELDKYQPIREQERISFTYEVEFVKSDIRWPSRWDAYLKMEGSRVHWFSILNSLMVIFFLAGIVFVIFLRTVRRDLARYEELDKETQAQMNEELSGWKLVVGDVFREPGCSKLLCVMVGDGVQILGMAGVTIVFAALGFMSPASRGMLLTGMIILYLFLGIASGYVSVRLWRTIKGTSEGWRSISWFAACFFPGIAFTILTALNFVLWGSNSTGALPISLYFKLFFLWFCISVPLTLIGGFLGTKAEQIEFPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLTIVCAEVSVVLTYMHLCVEDWRWWWKAFYASGSVALYVFLYSINYLVFDLQSLSGPVSAILYIGYSLLMAIAIMLSTGTIGFLVSFYFVHYLFSSVKID >RHN50279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5764983:5765234:1 gene:gene34551 transcript:rna34551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MKQFTFLFCMASILCISLVCAQSFQMNKCVETERRALLKFKDALIFGRDYVITSWKGEECCKWIGISCDNMTCNQFGLPRLGF >RHN67857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30931333:30933331:-1 gene:gene16100 transcript:rna16100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MAQKLRMAHQEISIALVILVVLCINMNMQGAIADYGGWEGGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEMRCNDDPRWCKPGSIIVTATNFCPPNPSLPNNNGGWCNPPLQHFDMAEPAYLQIAEYRAGIVPVSFRRVPCFKKGGVRFTINGHSYFNLVLVTNVGGAGDVHSISIKGSRTGWQPMSRNWGQNWQSNSYLNGQSLSFQVTTSDGRTMTSFNVAPANWQFGQTFQGAQF >RHN41818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33296484:33299802:1 gene:gene48180 transcript:rna48180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDLSSPSSPSLSRSTEDAVEEIMKTHRSLPARPRTEEVEAALTVVENADKEESSGLEVFSSSSSSRKSKGSSSMSEELLTILQEMRKSIVSFECKEKKRDALKLLELEKVHVLFDDFILKASNCISSSNKSRDAASSSSSKNFVSSSSLFDKEGVEIKEKKGSKLALFTKDDSYLVNNKAMSTFKFNTDAYAIGHKLSSKPSIVDNSSMKHASTSGEDNGGENKLSLIKLANLIEISAKKGTCELNLQNKLKDQVDWLPDSLGKLSTLLTLDLSQNRIITLPFTIGNLSSLTYLDLHSNQITQLPDSIENLINLTHLNVSANMLSSLPHSLSKLARLEKLNLNSNQLSLLPDSIGSLVNLKILNIETNDIEEIPHSIGHCCSLKELCADYNRLKALPEAVGQIRSLEILSVRYNNIKQLPTTMSNLINLKELDVSFNELEFVPESLCFATKIVKMNVGNNFADMRSLPRSIGNLEMLEELDISNNQIHALPYSFRMLTRLQVLRVEENPLEVPPRHVVEKGAQAVVHYMAELVEKGDVKSQPLIKQKKSWTDQICFFSKSNTRKRGGVDYIKA >RHN68183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33471785:33472490:1 gene:gene16498 transcript:rna16498 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQKHSSAQSVNWSQYTPVQSTPSTFFIGNITNAASKKTAKGASLTEAIVIDQKKEPATTVYFLLMSLVSLVVLH >RHN46788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34902880:34903449:-1 gene:gene41316 transcript:rna41316 gene_biotype:protein_coding transcript_biotype:protein_coding MACPFEPTSHQWRATFYVHQQTFNITTPISIACNQTHYSFTTHRHKIYAFTFPTLLGFLQVQTAGNNTISPFQVHPLTTKVCLFGILGYYLSFRAWICWPSYANHFSIIMTIFGSFSLASLVSMLLSDSCWYIKYLFYILLVVVELHQMVKILYDKCVRRSILCWRLWHTKSVLVLPITYMDLIETNGQ >RHN58322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:764451:765562:1 gene:gene20223 transcript:rna20223 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKCTFLARGGGGGGGGGGGGGGGKEQVTPSSKIESSTRSIL >RHN70751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53725105:53733374:1 gene:gene19366 transcript:rna19366 gene_biotype:protein_coding transcript_biotype:protein_coding MASISGSQSGGKMVRLRRTAVARNRTPYSRPSPPQPQLESPNWLSRFVISPTRFIASGAGKILTSVLDLESSPASSSSATSSSSSYQDSVAAEVGELDDVSYNPFGGEAALKEGGTSKPNDLSSKELQPLVANSKSKRAIEQLLMQETFSREEGDRLIKIIRSRVVDSPANDDRITRPRDISNMTLATGSPELCSAAVMEAKKWVLEKKSGLDSNSDLGYRSHSLNLIALPQAPKDEGSPVDVAKSYMRSRPPWSSPSIDHTKPPTLSGIQLFKEETPHIFGGNSTSSLKFKRDSSATGSWSIQDEIRRVRSRATEEMLRTLPSSKIDWSALAADRNNVNSSAIEDIGARSGGKVHNFTNLDKTANLASGLGSQVIPDLQSKLDGHQPESVLPDPANIISEQNQGFVAVPKTKGTQDDCHETTTSVLRDGSSDNMHRDGNLVKVNGINDKHQPGPVEETREVSDSRLQDGNLSEFKEKVGAEDALSNGFPSSGPSVCVGNVTEQNSKTLNNEPSTVNSSQERIAQGFLEQETCKTSHGSTEVPDVSVDGIVASKDSGSQNSSSVQYEIQQNSSQPGSESGLVATPTSIANRKSKRLARYNRRGSKGVK >RHN39884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11376514:11382028:-1 gene:gene45966 transcript:rna45966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MSPPKPKRSFPPISECNGKTSSSSPRESVAADLDGTLLISRSSFPYFMLVAVEAGSLLRGFILLLSLPLVIIAYLFISEALGIQILIFISFAGLKVRDIELASRAVLPRFYAADVRRESFEVFDKCKRKVVVTANPTVMVDAFVKDYLGGDKVLGTEIEVNPKTKKATGFVKKPGVLVGDLKRLAVVKEFGDEVPDIGLGDRKTDHDFMSICKEGYMVPPSKSAKPVPLERLKSRLIFHDGRFVQRPDPLNAIITFAWLPFGFILSIFRVYFNLPLPERIVRYTYELLGIKLVIRGNRPPPPSRGTPGNLYVCNHRTALDPIVIAIALGRKVSCVTYSVSKLSRFLSPIPAVALTRDRAADAARITEILEKGDLVVCPEGTTCREPFLLRFSALFAELSDRIVPVAVDCKQNMFFGTTVRGVKFWDPYFFFMNPRPVYEINFLDRLPEEMSVKAGGKSSIEVANHVQKVLGDVLGFECTGLTRKDKYLLLGGNDGKVESMYGGKK >RHN49344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54138994:54139818:1 gene:gene44164 transcript:rna44164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MSWFGDSVRRKVGDGSDTLFWFHWWCGGAPLREQFPRLFDLAVDKYTTVANMLPLRLSRGGEGWSWRRWLWAWEEIVLEEYSWIWLPNPIGGYSVRGAYEVLTATGNSITDSAVDLIWHHQVPLKVSIFAWRLMRDRLSTRSNLASRGILQLDTALCVTGCGSIETSDHLFLSCPFFAELWEQLRLWIGCVGVDSNIISNHFVQFTCLSGFAKARRSFLQLIWLLTTWVIWSERNNRLFKNVVTEAPRLLDKIKLLSLV >RHN41200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28088050:28089810:-1 gene:gene47493 transcript:rna47493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MISLIRIHVGSNSLNSRIPLSLWSLRDILEINFSSNSLIGNLPPEIGNLRAIILLDLSRNQISSNIPTTINSLLTLQNLSLADNKLNGSIPKSLGEMVSLISLDLSQNMLTGVIPKSLESLLYLQNINFSYNRLQGEIPDGGHFKNFTAQSFMHNEALCGDPHLEVPTCAKHVKKWSMEKKLILKCILPIVMSAILVVACIILLKHNKRRKNENTLERGLSILGAPRRISYYELVQATNGFNESNFLGSGGFGSVYQGKLLDGEMIAVKVIDLESEAKSKSFDAECNAMRNLRHRNLVKIISSCSNLDFKSLVMEFMSNGSVDSWLYSNNYCLSFLQRLNIMIDVASALEYLHHGSSMPVVHCDLKPSNVLLDENMVARVSDFGIAKLMDEGQSKTHTQTLATVGYLAPEYGSKGIVSVKGDVYSYGIMLMEIFTRKKPTDDMFVAELSLKTWISGSLPNSIMEVLDSNLVQITGDQIDDILTHMSSIFSLALNCCEDSPEARINMADVIATLNKIKTLVVGANTV >RHN62379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43026581:43026925:1 gene:gene24948 transcript:rna24948 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGMQEKGSVSLAVDYEFAARSKPAKKFFTKYKGKYTFTREMTIGYGNLFTIPWTYSWRMIAFILLTASPPQSRADHHKPTGCTSVNLVVLFLISFRSSLPLGQGIIIWDLRL >RHN52248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33038284:33043934:1 gene:gene36900 transcript:rna36900 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PIN4 MITLTDFYHVMTAMVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFIASNNPYKMNLRFLAADTLQKLIILCLLAIWSNFSKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEFRGARLLISEQFPDTAGSIVSIHVDSDVMSLDGRTPLETDAEIKEDGKLHITVRKSNASRSDIYSRRSQGLSSNTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMGGGNGRNSNFGANDVVNNYGLSANSRGVTPRPSNYEEEANNNAKKFKNYPAPNPGMFSPTNNNNGSKNLGSNVSVNAKKSNGQSQQKQEDLHMFVWSSSASPVSDVFGGHDFGAHDQKEVKLNVSPGKVEGHRETQEDYLEKDEFSFGNKGMEREMNQHEGGEKGGDGKSKVMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFRYHIEMPAIIAKSISILSDAGLGMAMFSLGLFMALQPKIIACGNSIAAFSMGVRFLVGPAVMAAASFAVGLKGVLFHVAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILMGL >RHN52288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33502028:33505501:1 gene:gene36946 transcript:rna36946 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTPLSSIISKILLLGDLLFLALSAFCHRQNTTIHLIRNLLQADLFLCTFKMILDEVHLNIQRILLADGGKVWNKS >RHN80195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36030890:36035542:-1 gene:gene4093 transcript:rna4093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small nuclear ribonucleoprotein D1 MKLVRFLMKLNNETVSIELKNGTIVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEEAPRVKPKKPTAGKPLGRGRGRGRGRGRGRGR >RHN49907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2196010:2198393:1 gene:gene34137 transcript:rna34137 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAHRICEVAQIKSGALMVGKNEIVYLEFIPILCLCMLLFCVQVTFQEMISFFSKLVVPALSRTM >RHN49409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54624934:54625931:-1 gene:gene44236 transcript:rna44236 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGIKLHPRKAAAFLVAVFVLFPVKVVCVETLGALTTNDESDLSFLFGGRGVEGTTSSALTFCRLHGNILVLISCRL >RHN47190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37766138:37771843:1 gene:gene41756 transcript:rna41756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Smr domain-containing protein MMTVSAESSLKKMSWSRGKQPSGWTAFDLKQKMKNSIDSEVDKDPFPPIGSSSSMRHGDKFVKKKHVPLKPFSSVLVPNVNFPPLKEAGNGQKAVLGSDSCGTTAQEDVNGPTKMLKEQHPWAENSLIDDILAAVNNNVDKAVALLETMASAVNFEEHKVLSNPHPRPLISDDVTRVVKTGESLALEMVKDDILFHSNIVGQLQDNNKDLENRYAFSGQKFSDVMDLLNSVPVEPEWEEDDIYLSHRKDALKTMRSASRHSKAAANAFLKGEHFSAQQHSARAREEWHNADKLNSEAATKILSIRNSDNDISRLDLHGLHAAEAVQALQEHLRRIESQGFSKSLAPSNNAKKNGDAHSTLGSLNLMDWENLDKQVPLRLRSLAVHVITGVGNHSRGQAALPTAVRSFLSENRYRFEEMRPGVITVWPKFRQS >RHN41750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32631307:32632885:1 gene:gene48103 transcript:rna48103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MKRYMTIANSVKTFFRTVQSSPLQLRSITTLHSFVSPNNFFYYGSFRYINSAPTHAEEASVDNRIPATIITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDGSLVASHSSVNGEDIIMVNNGCLCCTVRGDLVKMLLELVRKKRDKFDHIVIETTGLAKPAPVIETFFSDELVSEYVKLDGVVTLVDCKHAMQHLNEVKPRFVVNEAVEQVAYADRIILNKASFWL >RHN57147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36840557:36844400:1 gene:gene32649 transcript:rna32649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MCSGSKSKLSSSDCAMEDKLQMGIHNSSILLELSASDDFDAFKREVDEKDLDVNEEGFWYGRRIGSKKMESEKRTPLMIASMFGSTRVVEYIVSAGKVDVNGVCGSDMATALHCAVAGGSEFLLEVVKLLLDAGADADCLDASGNKPVDLIAPAFNSSSKSRRKVLEMFLRGEVSAELIQGEMDAPFSLKKEGGDKKEFPIDISLPDINNGVYGSDEFRMYSFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYPYSCVPCPEFRKGSCQKGDSCEYAHGVFESWLHPAQYRTRLCKDETGCNRKVCFFAHRPEELRPVYASTGSAMPSPKSYSASGMDMTSMSPLSLSSSSLPMSTVSTPPMSPLAGSSSPKSGNMWQNKLNLTPPSLQLPGSRLKSALSARDLDLEMELLGLDSPRRQQQQQQQQQLIEEIARISSPSFRNSEFNRIADLNPTNLDDLLASADPNLLSQLHGLSMQPSTPTQQMHSPSAMQMRQNMNMGQNMNHLRASYPSNNMPSSPVRKPSPYGFDSSAAVAAAVMNSRSAAFAKQRSQSFIDRGAATHHLGLSPPSNPSCRVSSGLSDWGSPTGKLDWGVNGDELNKLRKSASFGFRNNGPAAPTASQHAEPDVSWVNSLVKDVPSDNSGVYGAENMRQLQQYDLSRDVLPPWVEQMYKEQEQMVA >RHN56150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28192886:28193316:1 gene:gene31507 transcript:rna31507 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGVIWLVRLQFVSSICCISLYYLSQWCSVALFLCSALVYCPGHSKSVWTVVPVLILSGGVVRWQALFLALGQFPLLFCFK >RHN63086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48280168:48283925:-1 gene:gene25744 transcript:rna25744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome-c oxidase MATTLMRSGFIRNVLRRGGSGASPAPKRNFSSSSGHHDDAYETAKWEKITYLGIVSCTGLAVYILSKGHHHYDEPPAYPYLHIRNKEFPWGPDGLFEIKEEHH >RHN54552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10442597:10446095:1 gene:gene29616 transcript:rna29616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (+)-abscisic acid 8'-hydroxylase MELSIMLCFFTSILFIVLFRIFIKSFVSKRHDLPLPPGSMGWPYIGETFQLYSQDPNVFFASKIKRYGSMFKSHILGCPCVMISSPEAAKFVLNKAQLFKPTFPASKERMLGKQAIFFHQGEYHANLRRLVLRTFMPVAIRNIVPDIESIAEDSLKSMEGRLITTFLEMKTFTFNVALLSIFGKDEIHYREQLKQCYYTLEKGYNSMPINLPGTLFHKAMKARKELAQILAQIISSRREKKEEYKDLLGSFMDEKSGLSDEQIADNVIGVIFAARDTTASVLTWIVKYLGENISVLESVIEEQESILKSKEENGEEKGLNWEDTKKMVITSRVIQETLRVASILSFTFREAVEDVEYQGYLIPKGWKVLPLFRNIHHSPNNFKDPEKFDPSRFEAATKPNTFMPFGSGIHACPGNELAKMEILVLLHHLTTKYRWSVEGTKNGIQYGPFALPQNGLPITLYPKK >RHN56043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27137237:27137808:1 gene:gene31365 transcript:rna31365 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGFGLAETYVMQKMYNEKMKKIAHEEKQDVSPGMVRKDSIHKTSIGCFSFFPKHQHRNISRISDSNDS >RHN78600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16568140:16570148:-1 gene:gene2225 transcript:rna2225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MQTCPHLLYIVHTFHNLTQIEVFFHGYKGKIWRGKWRWMLEVLQQRPKLEHLAIHQEIENNLMENVGIYETNWEDPQIVPHCLSSQLKTCLFRDFRGRKNEIQFAKYVMQNSKAFCTMTIHSVCSIDLNGKYQMLQKLAMCGRACKLIFD >RHN79349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27883659:27884232:1 gene:gene3127 transcript:rna3127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MTPSSYLSLFLTISVIFFSHAIPAKSSPKLYQSVCKESRHKDFEQRCLKTLEAYPQITSTEDYLTFSRLYLKIVAIKNAGKAQHQVKEMKNKYPSSGVIKYCAESYSGAVNELKDAFSEEDPDLIIMSVQYAYYAIGACEHVLAQEKSVNTSSIYALNNEIMFLSDIACIASRHV >RHN74757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36449061:36453068:1 gene:gene10869 transcript:rna10869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-oxo-beta-amyrin 30-oxidase MATITSIILFTLLTLFFILAWRILNWLWLKPKKLEKFLREQGLKGNSYRLLVGDMNDLLKMRKEATSKPMNLSDDIVPRVFSFVQQSVAKHGKNSFIWFGPTPRVNITDPDMIRDVFNKMYDFQKPNANPLGKLLANGLASHEGEQWSKHRKIINPAFHIMLPIFLKSCDDLISKWEGMLASDGSCEMDVWPFLQNFASDVISRAAFGSSYEEGKRIFQLQTEQAKLTMSLMTKVYIPGWRFLPTTTHRRMKEIERDVKASLTDIINKRERALNAGKATKDDLLGILLESNHKEMEEHGNNKNVGMSLDDVIEECKLFYFAGQETTSVLLVWTMVLLSRYPDWQARARDEVLQVFGNNKPEFDGLSHLKIVTMILYEVLRLYPPVIALTRSAHKDMTLGNLTLPAGVQVFLSIILVHHDIELWGEDAKVFNPERFSEGVLKATNGRNSFFPFGGGPRICIGQNFSMLEAKMAIAMILQRFSFELSPSYAHAPATVITLQPQYGAHIILRKVEL >RHN44962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10304068:10304731:1 gene:gene39151 transcript:rna39151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MIYSRCAACKSQRRRCPPDCIFSPYFPPNDPQRFSSVHRIYGGSNVGKMLQQLPHYVRHQAANSMYLEAQCRVQDPVYGCVGIISKLSQQIQDTEVELAKIKTQIAYHKLHNQQFDS >RHN61001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32204928:32205639:1 gene:gene23408 transcript:rna23408 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKWKLSKKEKSSNTSSTKSSLFSRSCSTRGSSSNSPLLLKSFSQKSSSTSNNSGNLSRSYSQKNPSIGKKCTNIAKEQKARFYIMRRCVAMLVCWHKHGD >RHN47390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39384347:39398267:1 gene:gene41983 transcript:rna41983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MTSLLPSPQLISTHPFTKLTSPLKPRHLFFLNHPFHHKTIKKLLFTPPFYPLNAVHTQGLYAPSSPSKTDKLDPENDPISTLNQRIRQEYGKRKVSRNVMDSEEADKYIQMVKEQQQRGLQKLKGDREGKDGTFSYKVDPYTLRSGDYVVHKKVGIGRFVGIKFDVSKNSIEPTEYVFIEYADGMAKLPVKQASKMLYRYSLPNENKKPRALSKLNDTSAWEKRKTKGKVAIQKMVVDLMELYLHRLKQRRPPYPMSPVVAEFAAKFPYEPTPDQKQAFIDVEKDLTERETPMDRLICGDVGFGKTEVAMRAIHCVVAAKKQAMVLAPTIVLAKQHFDVISERFSVYPDIKVGLLSRFQTRSEKEAYLEMIKNGDLDIIVGTHSLLGNRVVYNNLGLLVVDEEQRFGVKQKERIASFKTSVDVLTLSATPIPRTLYLALTGFRDASLISTPPPERVPIKTQLSSFSKDKVISAIKYELDRSGQVFYVLPRIKGLEEAMEFLEEAFPDVEIAVAHGKQFSKQLEDTMEKFTLGEIKILISTNIVESGLDIQNANTIIIQDVQQFGLAQLYQLRGRVGRADKEAYAYLFYPDKSLLTDQALERLAALEECRELGQGFQLAERDMGIRGFGTIFGEQQTGDVGNVGIDLFFEMLFESLSKVEDHRVVSVPYHSVQVDLNINPHLSSEYINHLENPMEIINEAERVAGEDIWSLMQFTENFRRQYGKEPRPMELILKKLYLRRMAADIGVTRIYSSGKTVFMKTNMNKKVFKMMTESMTSDIYKDSLVLEGDQIKAELLLELPKEQLLNWIFNCMAELHASLAALIKY >RHN79534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29939263:29947305:-1 gene:gene3338 transcript:rna3338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MSSVRYEKEKGVNVQVLLRCRPFSEDELRSNAPQVVTCYDYNREVSVSQNVAGKHFDRVFTFDKVFGPSAKQKDLYDQAVVPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGESKKTKCGPNGELPSEAGVIPRAVKQIFDTLEGQNAEYSVKVTFLELYNEEITDLLAPEELSKASLEEKQKKQLPLMEDGKGGVLVRGLEEEIVTSASEIFTLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVISALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIRNKPEVNQKLMKTTLIKDLYGEIERLKGEVYAAREKNGIYIPKDRYIQEENEKKAMRDQIEQMNIALDSHQKKLEELQSKYNDQISLCSHLNTKLDATEKNLKQTSIMLANSEEELKKCRYTLKEKDFIISEQRKAENALTHQACILRADLEKAVQDNASLVLKIGREDKLNLDNRAVVNNFQVELTQQVGSLRNTVATSLSRQNEHLECAENLCHSFMGIHDKEVADLKQKVTTLRALYISHVEAMQNVVRLHRSGSEASFQELSSLISSNGHSIEEFLASEATKAGSILDDLQASLSNQQGELTLFASELRHRLSANAEKIKDISEFTHEFEDTILKEAKSLENFASAADEMQMKSIAEFKKAYEEQSRSDVEKLIADMTSLLSNHASRQIDLVDTKLADLSKNGIASKSFVDEHVSSMGDIVSCSKRKWHGICTQAEKDARDTAEFSAAKHSCMEELLQKSINTAQSAFRNTKKTHEVINEIGAKHISAAVSLIRYATDSNMQHDIEISSARITAEEDVMKNSDDVLQQFDDMHVQERDSVSGMLNVVKTHVNTLETFREDHSRIATSIEEKASEICQKQYRDYEPSGTTPIRCELEVPSRAIIESLRSLPMETLVEEFRENNSNESFDIKELKPSLIPRSPLSQVNATKGRTRHQ >RHN56063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27309515:27310749:1 gene:gene31389 transcript:rna31389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan 1,3-beta-glucosidase MLPLNAIQTWNHVFSWRPVNDHWSSFIVEDDFKFIARNGLNAVRIPVGWWIASDPTPPWPSNCSIECLDRHFLYTKNPSLYAVELLNEPLSLQV >RHN79780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32080015:32080251:-1 gene:gene3616 transcript:rna3616 gene_biotype:protein_coding transcript_biotype:protein_coding MRENEGKKCYRRRETEEKSEPPLLSAARARYAPVVPLLLENPVRIWCWCDDSRWF >RHN78835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18849910:18872554:-1 gene:gene2492 transcript:rna2492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MTITTTPPLEQQNLEEDTEMLVPNSDAVVVEGPQPMEVVQAENTSTVDAVAVEDPPIGRFTWTIDNFSRLPKKHYSDVFTVGGYKWRILIFPKGNNAEHLSMYIDVADAGSMPYGWTRFAQFSLTVVNQVHSKYSVRKETQHQFNARESDWGFTNFMPLAELYDPSRGYVVEDRCILEADVNVRKDLDYWAHDSKKETGCVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECMNVDYKSTRKESFYDLQLDVKGCTDVYASFDKYVEVEQLEGDNKYHAEQYGLQEARKGVLFIDFPPVLQLQLKRFEYDFARDTMVKINDRYEFPLQLDLDRDDGKYLSPDADRSIRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSNQWFKFDDERVTKEDTNRALEEQYGGEEELPLTNPGFNNSPFKFTKYSNAYMLVYVRESDKDKIICNVDEKDIARHLQIRLKKEQEEKEKKKKEKAEAHLYTIVKIARDDDLHEQIGKDIFFDLVDHDKVRSFRIQKQMPFTIFKEEVAKEFGIPVEYQRFWMWAKRQNHTFRPSRPVTAQEEAQAVGQLREVSNKANNGELKLFLEIEMGQDLRPIPPPEKLKEELLLFFKLYEPSSQKLRYVGRLFVVGSRKPVDILKKLNEMAGFDPDEEIDLFEEIKFDPKIMCEHVDQKSTFRDNQLEDGDIICFQKSPPAGDGQQQYCYPDVPSFFEYVQNRQVVRFRFLEKPKEDEFSLELSKLHTYDDVVERVSQHLGLNDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSEMLVHYNQASDILYYEVLDIPLPELQNLKTLKIAFHHDAKDEVMIIRLQKHSTVADVINDLKSKVDLSHPDAELRLVEVFNHKIYKIFHVNEKIENINDHYWTLRAEEIPEEEKSLGPHDRMIHVYHFLKDTAQNQMHVQNFGDPFFLVIREGETLADVKLRVQKKLQVPNEEFLKWKFAFVSLGRPEYLQDSDIISSRFQRRDIYGAWEQYLGLEHTDNSPKRSYTANQNRHAFDKPIKIYN >RHN66713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17162701:17167585:-1 gene:gene14772 transcript:rna14772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative telomerase activating protein Est1 MMIVKMDNMSAPSSRERAKHLYEKNLELESKRRRSAQVRVPSDPNAWQQMRENYEAIILEDHAFSEQHNIEFALWQLHYKRIEEFRAYFNAALSSAKSNPSQGGKVHARPDRITKIRLQFKTFLSEATGFYHDLIMKIRAKYGLPLGHFEDADNRIVMEKDGKKYADMKIGLVSCHRCLIYLGDLARYKGMYGEGDSINREFTAASSYYLQAASILPSSGNPHHQLALLASYSGDELVVIYRYFRSLAVDNPFTTARENLIVAFEKNRQSFSQLPVDAKVLAVKESSARPTGKGRGKVEAKLSTKAASVDASPRIEGASSIRETSKYFCTRFVRLNGILFTRTSLETFNEVLAVVSAGLRKLLSSGQDEELNFGIDAADNRLFIVRIVCIIVFTIYNVNKESEGQTYAEIVQRAVLLQNAFAAAFQLMGYIIERCAELRDPSSSYLLPGILVFVEWLACYPDLAKGNDVDENQATLRSKFWNHYISLLNRLLLVGPMLVINEDESCFNNMSRYEEGETENRLALFEDFELRGFIPLLPAQTILDFSRKHSLGNDGEKERKPRVKRILAAGKALANVVSIDQKVIYFDSKEKKFTIGIKPQASKDFVLTTSYSGMLNAEDLLQENPGDESIVEVVQLNQDQYMEGDEDDEVIVFKPVVSETRADAVVSSWAPHDGLEPALKAFGGDLKFHVNSTSNPLNNLNLQTSPVSVSSMMPQNLQPQQTSRWIEEDISLKNNFKGLALFENRHVMKDGLQEAVGFPNHAALPIPIQQSVAADSNGVFYGLPKASESVVQSKVDAIASSGVITDNLSGMTTSALQATLRKSPVCRPARHLGPPPGFSSFPSKQGSEYSISDSISGNPIMDDYGWLDGYHLDSSSNDLGPTGPLAYSQSNSHKVSNNGFSGTVSFPFPGKQFPSVPLQVEKQNGWHECQTYEHLKSHADQQLQPQQQLTNGNQQFPPHPEKFQGQSIWTGRYFV >RHN51869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27375250:27375776:1 gene:gene36424 transcript:rna36424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding pseudobarrel domain-containing protein MRFYRSFVHILSDADISSGYLVLPWFGFGVDALPKTEGRLSIIDHCGSVWRCPMDFVRMGDSIFCRIGGDWKPLCIARRLTKGYAIKLAITDNSRSGVLHIRYAPLNCVHRGFVRSRNAADARHVYRVNHYFADCPLKKKCGAS >RHN45614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23697325:23697942:-1 gene:gene39992 transcript:rna39992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MHHTHTKIWLFELNGDEIQTTVTDDEKLIDDHISSFLRPTNNHGTKVIGIDTEWRPIIYNNHDETRPAIFQLCDGYSCLILDLHSQFEVPLSLLNFLRQPNYTFVGCGIKDNFVNLEKHHGIGCRNAVELGTLAATLMNAPHLSFCGVDELAFVVDKLDLRKYRPLSMNFNWGDYPLKEELAKLATVNVYSYHKIGSKLLGRYIP >RHN55718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21657079:21657604:1 gene:gene30946 transcript:rna30946 gene_biotype:protein_coding transcript_biotype:protein_coding MDTREENHIVLASIYRPSSSYIHMPISILFLDVEKESSTLHLSRPLVGFFHLVPDVLLAAPGYWLPDTCFTPAVCYFRFAVSRSSKICHARSLTAALFSDTFCHCFRF >RHN39238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5188400:5190460:-1 gene:gene45242 transcript:rna45242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MQDQTTFQPMKPNFPEQEQLKCPRCESNNTKFCYYNNYNLSQPRHFCKNCKRYWTKGGALRNIPVGGGTRKVTKRSSNSKRSTTPSSSSPSASTTSSSAAKVSASVSETDPTQIHVDPAERNFSGGSFSSLLASTERFGNLFEGLNSNGSGLKMVEMGEFGENLNCDPVVNTDLGQNQGGRNGESEIFLGLQNGGSNCWNDNHGWSDLAIYTPSSTYQ >RHN71578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1155633:1156916:1 gene:gene7192 transcript:rna7192 gene_biotype:protein_coding transcript_biotype:protein_coding MYFCRTKAEPPIYYLPNKPLDEEDVSTNKSKEEDFLEWKNARREELSEYQKQIGDQYLANVEKDLERWQNARNARKGNNDQNLQETMDKELDTHRLEHGPKKRKIPDGTNNEDDDDDVEDINVGEDDMMDDELDDDSGRRIDETIKTETSNATADPAAATDNEDLK >RHN67349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26339213:26341482:-1 gene:gene15529 transcript:rna15529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDHTMFSMALLVLYLPYIFSSLLLILIVQKIRKKKSYSTYNIPPGPRKLPIIGNIHNLLSSQPNRRLRDLAKKYGPVMHLQLGEVSTIVITSPECAKEVMKTNDINFGSRPHLLSSEIMTYNGTNIAWSPYGNYWKQLRKICTLELLSLKRVNSHQPIREEVLSNLVRWIVSQNGSPINLTQAVTSSVYTIVSRSAFGNKCKDQEKFISVIKQSIKVSAGFNLGDLFPSTKWIEHVTGLRPKLESFQRQTDQIFENIIKDHKEAKHTKPKEDEGEVEEDLVDVLLKYEDGSNLDFSLTKDNIKAIIMDMFGAGGETSASTIDWAMVEMVRDPRIMKKAQDEVREVFKMKGRVDENCINELNYLKSVVKETLRLHPPAPLLLPRECSQACDINGYHIPIKTKVIINAWAIARDPNYWTEPEMFYPERFIDSDIDFKGSNFEYIPFGAGRRICPGSTFGLRNIETTLAMLLYHFDWKLPSGIKSEELDMTEEFGVTMKRKNDLLVLPLSYHPFPVIRA >RHN66574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14149465:14150114:-1 gene:gene14587 transcript:rna14587 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCNRWRWRRSMRCHGRRKERFFFAGEFFRGGGVSGVVQRRSRWCQREPYEVPGVSRNSTGNCHMVKTHELGFCITSSF >RHN54055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6576589:6583229:-1 gene:gene29034 transcript:rna29034 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEYICVFNSLIDAYFRSLLWIGPALLFSTATAISILGWDGKVRSILSISMPQAVLVGALNDRLLLASPTEINPRQKKGVEIKSCLVGLLEPLLIGFVTMQQSFKQKLELSEILYQITSRFDSLRVTPRSLDILALGSPVCGDLAVSLSQSGPQFTQVMRGVYAVKSLHFSIALSVLKDEFLHSRDYPRCPPTSHLFHRFRQLGYSCIRFGQFDKAKETFEVTEDYESMLDLFICHLNPSAMRRLAQKLEEEDLDSELRRHCERILRIRSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTLATAKDIPKWELAAEVTPYMRTDDAAIPSIVLDHIGVYLGSIKGRGNVVEVKEDSLVKAFTLAAMDAKANGLEVSPIKSLPNQLKGLDKTQGGSLMGLESLNKQPASSSADKQAKAAEEFKKSMYGAAGDGSSSDEEGVSKIKKIHVRIRDKPIDSSVDVNKIKEAASKFKLAGGLTPSRSRSLTSGPQDFGQFLALPPVTTGMAARTVSTPGDVFGTETLTRPESSSQPITGAVGRGPIPEDFFQNTVSSLQVAATLRPAGSYLSKLTPGVESSKETANQFNTSEADVGDVQPKSVVVSNEHIGLPDGGLPPQSSDQAAGIPPSQLQASTQPLDLSILGVPNSADKPAQAGSSPSSVRPGQVPQGAEASVCFKTGLAHLELNNLSDALSCFDEAFLALAKEVSRGSDIKAQATICAQYKITVTLLQEIGRLQKVLGSRAISAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMEVQNYAYSKQMLELLLSKAPPSKQEEFRSLMDLCVQRGLNNKSIDPQEDPSQFCAATLSRLSTIGYDVCDLCGSKFSAVNAPGCTICGMGSIKRSDAHAGSVGPVPSPFG >RHN58473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1985743:1987822:1 gene:gene20390 transcript:rna20390 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIPSRNHTYQRSRVCQTGIYILKFISLHKPLRHCQLKGHLIPHKKKNCYHSCIVKIIAPIAFLTNALGLTWVFTQEN >RHN79550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30049831:30051536:-1 gene:gene3356 transcript:rna3356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MNTNGIYCFNRLKGAAEAGDIDLLYTVIQDDPYILERIDLIPFVETPLHTAASMGHLQFATEIMNLKPSYAWKLNQQGFSPIHLAMQNGQKSFVSQFVNINKELVRVQGREGLTALHFASQIGEVDLLAKFLLVCPNSIRDVTVRCETALHIAIKNEQYEALQVLVGWLETNKQRGAVELENGILNKRDDAGNTILHVSALSIEPQKLLLLLSTGINFEAKNLENKTALDIASTPKIKSILLRAGAKSSMEVADAPTLAHMLRSKKTTMYKLLIQTIRIRSDMTEEQRNIWLIVAALIATTMYESALTPPGGVYPSSAGDNSLNITSSNSTISSTQGNVGKSVLSEAIFCLFSVSNMLSFYTSITTIIIMTSSVMQCMVVYMLMYWFSLCYMISMLKISPAYASAIFVKIPFVVLITGPFVCLTFVVYSKLQHERNAKKLDRS >RHN71609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1341228:1343427:-1 gene:gene7225 transcript:rna7225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L44e MVNVPKTKKTYCKSKECKKHTLHKVTQYKKGKDSIAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHAIKRCKHFEIGGDKKGKGTSLF >RHN54141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7247619:7249304:1 gene:gene29131 transcript:rna29131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MVSKGPTFILWHFVLAVVITIFFTSKFSFAKQTKLMGLKMNMIDRCWRPNPEWRKHRQQLATCSVGYAGKMTNNIGKGIIQYKVTDPNDDPINPQPGTLRYGASVIQGKVWITFKKDMNIKLIKSLLISSFTTIDGRGVNVHIADNACLMIFKATNIIIHSIRIHHCKAQTPGMVMGPNGKVISLGQVDGDAIRLVTASKIWIDHNTLYDCEDGLLDVTRGSTNVTVSNNWFREQDKVMLLGHDDGYVRDINMKVTVVYNHFGPNCNQRMPRIRHGYAHVANNLYLGWMQYAIGGSMGPSLKSESNLFIAPKVGSKEVTWRKIGHGNGDKWEFHSVRDAFENGASFAISKGSRVPKPNYSKEQGFKVVDVKSVRTLTRSSGAFQCSRTSIC >RHN40702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19676029:19683704:-1 gene:gene46896 transcript:rna46896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MVLCSAQRKTQFLLLFTYLCLWCTDNTSLNAKAENNDSLKPGDKLNATSKLCSKQGKYCMNFEQLNDFDNLAYLSISPGGNDWHVWIANRDQPVDMDSAVLSLNQSGALKIESKFGKPITLYASHQPLNRSTNVATLLDSGNFVLKDTQKNTVLWQSFDHPTDSLLPGMKLGLNHKTGENWSLVSWIANSKLLPASGPFRLDWEPIGKELVIKHREKVYWKSGKLIRHNKFEHIAEDKEVQYTSVSNESEDSISFTLSTDEDFTIWALLGNGQLINRNRKGDTLARADVCYGYNTYKGCQKYEDKPACRNFGDVFTSKIGYPNKAMLNVIGNASYSISDCQAMCWRNCRCFGFKNYHTNGTGCMFFLSSEGHNIAAGGQEFYLLQENMQAGKHNRLWIWISVAIATALLIISISILYRARMKRKYMLGESDRNTMGTEIQHLEDSKASSSGNDLEVDLSKGDDLKVFSYSSIKVATNDFSSENKLGQGGFGSVFKGILPSGQEVAVKRLSKSSGQGIVEFKNELNLICKLQHTNLVQLIGHCIHEQERILIYEYLPNKSLDFFLFDSTRKKLLDWNKRFSIIEGIAQGLLYLHKYSRLRIIHRDLKPSNILLDESMNPKISDFGVARMFMKQESEANTDRIVGTYGYMSPEYAMEGIFSTKSDVYSFGVLLLEIISGRKNNSFYCEDNPLNLVGHVWELWKEGEVLRLVDSALNDFFSQEEVLRCVHVGLLCVQEHADDRPNMSNVISMLTNKSRVTVFPKKPAYYGRTTFEEETCFEEFTGDFTYSNSCSHSQLIQIDV >RHN53551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2461504:2465371:1 gene:gene28469 transcript:rna28469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MTSNTTSGNVCPPPMQPASNGVFQGDHPLDYALPLAILQICLVLVVTRGLAYLLNPLRQPRVIAEIVGGILLGPSALGRNKGYLHAVFPPKSLPVLDTLANLGLIFFLFLAGIELDPKSLRKTGGRVLAIAIAGISLPFALGIGSSFVLQRTIAKGVNTSAFLVYMGVALSITAFPVLARILAELKLLTTSVGRMAMSAAAVNDVAAWILLALAVALSGNSQSPFVSLWVFLSGCGFVVCSILIVLPIFKWMAQQCHEGEPVDELYICATLAAVLAAGFVTDAIGIHAMFGAFVFGILVPKDGAFAGALVEKIEDLVSGLLLPLYFVSSGLKTDIATIQGLQSWGLLVFVTFTACFGKIVGTIVVSLICKVPFNESLVLGFLMNSKGLVELIVLNIGKDRKVLNDQTFAIMVLMALITTFMTTPFVLAAYKRKERKSNYKYRTIERKNADGQLRILACFHGSRNIPSLINLIEASRGIKKHDALCVYAMHLKEFCERSSSILMAQKVRQNGLPFWDKGRHGDSLHVIVAFEAYQKLSQVFVRPMIAISSMANIHEDICTTADRKRAAVIILPFHKQQRVDGSLDIIRNDFRLVNKRVLEHAPCSVGIFVDRGLGGSCHVSASNVSYCIAVLFFGGGDDREALAYGARMAEHPGIQLVVIHFLVEPNIAGKITKVDVGDSSSNNSISDSEDGEFLAEFKLKTANDDSIIYEERIVKDAEETVATIREINFCNLFLVGRRPAGELGFALERSECPELGPVGGLLASQDFRTTASVLVMQQYHNGVPINFVPEMEEHSRDGDTESS >RHN55993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26569408:26569669:1 gene:gene31303 transcript:rna31303 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSSLQLNILCFNIVLLLLAWSRVKRSHCLVTQRSVMSIGAVLDLVSLMGKHQKIAMEIAVKEFNNHMSSCKLDLQ >RHN82076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51106375:51107469:1 gene:gene6195 transcript:rna6195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MRGSRKSRARPRPNLLKQSKLITRKLQVVYNDPEATDTSEDESQHARTTSKRSFVEVTLPPHVSATSLASHKSFSKKSKIVSRKKACLSTQRQIKKKVIPTITSPKTRRQPSSKYRGVRLRPWGRWAAEIRNPLTNVRIWLGTYDTEEEASQAYESERLQIALDSQATKPKRCFNKESSATSPVVTLNKNSSANDDDANALVSEKCSTTKDSKNLFLQTPPSSMLELDTLTSNLVEKVDVPSNNVVVVVNKASAMMSCQLQELEIPNPSVFNLHEPVVTENPIGTDPNLGLGFDFDQFNIDDFGKDFQEFGGCGDLGDFEDIQIHGFDDNGPSELPDFDFGDIGDDDEFAGWIDAPFQHNIYFL >RHN80681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40036034:40037589:1 gene:gene4637 transcript:rna4637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MNVAVVNSTTVTDFVNDTTNFDSFVKEWFAMLDANGDGNLSRDEIRGGFAWFMPLGSHSQSQEEIDRILELIFTRFDEDQNDSLDLNGFKSLMTEIMNAVARGIGGSPIIVVLEKDSLLMKAVQRELASQSYPS >RHN82403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53443742:53449932:1 gene:gene6566 transcript:rna6566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MDQKMEGTSAPAIRRDPYEVLGVSKESSDQEIKTAYRKLALKYHPDKNANNPEASELFKEVAYSYNILSDPEKRRQYDSAGFEALDADSMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPMGTSVSGKVEKQCAHFFGVTINEQQAESGIVVRVTSTAQSKFKLLYFEQDANGGYGLALQEDSEKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEGAFFKRLEGLQPCEVSELKPGTHIFAVYGDNFFKTASYTIEAVCAKSHEDTTQKLQDIEAQILRKRNELRQFESEYRKALARYQEVTDRYTKEKQSVDELLKQRDGIHSTFTIVKPTSTSGSGSNLSNGSSSKISGEDSKGESPGEDGGSDSKDKSGKKKWFNLNLKGSGSDKKLI >RHN72419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7469808:7472321:-1 gene:gene8127 transcript:rna8127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFISLSLRLKFSPSSLPFHLRHISTSTFTKPNPQHFIHIFTNARNHQNHHDSELALVSALKSCSSLSFISQGRQIHSLIFKLGLHFNTFIQNSLINMYAKCGDIKNAQLLFDGFATLDSVSCNIMVSGYVRNGQIDNARKLFDVMPNKGCVSYTTMIMGFVQNGFFREALEVFKDMRSCGVVPNDLTLVNVISACSHLGEVLNCRMVHGLVVKMFVVGLVIVSTNLMHAYCLCSGVREARRLFDEMPERNLVTWNVMLNGYAKTGLVDEARELFDGICDKDVISWGTMIDGYIQKGRLREALEIYRAMLQTGHGPNEVMIVNLVSACGRGTAIVDGWQLHGTVVKRGFDCYNFIQTTIIYFYAACGMMDLACLQFEVGVKDHLESWNALTAGFIKNGMMDHALKTFDKMHVRDVFSWSTMISGYAQSEHPKMALELFHKMLAGGIKPNEVTMVSVFSAIATLGTLQEGKLAHEYMRSESIPFNDNLRAALIDMYAKCGSINSALQFFNQIRDEVSSVSPWNAIICGLASHGHASMCLEVFSDMQRFHIKPNPITFIGVLSACCHAGLVESGKRIFKTMKSAYNVEPDIKHYGCMIDILGRAGLLEEAEEMIRSMPMEADIVIWGTLLAACRTHGNVNIGERAAENLARLAPSHGGGKVLLSNIYANAGKWEEVSFVRSVMQGQTMDREPGYSGFVAGS >RHN65635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3953363:3959262:1 gene:gene13499 transcript:rna13499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MHVQITVLIGETGSGKSTQLVQFLADSGVGANESIVCTQPRRIAAKSLAERVREESGGCYEDSSIKCYSSFSSWNKFDSRIIFMTDHCLLQHYMSDKNFTGISCIIVDEAHERSINTDLLLALIKNLLSKRVEMRLIIMSATADAKQLSDYFYGCGIFRVPGRNFPVEVRYVPSEYEGRSHSSVVDPYVSDVVKMATEIHRTEKEGTILAFLTSQLDVEWACEKFEAPSAVALPLHGKLSSEEQFHIFKNYPGKRKVIFSTNVAETSLTIPGVKYVIDSGLVKDSRFDPSSGMNVLKVCWISQSSAKQRAGRAGRTEPGRCYRLYSEADYQSMETNQDPEIRRVHLGVAVLKILALGVKNVQDFDFVDAPSTSSIERAIGNLIQLGAIKLNNDVYELTPEGRRLARMEIEPRLGKLILGCFQYTLGREGIALAAMMANARSVFCRVGNEGDKQKSDCQKVQFCHCEGDLFTLLSVYMEWEVQPQNWKNKWCWENSINAKSMRRCQNTFLELESCLESELGLVVPSYWRWDPHNPSIHDKNMKKAILSSLSENVAMYSGRNQLGYIVAQTEKHVQLHPSCSLLVFSQRPSWVVFYELRSESNEYLFCVSAVDFQSLYSLKPPPLFDVSKMEEQKLQTKTLVGFGKHVLKRFCGKGNLLGHVSRIRKACMDERIFVDVNFDENHIQLYACSNDMNTASKLVNDVLQYEKKRLHTECMEKCLYHGFGSSSPVAMFGSGAEIKHLELEKLPLSVDVFHPNVNAIDEMELLMFFEKNTSGCICDMQKFTGMVKDVEDKAKWGKITFMTSNAAKRAAELDGEEFCGSPLKIVHSQSAMGGDTTFSFPAVEARISWLRRPIKAVGIIKCDKNDVDFIIRDFENLIVDGRRYVRCAPSDKYLDNILITGLDKEVPETKILDILRSATSRRILDFFFKRGDAVENPPCSMIAETILKEISPLMPKKKPHISSCRVQVFPPKPKDYSMNALIHFDGRLHLEAAKALEKIDGKVLPGFHSWQKIKTQRLFHSTLIFSPPVYHVIKGQLEKVLARFNNLEGLEWKLDITPNGSHRVKITANATKTVAEGRRLLEELWRGKVIVHDNLTPATLQPILSKDGSSLTSSIQKATSTYIQFDRRNMKLRIFGSPDKIALAEKKLIQSLLSLHDEKQSVICLSGRDLPSDFMKQVVKNFGPDLHGLKEKVPGADLRLNTRNRTILCHGNSELKSRVEEITFEIARLSNPSSERFDTGPSCPICLCEVEDGYQLEGCGHLFCQSCMVEQCESAIKNQGSFPIRCAHQGCGNHILLVDFRTLLSNDKLEELFRASLGAFVASSSGTYRFCPSPDCPSIYRVADPDTASAPFVCGACYSETCTRCHIEYHPYVSCERYRQFKDDPDSSLRDWCKGKEQVKNCPACGHVIEKVDGCNHIECKCGKHICWVCLEFFTTSGECYSHMDTIHLGVD >RHN70177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49369265:49378794:-1 gene:gene18732 transcript:rna18732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TIFY family MAEQPLPSEDPATIPAIDDDDDDDEDYTDDGDDTMDELDEESNGNSVNVEGTSIPATATAAAAATRIGELTLSFEGEVYVFPDVTHQKVQAVLLFLGGSGAQPGMPTAELPFDQNNRGMGGIGKLPNLSRRIASLVRYREKRKERCFDKKIRYTVRKEVAERMHREKGQFAPLKQSPGSSSWNSAQGAGQDGSPNPESLRRCQHCGVNENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRNISVEQSRPDPPVDVKPTVLEGEFSVIENEQGISEEPSKTVGAEVLNDHASNPGDEELSESAEHLTKTLPLVIYHSSENDDEQEPVVELSNPSDAGMSNPSDTDIDIPGNFD >RHN54895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13304063:13305976:1 gene:gene29993 transcript:rna29993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamyl-alcohol dehydrogenase MATQPEFEHPNKAFGWAARDTSGVLSPFNFSRRETGEKDVAFKVLYCGICHSDLHMIKNEWGMSTYPLVPGHEIAGIVTEVGSKVEKFKIGDKVGVGCLVDSCRACQNCEENLENYCPKQTNTYSAKYSDGSITYGGYSDSMVADEHFIVHIPDGLPLESAAPLLCAGITVYSPLRYFGLDKPGMNIGIVGLGGLGHLGVKFAKAFGANVTVISTSPNKEKEAIENLGADSFLISHDQDKMQAAMGTLDGIIDTVSADHPLLPLVGLLKYHGKLVMVGAPDKPPELPHIPLIMGRKTISGSGIGGMKETQEMIDFAAKHNIKPDIEVIPVDYVNTAMKRLLKADVKYRFVLDIGNTLKPSS >RHN70085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48597702:48603791:-1 gene:gene18629 transcript:rna18629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polynucleotide adenylyltransferase MAVIGFKFVRQSTLHLRPTPFQYLRKAVQKSIVAVNASETSTDENSGGGVSIREEGNEKSPAWKKIGTKELGIHNSSIGPAARKVLNGLKKRGYDVYLVGGCVRDLILKQTPKDFDIITSAELKEVMKVFSWCKIVGKRFPICHVHMDDTIVEVSSFNTARGKKGVESSHHTKAPNSCEKDLLRWKNCLNRDFTINGLILDPYERVVYDYMGGIEDIRKAKVRTINPADISFREDCARILRAIRIAARLGFSISKETAHFIKNLSSSVLRLDKGRLLMEINYMLAYGSGEASLRLLWKFGLLDILLPFQAAYFAHHGFRRRDKRTNMLLSLFSNLDKLLAPNRPCYGSLWFAILALHKALSDRPRDPLVVAAFSLAVHNGGNLLEAVNIAGMINKAHDMRFPELLDPSGMDAKALEAGVRDLAESIRGTLLQMTDEHFVSQAMADYPQAPHSDLVFIPLELYVKVFNIFECVKRSAVKRSLSKQGMKIDYESLANGDIQEIRHVFARIVFDTVFPLHQYQDQALKSSSVSQGG >RHN41817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33289914:33290234:1 gene:gene48179 transcript:rna48179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SSXT family MMNADPSLPVLTTEQIQKCLEENEELIMAILEGQKQGKHGELAPYQAKLQHNLTFQLQQQHLAMSLQQPDLSASKFALQMVPTTVACKQETTICQICNHSTKWDQT >RHN74902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37664814:37667226:1 gene:gene11036 transcript:rna11036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MENMLWLPTNYGKKAMEDELIRGRDMANQLLEVLTFDDKSNIREVKGSNTKSSKVLPLNIVAEDLVREVLKSLTNTLLLLNNGKDSNDVVVPITVKDFSFSTNCHKMEEDSDGAYKKLKTLNTKNPKVSNKRKSSAPTWEKTASKLIDDGHTWRKYGQKMITNAKYFRSYYRCTHMYDQHCEAIKHVQRIQKNPSLYRTTYYGHHTCKSSYHSDLNLESIFSYDVDSSILLSFDNNNISNKQEYPFRPSPPLPLLASTKGDPKEEIHHDHFPQNQLFSPENLQLCDFDVYFDYLRHVSESSSSESF >RHN64215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57341098:57345198:1 gene:gene27005 transcript:rna27005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle-associated membrane-protein-associated protein MTTELLQIDPSELRFVFELKKQSSCLIQLANKIDQYIAFKVKTTSPKKYCVRPNIGIIKPKDKCDFTVTMQAQRTAPPDMHCKDKFLIISTVVPFGTTEDDITSDMFAKDTGKYVEEKKLKVVLISPPSSPVLLPVNGDIKQDPSNQINVLKDRVPSGIENIPPPLRDSEEVKGLEPALDTREDKTDEVIVPRHAENVGDMSPEKDDVQLNLAKSCEELKSRLSMMDSKLREVRDPEFRSIIMTTEAAVMKLNEERRANIKEKELLKQELEVLKRKSNAKVQAGFPLLFVCMVALVGVAVGYYIHP >RHN44201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2368809:2371883:-1 gene:gene38291 transcript:rna38291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MFVSSISSKTHSSKYSNTMVFVWSQVLFVKFLFLYSLFSFTFTTSLPQIQPKCHQYESHALLQFKEGFVINKIASDKLLGYPKTASWNSSTDCCSWDGIKCHEHTGHVIHIDLSSSQLYGRMDANSSLFRLVHLRVLDLSDNDFNYSQIPSKIGKLSQLKFLNLSRSLFSGEIPPQVSQLSKLLSLDLVGFMATDNLLQLKLSSLKSIIQNSTKLETLFLSYVTISSTLPDTLANLTSLKKLTLHNSELYGEFPVGVFHLPNLEYLDLRYNPNLNGSLPEFQSSSLTKLLLDKTGFYGTLPISIGRLGSLISLSIPDCHFFGYIPSSLANLTQLTGINLNNNKFKGDPSASLANLTKLTILSVALNEFTIETISWVGRLSSLIGLDISSVKIGSDIPLSFANLTQLQFLSAKNSNIKGEIPSWIMNLTNLVVLNLGFNSLHGKLELDTFLKLKKLLFLNLAFNKLSLYSGKSSSHRTDSQIQILQLDSCNLVEIPTFIRDMVDLEFLMLPNNNITSIPNWLWKKESLQGFVVNHNSLTGEINPSICNLKSLTELDLSFNNLSGNVPSCLGNFSKSLESLDLKGNKLSGLIPQTYMIGNSLQKIDLSNNNIHGRLPMALINNRRLEFFDISYNNINDSFPFWMGELPELKVLSLSNNKFHGDIRCSSNMTCTFPKLHIIDLSHNEFSGSFPLEMIQRWKTMKTTNISQLEYRSYWKSNNAGLYYTMEDKFYSFTMSNKGLAMVYNHLQNFYRLIAIDISSNKISGEIPQVIGELKGLVLLNLSNNHLIGSIPSSLGKLSNLEALDLSRNSLSGKIPQQLAEITFLAFLNVSFNNLTGPIPQNNQFSTFKSDSFEGNQGLCGDQLLKKCKDHARPSTSNNDNDSGSFFEIDWKIVLIGYGGGLVAGVALGNSYFLQVFAWYRDHVSVSVIIFLNKIFKRH >RHN49770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1007594:1008141:1 gene:gene33978 transcript:rna33978 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLAPAASSCFLASSASSLLTPSLNFFGKPSINSFASFNPKLVKALTALIAAILALLGTSSMTTSNSVFSSTTAASAAGAATAAAAGAKETGMVVVVGDGEGFGGEIEGGDWFF >RHN52620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37149136:37154168:-1 gene:gene37314 transcript:rna37314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, CDT1 Geminin-binding domain-containing protein MDPKPCDKSVKNSSDFMSEKKILHSVDKSIACPTPEKKTEPLLATNLKDSNTQLPEKYKNIGDLFSDMSCALRLLHLRKKSPTFQNICNKVEVLAKRNFSYAHLAQMKYILPKGIEIEKVVVVDKKSLCMKPDLKITLVFDVVEDHSEQSADLALIRYFNSKLINFFNLHPEVTDIPEAALPEPFSQRPYNLIFKDGTVNLSKELSSTSNEIELSLNNLHLSPSFKRHFSQKNVANETELVQSFSSSENSMSSHESDWLDNQESESTWQKECTPLSDCVSNNVERGKQKESQSMCIQQNVINTPVHKIYHPHSVSRIESPDLKIVSCTDSLLTHTPAQSAPERLLLGSDVKLQKMTAQKSGSCFKPAKRVLDFTLTEGSDAFDSRVDMSKPSRGCSEDFKSFDSVSPPQEVDENLSHSFQKINVDQHCLVASDNNPSSLVELVNVIDSIFDSVKRTSMTKEELLQKIMMNCLDFVKIREAEEQIEILEKTVPDWLCKKVVSSGDTMYCVKNALDLDSVRSRLLSNVNKGDE >RHN58200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44514153:44515437:1 gene:gene33818 transcript:rna33818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T2, F-box associated interaction domain-containing protein MICVFGKDSSSYTTFNQTQYFDVANDIWKKLNLFYMLKAQGISPSTSSKPHLRADFENAISKHLGFTLKVQFHCPSNELLEIRLCRNREEWYIYVLWNPSTTEFTVIPTSPNEFVPPYQHPSFKFHGFGYDRVRDDYKVIRYTSFSHVYDEDEDVYEVISYDPLFEVFSLRSKSWSILDVDVPKVCYELPPGVYTNGVCHWWSNSSSHDKEDCLVCLVVLNESIALISNCPNATTFHISILGELGVKKLWIKLFIVGPIPFIHRPIGVGKKGNICFKKKR >RHN69981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47742748:47750398:1 gene:gene18517 transcript:rna18517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MNDFDRLLATEFGLKPQGKSSPILSSSFKGSSNFTKTSSLNFDSHSRSFHSSNHDSDSFSDLFNSNPISRSTSGFASTSVNLPVYDKPLYDDDDGSAAAFDDLLGGFGNNKPSRQRPTPDIGSSSESTFSESKTTSNATEDPFKIFESASAPNDSSSGHFSDPLEDISNFTSSRSTKNDGLSNSNGTVYDDTDPFDGLGNSVPAFSSERTGRNGTNSPTPRSNTSSSWTRDKESIKKLSVRSPHRRTHNKIPAEHDQEFHQAPYGMPKYSSDSNKPVVGQMPTSPSYVNDGFRPINSRADMSPKYEENLASDHDDIWLTVSEIPLFTQPTSAPPPSRPPPPRPVHIPKSKERGRSDHEQQQKETEDIEQRRIERERKEKERERQRLERERENERQAVERATREARERAAADARQRAEKAAVEKANAEARLRAERAAVQRAQAEARERAAAEAKKRSEKAAAGEKGREARERAAAARTEAEARVKAERAAVERAAAEARERAAAAARMYQQKNENDLESFFSVRGRANSAPRPPRSNSSDSVFDVKFQSDVNQKPTTVSSNIKRAPSSPNIVDDLSSIFGASPSAGEFQEVEGESEERRKARLERLQRAQERAAKALAEKNQRDLRTQREQDERHRLAETLDFEVKRWAAGKEGNLRALLSTLQYVLWPECGWQAVSLTDLITAATVKKAYRKATLCIHPDKVQQKGATLQQKYIAEKVFDLLKEAWNKFNSEELF >RHN67061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23384804:23388588:1 gene:gene15198 transcript:rna15198 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKQKMIVELIVLLMMVFVLSVSGWTGEIRGRVVCDVCGDSSLGPEDHVLEGAEVAVLCITKSGEVLNYQAFTDAKGIYTVAETMPESDRWDACLARPISSFHEHCTRLGGGSSGIKFSYNHPSGYSHAVRTFVYQPVNVPTYCI >RHN59230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8608180:8609107:-1 gene:gene21230 transcript:rna21230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain, legume lectin MNYNQIQTMASSNIFQISFSLIFLTFFFILLLNKVNSIEFTSFAIIEFSQDQNNLIFQGDAYISSSNKLQLNKAKSSSVGRALYSEPIHIWDSKTGLVAHFDTSFNFIITAPDSGNVADGFTFFLAPVDTQPQDGGGFLGLFNDKYYNRSLQTVAVEFDTYYNSDWDPRDRHIGIDVNCVRSTKTKPWVFRDGGEGIVLIKFDASTNVLSVTLFTEDGIYTLSDVVNVKDVLPEWVRVGFSAATGRDFSVHDILSWRFSSILNHQPSSMQAAAA >RHN63366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50714388:50717109:-1 gene:gene26058 transcript:rna26058 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MVFFVIIENTCTEKAKEAIFYSYNRYINGFAAILDEEEAAQLSKHPNVVSVFLNKKYELHTTRSWGFLGLERGGEFSKDSLWKKSLGKDIIIGNLDTDMRKKKLYIDVYKLNYTVLVNYLKKIYFIINLYKYLQFFFFKFTNMIECLISLFFFFIINL >RHN65581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3422292:3422762:1 gene:gene13435 transcript:rna13435 gene_biotype:protein_coding transcript_biotype:protein_coding MFIIQNRTMCSTISFSCSYIQNRTITMCVINFFCCFFFQFIQF >RHN59610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12484217:12497224:-1 gene:gene21663 transcript:rna21663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DDHD domain, alpha/Beta hydrolase MAEGSNTPVLLPDLLKNTPSNIARLEDVIEQSKARQKYLAHTNSSSDGGDVRWYFCKTSLAPNELAASVPSTEIVGKSDYFRFGMRDSLAIEASFLQREEELLSSWWREYAECSVGPRERQTTKLDRHQFCEIEEERVGVPVKGGLYEVDLIKRHCFPVYWNGENRRVLRGHWFARKGGLDWLPLREDVAEQLEIAYRSQVWHRRTFQLSGLFAARVDLQGSTQGLHALFTGEDDTWEAWLNVDASGFANFVSLTGHGIKLRRGYSPSNSPKPTQDELRQKKEEEMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAEQHLTSHQLGTQRVLYIPCQWRKGLKLSGETAVEKITLDGVRGLRVTLSATVHDVLYYMSPIYCQDIIDSVSNQLNRLYLKFIKRNPGYDGKISLYGHSLGSVLSYDILCHQDNLSSPFPMDWMYREHSEDEEPVPDEKNNYFQHSSVNQDDTLSGRISSNEKKSTQQTSSEMEAEFSEESSILCPASSSGHNFIAEPNSVSPGNERDVSDCISDSSDTFFAKTDASDKLESVNLGLPVPNASDKLESVNLGLPVPKEKCNGTNNKDEEIKKLREEIDSLSGKLADLESCDGDHTKEELDSVKDVHMNVEVPESSQKLPPMQDVIKPYTPYINYTKLLFKVDTFFAVGSPLGVFLALRNIRIGIGRGQEYWEQENIIEEMPACRQMFNIFHPYDPVAYRVEPLVCKEYIGKRPVLIPYHRGGKRLHIGFQEFTENLAVRTHAVKRYMKSARDRVLAVCQSKLSENIEGESSEEEEETSYGSLMMERLTGSKTGRVDHMLQDKTFEHPYLQALGSHTNYWRDYDTALFILKHLYRDIPEEHNSSTVYSAGSSHHETTSVGWYDPRDTVEEDAPLTFTDKVKARNFSTKARRFLAKNTASDF >RHN73551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17596867:17597439:-1 gene:gene9375 transcript:rna9375 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTKLILSVFLSAILILSFLTQDSKASIIDRAVYPLDEPGHPPHFQANSSTIDKAVYPPDEPGHPPHSQANSSTIDKAVYPPDEPGHPPHSHANSSTIDKAVYPPDEPGHPPYSQANPSTIDKAIYPPDEPGHPPHSQANPSTIDKAIYPPDEPGHPSHSHANPWERVCNPKTPCRGLLPKEVKEEDKQ >RHN50458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7284884:7287134:1 gene:gene34741 transcript:rna34741 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSCFCHSKLVNVASILYFFLLITLASSSPFPSDNIFESAASTGRALLQDLKACKVDFENQNYTFITSQCKAPRYPPKSCCEAFKQFACPFADELNDLTTDCAAVMFGYINAYGKYPLGLFASECREGKKGLDCSLVKYSNNSSKSNTTSSVLVAAPHSMMLISIVGFFGFIFHLF >RHN51088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13886754:13888164:-1 gene:gene35463 transcript:rna35463 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVLIAFLLIASAMFHSTLAARLMKTETPISEDSKNLVGHTIKCAGKKDQPLTSCKPPITLKPSPKADRPCLPFPGKPGYPICNRPPANLPKP >RHN50216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5254455:5255787:1 gene:gene34483 transcript:rna34483 gene_biotype:protein_coding transcript_biotype:protein_coding MGTINLHKHKAEKSNKVQKHRHLRMIAKKFRYAEMCVVLILISRLSFQQLPLALKNSSEYFRGFAVSPAFVFLVGNIIIITLIAQSGHFSQHDSAKRSSEHDLYLEFLKNSNMYQKIQGFDQKKPSVKVESNVKGCRINDGCMVKLSQKESIKSEDDNKMKLEEKQEIETEMGLEVKGYRRCQSEIELVRGVNSDDAKDQRVLQRCESDNDKSKRKNIEVDKEKKWSLYPEDGMSSDEFRRTVEAFIARQQKLRINEAQTIFIPS >RHN69731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45894034:45898280:1 gene:gene18243 transcript:rna18243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde oxidase MISKGEKSGGCGTCVVLISKYDPLLNRVEDFTASSCLTLLCSINGCSITTSDGIGNSKQGFHPSHERFSGFHASQCGFCTPGMCGFPSLVLLSMPKRTILQSHQLVADACKSFAEDVDMEDLGLNSFWRKGRKQGPNNCATHASLWRQRLPLLVLFAEFS >RHN78197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12675063:12675891:-1 gene:gene1730 transcript:rna1730 gene_biotype:protein_coding transcript_biotype:protein_coding MLNCGVLMECATEKKMHLVDIGSNQTILISLPSTMLSHTYTVSESLSFQCDSVASSASDVT >RHN43602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47162970:47164533:1 gene:gene50208 transcript:rna50208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MEKTMILSFLHLLLLLLPNPAKAAIGIAIGVGIGIGIGNGNDGPNIPGSSGSSVSNLNNAYKALQAWKSSITDDPLKILDTWVGPNVCSYKGIFCDTTSNTPFVAGIDLNHANLQGTLVKELSLLSAITLLHLNTNKFSGTVPETFKDFIFLQELDLSNNQLSGSFPIVTLDMPSLIYLDLRFNNFKGSLPEELFNKKLDAIFLNNNQFSGEIPQSFGNSPASVINLANNMLSGNIPTSFGFMSPKLKEILFLNNQLTGCIPQGVGIFTEVQVLDVSFNSLVGHLPDTISCLQDIEVLNLAHNNLSGDISDVICSLRSLLNLTVTSNFFSGFSQECSRLFNVGFDFSDNCIPGRNMQKPQPECSVIPGGNLNCLRIPTPKPLICGTLAASTSKYTNIPSSSP >RHN51169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14730551:14732719:-1 gene:gene35555 transcript:rna35555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MWAINYLYRYALKKFSLKCKGTIGADFVTKDLQIDNKLVTLQIWDTEGKETFQNVCADFYRGADCCVLVYDVNCFESFDILDSWHDDFLKKGNTSNHGISPFILLGNKVDIDGGKSRVVPEKKAKKWCASKGNIPYFETSAKEDFNVDDAFLCIAKTALANERDQNICVQPIWPAMLENEQRVGCACWFEFLSTALTQVLHTLFGKRI >RHN53466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1810464:1810655:1 gene:gene28375 transcript:rna28375 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLKPGCTGAHTQMQQIFASTKRINLIYLMLPAIKVRSQGRREYDYAGLWIYCVEYRPKCGC >RHN47313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38750457:38758384:-1 gene:gene41893 transcript:rna41893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein FAM214 MGLPQIPSSEADENVELLGVVSSGSPQFSDANPSSISSMNSVNTHGCAACTLGSSFEDFPKNASLEMANVSDKTFYGGAMEVTSNVHSLKINSTDRSNLSASKTERRVLTPVSRVVGFESGRTSSLTDGIAEVPVANLHSSFGSISSNDTESATSLVRKRLLSPLSSMLSPSHFKGDSLDIGCRNNEAFSLVKNDNVRKSTAQDNKKANIGCKSSYTMPSWSLSNCFEQKNMAQSRESIFQTDGPLLENRGFISQGSSPTLKIEHLRESSVVVRPQSGVISVSPVSSPLSLSPLGPRFPERMKMAAGCKSVTEEIKNCNITLSSIEESLENSNSCDILGHKDDDLKFSRKSFEDVEFSFKDFCPSSLDDFSYMNCPRSLESTPISNSARFTRSLSGLSVRRSLVGSFEESLLSGRFVSGNHTKKIDGFLAVLSISGGNFSPKSQKLPFSVTSVDGDCYLLYYASIDLAGNSLSNRFGGQLLKRGIRKDDSQMVKSRFRIPMKGRIQLVLSNPEKTPLHTFFCNYDLSDMPIGTKTFLRQKITLESSCSISPQSKNGSTGLDSGNDKGIPIVQKNHDNPCSGEVMHTDAVDDVIKTKSTNQRNTKVSSLENFLNKEDSSKHSPDHGSLTDECERNERKESWEKTCDESGKSLNSCSKSSNSAGPLRYALHLRFICPFPKKTSRSAQKCKLSSLPEKAGLDMEGERRFYLCNDLKVVFPQRHSDADEGKMNVEYHFPEDPRYFAIN >RHN79779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32068982:32069331:-1 gene:gene3615 transcript:rna3615 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLRLKCAKILDKNAPGTFSAKKIIKKDWKMKVRTEMKLKTVWTKNAILGPQLRDQNAIKNKIE >RHN54281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8279144:8282648:1 gene:gene29290 transcript:rna29290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDSLLKSPIMENLKEEPFLMAIMFIVPLILLLGLVSRILKRPRYPPGPIGLPIIGNMLMMDQLTHRGLANLAKKYGGIFHLRMGFLHMVAISDADAARQVLQVQDNIFSNRPATVAIKYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRKHAESWQSVRDEVDYAVRTVSDNIGNPVNIGELVFNLTKNIIYRAAFGSSSREGQDEFIGILQEFSKLFGAFNISDFVPCFGAIDPQGLNARLVKARKELDSFIDKIIDEHVQKKKSVVDEETDMVDELLAFYSEEAKLNNESDDLNNSIKLTKDNIKAIIMDVMFGGTETVASAIEWAMAELMKSPEDLKKVQQELAEVVGLSRQVEESDFEKLTYLKCALKETLRLHPPIPLLLHETAEEATVNGYFIPKQARVMINAWAIGRDANCWEEPQSFKPSRFLKPGVPDFKGSNFEFIPFGSGRRSCPGMQLGLYALDLAVAHLLHCFTWELPDGMKPSEMDMSDVFGLTAPRASRLVAIPTKRVLCPLD >RHN61988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39827608:39829478:-1 gene:gene24508 transcript:rna24508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MESSASSELPPGFRFHPTDEELIVHYLCNQATSKPCPASIIPEVDIYKFDPWELPDKSEFEENEWYFFSPRERKYPNGVRPNRATLSGYWKATGTDKAIKSGSKQIGVKKSLVFYKGRPPKGVKTDWIMHEYRLIGSQKQTSKHIGSMRLDDWVLCRIYKKKHMGKTLQQKEDYSTHQFNDSIITNNDDGELEMMNLTRSCSLTYLLDMNYFGPILSDGSTLDFQINNSNIGIDPYVKPQPVEMTNHYEADSHSSITNQPIFVKQMHNYLA >RHN70015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48040295:48045594:-1 gene:gene18554 transcript:rna18554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MQETLLTTISELQHHNTNAKKNEEMEVVIVSSSSPCRRPPRTRRVSPTPVEKHLPNGDVYSGSLSGNTPHGKGKYVWKDGCMYEGEWKKGKAWGKGKFSWPSGATYEGDFISGAMEGSGTFVGVEGDTYKGEWVSDRKHGFGEKCYANGDVYEGWWRCNLQDGEGRYMWRNGNEYVGEWKCGAICGNGVLIWKNGNRYVGCWENGVPKGKGVFTWRDGSVSSGNWGNDENKRVSVSVDDGSKSVVFPRICIWELDGEAGDITCDIVDNVEACMFYRDGSESENGVVGYGHSQKSPCYYSIDGDVKKPGYTVCKGHKNYDLILNLQLGIRYCVGKHASVPRELRSGDFDPKEKFWTRFPPEGSKFTPPHQSVDFRWKDYCPVVFRHLRELFAIDPADYMLAICGNDTLREMSSPGKSGSFFYLTQDDRFVIKTLKKSEVKVLIRMLPSYYQHVSQYKNSLVTKFLGVHCVKPIGGQKTRFIVMGNVFCSEYRIHKRFDLKGSSHGRTTDKLRKEIDETTTLKDLDLSFAFRLEQSWFQELKWQLDRDCEFLEAEGIMDYSFLIGLHFRDDYSSDEMKSSPNELISGKRDMQNDDTQDMRWIPIGRGPLIRLGINMPARAERVCKAGLDQQQTGSSKSTPSESSGEISDVILYFGIIDILQDYDISKKLEHAYKSLQVDPTSISAVDPKLYSKRFRDFIHRIFVEDK >RHN45642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24067106:24068176:1 gene:gene40023 transcript:rna40023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MANEFPPTHFFKIICDQSLHQGKLIIPRKFVEKYGEGLSNAIYLKTPNGAKWKLNLVKSDGKIWFEKGWKEFVKYHSLSQGHLLLFKYGRTSHFHVHIFDKSALEIKYPSQRVESKRVSNDLGNKPQNDEDLEDYIASKKRKTNLSFEFNQPYEIGSNSCVNVGKLEKVAALNHIDKKCKGKQLAIAKKVTTFDRANSMRTCNPSFIAVMHPSYIDAACNLC >RHN77389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6523353:6524999:-1 gene:gene836 transcript:rna836 gene_biotype:protein_coding transcript_biotype:protein_coding MICALKVDTYYDGWFQTIFKILRKIKGLSCNFDTTKEIIYISGKVDSQKFLRMIAQNEKTVEVCWITVWSNTAVTTTKIA >RHN82104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51273987:51276210:1 gene:gene6228 transcript:rna6228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MNKQFVLVCEKHISIICAEDEKRRLQMVVVVVPVILSTLLLTFSYYLLRKRARKSSYKALILKENFGHESTTLEGLQFEMDVIRTATDNFSHENKIGKGGFGEVYKGILFDGRHIAVKRLSSNSKQGIVEFKNEILLIAKLQQRNLVALIGFCLEEQEKILIYEYVPNGSLDYILFDTRQQNLSWDQRYKIIGGTALGILYLHEYSRLKVIHRDLKPSNVLLDENMNPKISDFGMARIVQIDQDRGHTNKIAGTRGYMSPEYAMLGHFSEKSDVFSFGVIVLEIITGKRNINPYESHHFTEGLTSYVWRQWKNETPLIILDPKIENYSRIEVIKCIQIGLLCVQENPNVRPTMATVVSYLNSHSPELSSPQEPAFFLHDRTNQDIAAQKSSSVNNFVSFSVNEVSISEFYPRQ >RHN61791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38391145:38394042:1 gene:gene24287 transcript:rna24287 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIGFGNGFCGFWKWDPKPDTRRRRIRSNSGSPGSAVGGGYQFPLKQALTAASLTLTGDTIAQLSNRWNKAKESGENASQDVLSKLLSEHDLLRALRMTSYGFLFYGPGSFAWYQLLDHCLPKPNVQNLMLKVLLNQVVLGPCVIAVIFAWNNLWQQKLSELPEKYKRDALPTLLYGFRFWIPVSVLNFWVVPLPARVGFMSMGSIFWNFYLSSTMNK >RHN43664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47594063:47597743:1 gene:gene50274 transcript:rna50274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEMSMEQNKDTEHVERKKGGYRTMPFIIANETFEKVANVGLHVNMVLYLLNEYHAEPSTAAIIIFLWNAGSNFMPLFGALLSDSCLGRFRVIAWGTIIDLLGLIVLWLTAIIRHARPPECNNGESCTSATGMQFLFLFSSLALMAFGAGGIRPCSLAFAADQINNPKNPKNERIMKSFFNWYYVSVGVSVMVSMVFIVYIQVKAGWVIGFGIPVGLMLFSSVVFFLGSFMYVKVKPNKSLLAGFAQVIVASWKNRHLTLPPNNSGLWYFQSGSNLVQPTDKARFLNKACIMKNREKDLDSNGMPIDPWSLCTVRQVEELKAVIKVLPLWSTGITIAVTISQQSFSVVQATTMNRMVHNFEIPPTSFSAFGILTLTIWVAIYDRIIVSLLSKYTKKGKGLTLKQRMGIGIGISCLATLVAALVEKKRRNEAIREGFINDPKGVVNMSAMWLVPQHCLVGLAEAFSAIGQIEFFYSQFPKSMSSIAVSLFTLGFGTGNLVATIIVKSVKNGTQRGGQVSWLSANINQGHYDYYYWLLTILSLVNMFYFLLCSWAYGSTEDIKNWDEEVDTKSEMSKYEEENI >RHN62782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45797695:45798343:1 gene:gene25391 transcript:rna25391 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSYQQIQDVLEFLLRDVSLDSLWEVYSLRSNSWRKLDVDMPYSLECKEGTQVYMDEVCHWLCEDDYESSEKHNSPSGPCLVSFYLSNEVFFVTPIPSDLDDCFDIEADHNYRASKLRNHFILLYQFYFECLVSFFLRTYLISISMKRVII >RHN47122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37289010:37291591:-1 gene:gene41680 transcript:rna41680 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASGVSNSPSNFHLLLLLLLVLSVSWLCTFANGSNSTTIYDELRAKGLPVGLLPKGITRYEINGTSGEFQVWMKEPCNARFENEVHYDPNIKGTLGYGKIKGLSGMTAQELFLWFPVKGIRVDLPSSGLIHFDVGVADKQFSLSLFEDPPDCNPEVDADSDDVLRAVW >RHN45507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21663266:21664659:-1 gene:gene39858 transcript:rna39858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MKKVEACSGGLCSVFDKNFPRCEENPDCQCIPWGILYGNCIYLPTKESIVKVVEEHPNLCQSHVECTKKGSGSFCARYPDSKNHIGWCFTSNAEAERYFEVGSNPAINNFFKNMISNSNEKGFLKMPVEIST >RHN67558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28250109:28250471:-1 gene:gene15756 transcript:rna15756 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDLLVKFNEISQYLVTNMNTMHDRAPQILMNPKLPKCLHCNQENSVKPVIAEKKKNINWLFLLLGQIIGCCKLEQLKYFCKYNGHHRAGAKNRVLYLTYFELCKPDEQYGRTMYLLWL >RHN76961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2732891:2751368:1 gene:gene357 transcript:rna357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase transcription regulator SET family MNHNLQSFLETFPGIYSGAMVGMSRGSNTASLPTFPGSERARESFSSRGQFCVTSPASGLNFWSSNMQSKSKSAFECFSAKYATPSVSGCPRVFCMGKSGHLLLSNTGHLGIVCSCHCCHMSILKFCEHSGLHGVNPGNAVRMESGETIAQWQKLYFLKSGIISSGNESEWDWPEVFSTTSHLMKSNASASDMSKGDFSNILNSATVTLRKQPISNEDGHNIPLKGLNAFTQNNLYDQVKSQIMKSNMAICTPAPNFVGAQLNDGCQPIPPFLDSLKSNEYLPITQSTQIPTNLLKEHECIKKKNVKTGLLGKDVTSSNIELRLGQPPWAGNLVDSFVESPLFTFASSPKLHSLMQMTNNLSREEELQNSFSRAAGSFEMPLNYMSGCSINSDAAIASSQTDNVATSSLFLPFPQFSSQPKGTTNACKNLVNGGIMPMNLHSEYDTVQFGSSNVDWNSNGHKGRQSNDSALEFNKYLDNDNGERFGEDSCTKVNSGFEVNQFMEFGSIRRTIDGSGSCTPVVNRKIYESSFPSDTSVGANALLASKNVSSFGRNNHLTLGTVVPFVGNLKSLPYPVSSSASNQNPTSLQQQGINMDTCMIDENTRLLPLTQMPESSKQQQALYFHDMNQKLGKSGIAEPQNYIYKASVPELGSSGASLKFPQNRGTCGNLEITDGLNRYCDFSALTPTPLQSKQKESQCKNSYDLQNDGPYLSLGMTRSSRKCSEKQSDVYLLGKYVSAARENCCRNNVCTGIGPSCDTAKEKYADVNGVTSFKIASECGRDQNAPKNKNIYFDPSGLGSHGTVKNGSHTPQWRDVPSKIRKPSRDTTLLDQMATVFEGQDGAQVGNISAKPLEKIIGTEALLKEQDFFYVSSGCSAPVVYQASVMEANKVNSYTVDPTNAGCIEKLVRDEGSGIDKGWSSDLIESERSAEFAGSTIGSDLKKGYLRILNDQPCQSLLDDIKLLDSLIWKKRQDQNHIIVSADCRTNPSQKVKGFKGKKQKRNEARIPDASLLPGISSSSDNQNGTSAGAFDPPSSLSEEMQFYHSTSQQRSANKAYIVQPNTNHKLSSFPSEFPSCKNHLNKRYNDEDSYESESISDVEFHTLPGISRMKKSRKDLASDFFGQFQTQETSHEEPNNAKQISSSSRKGSAHRIKRVVVCGKYGEICSELSITEVPKPAKIVSLSEVLRTSKRCSMVPPIETPRLTTKKKWRRLGFGKSLGHSCTKSGLKAKKDDKPVDTIVCNETISMEGFESGNKQNVYKQKKDTNEWQSDIVHRAYSPLKVKSRKIQKLRSINEITAKETQMLDILEYAEDHELDLCNKRSKISVQEHTDMSFINSDSFCCVRRRPISDELNSSLKCNKCLIKVHQDCYGIKALPERGCWCCRPCQTNSKDINLLLNETEGINDEKVEFYGRCGLHGIGPECQSAYDPTDVMGSRAEKEFTCARAEGYKGRRWDGIYNNHCSALKRRGGCLIPDEQLNAWIHINVRKLRSQGIPKFPDSDIEHDPRKEYAWYKQVKGWKNLVVYKSGIHGLGLYTSQCIYRGRMVVEYVGEIVGQRVADKREIEYISGRKLQYKSACYFFKIDKEHIIDATRKGGIARFVNHSCLPNCAAKVITVRRLKKVVFFAERDILPGEEITYDYNFNREDDEKKIPCYCNSKNCRRSLN >RHN61425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35477123:35477463:-1 gene:gene23893 transcript:rna23893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPSIIKRSSSSKSVDVPKGYLAVYVGEKMKRFVIPISYLNQSSFQELLSQSEEQFGYDHPMGGITIPCREDLFLEFTSCLN >RHN55119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15271458:15275613:-1 gene:gene30249 transcript:rna30249 gene_biotype:protein_coding transcript_biotype:protein_coding MNMISRTLARSLTDTSTIRSSSLSFLRTLSSTSGAAVSDPSVTSVAKVVASTSVAAVADASDSSVEKVVTSTPSLLQPRVVLYDGVCHLCHQGVKRVVRADKDRKIKFCCVQSNAAEPYLRASGLKREDVLRRFLFVEGLNVFSQGSTAALRVLSYLPLPYSAISCLWVIPTPIRDAVYDYIAKNRYEWFGKAEDCLVLQEKELLERFIDRDEMMNRDFKVNHDLH >RHN49338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54093090:54093808:1 gene:gene44156 transcript:rna44156 gene_biotype:protein_coding transcript_biotype:protein_coding MCILSYSESITPDYFSLSSSSSSLFINSTRSETKISTEEASTNEGLGSTKQRDWEMNEGGNSRSHGVATSRNSDVVIQPRSSKTVHSSNSSLNAGQGQFHSHGNKSSLPMNENSKGLLLLIVDEDEQEHEGKDEENKK >RHN50305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5991005:5991446:-1 gene:gene34577 transcript:rna34577 gene_biotype:protein_coding transcript_biotype:protein_coding MMHQNRKGTITSFYSPRFPICYRFFFMLGAIRVGLLREEVWI >RHN57971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42818622:42824460:-1 gene:gene33567 transcript:rna33567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-oxo-beta-amyrin 30-oxidase MEWYSSIIPIIVTVGLIWAWRILNWLWLRPKKLEKLLREQGLQGNPYRILYGDIKDLLKMEKEARSKSMNLTDDIMPRVIPYIQHNVKIHGKNSFMWFGTKPRVILTEPEQIIDVLNNISDFPKNNHKIFKLLVSGLASLEGEKWSKHRRLINPAFHSEKLKVMTPTFFTSCNDLIRKWEEMLSPDGSCEIDIWPSLQNLASDVISRTTFGSSYEEGRRIFQLQIEQAELMTKVQMNFYIPLWRFVPTMVNRRINEIGKDIKSSLKDMINKRVKILKEGGENKNDLLGILIETNHKEIKEHGNNVNVGMNIEDVIQECKLFYFAGQETTSTLLVWTMVLLSRYPEWQARAREEVLQIFGNKKPNFDGLNNLKIVTMILYEVMRLYPPIIELSRNVEKNVKLGNLTLSAGVEVFMPIILLHHDCELWGDDAKMFNPERFSGGISKATNGRVSFFPFGWGPRICIGQNFSLLEAKMAMTLILQHFSFQLSPAYAHAPATVIALKPQYGAHIILRKLET >RHN54100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6932285:6933280:1 gene:gene29084 transcript:rna29084 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVISRAESETKKGGLDHQEDKNPAREESAISSELHLKSSLSKSSSQTLDKEVVLRRIRHQKSLNRIKSVFEGFRGCSASAQEQKWLQQDDVFSSP >RHN53078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41861229:41861923:1 gene:gene37830 transcript:rna37830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleosome assembly protein (NAP) MAKNLKRIQEEIEKMYKDERTEVLGKELYDKREIFDEYLNSIEVEDNQDAKSGYSITFNFDENPYFDNPSLAKSLTFHEGKLKETKATTIQWKKGKSMPDEVLESDDDNEVCPEKDDELMYEVKLTVWSFFNWFHTSKEQNEMRFPDQVADLIKEQTFD >RHN58739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4407953:4413999:-1 gene:gene20679 transcript:rna20679 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINFNPFNGNWFPFSKPPTPLPLPPLTSLLNFSNSSNFASTSISTTNTSKPTTTVEDEDTEPGPFTKMVQQFFYECDYLPNHRHTPQVNKVLNENDIYEVKPNATEQEMNENEEFMNEMYNNPIIHMLMRYEYIADKVNEWELKKNEKPRKPEDREHWKKVPNIIGLDGRPMPRKAQSRYAAQDKFWDFARQFYLGIWGFWQRPYPPGKPIDVAQAIGYNTLENRYYEFIMKSGVWFYKDRLGRTRGPLALHTLKTAWGGGVIDKNTFIWGEDMDEWAPIHMIYGMERAIATWEVRLAASATAFFHKLQKGIPPWVPVKGFEKKTYNQLQQEAVESKRRDLAVMEANDGLWPGVRIPSHALFLWASGTELTTTLEQDHMPNKYIPKDLRQKLAKLIPGLRPWEVLSIEQAMDRITFNDEWYREPLGSYNTGPPFIRRWNADRMRLYDVMNGIANLLGDVMMARFPGFDKIQKKVKEDSIKRIEIIRRRVKREARLRRLSGEEEEEERPKN >RHN38495.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:73366:73839:1 gene:gene50602 transcript:rna50602 gene_biotype:protein_coding transcript_biotype:protein_coding MSRADWRTGTNRSTSDRDTSPAPIRFPPDNFKHSLTLFSKSFSSFPRGTCSLSGSRQYLALDGIYRPIGAAFPNNPTRRQRLVVRQGPSTTGLSPSPAPLSRGLGPGPPLRTLLQTTIRTPRATDSHGGLIPVRSPLLRESLLVSFPPLIDMLKFSG >RHN62417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43285869:43287356:-1 gene:gene24992 transcript:rna24992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-Pl-4 family MATAVKHLSSMRLNDKSKNSKHRGGKVTAIETSEDKWNIDLSKLFVGPRFAHGAHSRLYHGLYEDEPVAVKNIKVPDDDEHGTIAATLDKQFFTEVTLLSRLHHPNVLKLVAACRNPPVYCVITEYLSEGSLRSYLHKLEGKTISLQKLIAFSLDIARGMEYIHSQGVVHRDLKPENVLINDDFHLKIADFGIACEEAHCELLADDLGTYRWMAPEMIKRKSYGRKVDVYSFGLMLWEMLTGRIPFEDMTPVQAAFAVLNKNSRPVIPSNCPPAMRALMEQCWSLNPDKRPEFWQVVKVLEQFESSLARDGTLTPLQNPCGLDHKKGLLHWIHKHSPTHQHHSSGFVAKPKFTCIF >RHN66173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9462331:9463013:1 gene:gene14103 transcript:rna14103 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTTTMKLFFVFVIVFATVASAQDLSPSLAPAPSPDAGAAGSVTSSMAMIGASIVLSMLAIFKN >RHN59023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6746880:6750373:-1 gene:gene21004 transcript:rna21004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative universal stress protein A MAKDRTIGVALDFSKSSKNALKWALENLADKGDNIYIIHISHDSLDEARNQLWAKDGSPLIPLKEFREPEIMKKYGVQIDIEVLDLLDTFSRQKEVNVVTKVYWGDAREKLMDAVEDLKLDSLVMGSRGLSTIQRILLGSVSNFVMTNAPCPVTIVKDNCSSSSK >RHN81362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45238471:45241376:-1 gene:gene5386 transcript:rna5386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB family MSDLYGLPEDLRRLITSSRNTTHLPAEPPCLYGGAATFPPPNYSYDPMMVGDVFYPRSNFTHHHYDYSSTVNPTTTTIAAASNSDHATASTAFCVGLETSDKGWLGNFDSCNNRWPRQETLSLLEIRSRLDSKFRENNQKAPLWNEISRIMAEEFGYQRSGKKCKEKFENLYKYYKKTKEGKASRQDGKHYRFFRQLEAICGEPNTNSHASTLDKITPNASFVATQTPTFTINQENTNGVDHNLIHNHKYSQSLSFSNSSEFETSSSENNDEDLSTIAHTMKSSKEKGQSGHRRARKSWRGKVEEIVDSHMKNIIETQDAWMERMLSVVEQREQEMASKEEERKRKESMRFDQEIHELWAKEKAWVEARDSALLEVVRKHIGIQLEAPNKSKSQEANDTTNYEYPFESVDNIHRWTEMEISNLIQLRSGFEHQVREKGYLDDGVWDEIGERMVYMGFNRNGAECKKIWDDISMSLRRTVDCGVKNTRPWCLGLKVTDDDDL >RHN80307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37046668:37050811:1 gene:gene4214 transcript:rna4214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphate-transporting ATPase MAGQLGVLNALDVAKTQMYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTDPTQPKPGVLPPGVQAAVTGVALVGTLSGQLFFGWLGDKLGRKKVYGITLMLMVGCSLASGLSFGNSPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGIMAGGVFALIVAAAFDHKYQVPTYEENAKASLVLPAFDYVWRIILMFGAVPAALTYYWRMKMPETARYTALVAKNGKQAASDMSKVLQVEIEAEEEKVQNLAENQNQKFGLFTRQFAKRHGLHLLGTTTTWFLLDIAFYSQNLFQKDIFTAIGWIPPAKEMNAINELYRIARAQTLIALCSTVPGYWFTVAFIDYMGRFAIQLMGFFFMTVFMFALAIPYDHWTKKENRIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTKTDHGYPTGIGIKNSLIVLGVVNFFGMVFTFLVPEPNGKSLEEMSGENEDDDAEAIEMAAGSARTVPV >RHN79586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30314380:30316617:-1 gene:gene3399 transcript:rna3399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDQLDQPLVSNSSLVFPLMITLILLIFFTTIFSYLISCFHKFFSSSVFCSCEICQAYLSSSWSKDFNNLCDWYAHLLKKSPTKTIHIHVLRNIITANCENVEYILKTKFENYPKGKPFSSILGDFLGRGIFNVDGDLWKFQKKMASLELNKQSIRSFAFEVVNNEIENRLIPLLQNQNLNQVVFDLQDVFKRFSFDSICRFSFGLDPMCLETSLPMSDFALSFDLASKLSAERAMVVSPLIWKIKRFFNMGSEKELQKSINIINMLAKVVINQKRKLGFSHHKDLLSRFMSTTIHDDMFLRDIVISFLLAGRDTVASSLTSFFWLLAKNPEVEKEILLEAERVIGPHDENNIFGVTNFEQLRKLHYLQAAAHESMRLYPPIQFDSKFCLDDDVLPDGTKVKSGTRVTYHPYAMGRLEELWGPDCLEFKPERWLKDGVFQPSNQFKYPIFQAGLRVCIGKEMALMELKSVAISLLRKFHIELEDRTMFHGNPRFSPGLTATFAFGLPVFVRPRGTK >RHN47368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39200620:39200946:-1 gene:gene41960 transcript:rna41960 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFISIHVDMANVHRNFPSTIQIGFALLALLFSNNAKTIAARFVPSITPQANEHSLQSPTCVKLGCTKNQQNNLDPSLTHKVDHFLQPSNVYRIFRLSPGGPDRHHN >RHN43735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48058973:48059199:-1 gene:gene50356 transcript:rna50356 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGQIMDLVTYFRTSKMFSAKSALWGPLNGRYVGLHAVTSIEHLK >RHN50433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7087093:7089206:-1 gene:gene34716 transcript:rna34716 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MINSLLGTFTPLYSKYAFLGALRSAAQMVSYEVSIGLILIVRLVSAFGSAKAIARMFP >RHN55983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26328981:26334808:-1 gene:gene31288 transcript:rna31288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MRIIDYKNNKSYSICYIQRENNMIKILTFLYALVLFLSLFIFSIAAQNLMKCNTDDECPKFDDKFPLSFKCINDGCRMIVLFRLTKWL >RHN82707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55811499:55813841:1 gene:gene6888 transcript:rna6888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PsbP family protein MVVSSCSLTWTSSYLSHKLNLPHSNHLLRNTTTSSSNNVSCAMETTSSTERHCQRRPLLLGIGALTANLLPANSLLAEEIPDRYRSFVDYEDGYSYIYPSDWKEFDFRAHDSAFKDRYLQLQNVRVRFIPTEKKDIRDLGPMEEVITDLVKHRYTAPNQRPTIYDMQERTIDGKHYYTMEYVLTSRNYASASFTTLAIGNGRYYTLIVGANERRWKKVRDQLKVVADSFRLLDI >RHN68673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37705013:37714771:-1 gene:gene17055 transcript:rna17055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [histone H3]-lysine-36 demethylase MAESNGDVFPWLKSMPVAPEYRPTPAEFEDPIAYIFKIENEASKFGICKIIPPFPPSSKKTTISNLNRSFPNSTFTTRQQQIGFCPRKPRPVKRPVWQSGDHYTFSEFEAKAKWFERSYMNKKKKNSNSALEIETLFWKATVDKPFSVEYANDMPGSAFADTVENNNKPFSSVANSTWNMRRVSRAKGSLLRFMKEEIPGVTSPMVYLAMLFSWFAWHVEDHDLHSLNYLHMGASKTWYGVPRDAAVAFEDVVRVHGYGGEINPLVTFSILGEKTTVMSPEVFISAGVPCCRLVQNAGEFVVTFPRAYHTGFSHGFNCAEAANIATPEWLRVAKDAAIRRASINYSPMVSHLQLLYDLALALCSRIPGGISAAPRSSRLKDKKKGEGEAVIKELFVQDVLQNNDLLHVLGNESSVVLLPRNSVDISSCSKLRVGCRPPKVNPGFSFDVCNSEGLSSSKGFVSDDLVFDRNRGIAQEKNLCSVNDELTLLSEGKGIPSLDANGNKSPSSSKQLQRDSESETSQGDGLSEQRLFSCVTCGLLNFSCVAIVQPREPAARYLMSADCSFFNDWVAASGLPGSNKYTAPHEDAHIPEPNMYAGWTKKNAQEEALHSEGENGNTAATALALLASAYGSSSDSEEDAVDGHESNAINFTSESLPSNFCDSNDNPMTILDKDDTLSESASYEAHRNECNLSHHPRDQSFEEQDYKITSGAAFENTRAMPYSTTYSSRDTNDAEKSLSIEAIVPVNHKNVLLVPQCDEESSRMHVFCLEHAVEAEQQLRPIGGAHILLLCHPDYPKIEAEAQLVAEDLGIDCTWKNIAYRHGTKEDEKRIQSALDSEEASLGNGDWTVKLGINLFYSASLSRSPLYSKQMPYNSVIYYAFGRSSPASSPIEPKVYQRRADKQKKVVAGKWCGKVWMSNQVHPLLAIRDSEYVEDERSLRGLVLPDVKIERSGSTPKTATAITKSGRKRKTTSESRRRIRKGNFDDKDVVLDNSAEDEPSPRPRRFLRSKQAKGVEKDGAALQRNCSPYHHRKPISKQTNCTESDVVSDDSIDDDYMQNRWSFNVKKAKFAGNEVVSDDAEDYDSDCHQMEELRSNQDEGTERDSVSEDSLDVGSLPLHRKTSRSKHADYIGEDAISDDQMESGCQKQKKRIAKSWQGKYLAEKDSVISDDQLELNKLKQQRGNPKSRKARNLANEDAVSDDQTNVYRRKYQRRAVKVRQAKCVAEEDVMSDDQLEVSYQRHKTGISRRKNKGIDRVKNEMSDDQLDDHFQKQQRKNPRSRHIKQTDEEDIDDSADNNAHLLHRNPKRKKAKCKDEDHMILDNEMEDDSLQQRKRTLPSKQSKRKTLKQMKQSKTLQMKNQTPQPVKRGAQKNAKQVKQPSHLRSNLSDNSREPSIDMEEDEEGGPSTRLRKRVLKAQESEVKSKDRETKRKRANGVAAAKVSACNPKSEDEEAEYQCDIEGCTMSFESKDELVHHKRNICPVKGCGKKFFSHKYLVQHRRVHEDDRPLKCPWKGCKMSFKWAWARTEHIRVHTGARPYACAEPGCGQTFRFVSDFSRHKRKTGHLAKKIRQ >RHN57560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40033726:40036351:-1 gene:gene33127 transcript:rna33127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MNFNDVKEWFVLSSILWSMIFVQLIVTGTQILSRIILVEGTFIFALTSYRVLVAAVCVAPLAIYFERGQPKNFSCEVLTKIFLNGFVGMSMVMVLYYYGIRDTSATYALNFLNLIPICTFLTAILFRMENLNIHTWNGRAKCVGAILCVAGTLAARLYKGKEFYIAQYHSFHSVAAHKTQMLRGTLFLIGACFSYSAWFFMQVKLVEVFPLRYWGIMLQCVMAAIQSAVIGACVDSSKEAWRLEWNLQLITILYSGALSTAAVFCLQSWAMTIKGPTYPSMFNPLALVFVAFAEAMILGEPLTVGTLLGIVLIIVGLCSFLWGKRNEMPTLPQTNVEGQELPSMVGDHTVP >RHN80153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35603237:35608860:-1 gene:gene4043 transcript:rna4043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MRTFFNGESSKEEHLSSLNPQSWLQVERGKLPKLSSQSSSASIESLIKVQQPPVLPFFKPVDYVEVLAQIHEELESCSSQEQSNLFLFQYQVFKGLGDVKLMQRSLREAWRRASSVHEKIVFGAWLKYEKQGEELVAGLLTGKRQNEYGPIDVESHIPSDVKVSSQESVLMNGNNTSQYVIFIIGDEKIVCDRQKFSELSAPFRAMLNGDFWESSSEAIDLSKNNISPSGMRAISYFSMTGSLLEQVSPKLLLEILVFSNKYCCDRLKEACDRRLASLVISKEDAVELMEYALDENSVVLAASCLQVLLRDLPHCLSDNRVVDIFLHANKQQLAVIVGQGLFALFCFLSEVSMNLNSSSDTTAHFLERLADFAESNKQRLLAFHRLGCVRLLRKEYDEARCLFERAVNNGHIYSVTGLARLDSIKGEKDLSYEKISSVISPVTRLGWMYQERSLYCDDDLRWKDLEKATELDPTLVYPYMYRAASLMRTENSQGALAEINRILGFKLSLECLELRFFIYLALEDYKAALRDVQAILTLCPRYRMFEGRVAASQLRTLVLEHVEHLTTADCWAQLYDCWSAVDDIESLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLAWQHASSEHERLVYEGWILYDTGHYEEGLQKAEESICIKRSFEAFFLKAYALADSGLGSICSSTVISLLEDALRCPSDNLRKGQALNNLGSVFVDHGKLDQAADCYIKALKIHHTRAHQGLARVHFLKNDKAAAYKEMTKLIEKAKNNASAYEKRSEYGDRELTKADLEMVTRLDPLRVYPYRYRAAVLMDNHKEQEAIAELSRAIAFKADLHLLHLRAAFHEHKGDVLSALRDCRAALSVDPNHQEMLELHTRVNSHEP >RHN38792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2014569:2014897:1 gene:gene44759 transcript:rna44759 gene_biotype:protein_coding transcript_biotype:protein_coding MENQPAMMEDSSRSQVVGPGLGFGLGPGLQKLAGGVHGGKRRKHRRCL >RHN59958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15342765:15343091:-1 gene:gene22130 transcript:rna22130 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFDASAFTGNPSLCGPPLPVKCSGHDDLSHDSENDITYDNWFLLSLGLGFATGTLVPYFVLAMKRSWSDAYFDFLDQFIDKILLRLRHRQGRNHGQRRKIQHRQ >RHN71952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3895974:3903301:1 gene:gene7613 transcript:rna7613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDSNNNDPMENMLRYYVLEYLTRTGRHHAAYVFMNEGNVPEFDPIGREFMGSMLDSSDGVLLHEWWSTFYPVFDSRRRRHQESNREPSNKVQRITNAARNNPSFRIPQIPKNEQGPQQFQPSSSFNNMMPPPAAHLISPRLYINDHLGNVAEQVDPILLALINGNGNANNPNFFLGSSSIHPSQDVGTQVQQQVYKDSGVGMHVGSPMPRNPLDARQKAMLPLDGPHVTNNYEAPNFVPMNGWPLNMPTSILQIPNYGQQQPEVLNTQNQDAILTQALTSTPNSQTFTAPQNFTSCNNQYPEIPKTESNNNYRELVDQTVGAGEPQCKQDQQMQMQSQNLYERRKRKITAPVAPRECVPIMDQTVRAGEPQHKQDQQMQMQSQNLDKSRKRKIIPPVVPGECVPMMDQTVRAGEPQFKQDQPLQTQSQNLDKSRKRNMTAPVASRECVPDCVDPADGKPADENVDSYLSIENADADLRTLPFSNLKRNSGTKSRNQNKGFSLKEVGCLHSSKSKVLASHFSSNGNFLASVGHDKKVFIWDVGTFQSYATEETHSLLITDVRFRPQSTIFATSSFDRSIRLWDTTKPTKSLFKLSGHSEQVMSLDFHPEKVDILCSCDNNDIIRLWNVNKRSCLRVTKGGSKQVRFQPLGMLLATATGNNLKIIDVETDKLVYNLKGHDKDVLSICWDRTGKYIASVSEDCARVWSNGECIGELHSNGNKFQSCIFHPGYCNLLVIGGYQSLEFWCPTDESSRTVSVSAHKGLIAGLADSPEAELIASASHDCFVKLWK >RHN55142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15493965:15501024:-1 gene:gene30276 transcript:rna30276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterile alpha motif/pointed domain-containing protein MSNSSRGRITITLGRSGQVVKRDVTAVDVPYSSSMSSAGTKRSVRDRIGYSGDSSMRHGNGVSGNKRQRGDASMRNGPDDGRIGKDDLRLKLMRKSASRRDESNDNNRNVDLREKLKKTAHPPTNSFNSKQHMPEPREPSLFRPVPSARSSDDIMRMESMRNSYSPWTLDQIRQRSPEEYPSTSRGISPQRNAGDPQRRPLNRTYDGVRPVQYAGRDVLETSRPPSAAPSSFMPRSTMSTLPPVTAKPVPSHPGRLPPSGSVPQRPPFVGNEQQPQTVDGLLQALGLQKYQILFKAEEVDMTALRQMGENDLKELGIPMGPRKKLLLALLPRKRPQ >RHN57781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41691747:41694827:-1 gene:gene33367 transcript:rna33367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-iminobutanoate/2-iminopropanoate deaminase MASLCGPTCFTIPATNGGLLRHRTSLTTRRGCLSVSGGTSFFHTSPSSKRSLSFTCLSISSDSRIGIKEAVETEKAPAALGPYSQANKVNNILFVSGVLGLVPETGKFVSDNVEDQTEQLLKNMGEILKAGGASYSSVVKTTIMLADLKDFKKVNEIYAKYFPAPFPARSTYQVAALPLDAKIEIECIATL >RHN45386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19057694:19060806:1 gene:gene39695 transcript:rna39695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MLSYLQLHSIQRIILRLFYLLIFISSFPTTHSYPSRTHITIYASCTEEKYQPNTPYESNLNSLLSSVATSSSEVTFNSYGIGNGTSTQPEGAVYGLYQCRGDLHPIDCSKCVGRAVNQISLVCPYSLGASLQLEGCFVRYEHSGDFLGKLDTSIRYKKCSKHMSSDVEFFRRRDDVLEDLQTANGFRVSSSGLVQGFAQCLGDISVSDCSTCLVDAVGKLKSLCGSAAAADVFLGQCYARYWASGYYEESDSHNNDQVGKSVAIIVGVFGV >RHN39033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3656188:3657448:-1 gene:gene45022 transcript:rna45022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MTSTVNKFDIVIMFIFIVILPISTLEVEEDICKIMPLTISKYACDKSACNLVCMKMMHATYGDCFPVSSMISFCVCYFKC >RHN74372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32287271:32288486:1 gene:gene10426 transcript:rna10426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQIPRYFYAFIIFLYLFHVATTNRFLYRIGCDTSNDCPSYMCPPPLSPRCTKFYCKCI >RHN49214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53338025:53343267:1 gene:gene44022 transcript:rna44022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKPTKPRRNSPSPFSSLSHLNQTKLKNLNILSFLCCFNLMLSESVFCHLTEDLLIRVLDKLDSDRKSFRLVCKEFLRVESTTRKTIRILRIEFLLNLLQKYQNIESLDLSVCPWIEDGAVSTLLNHWSSSWTLGIKRLILSRVTGLGYVGLEMLIKACPLLEAVDVSHCWGFGDREAAALSCGGKLKEINMDKCLGVTDIGLAKIAVGCSKLEKLSLKWCLEISDLGIDLLSKKCFDLNFLDVSYLKVTNESLRSIASLLKLEVFIMVGCYLVDDAGLQFLEKGCPLLKAIDVSRCNCVSPSGLLSVISGHEGLEQINAGHCLSELSAPLTNGLKNLKHLSVIRIDGVRVSDFILQIIGSNCKSLVELGLSKCIGVTNMGIMQVVGCCNLTTLDLTCCRFVTDAAISTIANSCPNLACLKLESCDMVTEIGLYQIGSSCLMLEELDLTDCSGVNDIALKYLSRCSKLVRLKLGLCTNISDIGLAHIACNCPKLTELDLYRCVRIGDDGLAALTTGCNKLAMLNLAYCNRITDAGLKCISNLGELSDFELRGLSNITSIGIKAVAVSCKRLANLDLKHCEKLDDTGFRALAFYSQNLLQINMSYCNVSDHVLWLLMSNLKRLQDAKLVYLVNVTIQGLELALISCCGRIKKVKLQRSLEFSISSEILETIHERGCKVRWD >RHN58544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2695918:2696304:-1 gene:gene20466 transcript:rna20466 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta MQIIGPVLDVVFPPGKMPNIYNALIVQGRDTVGQEINVTCEVQQLLGNNRVRAVAMSATDCLKRGMNVINTGAPLSVPVGGATLGRIFNVLGEPIDNLDSVDTGTTSPIHRSAPAFIQLDTKLSIFET >RHN62130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40971583:40975887:1 gene:gene24665 transcript:rna24665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, AT hook, DNA-binding protein MAENNKPEEEPFFLTLVPHTDSAINNDSAMSQLRDSLLSQLTQLNPSLTPSTLTDRSSFIQSRLQQLFHSFRTPTHPPYALMINKAITELKDKIGSTEEAISEFVKREYEDLPWAHGKILSLHLKRLCEAGEIVCNENGRYVFPIGGVEEKERRKCGRKRKRGGNRRGNRGGDVERGMNLVSVGSDETSTPVCQSDHYSIGNVEEQTQVQMVKNLSPADGEEEKERGKGSRKRKRGNTRSSRGDGESGNQLCWLDSAGTDEPSTQVSESDHSIGSVEEQTQIQPEEGIVEHTELEETQIQMVRSKICAEGSPECIISTGPGIESSSPTQVPHQISTDIDMGITTALVCANGDDDERPQDYNEGNTNGNSDENPMSDSDGLQEMQPKRKRGRPRKSESDSNCQEKPLLLKRGVTKNKKKKEQNGQTRGRGRPRKVNQDTEQCEEELKESEERKEEEKSRKKEEKLKKKEEKLDQAKLYGGEKKLKIKDQAKLRGQGRGRGRGRGQGRPKVCDAIAEAPASEDQTKLRGRGRGRGRGRGRGRPPKAKVIP >RHN65075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64026822:64030964:-1 gene:gene27968 transcript:rna27968 gene_biotype:protein_coding transcript_biotype:protein_coding MHMICAVQDCIAYDNSYEMKVQYDGMWDDESKIEDELHILTVLHLLLISCITFQIDFYVP >RHN42209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36375247:36376561:1 gene:gene48623 transcript:rna48623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cu(2+)-exporting ATPase MLDHNIAISVEAEEILAEAENMAQTGILVSLDGEIVGVLAVSDPLKPDAKEVISILKSMKIKSIMVTGDNWGTANSIARQAGIETVMAEAQPETKAIKVKELQNSGYTVGMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDIIIAIDLAKKTFSRIRLNYIWALGYNILAIPIAAGILFPSTKFRLPPWIAGAAMAASSISVVCSSLLLKKYKKPTKLNNLEMNGIQIE >RHN53915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5287379:5287825:1 gene:gene28880 transcript:rna28880 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSWKPHMNLLNQRETQTLFSRGPTCHQILNFASRSMTNYCCLIAAVKNTKFKVKGCGCKCNEEEYGVTEEDKDIVKILFQSKPYFSVQRGRVFVVHISAEVVATPNYFHAILKVPPTPLSVP >RHN47915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43528285:43528563:1 gene:gene42569 transcript:rna42569 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRFFTSIRRLSTLFHWPFLWLHHLLLKFPAYLDLNDQNFRRRRRCKSTSEVNERISPIVSVHRRVVHKPAAVVRRCLEGGVAGKGSKFWR >RHN71955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3915979:3917436:1 gene:gene7616 transcript:rna7616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative senescence/spartin-associated MAATMLTGNTLFQTSNSFPNVPSLSLPKPSRIFLASNWRNASEGTKNNSLSWAYTSSTKTRIYADGTADKAKETVNAGIDDIKQFGQDANEKTKDAASSIADKAKEDTDKAVEAVGSAGDKVKDYAYDANDKTKEAIGSATDKAKEGFEAATKNTQEAAGSATEALKNAGDQAKEAVEGALDAAKNVVAGKE >RHN63203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49322049:49322490:1 gene:gene25879 transcript:rna25879 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHFATFSSFSLVPKLCRHKRRNSCIVLYTVHTCLRIITNCIEETQHNINLNIFCS >RHN45042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11068372:11074542:-1 gene:gene39238 transcript:rna39238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EEIG1/EHBP1 domain-containing protein MKGKGRRSGGTVQLDYLIHIQELKPWPPSQSLRSIKSVLIQWENGERTSGSTKPVSASLGEGKVEFNESFRLSATLVKDMSVKNVDAFQKNTLEFNLFEPRREKIVKGQLLGTAIVDLADCGILRETLSVSVPLNCKRNYRNTDQPILFVKIEPVEKNRTKSTLKDTLSKENCDGGGDSVSALMNGEYAEEAEIDAITDDDVSSHSSMAAVINSPDCEENGKARKNGRNDKEHHLVSETRIENFSMMQQDMSYTEASSNVGSSINGHSSMTNIPNHGLETTQKQVDSPSADYSPISLEENSLSRSKSSGHENLNQEIQEKASLDSNHFINKNSDSVNCDGLEIEDKLRERCEEADKYCVKEGGSDEYYYNSVEDKLSNDPKSERSKYVKSVRSSGDLTRSTGLLGNNYHAEVKENGINGDAQNNGGNIRSGERKDSKIYPRDAKNTSLDSKIEQLENKINMLEGELREAASIEAALYSVAAEHGSSMSKVHAPARRLSRLYLHACKENIPARRSGAAKSAVSGLVLVAKACGNDVPRLTFWLSNSIVLRTIISQTTKDVAQSNRSRTSPRRKSGEGNAKIVQPLIWKGFSPKKSKNLEVEYGGLGKWDDPNMFTSGLEKVETWIFSRIVESIWWQSLTPHMQLVDAKIIQKDVGSTTRKSFAKMSSSHDQELGNLSLDIWKNAFREACERICPVRAGGHECGCLPVLPRLVMEQCIARLDVAMFNAILRESADDIPSDPISDPISDPKVLPIPPGKSSFGAGAQLKTAVGNWSRWLTDLFGMDDDDSLKDKDDDIDNNDGNESFKAFHLLNALSDLLMLPKDMLLSASIRKEVCPMFGASIIKKILDNFVPDEFCPDPIPTAVFEALDSQDDLEDEEESVNNFPCIAAPIVYSPPRATVITDIVGEIGSEFQPSQLRRSRSSVVRKSHTSDDELDELNSPLSSILFTNSSSPVSTKPNWKKVSHNEASVRYELLRNVWMNSE >RHN39598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8569329:8570880:1 gene:gene45637 transcript:rna45637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSGSRKSRARQRPNPLKQSKLITRKLQLVYDDPDATDLSEDESQQPRTTTKRSFVEVPLPLHVPASVSLASQNSFSLKQKTVTRRNTCLSAQPRTNKKVVPTTTSPTTGRRRSCAIYPGVRMRKWGKWAAEIRDPFKNARIWLGTFNSAEEASQAYESKKLQFELKSHAMEVETYIKKGSASAAPPVAALNKNYSADAHAANASVSEKFSTAEDSENLFSHTSPSSVLELDTLTSNSIETEAAAVTAVNEASEMVSCQLEELEIPDMSVLNLPEPITAENPTGTDPNFGFGFDFDRFNIDDFGPDFDEFGDYGDLVDFMDIQIHGFDDNEPSELPDFDFGDIGDDDEFAGWIEESLQHNIACV >RHN48789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50273869:50274606:-1 gene:gene43542 transcript:rna43542 gene_biotype:protein_coding transcript_biotype:protein_coding MLNASSTVLVSDKDPELLTKSMLQLLFITIEAINSTAPASSMQRLFVSLPEAIDLSLFRHLSCTVRDE >RHN55691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21162703:21167245:-1 gene:gene30915 transcript:rna30915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CLU domain-containing protein MFYVINSSLANTLDPRPCKAATSEETTLVSLLFKKGSEVCMFHSCFTLENLAEHRCDAASAENSLTLLYGMQETGMRSCNPVENFLDRRVYLIVMPWELYKVTSDFVEAAIIGATGVISGCIPPINPTNPECFHMYWHSNIFFSFAIDADLEKLWKKHADGNSKTFSTSILLSSSDKVPNGRKGDGSSLEDTETTQDISPEAQLAENEQAAYASANNDLNDTKAYQEADVPGLYYLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNIKKISWNEGFHAKVSEASKRLHLKEHSVLDGSRNVLKLAARVEFKGIVGGDDRHYLLDLLRVTPRDANYTGTSSRFCILKPELINAFCQDLTKEEASGCKEDIVFNPNVFTEFKLAGSPEEIAADEENVRKVGQYLTDVALPKFVQDLCTLEVSPMDGQTSTEALAAHGINVRYIGKVAGRTKHLPHLRDLCNNEIVVRSAKHVIKVLLLWLCLATLVVGDYAPSPQNPFVNFTHIPSPPPPHQQAPMKSPLPAPPPMKSPLPPPPQASPPASSSFGGLGWAVLVIGVIAAFFVGYCCRIRHSGVEAAEEESIDENDLT >RHN73247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14742104:14748471:1 gene:gene9043 transcript:rna9043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MASSSKRPAPTGILPEEGRKKLKLSSDSLPCLTHGDVVDYSSPYAISNVINRLESGKFGSVTKNIEDLITQKMQILGPYFAKCPRLVNQLLRVVTDHDEETPNMENKNVNGLQHDNVIDLDSDGEHTEKDILAPKRGYNVTAVPFIIDSDEEDDKDHGHDTVPTDHDEETPYIESEKAAGMSYENVTIDSNEEDDRDQKKDILAPKRENDVPPAPFHEVIIIDSDEEDDRDHDEETPNMENEKVTGLSCQNVIIDSDEEDDRNHDEETPNMENEKVTGMSCQNVIIDSNGEDDRDQTSFLPFHEVALPKPVQSPALEMIGYHDPNAYHGEHADLKFETSLLPKDNSKKDKGNYVGVHVEEDNKELETSLSPEDNTKKDKGVYVGVSEEEDNKVDTEDDGLEDVWREMAMAMETSKDVSADQPPEEEENDADCDHSFILKDDLGYVCRVCGVIDRGIETIFEFQYKVKKSTRTYMSESWNAKEKADIFGVKIAKDDLSVTEISAHPQHANQMKPHQVEGFNFLVSNLVGDHPGGCILAHAPGSGKTFMVISFIQSFLEKYPDARPLVVLPKGILSTWKKEFLTWQVEDVPLYDFYSVKADSRSQQLEVLKQWVDNKSILFLGYQQFSSIICDNCSNNTSISCQDILLKKPSILILDEGHTPRNENTDTVQSLAKVQTPRKVVLSGTLYQNHVKEVFNVLNLVRPKFIKMETSKPIVQRIRSRIHLPGVKDFCDLVENTLQKDPDFKRKVAVIHDLREMTSKVLHYYKGDFLDELPGLVDFTVVLNMTPRQKHEVKTIKRVFRKFKASSVGSAVYLHPDLKPIAEKCSENSISEHTMDDLIANQDVRDGVKLKFFRNMLNLCQSAGEKLLVFSQYLLPLKYLERLAMKWKGWSLGKEIFVISGESSTEQRECSMEKFNNSPEAKIFFGSIKACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQKRKVFVYRLIAADSPEEEDHSTCVKKELISKMWFEWNEYCGDKAFEVETVDVKECGDMFLESPLLGEDVKALYKR >RHN69639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45158500:45159940:1 gene:gene18133 transcript:rna18133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain-containing protein MGAASMIWNPDLIQYLCIAKSTTILAHHINKNPKDSNIETLASKCLEQSPPNHSFFSHTVNNRTYTFLIEPPFVLFAIFDTHLLKSHAITFLNRIRSSLIETLNKNDNFTPFSLQPQFDSVLNETLNYYDLSPNSDNGKSSQPTTLPLLGNSGEGLKKKKRVVDDAKESAMVDLSSDDGAVLCPFNKVNDRQKAKHIWKKHVWIVLLLDLFVCAVLFVIWLWVCSGFQCMAY >RHN56073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27410253:27413500:1 gene:gene31399 transcript:rna31399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAEASERVALVTGANRGIGFAICKQLVSNGIKVVLAARDEKRGLEAVDKLKDLALPGHVVFHQLDVIDPTSIGSFADFLKNQFGKLDILVNNAGIVGAQVDGEALAALGVVVDPSKVDWTKIYFENYELVEKGLRTNYFGTKELTRILIPLLQCSSSPKIVNVSSSIGRLEILANGRPKEILSDVENLTEEKIDEIMNEFLKDYKEGSHETKGWPQSNSAYIVSKVALNAYTRVLAKKYPSFSINAISPGFVKTDMTHGNGALTSDEGAEPIVKLALQDGSPSGLFFSRGEEKSF >RHN71510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:663247:670255:-1 gene:gene7119 transcript:rna7119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, EAG/ELK/ERG MESNFSSYNPHDLASSLKRKPHDHDVKEEDYYTSSSSFNLRNVSKLILPPLGVSKQNSVSSKGWIISPMDSRYRCWESFMVILVAYSAWVYPFEVAFMHSSTNRKLYIVDNIVDLFFAVDIVLTFFVAYVDGTTHLLVRDSKKIVVRYLSTWFIMDVASTIPYEAIGYFLTGKHKLSLPYYLLGMLRFWRIRRVKQFFTRLEKDIRFNYFWVRCARLLSVTLFSVHCAGCLYYMLADMYPHEGKTWIGAVIPNFRETSPRIRYISAIYWSITTMTTVGYGDLHAVNTMEMIFIIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIEAASNFVCRNRLPPRLKEQILAYMCLRFKAESLNQHQLIEQLPKSICKGICQHLFFPTVEKVYLFKGVSKEILLSLVAKMKAEYIPPKEDVIMQNESPDDVYIIVSGEVEVIDSIIEKERILGTLTIGDMFGEVGALCCRPQNFTYRTKTLTQLLRLKTNNLIEAMQIKKEDNILILKNFLQHFKQLKDLSIKDLMVENVEEDDPNMAVNLLTVASTGNAAFLEELLRAGLDPDIGDSKEKTPLHIAASNGHEECVKVLLKHTCNIHIKDMNGDTALWYAIASKHHSIFRILYQLSALSDPYTAGNLLCTAAKRNDITVMNELLKQGLNIDSKDRHGMTAIQIAMSENHVEMVQLLVMNGADVTDVHVHEFSASILNEIMQKREIGHLINVSEVMPSEFVLKVQNQEEHKQIWGRYNGLECPRVSIYRGHPVVRRERGFIEAGKLIRLPDSLEKLKTIAGEKFGFDAKDTMVTNEEGAEIDCIDVIRDNDKLFFVEEAIDA >RHN53880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4951803:4955764:1 gene:gene28840 transcript:rna28840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MMQIMSPIYVPELGMEDLNFFNQYTMDSLASPTLFDNFGFHFDDNETTPNCFPVETHPDDQTRPTKKIKTSITPSSQSSPQLISFEHSSSTPIASKQFYNLDYSDVKPKVGKRCNENKDFLPALVSQGSYEDQKIFSNYDNQANQTRNTAQAREHVMAERKRREKLTRSFIALSAIVPGLKKMDKASVLGDATKYMKQLQARLQTLEEQAEDNKKAGSTVQVKRSIIFTNNNDDDSNSNNQPLPEIEVRVSSKDVLIKIQCDKHSGRAATVLGQLENLNLTVHSSTFLPFGNNIVDVTIVAQMNKENCVTAKDLLGSIRQALIIQN >RHN41789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33006002:33006373:1 gene:gene48149 transcript:rna48149 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQGDNLSFPSNIVTSETQRNIHSKQKSHYSPLRKGKNYKTYKVDHWNTYLSVSDSWKPYQTRGR >RHN49265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53668193:53672302:1 gene:gene44079 transcript:rna44079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Homeodomain-LIKE family MHHSSDGDPEFSPRIGHAYQAEIPSVIAKSDQLSLRMNPADSEDVHDKSLSFSIDLPISDAWSDADTNSFVLGLFIFRKNFTHIKQFIENKGMGEMLSFYYGKFYKTDGYLRWSKCRKKKGRKCMIGHNLFAGPRQHELLSRLIPHVSEESQEALSQISKSFMEGGTSLEDYISFLKSTVGLGVLVEAVGIGKEKGDLTRLGMEPGKDVEAFPAPACKSLSSLGLSEIIQYLTGFRLSKTKSNDLFWEAVWPRLLGRGWHSEQPKYRGYVTSNDNLVFLIPGVEKFSRRKLVKGDHYFDSVSDVLRKVVAEPNILLLKEEEEEAKVGSCNEEEPENGSNEDDLSDDHRQCYLKPRSSTYSKDHIKFLKSTKLTVIDTNRYSEGKLLKLKAKQLKYLPVELEDASTMTTSLLRESKGGSSIDDSPRKVEAKRLICDKKNINKTDGCRGVSNSGAASKKTHDNLDNNRLTRIIKHQFNQRVRSGDSNHAAVPIKRRKLTACVKSEKSRIVENSSGCLGFSRSSSFLDANQNVCGPVSHQQNENSTSSADRSVEEDDRKSIINNSYQRTSVSCVKVEKSESFTFNIPQAPSKSENSKTVAMAAENEQGLKAKDPCLTSASQEVVQEPLRIPCDVGSLEQQPDMNPRRQSSRNRPLTVRALECIANEFLHVPKRQKKKDIQTHQDPFNPCRKART >RHN48413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47303567:47303983:-1 gene:gene43127 transcript:rna43127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MRWKEKHTLTNLPVDVFELILKRLPLRDCLGLRAICRSCRKTVSNVIENKHYCHLPELPLVFLRSKNSRFYYNLSTESVHHRNTLLWQSTHECLGSIEGWLIVGDFSQEGFAKNFFLNFMKYLPYYDRGYQRLYFLIL >RHN47276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38438580:38440263:-1 gene:gene41853 transcript:rna41853 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVAKDLMRRRERADAFCEAIRALDATDLPANSVRDFFLPAIQNLLKDLDALDPAHKEALEIIMKERSGGTFDTISKVMGAHLGLPSSVSNFFGEGGLLGKKESTEPPTDAAVSPKAATPPAEDTRFRRIMLGNFSDMLRGKAKTQEDGQNQ >RHN76747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:951924:952655:1 gene:gene120 transcript:rna120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MDVGKARRMQPLLFIYWPYDASVTQLHDYQNLDLFFFEKDLHNGTKLNMQFTKTGATFLPREVANSIPFSSNKVENILNYFSIKQGSAESENLKQAIGYCETPTIEGEEKSCVTSLESMVDFTTSKLGNNVEAVSTEANKESDKQQYIIAKGVKKLSENKIVVCHLLSYPYAVFYCHKLRATKVYYLPMEGIDGTKVKTAAICHNDTSQWSPKHLAFHVLKVQPGTVPVCHFLQHGHVIWFSK >RHN67733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29855686:29859621:-1 gene:gene15954 transcript:rna15954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase TKL-Pl-7 family MASALECWSRRNNNNNEEEDMVEQQVLMETNPSSQPNNNNNKESSIIHKKFNKLTRNVSEAIASLKNTLNINLDSSKHDNNNNNTCRSSNNLVWGTVVRNLTQLYPGSQLPEKLMSNIRKHYDSLPPSYSQAGFDVKDVFIHIKLIEQALDDGQAAILIEEECDGDMQLQGSLFKLTFACNSPISWPAMSSALDSSSICCKKIQIFEKKSLTLGIVLLLVLSGAGQDKLVRTRVESALKFAMKKPKASGVKLPFGLCGCQEENFKGREFGEIEEDGSGVYCGNGFENLSQKIQLEIPLPNSSFHVSVDEWQTIQTDADEIQKWVLSSDSLEFSEQIGHNSYKGTYMGKRVGIEKLRGCDKGNSYEFVLRKDLLELMTCGHKNILPFCGVCVDDNHGLCVVTKFMEGGSVHDLISKNKKLQNKDIVRIAIDVAEGIKFLNDHGVSYRDLNTQRILLDKHGSACLGDIGIVTACKSSQEAMDYETDGYRWLAPEVIAGDPESVTETWMSNVYSFGMVIWEMVSGEAAYTAYSPVQAAVGIAACGLRPEIPKDCPQTLKSLMTKCWNNTPSKRPDFSEILAILLRPNNNNR >RHN40361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15604446:15605302:1 gene:gene46508 transcript:rna46508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MSGDKLTHFFVEWNSIQHTGCTNMLCSGFVQTSQKIYVGAPVANVSSYGGPQFTMSLNLTQDPATKNWWLKAQDMDVGYFPASLFSNKLDFAMKAGWTGHTQFFLDGPSPPMGSGILPDGNPLHSCYISKMYFKDRFRNDRAPLKDQMLVYADNRRCYDAKYYGFVDNNLERVMMFGGPGGNCGL >RHN53535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2349004:2353545:1 gene:gene28451 transcript:rna28451 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSFKVSKTGTRFRPKPKPIPIPLQPSQDNQSQSDVVKAGENIDRTLSSSEKLSAIGKEASFTLNLYPDGYSIGKPCKYDAANQSLPKSLLPYDRSSEALFSAIESGHLPGDILDDIPAKYVDGALICEVRDYRRCSSKKRAGIVSVESSPTVNKVCLKMSLENIVKDIPSFTDKSWTYGDIMEVESNIVKALQPNLHLDPTPKLDRLCENRLPTKLNLQRKRLRNIPEFAVASSNNIHGIKVYIDRVQENTKSRLNDSRITTSNAIVKQTLKNLAVQNLNPSIAKRSKKNIPSLTDKSQTYGDTLEVESKIPKALQPNLHLGPTPKLDRLCESRLPTQLNFQRKRLRIIPELAVTSSNKIPGKKECIDGVHENSDSRFGESRITTSNAIVKQTLENPVMQNLNPSIAMGSKNIVPYSSIPGVSMMSHQSKYPMVVGTPRSLQEHGSISGIKSSGASAVQYAMHSYADNPNASVSLHVKRESPDRQSSRLPNIAKRMRSASTGVDAMQQIGSHGDALQGSDMNWQDRLLQQQAIARGIQYIGGGIQKFPQQVFDGGLNQETGAIQFSSGQQGMRLVDKVDQFEMERKDGARINRIKSEFEMYARNLWLQQRMPQHASMRPNFPQKEAKKEDQLQKRKQIQSPRLSSGTLPHSLLSSKSGEFSNGSVGPSFGPSSVNNASGALQKEKAAMASRTAAVGTPSLTYIANDSTQRQQQAHLAAKRGSNSFPKTPSMSGVASPDSVFTDVLFNANSPSVGTSAFSKQGLQNMFERFSKIDMVTTRYTAYILKQHLNSILFFVSHVYDFH >RHN55955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25999989:26003498:1 gene:gene31253 transcript:rna31253 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTNFSSPSEGIPSMCILSKDQPPDIAALVPSSSMVMLNLSEMQPSSQVPVDENHDSVDNKSSSLRCWAIR >RHN45810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25818319:25819081:-1 gene:gene40221 transcript:rna40221 gene_biotype:protein_coding transcript_biotype:protein_coding MMLMTWLFGDEFSNARMEKFPDASTYISNLSLTNSLMLVLPCPMKGECFSSSLASLMLMPQSVPKFVTVTPSPFLQSSLHDYLRGNCQSQEGSHYC >RHN41691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32121766:32128169:-1 gene:gene48041 transcript:rna48041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MEAEAEILIDLNTQLHSETIVSVQNEDPGVSTSLANDVIMPQQPAPKKPTRQWAAWTRNEEESFFTALRQVGKNFEKITSRVQSKNKDQVRHYYYRLVRRMNKHLGPELCLDAKNSKDTNAAMLRWWSLLEKYRFKASKLHLKPRRFKIFLEALEHQLLKDRKKSVRKRPLQGGNCQPPGLNTVSNQARASGNDSRAIKMILVESQNIIKLGPSKPPLKRNVSMGVNRSNAKGDSNNSKPTRQRKKSGVITSAAYKKWEKAAIAGVSLVADAAEHLERAATVNEVEHDQENPGEKCSDPADHVLPSLRTFPQNHSVDNNVQNIVKLKLQLFPIDEPTRRALEMEKHNPYLELTVSTRKKISSILEHLNRKWGNSSIAVGELMLFPYGVQKENLNNYQRWNQESTLSAADIYAVIGSPPIFRLRYGWFSYTEHGVLNMQAPVASSCMVRQSKNGGDSMKDRIVSSPSLPMPSTNNYSKEHYVDCGTSTNINDALTSTSTYLPNTRDGSIYHNTSTEEPCGPTAQISSHGKDATDGAVTRHLEDMDGLKSTNGSGLSAGEWADTLTNISVGDLLSGVSQDHNDNCIDPLIAENCRSVHQIPFSCDSFDAAIAAHISKHQDKMGQSTLASHMSSIWDAEETRDAFSFKKDSIIRHEDGPCLSLIASLDSDKKVPERSFENLDKLSPEKESLVDDIAQTDPLPMDGCESDADNKYHSAKDFTGLADMYWPDSLGPLDLEIPSTKYHSDDPILSDSGLNRLIASSLDAFQNCSFFGIDKKETPSTVEAQETATLSNFKIGSGI >RHN80497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38611282:38614405:-1 gene:gene4432 transcript:rna4432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MKLELNLAPKEVGFFLLNIEIVVLYRIASSYRSSREPRNIIITTIEIDVLDDGYNWRKYGQRVARGNPNPRSYYKCTTPDCSIQKCVERAWVDPKDVITMYEGRHNHDVPTPMI >RHN60188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22880024:22881060:-1 gene:gene22441 transcript:rna22441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MAKSNYLVYLAFFIVLFFLHEAMARIPINSKWHNANATFYGDINGGETMQGACGYGNLFDQGYGLETTALSTALFNNGYSCGACFEIRCVNDPQWCKKDVKSITVTATNFCPPNYIDGSWCNPPH >RHN66705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16911858:16912532:-1 gene:gene14761 transcript:rna14761 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSDISSESDCSEYDDSDYVLVYSFSGDNFGKENVAIPPSKMVRGKGIQLACPESDTECFSDEVQRYESAYNDQAKVNFFRSKLLVSSTKREEDIVLAPCPASEKVCTMRPKGVKEIFHMYGAVLEEFGVKIPFTLFEMDVLRLLNVAPTQIHPNCWAFIRGFEILCDALDMIPIRRSLFSFFSGYDYQKMTPYEQGVVGFFRSDASYRHLKTLKQRGGFGGP >RHN82125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51416053:51419437:1 gene:gene6251 transcript:rna6251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative helitron helicase-like domain-containing protein MSSSMKRKVLEGIRASTDISNDDGRVKRRNKSPGSCLTRISPVGHGHPTDTKMTAFGSQTSCRIQDIPMHCSENGESEEVPYNLDGNLTDLEGEYSEHGILGSQTSCRIQDITMHCNENGESDEVPDNSDTFQDAVIEVEDEEDLELKEYLDIGQPSIKCPNCKALMWYDERVCKSRHTSNPEFSMCCMQGRIEIAPFKRPPKALYDLYHKKDRKSKFFLENIRSFNSMFSFTSMGGNIDKTNNNGNAPPIYVLNGENYHRIGSLLPTPGNSPKFAQLYIYDTDNELKNRMAAVGMEDDRDSLKSAIVEELKNMLDSSNSFAKSYRLARDKLLQNNAPEIKLRILGKRGYDGRRYNMPTASEVAALVVGDYDSADFDRDIVVEEQSGLLKRISVFETSYLPLQYPLIFSRGEDGFRRDIKFSDTHTKSAIKRPFVSMKEWFAYKIQQRDLSFSHLVFCRQLFQQFLVDAYSMIESWRLKWYRDHQKEVRADMYKGLYEAVLRGETSPATAGKRIVLPSRFVGGARYMIQNYQDAMAICAWVGYPDLFITFTCNHKWPELVVFLKKHGLRPEDRPDLSVGYSR >RHN68936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39733310:39737611:-1 gene:gene17359 transcript:rna17359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MKNERQQQHHRASKIPRFKHHCHIFLFILFTLFSITQSFDYADALSKSLLYFEAQRSGRLPYNQRVNWRHHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSVIEFRQEIVEAGELGHVMEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRQAFKIDEKNPGSDLAGETAAAMAAASIVFRKTNPHYSQLLLHHAQQLFEFGDKYRGKYDGSVGVVKSYYASVSGYMDELLWGAMWLYKATDKQEYFDYIISNAHTFGGIGWSITEFSWDVKYAGLQVLASKFLMDAKHKKHADLLEQYKSKAEYYICSCLNKNNGSSNVERTPAGLLYVRQWNNMQYVSTAAFLLTVYSDFLQTENQKINCQDGIVGHDEILNFAKSQVDYILGQNPLNMSYLVGYGPKYPKRVHHRGASIVSYRENKGFIGCTQGYDNWYGVQEPNPNILVGALVGGPDNQDNFEDQRNNYVQTEACTYNTAPLVGVFAKFLQIGNRRLVHDSDSLLVASF >RHN48552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48382060:48386668:-1 gene:gene43282 transcript:rna43282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small monomeric GTPase MSSSSGSGQSSGHDLSFKILLIGDSGVGKSCLLVTFISNSSVEDLSPTIGVDFKIKMLTVGGKRLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTRRETFTNLSEVWSKELELYSTNQECVKMLVGNKVDKDSERAVSREEGLSLAKELGCLLLECSAKTRENVEKCFEELALKIMEAPSLLEEGSAATKRNVLKQKQEPQSSQNGGCCS >RHN48715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49671143:49674847:-1 gene:gene43460 transcript:rna43460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting 55 MFSSSILLQILACAIYNNWWPMLSALMYVLVPMPCLFFGGGSTQFLISRDGGGWMDAAKFLTGASSMGSIAIPIILRHAHMIETGAMLIELVSFFIFICTVMCFHQASLDDDW >RHN61092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32895350:32897470:1 gene:gene23517 transcript:rna23517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEILKFFYIAIIYLSLFLVVFEDKRECDTNFDCQQKFSTQAEDLLWCIRGYCMSIPN >RHN70171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49336834:49337043:-1 gene:gene18726 transcript:rna18726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MTSKKDQFIRAFDGFKVLCIPYEQGGDKRRFSMYFFLPNAKDGLSALVEKVASESTLLHHKSFVILKSK >RHN47903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43456762:43457508:-1 gene:gene42557 transcript:rna42557 gene_biotype:protein_coding transcript_biotype:protein_coding MATFGASAFQFLMLIMVIGLFCVTNIVAQDSEIAPTSQLETGTGFALPISGMIMFSSLFASLVTFMIQ >RHN62015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40064174:40068100:-1 gene:gene24538 transcript:rna24538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MVGGGNGEWPSGGGFSPGPMTLLSNLFGDNDDCKSFSELLAGDTLDVEHGGGGGGGRGGGGLSPLAMFTAPPQQTLAQAYSNAQNQSEHQFSVSVVPTTTSLTQVPAITFNNIAQQLIPNSVEYSSNSEQRLQKSSFVNVDKANDDGYNWRKYGQKQVKGCEFPRSYYKCTHPSCLVTKKVERDPVDGHVTAIIYKGEHIHQRPRPSKLTNDNSSVQQVLSGTSDSEEEGDHETEVDYEPGLKRRKTEAKLLNPALSHRTVSKPKIIVQTTSDVDLLEDGYRWRKYGQKVVKGNPYPRSYYKCTTPGCNVRKHVERVSTDPKAVLTTYEGKHNHDVPAAKTNSHNLASNNSASQLKSQNAIPEMQNFNRRGQHQPSAVAHLRLKEEHI >RHN64560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60044116:60047930:-1 gene:gene27387 transcript:rna27387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MKSSTSTLYILFYLVMLLLSVTVMALTNKKTYIVHMKHNKNASMYSPILQSSSSSDSLLYTYTHAYNGFAVSLDTKQVQELRSSDSVLGVYEDTLYSLHTTRTPEFLGLLQIQTHSQFLHQPSYDVVIGVLDTGVWPESQSFHDSQIPQIPSRWRGKCESAPDFDSSLCNKKLIGARSFSKGYLMASPGGGRKKSVDPISPRDRDGHGTHTATTAAGSAVANATLLGYATGTARGMAPQARIAVYKVCWTDGCFASDILAGIDQAIQDGVDVLSLSLGGSSSTPYYFDTIAIGAFAAVERGIFVSCSAGNTGPRSGSLSNVAPWIMTVGAGTLDRDFPAYATLGNGKRFSGVSLYSGEGMGNEPVGLVYFNERFNSSSSICMPGSLDSEIVRGKVVVCDRGVNSRVEKGTVVIDAGGVGMILANTAASGEGVVADSYLVPAVSVGKNEGDEIKKYAALDSNPTAILNFGGTVLNVKPSPVVASFSSRGPNGVTPQILKPDVIGPGVNILAGWTGAVGPSGSQDTRKAQFNIMSGTSMSCPHISGVAALLKAAHPEWSPSAIKSALMTTAYTLDNTESPLRDAMGEALSTPWAYGSGHVNPQKALSPGLVYDADIEDYIAFLCSLNYSLDHVKLIVKRPNVNCSTYLSGPGDLNYPSFSVVFGNNSGVVQYKRTLTNVGEAESVYDVAVSGPSTVGIIVNPTKLVFEQVGERQTYMVKFISNKDIVDDSVTSEFGSITWSNKQHQVRSPIAFTWTIL >RHN38838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2380749:2383605:-1 gene:gene44807 transcript:rna44807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAQVKNKQVLLKHYVSGFPKESDLNIVDSTINLKLPEGSNDTVLVKNLYLSCDPYMRTLMSDPKASINPRAYVPQSPLTGYGVSKVVESGHKDYKEGDIVWGITNWEEYSLIPAAQIHFKIEHTDVPLSYYTGILGMPGMTAYAGFFEVGATKKGENVFVSAASGAVGQLVGQFAKLHGCYVVGSAGSKEKVDLLKNKFGYDEAINYKEEQDLNATLKRHFPEGIDVYFENVGGKTLDAVLLNMRLQGRIPVCGMISQYNLTQPEGVTNLAHIVYKRVRLQGFIVADYYHLYGKFLEFVLPHIREGKVVYVEDIAEGLEKGPEALVGIFSGKNVGKQVLVVARE >RHN81705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48301956:48303484:1 gene:gene5786 transcript:rna5786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MNLIGVPPDTSDSNNTIYFDETLDHLLHQEFNDLDFVEFSLQLPSPPPNTVEMPPIDLIPAPAEPPQLPSLIVAGAGANTDGNSGGGNVMTVRSDRNVGGPSTSLSLKKKAISPEMLAEIAAVYPKKAKRILANRDAAKRSKEKRRHYEEELVKMVESLQIQADYATAERVMAMNEATDLADGNNKIKEQILSAIENQEKKRAFLEKLKEERDILKMQNQEQTTIIDSSSGEPPSQSQSHQVPHQSQLYIPQLPPLSPDPPSPIVGPPFDDHFIGTDFSNYNPFD >RHN41495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30496982:30497480:-1 gene:gene47827 transcript:rna47827 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFPICAPSRHYPPRIGPRSPPTTGSPPTRPPSSPLPDPTTGSPPPPGSPPPDPTPGSPPPSPDPTPGSPPPPGSPPDPSWESFLVAEHMLKPNSTKRAMLRTFEVAI >RHN76669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:276873:280058:1 gene:gene32 transcript:rna32 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MEHEQDDDGRPKRTGNVWTATTHIITVVVGAGVLALAWAMAQLGWIAGIASIIIFACISAFTYSLVADCYRYPDPVTGKRNYTYMQAVKSYLGGKMQVFCGIILYGKLAGVTIGYTITSSNSLREIPKVVCVHRKGLEADCSSTSNPYMIGFGILQIFLSQIPNFHKLTWISTIAAITSFGYVFIAIGLCLTVLISGKGAPTSIIGTQIGPELSVADKVWSVLTSMGNIALASTYAMVIYDIMDTLRSHPAENKQMKRANVIGVSTMTIIFLLCSCLGYAAFGDHTPSNIFYGFTEPYWIVALGDVFVVIHMIGAYQVMAQPFFRVVEMGANIAWPDSNFINQDYLFNVCGATINLNLFRLIWRTIFVIVGTVLAMAMPFFNYFLGLLGAIGFGPLVVFFPIQMHIAQKRIPVLSLRWCALQLLNWFCMIVSLAAAVASIHEIIANIRTYKIFSYKQ >RHN67549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28176784:28177510:1 gene:gene15747 transcript:rna15747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >RHN75333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41379493:41381961:-1 gene:gene11517 transcript:rna11517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MATLIKFFVTLSIFSLLACSTINAQLSPNFYAKTCSNLQTIVRNEMIKVIQKEARMGASILRLFFHDCFVNGCDASILLDDKGTFVGEKNSGPNQGSARGFEVIDTIKTSVETACKATVSCADILALATRDGIALLGGPSWAVPLGRRDARTASQSAANSQIPGPSSDLSTLTRMFQNKSLTLNDLTVLSGAHTIGQTECQFFRNRIHNEANIDRNLATLRKRNCPTSGGDTNLAPFDSVTPTKFDNNYYKDLIANKGLLHSDQVLFNGGGSQISLVRKYSRDGAAFSRDFAAAMVKMSKISPLTGTNGEIRKNCRIVN >RHN51634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21333679:21334040:-1 gene:gene36112 transcript:rna36112 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIFWTIFFDILFAALTAKMPQWARDLTGSLFILLFLIFGHRELKVGREYMVIMFNGFINA >RHN56314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29784317:29786390:1 gene:gene31695 transcript:rna31695 gene_biotype:protein_coding transcript_biotype:protein_coding MELQDTAAAKITIGLPLGLALLFACLLFICVFFCCLLHWNKLKFFFPYSSGVINSQAQIQTDLTSSPQKPAFPFVMMKQTYAESLPVLMPGDEIPKFIAMACPCKPPTDESITIHVHKEETNDFHSENPC >RHN63027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47711264:47712175:-1 gene:gene25675 transcript:rna25675 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHSSCQALKANLNLRCQCHPCCREDVAVHNREFEPHFGQEACCFVQHVFAPLAIASGNPSCCKLSFCLCRLQMDVPENFEF >RHN57589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40351916:40352888:1 gene:gene33162 transcript:rna33162 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPYDDMCDIVVKCSVARAGHLVDCIRRTVPIKTRCDSIPSEIKYHFFVEIVPIFCGDLIFLPPNIAATLGANIVICTRVAKKITLLDPFTLTAFSLKADEYWNAPFTHSFTRTQLVKYVVLDIVLQEEEEIAAAAAKKYRLADAVVARVEDFGNNDTTFQIKTHLGHILKSGDYALGYDLSGRKEGGGANTNINGDLPAAILITKSSYDEENGRIVVVVEGKWESDYQLFLKDLQQDTRLTFRVAAMYRNQTYHPSSGEAIREHFTRPSVPLEELQD >RHN67135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24213614:24217645:-1 gene:gene15284 transcript:rna15284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSNSEDEIMIPPPPTKKVKLSSECENEDRLSDLPESVILHILSFLNTKDAVQTCVLSPIYKDLWKRLPALTLHNRDFRTFKIFTTFVSKILSLRDSSISLQSLDFQRHNGRFEPQLKKIVNYAISHNVQQLQLCVTCDIAQIPHSLFSFQPLTHLELSIVQRDKHSETEFPNSFSLPALTHLKLSAAQWDRNRKRQFLNSLSLPALTHLMLSGYSNHENLFPDSLDLPALTSLQLEHFTFCVSGNNCAEPFSTFSRLSSLLISDCTVKGKENLRISSVTLVNFTTYNFSEDYYKIELCTPSLCTWLLEFADIKSLTLTATALQVLYLFPDLLKHKHHSLGKLKSLKVEIDEILYGVRLTLCEFKLKTVKSKKEAARIKKAYASGSEPSPTVPDGIVDFLRQNSPSAEVDFVDCTRKPVRPRHL >RHN52428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35218665:35224248:-1 gene:gene37108 transcript:rna37108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, glycoside hydrolase, family 29, leucine-rich repeat domain, L MAMQSPFSSTSISYEYKYQVFLSFRGGDTRYGFTGNLYKAFDDKGIHTFIDNHELQRRDEITPSLLKAIEESRIFIPVFSITYASSSFCLDELVHIIHCYKTKGRLVLPVLFGVEPTIVRHRKGSYGEALAEHEKRFQNDPKNMERLQGWKEALSQAANLSGYHDSPPGYEYKLIGKIVKYISNKISRHPLHVATYPVGLQSRVQQVKSLLDEGPDDGVHMVGIFGIGGLGKSTLARAIYNFVADQFEGLCFLHDVRENSAQNDLKHLQEKLLLKTTGSKIKLDHVCEGIPFIKERLCRKKILLILDDVDDRKQLHALAGGLALVEKAKLVTEKMKFLTNSMVAKFSDGIREGFHVFPHKISLTNFCFFSSVDWFGPGSRVIITTRNKHLLASHRIEKTYPVEGLNGIDALELLRWMAFKNDNVPSGYEDILNRAVAYASGLPLVLEVMGSNLFGKNIEEWKNTLDGYDRIPNKEIQKILRVSYDALEEEEQSVFLDIACCLKGYRLTEVENILHSHYDHCITHHLRVLAEKSLIDTNYCYVTLHNLIEDMGKEVVRQESIKEPGERSRLCCHDDIVNVLKENTGTSKIQMMYMNFHSMESIIDQKGMAFKKMTRLKTLIIENGHCSKGLKYLPSSLKALKWEGCLSKSLSSSILSKKFPDMTVLTLDHCKYLTHIPDVSGLSNLEKLSFEYCDNLITIHNSIGHLNKLERLSAFGCREFKRFPPLGLASLKELNLRYCESLDSFPELLCKMTNIDNIWLQHTSIGELPFSFQNLSELDELSVVNGMLRFPKQNDKMYSIVFLNVTQLTLCHCNLSDECLPILLKWCVNMTSLDLMYNNFKILPECNTEEENVVFIDPYIRKMKLDEYLNPYSNNTSLSQFVPPLKKQRSVEMGVSETEEEEDINASLQQQDLKKEEQKKTWGTFLSLGASKSNTHGDEIEILNNAQDERMMWGTFLGLAPS >RHN74816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36865050:36865521:1 gene:gene10936 transcript:rna10936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRRKNMDQIPYLFYAFIIFLYVFFFSTESGYIPCVDQDDCPEQARIQCGGNGVK >RHN66651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15720233:15722925:1 gene:gene14689 transcript:rna14689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MMSRRATFWCHTCREPILLAGRDAVCPYCDGGFVQEMHENRGLASSSQLEEFQDDPDIFDAIHAVVSQRGSVPRIGFRDAIDSYMRQRMDGRTTNFDVRRRSVSGSVPEQTWGVFSSSGRYLIFQGQTPTSRGDPRRSDFGGYFMDHGLDELIEQLNTNGCGPAPASRSSIEAMPTIKITQAHLHSDSHCPICIERFELGSKAREMACKHIYHSDCIVPWLIQHNSCPVCRVELPPHGRGSRNWGGRNDNSTGQNNNGRGRRNPFSFLWPFRSSNSNTNH >RHN75103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39416312:39419691:-1 gene:gene11262 transcript:rna11262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S10 MATYAAIKPTKVGLEESQEQIHKIRITLSSKHVQNLEKVCADLVRGAKDKHLRVKGPVRMPTKVLHITTRKTPCGEGTNTWDRFELRVHKRVIDLYSSPDVVKQITSITIEPGVEVEVTIADA >RHN70785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53991885:53993412:-1 gene:gene19402 transcript:rna19402 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDFKALEDNTTWILIDFLDGFGKGRIMLKLKFYSLLFLI >RHN55101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15161899:15165696:-1 gene:gene30229 transcript:rna30229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator GNAT family MNMMPCYSSSSHYPNHHRPPINAETILFNPTMAATLSLSFSSLDPHNHNRFNIITAKTTRRNFSFTPPLPHNKPPHFKLFSTSSSSQSQTLTDSFLKPGKFLTNTELTTLHHLSTYLYTHTLKSGTVWVRVMRDSEVDAIVCLLANSFAESMMFPKGYINVLRFLVKQYLIERRSLMPHMATLIAFYKGSGVNGDGEEEEMQLAGTVEISFNVYGANSTLPSPDPPKDKPYICNMAVDKSLRRRGIGWHLLKASEELISRMSSSGEVYLHCRMIDEAPFNMYTKADYKIVTTDSILVLLLLQRRKHLMCKKLPLINMPSETDVPFSNDYE >RHN53076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41813679:41816306:1 gene:gene37828 transcript:rna37828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MMLRANPTVHVPPWPNHDDPTAEILSSCFGYDNNNIAGDFTGAGDYTAGDFSTGDYSSGDYYLREALAALQRYLPSNEFNDSDLESPATAAADSTVDAYSCDHFRMYEFKIRRCARGRSHDWTECPYAHPGEKARRRDPRKFHYSGTACPDFRKGNCKKGDACEHAHGVFECWLHPARYRTQPCKDGTSCRRRVCFFAHTPEQLRLNVQQSPSSSRSVNSPDSYDGSPLRQMVTITTPPESPPMSPMASEMVASLRNLQLGRMKTMPINRNVTIGSPVFGSGSPVFGSPLRSGFLSLPNTPTKKPGLGRVGGFDLWDQSCEEEPIMERVESGRDIRAKMFEKLSKENSLENENGNSGLGLESGQPGPDVGWVCDLLK >RHN75982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46746612:46747115:1 gene:gene12250 transcript:rna12250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MAHVSNLVFLASIFLFNIINIPFLTNVISSCNGPCKTLNDCAGQLICINGKCNDDPDVGTNICTKPSPPSPSGDKCKSSGTLEYDGESFPQFKCSPPVSSSTQALLTLNDFSEGGDEGAPSQCDEQFHSYSERVVALSTGWYNGGSRCGIMTCWLKYSLIFFGSLAF >RHN46136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29068980:29071479:-1 gene:gene40579 transcript:rna40579 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEARTKIPSQVNRFHVDEMHDINIIEWNKKRKLQGFQLDMLRPKHKCRVESFSSEDTSMSDESPIFGSANNHTVNSRMDVAFLDDRSEPESAKDSNSFIEDSDTSMSINEEAKLEADSANTYSSYDDLDSQAFKNPEEHLQVYAEYVKDSGDDQSIDKEFEDFLFSNGVNPDKYVLSSGRLLLNEEAESSTKPPPPTIDQEFEEYFSALML >RHN40970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25187995:25188688:1 gene:gene47226 transcript:rna47226 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFTNLIRSTKNLKYFDKTLDKREYRQLEAYTHIYTQCSAC >RHN42090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35322575:35325087:-1 gene:gene48485 transcript:rna48485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MATSNSNSIFSYTTNQIPVFNGEHYDYWNSQMETIFISQDLWDVVEDGYEERPIQRNASSIAEKENEYKENVKKNATALRIIQQGVSKAIYPRIFGVKKAKDAWDILKTEFQGSSKVISIKLQSLWGQFENLAMKEGEKVKDFFSRVTEIGNQIKSCGEQVPERKVVEKILRSLPQKFEHVVAVIEETKDLTKLSQYELMGSLEAHEERVNRYNNQPLENVFQAKMNIRSSNSRQEGSGDSFRGHPSNRGHGRHYGYKGRGGERIRGNSNSYCFICKKSGHESKDCRFRCTRCKIPNHSSRDCWHKKKEDDERIKGINFSAEDDANKLFSTMINDQKSGEMWFLDSGCSNHVTGNQTIFEELNKNYSSHVELGDGKHVKIEGKGVIAVHTSQGNKQFIHDVHYSPNISQNMLSVGQIMKRGYKLIFVDDKCEIFDKKSGEHIVTVLQTPNNLFPLNMKSFQPAAFSSKSTDDSYLWHLRYGHLNNKGLQLLKQKNMVVGLPEIKTDNAVCEGCIYGKMHRLPFPRTAWRSQAPLELVHSDICGPTRTPSLGNKRYFLLFVDDYTRIIWIYFLDKKSEAFTKFLHFKALVENQSGCKLKTLRTDRGGEFIYKPFLNYCKEQGISRQLTIRHTPQQNGVAERKNRTIVEMARSMLKGKELPNSFWAEAVSSVVYILNRSPTKAVRDRTPFEAWHGRKPVVSQLKVFGCIAYSLVPAQNREKFDKKR >RHN63343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50526265:50528586:-1 gene:gene26030 transcript:rna26030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molecular chaperone regulator BAG-1 MQSKVTKRSTINMFSRDKKSDTNVHVNPNVAEWELRPGGMLVQKRNSDVNNNFSSIIKVKVKYGSSYHQIHISSHASFGELKKMLTESTGLHVQDQKLIFKKKERDSKSYLDIERVKDGSKLILIEDIESRERRILEKLRIAKKEKASKSLIEITLEVDKLAKKVSTLEGNVSKGEVISELDVESLTENLMRVLITLDEIYGEGELKLQRKEQVRRVQKHIETLDMLKMSKPNEGNNNITKESKVDCDVPKQHLQEKQQEQQPLKHSESVVVTTKWETFD >RHN74380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32392146:32396393:-1 gene:gene10436 transcript:rna10436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-3-methyladenine glycosylase I MCSSKAKEVTVGIESSTTPHVARINGRPVLQPTCNHVPNLERRNSIKKSTPKSLSPLPLPNKTNTSSLTPPISPKPKSPTSTRPLAIKRGNDNNGLNLSCEKISIPKNIMKTPTLERKKSKSFKEGSFGIEAASLSYSSSLITDSPGSIAAVRREQVALQQAQRKMKIAHYGRSKSAKFERVFPIDPSSALDSKTTNQEEKRCSFITTNSDPIYIAYHDEEWGVPVHDDKMLFELLILSGAQVGSDWTSTLKKRLDFRAAFSEFDAEIVANLTDKQMMSISSEYGIDISKVRGVVDNANQILQVRKGFGSFDKYIWGFVNHKPISNQYKFGHKIPVKTSKSESISKDMIKRGFRYVGPTVVHSFMQAAGLTNDHLITCHRHLQCTLLAAI >RHN60085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:19475855:19477694:-1 gene:gene22304 transcript:rna22304 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme MAVSAIGFEGFEKRLEISFSDPGLFSDPHGRGLRSLTKSQLDEILAPAECTIVSSLANDDVDSYVLSESSLFVYAYKIIIKTCGTTKLLLAIPPILKLAESISLKVRSVRYTRGSFIFPGAQSFPHRHFSEEVAVLDGYFGKLGSGSTAYIMGGSDEAQNWHVYSASADSVSPNDSVYTLEMCMTGLDREKASVFFKDQSDSAAKMTVNSGIRKILPNSEICDFDFEPCGYSMNSVEGAAVSTIHVTPEDGFSYASFETAGYDLKAMNLNELVVKVLACFQPNEFSVAVHVDNASKSFEQGCSLDVKGYCREERSHEGLGMGGSVVYQKFVKTADCGSPRSTLKCWKDEDEEE >RHN68654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37488780:37494013:-1 gene:gene17033 transcript:rna17033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VI-1 family MGFYDLVLLFCYVWYVFYVGSCIAQLQSSQIQVLLQLQKHLEYPTQLEIWKDRRTELCFIPSTQVKVSCKDNFVIELSIFGDKPNKGRGFDGFAIPNQTLSQSFSMDSFVATLARLTSLRVLHLVSLGIWGPFPDRIHRLFSLEQLDLSSNYLYGSIPPKISTMVSLQILMLGDNFFNGTIPNLFDSSSNLTVFSLKNNKLKGPFPFSILSITTLTNIDMSRNQISGSLQDFTGLSSLEHLDLRENELDSDLPALPKGLISLFLNRNSFSGQIPKSYGQLNSLQHLDISFNTLTGATPSELFSLPNIIYLNLGSNMLSGTLQNSLRCGRNLSFVDISNNRLIGALPYSLSNVSENRAVESDGNCLSGTLQHQHAVSYCAEAPDKKKSNRVGIFVGVIVGILVIIVLFGLCIVVICKRYYSRGIAEQHLLHKSVQDSYSAGFSCELIANARYVSEAAKLGREDLPSCRSYSLEELMEATNNFDNSTFLGENIYGKLYKGKLENGIPVVIRCIPLSKKYSIRNFKLRLDLLAKLRHTHLISLLGHCIDGILGERNDSKVFLIYECVSNGNFQTYLSGDSCGKIFNWSERLSVLISVAKAIHFLHTGMIPGFFRNRLKTNNILFNENWMAKLSDYGLSIVSEETDASGVIGESPNSWQMKKLEDDIYSFGFIILEALVGPSMFAKREAAVLNAMASFSSQDEWKQIVDPVVQATCCKESLSIVISITNKCISTESWSRPSIEDVLWNLQYASQVQNNS >RHN57895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42465057:42465611:1 gene:gene33486 transcript:rna33486 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQKAWMNTSKPLRKVRTVLRVRYSEEVLERFEKYREKVKEQYPRHPRSTVDGNELLRFYVTTMRCFQGKPMKKVHDLCKDPSCRFCQVIQFNFDAEHAEILLNTCEKDLSNRKSVNARVKNVKRVLIVCRIIAGTAVNEVDGNYEECSECDSTRLGEMQFSLERFVVKNPSSILPCFVIIFN >RHN52617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37122756:37131755:-1 gene:gene37311 transcript:rna37311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MASLTVTDRFKYDVFLSFRGEDTRYGFTGNLKKALDDKGVRTFIDDEKLKKGDEITPSLLKAIEDSMMAIIVLSENYASSSFCLQELSHILDTMKDKAGRYVLPVFYKVDPSHVRKLKRSYGEAMKKHDVASSSSHNMNNKWKDSLHQVANLSGSHYKGDKYEYEFIENIVEQVLRNIKPIVLPVGDYLVGLEHQKQHVTSLLNVGSDDTIHMVGIHGIGGIGKTTLALEVYNSIVRQFECSCFFEKVRDFKESGLIYLQKILLSQIVGETKMEITSVRQGVSILQQRLHQKKVLLLLDDVDKDEQLKAIAGSSDWFGLGSRVIITTRDKRLLTYHGIERTYEVKGLNDAAAFDLVGWKALKNDYSPIYKDVLLEQKQGRELNANELRRLKDLKNDVRFSSYANVLKRAVAYASGLPLALEVIGSHFFNKTIEQCNYVLDRCERVPDKKIQTTLQVSFDALQDEDKFVFLDIACCLKGWNLIRVEEILHAHYGNIMKDHIDVLVEKSLIKISDSGNITLHDLIEDMGKEIVRRESPENPGKRTRLWAYEDIKKVFKENTGTSTIKIIHFQFDPWIEKKKDASDGKAFKKMKNLRTLIFSTPVCFSETSEHIPNSLRVLEYSNRNRNYYHSRGSNLFEWDGFLKKARTKNKTIGNIKGWNLIRVEEILHAHYGNIMKDHIDVLVEKSLIKISVSGNVTLHDLIEDMGKEIVRRESPEDPGKRTRLWAYEDIKKVFKENTGTSTIKIIHFQFDPWIEKKKDASDGKAFKKMKNLRTLIFSTPVCFSETSEHIPNSLRVLEYSNRNRNYYHSRGSNLFEWDGFLKKKFGNMKVLNYDCDTLLTRMPDISNLPNLEQFSIQDCTSLITIDESIGFLSKLKILRLIGCHNLHSVPPLNSASLVELNLSHCHSLESFPLVVSGFLGELKILRVIGCSKIRLIQSLVLPSLEELDLLDS >RHN47033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36622512:36623813:1 gene:gene41582 transcript:rna41582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSMTLSPVFFPNDLITEIFSVLPVKSVLRFRCVSNSCNTLISDPTFVKLHLKRSETRNPHFLLITDHTIEINGESPYGSEDDYKIDSGVIPYSIRSLIHNLSFTLSVNPYYLVIKGWTRVIGSCNGLICLTDDSFNGEYRDYWFRLWNPATRTTSPILGNFFIFHNYSPEKPDWFDGYYKFSFGCDNSTSTYKVVAARYNQRELRSNVRILSLGDNVWRDIESFPVDPILLNSSSSELGEYAAVYFSSTLNWLAIQNKFYYTVSNIKDITVEQFVIVSLDLGSETYNQYLLPRGFDEVPPVIPTIGVLGDHLCFSYCYKEIDFVIWEMKKFGVEDSWTPFLKISYHNLQVNYNYSDDRIKYHFKLVPMFLYEDADTLILCNSQEREAIIYNWRNNRVERTGATIYYGSFTDDIASCISWSMAKGCVESLVSIC >RHN63596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52571845:52574879:1 gene:gene26318 transcript:rna26318 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAIFCGGSTKSMVPLSLSLQPSFKSFTPKKKNKRLVLDYLGLKGRRNLLIKASSDVASPSIWENLKPPKSSSTHSLSDILWPSAGAFAAMAILGKLDQLLTPKGLSITVAPLGAVSALLFASPSAPSARKYSMFMAQIGCAAIGVLAFAIFGPGLLAKSASVAACIAYMIYTDSVHPPAVSMPLLFIDAIKFQQLSFWYVLYPGVAGCILLCLIQEVVLYMKQNFKF >RHN60235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24086472:24086912:-1 gene:gene22502 transcript:rna22502 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSNQRTSMQISTGEDYQIKGRTMKLKEGHLTVQVENPVDFVSLAHHDCDLNTYLKYQDFKGYFNMLNGSTYENLVRYFWVRAKIYYKYAAKVEEDHLVLLNPSHAGKSREEMGLNKFTRTEIRSNIMGIPISITEEVIGKAC >RHN81801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48971136:48975716:-1 gene:gene5891 transcript:rna5891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MSIICGLPLVECVYCLACVRWAWKRCLHTAGHDSETWGFAATQEFEPVPRLCRYILAVYEDDLRNPLWAPPGGYGINPDWLLLRKTYKDTRGRAPPYILYLDHDHADIVLAIRGLNLARESDYAVLLDNKLGKRKFDGGYVHNGLLKAAGWVMDAECEILRELVEKYPNYTLTFAGHSLGSGVAAALSMVVVQNRDRLGNIERKRVRCYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCLRCMRDTCIPEEKMLKDPRRLYAPGRLYHIVERKPFRLGRFPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIEKEAQRALDLMMEKDNTMEVPAKQIMQRQKTMTRHGQEYKAALQRAKTLDIPHAFTPPSEYGTFDEEGEESSRSEAESSVSSTNRSTVNESWDVLIERLFDKDEHGHMVLKR >RHN54675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11222984:11224002:-1 gene:gene29749 transcript:rna29749 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTTTPSTILNPQFLHKHVRHNTIYILTNKSHFSIHRSSQFHFSHIVPIQSTLKGPKGFGPSPKKKNKTIKNLKKNKEEEDEDDDEEYEEEEDRREQGIIPEVVTNRMIGRMALSVGIPLSVGLLFFPFFYYLKVGLKIDVPNWVPFLVSFFFFGSALLGVSYGIVSASWDPLREGSLLGWTEAQKNWPVFWKSLRGGSQKD >RHN60834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30768509:30769819:1 gene:gene23219 transcript:rna23219 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIVDSISDLSLLEISGEDDSLLSDSTPTVTAANVFSCSPLVSARSRPRQIKGSEIDNVDSEDLDSLRNDSANKENAKWSKPEGLDSSQKKKRKKKLGGFNLRKSLAWDRAFFTEQGVLNPLELSMISGTVTPNSKSNLNLEAIEEEEPATTSLALQEIEENLFKHSSGGASIRNRKISAVSALSPKPVSSIKKTIPVASLAKRKILAVNDVGNKYKRSACPRPVITSSSYPCHCLLRYILLIVSLVWKNLMKNNVEKILLIINSQFSMEESYEK >RHN58989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6423414:6426833:1 gene:gene20967 transcript:rna20967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructokinase MSSSILLLPLFHSPKTTSLQKPQTTLSKSNTTTSKRHITTPKASTTETQSQTLKRRNKKNNTPQNDTTQEQEHEQEFEDYDDGIDFPYDDPPLICCFGAAQREFLPTVRVQEFPMDPDIYTEWKMLQWKPPEFARAPGGPPSNVAVAHVRLGGRAALLGKVGEDEFGEEIVLGMNKEKVQTRGVKFDSGFRTGCSYMKVKFDDDGKMGMEIVKECAEDSLRSDELNLAVLKEARIFHFNSEVLTSLSMESTLFKAIKWFKKFGGLVFFDINLPLPLWRSRDETREIIKKAWQEADIIEVSRTELEFLLDEEHYERKRNYKPQYYAEEYEQTKNHQEYYHYTAEDISPLWHDGLKFLFVTDGTIRIHYYTPSFDGVVVGTEDVLITPYTCDRTGSGDAIVAAIMRKLTTCPEMFEDQDVLERQLRFAVAAGIISQWTIGGVRGFPTESAAQNLKEQVYVPSMW >RHN54464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9819547:9824584:1 gene:gene29514 transcript:rna29514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative periplasmic binding protein-like I MVKVWLLALMILYNGFSSTVAGTHNSTRPDIVNIGALFSFNTSVGKIIKIALEAAVNDVNSDPNILGETKLKLSLQEDSKYRGFLSIAEVLQVMARHNVAIIGPHSSVTAHVITHIANELQVPLISFSALDPTLSSLQFPFFIRTCHSDLYQMAAIADLVDYYGWKEVIAVYIDDDNGRNGIGALGDKLAEKRCRISYKAPVRPEATPEEITNVLVQVALAESRVIVVHANTIGGPKVFSVAKNLGMIGTGYVWIATAFLSAILDIESPLPSDKMDEIQGVLTARVHTPDSELKRKFVSKWQNLTHGNTDNGPLGLSFLSLYAYDTIYALAHALDAFLKQGNQITFSNDSKLSTLRGDNLRLDALNIFDGGNTLRRNIYEVNMTGVTGLFKYAPDKNLVNPTYEIINVVGTGSQRIGYWSNHSGLSSIPPETLHSKPGNNFRESKRLSPVIWPGNTAQKPRGWVFPNNGRLLRIGVPIGVSYRQFVSQVPGTDTFQGFCIDVFLSAINLLPYAVPYKFIPYGDGKNNPSNTELVRRITTGEYDGAVGDIAITTTRTKMVDFTQPYIESGLVVVAPVRETETSALAFLAPFTPRMWFVTALFFIIVGTVVWILEHRVNDEFRGPPKKQMVTIFWFSFSTMFFSHRENTVSTFGRCVLLIWLFVVLIITSSYTASLTSILTVQQLSSPIKGIESLVIGKEPIGYTQGSFSKNYLIQEIGIDESRLIALKTPEEAARALEKGPQNGGVAAYIDQRAYIDIFLASRCKFTIVGQEFTRNGWGFGFPRDSPLAIDLSTAILQMVDNGDLQRIHDKWLLSRACLTQGAKLEVQRLKLKSFWGLYVICGSACLVALLIYFIRIIRQYTKHRSEELDSPDQNPSSGSSGFKKFMSFADEKEETVKNRSKRKKMERISYRGSEGGSSSIISNKDYDAQPSRCIADSVPNGGSEQVFVKVV >RHN66815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19238496:19240071:-1 gene:gene14907 transcript:rna14907 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta'' MLSRPWVTDDIIHNVIIPPKSFLLVQNDQYVKSEQVIAEIRAGTSTFNLKERVRKHIYSDSEGEMHWSTDVYHASEFLYSNVHILPKTSHLWILSEKSCRSDTIHFLLRKDQDQINIDSLSTGKRNISNLLVSNDEVKLKLLSLKTFGTKEKGISNYSILNQMICTDHSHLMYPAIFHDTFYLLAKRRRNRFLIPFQSIQERKNELMRPFGVSIEIPINGIFHRNSIFAYFDDPQYRRQNSGITKYRTIGIHSVFQKEDFIEYRGIKELKPKYQIKVDRFFFIPEEVHILPESSSIMVRNNSLVGIGTPITFNIRSRVGGLVRLEKKKKIELKLFSGNIHFPGERDKISRHSSILIPPGTVKKKRKKSKKINNWIYVQWIATTKKKYFVLVRPVILYEIPHSIDFIKLFPQDLFQERDNLELKVVNYILYGNGKSIRGISDTRIQLVRTCLVLNWDQGKKSPSIEEAPSSFVEKKK >RHN64380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58628170:58629547:1 gene:gene27196 transcript:rna27196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myc-type, basic helix-loop-helix (bHLH) domain-containing protein MTSPSTTIPNIVTNTDISRDTRRKKRKNKTQKHHQQDQIQINPKWKSQEQQQIYSTKLRQAITRVNSSSTPRRGKAVREAADRALAVTARGRTRWSRTILMTRLKIKFRKKKPNRVTALPSTRSKKSRVNVFRLKGKVVPSMQRKVRFLGGLVPGCKKEPLPVILEEAIDYIPALEMQVRAMSALFNLLSASTSGAGVSSG >RHN67003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22666525:22674241:-1 gene:gene15132 transcript:rna15132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator FHA-SMAD family MGRHSPSTRRHRSHRSISPPPRDKQKPSTRSPDSPPPSSHRSRSPSPRTKRLKKIQSEREPKREHERNRDSNSRGRDSEREEFDRKERRRVDNDDDSGRNGRSSRSKHDRSPEHRHNGRGRHRSQSPQRHSMPRDEGKNSREAEMMNEEDDSLMKMKAAEEALQEKQKVKPSFELSGKLAEETNRVRGITLLFNEPPEARKPDVKWRLYVFKTGEMLNEPLYIHRQSCYLFGRERRVADVPTDHPSCSKQHAVIQFRQVEKEQPDGMIVKQTRPYIMDLGSTNKTFVNDSPIEPQRYYELREQDTIKFGNSSREYVLLHENSASAS >RHN76237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48787283:48788210:1 gene:gene12530 transcript:rna12530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rossmann-like alpha/beta/alpha sandwich protein MASNGEEESSTVIAIDSDKNSQHAVKWAVDHLLDKYASCTLIHVRTKPFNSSNYPFPSTQIDEFDAIPKQGRPPTEEELHQFFLPFRGFCARKGIIAEELVLHDIDVPSALTDYIIDNSITDVVLGAPRWNNAFIRKFKDVDVPTSLVKSLPETCTVHIISRGKVQSIQATAPSQTITISSPKPDYLTKDLNR >RHN59781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13076112:13076611:1 gene:gene21929 transcript:rna21929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-hydroxybenzoate 6-monooxygenase MKGSVENEIVIVGGGICGLATALALHRKSIKSLVLEKSEELRATGAAIIVQANGWHALDQLGVGSILRETAIQIHG >RHN80471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38365705:38366184:-1 gene:gene4403 transcript:rna4403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MTRKKVKLAFMSNDSARKASYKKRKKSIIKKVRELTILCGIPACAIISDPFDSKTEVWPNLKEAKQVIERYQNSYMKDERKNVNQESFLLQQIAKARDQLRKQRQDNRENELNIRMIWYLQNNTVPDDMTVSDLRDLDKLITKNMKEIDDKMASLSLSN >RHN42086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35305984:35312431:-1 gene:gene48481 transcript:rna48481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MGTDSCKVVETLPDPRSFKVHRRLCTELKKLVDRVLRIFPQIEEARPRSSSGIPALVLLTSTVDKAKQLLQNCSDSSVLYLAITGESILSKCQKSRKSLEKSLVQIQDIVPVMLAAEISRIVDDLRRVTFVLDSAEEEAGRVMRELLQQGPSTADNDSKENSDVKSLQFAAARLNITSATSIVIERRSIKKLLQKLGQNEETKKVILKNLLYLLIKYGNLLNTGEQEEVYSHREEPSANENSSHDSLRTDSVNSEPYSNHDQYGIRASELIRVTPPEEYACPISLRLMYDPVVIASGETYERMWIQKWFDEGNVICPKTKKKLLHLAMTPNVALKELISKWCKTNDVSIPNPSRQAEDIRSWEASVTSIRSFGSSMNGLNVPMDLSNVSFGSVDNSYNSDSSLVKASRGLNSMLIKTRNSSRGHQSRSQIHGSYLASLSELHERQWDSQCQVVKDMKIDFKCNSEAFNSVSPDNFIDPVTRFLSTAYDKHDTKALRSGCQLLLEFTKYCRNGVTNLSEDTCSVLASLLETEAIGEALAILEELSNHWSDKANIAASNALTSILKILDSGNQEYQRKAIRIMCNFSSNSEFCSYIVSLGCIPKLLPFFEDKSLSRDCICILKNLCDTKDGRVSVVETKGCMSCVVEILGSSTDEEKEFALAIVLSLCSQRVEYCELVMEEGIIPYLVNISNMGNDGTKVYALELLRLLRDVESEGCFEQNLDKSRDSNYQYEEKKSSKKSTFLNKLSRFGKSSSISSKNKR >RHN76672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:299539:304678:-1 gene:gene35 transcript:rna35 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAMQSPSSSSSISYGFAYQVFLNFRGGDTREGFIGHLYKALTDKGIHTFIDDRELQRGDEIKPSLDNAIEESRIFIPVFSINYASSSFCLDELVHIIHCYKKKGRLILPVFYGVDPTHIRHQSGSYGEHLTKHEESFQNSKKNMERLHQWKLALTQASNLSGYHSSRGYEYKFIGEIVKYISNKISREPLHVANYPVGLWSQVQQVKLLLDNGSDDGVHMVGIYGIGGLGKSTLARAIYNFIADQFEGLCFLHDVRENSAISNLKHLQEKLLLKTTGLEIKLDHVSEGIPIIKERLCRKKILLILDDVNDIKQLHALAGGLDWFGYGSRVVVTTRDKQLLTCHGIESTHEVEGLYGTEALELLSWMAFKNDPVPSIYNEILIRAVAYASGLPLVLEIVGSNLFGKSIEEWKGTLDGYDKIPNKEIQKILKVSYDGLEEEEQSVFLDIACCFKGYEWEDAKHILHSHYGHCITHHLGVLAEKSLIDQYYSHVTLHDMIEDMGKEVVRQESPKEPGERSRLWCQDDIVHVLNKNTGTSKVEMIYMNFHSMEPVIDQKGKAFKKMTNLKTLVIENGHFSKGLKYLRSSLKVLKWKGFTSESLSSCFSNKKFQDMNVLILDHCEYLTHISDVSGLPNLKKLSFKDCKNLITIHNSVGYLIKLEILDAMGCRKLKSFPPLQLPSLKEMELSGCWSLNSFPKLLCKMTNIENILLYETSIRELPSSFQNLSGLSRLSLEGRGMRFPKHNGKMYSIVFSNVKALSLVNNNLSDECLPILLKWCVNVIYLNLMKSKFKTLPECLSECHHLVKINVSYCKYLEEIRGIPPNLKELFAYECNSLSSSSKRMLLSQKLHEARCTYLYFPNGTEGIPDWFEHQSKGNTISFWFRKKIPSVTFIIILPKDNWVDPKVYFFVNGYEIEIGCYPEICGHSGHTCLFHMKLEEHNEFCRQYEYNMDKGLLKNEWIHLEFKFKIKWDLNLSADEKNKILRSAQMGIHELMEKSNREEDNVIFTNPYISKDKIK >RHN44349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3768797:3769405:-1 gene:gene38459 transcript:rna38459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycoside hydrolase, family 5, glycoside hydrolase superfamily MSQGATTVHKENPNVLVFVSGFNYDTDLSFLKTNPLNTSIGDKLVYEVHSYAWSTGSPKDWIVKPLNQKCANVMNGLNDRAGFLMSGSNPNPLVMSEFGLDMTDMDDKNQRFLSCMLAYLAGVDLDWALWTAQGSYYIREKESNVSEHYGLWNIDFKSLRYPDFPQRFQLVQKKLLGMSFLHLNKVELPIPCELSSVCRGKN >RHN39478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7417056:7417720:1 gene:gene45503 transcript:rna45503 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRGCRSSSAPTPPFGVVLLSFQPESSLSEVVTAVAYFLKRRRCFWW >RHN44480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5209187:5218343:-1 gene:gene38603 transcript:rna38603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MAQSFGQTEINWDKLDKTKFYVVGAGLFTGVTVALYPVSVVKTRLQVATKGAAERNAYSVAKGLLKTDGIPGLYRGFGTVIFGAIPTRIIFLSVLETTKVSAFKMLQPFGLSETTQAALANGVAGMTSSLFAQSVFVPIDVVSQKLMVQGYSGHAQYSGGIDVARKILRSDGIRGLYRGFGLSVVTYSPSSAVWWASYGSSQRFIWRHLDQGGKYDEASPSVQKIMLVQAAGGIIAGATASCITTPLDTIKTRLQVMGNDNRSSIKQVAKDLIKEDGWKGLYRGFGPRFFSMSAWGTSMILTYEYLKRLCTKDE >RHN77779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9374183:9374541:1 gene:gene1268 transcript:rna1268 gene_biotype:protein_coding transcript_biotype:protein_coding MDCYDFDVIALCGWLTGFGGDVRDVGLVFCDFADDVGFLCLLLVYDGIRQGLELGLMQNLAAFLVFFLDVLL >RHN77195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4857653:4858210:1 gene:gene621 transcript:rna621 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMELCYHICYTLCFEFCLPPFMDWMESFVTMNMTAEFIFYICLSLIVQFYLPRLVHQINPSFKITAECMPPAIFMLIVISHRFNWTFAFATTDLKLVLLQHIGLWFLSRVSVPHIIHWINPSSNINGELFLIPILVFIIEVHHSMRLSELEPSQGSLEVFAVARRTLTRKMMSHVMNGSVSYN >RHN42103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35410199:35413887:-1 gene:gene48498 transcript:rna48498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acylglycerol lipase MVNLVAAQKPLMHGLMKMAGIRPYTVEIESGTTMNFWVPSETITKPKKKEEKPKITAKTNKPVVVLVHGFASEGIVTWQFQVGALTKKYAVYVPDLLFFGGSTTDKTERSPRFQAECLAIALRKLGVEKCIVVGFSYGGMVAFKMAEMYPDLVQALVISGSILAMTDSISVSSLQELGFSSSSELLLPNSVKGLKALLSVAAYKKLWFPDRLHKDFLEVMFTNRKERGELLDGLVISNKDVSIPNFSQRIHLLWGENDQIFKLELAQNMKEQLGDGATFEGIKKAGHLVHLERPCVYNRCLKKFIASFLASNEA >RHN75113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39491746:39495842:1 gene:gene11272 transcript:rna11272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDLMRAVIIGAEGTPYHDGLFFFDVYFPPGYPNGPPRYQVHYHSGGLRLNPNLYACGKVCLSLLNTWSGDKNQMWTPGVSTMLQVLVSIQILILNAKPYFNEPGWAPSKGTPGGEASSLQYNENTFILSLKTMMYMIRKPPKNFEDLVVGHFYSRAHDILRSCKAYTEGVQVGCLAKGGVQDVDEGEGKCSR >RHN64014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55930399:55931450:-1 gene:gene26787 transcript:rna26787 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASASETQASLTLTNISPLKLLFSLCLSPSPTSNCTANLATQLANSLSNLCNSTNFSTTPSFNIPSTASFLPKYPISRTHSIASKLNFILSEFNNAMIFVISPFDSISSTIGDLINLIKEHRTSIERTEPISIKASTVGFIKTSPTNTSLLKRINAEIAKPACSRIR >RHN48937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51262100:51263170:-1 gene:gene43710 transcript:rna43710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock factor binding 1 MGSRINELEQSINDLRAEIGVESSPSPVAPVKPKEEELKKEGSA >RHN44158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2082814:2083153:-1 gene:gene38242 transcript:rna38242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRTKNMAETLKFVYVLILFISLFLVLLVCDSAFFPKFSNLYNR >RHN46126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28974385:28975334:1 gene:gene40569 transcript:rna40569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcriptional adaptor 2 MQEIRKKKNAASGDNSESGAAGQGAGEAKRALYHCNYCNKDLARQIRIKCVVCPDFDLCVECFSVGTEVTPHKSNHAYRVMIAFVSMIAFVGCQLSSNRKALNT >RHN66698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16707748:16709896:1 gene:gene14749 transcript:rna14749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative uvrD-like Helicase, ATP-binding domain, P-loop containing nucleoside triphosphate hydrolase MTIIFNFNSSFFINRKIECPLSWPRTANIRKFKSVGANNTEESDLVDSEDAKNAAENSMIEESTLLMKFCALSPDHMRTGRDDIEVDLPFELTEEQRNIVIFPRSTFVLGRSGTGKTTALKTKLIQNEKSHHVAVERVYGPNYTASESNEIDVELKRPILCQLFVTLSPGLCQEIKHHVSCFKRSIGENVSIDEDINDSFSDVPTNLYPLVITFHKFLLMLDLTLGNSYIKRFSDLKNQRKKEVSYERFYSLYWPHFSYQLIKKLDSYLVFTEIMSRIKGSIKAAECGKLSREDYCSLSESRASNSLSMETREMIYDIFQNYEKMKMQKGEFDIADIVIDLHRRLGTEKYKGDVMNFVFIDEVQDLTMAQILLFKHICRNVEEGFVFCGDTAQTIGRGIDFRFQDVRSLFFNKFVLESKNQFLDKKNEKRKGCISDIFMLSENFSTHAEVLKLSQSVIELLFHFFPNSIDMLKVETSLVYGESPIVIQSRNGENPILTIFGGNGYNGGNIGGFREDQVILVRDDSSKEEIMHLVGKQALVLTILECKGLQFKDVLLYNFFASSPLERRWGIIYQYMKEKNLLDPRSRNCQSFVDSKHNVLCSELKQLYVALTRARKRLWICEDVEEFSKPMFSYWEKKNLVQFKILNSSLVETMKVEG >RHN73524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17356994:17357964:1 gene:gene9347 transcript:rna9347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MSPSRIFFLFCFFFRTSSSDILDTITQKGKFPSWVKPGDRKLLQASAVPADAVVASDGSGNYMKIMDAVMAAPNGSKKRYVIHIKKGVYNEHVMINNSKSNLMMIGDGMGATVITGDLSWGRDKLDTSYTYTFGVEGLGFSAQDISFRNTAWPENHQAVALLSDSDTSVFYRCEISGFQDSLCANIKHHSIRIAKSEARLTSYLVRQLSSFKTDILVRKGPTGQQNTITAQGGPEKPNLPFGFAFQFCNVCADPEFLPFVNLPKHSSEDRRRLEALLTSSIHAVLNQ >RHN44711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7586820:7587221:-1 gene:gene38861 transcript:rna38861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MMENHNNNNKRKVIVMDESHVETKNSKSPQKDIPEKESIDSPKEISPFLLFGFIVNHRKGIQNAYSCKFCSRKFTAPHALGGHQSSHKFDKSLVKKRIQAFNETWMNYSNGNQGISLNTYSIPYGVWISILWI >RHN72982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12331916:12334900:-1 gene:gene8751 transcript:rna8751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MAWVLLFLHIFLFHFPSFSSSSSYSFNFSCHHDESSALLLNKTATWQNGTDCCSWHGVTCDTIYGHVIGLDLGDEGLDGILQPNSTLFDLAHLQTLNLSSNDFSNSHFHSKFGGFFNLTHLDLSNSFFKGEVPTQISHLSKLESLHLSENFDLIWGETTLKRFVQNATNLRELFLNQTNMSSIRLNSINFLFNKSSYLVTLNLKSTELSGKLKKNALCLPSIQELDMSENSYLQGELPELSCNAFLTTLDLSDCGFQGPIPLSFSNFTHLNSISLSENQLNGSIPSSFSNLQRLIHVDLSFNSFSGQIPDVFSAMTKLQELNLASNKLQGQIPFSLFNLTQLVTLDCSHNKLEGPLGNKITGFQKLTYFSLSDNFLNGTIPPTLLSLPSLEHLELSNNRFTGHISAISSYSLDTLYLSGNKLQGNIPKSIFNLTTLTRLDLSSNNLSGVVDFQLFSKLHWLFFLSLSHNSQLSLTFESNVSFIYSRLRILYFPSVNLTEFPKIEFPRLDSLDLSNNKLNGSVPNWLLEISGSLNLAGNRFTSIDQISTQSIGTYYSSSRNINQLGGLDLSFNLLAGDLSVSICNMSSLQTLNLEHNQLTGIIPQCLADLSSLQVLNLQMNKFHGTLPSNFSKMSALETLNLYGNQLEGHIPRSLSLCKGLKFLNLGSNKIEDEFPDWLQTLQDLKVLLLRDNKLHGIIVNLNTKHPFPSLTIFDISGNNFSGPLPNAYFEKFEAMKNVAELVYMTNNIGQLGLNNRANPVSIRSIAPYYDSVIVASKGNKMTWVKIPNILVIIDLSRNKFEGEIPNVIDELQALIGLNLSHNRLIGPIPKSMGNLTNLEWLDLSSNMLTDVIPAKLTNLGFLAVLDFSNNHLVGEIPRGKQFETFSNDSYVGNLELCGFPLSKKCGPEQYSQPSLNNSFWSDAKFGFGWKPVAIGYGCGFVIGIGLGYCMFLIGKPRWLVMIFGGQPKRRVKRRTRVSRNHGATMNQNQMVAMS >RHN41808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33166779:33171480:-1 gene:gene48169 transcript:rna48169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxymethylglutaryl-CoA reductase (NADPH) MDARRRLKSLPPRSPAGGENLKTQKLKSLPTTTTGENLNSQTVFLCVTNAVFFGVFFSVAYFLLHRWREKIRTETPLHVVTVSETAAIVSLIASAVYLLGFFGIGSRTSFPDDLSDEEILAKEDSRKPGPCPAALVDTDVKPPPATLTPIVAPVKIYEVVAPVNLTPEDEEIAKSVVTGSIPSYSLESRLADCRKAAAIRRSAVQTITGKSLEGLPLEGFDYDSILGQCCEMPIGFVQIPVGVAGPLLLDGVEYTVPMATTEGCLVASTNRGCKAIHVSGGASSVLLRDGMTRAPVVRFSSAKRAAELKFFLEDPLNFDTLAVTFNKSSRFARLQSLQPTIAGKNLYIRFRCSTGDAMGMNMVSKGVQNVLDFLQSDFPDMDVIGISGNFCSDKKAAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTSVEALVELNMLKNLTGSALAGALGGFNAHASNIVSAVYIATGQDPAQNVESSHCITMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGANTESPGANARLLATIVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSSRDMSKIVS >RHN82580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54932186:54934155:-1 gene:gene6753 transcript:rna6753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein phosphatase 2A, regulatory B subunit, B56 MSSQKITQPPNQKTLHEFFVQEKPLFSIPSSPTSGNEEILSTVSYCTFVFTFTDPSESPAQRDSKRLQLSRLIAMMKSSKKPVHEKVLRPLVSMIKANLFRPLPPPTNPSAISEFLDEEDPISIFSPLWSHLQIVYEILLRLVNSTDTKILRNYMDHSFLLNLLTLFQSEDPRERESLKNVYHKIYSKFVCDRSAMRKSMTDVLLNYVFETEKHYGIADLLEIWGTIVNGFTVPLKEEHKLFLMRVLIPLHKTKGMQVYHRQLAYCISQFVQKEPMLGGVVVRGILRYWPVSNCQKEILLIGELEDLVENLDPDQYRKLALPICTQITKCINSWNSQVAERALYVWNNEQFYKMATTGTVEVLPVIVQGVEKNLKLHWSKSVRQLTESVKVVVEDIDPDLYAKAQMDLKVKESVAHQEDMNRKKRWERIELAASKNQFVNPQRYICVSH >RHN81283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44547718:44553181:-1 gene:gene5299 transcript:rna5299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MGSSSKVGNSNYDYSFKVLLIGDSGVGKSSLLLSFISNANSLDDLSPTIGVDFKIKLFTIGDKRLKLTIWDTAGQERFGTVICSYYRGAHGIVLVYDVTRRETFTNLVGTWAKEVGLYSTNHDCIKILVGNKVDKESERAVSKEEGMALAKEHRCLFLECSAKTKENVQQCFKDLTLKILEVPSLREKGSVEVKRQKQKRFYETSQRGGCCS >RHN70243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49959634:49960108:-1 gene:gene18804 transcript:rna18804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin domain-containing protein MPHNAFCESFGPPRPRLDFVADHPFLFLIKEDWTGTILFNLLDRCSILLLGYQ >RHN72952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12096068:12096787:1 gene:gene8720 transcript:rna8720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MASDVLPSEILTEIFSRLHPQSLLRFRSTSKSLKSIIDSHNFTNLHLKNSHNFNLILRHNTNLYQLHFPNLTTPLPLHHPLSYTKRITLFGSCNGLICISSNTETAFWNPNIRKHQIIPNLPVSIPQSETKIHYAFFTYGFGFDPLSSDYKLIRISWFVDLQNSTFDSYVRLFTSKTNSWKVLPSIDYVLCYALTMGVFVENSLHWITVKNPDGLHPRLIVAFNLTLELFNEVPFPDEI >RHN46578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32927945:32928376:1 gene:gene41079 transcript:rna41079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MTPLQLPDWLGTNHSTTAYYKPIFESEKKSYGTLYNSFHELESDYKKLSNTILGIKSWSVGPVSSLANKDDEKKGNRGHMEELAEEEEWLNWLNSKQIESVLYLSFGSVTRLDNAQIVEIAHGLENSDHNFIWVVTYKEKGKR >RHN58738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4402919:4406235:-1 gene:gene20678 transcript:rna20678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S4e MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVLVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSVRDDEAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLENNKITDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGTFETIHVQDASGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLTVIEEARKRQAAQNVTAA >RHN65419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2073978:2077141:-1 gene:gene13256 transcript:rna13256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonucleoside-diphosphate reductase MYVVERDGRQEAVRFDKITARLQKLSYGLSTEHCDPVLVAQKVCAGVYKGVTTTQLDELAAETAAGMTANHPDYASLAGRIAVSNLHKNTLESFSETVKKAAPLIADDVYAIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVEGVVVERPQHMIMRVSVGIHKDDVESAVKTYHFMSQRWFTHASPTLFNAGTPRPQLSSCFLVCMKEDSIEGIYDTLKECAVISKSAGGIGVSVHNIRAQGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFDFLELKINHGKEEQRARDLFYALWVPDLFMERALSDGEWSLFCPNEAPGLADCWGEEFDKLYTQYEKAGKAKKIVQARTLWFAILKSQIETGNPYMLFKDTCNRKSNQQNLGTIKSSNLCTEIIQYTSPTETAVCNLASIALPRFVRDKGLPVESHQSKLVGSRGSSSRYFDFEKLGEVTAVVTTNLNKIIDVNNYPVETAERSNLRHRPIGIGVQGLADTFILLGMAFDSPEAQQLNKDIFETIYYHALKTSCELAAKEGPYETYSGSPVSKGILQPDMWGVTPSNLWDWGALREMISKTGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYNRRTLSGEFVVVNKHLLHDLTEMGLWNPALKNKILYDNGSVQNLSEIPAELKGIYKTVWEIKQKILVNMAVDRGCYIDQSQSLNIHMDQPTYGMLTSLHFHAWSKGLKTGMYYLRTRAASDAIKFTVDTSAIKDQPKVKEADDAEDEDDDAKMAQMVCSLTNRDECTSCGS >RHN79404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28528388:28528669:-1 gene:gene3191 transcript:rna3191 gene_biotype:protein_coding transcript_biotype:protein_coding MWKFVEHPYTALSSMCLFAMTTYLLLTEISEVNRTPLSESDYPPMAILFVMYPSSVIKQFWLWHRHHCDAPLLDNLLFDQIRLSDEECCLTIQ >RHN74131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28894215:28897006:1 gene:gene10125 transcript:rna10125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase, Very-long-chain 3-oxoacyl-CoA synthase MTESKQDTPLLAPSSSRILPDFKKSVKLKYNLDFQRKILERSGLGENTYLPEAVLSIPPNPSMKEARKEAEAVMFGAIDELFSKTTVKPKDIGILIVNCSLFCPTPSLSAMIINHYKLRGNIMSYNLGGMGCSAGIISIDLAKELLQVHPNSYALVVSMENITLNWYPGNDRSKLVSNCLFRMGGAAILLSNRSSDRRRSKYRLVHTVRTNKGADDKCFSCVTQEEDDNGKVGVTLSKDLMAVAGDALKTNITTLGPLVLPTSEQLLFFATLVGKKLFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLKLSPWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIKKGDRTWQIAFGSGFKCNSAVWKALRTINPAKEKNPWIDEIHQFPVDVPRISAI >RHN48537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48267400:48270976:-1 gene:gene43266 transcript:rna43266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heparan-alpha-glucosaminide N-acetyltransferase MDEAKRMEEGLKLQLDHEDAKDELKEQETINIVDSNVDQHGTYTMAKPVDQQPELVKQKTKRVATLDAFRGLTIVIMILVDKAGGAYPRIDHAPWDGCTLADFVMPFFLFIVGVAIALALKRIALVYCIVALIETFTTKLRPTTLRPGRIAIFTAYKWQWFGGFLAFLIYMITTFTLYVPDWSFVDHTNGDEPKRYTVICGMRGHRGPACNAVGYVDRQVWGVNHFYIHPVWRHLKECTFSSPGEGPIREDAPSWCRAPFEPEGLLSLSLHNISTYSMCIYIVSDTMLILVYLNNITRFLTSSISAILSGTIGIHYGHVLIHFKVTKSYHILYYTNTQNKHLFYKLNFTSERPKTEEIFGRVIGIGSNNGSQWDLSVPINKQLYSISYVCFTAGIAGIVFSALYILIDVWGIRTPFLFLEWIGMNSMLVFVMAAEGIFAGFINGWYYENPNNSLVHWIKKHVFVNVWHSERVGTLLYVIFAEITFWGVVAGVLHKLKIYWKL >RHN57976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42897779:42907914:-1 gene:gene33573 transcript:rna33573 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEYLSYYWIKILGLHCGELVLVLRTTFLTEQFDRIEEVLVSRYKRLENEIIDLQEKVEMEKKTRFQAEEDLRKREELCEKGKRAQNNYEKLLKEVKKTNLAEKDTIGELRKKNNELELEVCELRKLKEKWVNDSKNAYGVRKSELLEKSMKKNIEALGIPLITNFEIRDEESEYEIDNDTMEPNTLQTNEPPNKRSKNAQGASSVMTQTRGATIDSHSRHGHPIASREFAARHVPIVEERRENDNIHMSEEVIVTDNVVVPLSYVQEQMATQYTAKRKKVATNPSFSNFDNFHFTSSVHQERYSEFLANKKFVEEKNFRLEGNKFLDIQVMIVSRGWVELTSFAKDASTTLAKEFFANAYQGPAKNERMKFTSFIRGKNVPFHDNIINELFGLENYEQCSFEARKAKGSNIDHQEIRSTLCRPEADWVRNKDGTPTKLRTSDLTPLAKVWAMFVLRTLLPCSNVSDLTILKANLLTAILKGEPVNVGRLLADDLWVTANCSSPSSYINHASLIRKLCERVKVYPKKKEEMVKPSGAITAKWIETHCSL >RHN53047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41489299:41497077:1 gene:gene37796 transcript:rna37796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase, Rpb8 MSELLFDDFFKIVDVNPNGEKYDKVSRIVARSEKHGMHMLLDVNTEIYPMDRKEKFLLALSPSLVLNTKDGPVSIQEKFEYIMYGRLYDIKADGLSRSPPEVEVYASFGGLQLMLRGDPSHCVKFAVDQKLFLLIRKLES >RHN43155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43624632:43627025:1 gene:gene49687 transcript:rna49687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MQGALELPPGFRFHPTDDELVNHYLCRKCASLPIAVPIIKEIDLYKFDPWHLPEMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGKPKALGIKKALVFYAGKAPKGIKTNWIMHEYRLANVDRSASKNNHNLRLDDWVLCRIYNKKGKIEKYNDTKPPMFSQFENETKPHIKMNMNGQDDQLYTDTSDSVPLFHTDSSGSDHVVSPDVTCDNKEVQSEPKWNEFVLGSDPVSAFDFQLNLMDDAEDDPFAPQIQYQINQLSGWQDVFMYLPK >RHN70685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53188758:53194532:-1 gene:gene19295 transcript:rna19295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MLAKASLDGDITATEMTGSTNHHHLPVSGHENGQEMADMRQDSKKNKVKDQSKKTVPFYKLFSFADSWDYLLMFVGTIGAVGNGVSMPLLTIIIGDAIDAFGGNVNTNQVVHLVSKVSLKFAIMGAGAFFAAFLQVACWMVTGERQAARIRALYLKAILRQDISFFDRETNSVEVVGRISGDTVLIQDAMGEKVGKFIQYVSSFLGGLVVAFIKGWLLSLVLLSSLPLLVLSGSIMSFAFAKMASRGQAAYSEAATIVDRIIGSIRTVASFTGEKQAITQYNQSLTKSYIIGLQEGLAIGLGLGLVRLFVYCSYALAVWFGGKMILAKGYTGGEVISVFFAVLTGSLSLGQASPSLTAFAAGQAAAIKMFEIIKRQPNIDAYDTAGRQLDDISGDIELREVCFGYPSRPNEMIFDALSISISSGTTAALVGQSGSGKSTVISLIERFYDPQGGEILIDNINLKEFQLKWIRQKIGLVSQEPVLFTCSIKENIAYGKDGATDEEIRAATELAKAAIFIDKFPHGLDTMVGEHGAQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERVVQETLERIMINRTMIIVAHRLSTIRNADIIAVIHQGKVVEKGTHDELTNDPDGAYSQLIRLQEIKKDSSEQHGANDSDKLETFVESGRESRPTALEGVSEFLPSAAASHKSKTPDVPFLRLAYLNKPEIPALLIGTLAAAVIGAMQPILGLLVSKMINTFFEPADELRKDVNFWALMFVFFSVASFVFQPLRSYFFAVAGSKLIKRIRLMCFEKIIHMEVGWFDKAENSSGALGARLSTDAASIRTLVGDALGLLVQDIATVITALVIGFETSWQLSLIILVLLPLLLVNGHLQIKSMQGFSTDARKQYEEASQVANDAVGNIRTVSAFCAEEKVMELYQKKCVVPVQTGKRQGIVSGVGFGLSIFFMFCVYACSFYAGAQLVKNGKTSISDVFQVFFSLTMAAVAIAQSGFMAVGASKAKSSVASIFAILDQESKIDSSEESGMTLEDVKGDIEFHHVTFKYPTRPDVHIFKDLSLTIHSGQNSLSNNPSIENQTVALVGESGSGKSTVISLLQRFYDPDSGQIKLDGTEIQKLQLRWFRQQMGLVTQEPVLFNDTVRANIAYGKGGNATEAEIIAAAKLANAHKFISSLQQGYDTIVGERGIQLSGGQKQRVAIARAIVKNPRILLLDEATSALDAESEKVVHDALDRLRVDRTTIVVAHRLSTIKGSNSIAVVKNGVIEEKGKHETLLNKSGTYASLVALHTTSTTKGGTYCNRI >RHN40753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20374223:20375608:-1 gene:gene46950 transcript:rna46950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MGFINYKAIKPYSISHMQRGKNIAQIVKFFYVIVISLFLILVAMNGGYLFECTTDYDCRDVWYCSDTQVAKCYVRSRFLSKGKCLCVK >RHN59853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14043913:14053932:1 gene:gene22016 transcript:rna22016 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAQKRRKRIARVQILRLIQRLRELSLKGLGRKLVRREVFIERRISDDDESDKASDRKRKRRGREKKRSVKSKREASDSDSSGERRRRKKKREHRKSRRDKSPDDSDECRHKKRKSRKEKRRSRLSDSDSETSEYSTSRHKKNIKRTSSSTDSDLGDGYNGSRKGRDIKKSATMEMMIRGAME >RHN70656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53028204:53030182:1 gene:gene19265 transcript:rna19265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MGKGGSVSDGVMKKILLSYTYVAIWIFLSFTVIVYNKYILDKKMYNWPFPISLTMIHMSFCATLAILLVRVFKFVEPVSMSREVYFSSVVPIGALYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVGLRKESYKNDTMFNMLSISMGVAVAAYGEARFDTWGVILQLGAVAFEATRLVMIQILLTSKGISLNPITSLYYVAPCCLVFLSVPWILVEYPILKENSSFHFDFVIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGLAFLGVAYYNHSKLQALKAKEAQKKVTQADEETGRLLEDREGDGSGKRNDQQN >RHN81841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49286837:49289564:1 gene:gene5933 transcript:rna5933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MNESTKHIKTSAFCVSFIHSSTTLFLNKDNHIIFHPALFSCLSSKAFLCAEILKTGVMEDVVSNTRRWEDLDTDILVKIFQLLDIFELTSGIAHVCSAWRMACCDPLLWKTLDLSMLRSNFIKIPLEPFVYVDERSDKKLTRLLKISLNLSRMSIVTLIFHFNLYVSDDQLTYTAERCPHLKRLVLPAWNRIKRTGMCKAIRCWKELESLTMPSIANPPYFLEEIATNCKNFSELKIMGPCDIFFASTLAAFVPKLRFLSLRCSTLYRDVLILILDSLEHLEVLNISHCILMECLPPPQHKKIINEIDSTIRQKASRLREFITCMDDSCIMCQRTRTDEGIVRWYKYEEGFWKEDEVKSLAL >RHN78185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12547083:12547467:-1 gene:gene1714 transcript:rna1714 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MEKGGYLIYASGHAHTGIVDATLYGQDGRTLCTSTPTYGTGKEAGNAKGYLVGMSVCYPKLGSTKIDDGETMR >RHN38859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2484931:2486119:-1 gene:gene44829 transcript:rna44829 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIISLIWVSLILCVFSLCAMKLAGASELHSSSYEMVRSRRLLGQEQTGGSRSPCGASLINDQNKQASDQPRCGNYRHQSHP >RHN78252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13229588:13229929:-1 gene:gene1786 transcript:rna1786 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKTYKWWKVPGKLIENIARSLKTIQLIFWGVLGYVFLF >RHN61545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36278358:36284089:1 gene:gene24018 transcript:rna24018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative uracil-DNA glycosylase MASASSKTLIDIFGRASKRLKPTLCKSEDNINSSSTLTVDQKSRIEHNKNLALSRKNRKICIERVSKHKESLASGCVKLEELLVEESWLEALPGEFQKDYAVNLSKFVETEICKDDYVYPPAHLIFNALNTTPFQSAKVVILGQDPYHGPGQAMGLSFSVPEGVKVPSSLVNIFKELKQDLGCSIPSHGNLEKWAVQGVLLLNAVLTVRKHQANSHSKKGWEQFTDTVIKTISQKKEGVVFLLWGKSAQEKLRLIDATKHHILKAAHPSGLSANRGFFGCRHFSQTNKYLEQMGIGPIDWQL >RHN53715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3551756:3554428:-1 gene:gene28652 transcript:rna28652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MDNCRMYIMRMMVVLLIILVININVISIVDAQMLPPCAGDKMLPCTDYLNSTHPPDICCNPIKEIFEATHDYTCFCQISTPGLLESFGVKLALAVKVVNSCGVKFDPTSCKASAPGLSPSLMQPPATRGSDGGGAGMITFTGHYFILFIWACMLFH >RHN61403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35416744:35417246:1 gene:gene23867 transcript:rna23867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylecgonone reductase MEINKVPEVILNSGKNMPMIGLGTSTSPSPPHEVLTSILVDAIKIGYRHFDTASIYNTEEPLGQAVSKALELGLVKNRDELFVTSKLWCTDAHHDLVLPALKSTLKQA >RHN80793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40899367:40899758:-1 gene:gene4759 transcript:rna4759 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGKIVFAIIGFSASFIFCVPNLKRWQRKQMTLEKLKIIREALEEAEERVVRFQERHDRILSHIIASYLTNAEVVEALAGARQNMNQALDFAVELRSIQFKTIRSFPDAIHMVSDTTNTQS >RHN47791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42541018:42548262:-1 gene:gene42433 transcript:rna42433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylinositol N-acetylglucosaminyltransferase MKMKKHCRLWWPRQLLSNKESSSSILLGWFVTCSSSSLDIVVAFTCSEVLLSSSSPAIEGIINDIHGSMPAILQARSVFSVLGLCITDTTGNSLTAEAKVDKKWSSDCGNALAEASTSVQRKNNCRSCSFLQLDGPLRKSSQSFIGKSNWVVLMFDSSEQNDVGIDRLPKVHHIHCNGLTLSEHDVHVIVYETPSYGAHHFSLCRFGSNEQVKTPIKNPKWVDELHEKKKFTDLDTVVLAINCTSAAKRTFDKHVIPRRSLSQLSLFAMFFVIIGHLFCKFLASFSTVFYIVLQFFQTHFNHESESWSYVTLVNVFKKTAWINIRVRCCQILYWPILLQDNDLRSQSCVEYAEKAAMHRHSMWSSLVVDILLGNLVGWSLLYHEESICLSGLNFIHWFATFLRSGCVWLMGDPAGFKLNYELAGVLGMLSLNVIQVWSTLWIFVGFIFNYIIRGLSILGILCGFTVPASLIIDMIALGTLHVSTLHWFISLVYSTQIQALAALWRLFRGRKSNPLRQRLDSFDYTVKQHIVGSLLFTPLLLLLPTTSVFYIFFSIVDTTINLICILIEVTISIIHATPYIKIFLWLTRPGRFPCGIWLEICDCQSNHTASTNRDFANEINSSKKSLHLKNFNREKSSILVSILHSNYLSIGKVISPHYRNAFLGVSGSTIAKAAHGILIGQRMPYKRGTLLPSPMPWMSLPYKKYWHLCHDSLMACFR >RHN78841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19079934:19082612:1 gene:gene2502 transcript:rna2502 gene_biotype:protein_coding transcript_biotype:protein_coding MMQYEFSWLLPMEVMLGSLNHAEVQACSISTVPEELRGPKVEYDYNYKPKFISIGLLHKGSRRQLQLMEELKWNYMCKFLNRQVTEDQNQSSASRLRLVQCGEDILKLDKVVRASYGGNIELEPYEIAKIMILDGCFLLEFLLNLGDYMTMDGNAGGSNIDDVDPIFKDEEKLLFILNDITMLENQIPFIVLKKLYRKVFPEYGIDITNDHRVAKIVREAFDYPLVNTSGVAHILHLMHLSTMDQNEQQTGKRAKRELFRCATKLRAVGITIIGDKMKNRTQNQAKFKDVSNFDINFDKCGGNLVIPTLYVKETTEVKWRNLIAWEQNRIWVRCKYTSYALFFNGLICCKHDIEFLTEKGVIVNESKKSNEDLLALFKTIAKGVERMDSSYSNICEDLNKYNNGKKVKKTLGGLVVMSWHLSRRSVEMLVYYWRNWLKILLRDHIPTVWKLIGVMAAVILLVCTILQTIYTMLGYFR >RHN68554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36504180:36509177:-1 gene:gene16922 transcript:rna16922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAKVGKMRCKSQSRGHKETPYLLSCARKNMKNVKRFSKAVEKKDWKGATCSVCMEVPHNAILLLCSSYNKGCRPYMCATSRRYSNCFEQYKKAYTKATSVQSSQQETDYSNFNSNSGDRSDNAKVPELLCPLCRRQVKGWTVVEAARKSLNGKKRSCMQDGCSFAGSYKELRKHVRSKHPCSRPREVDPVREEKWKKFECERERSDVISTILSSTPGAMVLGDYVLEPNDRGLYSDETDSDDEFGEDDGDFFGSIGLGGTGSFIRYNQDPFDVNDFGFRGVASSGSAALSGRGFHRILLERSRRRRRHRVLNADQ >RHN69111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41112219:41113579:-1 gene:gene17540 transcript:rna17540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MVSNSNSNSDHPASRIKSNERVLKDIAKILYKLIAVLAVLLALNYTETANKFSKQQYTIYFISSIIVVVIFINRRWKNKNKNDNLNGNTLESEMEKLFKKLDTDGDGKISISDLRSITGKLEIGDDVDGIDGGSITLQEFIELSTTSYESEEEIENLKSTFSVYDIDGDGFITAKELNTLMRSIGQECSLDECERIIGRVDSDGDGRIDFEDFRIMMMMGSRHNRVEHQT >RHN69447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43491216:43496382:1 gene:gene17923 transcript:rna17923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-aminolevulinic acid dehydratase MASSSTIPNTPLTLNSHTYVDLKPALPLKNYLSFSSSKRRPPCLFTVRASDADFEAAVVAGNVPDAPPVPPTPAAPAGTPVVTSLPIQRRPRRNRRSATHRSAFQETTLSPANFVYPLFIHEGEEDTPIGAMPGCYRLGWRHGLIEEVAKARDVGVNSVVLFPKIPDALKTPTGDEAYNENGLVPRTIRLLKDKYPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVAQARAGADVVSPSDMMDGRVGAMRAALDAEGFQHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALTEMREDETEGADILLVKPGLPYLDIIRLLRDNSPLPIAAYQVSGEYSMIKAGGALKMIDEEKVMMESLLCLRRAGADIILTYFALQAARCLCGEKR >RHN43529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46543425:46563898:1 gene:gene50125 transcript:rna50125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SH3 domain-containing protein MADSSGTTLMDLITADPTPLPASSSSSTAAPTPSATPSTSQSSTLGKPATEKRSKRSALMQIQNDTISAAKAAVRTNILPQKQKKKPVSYSQLARSIHELAATSDQRSSQRQLVQHVFPKLAVYNSVDPSLAPSLLMLNQQCEDKSVLRYVYYYLARILSDTGSQGLSSGGGIPTPNWDALADIDAVGGVTRADVVPRIIKQLSEEATNADVEFHARRLQSLKALTYAPSTDSEVLSRLYEIVFGILEKVGDPSQKRKKGILGGKGADKESIIRSNLQYATLSALRRLPLDPGNPAFLHYAVLGISSADPVAVRNALEIVSEIAARDPYAVAMALGKQVQPGGAIQDVLHLHDVLARVSLARLCCTISRARSLDERPDIRSQFNSVLYQLLLDPSERVCFEAILCVLGKYDSAERTEERASGWYRLTREILKLPDASSKESSKDKAQKIKRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAFAVGIQDVDEGAHVNTFAEAADLNDSDESTHPESIRRTSSVSNGTAGRDTIAGMLASLMEVVRTTVACECVYVRAMVIKALIWMQGPIDSFDELESIIASELSDPAWSAALLNDVLLTLHARFKASPDMAVTLLEIARIFATKVPGKVDADVLQLLWKTCLVGAGPDGKHKALEAVTIVLDLPPPQPGSMLGLTSVDKVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFIGSWEIRIIAAQALTTMAIRSGEPFRLQIYEFLHTLAQGGLQSQLSDVHLSNGEDQGASGTGLGVLLSPMIKVLDEMYKAQDDLIKEVRNHDNAKKEWTDDELKKLYETHERLLDLVSLFCYVPRAKYLPLGPISAKLIDIYRTRHNISASTGLSDPAVATGISDLIYESSKTPAAAEPDALDDDLVNAWAANLGDDTPAMNRVNEFLAGAGADAPDVDEENIISRPSISYDDMWAKTLLETTELEEDDAKSLGSSSPDSTGSVETSISSHFGGMSYPSLFSSRPNSYGASQNTDKAGRGSGPSIYEGLGSPIREEPPPYSPGMQRNESFENPLAGTGSRSFESQEDERISSGNPQFGSALYDFTAGGDDELSLTAGEEVEIEYEVDGWFYVKKKRPGRDGKMAGLVPVLYVNQS >RHN67645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28948166:28948685:1 gene:gene15853 transcript:rna15853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MLVMVLGMLVATLDARQIDDVSCPSALFSLLPCLPFLQGVGPATPTSYCCAGITDLNQKANTTQIRRDVCNCLKPAASRFGVNPDRSKQLPTLCNITLNVPFDPSVDCNTVQ >RHN44743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8044777:8049895:-1 gene:gene38903 transcript:rna38903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PsbP family protein MASLQTSPTLHRTLFQNSFPQKHGSSSSSSSTFRRREGISFIVKAEQELSSSSTDISGRRQAIAIAATAPLVFLFNQSSISFAADNKSGFQPVVDRKDGYQFVYPFGWQEVVIEGQDKVFKDVIEPLENVSVTMIPTSKQDIKEFGSPEQVAATLIKKVLAPPNQKTKIIQATEREIDGKAYYQFEFVAQAPNYTRHALSAVSIGNGKFYTLTTGANERRWGKMKDRLNTIVESFQLFKV >RHN75055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39027726:39041085:-1 gene:gene11205 transcript:rna11205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase STE-STE20-YSK family MEDLAGLVEATGTRFTSLELIGQGSFGDVYKGFDKELNKEVAIKVIDLEESEDDIDDIQKEISVLSQCRCPYITEYYGSFLNQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIAYILRDLLHAVDYLHNEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSSCLKKVPAERPSAKELLKDRFIRNARKSPKLLERISRERPKYQIKEDLEASRNGARGMSEASNTMKVARDSRVEEISRPSSQVKTLKSSEWDFSIGESEGTGTIRHASRPPQSRDKKTDVSYNQLTQRKALDAGYQGGYANRSAPNQSLESSLGKDPRAPYHHEHPDNQFEDDELSGNGSGTVVVRSPKGSRPSVFRDHSSQDELSENGSGTVVIRSPKGSKPSVFRDQSSQSSSSYASFDDSMSGTVVLRSQHDDSDSPRTPRSRLGLHDRNSNASLEDSAANLAEAKAAIQGGRKVNARERHSRGKINSDIQESKRDQMTSSTDSSRSYREYIDAQRGMSKSHYASDDEESARILSSSAPLSVLLIPSLKEAIADDPEGPIMRAVINSLINMEGTKPRSSDVLVKKLLQRLASSKEDSLKDLQGLASQLFSKAKLTEETQNAEADNRRKQHSKEPHPNSNLSPLARFLLSRWQGQTSRDLNQS >RHN73035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12903451:12904126:-1 gene:gene8810 transcript:rna8810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain, Cell wall/vacuolar inhibitor of fructosidase MKISSFSIIILLTISSIFFIPPIQCHQPPKLDLIKAICRKTPHYHLCVITLKSNLYAVKYIASNTDISGFVRVTLKVVATRAPVILHQLQTVQVQTKDIQLKAALDNCIASYTKISKELVPQAQKCVDKSDYNGVKQSATTAGNLADTCGKKCNGTTSSAVLSQLGDSNQYVKNMCAITVSIANQFSQSNRQTLT >RHN54729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11675030:11678652:1 gene:gene29807 transcript:rna29807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEAVNFLLQRLVPVFENEVNLLTGVEAEVVYLKEKLELIKAFLKVADALEESDEELKVWVKQVRDVAHETEDILDELELLVQARNHTNRFFVFLRIRNMKARYRIAHELKNINSRMTTIFSIHKRFLRKLDFASDASNSIYTGKIWHDQRGDALLLDNTDLVGIDRHKNQLIRWLIKGSRGRKVISVTGMGGMGKTTLVKKVYDDPKVIKHFDACAWVTVSQSCAIEELLRDLAQKLFSEIRRKVPKGLESMHRDKLKMIIKKLLQRRKYLVVFDDVWHRHEWEAVRYALPKNNYGSRIMLTTRKSNLANISSKESKGKVYNLQPLKEDEAWDLFCKKTFQGHRCPSYLINICSYILRKCEGLPLAIVAMSGVLATKDKHRIDEWDRICRSLGAEIQINGKLDNLKTVLSLSFNDLPHYLKYCFLYLSMFPEDYLIQRMRLIRLWIAEGFIKAGEGKTMEDIAEDYLKKLINRNLLQVAERTSDGRVKTLRIHDLLREIIILKSKDQNFATIVKEQTVIRAEKIRRLSLQGTLPIPNGQQHISVSQLRSLLMFGVDENLSLGKLFPGGFKLLNVLDYQDSPLKKFPKAVVDLYHLTYLSLRNTQVKTIPNCILGKLQNLETLDLKNTCVTELPTDIVKVKKLRHLLVYQSKVEGYAQFHSKYGFKAPLEIGNLQSLQKLCFVEANKGCRMIIRHLKELSQLRRLGIMRLREEDGKDFCFCIEKLVSLSALSVTSEGENKVIDLTSLSTPPPFLQRLYLSGRLKELPCWIPSLHNLARLFLKWSYLKHDPLVYLQDLPNLAHLELLQVYDGDTLHFKCGKFNKLKVLGIDKFEELGQVIVGKGAMPCLETLSIGRCESLKKVPSGIENLTKIKVLEFFDMPDELMMTICQHGPGKDYWKVSHIPEVYSTYWRDGGWDVYALDSLRDCSPRSGTVRRSHECRNQWKV >RHN49389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54465476:54469521:1 gene:gene44213 transcript:rna44213 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCKFLILLAVVYILLLRMTVNAKGSFFHRYRDKICREVECGKGKCGATSTHKNPFSVFCECEPGWQQIKVDPDYSNKFPPLPCVIPECTINDDCKQALPLVPEKDFQIPHNMSHSDPCYLAFCGEGTCIKNSKKQKHNYKYRCECKPNYFNLLNMSGLPCYNKCSLGSDCSKLGIKIVNSIADNSVLSIASSTRAKKVPLDI >RHN42778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40930030:40930725:-1 gene:gene49265 transcript:rna49265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferredoxin [2Fe-2S], plant, Beta-grasp domain-containing protein MATTTAALSGTMVSTSFIRRQPMNTSLTAFPNVGQTLFGVKAGRGSRITAMATYKVKLITPDGTKELTCPDNEYILDVAEEQGIDLPYSCRAGACSSCAAKVVEGEVDNSDNSFLDDDQLSAGFVLTCVAYPRSDLVIETHKEEDLVS >RHN81137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43584362:43587917:1 gene:gene5137 transcript:rna5137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GH3 family protein MCPNDFMLQFSIIAHIYLSIYTLHTPVQSYTIQSLVVLFFLVDMDGKKLEYKGEEALKEIEKLTMNAGEVQDNLLKQILTQNKETEYLNQYMKRETFKTDLKEFKRCVPVISYEGIFPYIQRIANGEVSSLITGQPITEMLCSSGTSAGEPKLMPSIAEDLDRRTFVYNLIMPIMNQYVPDLDEGKVMYLYFVKAEKSTPCGLPARSVLTSYYKSKHFKCRTHDPWNDFTSPDQTILCNDINQSMHCQLLAGLIHRRQVLRLGAVFASAFLRAISFLERNWRKLCDDIRNGQLNSFITDPSCRSSMSTLLSSPDPCLADEISKICSQKCWKGILCKLWPKAKYIEAVVTGSMAQYVPALEHYCEGKLHLVCTMYASSECYFGVNLKPLSDPDDVAFTLLPNMGYFEFIPLGHNGTLLMDFDENEQVPNDKLVDLVNVKIGCFYELVITTFAGLYRYRVGDVLQVVAFHNKAPQFRFICRRNVVISIDSEKTNEEDLHRSVTMSKKLLEPYDALLVEYTSYADTCSVPGHYVLYWEILHYGTKGDPLDPNVLQECCIAVEEELDYVYRRCRTNDKSVGPLEIRVVEPGTFEALMDLFITKGASINQYKTPRCIKSKKALKLLKSKVSASFFSPRDPKWGPN >RHN65031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63753864:63754749:1 gene:gene27924 transcript:rna27924 gene_biotype:protein_coding transcript_biotype:protein_coding MKITRLRSFSVSKKKKIPLIWRQPRKIMMMKRKKKDKDKNTKRRKILVDVQSYNEFKTLFAQILFEEYVTQGGATSVVSFNISHDVDSQSYSQILEDIIASNSKLGRRDKIEDPRTDHWPRQIVLCYTLEEMKKVYNAIGSDWRAIMAVSWKYPSAMTDKILDRMDDFQQRVGILDHHRDPYWH >RHN73940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21858424:21861371:-1 gene:gene9840 transcript:rna9840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MGARSWHLVLFSLCLSLSSGLGTAIRLREDSSYEFSTTQSDSIPIVNPTTPGTGGNPYPTINPTSPQPPDTSTGGQNPPSPDTDTTSPTNPYSNPPTSTSPYSNPPASTNPYSNPPASTNPYSNPTSPTTTTPTSPTVTPTTPGTGSSGGGGGGGGQWCVASESAAETTLKVALDYACGYGADCSQLQQGGACYDPNTLKDHASYAFNDYYQKNPAPTSCVFGGVASLTSKDPSHGNCHFSSSKTTSMSPPTYVSPPTTMTPPTPMTMTPPSSMTPPSMTMPDPDGGSSSVYGSPPGGSPNMATSTSYSILLLLTTSLYATLHVQNYV >RHN41884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33851897:33852337:-1 gene:gene48248 transcript:rna48248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase MSKSNTNTRTQTRASLFTPFIAIILPVIIASAVLYRLDPLEPVHFPLDKINRSAITVPLLNKNMRLGSEKAAEGQVLGPEDLVYDAALGVVYTGCEDGWIKRITVNESVVEDWVNTGGRPLGLAFDGNGDLIVADAYKVTGFHIYV >RHN47773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42422826:42425173:1 gene:gene42412 transcript:rna42412 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQYVAELDAFLKFLIWRFSILDKPTPGIANLKYRDKPALQSRDRGNVIVLLPLFNSSLVKNLLRPFSKDKSSNSAEDIPFSQATPVILLLLYLVSTCTTSI >RHN39279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5526545:5532861:1 gene:gene45289 transcript:rna45289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAMSLASSSSHHASLKKYDVFISFRGEDTRVGFISHLYKALRRKHIHTYIDNLIEKGDQVWAELVKAMKQSTLFLVVFSKNYASSTWCLNELVEIMELFDINKNEDDNVVVIPVFYHVDPSHVRKQTGSYGTALAKHKKQGNDHEMQKWNTALSEAANLSGFHSTTYRTESELIGDITGAVLRKLNQQSTIDLTCNFIPDENYRSIQSLIKFDSTEVQIIGVWGMGGIGKTTLATAMFQRVSFKYDGSCFFEKVTEVSKSRGINYTCNKLLSKLLKEDLDIDTPKLISSMIRRRLKSMKSFIVLDDVHNSELLQNLIGVGHGWLGSGSTVIVTTRDKHVLISGGIKTIYEVKKMNSRNSLRLFCLNAFNKVSPKDGYVELSKRAIDYARGNPLALQVLGSLLSCKNEKEWDCASAKLRKIPNNEIDSIFRLSFNELDKTEQNIFLDIAFVFKGQERNSITKILNECGFFADIGISRLLDKALVTVDSENCIQMHGLIQEMGKQIVREESLKNPGQRSRLCDPEEVYDVLKNNRGSEKVEAIYLDATESIHVNLRPDAFENMENLRLLAFQDREGVTSIRFPHGLGLLPKNLRFLRWDGYPLKTVPLTSSLEMLVELSLKQSHVEKLWNGVVNLPNLEIIDLNGSKKLIECPNVSGSPNLKEVILRECESMPEVDSSIFHLQKLERLNVCGCTSLKSLSSNTCSPALRHFSSVYCINLKEFSVPLTSVHLHGLYTEWYGNELPSSILHAQNLKNFGFSISDCLVDLPENFCDSFYLVSEKNRENDPFITLDKNTFFWSCLPDCKRIDHC >RHN73425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16337458:16337942:1 gene:gene9237 transcript:rna9237 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSFSFFAILTLVVAVQLIQVEGVCTNVVANCVDKEVHCPQVCQDFGKGAKPISTNCDFYNLCTCSYEHPVTGQFGVNQCSIGMGLCTSDCRNDCCDKRCTSKYPKSGVGFCVQDYGLDYCSCTYRRP >RHN62799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45930271:45930724:1 gene:gene25408 transcript:rna25408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MFFLPTYIQYYSLPKKRLITKGAMSLFIQKIILLCALILLSLHNIHGIHVNIHNSLEGSLDLIVHCKTMDDDFGVHPLHPGDNYGFSFNEKVLFDSPCSFGWNGETHSFNIYHASNLRKSNCDDCNWNIFKSGPCRIQQHGDPICFPFDN >RHN70088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48654074:48656043:1 gene:gene18632 transcript:rna18632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterile alpha motif/pointed domain-containing protein MEEVPPPEVQINGGATTAVTLPPSEPHGSKRQRRPSVRLGDIGDQPSDSHPRRSSKSWRFGLGNVSGKPSKTRPLTNLTSVSDFDEDVETNTDGVIIGSWKVKKGSKRPRSNWVSSRIEEGEDVDNDNEDDLYRDFEGDNSGSRIRSSENLGDFDARNEDGGRGSCGEDGVRIWLNGLGLGRYAPVFQIHEVDDEVLPLLTLEDLKDMGINAVGSRRKLYCAIQKLGKGFS >RHN47543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40472766:40475698:-1 gene:gene42156 transcript:rna42156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative choline transporter MATLNNHHNRNTQPQVQQQTLHIEAQDSSFVNTPTVAGKTVRKLFQILFYLYLVLISILVIFITVYGLVLDYSTHHFHPEKWYPPLLASTVCGGILGLMWQWIIASHPEKALRAAFWLSPLLTCAMGILFVLIGSALSLVVGIVSLISAVIQSLYGCWVGKRFVYATEILLVSKASPPAKTKRLACSLTVIGIIYCCFLVSGIGGAKAIQNRTKLADICILVIILSLGWTMQVLKNAIQVTVSRVKYMHFSGGGDIDTRVAFCDTVKHLIGSVCIGSILVPTIGLFRGFARSTSLIGGETNECMFSCVSCSMGIASLLVTKGNRWGFVHVGVYNKGFVQASSDTWDMFIRVGLEELIDLDLTGAFCFLSGVAVGAICSLVSGIWSLILYKNYAMELSIYAFLIGYFLCRLAIAWPQACVSAYYVAYAENPQSTQFDSIIPVRLERLHRSQAIQRSS >RHN56003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26661568:26662427:1 gene:gene31315 transcript:rna31315 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYPAAFEKKEIEAAFFVAPHAKVFLAKYSCKGFIKVGNIFRLGGFGFVFPKGSSLVADISEALLNVIESGETEQLEKNMLNEIESESKANCSSLESNKGKNNSSIGLQPFLALFSICSFFAILALSYHMICC >RHN69957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47560939:47566828:1 gene:gene18490 transcript:rna18490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MALGKYSRVDGRRSSSYCSTVTIVVFVALALIGVWMMTSSSVVPVQNEDVPQESKSEVKEQTEVREQVSETDNSNARQFEDNPGDLPEDATKGDSNVSSEEKSEENSTEKSSEDTKTEDEGKKTEDEGSNTENNKDGEEASTKESESDESEKKDESEENNKSDSDESEKKSSDSNETTDSNVEEKVEQSQNKESDENASEKNTDDNAKDQSSNEVFPSGAQSELLNETTTQTGSFSTQAAESKNEKEIQESSKTGYNWKVCNVTAGPDFIPCLDNWKVIRSLRSTKHYEHRERHCPEEPPTCLVSLPEGYKCSIEWPKSREKIWYYNVPHTKLAEVKGHQNWVKVTGEYLTFPGGGTQFKHGALHYIDFIQETLPDIAWGKRTRVILDVGCGVASFGGFLFDRDVLAMSLAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGRVFDAVHCARCRVPWHIEGGKLLLELNRVLRPGGFFVWSATPIYQKLPEDVEIWNEMKALTKSICWELVSISKDQVNGVGVAIYKKPLSNDCYEQRSKNEPPLCQKSDDPNAAWYIKLQACIHKVPVSSSERGSQWPEKWPARLTNVPYWLSSSQVGVYGKPAPEDFAADNKHWKRVVSKSYLNGLGIQWSNVRNVMDMNSIYGGFAAALKDLNIWVMNVVSIDSADTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHADHLFSKVQKRCNLASLVAEVDRILRPEGKLIVRDTVEVINELESMVKSMQWEVRMTYSKDKEGLLCVQKSTWRPKETETLKYAIV >RHN41874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33766078:33767765:1 gene:gene48238 transcript:rna48238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoserine transaminase MAASMTTSPQTHLLPQTNNPFLNKPITPLTSTISLRTNPTFKPISIKCSATTHQAQAQPQTQTHSSDRVFNFAAGPATLPENVLLKAQSELYNWRGSGMSVMEMSHRGKEFLSIIQKAESDLRTLLNISSEYSVLFLQGGATTQFAAVPLNICKPDDAVDYVVTGSWSDKAVKEGQKYCKPSVIWSGKSEKYTKIPSFDELKQNPEARFLHICANETIHGVEYKNYPTPSNQNGILVADMSSNFCSKPVDVSKFGLIYAGAQKNVGPSGVTIVIVRNDLIGNAQDLTPVMLDYKIHAENNSLYNTPPCYGIYMCGLVFEDLLEQGGLVEVEKKNKKKAEILYNAIDESNGFFKCPVEKSVRSLMNVPFTLEKSELEGEFIKEAAKENMVQLKGHRSVGGMRASIYNAMPLAGVEKLVAFMKNFQAKHA >RHN41637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31677101:31678951:1 gene:gene47979 transcript:rna47979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MDFSFSIIVFLLHFVILFLFFSPVYSSRTGIHQLANRIGSVEEVHKLKKTMRNDLQSINKSALKTIQSPDGDIIDCVVFDKQLAFDHSLLKEQKSLDPPEIPRDNKNALSDNFQLWSLSGESCPEETIAIRRTEEKAIFNGYADHEHSYGSLAGDIYHGAKATISVWAPHVESPNEFSLAQMWIVGTNGIDDNNKNTVEAGWHVC >RHN82309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52737187:52738359:1 gene:gene6465 transcript:rna6465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronate decarboxylase MCSPSSTRLNLDGNPIKPITICIIGAGGFIGSHLCEKLMLQTPHKVLALDVYSDKLKHLLEPDTLPWNNRIDYHSLNIKNDSRLEGLIKIADLVINLAAICTPADYNTRPLDTIYSNFVDAIPVVYGKTIGSFLSKDSPLRHDPAYYMLKEDESPCIFGPIEKQRWSYACAKQLIERLIYGEGDEKGLEFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNNLLRGEPLKLVDGGESQRTFLYIKDAIEAVLLMIENPARANGKIFNVGNPNNEVTVRELAEMMIKVYSKVSGDQPLETPTIDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWNPKTSLEDLLESTLTYQHKTYAEAIKKVIAQTIAS >RHN78645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17100917:17102813:-1 gene:gene2276 transcript:rna2276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MMDNHRQTLGNFAMVALLFLGFIFIYHWSFIYFSTQLLLFQHEPLCQQQSNKSTNINESYRDGLDKALAKASMRNYKTVIIAIINKAYVEQDVKGDAITMFDLFLSSFWLGEGTRSLIDNLLIVAVDQTAYDRCQFLRLNCYKLETDGVDFGGEKLFMSKDFINMMWRRTFFLLEVLKRGYNFIFTDTDVMWLRNPFEKLSNNETEDLQISTDLYLDDPWSEKHRINTGFYFVRSNNKTISLFETWYGKKDNSTGKKEQDVLLDLIRHGIIGHLGLKVRFLDTLYFSGFCQDSKDFRAVTTVHANCCRSITAKVADLKAALHDWKKFRRLEVNYTMDMNWTSHQWCVKSWIRHSRRTSHV >RHN72388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7241428:7243006:-1 gene:gene8093 transcript:rna8093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAPTSETDDENSSTGDFLPTLPFEIVAEILSRLPVKFLMQLQSVCKSWKSLISDSKFAKNHLRLSTTLHRLILTFINTSRKLSITDYPLSTVFTDVTATATQLNYPLNDRNRFDVIVGSCHGILCFALDECFALLRNPSIRKFTQLPSLDIPKREGSYTIYGFGYDHFNDTYKVVAVNCFESDTDSNGSKVYKTEVKVYTLGTDYWRRIQDFPSGVPFDNSGTFVSGTINWLAAKDPYTSWIIVSLDLEEETYQYLLQPDYGAVTVNSVTLGVLRDCLCILAHSDTFSDVWLMKEYGNNDSWTKLFRVPYMGDVGSCPYTKALYLTEDDQVLLKYQAELVVYNSRDGTFKTPEIQHINRWLVPQVYQESLISPCS >RHN40493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16855302:16856975:1 gene:gene46649 transcript:rna46649 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIHLYVFSLFCLALVGINASQSEESYWKSIWPNTPLPKALSDLLLPERNQCTHKR >RHN55003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14297635:14298033:-1 gene:gene30119 transcript:rna30119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MDEMEIFASSMLGPKVVLRKTLNVKGSGKNVMVGRVSRIQGGNVTESVTCHQEVFPVMLYFCHYIPMVRVYNVEILTLQRIKINQAVDVCHIDTSSWSRSHPAFLELGSAPGEIEVCHWIFQNDISWTADAN >RHN54316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8600718:8603335:1 gene:gene29327 transcript:rna29327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor YEATS family MSQSQTLPLKRHQDENPRDDDSSDIKPSRLKISLPSEDSDKKILIKRVKDVEICVPIVYGTIAFFLGRKASESQSHKWTVYVRGASNEDLSAVVKRVVFQLHPSFNNPTRVVESPPFEISECGWGEFEIAITLFFHSDACEKQLDLYHHLKLYPEDESGPQNTKRPVVIESYNEVVFPEPSEAFLARVQNHPAVVVPRLPDGLNLPSPVPVEPMNDKERGDTKDHPLNHWFLNFSEADELLKLAAARQQVQAHIVKLRRQLTLMEGLPQSKQPSG >RHN51192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14956723:14957949:1 gene:gene35582 transcript:rna35582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MKDSRKMVTISDLPDELLCHILSFLPTKIAFTTRVLSKRWTPLFHSLTVLRFDDQTVHDYVAFNCFCDFIDTLMLSPRLSNKFIKIFSLKCSFLFSDSDCHIFDTWVEAAKRRCIEEFHLSMHGNILNDTIFTCQTLVILKLDMLQLNAENLFVDLPKLKTLHLSFVRFKNQNVLQQLLNASPNLEDLRTYDILHMEHYENSVLERVKSMSLARFVRTEIGAIDVPYNVVKNVEFLSIYDAERIIFKSFPMFQNLIHIKLQFYWFFPGWDGIVQFLQHCPKLQILYINKRSSSLSKEWKYPNSVPECVSFHLRSCTILNFEGFSRNLRFASYILQNARLLQDMTIDLTTKSSINMLLKRSQIIEELSSCPRISPACKLSLK >RHN49887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1973448:1977423:1 gene:gene34113 transcript:rna34113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H MSRGNQRFCNLMFDGASSGNPGPAGAGAVLYDEDWCLLYRFREGLGYQTNNAAEYRALILGLNQAIYKGYRNISVHGDSLLVVNQFLGIWKINNPRLRNLCDEALELADNFHSLQIQHIPRECNTEADAQANRAIYLRDGQVEEDRYI >RHN82148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51537116:51537829:-1 gene:gene6274 transcript:rna6274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MSSGRKSRGRQKIEMKKMSNESNLQVTFSKRRSGLFKKASELCTLCGADVALVVFSPGEKVFSFGHPNVDTVIDRYLSRVPPQNNGTMQFIEAHRSANVRELNTQLTQINHLLDIEKKRAEELSHLRKTTEDQFWWAGPVDGMNRVQLELFKKALGEVKKLAAYHADRLLIQGAPTQTLPFFVGNGSSSNIPLHHQPNPPQAQMYPTQFFQNPMLQPHLFGFNNMGGGGGYGPSGFF >RHN75197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40119128:40119790:1 gene:gene11367 transcript:rna11367 gene_biotype:protein_coding transcript_biotype:protein_coding MQHYYYNTTDDDIDDIVNNEVVNDSDEYEEDEYQYHYEEDDEKEETKQPQKRCSSSFSLSKVLLDPRGKWAEEWNRVFLLVCAMGLFVDPLFFYAISISDTCMCLFIDGWFLVTVTVIRCMTDMLHLWNIWLQFYIHKHKRSSFGFIHHGTLSSNSFKVNKAFFFNIFILLPLPQVIIYGNLRFKGTYMLAFVIIYYIVIPLLFYFSFSSSLVVIVHLRM >RHN48370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47031529:47034183:1 gene:gene43081 transcript:rna43081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adaptor protein complex, sigma subunit MGIRFVLMVNKQGQTRLAQYYEYLTVEERRTLEGEIVRKCLARNENQCSFVEHRNYKIVYRRYASLFFLVGVDDGENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKSNILTPIQLMDKTS >RHN70352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50811595:50815331:-1 gene:gene18922 transcript:rna18922 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFDRWEKDPFFNAAEEVQESADRVESIYRTWIHAVKDASSPWNSVELRRDLHTALGTAKLQLDEFQRAVRSSYSKSSSNDTRNRHQDFLVAIDGKVSKVEHLLQLQESVPLGSNKVSPPWVSLDEGERNELASFLSGMPMPSAGGKPPLKCTCRDGENPQLSDANSFRAMEEEKQHGHRRVASADVDISSWKIAVLDDVQQSTSSNDSSGPLHKVASLSGFFSSVESISKFKWPKNGYRKLKAGYHHQEIDNALLPSTEFNGATNERSKSCVSRCDECYDKPLHGWYGAFQRQLQRSQYQMQYNRPVQLTVWIVIILCFIVLIAFCAM >RHN71316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58188946:58189541:1 gene:gene19983 transcript:rna19983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MEVGRKIIVCLLMIITMGSYRIEGREPVLHRVGGGRYTWDPKINFTNWASNEHFYQGDWLYFGFDKHIYNVLEVNKTNYEKCVDKGFISNITRGGRDVFQLLEAKTYYFLCGRGFCFHGMKVDINVEPLPPDNASPIVPEKACSTRKMNQIKLSSVLLVLAMTWIFL >RHN79154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24662629:24663867:1 gene:gene2880 transcript:rna2880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 SUMO protein ligase METNNWLSLLNSDASDLDLNLYRITKTLECLLAWYFVPGNQISSSIFCRLCISLSRGINYAIAYGQTPSSKAIQLLHTLMKKIDYQNESDDELGVVIVLVITVKNACEFGWFENKETQELLTIAHEIEKMYCTLGSTRPSFSHHSSSLLNMIMQRFYPSMKLGPIIASIEAKSGFGASVVDFNIQKKNHQSDKKFWLLVAETDNIETSACLINPQDVNFLVNGEAINTRTLLGMDHQPQMPTCLNSMLKFGTNLFQAVGEFDGNYIILVAYMSYVDASLPPELPPDYVQSTLEYSDSDIMEGESRISLNCPIGLTRIKTPVKGRTCKHFQCFDFDNFIEINCYRPLWRCPHCNEYVSYTDICLDRNMVEILKKVGENVVEVIVHHADGSLKEVLLEENDSSGLSSRNTGLLH >RHN57644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40726527:40728970:-1 gene:gene33222 transcript:rna33222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MQCHPSTLFTKLEVKHSPNLVPKMCIGKGEFTVKVTNKEIVAAALPMQEHWLPLSNLDLILPPVDVGVFFCYKNPIITTATHHSIVGCLKNSLTEALVSYYAFAGEVMTNSMGEPELLCNNRGVDFVEAFADVELQSLNLYNPDETVEGKLVPKKKHGVLAAQTTWMKCGGLVVACTFDHRIADAYSANMFLVSWAEIARPNNNKSLIPTMQPCFRRSLMTPRRPPSIHPSLYDMYVPISDMPPPPQLESDQKTDPIISRIYYVTSKELNNMQSLANSNNNGGSSKRSKLESFSAFLWKMVAEAASINNENIVAKMGLVVDGRKRLSNGDKNKEELMNSYFGNVLSIPYGGRLAEELVDNPLCWVADRVHEFLEAAVTEEHFLGLIDWVEEHRPVPGLARIYCGSTGGEEGPTFVVSSGQRFPESKVDFGWGKPVFGSYHFPWGGSAGYVMPMPSPKRNGDWLLYMHLPKGHLHFMEVQAPHFFRPVSWDYLLN >RHN74790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36700941:36701519:-1 gene:gene10907 transcript:rna10907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MVHKCDFLSDVLFQPNLLEVLMNLEELDVEDFNSLEAVFNLRGEFAKYIVVQNSTQLKKLKLSNLPKLKHVSKEDPHYPMRFQNLSDVSVAECKSLISFFPLSVSRDMMQLQSLQASNCGIQEIVAKEGTKEIVKFVFPRLTSLTLEYLPKLKAFFLGVHSLQCKSLKTIKLFSCPNIELFKAEPLRLQEST >RHN57907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42513852:42518172:1 gene:gene33498 transcript:rna33498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MIFVPQTNIEFMLKGSCELFVQYCKSSLSRHSSDSLDQATIMELQNPFSNIIFILSFLILLVLFKIVQRWSFNNSTTKLPPGPWKLPLIGNLHQIISRSLPHHLFKILADKYGPLMHLKLGEVPYVIVSSPEIAKEIMKTHDLNFCDRPNLLLSNIYSYNATDIAFAAYGEHWRQLRKICVIELLSAKRVQSFRSIREDEVSNLVKSITASEGSVVNLTRKIFSMTNGITARAAFGKRNRHQDVFIPALEKVVVLLGRFEIADLYPSIKLLQWMTREKTKMEKLHTEIDMIAQDIIDDHRSIHKEASNDEDLVDVLLKIQQENYHSEHPLTDDNMKSIIQDMFLAGTETSSQVLLWAMSEMVKNPKVMEEAQDEVSRVFDKKEYVDETELHQLIYLKSVIKETLRLHPVAPLLVPRESRERCQINGYEIPAKTRVMVNAWAIGRDSRYWVEAESFKPERFVNSPIDFKGTDFEFIPFGAGRRMCPGISFAIPNVELPLAKLLYHFDWKLPNGMSHQELDMTEFFGITVGRKHDLCLIPTTRRL >RHN45684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24444157:24445237:-1 gene:gene40067 transcript:rna40067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(A)-specific ribonuclease MCRLGLWEIDLAESLEKALECSFNDTEIHSERKPDIQWCNDNVINLDDF >RHN75570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43392664:43397825:1 gene:gene11797 transcript:rna11797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative periplasmic binding protein-like I MEVLGVKGFVLLFFCLWIPNEVVAIIGNSTVSSRPTVVKIGALFTVDSVIGRSAQQGIKTAIDDVNANKTILPGIKMDVIFHDTNCSGFIGTVEALQLMENEVIATIGPQSSGIAHIIAHVANELHVPLLSFGATDPTLSSLQFPYFVRTTHSDYFQMYAIADIVDFCRWRQVIAIYVDDDYGRNGISILGDALAKKRGKISYKAALSPGATKNDISILLNSVNLMESRVFVVHVNPDYGLNVFSIAKNLGMMTSGYVWIATDWLPSKLDSMDPVDTNTLKLLQGVVALRHHTPDTNLKKSFFSRLKNVNGTATTSFNSYALYAYDSVWLAAYALDAFLKEGGNISFSSDPKLIDTKGSMLHLSSLRVFNGGPDFLPTLLRVNFTGLSGQIQFNGDKNLIRPSYDILNIGESGFRRVGYWSNYSGLSVLAPEILYKRPPNSSISNQKLFNVLWPGETIATPRGWVFPNNGKPLRIAVPYRISYLEFLSKDKNPPGVRGYCIDVFEAAINLLPYPVPRQYILFGDGKRNPDYSQLVNQVALKNFDAAVGDVTIVPNRTRILDFTQPFMESGLVVVVPVKEIKSSPWAFLKPFTAQMWCVTGAFFLFVGAVVWILEHRHNPEFRGPPKKQIMTIFWFTFSTMFFSHRENTVSGLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLSSQIEGIDSLISGNQPIGIQDGSFARRYLIDELNIQPSRIVSLRDPKVYEDALTRGPNGGGVMAIVDELPYIELFMSSANCKFRTVGNVFTKSGWGFGFQRDSPLAIDMSTAILQLSENGDLQKIHDKWLSRQSCGAKVDDTDSNELSLKSFWGLFLICGIACLIALITFFVRVFCQYMKFIPESEMESDQENPSPRPRKTFRSSRSFKDLIVFVDKREREIKDILRQKSKKRRRDQSLDDQFNSPT >RHN81382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45393657:45395356:-1 gene:gene5411 transcript:rna5411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MLLPLLFLLFILSEVVEGTKKSYGVYDNSNSVKLFVFGDSYADTGNFVGSPSYKQPYGITFPGKPVGRFSDGRVLTDYIGNYLLIYGILLNHTFRLFRFCSMHGTSFLKIETPAPYALRNSSTLQNGINFAFGGTGVFQTLKVKDGPNMTVQIDSLEKLIQKNVYTKQDLQSSVALVTAAGNDYEAFIEIKSFTTTLINQLSINVQRIHNLGINKVAIALLEPLGCLPRINAVTFHLSCVDLLNLVSENHNKLLLQTVLQLNQQVGKPVYVTLDLYNAFLSIIKTLQKKRDENSTLMNPLKACCVGDGLKNNCGSVDDKGEKKYSVCEKPELSFFWDGVHPSQNGWQAVYTLLQSSLGQLNHG >RHN69415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43268340:43268651:1 gene:gene17884 transcript:rna17884 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIISEKEKKIGFQFPPFLHPSQSLYHSSSSSLDLLHLTSIILLLHRSCVPIFILHISFYFSSSFHFFITKPLLRSLFSSTFHSKSKPSSKSKFWKTSWVKI >RHN67475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27524027:27533091:1 gene:gene15668 transcript:rna15668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MASPNQPPPSPTPFDMHTFFNPPNPNPNPNPNISSQFPSSAPSPPPPSSSSSYPFPHNNNNYPYDHHHHQLQHQHQQQHHQQNQTNFPIQHRSISFPTPPLQPPQQPQPIPPPSNPNAGARLMALLSTPPIQQQQPPPPQSQPISSGAVNPAITAANAAAAALIRLPSSKVPKGRHLIGDHVVYDVDVRLPGEVQPQLEVAPITKYGSDPNPVLGRQIAVNKSYICYGLKQGNIRVLNIHTAVRSLLRGHTQRVTDLAFFAEDVHLLASVGTDGRVFVWKISEGPDDEDKPQITANIVIAVQIVGEEKVEHPQICWHCHKQEILIVGMGKNVLRIDTTKVGNGEAFVAEDPPKCPLDKLIDGVQLVGTHDGEVTDLSMCQWMTNRLVSASQDGTIKIWEDRKTHPLAVFRPHDGHPVFSATFFTAPHQPNHIVLITAGPQNREVKLWVSASEEGWLLPSDTETWKCTQTLELKSSAKLSLKDAFFNQVAALPHAGLLLLANAQRNAIYAVHLEYGPNPESTHMDYMAEFTVTMPILSFTGTSDILPHGEHIVQVYCVQTLAIQQYALDLAQCLPPPLENAGLDKSDSSVSRDAITAEGFASLDSSAGRTSEMSLPSSAPKTTMQASSTESGLVSRYPLSSGHTEAPISRQISSSNVEAKTVTLAPSSSDADIVCVPSIPPPLSPRLSRKLSDFRSPQSNLSDHVGDQAVNDYSVDRQMDTIHRNLSDQFNSDTKNDDNKIKQDDISTVLNPSAIFKQPTHLVTPSEITKASSSSETNMVDRVSEVETKIQDVVDVGNDEVEVKVVGEARPNQNDELGRQGPQQNPVSDGKEKFFCSQASDLGIEMARECGAIGGETYITEEPGQVDSAGGDSLAQPSNAGEDGLQDLPKDVHEKVSDSSTSMVVPPSPASNTKGKRQKGKNSQPAGPSSPSPSACNSTDSSNEPNGISNLPCTENSYPQIVAMQDSLNQLLTMQKEMQKQMTMTVTVPVTKEGRRLEAALGRSMEKAVKSNADALWARIQEENAKNEKLLRDRFQHVTGLITNFMNKDLPAVLEKTVKKEMTSVAQALVRSMSPAIEKTLSSTIAESFQRGVGDKAVNQLDKSVNLKLEATVARQIQAQFQTTVKQALQDALKSSFETTVVPAFEMSCKALFEQVDSTFQKGMAEHSNAVQQRLESGPTSLAMTLRDSINSASSVTQTLSREVLEGQRKLMALATSRTNSGTLNTLPIQLNNGPLLHEKVEAPLDPTKELARLISERKYEEAFIAALHRSDVSIVSWLCSQVDLHGLLTLVPLPLSQGVVLSLLQQLACDINNDMSRKLSWMTDVATAINPSDPMITMHVRPIFEQVYQILNHQRNLPSITGSDLSSTRLLLHVINSMLTTCK >RHN45043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11080815:11085614:-1 gene:gene39239 transcript:rna39239 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTFADSRNTCFLDINSHESYLHRIFSDLTFKTNLPGMRNKAHYDVVPTSQDILSNILLEHLF >RHN66029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7760147:7763838:-1 gene:gene13939 transcript:rna13939 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKRPFDAEEMLEVSFKHPKHAGPSDFLPLTESVFPDDDCDTQLAKTSEEGYAQGGSDCNEKLAVETCDGHPRGAGDSPVIGIPASSWATSCTTEDDHRLEQPIHLPFFHEYFSPERPIYFSPERPIRTLTHEDLYSILLEHSPRKPVSVGADHQADVPAWGFSGSDSNLNVRDGDEAEKRLMGTCVIPMPEMELSSLDDEVGKGRTDCSCEDRGSMRCVRQHIMEERKKLLKTFGIEKFIELGFAGMGEQVAQKWTQEDEHLFHKVVFNNPASLNKNFWNYLSIVFPSRSKKEIVSYYFNVFMLRKRAEQNRNHLLSADSDNDEWQGSDDNEVATHDEDEDSVAEYPIYEDDTCNNNNHNDDHLEDYDDDFSEDEICAVNGIVDQTKRNIDDDSKYDFLDIHHSSGSPHLIQGQDQHVWEEKVKHDPYTSHDMGVASRETKVKSGNGDHWGSNYNNGVSNGYSQGYVMEHCDAPGWDPGFVSCSKSKMDFLPTCSMIEEVFGDGRRQDMRRA >RHN44927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10050493:10052497:-1 gene:gene39107 transcript:rna39107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAETLKIVYIVILLVSLCLVVVDGISIYVRCASTNECYTTFKFAPLGSMRCVEGYCKHLKDFKVKTPLQIKEITPLLLHFP >RHN61322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34779049:34782677:-1 gene:gene23775 transcript:rna23775 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKELKICKDFRKFLKNFDEEDRTDQSILYKKESFKLKPIYPDEVVDDDYKTKVIEIGGFKGNCTAVHTGTLLKFDLKFDLSADDNNPVLKFYVLNTVAIIHPHDYLLTKRLDLLHSLCDGYSGYAFDCEEGFLDDEGNVKVELQIQIFGENFFQPLLVKKYRAELLLANMHSVNIICSRFFKKTMDDLKSLIHNEIKWPRFCTFMKESGKSSTNIMIREKEDVIKEALVHEFFIDGVVTSPLLMEILCDGYESIKANNTTDKFVIIEKDCFELVVDDDVPSLLKRVVDKEYKPIYESIVTQFGCMILEIFVLDYLFRKMEKNFTQSDELNQSN >RHN42925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41974961:41978899:-1 gene:gene49432 transcript:rna49432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TLDc domain-containing protein MGKKQSLRSKAVHLVSDLTTVLLNPISDHNKPSLPPSIEEKVDHDELKESESEIEGPDTSSFTAFLYSFLSSSSDSGYNNANDGMIVTAAGADHMNEQLVKKGLLSRGKQSLKAIYLSARTGGFPTQDHERDKFESDGCGLEMKCIQPSLKEVPSVPLVVDHLPELSEPSMLISNSLRNVVYDALPALIHGKKWLMMYSTWKHGISLSTLYRRSMLWPGPSLLVVGDRKGAVFGGLVEAPLRPSNKRKYQGTNSTFVFTNISGHPVVYRPTGVNRYFTLCTTDFLAIGGGGHFALYLEGDLLNGSSSVSETYGNPCLAHSPEFQVKEVELWGFVFPSKHEEILKLSRTEAPGISRW >RHN77983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11072030:11072416:-1 gene:gene1497 transcript:rna1497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MKILHFDIKPHTILLDEYFNPKVSDFGLARLCPTDKSIVPLTAARGTIGYMAPELFYRNIGTISYKADVYSFGMLLMEMASRRKNLNALADQSSQIYFPFWIYDRLHDGREVSIENDTCYHGFGVPSH >RHN69859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46852440:46854419:-1 gene:gene18384 transcript:rna18384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MAGAEFSIGALCLIVLLAYGTLHCVQGADSIPGLGINWGALASNPMDPNIVANMLKDNGIKKVKLFDADPWIVSAFSGDFEVMVGIPNDQLSKFAGSLGDAEDWVKENLTKHLHNGGVNIRYVAVGNEPFLTSYGDKYVKKTFPAMQNIQKAIDKAGHGEVKVTTALNADVYESQTNKPSDGDFRDNIRDVMKQIIQFLHEKKSPFLVNIYPFLSLYQSEGFPEDFAFFGTHSMTISDKNAQYSNVFDANLDTLAWALKKSGYSDIKIVVGEIGWPTDGNKNANVNNAKRFYQGFLKNMASKKGTPMLPGHMDAYLFSLFDENLKSIDPGNFERHWGIYRYDGKPKFPIDFSGKGEEKLPQSAKGVRYQEHKWCVLNADVKNMSLIPPALDYACAGADCTSLGYGCSCGNLGLAGNASFAFNQFFQTRDQSVEACDFNGLGSIVTQDPSKGTCLFPIELESNNGDMLTTMRIMASMLIGLSIFFITL >RHN80566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39105085:39109437:-1 gene:gene4506 transcript:rna4506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MTAFEELPEGCIAAVLSSTTPVDACRLSFISKNFLSASDSDAVWNRFLPSDISSIISQFPSLSNIPTKKDLYIALSDRPIIIDNGKKSFQLERKSGKKCYMLSARSLAITWGDTDQYWNWTVMPESRFPEVAELLHVCWLEIRGKLNTLALSPNTRYVTYLVFKMINAYGFEYFPVELSVGIEGGHSSTKIVCLADPNARRRHRSRIIVTEPNRVLRLQRPNVRSDGWLEIEMGEFFISGLEDEEVQMSVIDIKGGHWKRGFFVEGIEVRPKEDH >RHN58583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3007460:3009983:1 gene:gene20506 transcript:rna20506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdate transporter 1/2 MAHDNISNDQPPPETPLLRRTFFHLPSTLKLKTTLCSELSGAVGDLGTYIPIVLALSLVNNLDLTTTLIFTSLYNITTGLFFGLPMPVQPMKSIAAVAISESPPLTIPQISAAGLCVAAVLLVLGTTGLMSFLYRYLPLPVVRGVQLSQGLQFAFSAIKYIRYQQDLASTSAKTDTVRPWFSLDGLAIALVAVLFLVLTTGAGEDKHNSERQQQHGEEELDSVVVRRNKINQRLKILSMIPSALIVFLFGLLLCFIRDPSIFHDLKFGPSKIKLVRITWDDFKVGFVRAAIPQIPLSILNSVIAVCKLSGDLFPDREASAMKVSVSVGVMNFVGCWFGAMPCCHGAGGLAGQYRFGGRSGASVVFLGVGKLLIALVFGNSFGRILGQFPIGILGVLLLFAGIELAMASKDMNSKQESFIMFVCAAVSLTGSSAALGFFVGIVLYFLLKLRELDCGDGFGFLSNSNKTKSSKDEETPLIA >RHN40123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13476830:13478863:1 gene:gene46229 transcript:rna46229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain-containing protein MEEEEKPNEVKLSGDALDDKEVIDSIGGQLDESHQNKNVNDGSGIEDEQADKTESGKTVTDGNRFDQVLLKDQEKNNVNVESIHSLGSDNVQDSVGGNEEEFQISSGMYSPESNTSPVPAMQHDHISYSPGSGGHFGVGFGSTGYSPQTPKQKNAMPNTSAELLHLVDSAIMGKPEGMEKLKNIASGVEILGSGEEMESVSFLIVDSLLATMGGVECFSEDGDNNPPSVMLNSRAAIVAGELIPWLPYVGDTDVVMSPRTRMVRGLLAILRACTRNRAMCSTAGLLSVLLRTADKIFTVDVGLNGQIRWDGTPLCRCIQYLAGHSLSVSDLRKWFQVITRTLTTIWAPRLMLSMEKAINEKESMGPACTFELDGESSGLLGPGESRWPFMDGYAFATWVYIESFVDALSAATVAAAIAAAASAKSGKASAVSAAAAASALAGEGTEHMPRLFSFLSSDNLGIEAYFHAQFLVVEIGSGKGKRSALHFTYAFKPQCWYFIGLEHIGNHGAMGKAENEVRLYVDGSLYESRPFEFPKISKPLSFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGQERMARLASRGGDIVPSFGNAAGQPWLASNAQMQNKAEESALLDAEIGGFIHLLYHPSLLSGRFCPDASPSGAAGQIIYGYWFYKYRNFFMLLIGLC >RHN56818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33977222:33983246:1 gene:gene32272 transcript:rna32272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MATIGHTNLNAKLVLLGDMGAGKSSLVLRFVKGQFLEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNLESFTRAKKWVQELQKQGNPNMVVAFAGNKADLEDKRKVTAEEARVYAEENGLFFIETSAKTAANVNDIFYEIAKKLPRAQPAQNPAGMVLVDRPAEGTRAASCCS >RHN58398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1430808:1433331:1 gene:gene20305 transcript:rna20305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MPNSTTQNLLSFSSLTLKCTFFLTTSLRIFLFFSYSFHKFMAFNIATMLPFFLSFVFLYFIIANGGCELEQKKMFKVQMLQRNHQFGSKGCILPESRKEKGAIVLEMKDRGYCSERKINWNRKLQKQLIFDDLRVRSMQNRIRAKVSGHNSSEQSSEIQIPLASGINLETLNYIVTIGLGNQNMTVIIDTGSDLTWVQCDPCMSCYSQQGPVFNPSNSSSYNSLLCNSSTCQNLQFTTGNTEACESNNPSSCNYTVSYGDGSFTDGELGVEHLSFGGISVSNFVFGCGRNNKGLFGGVSGIMGLGRSNLSMISQTNTTFGGVFSYCLPTTDSGASGSLVIGNESSLFKNLTPIAYTSMVSNPQLSNFYVLNLTGIDVGGVAIQDTSFGNGGILIDSGTVITRLAPSLYNALKAEFLKQFSGYPIAPALSILDTCFNLTGIEEVSIPTLSMHFENNVDLNVDAVGILYMPKDGSQVCLALASLSDENDMAIIGNYQQRNQRVIYDAKQSKIGFAREDCSFI >RHN66358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11349080:11352320:-1 gene:gene14325 transcript:rna14325 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHNGMHHNNNVVEDHETLTYQTYPCGYYVQSPSTVSHANSIDKHSNIQNDTESTFHSPNRSETLPINPVHEQEPNRFALCRYSSSRGSNNSFLHHKKVSYDGTATENGDDHHLMIVNDSGSVVSDEEEKGLFDEYYYEKNGGWKRYFSYHNSDSCAWIWLQVTWRVMVSFGFALLVFYIATKPPTPKISFEIARIPEFKLGEGVDRTGVTTKILTCNCSMNLIVENKSRFFGLHIRPPKMDMKFSILPFAFSNGPELYAESGLTVFPLQLEVKNKPMYGAGRSMEDMLDSGRGLPILIQVTLSSSFEVVPALVKPKFHHRVECIVVLKKTYNKKHHSQAFNSTCKVTS >RHN64644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60694023:60694863:-1 gene:gene27478 transcript:rna27478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MKGSDQVMGSWAPMENFINFSVTYDHKAILVNGQRRFLISGSIHYPRSTPEYYFEDRYDLVKFIKVVQQAGLYVHLRIGPYACAEWNLGGFPVWLKYVPGIAFRTDNQPFKVGNLEFQYYCVIIITTSMHCVCFLVFSELQNFIMSI >RHN77118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4222362:4222639:-1 gene:gene542 transcript:rna542 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLRAHDVLEVLEKGYKEYCHEDSLTQAKIDILKDSRKRDKQNPLPYLPSIE >RHN71129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56681300:56687297:1 gene:gene19778 transcript:rna19778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MHQGDYTSAPYYQFPHMQQNPNPIPNPTPPQSDPIPNHYASAPPFTPNYDYSSTYSPYPPHNPDHVPSSNPSFNPPPFESSNPLYQQPSQPYYPPYDQQHQTPLNYAPPNPNPNLYNSSPYSVPPIPSYETSYENPVKSGGGGGAYFDDRYGGSFNRRNSDLGSELYGKRHDGGLSRYESVGGGGDEGYGDGVYAYEGGKVEPYGARGTASKSSTWNSSSTPSFDDFGRPISFSSPKESSVASKIVKAVPKVDTQDDVKSGVQKFRVKLLAESGGQSTMDVLCQVGLDGLRMLDPNTSRTLRIYPLENITRCDRFDSSTFAFWSKSPVDIEPRRIRLQSNSYTTNTLLDTVTAATIQFKEMGGSRRPAESFKTNEQPAERKKGLGDWMNIIKPANEEKDHWVPDEAVSKCTACGTDFGAFIRKHHCRNCGDIFCDKCTHGRIALTAEENAQPVRVCDRCMAEVTQRLSSAKESSNKPLLQSHEDMARKLQEELERNRKTSGSKSDGSGKRMKEVACPICTVHLQVQVPSSGSETIECGVCQHPFLVSSY >RHN59903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14572444:14573016:1 gene:gene22071 transcript:rna22071 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLRLIQRLKWDLKQSRTTISSLSFCILCCFSTVVTHYHLLFVLLHSYQLFNIYCYNVFFLI >RHN65080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64058626:64065415:1 gene:gene27974 transcript:rna27974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSITTSTFFILPLNQFLNNAKNISHLKQIHALFLKHLPQNAPHHFFDRLLFRVLHFSAEKSNLYYAHKLFDTMPNCSNCFIWTSLIRAFLSHHTHFRHCISTFAIMHQKGILPSGFTFSSVLNACGRVPAVFEGKQVHARLVQSGFLGNKIVQTALLDMYAKCGYVCDARDVFDGMVDRDVVAWTAMICGYAKAGRMVDARLLFDNMGERNSFTWTTMVAGYANYGDMKAAMELYDVMNGKEEVTWVAMIAGYGKLGNVSEARRIFDEIPVPLNPSTCAALLACYAQNGHAREAIEMYEKMRRAKIKVTDVAMVGAISACAQLRDIRMSNLLTYDIEEGFCEKTHIVSNALIHMQSKCGNIDLAWREFNIMRNRDLYTYSAMIAAFAEHGKSQDAIDLFLKMQQEGLTPNQVTFVGVLNACSTSGLIEEGCRFFQIMTGVYGIEPLPEHYTCMVDLLGRAGQLEKAYSLIKENSTSADATTWGSLLAACRVYGNVELGEIAARHLFEIDPTDSGNYVLLANTYASNDKWERAEEVKKLMSKKGMKKPSGYSWIQRETKGQYQEEARCLIVSAS >RHN38650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1019027:1019332:-1 gene:gene44608 transcript:rna44608 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQISFFNINKYYDVIFVNAKTMSGLHRFKLLGIFLVSVGFLAILDGCPLLEFLDLHVCASLSQNLEQRCHEQIKDLRPPKHFLYYDDGGHIYTKKHFFR >RHN49941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2509330:2512354:1 gene:gene34178 transcript:rna34178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MQNVIVLLYLACASSVACFLEGYCWTRTGERQAARMRARYLKAVLRQEVAYFDLHVTSTSEVITSVSNDILVIQDVLSEKVPDFLMNTSNFIGGYIVAFALLWRLAIVGFPFVVLLVIPGFMYGRVSMGLARKIREEYNKAGTIAEQAISSIRTVYSFAGESKTIDAFSEALEGSVKLGLKQGLAKGLAIGSNGVNFAIWSFMAYYGSRMVMYHGAKGGTVYSVGFSLAIAGSALGAGMSNVKYFSEASAAGERIMEVIKRVPKIDSENMEGEIIEKVSGEVEFNHVEFVYPSRPESVILKDFCLKVPSGKTVALVGGSGSGKSTVVSLLQRFYDPIGGEILLDGVAIHKLQLKWLRSQMGLVSQEPALFATSIKENILFGREDATFEDVVDAAKASNAHNFISMLPQGYDTQVSVDCFCKYAINQI >RHN81883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49572246:49575553:1 gene:gene5979 transcript:rna5979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MVSTSRTKRMIREPTRTVDELQNEENIVDSEIAPSSLSSILPILRAAIEIEEENPRVAYLCRFHAFEMAHRMDPMSNVSGVREFKTNLLHKLEREDELTQGYNNKRSDAKELQSYYQFFYEKRIQDGRKWLRMFRLQLCCLKH >RHN60065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17998967:18001297:-1 gene:gene22265 transcript:rna22265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-glutamylcyclotransferase MVAETDVDVAVTTTTTAAAAALIFTYGTLKRNFSNHPLLQDLILTGDASFIGTYRTLLNYPLVCGPYRVPFLLNIPGSGQPVYGELYSVSEHGLSRMDELEGTTRAHYERLPIKVVPAEEDGAEAEKITCAEAYYAHGSYAMEMWKKNGKKGLKCYTEKETIGYVKRKDRPQHLTFLDHIRLFLSD >RHN74695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35952764:35953838:-1 gene:gene10802 transcript:rna10802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MDVTFVENQSFFDNIHLQGGDLKEDSFFENIITLSDVVLSQNSETNIGAPKENAQESIMELNPLVNEVPTNSGATFSNQNHNEQILDIDSNEEEPEMPQQNDSNKETKNRFNSSDPIWKGNVYERRDHKRGDEGPILQPCQESEPRNDPNHHPNPGKSSIPKCRGKSSSITTSDDPDLHIPIAIRKPVRSCTKHPMAKFVSYSNLSSSFAAFTSQLSTVEIPKNVQEALKIPKWKEAVLEEMRALEKNKTWKIMTLPAGKNTVGCKWVFTVKYNSDNTVERYKARLVAKGFTQAYGIDYSETFAPVAKLNTVRVLLKKFTWIVLRALRTSLG >RHN82202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51895493:51903254:-1 gene:gene6342 transcript:rna6342 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSNSRGKDGEGTSGINRVYDDDDDSYECLQEMNFVPYETLLHSNMNTNGFVAPFVMQQESSLLRDMDKNDIDESFFDTNDIR >RHN39534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7932312:7933126:1 gene:gene45564 transcript:rna45564 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKLALLIQGLLVMVILISSIGEARNLVEVATKQNNVDGIKYCTKTNGKENVDASSDCFIPGFPGIPGIPFPNIPGIPDIPGIPFPKIPGIPDFPFPFPGIPGWPYIPGWPDIPGWPNPSIPKAPPSPTPQSPSPSPSESPSSPSPSESPSPSESPSSPSPSESPSSSSPSPTYVM >RHN55324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17311472:17312092:-1 gene:gene30490 transcript:rna30490 gene_biotype:protein_coding transcript_biotype:protein_coding MARDFYTNASSKSKDFPEYEYWVRGVSLKFSGDAINSALGLTTPPERWAEKMRAKPLSAETYGMLISSLCKPGAMWVSEKENDTSKFLRFRDLELRAKAWHCFYHDSIEVCSTNYPRQLLVKNAFVVYALLNEKPINLGQLIADSITNIINEDLWLGHSSIINLLCETNGVCDEEYGDCFINPEVINQSWYDSEWRKDISSSRPVP >RHN42495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38821483:38830610:-1 gene:gene48942 transcript:rna48942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor CG1-CAMTA family MSRFNNTMSSGYEYDIDDLYQEAQRRWLKPAEVMYILQNHEKYQFTQEPPQQPTSGSLFLFNRRVLRFFRKDGHAWRKKRDGRAVGEAHERLKVGNVEAINCYYAHGEQNPTFQRRSYWMLNPEFDHIVLVHYRDTSEGRLSSGPGTQLSPGSSAYSPTPSSYSTQNPGSTSVVYDAYEPNQSFSSPGSAEVTSYNNGMSYSEGAEAESETSADFKVTQALRRLEVQLSLNEDNIEEIAPFYDEHEAARDSKPQNHQGMMCKQEESAALSGPVNQGLFYDGYNGRQGDSGESYHELLDHDFPDENEKTLSWKNVYMPAAGNESSPSSSLREPVTNPENYCWTNFNTDNAGNSVFSLPQGVGEVTLPPYSSLDETQESRSSYYSTLFDQSQIGASHDAGSSLTVSQKQKFTIKAVSPEWGYSSETTKVFIIGSFLCHPSDSAWACMLGDVEVPVELVQDGVMCCEVPSHIPGKVTLCISSGNRESCSEVREFEYRDKIKKFTDPAPLEREATRTPDELLLLVRFGQMLLSESSVSNDNNESGIFIKQKADDDSWSHIIEALLFGSENSSGTINWLLEELLKDKLQVWLTSRSREVDEEMGCSLSKKEQGIIHMVAGLGFEWALNPILSCGVNINFRDVNGWTALHWAARFGREKMVASLIASGASAGAVTDPSAQDPIGKTAASIAASSRHKGLAGYLSEVALTSHLSSLTLEENELSKSSAELQADLTVSNVSKENIAFSEDRTSLKDTLAAVRNTTQAAARIQAAFRSHSFRKRRTKETNGVMSGHDISASGVGDMPELYAMSKLAFRNSREHNSAALSIQKKYRGWKGRKDFLSLRQKVVKIQAHVRGYQVRKHYKVLWAVGILDKVVLRWRRKGVGLRGFKPEMQVNENEDEDILKVFRKQKVDVEIEKAVSRVLSMAKSPDAREQYHRMLEKYRQAKAELAQTSEEKSVTTSVEDFLNMDDDFY >RHN47553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40545968:40546438:1 gene:gene42167 transcript:rna42167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MHEMTDPALSIYNFLSREGAKRHYPPLPPPSTRTFQCHFCHRKFYSSQALGGHQNAHKLERAAARRSTKPLHNFHHHHHHNNNNGSFHPSSLIITDLRPKPKPQKESARFFHNYPLLEVEPFHQLHAGTSIISHEYDTPPPAEPSDHANLDLTLRL >RHN73233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14565777:14566334:1 gene:gene9027 transcript:rna9027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MIDIKDKGGKFGHLYFNLYDDFNPLNFMSAIVDLNSELQMKLSKLNAAEPPFLINHIISHIFEEGVGLRSYEDSVTIFNKGQQLNLAKILIAFTSLDFSSNKFDGPIPMELMSLTALHALNLSQNAFSDSIPYSLGNLKHLESLDMSNNNLRDEIPLELARLSFLSVMNLSCNHLVGKIQTGTHI >RHN48344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46795343:46795609:1 gene:gene43052 transcript:rna43052 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIFFNSASFFASLCVVLVLISGFPLGNKAVMGILTDLMIIAVTFMLLTYMWALGLISPNHVCYRIRKLGYVLVGTWSFLLLVVVLH >RHN50709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9673564:9674712:-1 gene:gene35031 transcript:rna35031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAAVDWTKLPKDLLNLISQRIYDEVDLIRFQSVCSTWRSSSVPNHHPISPFKFPLLKFPFLSDSNDIDTINSNNNTSFCYLTKQNLYLIQPPQQQQEEQRLLRPWLVGVGQNTHGQTKYINPVMQNCSFVVHSVIDHNKLSVLHLGSTYFIMDIDVKINRQLIISKEYMYPKKVVAVTCHGKKPLVVGMLAFPPYPLLLKCGDEDWKVIPDMSMKFEDICVFKGRPYAIDEIGKTIMIGPDSSVHLVAEPLVGGGNMKFLVESEGDLLLADVYDCLCIDLNDPVRIDLFKLNEKEKKWVKLTSLGDRVLFLGLGLVYSFSVSASDLCVSQGNCIIFESMSSERELYVLDLDDGQLSLFYDNPEYSNLLWLPRTWIRARYQVC >RHN52857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39603594:39607735:-1 gene:gene37581 transcript:rna37581 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKILIVFILLLNLTSKSIQNPNPKTPKPIPSPAHIELATYGFPIGLLPATTVLNHFINKTSGEFSVKLAGACKITLPPDNYVATYSDTITGKIVKGKIAELDGIRVRAFFKWWGITGIRSSGDDVVFEVGVVTAKYPVKNFVDSPPCEGKHSAF >RHN50306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5993643:5994719:1 gene:gene34578 transcript:rna34578 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSVMLFQILEEAWNIFPLIANKDGNSRAVKHHELLTNNHEKLSKEDWELQRRTIFTDLEVATTFMSIAFYLAFSMRKEAPSFLMVTLVLACITSFTSVLIFGVIIALGVTKGTLRKLPMRCGHVTSYLLTLALLVFAFIVMSFQNSKGTVG >RHN81965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50164853:50166920:-1 gene:gene6071 transcript:rna6071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAIEAWFMDNNNEDPRLPHHGYPNEFVFLDQLAELGVLYWKLNPNDCENDQELKNIREARGYNYMDLLDLCPEKVENYEEKLKNFYTEHIHEDEEIRYCLEGSGYFDVRDKNDRWIRIWIKAGDLIILPAGIYHRFTLDTNNYVKLMRLFMGEPVWTAYNRPQEDNPARKEYIKGLTEKTGVPLAAH >RHN45658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24189195:24191858:1 gene:gene40039 transcript:rna40039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MLPQKRRTSEQNLIGKSLTNLTNVSLNITKHLLSNQKLNEKNVVFSPLSLNTVLIMITAGSEGPTQNQLLSFLQSESTGDLKSLCSQLVSSVLSDGAPAGGPCLSHVNGVWVEQSLPLQPSFKQLMTTDFKATLAAVDFINKADEVIKEVNLWANKETKGFINDLLPRGSVDSLTSLIFANALYFKGVWKRPFDTSKTKDYDFDLLNGKSVKVPFMTSKNNQFISSFDGFKVLGLPYKQGNDKRAFSIYFFLPDEKDGLSALIDKVASDSEFLEQKLPRNQVKVGKFMIPRFNISFEIEASELLNKLGLTLPFSKGGLTKMVDSPISQELSVTSIFQKSFIELNEEGTIAAATARGSTGGAAPFRLPPPPPIDFVADHPFLFLIREEFSGTILFVGKLVNEEGTKAAAVTVSVLCGCSRYSPPPPPPIDFVADHPFLFLIREEFSGTILFVGKVVNPLDG >RHN69042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40538134:40543605:-1 gene:gene17469 transcript:rna17469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MRKKSVVSGIRHRRSITPSTASPIPLLSTTNDDHNATVYNSNSDGDDNHHTHTPFPDSVPETVPVDSLDDNICITCNKLGGELLVCSQTDCPVSVHVTCIGSEPKFDDSGNFFCPYCAYKRALKKTRELREKAVLAKKALSSFLEKHQTVHKDKEEQPVEPEPETVQDHVNRNEPEANEPEVDEPKVSHSEEESNNDKENDEEKVSVSGSSVSETKDSDYEVSVAEKKVRASVKRKAAPVKKALLRERKNGGGGGGSGGGDDIDEEEVTSSRTSSLRKRVTKQNILTGKRKRLNWTAEEEKVLKEGVLKFATEDQNIPWRKILEFGCCVFDKTRTPVDLKDKWRKITSKQGCK >RHN63228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49519857:49522434:-1 gene:gene25904 transcript:rna25904 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRSFLEVEPPSPLRYLIGAAVMMIGVVLPVGYMMFRNKRVPSSSSYTKQTNKVLI >RHN82078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51117512:51118289:-1 gene:gene6197 transcript:rna6197 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQQELHQNDLLRDENDKLRAENEIYKGALKDTLCTNCTGPISIGEMSSNDNQLRIENARLKVEIEMLTGKVINDVDVVGSSSKIGQMPTPAFEIGSNSDISSSMIEELCYGGNDPLGSLSIPNEVDKATIVELAVVSMEELTILTLAGSPLWISTNDTEILNEAEYVRVFPNGISPKLMGLKSESSCYIYIERAFENML >RHN54371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9074361:9079177:1 gene:gene29391 transcript:rna29391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysine methyltransferase, S-adenosyl-L-methionine-dependent methyltransferase MEENDRNEESDSDNTATMTMSTISQHNFNDDSETLTISIIENMSEDYGLYVWPSAVILGEYIWQEKHRFSGANVVELGAGTCLPGLVAAKVGANVTLTDDSTRLEVLDNMRRVCDLNKLECNVLGLTWGVWDSSIFDLRPTIILGADVLYDSNAFDDLFATVTFLLQNSPGSIFITSYHNRSGHHLIEFLMRKWGLKCLKLLDGFSILPSFKASQLSGNIQLVEIALTSKDNA >RHN60813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30570969:30573586:-1 gene:gene23198 transcript:rna23198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MAKTITTLLFFLLLGGVTITMCEDEEREEGSSSNLFLMQNSKSVVKTHAGELRLFKNNDDRFLDRHMHIGLLNMEPRSLFIPQYLDSNLIIFVRRGVAKLGFIYGDELEERRIKTGDLYVIPAGTVFYLVNIGEGQRLHVICSFDPSTSLGDTFQPFYIGGGDNQQSVLAGFGPTILETAFNESRTKIERIFTKKQDGPIVFIDDDSHSPSLWTKFLELKKNDKVQHLKTLVQRQEEEEEEKQTSWSWRKLMKNVLGKEKKKIENKDRADSPDSYNLYDRKPDFRNAYGWSSALDGGDYSPLKIPDIGVFHVNLTAGSMMAPHVNPSATEYTIVLRGYGRIQILFPNGSNAMEAEIKVGDIFYIPRYFPFCQIAARNGPLEFFGFTTSSKKSYPQFLAGAASLLKTILGPELAAAFGVSEGTMKDVVDAQREAVILPSTWAAPGGGGGGKKDEDVQTKGVKGFVNDVIMDVFE >RHN81867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49429638:49432929:-1 gene:gene5962 transcript:rna5962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MDPSSYSRNNKFPRPSSMRKPHLYFLIAFLCAAFYLLGAYQQRASFTSLTKKAIITSPSCTIQQVNKPTLDFQSHHNSSDTIIALSSETFNFPRCGVNFTEYTPCEDPTRSLRYKRSRMIYRERHCPVKGEEDLKCRVPPPHGYKTPFTWPASRDVAWYANVPHRELTVEKAVQNWIRYDGDRFFFPGGGTMFPNGAGAYIDDIGKLINLKDGSIRTALDTGCGVASWGAYLQSRNIITLSLAPRDTHEAQVQFALERGVPALIGVLASKRLPFPSRAFDISHCSRCLIPWAEYDGIFLNEVDRVLRPGGYWILSGPPINWNKHHRGWQRTKKDLNQEQTKIEKVAKSLCWNKLIEKDDIAIWQKPINHLDCRSARKLATDRPFCGPQENPDKAWYTDLKTCLMPVPQVSNKEETAGGVLKNWPQRLESVPPRIHMGTIEGVTSEGYSKDNELWKKRIPHYKKVNNQLGTKRYRNLVDMNANLGGFASALVKNPVWVMNVVPVQAKVDTLGAIYERGLIGTYHDWCEAMSTYPRTYDLIHADSLFSLYNGRCELEDIMLEMDRILRPEGAIIIRDDVDVLLKVKNIANGLEWDSSIVDHEDGPLEREKLLFAVKKYWTAPAPAPAPSHRRRKTN >RHN42789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41012373:41013903:-1 gene:gene49276 transcript:rna49276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-S seed storage protein, plant MPVLASTNVGAGRLVLKPQGFALPHYADSSKVAYVIQGTDGVVGTVLPNTEKEVVLKLKQGDIIPVPIGTISWWYNEGGSDLIVVFLGETSNAHVPGQFTYFLLTGGQGIIGSFSNELTSKVYNLNKDEVNKLTKSQTGLLLVKLEKDQPMPKPTMDLTKKLVLDIDVAKPGIEVQNGGSITTITESEFHFIGDVGLSVIKVKLESNTIKAPSYLVNPLVQLIYIARGYGKIEIVGLNGKRVSDTQVKPGHLIVVPKFFVIAQIAGEEGMESYSIVTTTKPLSEELAGMASIWISALPHVLFVRALQPFLKYLCAS >RHN45028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10916748:10918556:-1 gene:gene39223 transcript:rna39223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKRKRTSIQSSTITNSYLPDECWECIFKFIFNNNENNNRYYFYPLNSSLRIKRKGKGTYLYKSSRLSISSTYSDIHEEIFNDDDPNNRNICRYLNSLSLVSKQLLSITNRIRFSLTIFNPTRPFLCLLFKRFTNLNSLNLTRFHGDLDALLRKISRFPSLNITSLNISNQPTVPANGLRAFSQKITTLTSLTCSHIANFNSSDLFLIAECFPLLEELYISYSECCYIYPIGYHTKYYDGYFDGVEALSLSLFKLRKVNLSSFLINNQSLLHLLDNCKLLEEVILFSCDHKITNVGIASALRDRPILRSFSFSPFNMNDNMTIPTSHFIDSLVSLKGLTCLDLQFMNISNNLFYSIARVGLPLTRFTLRHCFGHHSYAGIYCLLSKCQGFQHLDLELPFLNDQHVVQLSSFLGDLMSINLSCCVKLTKLSLYALTKNCPLLGEIKMEGIGKSTSVENSDSLAEFGVYPQLKSLYLGKNQWLSDEGIIKFASNFPNLQLLDLNRCNLLYEGICQVLRICCKIRHLNLAYCKKVKLLGMNVVVPNLEVLNLSNTKVNDKTLYVISKNCSGLLQLLLELSHNVTEEGVKHVVENCMQLREHGYLLY >RHN68933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39725558:39725899:1 gene:gene17356 transcript:rna17356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MGSFFLVAAVRIVHVKDSGRVGGFGFVIFSNEDDAKSAKDAMDRKALLDRPLRINVELKSPWCICCSSSIFR >RHN47946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43753789:43757762:-1 gene:gene42604 transcript:rna42604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MAQQCQLTSPFHLFLFCPLHFSTTIHSSFLNVFTLTKQQQPPPLPRPPHTTILTLHLSLTNEHNLATTTKPYTPMDSGGNSSSEESSLNGLKFGQRIYFEDTALTAASAAAASTTIAAGSPSSSGSKKGRGGSVQHSQPPRCQVEGCKLDLTDAKAYYSRHKVCSMHSKSPTVTVSGLQQRFCQQCSRFHQLAEFDQGKRSCRRRLAGHNERRRKPPPSSLLTSRFARLSSSVFGNSDRGGSFLMEFASNPKHSLRNSPGNQTTAIGWPWPGNTESPSSNLFLQGSVGGTSFPGARHPPEETYTGVTDSNCALSLLSNQTWGSQNTEPSPGLNNMLNFNGTPMTQLGTSSHGVAMHQIPNNYEVVPDLGRGHILHPLGSQHSGELDLLQQGRRHYMDVEHSRAYESSQWSL >RHN50811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10713821:10718966:1 gene:gene35146 transcript:rna35146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MLILRPFNFYSQLPFKFHTKPIFNNHKLLCGKFSFSAFSNTALNYAHNDDEIPEKENEMDGNASGIGYLHLMEQHGVRANSQTFLWLLEGCLNSRSFYDGLKLHGKILKMGFCDEVVLCERLIDFYLAFGDLNCAVNVFDEMPIRSLSCWNRIFNTFIAERLMGRVPGLFRRMLTKNVEFDERIFAVVLRGCSGNAVSFRFVEQIHAKTITSGFESSTFICNPLIDLYFKNGFLSSAKKVFENLKARDSVSWVAMISGLSQNGYEEEAMLLFCQMHTSGICPTPYIFSSVLSACTKVEFFEFGKQLHGLVLKQGFSSETYVCNALVTLYSRSGNLSSAEQIFHCMSQRDRVSYNSLISGLAQQGYINRALALFKKMNLDCQKPDCVTVASLLSACASVGALPNGKQFHSYAIKAGMTSDIVVEGSLLDLYVKCSDIKTAHEFFLACETENVVLWNVMLVGYGQLDNLNKSFQIFTQMQIEGIVPNQFTYPSILKTCTTLGATDLGEQIHTQVLKTGFQFNVYVSSVLIDMYAKHGKLDHALKIFRRLKENDVVSWTAMIAGYTQHDKFTEALNLFKEMQDQGIKSDNIGFASAISACAGIQALDQGRQIHAQSCLSGYSDDLSIGNALVSLYARCGKVREAYAAFDQIYAKDNVSWNSLVSGFAQSGYFEEALNIFAQMNKAGLEINSFTFGSAVSAAANIANVRIGKQIHGMIRKTGYDSETEVSNALITLYAKCGTIDDAERHFFEMPDKNEISWNSMITGYSQHGCGFEALKLFEDMKQLDVLPNHVTFVGVLSACSHVGLVDEGISYFRSMSEAHNLVPKPEHYACVVDLLGRSGLLSRAKRFVEEMPIQPDAMVWRTLLSACNVHKNIDIGEFAASHLLELEPKDSATYVLVSNMYAVSGKWDCRDRTRQMMKDRGVKKEPGRSWVEVDNSVHAFFAGDQNHPRADMIYEYLRGLDFRAAENGYVPRCNSLLSDAEIRQKDPTEIIHSERLAIAFGLLSLTSSTPLYVFKNLRVCEDCHNWIKHVSKITDRVIIVRDSYRFHHFKVGSCSCKDYW >RHN50788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10505532:10509161:-1 gene:gene35116 transcript:rna35116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cell division protein FtsZ MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGTMPSDESNVPKHDDAFNTFFSEIGNGQYVPRALFVDLEPTVIDEIRSGPYRQLFHPEQLITGKEDAANNFARGHYTVGKQVEELCLDRIRKLAENCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFIIYPSPQVSTAVVEPYNTVLSNHSHIEHSDVVILLDNEAIYDICRRSLDIERPTYNNLNRLISQSISSLTTSLRFDGAINVDISEFQTNLVPYPRIHFMLSSYAPVISSVKVYHEQLSVPEITRAVFEPSSMMVKCDPRHGKYMACCLMYRGDIVPKDVNVAVSHIKKMRTVQFVDWCPTGFKCGINFQPPTVVPGGDLAEVRRAVCMISNNTAVSEVFSRIDHKFDLMYAKRAFVHWYVSEGMEEGEFSEAREDLAALEKDYDEVGEDGVDEEVEDY >RHN41148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27562812:27565256:-1 gene:gene47438 transcript:rna47438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MSSLTYLYPDQNHLSGIIPSNTGYSLPNLQYLFLNDNNFVGNIPNNIFNCSNLIQFQLNGNAFTGTLPNTAFGDLGLLESFLIDDNNLTIEDSHQFFTSLTNCRYLKYLDLSGNHIPNLPKSIGNITSEYIRAQSCGIGGYIPLEVGNMSNLLQFSLSGNNITGPIPPTFKRLQKLQVLNLSNNGLQGSFIEELCEMKSLGELYQQNNKLSGVLPTCLGNMISLIRIHVGSNSLNSRIPLSLWRLRDILEINFSSNSLIGILPPEIGNLRAIVLLDLSRNQISSNIPTTINSLLTLQNLSLADNKLNGSIPKSLGEMVSLISLDLSENMLTGVIPKSLESLLYLQNINFSYNRLQGEIPDGGRFKNFTAQSFMHNDALCGDPRLQVPTCGKQVKKWSMEKKLILKCILPIVVSAILVVACIILLKHNKRRKNENTLERGLSTLGAPRRISYYELLQATNGLNESNFLGRGGFGSVYQGKLLDGEMIAVKVIDLQSEAKSKSFDVECNAMRNLRHRNLVKIISSCSNLDFKSLVMEFMSNGSVDKWLYSNNYCLNFLQRLNIMIDVASALEYLHHGSSIPVVHCDLKPSNVLLDKNMVAHVSDFGIAKLMDEGQSQTHTQTLATIGYLAPEYGSRGIVSVKGDVYSYGIMLMEIFTRRKPTDDMFVAELSLKTWISQSLPNSIMEVMDSNLVQITGDQIDDLSTHISSIFSLALSCCEDSPKARINMADVIATLIKINTLVVGANTV >RHN81786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48873069:48874349:1 gene:gene5874 transcript:rna5874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L2 domain 2 MGRAYFHLLNHGVSSSRRKEREGKVVQVYGRKWVIHIESITREDLFVLLLIIQTVD >RHN38614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:722490:725136:-1 gene:gene44571 transcript:rna44571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxygen-evolving enhancer protein MAQAMASMAGCLRGSSSQAVMEGSLQLSGSNRLNMLHGSNSNKVTRSSVTVVRAQQQQESSRRAVIGLVATGLVSSSFVQAVLAEAIPIKVGGPPAPSGGLPGTLNSDEARDLKLPLKERFYLQPLTPTEAAARAKESAKEIVAAKKFIDQKAWPYLQNDLRLRAGYLRYDLNTIISAKPKDQKKSLKELTGKLFQDIDNLDYAAKVKSPSDAEKYYAIAVSTLNDVLSKIG >RHN46377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31149057:31150097:-1 gene:gene40859 transcript:rna40859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxo-5-alpha-steroid 4-dehydrogenase (NADP(+)) MLFFLQDSSLFNYSLFTLFLIAPPTFISLTFLQAPYGKYYRPGWGPNLSPPLAWFLMESPTLWFTLYLFPHGKNSSNPKSIILITPFLVHYFNRTVIYPLRLFLTKTTKNPSGFPFSIAVMAFLFNLLNSFVQARWVSHYKDFDDHHGNGWCFWVVFLCGVFVFFVGMMINVWSDTELLRLKGEGKGYVIPKGGLFEFVSCPNYFGEIVEWFGWALMTWSWAGLGFFIYTFANLGPRARANHQWYLEKFGEDYPKKRKAVIPFLY >RHN79608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30554675:30558370:1 gene:gene3422 transcript:rna3422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB family MDDHHNNHGHHHHHHHHHQHQHQQQYDLRQLVNGTRSTHFPSIPTAATDEFFSGHRNLTALLTTTHPQTHQNQYEMMMLGRGVVLEDFNSITTHVPPPPSTTITTATTTASASVSTPETTGYMGGDASTGRWPRQETLTLLEIRSRLDPKFKEANQKGPLWDEVSRIMSEEHAYQRSGKKCREKFENLYKYYKKTKEGKAGRHDGKHYRFFRQLEALYGENSNQTSLPETNFVNNNNFHFQQTSNSQNPHNDHSLSLTTNSTDFEIDTSSSDDGNDVKGKRKSSGRRSWKVKIKDFIDAQMKKLVEKQEEWLSKLVKTLEDKEKERALREEEWRKQEAKRVEKEQKFWSNERAWIEARDAALMEALKNLGGREMVKVEEAHDHKGVNVITGAEIQNQNEDGSEILNSTVRGSDNCTPRDDSKEGNRKRKESFYFNNNEQCSMYNQGSGYCDVNDQREEIVKLQRNDHGSSPSDSNVGNVANGDTCFPFMISEGGNLWENFGLKINKVNQNH >RHN75742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44704133:44705509:1 gene:gene11984 transcript:rna11984 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAKPTSIAFYAMDLSNNSNWPKKQNTTSNTNKSMTEGIKLFLQSSTFKQQEKERQKNSKRPILETKPNQTPNIPNLFFLRTQLRKPRIVQGGSHESLKISKIKHMHWYVYQSQLDHLFRDG >RHN82412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53528133:53528399:1 gene:gene6575 transcript:rna6575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein TIC214 MFILIYYAPLHLALIRPHTITVLTLPYLFFNFVYKNNKHYYSADSHFYLDYGYKNPNSIRKFRIYKVFFNNIFFSSYQTPCFSQVQSY >RHN46206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29635962:29636496:1 gene:gene40656 transcript:rna40656 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFCSGHEKRRDDKINKKNGGFKAMQGGNMVFMTDANPGGGHHGHDGGGGGGGCGGGGGGGGGCGGGGGGGGC >RHN56188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28601848:28603958:-1 gene:gene31549 transcript:rna31549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MATILKIVYAMILFISLFLVAMNVDAYVECETDADCQPNMCKWPFIVQCYKNVCICVHHTNPYL >RHN72389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7244906:7245532:1 gene:gene8094 transcript:rna8094 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIVLPIKKRKPEIQTQKCSITRVSAPSPSPKPLNNADQKSKSIITEEFKVALHVMIFKAEGIDNPTNYPSVNNRAYNVVYWLKPDEEASTKIAVGVNPERNQDDMIVLENLDDEVFLNVEEFYRRKVGSFPLLRSDGNGKRLEGSILLAMRLQRIKGDSDSPLRFYADDLFFEDDVVN >RHN63798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54072700:54074964:1 gene:gene26549 transcript:rna26549 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSSWLCGRTHVGCQLFQCFLKFKGIKFSFLNEVMAAPPFGRGKPLKDLLGVSLVLSLTSLPGSKYSCQISWQIAVFLVVRH >RHN70635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52890780:52892209:-1 gene:gene19242 transcript:rna19242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSARTRNYDVISSLPDADLSHILSFLPTKQVVATSVLSKRWKHQWRSVFDINLTNSICKEYGEYCEEITLNTQYALDSFNEFVYSILLKLDSIKSFHLKVGYGSSSLGSRGFPSVVKWVDHVVHHGVESLRLFPFTYSDMKLPVSILNCKTLVVLDLFSFNAKDFSSVRLPSLKILRLELCSFLNDRDLVLLLAGCPILEDLHTEHLKFSLKDSFTHQEGETLSLSKLTKAEMPYTYCHFPLKALHNVEKLHIELNKMYRSFDEIPTFHNLTKLKLHSINSNWNLLVQVLNHCPKLENLELDEGSTIDRRLHVQKNWKDPKFVPQCLSLHLKTCIFQNFIGQQGELMSTIYILKNARVLQTMSICGVKALEVERELSLCPRVSPICEVILNAYRFSPDSSL >RHN51938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28754086:28754499:-1 gene:gene36513 transcript:rna36513 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSIPESSNMQEVVPTYEIKERTMSLEEWDLKIQTENPVDFTSLAFHGCDIRRFYEAQGLMDYFNMLNRPTYQTLVRHFWVRASVYNKESVKLEETEKILINPTLEGKSREEMGLEPFVCTEIRSSIMGIPVHI >RHN81100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43326387:43327329:-1 gene:gene5097 transcript:rna5097 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTRHVFDEKWPRVKNILRRRKRRVFSIQFKFSNSQRITIQTTATLRRFKEIIQRKSRRSNTNREIKIITFPNNFKNIHPEEHHFDTYTPEIAFEGDKECLVGHEKCSVYGTR >RHN39150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4513456:4517641:-1 gene:gene45149 transcript:rna45149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MDKGKVGINIVFIGHVDSGKSTTAGHLIYKLGGIKKDVIERFEKEAAEINMCSFKYAWVLDKLKAERERGITIDISLSKFETTKYYCTLIDAPGHRDFIKNMITGTSQADCAVLVYDSTTIGSDSETAISRVRQIHEHAVLALTLGVKQMICCYNKMDATAPKYSKYRYEEFVKGFSHFLERIGYNPKKITFVPISGFEGDNLIERSSNLDWYEGPTLLEALDQINEPNRPSNKPLRLPLRDVYKIGGIGTVPVGCVETGVLKPGMMVTFAPIGLQAEVKSVQMDHTNLTEALPGDNVGFNVENVSAEHLKRGYVVSNSKHDPAKEADNFISCVIIRNHPGQIGNGYTPVLHCHTSHTAVKFDKLLAKIDRCSGKVIENEPKFLKNGDAGIIKMIPTKPMVVETFSNYPSLGRFAVRDIRRTVAVGVIKAVKKKDPGAGGSITKSALQK >RHN59641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12577376:12577561:-1 gene:gene21710 transcript:rna21710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein K MLNIFSLVCICINSALYSSSFFLAKLPEAYAFLNPIVDFMPVIPLLFFLLAFVWQAAVSFR >RHN67604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28569920:28570764:1 gene:gene15809 transcript:rna15809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin 2 MSSSNFSCILSISLTFFILLLNKVNSAETTSFSITKFVPDQKNLIFQGDAKTASTGKLELSKAVKNSIDGLAFFIAPIDTKPKSIHHGGYLGVFDSKTYKKSIQTVAVEIDTFYNAQWDPNPGNISSTGRHIGIDVNSIKSISTVPWSLENNKKANVAIGFNGATNVLSVDVEYPLIRHYTLSHVVPLKDVVPEWVRIGFSAATGAEYAAHDILSWSFDSKLNLGFENNINANVSSSTQAA >RHN49104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52462190:52462543:-1 gene:gene43896 transcript:rna43896 gene_biotype:protein_coding transcript_biotype:protein_coding MFDIGKVQLRFLQFFESLLVWIFAYKCSESWLANGDTWPQCHHLLSDREVVQQYWNQFITKDNWTKFLSLRLHACEPVFQILDALVDRPLYLASYTTMHAWYECNITTKNIRVSNCN >RHN82220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52081856:52089628:1 gene:gene6365 transcript:rna6365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MAVDILTLENRYIDSCRRHGVLPHAAILSSFFKAEGKKSHQELIVLDILIDELKDIDFAPLLEICTSLDTTEVEAVDVHNESPCVLSGEYVLPLMRAVKQKLRIVELRDMSFAKDFVRDISQRGLACQVLTIRSWHFRKLSLMGEFIHMHTLNLDFSSYLTSFEEDCFNCMPNLTCLSMCETRISNLWTTIAALSKLPSLVQLRFQYWQYCNDTGTSFTSSSGKSDATADFRQLDRVPFIGESRIDTTELTDLNISVEDPLRNVYSFDEVMSYDVQSMVEDSSDDSEIDLTNHHPRNWLSDVFPRWGLQMPPKSENEVESSSRSFTENGVDVSMKYMSRHASPICQQKHYREFMIASLPNLKSLDNLPIRNIDKESAAGIFSQYFEYLPYRWKSRESVLSILHKRETKSGRIKVQSSKCRPSHPSGTSQHFYTRSLSAAKLGSSTWPSLHPLSVSGFDLDKGFRPRQFEYHPSDSSLMVFGTLDGEVVVINHESERIVNYIPSLGAMNSVLGLCWLKKYPSKLIAGSDNGSLKLYDINHIPRKITGLYGNSGCVTFDEFDQLTSVHVNATDELFLASGYSRNVALYDINSGKRLQVFTDMHRGHINVVKFANHSPSIFATSSFDQDVKMWDLRQKPIQPCFTASSSKGNVMVCFSPDDQYILASAVDNEVRQFLAVDGRLHLVFDIAPTESSQNYTRSYYMNGRDYIISGSCDEHIVRICCAQTGRRLRDISLEGKSLGRSMFVQSLRGDPFRDFNMSVLGAYMRPGSNSEIVKINLLACNGRAKDDSDDHPVCPSNIMGA >RHN41395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29703913:29704872:-1 gene:gene47713 transcript:rna47713 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTGSNNIEILRVQALSILCTPHPFLEFSGFAPIPDKVDSIRSRKQQIKNDTLFDFDGSSPVQDKVDPFCSTKQQIDNDAFLDFDGFSPAEDKDIYNRSKEQRQDNDEENIEEGEFSFACTKVRGLHIFADEIFENGKIRQIPHTFDQSLFIYPTSNNNVSHLRPPLKKIFIKNSVNRHSMLGGISKESQNESLQNMTMVEIKASNECYEKSNSTGSSNLWKFRQNMNLRSNSDHKDSLVLLNASDPKNSRKPKVENIVNKKRKDEKHKNGLSAYEKLYVSNKTRKDSNKRRSFLPYKRQLFGLFTNMNGLSRNLHPF >RHN75402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42019749:42021837:-1 gene:gene11596 transcript:rna11596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEIQKIVYAFIIMISVILGQHSLIPCKTNMDCAKDVCLNYKFPTCVGKKCYCLSA >RHN59491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11208238:11210953:-1 gene:gene21522 transcript:rna21522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MPSLSLSLPLPSPHINLNSQQNFNHFNNPQQLHKAITTLNLTDTESTHNNKLITSSLLLKQCIRTKNTHLGKLLHHKLTTSNLPLDTLLLNSLITLYSKSNDPITAFSIFQSMENSKRDVVSYSSIISCFANNRNCLKAVEMFDQLLLQDGVYPNEYCFTAVIRACLKGGFFKTGLCLFGFVLKTGYFDSHVCVGCELIDMFVKGCSLADLESARKVFDKMREKNVVTWTLMITRLAQYGYNDEAIDLFLEMLVSSGYVPDRFTLTGLISVCAEIQFLSLGKELHSWVIRSGLVLDLCVGCSLVDMYAKCGLVQEARKVFDGMREHNVMSWTALVNGYVRGGGGYEREAMRMFSNMLLQGGVAPNCFTFSGVLKACASLPDFDFGEQVHGQTIKLGLSAIDCVGNGLVSVYAKSGRMESARKCFDVLFEKNLVSETVVDDTNVKDFNLNSEQDLDREVEYVGSGVSSFTYASLLSGAACIGTIGKGEQIHAMVVKIGFRTDLSVNNALISMYSKCGNKEAALQVFNDMEDCNVITWTSIINGFAKHGFASKALELFYNMLETGVKPNDVTYIAVLSACSHVGLIDEAWKHFTSMRDNHGIVPRMEHYACMVDLLGRSGLLSEAIEFINSMPFDADALVWRTFLGSCRVHRNTKLGEHAAKMILEREPHDPATYILLSNLYATEGRWEDVAAIRKNMKQKQITKEAGSSWIEVENQVHKFHVGDTLHPKAQQIYEKLDELALKIKNVGYVPNTDFVLHDVEDEQKEQYLFQHSEKLAVAFALISTPNPKPIRVFKNLRVCGDCHTAIKYISMVSGREIVVRDANRFHHMKDGTCSCNDYW >RHN57209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37310841:37314655:1 gene:gene32719 transcript:rna32719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclease (SNase-like) MGNALRFLYANCCKPTTSDDHQQSTVGVSALSHDLFHFENTSQVPEGLSRHVVSSKKAQANWYRKLIDAWKEARPAPRTPEEAAGLVILTLKGHKKADVEGLLTFYGLPLSHTPVEVPVQPPPTSLPHGVQYEIHTLPVDEKAVADGDTVTVYVSTADPRESSRVPSNLHTVAAHRAEARSRRNYEEADALHKQIIDAGYRMIPFENGEILAKKYRIRLRGIDAPESKMPYGKEAKTELTKIVQGKSLRVLIYGEDRYQRCVGDIYCNNVFVQELMLKKGLAWHYAAYDKRPELETWEKEARAKRVGLWASKNPEKPWDWRKDRRN >RHN77864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10027831:10037887:-1 gene:gene1366 transcript:rna1366 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PIN6 MVTREDLYNVMCAMVPLYFAMLVAYGSVKCCKMFTPDQCSGINRFVAVFAVPVLSFHFISLNNPYQMDTKFILADTISKLLVLFFLTIWAIFFTKGSLDWVITLFSVATLPNTLVMGIPLLQAMYGDFTQSLMVQLVVLQCIIWYTLLLFLLEYRAAKLLIKSQFPGTTAASITKISLDDDVISLYSHDAPLTTQSETDENGRIKVRIRRSISSAPPESTSSLGKSFTPTRLSNLSNADIFSINTPGNFLDNMTSPQLSGYASTDAYSLQPTPRASNFNEMEIVGGGTPVWGRSPVAGGRVLDGGMVVWESPEKWRGDGGHGCKDITMSDKEISFRDSLKVSVAGEVVDPKDQIASSPKMPYAFVMLRLILIVAGRKLSRNPNTYSSLLGLLWSLISFKWNIEMPSLIKSSIKIISDAGLGMAMFSLGLFMALQPRIIACGTKRAAMGMAIRFLFGPLVMSLSSIAVGLRGKKLHTAIVQAALPQGIVPFVFAREYGLHPDILSTGVIFGMLISLPITLIYYIFLGL >RHN39218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5046675:5052686:-1 gene:gene45222 transcript:rna45222 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:IAR34 MSSSFKFICYHFFIIILHVFAATQILSSSTHNSSFNNFLDSAKNPEVYDWMINIRRKIHENPELGYEEFETSELIRTELDKLSIPYKYPVAITGVIGFIGTGLSPFVALRADMDALSMQEMVEWEHRSKVPGKMHACGHDAHVTMLLGAAKILKQHEKEIQGTIVLVFQPAEEGGGGAKKILDAGALENVTAIFGLHIVPDLPIGEVSSRSGPILAGSGFFEAKISGKGGHAAIPQQSIDPILAASGAIISLQHLVSREADPLDSQVVTIAKIQGGSAFNVIPDHVTIGGTFRAFSKESFNQLRQRIEEVIIGQAAVHRCNATVDFLHGVKPFYPPTVNNADLHEHFVNVAVNMLGIDKVDSVMTPYMGSEDFSFYQEVIPGYFFMLGVKNASHKRFESLLHSPYLEINEDGLPYGAALHASLAASYLLKHQRDTVPGVERKYHDEL >RHN60234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24057226:24057642:1 gene:gene22501 transcript:rna22501 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRGTCVHPLYFGFSPTCAAKMQSLIYRNKVNRTLETKTCKS >RHN73510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17217865:17219130:1 gene:gene9330 transcript:rna9330 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKIGEAGEVEETKKVEREEENKKKVNTMEVEELREVEDIREVEKTTKVEEKMEVEERTEVDSILKIGELGDAGEDGEVSFPTKMVGVGDDHEDQTHELVY >RHN47453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39880571:39881165:-1 gene:gene42057 transcript:rna42057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal-recognition-particle GTPase MGLADLGGRILRGFDKMSNETVIDKEIRKRCVNEITRAFIQSIIEPKLIRDMHAKIKNIIYNHLNLDDFDAARKMIQQVPSCLLVLKVCCGKTTTCAQFANHYQKKGLKTGLVCTDTLRNGAFDQLKQIYAETNIPCYGRYIHVCISCDMMKIGDYLSSFKEILIQSDDYW >RHN45205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12897435:12898749:1 gene:gene39434 transcript:rna39434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSSHRSIPNVDRISNLPDSVICHILSFLPTKQSSATSILSKRWNPLWHSVFTLDFDDQSFTDFHTFRHFVYSVMLTRDPTLPIRMFHLKCGTSPGCDPHDINRFVPVAVKKGIENLILDFTGTSHDFLIRLGPTFSSVFNCGRNLVVLKLKFAIVNVVAQFDFPLLKTLHLAYVYFFGDHTSDFNKLFVGCPILEDLQISNVQFLLSNRCDGGEFKGLSNLVRADISNRCWNMPFSWICNAKFLRVILSKEQQVHNFHNLTCMELIFGSSWCTKWKWVLELLEHCPKLQNLTLDEVLHFYRAEDDWKEPAIVPKCISSQLRTCSLKAHRGRTYELQFAEYIMKNATGMHTMTISGRRLEHQMLLKLSSCPRGSATCKLSFEFD >RHN71455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:288979:289299:1 gene:gene7059 transcript:rna7059 gene_biotype:protein_coding transcript_biotype:protein_coding METLLHQSPPPLKNSTPSSHSDKELHMHVESSNEQRPSFWIPLSEMHRWFDLENIAVAFMPLKKCSSMLNKWSNMSIRWFLRQRWWRLKIWEFRWQRRHRENIDWR >RHN46968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36132185:36135511:-1 gene:gene41512 transcript:rna41512 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEDEGEKSSRSNDWEVVSLTASTYAAAPGPREVELKDDDKEDAYAINEAETSNALFMSGHFAFPPSKHENLPLEKDYSEIQNESGDKGVAFEETYEEASKPSGKDEENLTLEGLDVSKEFEDMKYYDEKINRLSIHGKQFEEGTTLPDFGLTEKEESIYHSPAKYTSFPSETDIGAVTAYNENVVGSETTESAEQETKVSPDDLSLSNNSSKDDKQNPSGLPCGAWWKRGVASIYAHAKEANTLWSVFIAATVMGLVMLGQRWRQEKALQLKWQVSISDEARSRMLSPMIRLKDVIVGGHRRGSLIRESSSAEI >RHN70249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49995853:49997853:1 gene:gene18810 transcript:rna18810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MNLQSESISNLNKFSVTIAKHLFSKEEFKEKNVVFSPLSLHVVLSIIAAGAEGPTQDQLLTFLQSRSTDELKSLSSQLVSYLLADATPAGGPLLSFVNGVWVEQSLTFQHSFKETVATDFKSNTASVDFVNKAFEGRKEVNLWAEKETNGLIKDLLPPDSVDSLTNLIFANALYFKGAWSEEFDTSKTKDYDFNLLNGSQVKVPFMTSMKRQFISVFDGFKVLRLFYKHEYSYKQSDKRYFSIYFFLPDAKDGLLALTEKVASESEFLEHTRPRETVIVGDLRIPKFNIAFGLETSGVLKELGVVLPFSTGGLTNMVDSPMDQNLHISNIFHKSFIEVNEKGTEAAAVTSMGQKVTCGRRTGPPPTPIDFVADHPFLFLIREDLSGTVLFIGQVLNPLLK >RHN70563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52309601:52313796:-1 gene:gene19156 transcript:rna19156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nonaspanin (TM9SF) MAFLRSLVFSTLLLSLLHTAFSFYLPGVAPQDFFKGDVLQVKVNKLTSTKTQLPYSFYSLPYPAPKKIQDSAENLGEVLRGDRIENSLYVFKMREPQMCNVVGKIKLDAKNAKEFKEKINDEYRVNMILDNLPLVVPIKRNDQDSTVYQLGFHVGLKGQYTGSKEEKYFIHNHLAFTVKYHRDVQTESARIVGFEVKPFSVKHEYDGKWDDKKTRLTTCDPHAKHTVVNNNSPQEVEENKEIIFTYDVDFQESDVKWASRWDAYLLMNDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDIAKYNELETQEEAQEETGWKLVHGDVFRPPNNSDLLCVYVGTGVQFFGMILVTMIFAILGFLSPSNRGGLMTAMLLVWVFMGIFAGYSSTRLYKMFKGSEWKRIALRTATLFPAIVSVIFFILNALIWGQKSSGAVPFGTMFALVFLWFGISVPLVFVGSYIGFKKPAIENPVKTNKIPRQIPEQAWYMNPAFSVLIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFIILVVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSAIFYFGYMLIASYAFFVVTGTIGFYACFWFTRLIYSSVKID >RHN80829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41126570:41130195:-1 gene:gene4796 transcript:rna4796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative primosome PriB/single-strand DNA-binding protein MNSVALRLSKHLRRSSHASVPRSTVLCYSTFTSETDAGQPSRDNNGLNKEELDDEFDDFLGGRPELQLQGVDPSRGWGFRGVHKAIICGKVGQAPVQKILRNGKNVTIFTVGTGGMYDQRIVGSKDLPKPAQWHRIAVHNEVLGAYAVQQLFKNSSVYVEGEIETRVYNDSINGEVKSIPEICVRRDGKLRLIRSGESVDKTSLDEFREGLF >RHN51613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21039191:21039566:1 gene:gene36088 transcript:rna36088 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHKSMVLIIMFLLLLILLVLHLSSSRHMEERTRVKFPLSFPQSFEEFKDKKVSRFQIVSHTSTPGGPNPLHN >RHN62224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41855233:41856673:-1 gene:gene24778 transcript:rna24778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MDSTSTINDEIAIDIPPILRVYKSGRVENLIGEEFLPPSLDQATNVESKDVVISEEHNISARLFIPKTNHPPIQKLPVFVYFHGGGFCIETPFSPCYHNYLNSVTSLANVIGVSVHYRRAPEYPVPIAHEDSWLALKWVASHVGGNGSDEWLNQYADFEKVFLGGDSAGANISHYLGIRVGKENLDGVKLEGSVYIHPYFWGVDLIGSESNMAEFVEKIHNLWRFSCPTTTGSDDPLINPANDPDLGKLGCKRLLVCVAGKDILRDRGLYYKELLEKSGWGGVVEVVEIEDEGHIFHLFKPSCENAMALLNQVVSFIKKA >RHN70011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47991755:47995011:1 gene:gene18549 transcript:rna18549 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSEKNPRKRTWDHEKDLYDFLLHKIRDPNTQKKKLYDLLLHKFYINDPKADSDLIKITDGPSSIIQSQLLHLQEQNNDSNSNEEENERHRFLLKHMKRHGKSNVLEIVEDDVYVKYGGDESSCATKKKDKLGGKRRNVQTNRVVSKKGGRGRKLKGATKLSGSAARKRGINNKKSDVASKGNKNAGKRKRGRPIKIPEKNDVNEEEGVSAVKATFVDESVKKEIQDDDGDDGDDVIDIINVESLDNEVNPRKVAEFREKLMKEINRPYCEEEHKKLSREINARTPVQKYRDLRGSSKTYKGDHDGKSFLDHHLDLLKELEAARDDFPKVLRLLRGFFFWLKHSPLEGGFMPWRDPSCF >RHN70967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55475405:55482476:-1 gene:gene19605 transcript:rna19605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rhamnogalacturonan endolyase MISRFLAHRKKNKEDGFTMSAPGVRLHIQQNYVVMDNGIVQVTLSNPDGIVTGIRYNGVDNLLEVLNKETNRGYWDLVWSAPGSKGIFDVIKGTCFEVIVQNEDQVELSFKRTWDPSLEGKFVPLNIDKRFILLRGSSGFYSYGIYEHLPRWPDFDISETRITFKLRKDKFQYMAMADDRRRIMPFPEDRLPGRCQPLAYQEAVLLVNPKDPQLKGEVDDKYQYSCKNIDNRVHGWISFNPPVGFWQITPSDEFRSGGPLKQNLTSHVGPTTLAMFLSGHYAGQDLVPKIRGGEPWKKVFGPVYIYLNSGPTGYDPLWLWEDAKIKMMTEVQSWPYLFPASEDFLKPDQRGNVSGRLLVLDRYISTDLISANGAYVGLAPPGDAGSWQRECKDYQFWTRADMNGFFTINNVRPGDYNLFAWVPGFIGDYRFGDFVKITSGSYIELGELVYEPPRDGPTLWEIGIPDRSAAEFYAPDPNPQHINKLFINHPDRFRQYGLWDRYTELYPDADLVYTIGVSDYRKDWFYAQVPRKKADNTLEGTTWQIKFELSGVIQGATYKLRVAIASATLAELQIRVNDPNARRPVFTTGLIGRENSIARLGIHGLYWLYHVNIPSSLLIDGTNTIFFTQPRCTSPFQGFMYDYIRLEGPPCF >RHN46527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32522006:32522419:-1 gene:gene41022 transcript:rna41022 gene_biotype:protein_coding transcript_biotype:protein_coding MYYNREFEAEQFVSYRFNGNITAYTNPPHVTSVEVFKLDTNKSPIGWKNVTLEDKVAFVSKCKSMVMSRDDLNHSEELVRGNSIYFAVTFPCPLFNPLRSFEVGMFCLNDSRVKYGPMETSKHGYVLYPLWFVPSLW >RHN57617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40568092:40576253:1 gene:gene33193 transcript:rna33193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MSNVSNKKANKLARFKKYAQPTKIDESTRRRIIRTLESFQSSDEEEYKFEAGLSNDDRRFAHLLAQKMGFKSKSYGTGKERRLSVRKGNKKGGSDNQSANLPHFTFSEEAKRAMGDLFAHFPPGDGNLKDMVGEKSGSMVNARHRHSDIFSRPIMTKDEITRKLEAVTSRRETVSDLKVITVLRSKLPIASYKDAITSAVESHQVVLISGETGCGKTTQVPQYILDYMWGKGETCKILCTQPRRISAMSVSERISRERGEAAGENVGYKIRLDSKGGQQSSIVLCTTGVLLRVLVSKGSRRSMKNPAKDEISDITHIIMDEIHERDRYSDFMLAIMRDMLPLYPHLRLVLMSATIDTARFSQYFGGCPVIQVPGFTYPVKTYYLEDVLSAVKSSNDDGSTFSIPTNNHMISEEHKLSFDEAINLAWSNDEWDLLSELVSSEETPELFNYQHSLTGLTPLMVFAGKGRIGEMCMLLSFGADCNLRSKDGTTALEIAERENQPEAAEIIKKHMDGSSSTEEQSILNKYLERVRPEIVDVVLIEQLIRKICTDSKDGGILVFFSGWDDINRAREKLLASSFFNNPSKFVVISLHSMVPTLEQKKVFKRPPPGCRKIVLSTNLAETAVTIDDIVYVIDTGRMKEKSYDPYNNVSTLQSSWISKASAKQREGRAGRCQPGICYHLYSKLRAASLPDFQTPELKRMPIEELCLQVKMLDPSCKIEVFLAKTLDPPVSESIRNAIVVLRDIGALSTDETLTDLGEKLGSLPVHPLISRMLFFAILMNCLDPALTLACASDYKDPFTLPMLPEDKKRAADAKTELASLYGGCGDQFAVLAAFECWNNSKKMGLEARFCSQYFVSGGAMKMLSGMRKQLQKELIRIGFILSDVSSYSMNAHDPGVLHAVLVSGMYPMVGRLCFPNKGAKRAIIETASGDKVRLHNRSTNFKLSFKRNLGHTLVVFDEVTRGDMGVNIKNCSLVGPLPLLLLSTEIAVAPGEQNDHKKEAEDDDDDEGSGDEAGIDDGMDLDTKSIGNNEDKFMSSPDDMVRIIVDRWLYFGSTAIDVSLLYCLRERLSAAILYKVTYPSNPLPPILGASIHAIACILSCDGCSGMSVATDGVDNLTTMVNATNLGKPQPQPQPQPQPQPQPQPQPQQQPQPQPQRYGNRPKGSSAAFINHGGHQNLGPSTASTSTSRNV >RHN40678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19263843:19265080:1 gene:gene46866 transcript:rna46866 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSCSVSRLTTINLKVPKTYDLINQRGSDDGEKTNRDEISSLDLKEGSQIMSQDKEPLALSEPLKLLDDDAQEVPADSFSEPVIDNGLHNDMVQQNKWEVICS >RHN43651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47480493:47481243:1 gene:gene50260 transcript:rna50260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MYSRTVKSNIKSAQLSSTGSQHDEIDFEFLGNSTGQPYTVNTNLFTQGKGSREQQFHLWFDPTADFHNYTIHWNPTEIVWYVDSMPIRVFRNYEHEGIAYPNKQGMRVYTSLWNADNWATRGGLVKTDWSKAPFKVGFHHFRARACKWNGAASINQCASNVKANWWTSSVYKHLSYGKIRQLNWVKKNFMTYDYCKDYKRFNGHIPHECFKTQF >RHN63963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55419024:55419278:1 gene:gene26728 transcript:rna26728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ClpP/crotonase-like domain-containing protein MVDFRKYSRVRKWTVGISRGEEVIAVIRVSGTIDRKIIAQEFINKIREVSESKNCKAVIIRIDSSGAILSTLIQCGQKLNQVSC >RHN65998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7412566:7414418:1 gene:gene13907 transcript:rna13907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MDLHEIPNSPHPHHDQFEIVILVGPDPFTTTIQTTVMEPNTAQRSPINMNVPSSLNPRLSDIIPHVIFVNPGEVCNGTYNSNINMLYITLPFTFYFFKIGYFINCNVNVDFDDVKNLDIIEKVAAYSQAVAEPDTEICIMSAHGLVGSVALHHSGSIFNYEGQFEIVSLFGNLEVYDNNSDNIRMSYFKVSLANTDSRLLEGVVADKLIAASLVKVIVGSFTLDGKNASLNNLEYEFSSAPLPKLVNDGTQTDVTTQGHSSQSLGDKENNPFSQGTAIYNNTIQPIPTMSMYQQLWAHQTQ >RHN56687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32856145:32858609:-1 gene:gene32125 transcript:rna32125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MMLGPSIIGQSELLKKWLFPPKTFYICQTIAYFGCMMFNFLIGVRIDVTIMTRLGKKAWAIGIVSLLIPLIMSSIILILLRLVLTLDQTSLKSLFAIVFVFSTGSVHTTAVHLADLKLLNTEIGDIALSASMVNGIICLLLITAINTQKQSSLKKDKSYNWMTVSFVVMVVFIICVLRPIMLWMVRKTPEGKPIKESYIMSVFLMLLGCAFVSELIGEHYLVGTVIFGMAVPDGPPLGSALTDRLDTMVSAFYLPLYFLYMANKFKLFLIDARSFLIVQAIAIIATLGKIAGTMMLPSIFWKMPVSDVLSLGLLMSANGLTQFIYLQTALNHKTVNEKYYADALITLIWLTGATTPIVKFLYDPSKKYLSLNRRRTIEQSTSDTELSLMACIHSQENTPSIINLLEMSNPSLENPICFYVLHLIQLRGRSTPLFIDHQPTCNRENPPHSASYSQHIINAFRSYEQQNSNNVVVKLFTSISPYETMHNEICMQVAEKRVCLLIVPFHRRWITDGSSESAAPIRALNRHLLRTAPCSVGILIERGTLSRNNPLTSVSFFSVGMVFIEGEDDREALAYAMRMAHHPNIRITLVRIMEPRKNNKNLRYRDPDGDLIHRFKVECIQIKRHDYKEEIVRDSVEMVNVIRSLEGCFDLILVGRRHTSESNLFSGFSEWNEYPELGPIGDMLVASDSTFNGSVLVVQQQKKSGVGYHDLRLDSGIIKTKQETVTIVEVPCDRKVRPII >RHN41666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31921530:31927935:-1 gene:gene48011 transcript:rna48011 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLPKLFFLTELEILLGYVPKNTVLSLINGPTDTTALSYWLNWRFFFCALWIFISMILASYLIFKYEGFNKERSSERDENHQEEDGLLYEDEAWNTCVKGIDPIWLLVYRIISFVILLALIIANVAVSGASILAYYTQLTFTLVTIYFGLGSSFSIYGCLLKHNEFGARTVNGASIDAESTYMAPSLEGVLDIPLLPKCPNQEFHTREIAGVWGYIFQIIYQTCAGAVFLTDFVFWFVLYPVRAFNNDKLDFLNFCMHTINAVFLLGDTSLNCMRFPVFRFAYFLIWTAAFVISQWIIHACVSIWWPYPFLDLSSPYAPLWYLGVNLMHFPCYGLFILIVKLKHFWLNRSFPGSSRIVH >RHN50576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8212619:8216137:-1 gene:gene34878 transcript:rna34878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MPPTNFPLRWESTGDQWWYASPIDWAAANGHYDLVREMLKIDSNHLFKLTSLRRIRRLEVVWDDDEEQFNNVTKFRSQVAHKLLLESESKKSKNSLIKSGYGGWLMYTAASAGDFNFVQILLERNPLLVFGEGEYGVTDILYAAARSKNCDVFKLLFDFAVSPRFVTSRGGIMEEQIGEIPSAYRLEMINRAVHAAARGGNLKILEELLANCSDDILAYRDAEGSTALHAAAARGKVEVVKYLASSFDIINSTDHQGNTALHVAASRGQLSAVNALISLFPTLISHRNNAGETFLHKAVSGFQTHAFRRLDRQVELLKKLLSTNHFHVEEIINIKNNDGRTALHMAIIGNIHIDLVQLLMTAPFINLNICDVHGMTPLDYLKQNPNSSNSDILIKKLISAGGMFGFRGYDSRKAIASHLRMQSIGSSPGTKFQILDSEIFLYTGIENVLSNHHRGGIIGTSNSSSSEHIPYESTNENSISVSTTSKRPSSVNYAASRLKKALHWSTKPKEKKIERSKKLSNDDVSLDSSSCKKMSTNSFDEISLRQRFSSASKTNLIPNNKRTLSVRSYQSSPNAKKRFASARTRSSSFSKSSISSPGSIDNKQKGVYIDNDDIVAGPSCLSQQLPYDDDETPKLVKRNSVSRKLKGHYFCFGAPGLNVKNSVHKPSFVAVA >RHN43393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45434133:45434818:1 gene:gene49961 transcript:rna49961 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSNHGWLKCFYTHLTSSNKYGIFFYPSTLFHFSAIFFKVSTLIFYNYECPNFQ >RHN50891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11505757:11507778:1 gene:gene35240 transcript:rna35240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant organelle RNA recognition domain-containing protein MRVFIFRISNFFKQNLQLIHHHQYNPNPNFSSIREFSQSTSIPKKQQRIRDHGYDNYMEIEKKTRKVLKFQNLILSEPNQSIPIPRLETQAHRIGFTRHEAIAFILKFPHVFEIFEHPVQRILFCRLTRKAILQIEQERLALADQVERAVTRLRKMIMMSNGLRLRLEHVRIARSALGLPDDFEYSVVLRYPEFFRLVDAKETRNKYIELVEFDPKLAKCAIEDARERVYRERGSEAEDIRFSFLIDFPPGFKISKYFRIAMWKWQRLPYWSPYEDVLGYDLRSIEAQKRMEKRAVATIHELLSLTVEKKITLERIAHFRMAMNLPKKLKEFLLQHQGIFYVSTRGNHGKLHTVFLREAYRKGELVEPNDLYLARRKLVELVLLSPRKARVDRELIGYRRSKLDDEMGQVTRAYVEDACEDFKGGDRVEQGVDEEDGLSSDIGSDVDSANEDDDFDDCVSNEKEIS >RHN40655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18857693:18858100:-1 gene:gene46840 transcript:rna46840 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSVDHHKDNDKSFVNIIENVEALLSQVENLKTWIDTVKYENPGKFCSATQSNIVGQSNGFNRSVHNSASFAGKEIPVPGSFANASSQHKSDLYVEDQLMTDNTLSTRDGLTPSIESANRTQFEVQGENVSFII >RHN68566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36615697:36619968:1 gene:gene16934 transcript:rna16934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GrpE nucleotide exchange factor MATSLYQSLLACSSSSSSSSSSSSSFSSKSPKPPNFVHFPSRPFYASNTILGFPTLNSTSSLFASKDSFPTTNDKTDETRNGEDKQDLPSLLVLIKAYKKAFLNSDRKTALLIEEIIHSKANERNKLIQKASTLSVDKVSCKEQYLRLQADFDNFRKRCEKERISIQSDAQQEFVKKLLLMVDSFERVKQQIEAETEKEKKIDASYQSLYKQFVETLRSHHVSVVATVGKPFNPLLHEAVGREESEVFKEGIIIKESRRGFMLKDKVVRPALVKVSLGPGNKKSSVAPTQSLEQPSTAARIDER >RHN65168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64662145:64662732:1 gene:gene28076 transcript:rna28076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative all-trans-retinol 13,14-reductase MLCHAMNAMRGVSVGVFPCNVMRVRKYCSRIDDDHHHSHSNGRIRKGGVVVRNSSNKVDETETDVIVIGSGIGGLSCAALLARYEQDVVVFESHDHAGGAAHSFDVKGYKLIPVHHYSLVAVKRSTG >RHN52460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35573442:35576076:1 gene:gene37143 transcript:rna37143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CWC16 protein MTPLLCAFLNQVKEKIGNNSFCCTFGSQQILLSCVTDELKWKLHESRQKSSKTFVRFEMPYNIWCGGCNSTTAKGVRFNAEKKQVGNYYSTKQNNASDFPSELDIFYGKHMLFKVEVAEGNLIHN >RHN43247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44300396:44303636:-1 gene:gene49792 transcript:rna49792 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMSHLTTFTKDLHRPFFLLLVHIFLSSFLSSTLTLPQSTPLALTLSLFTLFHVFSTFTVVFLFSSFAVVDSTATVSGGFSPAASSLALSVQLPLPFPGGRSMALSATVTVRQPNTTHNSFTTWEAFR >RHN53866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4816785:4817824:1 gene:gene28826 transcript:rna28826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain, AT hook, DNA-binding protein MDSCEPPHSPHPYQLQPKNIVPVGPNPFTNTSPITMITPTTAQFPLSNINTNPLPQYEHLSLMLFVGASSSGSGSFKRKRGRPRKYFPNGKITLGSSLDPTHAASFASPSSSAVKKNTSGRGRGRPRKYFPNGKITLGSSLDPTHAATFASPSSSAVKKNTSIRGKGKPRGSFKKKLPIEMSGVTNGSGFSPHVIIVNRGEDIVAKVGAFCQGGPNTDMCILSAHGLVGNAALYQSGSVVTYEVVLFTSF >RHN70531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52072394:52078731:-1 gene:gene19118 transcript:rna19118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-beta-hydroxysteroid-4-alpha-carboxylate 3-dehydrogenase (decarboxylating) MAAAEEGWCVVTGGRGFAARHLVEMLIQLNTYCVRIADLGSTIELEPSEQLGLLGQALHSGRAQYVSVDLRNKPQLLKAFDGVEVVFHMAAPNSSINNYQLHHSVNVEGTKNVIDACVELKVKRLIYTSSPSVVFDGIHGIHNGSESLPYPPSHNDHYSATKAEGEGLVIKANGTSGLLTCCIRPSSIFGPGDKLLVPSLVDAAKAGKSKFIVGDGNNVYDFTYVENVAHAHICADRALASEGTVSEKAAGEAYFITNMEPIKFWEFMSLILEGLGYQRPSIKIPVFVIMPIAHLVEWIYRLLGPYGMKVPQLTPSRIRLTSCTRSFDCSKAKDRLDYAPIIPLQEGIRRTIESYPHLRAENQLKSKREGPSKASVYLGSGRVADTLLWKDKKQTFTTLLVFIAIYVNFIASENTFITALTKLLLYSSIGLFIHGILPAKILGYTVEKMPTSWFHLSEDISNQIAFSVASSWNFAVNALKSLAEGNSWVMFFKVVFTLLVLSFLGAFSLKNLYTIGLTLGFTAFYVYEKKEEDIDGIFLKSHTFGCKLISDLTKKFVTSKID >RHN42976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42316469:42318691:-1 gene:gene49489 transcript:rna49489 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIAMLVAEEYERRVKSLKNAGGAAAVETWEINMSSCYSLFVSKLKEEKRQLVQSVLEPKTQFAIAASNNFFSA >RHN65831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5691843:5693056:1 gene:gene13723 transcript:rna13723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MNIENKEDRLTDLPDSILHHILSLLDTKEAFQTCILSKRWKNLPNHIPTLRLSSTGFNSFDCFIKLLTQILSLRDDSTALHSLDFYRPQDFVDPEILESMVNYAISHNVQLLRISVSCDIQQLQSSLFSSQTLTSLDLSIHYYIHHWKILFPSSLNLPALTTLTLTGFYFRSDNNGCAEPFSSLNKLNTLIIRNLRFLKGQSLCISSTTLVNLTIYGYYLYNKYKSKIMLSAPYLSTFAFTGTPNQTLCVSHPCSITHLYIDVEDISWVEEDSATLLSWLLELANIKSLTVSSNTLQVLSFVPKVKLTSLCNLELLQVEMKPLTTGLDLKLHMFNKEGSGIHLKKTKFIPAGVVEFLLQNSPLAKINIKNNDD >RHN78517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15914987:15917881:-1 gene:gene2094 transcript:rna2094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGIRDFHFKVKALRVKLSCLGNRKVRRNNGVGSKQSWMMPISHGHHVVEHDWINGDSDDCEFDSVVIQREQIGETELWYCGIFDTLVGDCVTKYLQANYFDKNLKESHLRRKAKETLKRAYLGAKTKIREMHKSDETCIIGSTSVMIINGEKLVLANIGDYRTVLCRDGVAYQTIGKHNQSSKKHWFHRLFSGNATGTKASKASELVVGSYWIDSSTEFVILASNGIWEVMKNQEAVNLIRHIEDPQEAAECLAKEALVRMSRSHISCLIIRFD >RHN77408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6647946:6652069:-1 gene:gene855 transcript:rna855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MDPLIIEPSYKKRREQSQSPCVSDEEPDRVSSLPGHVIDHILSILPIKEAARTSILSTNWRYKWTTLPNLVFDNECLSETSKDLLVIKSKLSRIIDHVLLLRSGPIKKFKLSRDHIDVTDIDRWTLYLTRWQVKEFVLEIWKNPDQRYKIPSWLFSCQSLHHLELLECWLIPPSTFQGFKNLKSLDLQYVTLTKDAVENLISSCLMLERLILMECDGFKNLNIHAPNLQYFLMEGKFEDISFKNTSQLADVFINLSENFESKQGRLHERSSNLVEFFIHLPHIRSLEIQNYFLKYLALGVVPVELPTRCIDLSYISICINFNDSKEISAAVCLFRSLPNLRELEMVARSEEQPVQMTPRAYLDQPIMQVKHVRIVGISGIKSELDFISFLLLSFLVLEKMTVKPALNVGPELMKELLRMRRASRAQVIYLD >RHN68640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37358711:37359259:1 gene:gene17018 transcript:rna17018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphodiesterase I MGRESLQGLWQKYKVDIAFYGHVHNYERVCPIYQNQCVNKEKSHYSGTVNGTIHVVVGGGGSHLSDFTTAPPIWSIFRDRDYGFVKLTAFNHSYLLFEYKKSSDGKVYDSFTISRDYRDVLACVHDGCEKTTLAL >RHN44142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1965196:1966422:-1 gene:gene38214 transcript:rna38214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAESPKFVYVLILFISIFNAIIVCDFAFLPTSRNCITNKDCRQVRNYIARCRKGQCLQSPVR >RHN67703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29495362:29498496:1 gene:gene15921 transcript:rna15921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MDLVCLPLSGMDVILGMNWLEYNHVLINCFSKSVHFSSVEEESGAEFLSTKQLKQLERDGILMFSLMASLSVENQAVIDRLPMVCEFPEVFPDEIPDVPPEREVEFSIDLVPGTKPVSMAPYRMSASELSELKKQLEDLLEKKFVRPSVSPWGAPVLLVKKKDGSMRLCIDYRQLNKVTIKNRYPLPRIDDLMDQLVGARVFSKIDLRSGYHQIKVKDEDMQKTAFRTRYGHYEYKVMPFGVTNAPGVFMEYMNRIFHAFLDRFVVVFIDDILIYSKTEEEHVEHLKIVLQVLKEKKLYAKLSKCEFWLKEVSFLGHVIYGDGIALDPSKVEAVSQWETPKSVTEIRSFLGLAGYYRRFIEGFSKLALPLTQLTCKGKTFVWDVHCEKSFGELKKRLTTAPVLILPKSDEPFVVFCDASKLGLGGVLMQEGKVVAYASRQLRIHEKNYPTHDLELAAVVFVLKIWRHYLYGSRFEVFSDHKSLKYLFDQKELNMRQRRWLELLKDYDFGLNYHPGKANVVADALSRKTLHMSAMMVREFELLEQFRDMSLVCEWSPQSVKLGMLKIDSEFLKSIKEAQKVDVKFVDLLVARDQTEDSDFTIDDQGVLRFRGRICIPDNEEIKKMILEESHRSSLSIHPGATKMYHDLKKIFWWSGLKRDVAQFVYSCLVCQKSKVEHQKPAGMMVPLDVPEWKWDSISMDFVTSLPNTPRGNDAIWVIVDRLTKSAHFLPINISFPVAQLAEIYIKEIVRLHGVPSSIVSDRDPRFTSRFWKSLQEALGSKLRLSSAYHPQTDGQSERTIQSLEDLLRICVLEQGGTWDSHLPLIEFTYNNSYHSSIGMAPFEALYGRRCRTPLCWFESGERVVLGPEIVQQTTEKVQMIQEKMKASQSRQKSYHDKRRKDLEFQEGDHVFLRVTPMTGVGRALKSKKLTPKFIGPYQILERVGSVAYRVGLPPHLSNLHNVFHVSQLRKYVPDPSHVIQSDDVQVRDNLTVETLPVRIDDRKVKTLRGKEIPLVRVVWSGATGESLTWELESKMLESYPELFT >RHN50277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5754884:5758090:1 gene:gene34549 transcript:rna34549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLCFGYKFLFCLVSFLCFNVLCAESFHTNKCVEKERRALLKFRDAINLNREFISSWKGEECCKWEGISCDNFTHHVIGLNLEPLNYTKELRGKLDSSICELQHLTSLNLNGNQFEGKIPKCIGSLDKLIELNLGFNHFVGVIPPSLGNLSNLQTLDLSSNYDMISNDLEWLSHLSNLRYLDLSNVNLTLAVDWLSSISKIPYLSELYLYGCGLHQVNPKSIPLLNTSISLKSVGLSDNELQSSILKSFRNMSQLQDLNLNSNQLSGKLSDNIQQLCTTKNDLRNLDLSNNPFKVMSLPDFSCFPFLETLSLRNTNVVSPFPKSFVHLSSLSILDLGFNQLNGSQPLFEITKLVSLKTLYLSHNNLSGPFPHTIGQLSDLNELRLSSNKLNSTINETHLSNLSELKYFDVNQNSLSFNLSSNWVPPFKLETLLASSCTLGPKFPAWLKYQRGITYLNISNCGISDSFPKWFGNLSSSLTYLDISHNKLNGPLPKSLQSLNVNYDDIWVWDFSFNNLNGSVPPFPKLYALFLSNNMFTGSLSSFCTSSSQNLIHLDLSSNMLVGPLPDCWEKFQSLRVLNLAENNFSGKVPKSLGALGQIESLHLNNNNFSGEIPSLILCQKLKLIDVGDNNLQGSLPMWLGHHLHQLIVLRLRANKFQGSIPTSMCNLSLLQILDLSQNNITGGIPQCFSHIVALSNLKSPRFIFHYESVTVSDDKGWYEIGIDSYYEIGYINDKEILTLKGYSREYETNLGYWTTIDLSCNHLTGEIPQSITKLVALAGLNLSWNNLTGFIPSNIGHMESLESLDLSRNHLSGRMPTSFTNLTFLSYMDLSFNNLEGKIPLSTQLQSFDPSTYTGNNRLCGPPLINLCPDDVISPNKSYDKTVTSEDEDKLITFGFYVSLGLGFIIGFWGVCGTLVLKTSWRHAYFKFFNNVNDWIHVTLAVFVNSLNKKFHVQD >RHN67637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28870308:28871556:1 gene:gene15845 transcript:rna15845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MTSNFSLQNLVIESFVGKTKIISNQNIDNNSQEDMKKGVNFAFSGASALKKIYFARRGIIEPQTDHSLSVQFEWFKKLKPFMCKSKKECTSYFKKSLFIVGEIGGNDVLQYISSKKFTKIRKVVPYLVESITHTTISLIKEGAVELVIPGNFPIGCNAGVLTNLISTKKEDYDELGCLIAYNAFAEYYNEQLKNSIETLRHKYPQAKIIYFDYYNNLKRLYQTPQQYGFISDKEEILKACCGGSGPYHVNLEIFCGTGSSTVCPDPSKYINWDGSHLTEASYKLIAKGLVEGPFANPSLKTPLFNIA >RHN69713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45745444:45751269:-1 gene:gene18221 transcript:rna18221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ataxin-2, nucleotide-binding alpha-beta plait domain-containing protein MAVAENVGTKIDSSSENLDNSVVSSDSTEVVEKSKPKTDQNLNTNSVNTNVVGVINHQQQDSVPNGNHGFIAHQMSQMHGNGVQNQHLVDGYGGNGGESFKREMRDLEELLSKLNPMAEEFVPPSLVTNYHGYLAAGPNAGFGYPNNNFMLQNNFGNANANATANNGQINRRRKNGYNNAKRRVYHKMDMEKREEMIRRTVYVSDIDQQVTEEQLAALFLNCGQVVDCRVCGDPNSILRFAFVEFTDEVGARAALNLSGTMLGYYPLRVLPSKTAIAPVNPTFLPRSEDEREMCTRTIYCTNLDKKLTQADVKHFFESICGEVQRLRLLGDYHHSTRIAFVEFAVAESAIAALSCSGVVLGSLPIRVSPSKTPVRARAVRTPMH >RHN59925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14854820:14855173:1 gene:gene22095 transcript:rna22095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSKPGFLCGECGTMFPSPQALAVHVVEEHHPDYILESSKQGPPPINQPQSENAQPGPQNVEHQPQNALAPPQYVEHPPQNAPTPPQNAPAPHVQPFDLNQPPNVRDFDLNLPYTPDE >RHN38746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1739535:1739906:1 gene:gene44711 transcript:rna44711 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLVLLAFAVVEIIQNVTMHNIVVKHLLFMRISLFVILSLLLVLILYTSLQPHVSGSIVEIVVTMVYSCALSVFLVHVISTLTAIITCIIWSIAIFIVVVLRWKEIVIQVKAIWTAVANGC >RHN42311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37325010:37328974:1 gene:gene48739 transcript:rna48739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-Xb-1 family MENNPLQLLPHLTVTLLMIIIIVLFPLITAEATATVSSIKTDAKALLAFKKLIQNDPNGALSSWNPKTNPCTWDGVTCTLGRVTGLEVSGNNDVSGIISLDPLSSLDMLSVLKFSLNSFSVNSTSLLKLPYSITQLDLFLGKVLGPVPENLFSNCPNLVVVNLSYNNLTGPIPDNFIQNSDKLQSLDLSFNNLTGSISDIKIDCKSLLQLDLSGNNLSDSFPISLSNCTSLKSLNLASNFISGGIPKALGQLNKLQSLDLSHNQITGWIPSELSNVCGSLLELKLSFNNITGSVPFGFNSCTWLQLVDISNNNMTGELPESVIRSLGSLQELRLGNNAISMKFPSSISSLKKLRIVDFSSNKIYGSIPRDLCPGAGSLEELRMPDNLITGEIPAELSKCSQLKTIDFSLNYLNGSIPDELGELENLEQLIAWFNGLEGKIPPKLGQCKNLKDLILNNNHLSGGIPTELFNCSNLEWISLTSNELTGEIPREFGLLTRLAVLQLGNNGFTGEIPSELGNCNSLVWLDLNSNKLTGEIPPRLGRQQGAKSLFGILSGNTLVFVRNVGNSCKGVGGLLEFSGIRSERLSQVASLRSCDFTRLYSGPVLSLFTKYQTLEYLDLSYNQLRGKIPDEFGDMVALQVLELSHNQLSGEIPSTLGQLKNIGVFDASHNRLQGTIPDSFSNLSFLVQIDLSYNELTGQIPSRGQLSTLPATQYANNPGLCGVPLPECKNDNSQSTSNPSDDFGRGGHRRSVASWANNIVMGILISVASVCILIVWAIAMRVRRREAKEVKMLNRLQACHAATTWKIDKEKEPLSINVATFQRQLRKLKFSQLIEATNGFSAESLIGCGGFGEVFKATLKDGTCVAIKKLIRLSCQGDREFMAEMETLGKIKHRNLVPLLGYCKVGEERLLVYEYMEYGSLEEMLHGRIKTRDRRILTWEERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDNEMESRVSDFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSFGVVMLELLSGKRPTDKEDFGDTNLVGWAKIKVREGKQMEVIDTDLLLESQGGTNEAEVKEVKEMLRYLEVTLRCVDDLPSRRPSMLQVVALLRELIPGSTDGSSSSA >RHN45070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11347928:11352893:1 gene:gene39269 transcript:rna39269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MTVFSTKQIFPLNYETEVSQRLLEASHSGDLSLAFHCISDPSVDVNFTGAVSLKSRNTELVVNCESSSRVCVEFQEFVTDVTPLFLAVHAGNASLVRKLLSVGADVNQKLFRGFATTAAVREGHLDILETLINAGASQLACEEALLEASYHGQAGCGELLMSSDFIRPHIAVHALVAACCRGFVDVVETLIKCGVDASATDRVLLQSLKPSLHTNVDCNALVAAVVHRQVHVVSLLLQNVATTDFEVRLGAWSWDNATGEELRVGAGLGEPYGITWCAVEYFEKSGAILRLLLQHVSNNCHCGRTILHHAILCGNVEAVRILLECGANVESLVKTTSKTEFLPVHMASRLGLPAITQCLIDFGCDLNSRTDCGDTALMICAKYKQEECLKVLTRAGADFCLVNSAGQSASSIAESYKWSHGFQQAVVDVIRNGKIPKSSNTSTFSPLIFVSKAGDAEALKTVIESGEFDLDYQDDSGFSAAMHTAVKGHVESFRLLVYAGADVKLCNKSGETAITLSELNQNCNLFEKVMLEFTLEKGNQNTGGFYALHCAARRGDLDAVTLLTSKGFDVNVPDGEDYTPLMLAAREGHASLCKLLISYGAHCNAKNARGETALLLARKFAGGKNDAEGVILDELARKLVLGGAYVQKHTKCGKGNPHVKQLRMLRSSGVLCWGQSSRRNVLCREALLGPSSTLRRNRHNTGDAEEPGMFRVLTNKNREVHFVCEGGSEAAKLWVRGIKLVTRGV >RHN48032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44404563:44405406:1 gene:gene42701 transcript:rna42701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GH3 family protein MFPPRPNVNKILWFLYADKITTTTKRGIKVMAASSYSLQSGKVTPRQLALFSSPLEVIIGSNVEQQMYCHLLCGLRNLDLCVDLGCGFPSHEISDEEMREAVINTIGGPQHELSNRIRLVCEGKNWGGIVHRLFPNVRFIKCVTTGSMKQYYQKLKFYAGDVPIVGGDYFASECCVGLNLDITQSPETTWFVLLPTFAYFEILPFEMNDQNDEDVVGEQTVDLCSVEVGKMYEVVVTTYRGFYRYKLGESFWSP >RHN53776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4083275:4086804:1 gene:gene28721 transcript:rna28721 gene_biotype:protein_coding transcript_biotype:protein_coding MNYPSVSNKQFLSILSHKFIGNYTSHQYSPLLSNIHLPLPNSLSIASLRRLIFHYRRHISSLLLAHHRRQLPVFSLISYFLPVYHNFLTHRFSATQSGGGRSWL >RHN47398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39434254:39434884:-1 gene:gene41991 transcript:rna41991 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHKPVDNNTGTASQFPVHDIAPEHNHPVSKQDTQIFSHRESETSSYFQFLLTYTKNGRSRWIYHHNESRS >RHN67185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24703632:24706641:1 gene:gene15342 transcript:rna15342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain-containing protein MCHQPACSFTTHQYYQNLSKYIIMSIIMSSKLPFYFLSVSVIVALLLATTEPVTSQKTTSFDFQKFTSGQSDLIMQGSTEIFSNGIMALTNPSKPNIGRVLYSNPVPIWDSTTGHVASFVASFSFTVEDIQDYNKADGVIFFLAPQDTVIPPNSGGSNLGVVDAQNAFNQFVGVEFDSYANQYDPKYPHIGIDVNSVISSRTTPWNRVSGSLVKVSIIYDSLSNTLSVAATDNNGQISTVAHAVDLKAVLPQNVRVGLSATVTSGGRQLQNIHSWHESPQFWGSYFRIKPIGGPYDFGRLVFYIFFILTVITVLYFLQGIRALDFEKCSPCRQCSGEHCSIQRHCSSINTVHACTLFTTGKKTPNCSLLFFELLGSKNEGDEQT >RHN69640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45164096:45166936:-1 gene:gene18134 transcript:rna18134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MISPAQSPMHHHQAQPPYSPLISKPSSSGVDFSPPLIAMVVVVAAAFVLVTYSRLITRHLSPPIHRLIQRFHRPPPSSSSLGDIESLQYDSTSFETPLTYGLDESIIKTIPFFIYTTKYEQESRRDCAVCLLEFEDHDYVRTLPLCSHTFHLDCIDAWLRSHANCPLCRSLLLCESPFRPLMAARIRPSFHDQTNALHLDTPAPEITPHSPVNGEENRFTRREDFLLKRSYSFGFERSLPSERMVIDPATTSPWRYRRGSNSFWSKRPSPFGSLGKSRVFSFRYYRGMKSPFFRRRGFFPLSESSVRYAGDGGSSWRRSKSIASPMFSRSSAAVFSSSRLRCGDPEALLSPERFNRRR >RHN60884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31371649:31372762:-1 gene:gene23277 transcript:rna23277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MARSETLATTMPLKAIMFMALIFLTFTFTTSSRILSELETPEEPITDSAESPVSSILPPFVAPTTAPTSATTTSTDIPDQHHTLSFFMHDILGGSNPTARAVTGVVTNPALNAQVAFAKPNGANLPLNNGVPQNNNNNGILNNNNLPFLTGLSGNTGNVFNNNNNGNNFPVTNMNQIPQGTTVQELMFGTMTVFDDELTEGEELGSGLVGKAQGFYIASSTEGTSQVMAFTAKFEENGYEDSLSFFGVHRTTQVSQSQLAIIGGTGKYVNANGFAIIKTFPVNGQQHNTDGLETLLHLTAYLSY >RHN63644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52894402:52896918:1 gene:gene26369 transcript:rna26369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldose 1-epimerase MAKVSLLFCVLLGLLVHTHAHSHKSEKSEKIGFYELKKGSMRVNLTNYGASIVAVYVPDKHGKVADVVLGYDSIEQYETDGVYFGGLIGRVANRIGGAQFTLDGKTYKLPANDHGNTLHGGQKGFGDNVWKVKIHKDDSHVTFTYESFDGEQGFPGKLDVSVTYMLLEKNILGVKMTAKPVNKPTPVNLAQHAYWNLGGHNSGDILNHSVQIFGSSITPVDDKLIPTGKLESVKNTPYDFLKPKQVGSQINDLPGLYDINYAIDVNGQNHLTKVCIVKEPVSGRKMELWSNQVGLQYYTSGMLTDTKGKDGATYHKYGGIALETQGFPDSVNKPNFPSQIVKPGETYKHIMVYRFMAS >RHN46254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30031707:30034403:-1 gene:gene40720 transcript:rna40720 gene_biotype:protein_coding transcript_biotype:protein_coding MTYHIAYNIKQYDKGVTLQILPLYGKHKVLHFNRTDAYLANNGLVSHLIYRNSGVVSITRLLNSLLKLRI >RHN72528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8434335:8434856:-1 gene:gene8254 transcript:rna8254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative petal formation-expressed MEINEGNNGWNLELENEMKEVIEVVKRKDIEDYERLGNIALKINKSLAILGPLLTGIAAIGSSFVGDEMLVNFVPAFSGALATVVNTFEHGGQVGMVFEMYRNCGGFFELLEETVEEIIEEKDLNKRENDEVFEMKIALQLGRSVMQMRELASKSDVYRMEGREIDEFANKLF >RHN41962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34367976:34370243:1 gene:gene48342 transcript:rna48342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 16S rRNA (cytosine(967)-C(5))-methyltransferase MEHGAATAALPDAFLNFLESNGIDPSIYTSIDSTPRYIRLKPGFEDYIEEFESEVKCKPQKLDWLPGFYTLPPNIQIASTKAYQEGKIYGIDASSGAAVMALGIEPGDHVLDLCAAPGAKLCMMLDLLGDSGSVTGVDAARHRLAACRTMLQKYKLGDRCRLFVADGTTFSVIPLGFCSDSCESRLEERMDVFKEWTSRRPWKERKRTKKCGTPQVVSKSHPPELIYYGGHSGVIGLTKGELYKTASKNEIASYGYDKVLVDAECTHDGSIKHIQKFEQWGWITLQRRVLDAERTDDLHALQAMTCYFDL >RHN82242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52237373:52248971:1 gene:gene6387 transcript:rna6387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kelch-type beta propeller MGKKTKKVGKGKEKTEKKTAKADMKRARREEKKLSPEDDIDAILLNIQKEEAKKKEVHVEDNVPAPSPRSNCSLTVNPLKETELVLYGGEFYNGNKTFVYGDFYRYDVEKLEWKLISSPNSPPPRSAHQAVAWKNYVYIFGGEFTSPNQERFHHYKDFWMLDLKTNQWEQLNLKGCPGPRSGHRMVLYKHKIILFGGFYDTLREVRYYNDLFVFDLDQFKWQEVKPKPGAMWPTGRSGFQLFVYQDDIFLYGGYSKEVSSDKNASEKGIVHSDMWSLDPKTWEWNKVKKSGMPPGPRAGFSMCVHKRRALLFGGVVDIEVEGDAMMSLFLNELYGFQLDTSRWYPLELRKDKSTKDKLRKTEQNCTHDFDKKAEPLCTARDEAEDMEYEESSINDISQNIASKITIADNETLTKPEGKSKESGAKFDIQNSLPEAVKPCGRINSCMAVGRDTLYIYGGMMEIKDREITLDDLYSLNLSKLDEWKCIIPASESEWVEVSEDDDDDEENEDDDDDDESNSDGTSEENEDDDDDEEEEVLNASDQVGDAVALIKGGVKNLRRKERRSRIEQIRASLGLSDSQRTPLAGESLRDFYKRTNLYWQMAAHEHTQHTGKELRKDGFDLAETRYRELKPILDELALLEAEQKAEEAEGPETSMKKRGKKKTRN >RHN81892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49609487:49610159:-1 gene:gene5988 transcript:rna5988 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLFQWGEALGLFYSHEWMGYMGFDNINFSLDSKTDTDAFNGHRVNIIEFAQVLSTCRRLFNTKFTNSKVEFTRRQVNEEAHHLAGVAALLASPIIYTNVLRYIEQIIGNEMI >RHN64845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62436025:62437475:1 gene:gene27711 transcript:rna27711 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIGRSFSVLCLTPSLSARRRFCTALAKKKDDVQDNNESQQQSFLPLKVSKSNLARAAIGVFGLGFIDAGYSGDWSRIGVITQQNEELLKLAAFLVVPICVFFIFFLPNESN >RHN80176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35862979:35863509:1 gene:gene4068 transcript:rna4068 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLIFAWKYMSHHNPYEGPSVTEWRVQRWESSSQHVVIHPIFGSFHGNPDIQMVWESKVDISIPATNDKSKEIHFNRFNFLIDLGTLARVVYSAQGGEIVVAFLYGGIHIFSGLTFTHVANYQINVGSSIAILVFSATSYCSASAWHDTNKGDPMLKIIWVLPPTFPIGQEKATS >RHN75604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43663805:43668737:1 gene:gene11834 transcript:rna11834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amylase MRMTINTFEVHERYFQRNNKAIRVDRARKPNSRTKQAFKFDNIHRASVNKQRSVSLAEFKTSAIAEPAEAPIVPPTYEDPMLANYVPIYVMLPLGVITNDNVLEDRAKLEKQLTELRAAGVDGVMVDVWWGIVESKGPQQYDWSAYRSLFQLVQDCKLKLQAIMSFHQCGGNIGDSVSIPLPKWVLEVGESNPDIFYTNSSGFMNKECISLGVDNKPFFNGRTPIQMYSDYMKSFRENMADFLESELLIDIEVGLGPAGELRYPSYAESLGWVFPGIGEFNCYDKYLQADFKDAAKRAGHPEWELPDNAGSSNDTPESTEFFRSKGTYQTEKGKFFLTWYSNKLLTHGDEILDEANKVFLGCKVKLAAKIAGIHWWYKTESHAAELTSGYYNLSDRDGYRPVARMFARHNAILNFTCLEMRNSEQPEEAKSCAQELVQQVLSDGWRENLEVAGENALPRYDSEGYNQILLNARPNGVNKKGPPKLRMYGVTYLRLTEELFQKQNFDIFKIFVKKMHANQDLCPDPEKYYHYTVPMERSKPKIPLEVLLEATKPVKPYPWSEVTDMSVSEATGFFFDLLAIILSVFRKNRN >RHN38681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1277225:1280580:1 gene:gene44641 transcript:rna44641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative equilibrative nucleoside transporter MRAFTICYQPFALITTLILAHYESRINTSLRNLYGYALFFVLSFLVIVLDLATSGRGGIGTFSGLCTFFACFGIAHALVQGGVSGELSSMCPEFIQAFIGGITASGVVACGLRLLTKYYFEKYGNGLRKGALLSLGISTVFELLSIIMYAIYFPKLSIVKYYRLKATLKGPKTITDALIATDTQNIETDQEVGVVANQQECLSHKELFLQNIDYVFDVVMIYVLTLSIMPGFLYEDTGQHKLGTWYPLVLMTMYNVMDLIASYIPLIKFLKLESRKGLLVATLSRFLLIPAFYFTAKYGDQGWMILLVSYLGLTNGYLTVCVYTVVPKGYKGPEKNALGNLLALSLLSGICVGAALSYLWQIGK >RHN78104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11912136:11919101:-1 gene:gene1621 transcript:rna1621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain, prokaryotic type, K domain-like, alpha/beta protein MKSLRIFKNTLYSTPSPKITTTVTTVFRAFFSAEPLTPEPDPQPEHSDATFDSSHYELPILNNNDEKPKVTWNKKYRDRTDKLVFGDDMLCYVMLWLEVGTKVAAVSRKTNTTTHEVVGVLTKGDTQICFFDTPGLVLNCSGFPYKDAKARVESAWSSVNLYEVLIVIFDVHRHITRPDSRVVKLIKRMGERSIPNQKRVLCMNKIDLVEKKKDLTKVAEEFEDLPGFERRFMISGMKGNGVKDLTQFLMEQAVGRPWEEDPFTMTEEVMKMISLEVVCERLLDHVHQEIPYDIEHSLIGWKELRDGSLRIEQHFLTNKLGQLKILVGKRGSKIGRIGIEANEELRTIFKKQVHLVLQVKLK >RHN57146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36827020:36827796:-1 gene:gene32648 transcript:rna32648 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFKLPNPYYHICCGFSHGWLATMDKSYAITILHPSKNVAPISLPTLGRSYTRLSEVGYQVTLSADPITRPNDYMVAAIHTSIHGRFAFIKAGEPCWTYVCSSSWLHSFMDVMFYRGLVYVGSKYQGIVSFNLGHKRITPNPIQDIAFHGRFKGYLVKSLEGDLWMVKRFFTFKNDFQVYKLEFDAQTRKFKQIKKLESLGDNVLFLGDTDSISVSASYFSGCLKKDSIYYTESINANKLDCVTCGPFHIGIYENNH >RHN43237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44216855:44218482:1 gene:gene49781 transcript:rna49781 gene_biotype:protein_coding transcript_biotype:protein_coding MASATEERICLFLCFFLFLHLSTSTKNNPIPSPWPEQFHSVLFINRSGNLQKTDLWYDWPKGRNFNIIQYQLGVLKYDLEWNNGTSFLYTLDPFNHTCKRLHFDVGILRPNWLDGANYLGQQYVDNFLCNVWEKVDFIWYYEDVVTKRPVKWIFYSGMIAHVMTFEVGAVLDDEHWQAPVYCFSEAEPEPKIHNRRSSSLLDLEAAVDGGFRGTLMSEMS >RHN61771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38233416:38239528:-1 gene:gene24266 transcript:rna24266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MDNFEDLTEPVSPSGQYFNTPPLCSYVFGFLELEIPIDDSQAMYLIQHLFLPINPRFSSIIVRDKDGNMKWKKVEVNPKDHFKVPVFPKNESIEFYDQDFDDYVSKILIERTPKDKPLWEIHVIKYPTSNAAYTIIFKLHHALGDGYSLMGALLSCLHRADDPSLPLSFPNRKSSQLLTPKRGFFKWFPSTIFSLFNSISDFGWSILKSSIIEDDKSPIWNGEEGSEFLPCALSNLSFSLDEIITIKSKLGVTINDVITGVIFYGIRLYMQEIDNKATTSNSTGLVLLSTRNIGSYQSIQDMTKADSKSPWGNHISFLHIPIPKLSQPSLSNPLDFVWKAQKIIKRKRSTFTVFLTEWLLDMQLKLRGHEAVAKHLYGTLRNSSVVMSNLIGPVEPMALANHPVKGLYFIMSGAPESIDIAVMSYARILRITLKTQKDLIDEQKFKLCMARAFEIISKAASMEIPNKKKIK >RHN74870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37330209:37331852:-1 gene:gene10999 transcript:rna10999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MHLYIILLGNYFLFLYYSDLSRNYLNGTIPKQWGSMMNIIKIAVPGNRLTGSIPVEIANLSTLQTFELSENQMFGNIPPELGNLTQIQRLRFSSNNFTGELPATLAKLTTLEDFWIGDNQFSGKIPDYIQNWTSINKLVIQGSGLSGPIPSGISLLTKLTDLVLRNCNINGMLPENLGNTSTFEYLDLSFNKLSGMIPRTYADINFTYMYEILRKF >RHN60330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25301704:25302373:-1 gene:gene22629 transcript:rna22629 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGPLISHLDKNDNIGYMLMSNRVMHIQFKPLSKPVGIHAMRT >RHN40791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21198086:21200675:-1 gene:gene47001 transcript:rna47001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNVHVRLREKGGGQKWPCCTSLSSSMGSALFFLGEYANMILMRCGALHLTFVGLPSSGDCVPALSGLLLRRSLRHTF >RHN81646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47778260:47778723:-1 gene:gene5717 transcript:rna5717 gene_biotype:protein_coding transcript_biotype:protein_coding MNDNIYTRLKYIAQELMIAPFNLLQQSFINGPKKSSRYVR >RHN69423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43302930:43303474:1 gene:gene17893 transcript:rna17893 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYIYSIYASFVSCLWLFTYTYGSLVQITFLIRDNNGAPQVSRILLITIQTKEWGHITKFEQE >RHN40707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19844585:19844875:1 gene:gene46901 transcript:rna46901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ZF-HD family MGIHISMEDLEENVRVRHIEPINGDGAGESTSKSNKRFWTKFTHEQRKKMLDFAMTLGWKIKKNDENVEEFCNEIAVKRCVFKVWMYNNKHTHGKK >RHN55915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25388085:25388865:1 gene:gene31200 transcript:rna31200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MAELLHSPSKLGRLREEIQQIDEKFGEIEESDSSKFPYLRAVVKETLRLHPPVPFLVPHKSKDDGELDGFMVPKDAQILVNVWSIGRDSRIWTNPNLFEPERFLQSETNFKGRDFELIPFGAGRRICPGLASRSIHYILASLLYHFDFKLAHELKPDDMDMSHMFGVTLHKAQPLRVVPIKA >RHN53420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1562986:1563594:1 gene:gene28323 transcript:rna28323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, ARF/SAR type, P-loop containing nucleoside triphosphate hydrolase MTLFSEYTKKSVGNPTILVPHIEFCFEVKFSHECQYFLILLYKGAMTITEVCEGLGLFDLRNRKWHIQGTCALKGDGLFEGLDWLASTLKEKKAAE >RHN45493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21407226:21410446:1 gene:gene39840 transcript:rna39840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal adenine nucleotide carrier 1 MNVDLESLAEATSGAIGSLLSTTILYPLDTCKTKYQAEARSKGNRKYKNLSDVLWEAISNRQILSLYQGLGTKNLQSFFSQFVYFYGYSYFKRLYLEKSGYKSIGTRANLLIAAAAGAVTAITTQPLDTASSRMQTSPFGKAKGLLRTLTEGTWSDAFDGLSISLMLTSNPAIQYTVFDQLKNRVLTNNQTIAEKGVSPASLSAFMAFILGAVSKSIATCLTYPAIRCKVIIQAEDTDEESSKKLIKSPKTVSSVVIGI >RHN54050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6527983:6533092:1 gene:gene29029 transcript:rna29029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MVEDMCFLYKDILVIKPPKKSPMLLRTAVFMFSMVSVIFIFYVCRKQIGTEVRTKFMDLNVIDNLTRSIVKQTHIPDILHYPEPLSFNRNECAPNPVMFFAILSNQRSGSGWFETLLNSHINVSSNGEIFSVRERRQNASSILQTLDRVYNLDWLSSASKNECSAAIGFKWMLNQGLMEHHNEIEEYFKRRSVSVIFLFRRNLLRRMVSMLANSYDRYAKLLNGTHKSHVHSAEEADILSKYKPVINSTSLLVDLKDMETKAEKALKYFNSSRHMILYYEDLMRNHTKLNDVQEFLGLPQMELTSRQVKIHKGPLSDHIQNWDDVNKTLTGTVYESFLEADY >RHN51372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16852310:16856126:1 gene:gene35800 transcript:rna35800 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRVVGPTPSGVKEPEPNLESCPKPSGVAHPEPNREVCETPSGVKDPEPSLEPCPVPSGVKDPDPIRDIHFRVEKCSKPKIFGASPLRNSSDDRLSGKGVEVPEVEITDPGDTS >RHN67899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31269455:31271099:1 gene:gene16144 transcript:rna16144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MSHCKAEGNVILLDFWPSSYGMRVKIALEEKGVSYECRQEDFQDKSSLLLEMNPVYKMIPVLVHNGKPICESLNIVEYIDEAWNQKPSLLPSDPYKRSQAKFWGDYIDKHVYNIGKKVWTGKGEEQEEGKKKFIECLKTLEDELGDKPYFGGDEFGYVDVALIPFTGWFYTYETYGKLSIEKECPKLVAWAKRCMKKESVAKSLPHPHKIYGFAMQYKQKHGLE >RHN49946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2531034:2531983:-1 gene:gene34183 transcript:rna34183 gene_biotype:protein_coding transcript_biotype:protein_coding MIDNLSHEEVLLDTGRIQPLVKDWDETEIIEEETTNKLEPRRRYKPAWTYDFIMTILKRRITF >RHN67527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27981329:27982062:1 gene:gene15723 transcript:rna15723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MKGEPSFHLFGFIMCISATAARALKTVLQGVLLSSEGEQLNSMNLLMYMAPVAVAFLLPASIIMEEDVLGITIQLAREDSTIVWLLIFNSTLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFRNPVSVTGMLGYSLTVIGVILYGEAKKRSKRDT >RHN76915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2346107:2347948:-1 gene:gene307 transcript:rna307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MSQSYNIEVLLRCMLKKLHEQKVEFLPKDIYEMDRGELIFELRKYLQNKRYVFVFDNVWNTSFWDEIEYAMSDHKNGCCPKELIDISFEIARKCKGLPLAIVTIGGLLSTKEKNAFEWQRFSENMTLELKNDSHLTGIKKILGFSYEDYEVKSKRVIRQWIAEGFVKEESGKTLEEVAEGYLSELIRRSLVQVSSVSIDGKSKSCCVHDLIRIMFLEKCEDLSFFKHFNEVNHSSLSGTIRRLSIATYSSYLRACIENSHIRSVFFFTNKSKYVEISIMSRILKKHRTLKDVIGGMESLQTLTGMKIGKGGIELIKELGKLRQLRKFSLFDVRLEHSIALSSSLNEMRHSEKLCIMSRSGDDGVFDVIDLHLVSLPPMLRNLKLCGKLENFPEISQLQNLVKFDLADSFLTDDPIKYLENMPNLLSLSIIYNAYEGKSLHFHDGGFQNLKELYTLDTCPT >RHN77702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8822965:8827755:-1 gene:gene1184 transcript:rna1184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEDMVNEEEEPLINIRNPKGGFRTLPFIIANQAFEKMASFGILPNMILYLTRHYEMEAAAATNVILLWSAATSFTPIVGAFLADSYFGRYLTIVFGSLLSSLGMLLLWLTATIPNLSPCDPLNMSCNSPTISQLAFLYSSLCLISIGAGGVRASSLAFGIDQLDKEKDAGIIEGYFNWSYALTAAAVLIGTTILAYIQENFGWIIGFGVPVVFMFISMVSLFLASSLYVKVEPKGNVISECARVVVASYRNRNHNLPSSNVSNDGLYYRDNDSEMLMPSDKFRFLNKACLIKNPQQDLTQDGRLKNQWSLCTIDQVEAFKAIIKIIPIWTTGMIMSINFSQGTFSVLEASTMNRNIFSNFEIPAASMSTFMILFTVLWIVLYDCVIIPSASKLRRSTTRLRVKQKMGIGLIGICLSTSSLAIVEGKRRKLAIDEGFQDFPQGVVNMSVMWLLPRQILDGFAEAFNGVGQNEFYICELPQSMSSVASTLSGLGMSIGNVMASLILSVVDDVTKGEGKESWVSSNINKGHYDYYYWLLFGLMLANFLAFLCFSKAYGPCKGEENESQVEEN >RHN53460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1778547:1781230:-1 gene:gene28369 transcript:rna28369 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRSSSGGFGQQAYRPPRGSGGPPQWGQRGSHYGQPPSYDYQHRGPYPSHNQSYAPPPYGNYPQHMAPRSSYGSGWEQRPHQSFQGPPSHNGGYDYYGGQSGHSSEAPSSAQHPSSVPQHGTGPSPLPSMGPSPAQMNYNYGQPQGQDYGHQTPYQQAGHPQQGYGQGYDESKYENRGPAQYPYGGHSNPQPTYPQASAQANYAPPQQYGKPPLYGVPPSQGQHPQSYGHPRATQPGEIPYQGSTPAQSYGTVQQPYPYASSGPSQAAYPTYGSAPAADGYSHPQSAPGQVYAQPGGQPSYGQPGAQAVASYAQVGPTGYGSYPSSQQTYPEQPAPNSAGYGYQAPQDPAYSSGAAQTYSAAPTVQPGYVQPTPTQTGYDQSNPQPAGYAAVPPAAGAPAAYGKTVSPQPAAYAQYDSTQVYGAPR >RHN69253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42124309:42126207:1 gene:gene17696 transcript:rna17696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, galactose oxidase, beta-propeller MCFTNLVNQDKTTHTRSKSNHCLTIEALDKDDSPILPGLPDDVAKYCLALVPRSNFPAMGGVSKKWRLFIRSKEFVMVRKLAGLLEEWLYCLTLDSEGRESHWEVMDSLGRKCRSLPPMPGPAKASFGVVVLNGKLLIMAGYSAIEGTVVASDEVYQYDSYLNSWSRLSNMNVARYDFACAEVDGLVYIVGGYGVNGDNLSSVEMYDPDTDKWTLIESLRRPRWGCFACGFEDKLYVMGGRSSFTIGNSKFVDIYNPEKHSWCEIKNGCVMVTAHAVLEKKLFCIEWKNQRKLAIFSPENNSWTMVPVPLTGSSSVGFRFGILDGKLLLFPVEKEPTNQTLSYDPNAASGSEWQTSDIRPSGLCLCSVTMKA >RHN71372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58577759:58580478:1 gene:gene20049 transcript:rna20049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endo-1,4-beta-xylanase MMMQPISFHTTIHIFFFLLLLLVILMMGVSSFYDGPLYDFTAYTQCKEHPEKPLYGGGVFNIKDKGNAVNNTNINMPSSLVLYNLTYDTIYSFSVWVKVEYSDSVMIKAKLETENETYNCIGTVLAKRGCWSFLKGGFLLNSPSNSSTIFFHNSDGKDVDIDIASQSLQPFTQHQWRINQQYIINTKRKRAVTVHVSDPNGRKLQGASVFVEQISKDFPIGSAIAKTILGNIPYQNWFLKRFNAAVFENELKWYATEPHEGSVNYTISDQMMQFVRANKIIARGHNIFWEDPKYNPAWVLNLTGTQLRSAVNSRIQSLMNQYKTEFIHWDISNEMLHFDFYEQRLGPNATFHFFEAAHESDPLATLFMNDFNVVETCSDVNSSVDAYISRIRELRQYGVFMDGIGLEGHFTIPNLPLIRAILDKLATLDLPVWLTEIDISNTLDHDTQAIYLEQVLREGFSHPSVNGIMLWTALHPYGCYQMCLTDNDFNNLPSGDVVDKLLQEWQTSSVQGITDEHGSHSFYGFLGEYRIRVEYRNKTINSTFSLCRGEETKHVPVTL >RHN49144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52783725:52785669:1 gene:gene43942 transcript:rna43942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative G-patch domain-containing protein MDYRWTKGSSSSSKHDSSGSRRNQFKKDQAYDDDDFRLPRNQRPTENVDLDNVEQASLDTHITSSNIGFKLLQKMGWKGKGLGKNEQGIVEPIKSGIRDPRLGVGKQEEDDFFTAEENVQRKKLDIELEETEENVRKREV >RHN40318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15276932:15280452:-1 gene:gene46461 transcript:rna46461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosome recycling factor MMAGYIVRRAFGCRNLFRLPTCALHVCENSPVKFCMTDDTTRAVISPPMPNFIVESRRAFAKGRKSKDEGGVSTAEVPPNVGPNIKASASSQMEAAMTALSVELSKLRTGRASAGMLDHIIVETGGVKMPLSRIALVSVLDPKTLSVNPYDPETLKQLENAIVSSPLGLNPRTDGERLIAVIPPLTKEHMQAMNKLVTKSCEDTRQSIRRARQKAMDAIKKLNSILPKDDLKRLEKEVDDLTKKFIKTAEDVCKAKEKEISQG >RHN74424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33116690:33118706:-1 gene:gene10490 transcript:rna10490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine synthase MFLSKLLAEPLAVAFIAAYKLTLTMPASMSLERRILLHTFVAEVSCISRILLGFNGSHQKADVYIIINCFLIVSSLYSSYYQTRINNIKAFIISILFFYLKSINFKTFLSIHQETTGPEIWRDSAGKVDALVAGNRNNNRCREIPQGEKPWNQGKRPENTGKLIAVCRFTSFKNNMGMVVFPGFRARYLSSQMFESYKHEADISLQGTATSLPLG >RHN82483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54164064:54166055:-1 gene:gene6652 transcript:rna6652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MLFSYAFSTSHMNPFRICHRLFNCASSIHLKNLSIVSLPKSDPLDVNVVDAESTRVSNLQNILQLCAKSRSSIAGRACHAQFILVGFETDILTSNMLINMYSKCSLVHDARIVFDEMPVKSVVSWNTMIGALTRIAKEQEALMLFIQMLREGTLFNEFTISSVLCECAFKCAILECMQLHAFSIKVSVDSNCFVGTALLHVYAKCSSIKDASKMFESMPETNAVTWSSILAGYVQNGLHEAALLLFRDYQLMGFEQDAFLISSAVCACAGLATLIEGKQVHAISCKSGFGSNIYVTSSLIDMYAKCGCIREAYIVFQGEELRSIVLWNAMISGFGRHACALEAMILFEKMQQRGLFPDDVTYVSVLNACSHMGLHEQGHKYFDLMVREHNLRPSVLHYSCMVDILGRAGLVHKAYDLIERMPFSATSSIWGSLLASCRIHGNIEFAEIAAKHLFEMEPDNAGNHVLLANIYAANKKWEEVAKTRKLLRDSELKKDRGTSWIEIKNKIHSFTVGERNHPLINEIYAKLDSLVEELEKLNYKVDTNNDLHDVEESKKQMLLRHHSEKLAITFGLMCLPSNIPIRIMKNLRICGDCHNFMKFVCKSTSREIIIRDTNRFHHFKDGLCSCGDFW >RHN38462.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000011.1:7076:9894:-1 gene:gene50694 transcript:rna50694 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYSYFRLEMKDSLYPELLNQTRTLLILTSRYSNPLFLNRTRRQCSNHFKVLVLEEWQKIAKTREQKGHWHRG >RHN46170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29356548:29357366:-1 gene:gene40614 transcript:rna40614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MELFLLFHLQKGYQIHYNINTLVSNNSNNGNSSSHVFGIELDTDQNFEFDEINDNHIGIDINDLKSANSTPAGYYDDYGFRNLSLSSGYAGMDIMMVIYYIKRKMFAEILEDWEHEYGPHRFKFKDLYFATKGFMEKGLLEVGGFGRVLILHILYFVQVLSIE >RHN56229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29056395:29056712:1 gene:gene31597 transcript:rna31597 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKIFLGPFRVVLVGSCCFLAWLLFTPVPDAFSLSPVVACLRSRLCFYFRPASLPVFCCWVSSSFWSVFGLLSVSWRGGLEAWSLSLLMICVYFLCGCFFGILW >RHN66877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20719011:20720575:-1 gene:gene14990 transcript:rna14990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MAQNFDMISLLPDTLLFIIISLIPFKEAVRTSILSKRWLHLWKNTTNIEFNEHFFVGSEFQRWDFLHFITLCIENYQENSIVEKLSLTLADPGHVTSSEIVERCVDFAIQQGVIDLDLDFSSPYWTEEDIEEPEALFELRTKVYENKTLKSLKLFSCNFPENELMKFHALKEVSLGWMELKNNAIETLLSNCKMIEILNIKKCWTWNRIDCVGVDELRLKKLIVDSCFFMEGGFLIDAPNLTYFKFHGNIMYFDIDYSSHLEEVDLYFGLEYENPKEDVFIYSTIRNFKYVKVLTICGFILKEMERISTLFELRHLKMNTRHLKMMTNLQSDECLGVRFFLNSCTMLELLTFDLGSGRLIHVSRYIINKI >RHN57280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37802681:37804728:-1 gene:gene32803 transcript:rna32803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MNSITDPHFLFSHQNPKTQENKIVFFFQRLFPMGTLSLSLHNLNLFFLYNPNSTPQNSNFSHQNPNFLRQNPSSSISFKNSILNCSKSTQIPALSPPIYIKRATKIQAQRALFEYLHYTQNYTFSDAEFISKNSPHFIDFLISKINIPDDGDVFRALSRYLMYHPINEFEPFLESLGINHTKLEKFLPKGCYFLCDDSVLVDNFHVLCYHGVPRNRMAKIYTEAREIFGYGNGVLEKKFQAYEDLGLSKSSLIKLFVCCPLLLVGDVDSEFVVVLDWLKRIGIESKWFVNCMSSSNTYSWKRMIETLEFFHQVGYSEKHMYDLFKVDPNLLLEGLGRKLYLFLGRFIKSGVDVNVVCSCFIEHSDMLSSKRVENLMSVISFLYNIRMEQDDIAHVLSNYMHILSKHSIKGYRAVCMELGVPKADLCRIINDDPLELISLACKQKHKRSGGQSYCVPLSKLEKTAFLLKLGYIENSEEMEEAVKLFPGRGDQLQERLDCLVEAGLDCSTAIRMVKRVPKILVLKRNVIQKKIDFLKNTLGYPIECLVRYPTYFLQDVDRMSARVSMYEWLKERNAVSHALSLSTIVSYDEKRFVQVFVNMHPEGPTIWQRIKTLSNKDKNYQLLT >RHN80006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34274486:34275826:-1 gene:gene3878 transcript:rna3878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MALFSISTTLIFFFFFSLSLSQDQPLLDPTEQKALYNVLNSLNPTIPWQTLFPDDLCLSAPHGVVCDYDQNQPHIVELNFGYVSDETPTPPCSSNATLNPTLFTSFSYLRKLFFYKCFNNTQNIINLSLPSFPSSLQELVFIQNPSIVSPLQPFLTNLTSLRRLVLIGNGFHGELPSQIGDFIDMEELTLSKNNLSGAVPVSLGKLKKLKILDLSQNEFKGCVPEHVGNLTSLLKLDLSYNGFSCKIPESFSNLKKMEFLDLSFNLYGNFGVPLFLGEIPGLKEVYLSGNLLSGKIPEIWKNLGAVEKIGFSKMGLVGKIPVSMGVYLKNLSYLGLDNNKLDGSVPKEFGLLEFANEINLENNNLSGRITFSTRVGQKLKLAGNVGLCLGNNNSSCSENGGSLGQLNPCKITDVVSDSEVLFNGASLMLFDPIKLVLVMLFVFMGF >RHN64548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59957856:59958742:1 gene:gene27373 transcript:rna27373 gene_biotype:protein_coding transcript_biotype:protein_coding MCDFCKEGHVHSECDAEGEAKEVNYIGNYQRGNLFSNTSNDARKEHSNRKWKNNFALNPTQGPPQNP >RHN65395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1753279:1754294:-1 gene:gene13228 transcript:rna13228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MAIKHQGNNSLLKHCCSSRRVITLIQKVTAEVIGTYFLVFAGCGAVAVNKIHGSITFPGICITWGLIVTVMCYSVGHISGGLFNPAVTITWAIFRRITIKEAPLYILAQLLGSTLASVTLSLMFDITPESYFGTVPVGSNCQSLVLEIIISFLLMFVISAVTTDDRAVDDSASIAVGMTLTLNLFIAGPVSGASMNPARSIGPAIVIHIYKGLWIYIVGPIIGAIAGALAYNFLRSAYKPTSETIADKPTSELTTDKPTSENIV >RHN63581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52398362:52399952:1 gene:gene26299 transcript:rna26299 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRAGRELLGAESHGIGESCAQLKGILLVVKFPLFEGSSVAAQSAPA >RHN49370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54332024:54334995:-1 gene:gene44192 transcript:rna44192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzyl alcohol O-benzoyltransferase MTSSPLLFTVRRCQPELVPPAAPTPCEVKLLSDIDDQEGLRFNIPMIFIYHHEPSMAEKDPVKVLRHALSQALVYYYPFAGRIREGASRKLMVDCTGEGVMFIEAEADVTLDQFGDALHPPFPCFHQLLYDVRGSEQIMDRPIRLIQVTRLKCGGFIVAMNWNHTMGDAAGLRQFMNAWAEMARGAYRPSIQPVWNREILMARDPPRITCNHFEYEQILSPNTIKEKDTASLVHQSFFFRASDIATLRLLVPFHLRQCTTFDLIASCFWCCRTKALQLEADEEVRMMCIANARSRFNVNNSPLVGYYGNCFAYPAAVTTARKLCGNPFGYAVELVRKLKAEVTEEYMHSVADLMVIKERCLFTTVRSCIISDLTRARLSEVNFGWGEGVYGGVAKGGAGPFPGATYIVPYKNIKGEETLMLPICLPSEDMKRFAKELDEMLGNQNHPTLSAPSFAIMARFYSNLLERREGNDATTFEV >RHN40634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18620587:18624812:1 gene:gene46814 transcript:rna46814 gene_biotype:protein_coding transcript_biotype:protein_coding MYHLFLSQSLPVQMERYLLKIQQQIVLVLALDNESVGLQARQTNNVSASVAADKLDITLNRNFKEDQRIVPSQDDTVQDPLVLESGKASADGERNPGASGELELSPCVAAAQPGNESYPSQTNGFGTIKVDRKSSPAKDQNSSVAAGLKSVDPEPGCAQTSLARDVNNDTDMCTNTKNADDNENTSEQTLFNKKLRSTGYEAVKEWSETNIDQRGATVKNEHAASFVNHSGCGSIIKNEEDLSTSSSCTPNKLKDSSSFRGVHDNENTVLKADKEVSVCHGGSFQFYKG >RHN67310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25867300:25867645:-1 gene:gene15490 transcript:rna15490 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMAVSFAVDQLLHLLREEAGLLKGIHNEFANIKDELEIIQASLKDADRRAAAEEDSNNEGVKSWVKQLREAAFRIERYH >RHN73252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14785247:14787244:-1 gene:gene9049 transcript:rna9049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MASSSSSSNPRWIHDVFINFRGQDTRKNIVSHLYAALSNAGINTFLDNEKLRKGRELGPQLLKAVEVSQIAIVVFSKSYTESSWCLDELVQIVECQKSFGQVILPVFYNVDPSVVRNQKGAFGKALRSTAKRRISALPEFKLRKEHVVSGWRNALTLAANLSGWDVNNCRNEGELVKQIVGDVFTKLDSSFLSISEFPVGLEPRVQKVAEFIEKHSSNVCMIGI >RHN72017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4378813:4386691:1 gene:gene7684 transcript:rna7684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VIII-1 family MEEQHKVFPLLLLLLFQVLHVASQTDRGDFTALSSLTQSWNNRPSNWVGSDPCGSNWAGIGCDNSRITELKLLGLSLEGQLSSAIQSLSELETLDLSSNTGMTGTIPREIGNLKNLNSLALVGCGFSGPIPDSIGSLKKLTFLALNSNNFTGNIPHSLGNLSNLDWLDLDQNQLEGPIPVSNDQGQPGLDMLLKAQHFHFGNNKLSGPIPQKLFNSSMKLKHVLFDHNQLTGSIPSTLSSLGSTVEVVRFDKNQLSGRVPSSLNNLKKLTEISLSHNELNGSLPDFTGMNSLISVDLSDNNFDSSLVPSWVFNSSLPNLNTVILKDNKLSGTLNLSSGYRSSLQLIDLQNNGITDLVMGNQKLNFDLRLGQNRICLENGVSEESYCKVPQTIPPYSTPSNGCSPPSCSNDQIASPNCKCAFPYSGNLTSRASSFSNFSDTSYYKEIEQTMMDFYRKQNIPVDSVSLSNPFKDSSTDNFQLTLNIFPSQTDRFNATGVSTAAFALSNQLYKPPEFFTPYAFIGVNYKHLGGESKGSKSSHTGVIVGAVVAVLVLLVLAILIGIYAIRQKRARSSESNPFVNWEQNNNSGAAPQLKGARWFSFDEMRKYTNNFAEANTIGSGGYGQVYQGALPTGELVAIKRAGKESMQGAVEFKTEIELLSRVHHKNLVSLVGFCYEKGEQMLVYEYVPNGTLLDSLSGKSGIWMDWIRRLKVTLGAARGLTYLHELADPPIIHRDIKSSNILLDNHLIAKVADFGLSKLLVDSERGHVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLELATSRKPIEQGKYIVREVMRVMDTSKELYNLHSILDQSLLKGTRPKGLERYVELALRCVKEYAAERPSMAEVAKEIESIIELVGVNPNSESASTTENYEEAGAGDGKHPYANEEEFEYSGIFPTIRVEPQ >RHN82193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51848361:51848744:-1 gene:gene6333 transcript:rna6333 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEIARVEESASPLMLCIIWLYADFMCFYQEISTSTDHSADIFSTISRGSYSCDLGTNIDSLSMFFSMEDAKFSPQNLDHNLTKKINYECINHEGSCLVLVDNNLRDEREHEMMTSSEPTSEEDRS >RHN74314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31775497:31775919:1 gene:gene10353 transcript:rna10353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MFEYILLKKFNNYKKGKVYYAILDDLLGDGIFNDNDQMWNFQRILVRPEFTNPFTRDHVFQLMTEEVEKRLIPIFYSFTHDGRVFDLQHLMRRFSFESSVASPLDGTLTLYRPPCHIRILARHLTLLFGFRLSEPRQCHP >RHN42896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41772669:41773933:1 gene:gene49396 transcript:rna49396 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAKHVIKQKKNIDVLDILGETLTIYFKNINFIIFTLLTSLPFFFLMVYFETLFQQTLVQTPKIILPLPFHERNQLRFYSVIDIYNGPSFSKDYLPLLIQLGFIYTIPLHFLEFCSKVLTMDLASKLIINSEENPKMSLKHMFQNSIDVSTMKGTFITSLYTLALSNCLLIAFPWTVSNCFIFTRWCYIIFGSICFIAVGKLLMIYLECSAIWNMSIVVSVLEGIYGTGALKGSYYFSSGNHKRGLVMMLVFFVFGGVLRLMCIYFECYKGGSGIFIQIGILT >RHN60668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29276918:29282007:-1 gene:gene23018 transcript:rna23018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase I transcription initiation factor TAF1B/Rrn7 MADVVTFTCQSCTYEGEALESDGFYYCSACGEKNLDVVDTGAEEEDAIGAGIYLASHQRRTAAPTDAVYVQPISQCNPSQSNFLRKLGLEDDSQVKLKAENVDQSQCDPSNPADFGGSTVVSIEQYYKEIRLRYIMGLQMMIELQCEALVKEFKVTPLICGLVGPIWLRFVSKTGVFDDDWADKAIHDSEMQNEGEPEDYNIRGKYKSEPHNMFGQRAAFIWFRSLRNRIPVVCTIVVSYLACHIAREAIMPSDMIKWTCEGKLPYFSAFLELESRMGPPVACPISSSLMFRPQRALSVHKLESCASSISQFIGLELPPVNFYALAYRYLEKLSLPVEKILPYACRIYEWSMSPDLWLSLSKDYFKLPTHVCVVSVLVVAIRILYNINGYGEWEKSLSQNDSAKDSAKDPVEQQKHELDCTGLLQHLHAIYNEIADTHEYSKDLPTYLKYCKDVVFAGLEPSLGSYEETNMMEILWKHYQNEENTKPSESEKQYHSSFSGTGSRDEGCVGKTSKREKKKRKCLSDDNPSGSLPEAIRQLKLDMEENRFCYIPPSVKQEKLGYVHYVRKKDKGALSYVAHADYYILLRAFARAAHVDDIRILHIGVLNLERRLAWLEKRIGQCLHLKPPNISCEFCSVRATENGSDHMMDEDELSNLNIEN >RHN51851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26747449:26747945:-1 gene:gene36396 transcript:rna36396 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHAIKLAVTGDSRNGILHIRHVPLQCVHRGFTRSATTTESRYVNHYFVQCPLKTDCGWRLG >RHN63775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53956218:53962349:1 gene:gene26520 transcript:rna26520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative uridine kinase MAQDTVIVSSGAESPQRRQGLLRDQVQIVKRKDGSDRYEIVPIQDSLSFEKGFFIVIRACQLLAQNNDGIILVGVAGPSGAGKTVFTDKVFSFMPSIAVITMDNYNDSSRIIDGNFDDPRLTDYDTLLKNIQDLKSGKPAQVPIYDFKSSSRIGYRTIEVPSSRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQNPTYILKSAKVVTVDQIKVVIAAEHTETKEETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSHVFHDDKVSIKTDWLEQLNRQYVQVQGKDRNYIKFVAEKLGLDGSYVPRTYIEQIQLEKLVNDVMTLPEDLKTKLSIEDDSVSSPKEALSRASADRRMKYLNRGVTQSYSNRRDKVLPNLTKLAINNRSFNGRALESPAPIANQGVIIQLSDQISTLNERMDEFTSRIEELNSKFDFKKVSSSQQNLALQADPCNGSGPTSLFVTGLSNGSLTGSMLANSTSSSHLVRESPLMEEVLLVARGQRQIMHQLDTLSNLMQEYFGERSRMGRTDQAGGMREVESVAIPLVLTLAIGAVGVFLFKGLTSNK >RHN81585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47280125:47280603:1 gene:gene5654 transcript:rna5654 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVIFLVTSCVMCVSSMVLISVVEAVIVFILWMVAISTVVVQRWDHFTYQMKAVGNAICNDLVQMKAVGNAICNDLVQMKAVGNAICNDLVLHMKAARKDDEATRDIEANNFNLC >RHN80987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42423863:42426315:1 gene:gene4975 transcript:rna4975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative coenzyme Q-binding protein COQ10, START MSSTATATATVVIGPFSTTSSSNRNARTTFAANFTSRSFPSTSLSSNRFFFRLPHTSFSKRSPTFRRFKRFSPVMEWQDCTVKMEVDVPISVAYACYSDREAIPEWMPFISTVKILPDKPDLSQWSLKYKAFGQNIEFSWLARNMQEKIAYLILLLLLKNSLYN >RHN69716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45770305:45778325:-1 gene:gene18225 transcript:rna18225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MAFVTKIKWVVLSVISLSVVSIIIHLSFAKLWTVNIVPYRAIASLHDDFSSVVVRQVGPKEKNNGFIYAKVFGGFANIRSSIPDLVAISRLLNATLVIPEFQESLRSKGVRYFIAYLKKDVIIAKTLPGSLMERRKRNEFPTFRPKSSSSPNFYIQEILPKLKKSKVIGLIIANGGALQSVLPPTMAEIQRLRCRVSFQALQFRPEIQMLGHRMVNKLRSLGQPFLAYHPGLLRETLAYNGCAELFQDVHTELIQHRRAQMIKDKILNEDLNVDSHLRRDKGLCPLMPEEVGILLRVMGYPSKTIIYLAGSETFGGQRVLIPLRSMFINTLDRTSLCSEKELSDLVGPEPPLPQNIFRTPPSKSEEELKEEWKRAGPRPRPLPPPPNRPIYQHEKEGWYGWITKTPTEPDPSPMDMRMKAHRLLWDTLDYIVSLEADAFFPGYNNDGSGWPYFSSLVMGHRLHETASSRTYRPDR >RHN59485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11175739:11176330:-1 gene:gene21516 transcript:rna21516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MVALASGSGPYIEIVRQAQLGIDLLNLKTVDAKGLPLQPDGLHLSSTAQVDLGKMMADAFLQFVPSSSTNPNIYHSVSPIRNEASRSIRVSNCVSHMYMVPLFITFVTVITLTFHCYYKKHNPTKIKK >RHN44883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9404676:9405017:1 gene:gene39050 transcript:rna39050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterol 3-beta-glucosyltransferase MCNLLYIFKKLTQVILLSIKYIIFLFADWGPKIDVVGFCFLDLASNYEPPESLVKWLEDGDKPIYVGFGSLVSVFICIAYVSYPHDFYVLMIAWHFNDHFCKSFLYSLTHSLN >RHN80981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42379450:42384706:-1 gene:gene4968 transcript:rna4968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIII family MSCFGCCEEDEFHKAAESGGPYVVKNPAGNDGNYHASDTAKQGAQTVKVQPIEVPEIQADELKEVTDNFGQDSLIGEGSYGRVYYGVLKSGQAAAIKKLDASKQPDEEFLAQVSMVSRLKHDNFVQLLGYCVDGNSRILAYEFASNGSLHDILHGRKGVKGAQPGPVLTWAQRVKIAVGAARGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVRQCVDTRLGGEYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLTARAGPAGETAN >RHN54256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8098049:8100554:1 gene:gene29260 transcript:rna29260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MVNSINFLLLFSLLVFAPCCHCKTKLGDYLYPQFYDESCPKVEEIVKSVVAKAVTKEPRMAASLLRLHFHDCFVKGCDASVLLDSSGTIISEKRSNPNRNSARGFEVIEEIKSAVEKECPQTVSCADILTLAARDSTVLTGGPSWDVPLGRRDSLGASISGSNNNIPAPNNTFQTILTKFKLKGLNIVDLVALSGSHTIGDSRCTSFRQRLYNQTGNGKSDFTLDQNYAAQLRTRCPRSGGDQNLFVLDFVTPVKFDNNYYKNLLANKGLLSSDEILLTKNQVSADLVKKYAESNDLFFEQFAKSMVKMGNITPLTGSRGEIRKRCRKINN >RHN75765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44873530:44877399:1 gene:gene12011 transcript:rna12011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aromatic-amino-acid transaminase MEKGSETEKWKFQGNKDPTDNPLFRTTSVAHQAVAEAVQSYNFNCYPPTVGLPAAKRAIAKYLSSDLPYQLSPEDIFLTVGGTQAIDIILPVLARPGANILLPRPGYPQYDSRAASCLLEVRYFDLLPQRRWEVDLDSIEALTDENTVAMVLINPSNPCGNVFTHQHLKKVAETAKKLGICIIADEVYAHVAFGSNPFVPIGVFSSIVPVITIGSLSKRWLVPGWRTGWIATCDPHKIFQITGIVKNIISYLEITADPLSFMQAAIPQILEKTKPEFHLKNMNIMKEAADIFYDVCKEIPCLTCPHKPEGAMSAMVEINFAHVKGIIDDVDFCDKLAKEESVILLPGVTVGLKNWLRISLAVDPSDLEEGLSRLKAFSLRHETR >RHN41770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32799666:32805681:1 gene:gene48128 transcript:rna48128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MRIKISLDTTRFSRTVVAENLPDDHSHQNLQKIFAIVESVKTIRICHPQEPNSSRPKGDFLISNKVQKIWHIPYYYVVLAVKGDASLE >RHN81914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49785621:49786869:-1 gene:gene6011 transcript:rna6011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MSMSLHITAIAILSLHLLLLLANVNSSSSMDPYKVLNLIHNPNGTITRLDKYPQSPPSQDPNLPTPSLSKDLTLNPSKHTWARIYLPHKPTSKKLPLIVFYHGGGFIFYSAASTYFHNFCSNLANQTHSVVVSLEYRLAPEHRLPAAYEDSVEILHWIKTSKDPWLTHHADYSRVYLMGESAGGNIAYTAGLRAAAIVDEIKPVNIKGLILIQPFFGGNKRTASEIRLEKDLNLPLIVTDSMWNLSLPLGVDRDYEYCNPTVNGGDKVLEKIRLFGWRVAVFGCDGDQLVDRQRELVKLLEGKSVNVVGQFYSGGRHGIFVGDASMSQKVFDLVNGLH >RHN50165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4614876:4615481:1 gene:gene34421 transcript:rna34421 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps4 MSRYRGPRFKKIRRLGALPGLTSKGPTVGSELKNQSRSSKKSQYRIRLEEKQKLRFHYGLTERQLLKYVRIAGKAKGSTGQVLLQLLEMRLDNILFRLGMASTIPQARQLVNHRHVLVNGRIVDIPSYRCKPEDIITAKDEQKSRTLIQNSLESAPREKLPIHLTLDPFQYKGLVNQIIDSKWVGLKINELLVVEYYSRQT >RHN67395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26811898:26813013:1 gene:gene15582 transcript:rna15582 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLNSHRISFLSRSIKAQNYLIKLTPFLVSLSLITFIVSPSSLISFLHHFNFYFSTFSLQLFTHTIDKNCMFLICNGLLVFVGITRSLSWSSSIDESSNYVKEPMLVVKDKTNEPNNEENIEDEYTMEIKYSSEKAEEGVEEEKRSSILVLDQEEGVEEESRLFGERNEEEDKKSEIVKRVENEEVLEEANLVLSTEELNKKFDDFIKKMKKDLRIEAQK >RHN56601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32174356:32177790:1 gene:gene32031 transcript:rna32031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small nuclear ribonucleoprotein E MASTKVQRVMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEVNVKKKSKKTLGRILLKGDNITLMMNTGK >RHN47318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38826815:38827541:-1 gene:gene41901 transcript:rna41901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MAVANAEVDLPAGFRFDPTDRQLVECYLTKKICNQPFPNAMSEFDVFQTEPWSLPAENRYSLEHRKYYFFDLRNRRFENMDARRAGNGEWRIVKRKEEFAHSNNQLIVIKNTYVYWIGQGNQALMTRWWMHEFVIGRIYDPARVMNTIYIDHYHYQKI >RHN51419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17501918:17505776:-1 gene:gene35850 transcript:rna35850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADALLGILIQNLGSFVQEEFATYLGVGELTQSLSRKLTLIRAVLKDAEKKQITNDAVKEWLQQLIDAAYVIDDILDECSITLRAHGDNKRITRFHPMKILARRNIGKRMKEVAKKIDDIAEERMKFGLQQFAVTEERQRRDDEWRQTTSAVTEPKVYGRDKDKEQIVEFLLRHASESEELSVYSIVGHGGYGKTTLAQMVFNDESVKTHFDLKIWVCVSDDFSMMKVLESIIEDTIGKNPNLSSLESMRKKVQEILQNKRYLLVLDDVWSEDQEKWNKFKSSLQHGKKGASILVTTRLDIVASIMGTSDAHHLASLSDDDIWSLFKQQAFVANREERAELVAIGKKLVRKCVGSPLAAKVLGSSLCFTSDEHQWISVLESEFWSLPEVDPIMSALRLSYFNLKLSLRPCFTFCAVFPKDYEMVKENLIQLWMANGLVTSRGNLQMEHVGNEVWNELYQRSLFEEVKSDFVELHNLQLGGKLHIKGLQKVSIEEDARKANLIGKKDLNRLYLSWGDYTNSQVSSIHAEQVIETLEPHSGLKSFGLQGYMGAHFPHWMRNTSILKGLVSIILYDCKNCRQIPPFGKLPCLTFLSVSRMRDLKYIDDSLYEPTTEKAFTSLKKFTLADLPNLERVLKVEGVEMLQQLLKLAITDVPKLALQSLPSMESLYASRGNEELLKSIFYNNCNEDVASRGIAGNNLKSLWISGFKELKELPVELSTLSALEFLRIDLCDELESFSEHLLQGLSSLRNLYVSSCNKFKSLSEGIKHLTCLETLKILFCKQIVFPHNMNSLTSLRELRLSDCNENILDGIEGIPSLKRLCLFDFHSRTSLPDCLGAMTSLQVLEISPLFSSSSKLSSLPDNFQQLQNLQKLRICGCPKLEKRCKRGIGEDWHKIAHIPEVELNYKLQSDAEPTISEDKKPASTQYSDIMFDFVVKQDFDNIIEDIDV >RHN38438.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000015.1:20278:20695:-1 gene:gene50738 transcript:rna50738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAAIVSSPKIVVPAALTSAGRFENSSLYVGDLQGNVNDAQLYDLFSQIAPVISVRVCRDQMTQSSLGYGYVNYSNARDEKDKG >RHN43968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:401741:406203:-1 gene:gene38011 transcript:rna38011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphodiesterase I MLSAETSGYDISEAVPFVGWGPKGGKQIQSAAGTLTFNRNSMCGQPARTVGWRDPGFIHTSFLKELWPNMR >RHN46032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27950546:27951823:1 gene:gene40469 transcript:rna40469 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTKSRLMSWTLDITLQLERYNFLVVYITLKKNQSNEQNQANIRSIDVNAAHSPDFTLYIYFPCEI >RHN77837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9777315:9778351:-1 gene:gene1330 transcript:rna1330 gene_biotype:protein_coding transcript_biotype:protein_coding MKFASYPGLMNFVSYHGNMKFTSYPGLMKFTSYHGLKKFANYLGLVKFAYEIFQLSWAYEVCKLSLAYEIFHLSWVYEICQLSWAYEVCHLSLAYEVCHLSWAYEVFQLSWAYEFCHLSWAYEIFQKSWAYEICHLSWAYQICQLHIGLMKFGSYRWLMKFPSYLGLMKFSSYPGLMNFATYPGLMKFSSYLGFMKFVSYHGLMKFATYRWLMKFASYLGLMKFSSYHGLMNFATYPGPMKFARNTGHMKFASYIGLIKFASYTQGL >RHN76202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48492033:48496039:1 gene:gene12493 transcript:rna12493 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGRRTHGYKFKAPDTESLEGLRGLTKMLKNPGHFQERYGNLLDILKTKVDVMLLNTLVQFYDPIYHGFTFPDFQLFPTLEEYSHWVGLPVLDEVPFHAFGPIPKIPTIAKALHLEVADIKDKFTTKDGLPCLPYNFLHQKATTCFEKSKTDDFESILALLIYGIVLFPKVDKFVDMNAIRIFLTQNPVPVLLADTYVAIHDRTSHGKGTIVCCTPLLHRWITSHLPRPSIRPEPIPWSQKLMTWTPKDIIWFNPSCGPEFIIDSCGDFNNVPLLGTQGGISYSPILARRQFGYYMEMKPVYLILDRDFFLYKKDDANQRVQFEKAWHSIVKKDKNQLGKRSVIAHEVYVQWVIDRANKLKMPYPRQRIATSTVPAIPLPLPPESLEGYQKQLDIERREKSMWEMKYRKKDQEYETVMALLEQEAYDSHKKDVIIAQLKKSIKEKDAALDQIPGRKKKRMDLFDGPHSDFEE >RHN77172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4631873:4632885:1 gene:gene598 transcript:rna598 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEKVIQKCQIPGNDDDESEEEYSLVRYPITQIFENPSFTVVDNPNYHHLINKGSQRGSFLVASTGSVFGTQPATWTVSEEIGYFCHNDNFLFGFGCDNSNETYKVVAYCNQETASEVRVLNLGGDDVWRNIESFPTVLGHKPVYLSGTVNWETDFVIWQMKKFGVEDSWTQLLKISYSDLLIAYYDLRDSDKRYFQLMLLFLSDDGDSLVLQSNLESQTILYNRRDNRAKRTEIIGTSDHAYWDYEVDYVESLVPIF >RHN72335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6881326:6887022:-1 gene:gene8035 transcript:rna8035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MAEIELESLFNHAIRGQWREVIESYEKNPKVLEAKITKVEDTLLHIAVYLKQTLFVTTLLDKISKDMCWDILRMQNSKGNTPLHVAAELGNVEICNNIAKRDPILISCRNFEGETPLFLAAVYGKREAFFCLHSRHQDKDDYSVSRKNNGDTILHSTISSEYFGLALQIIGMYPKLVNAVNHDGLSPLHILARKPNCFRSCTRMELIDSIIYTCSFVDDDKEERYDHINEAYTQTSRHYPLNYGTCMTFLSLLNSGFKVTKQGQPKREKKHYLFPPNWESMIRLLVLGMKACLIIFGVGATWVEKIQRRKEKHIRAKQVMNELIQHASLFKYDFTGPSSNVEENGGDDIGKIKSNTENEAIAKRRTMSPILIAAKMGVTEMIEKILDVYPVAIQDVDSQNKNVVLLAIENRQPHVYSLLNKRSIIKETAFRQVDINGNSALHLAATYRRFKPWRVPGAAMQMQWEYKWYKLVKDSMPPNFYERYNKDGKTAKQVFIDTHGQLVKEGGKWLTKTAESCSVVAALVASVAFTTSTSIPGGYDDDGIPIFLKKPVFKLYAVASLVALCSSVMALVMFLSILTSRFQEKDFLVDLPKKLLLGLTTLFTSIASVLVSFCAGHFFIVEHQLRTAVYPIYALTCLPVSFFALVQLPLYFDLSSAMFRKVPQRSYKVFFH >RHN65342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1331494:1333782:-1 gene:gene13168 transcript:rna13168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MVNFANTKPHAVLIPYPAQGHINPLFKLAKLLHLRGFYITFVNTEYNHKRLLKSRGPNALDGFTDFSFETIPDGLTSLEGDGDVSQHVPSLCQSIRKNFLKPYCELITRLNHSATVPPVTCLVSDCLMSFTIQAAEEFALPNVLFFPSSACSLLNVMHFRSFVERGITPFKDESYLTNGYLETKVDWIPGLKNFRLKDIVDFIRTRDRNDIMLEFFIEMADRVNRDSTILLNTFNELESDVINALSSIIPSVYPIGPLPSLLNQTPQIHQLDSLDSNLWKEDIECLQWLESKEPRSVVYVNFGSITVMTPEQLQEFAWGLANSKKPFLWITRPDLVIGGSVILSSDFANEISDRGLIASWCPQEKVLNHPSIGGFLTHCGWNSTTESICAGVPMLCWPFFADQPTDCRFICNEWKIGMEIDTNVKREEVAKLINELIAGDEGKNMREKAMELKKAAEENTRPGGCSYMNFDKVIKEMLLKQN >RHN68273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34151021:34154675:-1 gene:gene16607 transcript:rna16607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MAASVSTVGAVKTPMSLNGSVVAGPTVSNSAFFGTSLKKLTARLPNTKVSSGSFKVFAKEIDEGKQTDGDRWRGLAYDISDDQQDITRGKGMVDSVFQAPQDTGTHYAVMSSYEYLSTGLKQYNFDNTMDGFYIAPAFMDKLVVHITKNFLTLPNIKVPLILGVWGGKGQGKSFQAELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEDNARVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCIGIFRHDNIAKDDIVKIVDTFPGQSIDFFGALRARVYDDEVRKWVSGVGIETIGKKLVNSKDGPPVFEQPKMTLEKLLEYGNMLVSEQENVKRVQLADKYLEGAALGDANQDAIKEGKF >RHN39349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6277586:6278827:-1 gene:gene45362 transcript:rna45362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MVIPPFCKGHDVILQDPSGTGMSATFCIGILKMLDYELAHCQALVLAPTNELALQIKQVMQDLGQFHGVRIHACVGGISAPVEDLQRFMQVGYSVYDMDGEGFFREGIDKIFQLLPYKIQVGVISSTMPPEALEITRKFMKKPVTIQAKRDELTTLEGIKQFYVNVDEEKWKLETLYDIYTTKFITHCIVFVNTERKVDWLMDKMRSRYYTVLTIHDDMDQNTRDIIVRNFQSGSPQILITTDPLVYGLDVQEVSLVINYDLPTLPENYLHRICHKGRFGRKGVAINFVTLDDFRMLSDIQKLSNVIIEELPSNFADLLS >RHN70113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48862520:48866582:1 gene:gene18663 transcript:rna18663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MEESNRFGVYKMKGEFSVDLKLGQVGNSATDQSPLPLSNDAVVVSKIATPTSSSGSSKRARAMNNNTTLTVSCLVDGCNSDLSNCRDYHRRHKVCELHSKTPEVTICGLKQRFCQQCSRFHSLEQFDERKRSCRKRLDGHNRRRRKPQPEPITRPAGSFLSNYQGTQLLPFSSSTTMVNSTWSSGLISSCESGRLHINNQHQQVHVVDKQDHFLGSTATTYEGKQLQFLHNDNNNPSLHNETAPLLRTSSKMFCDSLATSVHESPCALSLLSSSQTRIPDNGLNQMVQQPHSMSHMQPLGLSLHGNNSFESMEGVLVPNGSESDHCSSLYNMGSDGSQGNDAPQLFPYQWE >RHN41986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34578740:34581565:1 gene:gene48367 transcript:rna48367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MAFSRSFIVSSLLLSLVLFHMVEAHQLMSNFGATSPSPLPQTIDCGDACKGRCLLSSRPNLCKRACGSCCAVCKCVPPGTAGNFDSCECYAKLTTRNQVRKCP >RHN70793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54056029:54058427:-1 gene:gene19410 transcript:rna19410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MEESGGKEETLPPGFRFHPTDEELITCYLINKISDSSFTGKAITDIDLNKSEPWELPGKAKMGEKEWYFFNMRDRKYPTGVRTNRATNTGYWKTTGKDREIFDSVTSELVGMKKTLVFYKGRAPRGEKSNWVMHEYRIHSKSTFRTNKDEWVICRIFKKSGSGKKYPCNSSSNNNTRAGLNPYSMHEIGPNNINLISMPPPPMMQYLHEDFPSAPRNFLYGRNQHNNNYINCSTTAPMMQYSQLNYPASSATVGEGFSISGLNLNLGSGGGGGATTTTQTTLRPMQHSPHFAHATMNSYVDADQNIINNIGVLETMSNSNNDSNGNRYMGMENCINGLDTYWPNSY >RHN41518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30730253:30731215:1 gene:gene47851 transcript:rna47851 gene_biotype:protein_coding transcript_biotype:protein_coding MENDDWDLFSIVRSCKATNFCETATPTLPISTNTITNNIISPHNTMSYCFDDFTLTQENSTIPISPLKPNDYIELDKLIIRSNVTKIIPIPTTSDIPTPSITTTTSPTSSTNNTIITTPSTITIPNHITTDTITNTSSHGSDQNSTFFYFPTLIEQQQMQPSEFTELEKWILQFNPTTTIPISTITTPNPTSSTPITFTTPTTTINTPITITTIIPTTTTTTTMTNYEANGINQYSTVSNFPMLVEQQQVQANQNRVSVFDSISCIKTTTTNFHPELNHPLVPEEPQRNHNQLPILLPQTSSTVLLSTNPKHKKFKSGKR >RHN42981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42343512:42344189:1 gene:gene49497 transcript:rna49497 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGTCISVQERDEILPASSLLKHIGGLILKTFPCSPPFPTRMPSSTIHMKVHAVTITYHDQLPGSNQVMRSLNLRSHIHR >RHN44623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6929094:6932895:1 gene:gene38763 transcript:rna38763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MEHGSYSDLSKSTFSLVDEDHTFANSVRFILNQDPRVTFCGYSIPHPSENRVNVRVQTTGDPASEVLKDGCQDLMLMCQHVRSTFGKAVNDFKTSKSVRSTSEKAVSESDDDMDT >RHN67757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30025093:30028542:1 gene:gene15982 transcript:rna15982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase, Polygalacturonase MMRNYIILIFYALLVLSSIVGFNSTMKNGDKKCKERERHALLTFKQGLQDEYGILSTWKDDQNADCCKWMGVLCNNETGYVQRLDLHGLYLNCEINPSITELQHLTYLDLSSLMIRGHIPNFIGSFINLRYLNLSNAFFNEKIPSQLGKLSQLQHLDLSHNELIGGIPFQLGNLSKLLHVDLSHNMLIGTIPPQLENITWLEYLILGFNSHLEINSQSQGNVEWLSNLPSLRKIDLTNVLIVNYFSYHTLQFLLKLPSLEQLYLSECGIFDDNIFPLSDSHLNSSISLTLLDLSWNELTSSMIFHLVLNYTSNLQDLYLSNNFVRGTIPDDFGNIMHSLVNLELSDNSLEGKIPKSIGSICTLQKFAAFDNNLTGDLSFITHSNNFKCIGNVSSLQVLWLSNNTISGLLPDFSILSSLRRLSLNGNKLCGEIPASMGSLTDLEILDLGVNSFEGVVSESHFTNLSELVDLDLSYNLLNVKISDNWVPPFQLSYLRLTSCNLNSRFPNWLQTQNDLSELSLSNVGNLAQIPQWFWGKLQTLELLNISNNNLSGRIPDMELNLTHYLELDLSSNQLEGSIPSFLRQALGLHLSNNKFSDLTSFICSKSKPNILAMLDLSNNQLKDELPDCWNNLASLHYVDLSNNKLWGNIPSSMGALVNIEALILRNNSLSGQLTSSLKNCSNKLALLDLGENMFHGPLPAWIGESLRQLIILSLRFNNFYGSIPSNICYLRNLRVLDLSLNNLSGGIPTCVSNFTSMTHDDKSSATALYHSYTIKTKNASYYVPYYFNLILMWKGEDQPYKNADMFLKSIDLSSNYLLGEIPTEMEYLVGLISLNLSRNNLSGEIISNIGNFKSLEFLDLSSNHLSGRIPSSLAHIDRLTMLDLSNNLLYGKIPTGIQLQSFNAACFGGNSDLCGEPLGIKCPGEEPTEHQVPTTNSGNENSIFLEALYMSMGIGFFTSFVGLVGSIMLISSWRETYSRFLNTLILKAFMWWKQ >RHN55241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16371481:16372601:-1 gene:gene30387 transcript:rna30387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MSWSTSFPPHHQKHGQVFLSFRGEDTRYTFTSHLHATLTRLKVGTYIDYNLQRGDEISSTLLMAIEEAKVSIVIFSKNYGNSKWCLDELVKILECKKMKGQILLPIFYDIDPSHVRNQTGSYAEAFVKHEKQFQGKLEKVQTWRHALREAANISGWECSVNRMESELLEKIAKDVIEKLNRVNDGDLDRKIEKIMNLAKL >RHN38528.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:832041:837257:1 gene:gene50647 transcript:rna50647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-PERK-1 family MSAASPSPASSNTTQPPSSATAPPPQQPSAAPLSAPPPAVPSPTPTSPVPRASTPSPPPVAPTLTPPTRPPPPPPQSPVPPRTSPPPDNSPPPPTVTPPRRSPPPPPKNSSQTPPPRPQPPSSPPPSLQPTPPRPSPAPPVQPPSSSPSPVQPAPPRSSPPPSSLLSPPPTSSTPPPATSITQPPPPPPSNSTTPRLSPPTPASIIQLSPPPPPLQNGSENSSPQSGGISSGAILAIAVFGGILFLGFIGVAIWCFRRQRKKDSSNHGYVMPSTLPSSSTESDSSFFKIHAASTPLVSSDVVHTPSDPGGGMGHSRNWFSYEELIKATNGFSTLNLLGEGGFGSVYKGILPDGREIAVKQLKIGGSQGEREFKAEVDIISRIHHRHLVSLVGYCIQDNRKILVYDYVPNNTLYFHLHENGQPVLEWEKRVKIAAGAARGIAYLHEDCNPRIIHRDIKPSNILLDYNYEARVSDFGLAKLAQDANTHVSTRVMGTFGYVAPEYASSGKLTDKSDVYSFGVVLLELITGRMPVDPSQMGDESLVEWARPLLSDALETGEFESLIDPKLGKNYIDSEMFCMIEVAAACVRHSASKRPRMGQVVRAFESLATSDLTNGMRVGESQAFDSAQQSEEIRLFRRMAFGSQNYSSEYFNHSSLRL >RHN76796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1339828:1340031:-1 gene:gene173 transcript:rna173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase, type IA, central region, subdomain 1 MLCAGKEEMESFNFFSILSVKKLEDLGIGRPSTYASTLKVLKVFDLETI >RHN58080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43589541:43596592:1 gene:gene33687 transcript:rna33687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ceramidase MQFPSLSNLNVWRVSATMTVWTFLLLTILLLKSDVAYCNYLVGLGSYDITGPAADVNMMGYANTEQIASGVHFRLRSRAFIVAEPKGNRLVFVNLDACMGAQLVTIKVLERLKARYGDVYTENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDALVDGIEKSIVQAHENLRPGSIFVNKGELLDAGVNRSPSAYLNNPAAERSKYKYNVDKEMSLLKFVDDEWGPSGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFERKSSVRKDSVGFEDDGLPRRISNIIPSLHDNHHELLELAASFQSPPGRPAAKTSSVARRVRGALRQVNKPRFVSAFCQSNCGDVSPNVLGAFCTDTGLPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQFKKAVELFNGASEQIKGKVDFRHAYLDFSKLEVNVSSNGASKVVKTCPAAMGFGFAAGTTDGPGAFDFKQGDDQGNPFWKLVRNLLKTPDKEQIACQQPKPILLDTGEMKLPYDWAPTILPIQILRIGQFFILSVPGEFTTMAGRRLRDAVKTVLSGDKSLGSNIHVVIAGLTNTYSQYVTTYEEYEVQRYEGASTLYGPHTLDAYIQEFKKLAHALINGQPVESGPQPPDLLDKQIGLLTPVVMDGTPLGTSFGDCSSDVPKNSTFKRGDTVSVTFWSACPRNDLMTEGTFSLVEYLQGKDTWVPAYDDDDFCVRFKWSRPFKLSTHSKAAIEWRIPQDVAPGVYRIKHFGAAKGLLGSIRHFTGSSSAFVVAH >RHN52623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37193695:37194249:1 gene:gene37317 transcript:rna37317 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSSSSLMLPRYCGVPVSLFVPKKFHSFHYLKNTHFYFIPSFYFSSSSISSHNNNDAVSLFYRLLRQNPTPPDIEFGKILGSLVKSKHYHTVLSLSQKMEFKGIKLNFLNCNILINSFCQLGLIPFAFSVLTRGVYWIEILKDCFDRKNLEDFKRLCWIVLILWDFKRLFLKDFLQSRLFARF >RHN68813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38792557:38796534:1 gene:gene17221 transcript:rna17221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S9 MLSRLIPKKPSHILRFLSLPSKNPNFPNSPINPHFTVFPKPYSSNNNNNNNKDPLSVWKDFGEAEEKFNKLFDEESGNLDGMNDGEGGERVRKEVDDEQKWYLEEKGIDNEDEDALFKGIDKETEVKDSGSGDFGDHIGVGADNVDEPWNLKEDTGDVFGFKEDDDVSKEQDVGELNVVEGPSQEEVQKLEKEEKELIAVLEGTKAFGDLIAASGITDEMLESLIALKDLKDVDGLPPLSEIEQMRYERNTGISTRGEMERLKQEEAAKARVRQVDDKGRAYGTGRRKCSIARVWVQPGNGKFMVNDKEFDVYFPMLDHRATLLRPFSETKTLGLWDVNCTVKGGGVSGQVGAIRLGVSRALQSWEPDLRPALRSVGFLTRDSRVVERKKPGKAKARKSFQWVKR >RHN82773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56261281:56264155:1 gene:gene6958 transcript:rna6958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-crystallin MNIHCHHFGIMAISSSSSSLVTNNNSSLFSVSARPQILVTSSLPFMSTTSIHFHYSPHNKLHLRQRFNSVTKSCDVRVTTLAISTGVQQVLPPPLTSTSPPPSLFDGTTRLYISYKCPYAQRVWITRNTKGLQDKIQLVPIDLQDRPSWYKDKVHPTNKVPSLEHNNEVRGESLDLIKYIDTHFEGPSLYPSGHDDKEFAEELLSYTDTFYKTVVSYFKGDVTEAGTAFDYLETVLSKYDHGPFFLGQFSLVDIAYAPFMERFQPFLMDVKNYDITVGRPRLTAWIEGINNIEGYKITRSDPKELVESYKKRFLANP >RHN81996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50479823:50482699:1 gene:gene6110 transcript:rna6110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MATTIKSRFPLLLLLGIIFLGSVCVSYGIVGEQEERHPGQWKPPHEREEDERRPGEWRPPRGGRQEGQEQRPGQWRPSHEREEDEEDEHQKRRPGQWRPTRGGRQEGQEQRPGRFPSEREEYDEDDRRERRPGQQRPTREGRWKGQEQRPEQWRPSRGKEEREKEERQKHQPGREREKWEKREDEEWRGRQRHEDPDERARLRHREERRQKEEEHQKGDRPSRTPSRRERGEEEEGSSESEGRRNPFLFRSNRFQTLFENENGHIRLIQRFDKRSNLFQNLKNYRLLEYRAKPHTIFLPHHTDADFILVVLSGKAILTVLNSNNRNSFNLEQGDTIKLPAGSTAYLVNQDNDEDLRVVDLVIPVNRPGKFQSFDLSGNQNNPSYFRGFSKSILEASFNTDYETIERVLLEEHEHEQQQRRGRKGRQQSQEANAIVKVSREQIEELRRHAKSSSKRSISSESGPFNLRNRNPLYSNKFGKFFEITPEKNPQLQDLDIFVSSVEINEGALILPHYNSRSTVVLVMNEGKGHLELVGHKSEQQEQREQDEKELRNPEVQRYNARLSPGDVVIIPAGHPVAINASSNLNFLGFGINAENNQRNFLAGEDDNVISQIERPVKEVAFPGSAEEVDRLIKNQRQSYFANAQPQQREEGSQKRKGPLLSILSTLY >RHN68147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33192758:33193190:-1 gene:gene16453 transcript:rna16453 gene_biotype:protein_coding transcript_biotype:protein_coding MCNIAQIRRTLYLYLKRYIYNNPFRWNKYLPYIYLSHFSKYSNLIFQYFLYDI >RHN77055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3587908:3592424:1 gene:gene465 transcript:rna465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MEMATSSNFNFTFSSKNTRYLSMFHGLKYQHSNSFNKCFKLQSLSTKIVSATTNIASDMYIGRNNSSNKIYTNLNSCLVIPPPTSTKPRAIIKFIGGAFVGAIPQLTYGYLIELLAKEGFVVVVVPYNVTFDHSLAAKQVYDKFHSSLDTLLTSGLPQANLSPAQLGGLPVFSVGHSNGALLQVLTGSLFSEKLPKANAVIAYNNRPATEAVPYFEQLGPAVSQMMPIVEANPIYSIARNAPGDAWKMVLDTVGSILQESEKEVLNSLSKFVDQLPLVMNEVTQGVSEFKPTPSENRDCFKSSYNVKHTLLVQFNSDTIDETNILEETLKPRVESFGGTIEKVGLSGNHITPCIQEPRWQVGKLYTPADAVAQRLKSFSLSDTRILARTISDWFKRFED >RHN61602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36731859:36733440:-1 gene:gene24082 transcript:rna24082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase MVNPKVFFDMSVGGNPAGRIIFELFADVTPRTAENFRALCTGEKGVGRSGKPLHFKGSSFHRVIPQFMCQGGDFTNGNGTGGESIYGSKFADENFIKKHTGPGILSMANAGPGTNGSQFFICTAKTEWLDGKHVVFGQVVEGLDIVKEIEKVGSGSGKTSKPVVIADCGQVS >RHN42131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35625297:35625689:1 gene:gene48532 transcript:rna48532 gene_biotype:protein_coding transcript_biotype:protein_coding MKPILLMTHPINPPPRTLRNLLISITLLILISINNTINTFTMAILHPKNSIFLATINLTTPRILLIFLLIIIIILLVILIIILFLIITLRPFILLSIHLIQILQRLNQTKNPRSIILNPSNRTLIQIQRN >RHN43822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48769151:48769417:-1 gene:gene50452 transcript:rna50452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ccc1 family protein MRALCSAMTIAIAYILGGIVPLIPYMFIPNASEAVLFSVIFTLIALLIFGFVKGCFTGSKPIKSAFETALIGAIASAAAFGLAKAFNP >RHN55312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17137733:17139435:-1 gene:gene30477 transcript:rna30477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MSSRRSRSRQSGGSSEITDAQITDLISKLQQLIPELHASRSNKVSATKVLQETCNYIKNLHREVDDLSDRLSQLLASTDSNSAQAAIIRSLLM >RHN42674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40202942:40205383:1 gene:gene49152 transcript:rna49152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MSYTYVHFSNVNSISSFLTFYNILHSLYNYFSFHHFFFSILTLIMFHEREFISIIILFMIAFCFLPSSTADTDAQILVNFKSFLSNADALNNWSNDSINVCTWTGLICINQTILHGLRLENMGLSGTINVDILMKLSNLKSFSVINNNFEGTMPSFNKIVGLRALFLTKNKFSGEIPDDAFEGLRWLKRVFLAENGFKGHIPKSLAQLPRLYDIDLHGNSFDGNIPDFLQSGFRVFNLSNNQLEGAIPEGLRNEDPSVFAGNKGLCGKPLEQPCSESHSAPREEENEKEPKKRHVLISIIAFVVVLILASILALLFIRYRRKKAAEKSIWNMENAQSQSHNTNTSTASTSEAKSIVVESKKNKDEDLNFVTNERVEFDLQDLLRASAEVLGSGSFGSTYKAMVLTGPVVVVKRFKHMNKVGKKEFYDHMRRLGRLTHPNLLPLVAFYYGKDEKLLIHDFAENGSLASHLHGRHCELDWATRLKIIKGVARGLAYLYREFPDEKLPHGHLKSSNVVLDHSFEPFLTEYGLVEVTDLNHAQQFMVGYKSPEVSQHEGPSEKSDVWCLGILILELLTGKFPANYLRHGKGANEDLAMWVESIVRDGWSGEVLDKSIGGGSRGEEGEMLKLLRIGMSCCEWSLENRLGWKEAVAKIEELKEMDHVGVGGGVQSQDSDLSL >RHN50623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8622672:8626238:1 gene:gene34931 transcript:rna34931 gene_biotype:protein_coding transcript_biotype:protein_coding MCLESAKEFAPLFTKILHYMYNEDVIEEDAILSWEDEKKDPDEADKVFVNLAQPLIQWLKEAPEEDDEEEE >RHN59257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8844527:8850949:-1 gene:gene21261 transcript:rna21261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-phosphoshikimate 1-carboxyvinyltransferase MAQLSKINNLVQTTQNFHNSTNSNKLNSAKSVSLRSSIWGSSKSWSLKHKNGVFIGNCDVGRGNSVVLKASANVAAAEKPSTAPEIVLEPIKEISGSITLPGSKSLSNRILLLAALSEGTTVVENLLDSEDIHYMLEALKTLGLRVEDDKTTKQAVVEGSGGLFPESKDEVNLFLGNAGTAMRPLTAALVAAGGNTRYILDGVPRMRERPIGDLVSGLKQLGADVDCYLGTNCPPVRIIGKGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIVDKLISVPYVEMTLKLMERFGVFVEHSANWDRFLVHGGQKYKSPGNAFVEGDASSASYFLAGAAVTGGTITVIGCGTSSLQGDVKFAEVLEKMGAKVTWTENSVTVTGPPRDSSGRKVLQGIDVNMNKMPDVAMTLAVVALYANGPTAIRDVASWRVKETERMIAICTELRKLGATVEEGPDYCVITPPEKLNVTSIDTYDDHRMAMAFSLAACGDVPVTIKDPGCTRKTFPDYFQVLERFTKH >RHN73925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21692226:21694476:-1 gene:gene9825 transcript:rna9825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MVYLNILKITNIKPFQTTRFFNTTSSILNLCTKPQYLQQIHARFFLHGLHQNSSLSSKLIDSYSNFGLLHFSHKIFSFTENPDSIIYNAFLRNLFMFGEYEKTLFLYKEMVQKSMCPDEDCCFSVLKSLFYVFHEKGLIMMAHGHVVKLGMDAFDLVGNTLIELYGFLNGNGLVERKSVTKLNFWNNLIYEAYESGKIVESFELFCRMRNENVQPNSVTLINLLRATVESNSLKIGKVLHSLVVASNLCKELTVNTALLSMYAKLDSLKDARLMFEKMPEKDVVVWNIMISVYSGSGCPKESLELVYCMVRSGIRPDMFTAIPAISSITKLKSIEWGKQLHAQVIRNGSDYQVSVHNSLVDMYSTCADLNSARKIFGLIKDRTVVSWSAMIKGYAMHDNCLEALSLFIEMKLSGTKVDLVIVINILPAFAKIGALHYVGYLHGYSLKTNLDSLKSLKTSLLNSYAKCGCIEMARKLFNEEKSSLKDIVAWNSMITAYSNHGEWFQCFELYNQIKLSIVKPDHVTFLGMLTACVNSGLVDKGKEIFKEMVDIYGFQPSKEHNACMVDLLGRAGKIDEARKIIETNQLNSDARVYGPLLSACKMHGLETDFAELAAEKLIKMEPENPANYVLLSNIFAAAGKWDKFAKMRSFLRDRGLKKTPGCSWVVLDGQFHEFRVADHSHPRSEDIYSVLKVLELEAGMEDDDLEFFFDPSTILAM >RHN68864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39188156:39190778:1 gene:gene17277 transcript:rna17277 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLVGDNIGLNEEVIKKACSLSFKAHNSTNEPYITKVFRTTSNLTISRYKLSWLMG >RHN80477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38427759:38432488:1 gene:gene4410 transcript:rna4410 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCSGTSISNVDRFVLCVTPDVPFQTLDLQSCSHEVNSLWLPLGKDKVECFELKDLWDCYERWSALGAGTPILLGNGDALTQYYVPYLSSIQIYTSKSVAASRNRREDTDAAEFEFESSSEDDSGSDILSRSVSNNSSKAWDAVSLDSSSDQLSPLPTRNMLGYLYLQYTETLSPWLRVPFTEKITELAKSHPALMTLKSVDISPASWMAVAWYPIYSIPYHQPSEKELSACFLTYHTLSSSFQDCKHMYDDIDIAEDISCFEEWEGVGKTSKENKSGFMSLSPFGMAGYKLQEPFWLGSSSSSESGNTRMSEMYSAADSWLKQLNAHHHDFNFFTLRAPL >RHN51758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24596994:24597930:1 gene:gene36276 transcript:rna36276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative iron-chelate-transporting ATPase MRNRGVHEDKLVLLKGVSGAFRPGILTTLMGITGAGKTTLMDVLSGRKTGGYIGGNITISGNPKKQETFARISGYCEQTDIHSPYVTVYESLLYSGWLRLSQDINADTRKMFIEEVMELVELKPLRNALVGLPGVSGLSTEQRKRLTVVVELVANPSIIYMDEPTSGLDARAAAVVMRTVRNTVDTGRTVVCTIHQPSIYIFESFDEVKTQEIKNKNLFLLLLKQGGQEIYVGPLGHNSSNLINHFEVGRIYIVVNSDSKLTPMKL >RHN56668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32681231:32681701:1 gene:gene32105 transcript:rna32105 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLNKRESFKEFLSRKLSLIIYPLRLISCAAFALMSFFLSRKIDLGFEFDLLDFFLQILTVELMKINLMLSIIATIFCYSLMILRLKFDSWNEIGTLRMEVDDIAIEIDGVDNNINEFQSHHRNVSQQSHSLRRVNHDDGYNWKKIRREKSKRK >RHN55565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19530772:19533336:1 gene:gene30766 transcript:rna30766 gene_biotype:protein_coding transcript_biotype:protein_coding MITKEITLTITAIKRKLRCFFFFIRLTRHGGRCRCEIPPQSLLFPVTANALAFPKTPSLGTSPSNMLKETFKYSKTEIFCRTLGIDPVNRFLDRSKYRRPLREAKEDGMIP >RHN44673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7371308:7372357:1 gene:gene38819 transcript:rna38819 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 5 MNKKQNSSRIKIFFILYFFCMEYIHQYSWIIPFIPLPVPIFIGVGLLLFPTATKKIRRMWAFPSILLLTIVMIFSIDLSIHQIENSSIYQYVWSWTINNDLSLEFGYLIDSLTSIMSILITTVGILVLIYSDSYMSHDQGYLRFFTYLSFFNTSMLGLVTSSNLIQVYIFWELVGMCSYLLIGFWFTRPIAANACQKAFVTNRVGDFGLLLGILGFYWITGSLEFRDLFQIFNNLIYKNEVNLFFVTLCALLLFCGSVAKSAQFPLHVWLPDAMEGPTPISALIHAATMVAAGIFLVARLLPLFIVIPPIMSVIALIGIITVVLGATLAIAQKDIKKIWPILQCLNWVI >RHN40626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18302907:18305118:-1 gene:gene46796 transcript:rna46796 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQTPFVMRPVRPRPPFAETLSLVTETPPYERFMSPTMGTIQIAVLAAFHKSHKPLAAEPLALKELEAAILAAIQKGRDEALALQKKEKLEADMAEASIQKGRDEALALQKKEESEADRALPTDMVRGADERRKKKNGMRKRKRKKWMMYHERRGKKNVMNGVKRKERSPGADERRGKKNAMNGRKRKKRSPEADERRGRKNVMAERKRKKLSD >RHN80889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41602012:41602665:1 gene:gene4867 transcript:rna4867 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVAKVVMLCIVLVIFCSNHVEGAGRLLKESVKHPETFNMGGGNGILPSSPGNFAAGVNYGPDGLRFCTFPGGCTSGNTFPTIPGNLGGTGTGNGIGAGFLPPHP >RHN39308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5747810:5751034:-1 gene:gene45318 transcript:rna45318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MVKGLMMFQQQQVVEENMSNLTSASGEASVSSGNRTEIGTSSSYPQVQQQYLVPPTQSQPMKKKRNLPGNPDPDAEVIAMSPKSLLAKNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEIRKKVYVCPEPTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAMTNNAMPILPSQQQLSSSSSHHHHMINLQNQFNNPQQDLHNFPLLKKEQHNQSFNLSEIPPWLGPHNVDNLSSSSSIFSQHHHHQENPNPSLVHVSGPTLPSYQTVPSAHMSATALLQKAAQMGATMNRSGSASSPAMNIKTHQVDSLNNVSGNFGLNLLSSSQEQQQHQQNTQETNTTSTYLNNIHDVMFSSSSSPSGFEATHFDEMFGGIMNSKKDQNLHHETSLSKKPTSTAEDGGGGGGGGGGNEGLTRDFLGLRPLSHSDILSIAGIGNCMNDQQQQNQSQKPSWQG >RHN68179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33428331:33428898:1 gene:gene16493 transcript:rna16493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKMASCSFTAKEAECKSTMVPNWLELLREITANILQRLDTIEIVKSACQVCPLWWDICKDPLLWRTIHIRIPCMSLIHFNYQDLTRICCYAVERSCGHLEDINIEYSVTDELLEFIAENGRNLRCMRIFGLQLSDKGFIEALRKLPQLEEVDIS >RHN65427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2154329:2158384:1 gene:gene13264 transcript:rna13264 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSESVEENEVNHDENGIKGCDEKSGFQFDGNFGVKPDQEGIVAELGSEEAVNSKGAPRKGVGLKKWKRIRRNVVRDHNSSADESGKVLKRGLSGSGNVNLSENLRGVKEKNDGSSSAFGNVVFSDGHAIRGSSTDSRYAVGSGFVVGTDSENSEDRSSKSSTAASEPKVRHEKGRGSRNTNSKNLVNSAQKVQQGKGWIESSAKPGGGGRVKFEKENSISSLDSDSRSNYKQAVFSTVTSNGKHSGNPHVYDGDNDGEANTNEHFTEEHEAGYGNENGEDEDLQENSAANQSWDAPEEKSENNQSTSAEDPLIESIRSLQAVQEALEEVQKFREIDTEVVSPEDDSAKCSSASAGITAVDLGFHKSFLSSHSSADETNQSASSSLEFQISSLKQHVNLLESKLGELQGVLSSKDSRIVELETALSSGKFPKEESANTLGYKEVEYEIEDLFRQKVEAEVEYLAIAKVMQNLKVGADLQFTLLEEQEKLSENQAQVLNKIIDAESKASVLKNKAEELEKYCGDSLVIEESFLLQKRVCKVSFYLFLQFMMLILFFCFLVSQLSQNSGVVVPT >RHN70397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51164457:51166816:-1 gene:gene18973 transcript:rna18973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative von Willebrand factor, type A MATATTLVGPPELYTTVTATTNKTSIDQMVTNFNTLHTNQNPPMTLTENMSPTYLTTGNPCLDFFFHVVPDTPPETLLRRLQLAWSYNPLTTLKLVCNLRGVRGTGKSDREGFYAAALWFHHYHPKTLASNVPSLADFGYFKDLPEILYRLLQGTNIRKIQKEEWNQKKLAAYRKKNRKSKSKSKSKTRFGVRKFDQEQWEFKPPLVQVKPPLVKKIQNPFSVLHDYTDEDSLMSEEIAVRDAAKAAARAKRDEKRKASLLKKEKMIALDYEKKEKRIALAYEKLPKKEKKIVLAKKLVERLAAKWCPSVDSSFDRATLLCESIAKRIFPREEYEGVEEAHYAYRVRDRLRKDVLVPLRKVLELPEVFIGANRWKLIPYNRVASVAMEFYKEKFLKHDKKRFEKYLEDVKVGKTTIAAGALLPHDIIRSLGDGDGGEVAELQWTRMVDDLLKKGKMRNCLAVCDVSGSMTGIPMDVCVALGLLVSELCEEPWKGKVITFSAEPQLHVIQGDDLKSKTEFVMEMDWGMNTDFQKVFDRILDVAVNGNLKEDQMIKRIFVFSDMEFDQASANSWETDYQAITRKYREKGYGSAVPQIVFWNLRDSMATPVSATQKGVALLSGFSKNLLKLFLDNEGDISHLLTDNDGDISPVGVMEAAIAGPEYQKLVVLD >RHN57488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39490436:39490663:-1 gene:gene33035 transcript:rna33035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectin lyase/virulence factor MGFPPQQKIFHLIIFFGYCFCLGRAIDCGGNHVTNIIVVDQQGRGAFKMIQHAIDSIVNNNNQWVKIHINPGKYV >RHN60725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29915636:29915764:-1 gene:gene23081 transcript:rna23081 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaJ MRDLKTYLSVAPVASTLWFAVLAGLLIEINRLFPDALTFPFF >RHN63176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49064311:49067826:-1 gene:gene25850 transcript:rna25850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I, cationic amino acid transporter MTTLSNYFYSLSQTPHRLRKRMLATWTPDQEFNQVRQRSGADMKRKLNWYDLIALGVGGMLGVGVFVTTGPVALHQSGPSVFISYIIAGISALLSSLCYTEFAVQVPVAGGAFSYLRLTFGEFLGYFGGANILMEYVFSNAAVARSFTEYLSFAFGENNPNVWRVEVHGLPKDYNMLDFPAVALILLLTLCLCHSTKESSILNLIMTVFHVIFFGFIIVAGYYNGSTKNLVNPKGIAPYGVRGVLDGAAIVYFSYIGYDSASTMAEEVKDPYKSLPIGIVGSVLITTLLYCLMALSLCIMVPYNKISDKASYSMAFLKIGWSWASNIVGAGASLGIVASLLVAMLGQARYLCVMGRARLVPSWLAKVHPSTGTPLNATIFLGFCTASIALFTELDIIIELISIGTLMVFYMVANALIYRRYVIIGHTPPLHTLLFLFLLSLTSLCFSIVWKFKQQWWGLLLFGGFMITITSLFQHMVPCNNNLVQQEYAHCWYVPFMPWPPSMSIFLNVFLMTTLKTLSFQRFAIWSCFITMFYVLYGVHSTYQAEEIENLGGVNQVVPNLSVTNLQTKVEIQMH >RHN70817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54267321:54267833:1 gene:gene19439 transcript:rna19439 gene_biotype:protein_coding transcript_biotype:protein_coding MLALTKLDRFRTSLPVRITIQRLVTITSFASNSSRTGQPDRSVVMYQ >RHN82185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51795278:51797879:-1 gene:gene6324 transcript:rna6324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endo-1,4-beta-xylanase MIYTFSAWFQLSEGSEFVSVVFKINGSEFVRGGHVIAKHGCWSLLKGGIVANFSSPAEILFECENPTVELWADSVSLQPFTKKQWRSQQDDSVERVRKSKVRFHVTHLNKTEMEGASIVIKQTKANFPLGCGMNHYILTNFEYQRWFVSRFKYTAFTNEMKWYSTERFQGQENYTIPDAMMKFAKENGISVRGHTVFWDDETFQPKWVKFLSPEELRKAAAKRIRSVVSRYRGQLIAWDVVNENVHYHFFENKLGENASPIYYSTAYHLDPEIKMFMNEFNTIESSGDRVVSPPNYIRKLKEIQRFPGTAGISLAIGVQGHFRLGRPNYAYMRSSLDLLGATGLPIWLTETSVNPQPNQAEYLEDVLREAYSHPAVQGIIIFAGPEQVGFGDTLLADANFRNTPTGDIVDKLIREWGTGTHTAIADSRGIIDISLHHGDYDVTVTHPLTKHSKKLNISVKKGSSPETIHVKMHA >RHN46794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34946194:34947112:1 gene:gene41322 transcript:rna41322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MTVTLDDVSCLLHIPIDGMLLSHESISRDDAVEMMMRYLGSSLGDALDEVNKTRGAHARLSYLRKIFKVRLLLQLELDNEGGMEEVVQRLRDQTLRIYLMYLIGITLFTDKSATYVDVVHLRYFRDLEVVAGFSWGAARLYDFYMELNHAAHWSCSQLSGYFTLLQAWTYQHFRVIGSKDVWGGYQDDMHPRAMLFASQVGLFTPNSYRGHLDALDLVGVVMAPYAEHHQTCPFERVGLYFGWLRYGNRMVRYLSKRLLRQFGYIQTVPRHPCESAPP >RHN45869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26394714:26395763:1 gene:gene40291 transcript:rna40291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MPGRVTLPRSIFSCRTLVSLHLKGLKVNDISHGVVDFPLLKTLHLSSVLFERFEYLVEILSGCPILEELQAEDLSVDNVEWVFIQENSVIVKKFISLLPNLIRVSITKSPSYLMNLVTLLCTEAQILRAELDVENEEEVVDNWVDPTTIPKCLSTQLKTCLLKGYKYTDCELQFAKYIMQNSKVLKTMSIKSTSSVDTNAKHQILKKLASCTRASSTCKLLFD >RHN49708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:442951:446700:-1 gene:gene33913 transcript:rna33913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MESPKNEHIIGTGGEQNDVGRNYEHEHEQQHHNSQSLTGDGASPGKIFIGGLARETTIAQFIKHFGKYGEITDSVIMKDRKTGQPRGFGFITYADPSVVDKVIEDSHIINSKQVEIKRTIPRGAVGSKDFRTKKIFVGGIPSNVTEDEFRDFFTRYGEVKDHQIMRDHSTNRSRGFGFVTFDTEEAVDDLLSMGNKIEFAGTQVEIKKAEPKKANAPPPSSKRYNDSRSSYGSGGYGDAYDGFGGGFGGVGGGYSRSGSAYGGRGGPYGGYGSEFAGYGGYAGAMGGPYRGDPSLAYAGRYGGSFRSSYDLSGYGGPGESYGAYGGAGAGAAAGGGGSSGAGAYQSGYDASLGGGYGGAASGASFYGSRGGYGGAGRYHPYGR >RHN40560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17574298:17578159:1 gene:gene46722 transcript:rna46722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MTIFKLFLLFSFLSFSFSEETPNHHAFPRPLILEYAELEEEEVSLRCRSWRFAGEANNLSPWKTVPKECAEHVKEYMNGKGYVYDLEIANKEAGEFAKSVKLKEDGLDAWVFDIDETLLSNLPYYAAHGYGFEVFDHAKFDDWVEKGVAPAIEASLKLYEDILNLGYKVILLTGRSESHRAVTVDNLINAGFRDWHQLILRSSDDKGKLAVIYKSEKRSEMEKEGFRILGNSGDQWSDLLGSSVSVRSFKLPNPMYFIA >RHN58625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3438079:3438492:-1 gene:gene20552 transcript:rna20552 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMCSTPYTMNLENCNCLDTRNNNIWSRYEKIGHEPIVYVNEFVTRSKMARLKTLWMKINRQKKMRIFRSSSPVFLYDHCSYLQNFDDGSIDPDNFSRSFSARFAGPPSKIFEKNFEVMDDEDILEIDDES >RHN43322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44910104:44911903:-1 gene:gene49877 transcript:rna49877 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLGPGYMRLVQWSNYRAYGTHKDFKNKIKSVSQRSVSLSINKEFI >RHN77656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8465149:8467253:1 gene:gene1130 transcript:rna1130 gene_biotype:protein_coding transcript_biotype:protein_coding MMCGNIFEVGPTQTFHPLVLFMLFQTEEGCWNPFLLSYFNLSFMFLV >RHN75172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39962897:39963420:-1 gene:gene11339 transcript:rna11339 gene_biotype:protein_coding transcript_biotype:protein_coding MCKMTKGYNLEWLVLYYKRKTKCWCQGFEKLLVNCRGQVLARVSDFRILTPSHLLHR >RHN66539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13564045:13564903:-1 gene:gene14544 transcript:rna14544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNYSFSCIGKSQYMIYFAVLQLDQCGRVITDDTLLSTLAKSASCLSKLISLSLTGACRLTDKGLQLLVSSAMALKSINLSQCSLLTYASLDILADSLGSILKELYLDDCILIDANLILSGLKRLEQLEVLSLAGVPTVSDKFIESYIIARGHNIKELILKDFINLTDASMKVIAEHCPGLHALDLMNL >RHN50530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7951921:7953909:1 gene:gene34826 transcript:rna34826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MNSPSTVTSISETLAASQTDTSSQASVQSSPLKPLTEERINGGDHPEALDFDECHPSISLVSSISETLDAAQMDTSLLASIQSSPLKPLIEERINEGDQLEALDLDDCRPSISSRVLPVQPEGWIPAGLANLGNTCFLNSIMQCFTHTVPLVEGLFSCSHACNDGHNGYCVICAFRYQMQRSLRYTGRVISPMIIVENLNHFSSMFRRYRQEDAHEFMQCVLDKLDSCFLELKKNDPKFEGDNIVKKVFGGSLVSRLRCCNCGRSSDTNEPSIDLSLEIENADTLSSALDSFTTVEDIDAKFKCEGCNEEVSMEKQLMLDQTPSIAAFHLKRFKTYGIFVEKIDKHINFPLELDMQPYTISNDASSKYDLYAVVVHTGFSSTSGHYFSFVRTAPDTWHKLDDSMVTMVSEETVLSQEAYILFYARQGTHWFSNFAESTILSLMNTSPKSVLDITYCHDKSFSIVNENIKRSRIGESREFSEKKFEYSSPQSRKFPDTFPRREQFPFGSSNQKIRVRHWESTSLAYISKLGGSSYAKNVASDSNKRSLEVYDFTENDDFNPVTPSKSPPSQTPDKVFQISRDHLKTKKQGSSSSKRSSSYQSNGNHENKAAIACIKNMHSSRRDAFLNIIGDSQKNKRKKIDSSQSDKGRNSAPKKSRWNFTF >RHN66343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11111485:11113476:1 gene:gene14306 transcript:rna14306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDKVYKFVYVMIIFFSQIIVATNAQKIRRCFNDAHCPPDMCTPGVIPKCKFTICKC >RHN74065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:26135537:26136271:1 gene:gene10021 transcript:rna10021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome-c oxidase MVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIAVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTGIVPANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFHYWVGKIFGRTYPETLGQIHFWITFFGVNLTLFPMHFLGLSGMPRRIPDYPDAYAGWNALNICIWICLYDLSLQTKLSGSIPFLNKGLLSTNKLLRLCPKSSS >RHN53384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1353301:1357014:-1 gene:gene28286 transcript:rna28286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase transcription regulator GNAT family MVNPKGSSQSKICYRPIRPSDFDVLERIHGRLFPIRYESAFFEDVVNRRDIVSWGAVDLSRPNGQSDELIGFVTARIVLAKESEIVDLLGYDSAKSDQTLVYVLTLGVVEAYRSLGIASSLIREVVKYASSIPTCRAVYLHVISFNISAINLYKKMSFKCVRKLQGFYFINGQHYDSFLFVHYVNGGRSPCSPLQLLSAFVSYMKSGFKAVAAKLCKNEVRKISRWEKCRESHSLVSLSTIPNKRNMAVESTGCEFV >RHN69860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46858065:46861030:-1 gene:gene18385 transcript:rna18385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MASTATTTLFISSEFKPNLPNSLLLPRIRICNKPLSISLQPSKFRATHLSLCNCSRTPLTPSTIFSPQTTLTNFISQKISFFLIGSFIFVACFSRKPAFAVSVPSVDSAEILEEKILEKDSRNVEALKVIVYGKIRRGKCKEAEKFVKRLIDEEPNEVEWRLLLALCYETMGYLSKAKGLYLEILENWPLFVRALHGLAMVMHKNKEGPAVFEMLNKAVELAINENKVTEERNIKILTAQMRVVQGDLEEGLKRCQDLIDQNPRDFRPYLCQGIIYSLLDKKEEAAKQFETYQALVPEEFPQRGFLDDITLAAKGTSPVQFQKEFRNQFSDQK >RHN55818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24302312:24302875:1 gene:gene31086 transcript:rna31086 gene_biotype:protein_coding transcript_biotype:protein_coding MTEENRNRLFYRCKHEKPEENCGFFLCCEPIGCETETTQKVDSRMMEIDDELDGALADQALKFDAMKKELEGKLAEMKKVMEEEIDTVKKEFGLEILKMSKILEAQVHLADEENKENLLEVKKNVDLEIAICKKIAMEELFLVKESLDDEVSLLKEEVGWSNSSLWKLKLVVLMMMFMLGWLVFLKT >RHN65712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4667574:4670400:-1 gene:gene13585 transcript:rna13585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-10 MIVKEKSTVILKFIAALILLPQGLAAYKLTPTYKPLNSELPPYTNFVPPFSLNPVYNAPIYKTSNSPPIYNPPIYEAPPTYKPSKKRLQPPFQKLPRV >RHN73332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15461143:15463959:1 gene:gene9133 transcript:rna9133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MASPLEIDEENDNKGSMWDLQQKFDQPMDAEAGRLKNMYREKKFSALLLLQLAYQSLGVVYGDLGTSPLYVFYNTFPYGVDDSEKVIGALSLIIYSLTLVPLLKYVFIVLRANDNGQGGTLALYSLLCRHANIKIIPNQHRTDEKLTTYSRTTFQEKSFAAKTQRWLEQHKFIKSTILILVLVGTCMVIGDGILAPAISVLSAAGGIKVNKPEVDSGVVVLVAVVILVGLFSIQRYGTDRVGWLFAPIVLLWFLLIGGIGIYNLWRFGGSVLRAFSPLYVYRYLRDGHKDSWLSLGGILLSITGTEALFADLANFPVSSVQIAFTLVVFPCLLLAYSGQAAYLVNNLHHSQDVFYRCIPEKIYWPVFVIATLAAVVASQATITATFSVIKQALAHGCFPRVKVVHTSKKFLGQIYIPDINWILMVLCIAVTAGFKNQNQIGNAYGKFFPRIRL >RHN68865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39190868:39193438:1 gene:gene17279 transcript:rna17279 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTTDALDLLIKVGWNSYLTPKALFKAGFINHNHIFQSTSIKQNFNVLVNKRLTILNYCVYCGNSRTEIYAFNISSCIRFNNYINCILI >RHN44726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7765624:7766022:-1 gene:gene38881 transcript:rna38881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MFNQEKLMHYQVEPSWSYYMRVRTMEEDQMERIMRIATQSAVVIFSISSCCMCHAMKSLFCGMGVNAMVHEVDEDPKGKQMKRALMRLLGNSTSLPVVFIGGKLVGSMDRVLAFHINGSLVPLLKDAGALWL >RHN77969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10955564:10955955:1 gene:gene1482 transcript:rna1482 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRPSPLFSSGSNTPFYTTTESQPHLTTTGERDQPIENSGAEVQHTTTTRLLVAGEFLRGEKERNNGGARE >RHN79390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28333777:28333923:-1 gene:gene3176 transcript:rna3176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MCPGMPLAIRMLHTMLGSLINCFAWKLQNGDRDIDQPLRAIPFRVNKV >RHN76838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1698083:1703753:-1 gene:gene218 transcript:rna218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MKKEFAWPQFTKNLLIMVGSRHRSNLKRPTWIIVLVFIVCFFLLAAYIYPPRSSASTCSLFNSQGCSVGGVGRTFNKPPPVQTREFTDKETESRVVINEILKYYAVQSTVPKVAFMFLTPGTLPFEKLWHTFFQGHEGKFSVYVHASKEKPIHVSPYFVGRDIHSEPVTWGRISMVEAERRLLANALLDPDNQHFVLLSDSCIPVRRFDFVYNYLLLTSVSFIDSYVDTGPHGNGRYVERMLPEVEKKDFRKGSQWFSMKRQHALIIMADSLYFNKFKHHCRPNMEGNRNCYSDEHYLPTFFNMLDPGGIANWSVTYVDWSEGKWHPRSFRARDITYKLMKKIAYIDESPHYTSDAKRTVVIQPCELNGSKRSCYLFARKFYPETQDKLIHFFSNSTTF >RHN53872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4858593:4862598:-1 gene:gene28832 transcript:rna28832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CAAX amino terminal protease MDLSLTLTSNPSSTSLSHFSKLCEFHQRKKRVSFFDVSRVVSVRCVKASAERTGDTIDDRGETRTGFTTPAAMEVTTTFNRGFNDAAGAADFPVWEKIGAVVRLSYGIGIYGAMAVAGSFICSITGIDSLGGFHLSLDAIVQGLGYAVPPIMALLFILDDEVVKLSPYARAIRDVEDEELWSFFYGMSPLQFILMVAASSVGEELFYRAAVQGALADIFLRGSNLIQDVRGMASLTGVLPPFVPFAQALAAVLTAVLTGSLYYVAASPKDPTYIVAPVLQSRASRQDLKKLFEAWYEKRQMKKIYSPLLEGLLALYLGFEWIQTNNILSPIITHGIYSTVILGHGLWKIHDHRRRLRQRIQQLKSEEKNSN >RHN75782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44998993:45000100:-1 gene:gene12030 transcript:rna12030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MYPEAEVISLSPKTLMATNRFVCEICLKGFQRDQNLQLHRRGHNLPWKLKQRTSKEIRKRVYVCPEKTCVHNHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKFYAVQSDWKAHSKTCGTREYKCDCGTIFSSTKKNLKEASLITFLQLS >RHN54389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9189838:9190671:1 gene:gene29414 transcript:rna29414 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHFKEPINGVEATLKVNKHEKKICFTRVKNSKQIMGFA >RHN46809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35024633:35026191:-1 gene:gene41340 transcript:rna41340 gene_biotype:protein_coding transcript_biotype:protein_coding MCQSIICPYYWSSKNDICFFFLTEAYVNDSEKYKYLFKKINSHKVFTFLQIKLTQFTIQIFYV >RHN44100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1665242:1668062:1 gene:gene38159 transcript:rna38159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MNLRKYLISNSFGPKMMIAFLLICSLTVGTQSAKTTSHKLQMEANAIIKSGWWNTSDPLFNISDRCNWYDIFCNKAGSIKAIKIEPWGSQLATLNLSTFNYSTFHNLESFVVSSVELHGTIPKEIGHLSKLTHLDLSGNYLKGELPPELWLLKNLTFLDLSYNRFKGEISSSLENLKQLEMLNISNNYFEGYIPFELGFLKNLITLNLSNNRFKGEIPSSIGNLTQLWGLDISHNNLGSIPHELGFLENLYTLDLSHNRLNGNLPIFLSNLTKLEYLDISHNLLIGTLPSKFFPFSDYISSMDLSHNLINGEIPSYIVYIYRFNLSNNNLTGTIPQSLCNVYYVDISYNCLEGPFPSCLQLNTTTRENSDVCSFSKFQPWSPHKKNNKLKHIVVIVLPILIILVLVFSLLIYLKHHHNSTNKLHGNITKTKNGDMFCIWNYDGKIAYDDIIKATEDFDMRYCIGTGAYGSVYRAQLPSGKVVALKKLHGYEAEVPSFDESFKNEVRILSEIKHRHIVKLYGFCLHKRIMFLIYQYMEKGSLFSVLYDDVEAVEFKWRTRVNTVKGIAFALSYLHHECTTPIVHRDVSSSNILLNSEWHASVCDFGTSRLLQYDSSNRTIVAGTIGYIAPELAYTMAVNEKCDVYSFGVVALETLVGRHPGDLLSSLQSSSTQSLKLCQVLDQRLPLPNNEIVIRHIIHVAIVAFACLTIDPRSRPTMKRVSQSFVTELTPLSIPLSEISVQQLMSEELRALIYIGNP >RHN65147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64519513:64524817:-1 gene:gene28051 transcript:rna28051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-C-methyl-D-erythritol 4-phosphate cytidylyltransferase MEFVIKFQHSPLLHLGLPFPTLKSLTTTAKVRSGPQISINNNNKNVLSSTSICYAAALPTAQEQDNDSHSHVVVKDRSVSVVLLAGGKGKRMGATMPKQYLPLLGQPIALYSFYTFSRMLQVKEIIVVCDPSYNHIFQDAKGNCQPDLKFALPGKERQDSVYNGLQECATVDPNSELVCVHDSARPLVLQEDVKKVLKDGLLNGASVLGVPVKATIKEANTESFVVRTLDRKTLWEMQTPQIIKPELLRKGFELVNREGLEVTDDVSIVEHLKHPVYITEGSYTNIKVTTPDDLLLAERILNINSGESS >RHN38500.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:107717:108203:-1 gene:gene50609 transcript:rna50609 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKNPAKIQPLSHFFGTLCLQGKFTHFSTKLEMAHQAYIGGAPCWGMAGAPPQALPNRLLPLNLPHFHQFELLSSIFFDKLATFDEFGGDLV >RHN66839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19871788:19885758:-1 gene:gene14941 transcript:rna14941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MANVSVAAEWQLLYNRYYRKPELYPMRWKHVDLARNKIAAAPFGGPLAVIRDDSKIVQLHGESALRKLRLFSSSGHLLADTVWRNPGGRLIGMSWTDDHTLVCVVQDGTVYRYDVHARLIEPNLSLGKECFESNVADCAFWGNGVVCITESNQLFCIADFKNPNAVKLADPGIVEPPRCMAVIEPQYTVSGNVEVLLGVGDGGEEEDAAVIAVEEDGVQRLGGEMLRGPLQKMVVSRDGKWLASFTHDGRLLVTTSDLTGVIIERECESALPPEQLAWCGMDAVLLYWDDMLLMMGPDGEPVTYLYDEPIILIPECDGVRILSNASMEFLQRVPDSTVSIFTIGSTSPAALLYDALDHFDRRSAKADENLRLIRSSLPEAVEACVDAAGHEFDVSRQRTLLRAASYGQAFFDFGSNFHRDRIQEMCKILRVLNAVRSFEIGIPLSIQQYKLLTPSVLIGRLINAHQHLLALRISEYLGMNQEVVIMHWACAKITASLAIPDATLLEILLDKLKVCKGISYAAVAAHADKNGRRKLAALLVEHEPRSSKQVPLLLSIGEEDTALMKATECGDTDLVYLVLFHIWQKRQPLEFFGTIQARQLARDLFITYARCYKHEFLKDFFLSTGQLQDVAFLLWKESWELEKNPMASKGSPLHGPRIKLIEKAQNLFAETKEHTFESKAAEEHAKLLRLQHELEVTTKQAIFVDSSISDTIRTCIVLGNHRAALKVKTEFKVSEKRWYWLKVFALATIKDWAALEKFSKEKKPPIGYRPFVEACIEADEKGEAIKYIPKLADPREKAESYARIGMAKEAADAAAQSKDGELLGRLKLTFAQNAAASSIFDTLRDRLSFQGAS >RHN77459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6986706:6993260:1 gene:gene921 transcript:rna921 gene_biotype:protein_coding transcript_biotype:protein_coding MSGALAWQIRKSLIKLQLFCFEREERDRFGDSFFLRLSIFSLCCVCVLPLASLFFCSVTFRYSTIMHDAYTTNYKSYN >RHN49017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51817649:51818363:-1 gene:gene43796 transcript:rna43796 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGGLSSLSNPKLLFNSSGFPQTIKISPATPLQTRWGVAGSGRMTVVRPVRAAPEQISKKVEESIKSAQETCADDPVSGECVAAWDEVEELSAAASHARDRKKDSDPLEDYCKDNPETDECKTFDT >RHN82510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54358095:54358315:-1 gene:gene6681 transcript:rna6681 gene_biotype:protein_coding transcript_biotype:protein_coding MWTCVRLVFEVPLTYIYAISSFVYICAYLIIFIAFSNTHFIRMNEAVISGSFVSKILPFRFL >RHN70209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49681964:49683747:1 gene:gene18765 transcript:rna18765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MKIKLATALILCSAIFMSMSNATDPCASQPDDSDLNVIPMYGKCSPFNPQKTDSWDNRVLNMASKDPARMSYLSSLVAQKTVSSAPIASGQAFNIGNYIVRVKIGTPGQLLFMVLDTSTDEAFIPSSGCIGCSATTFSPNASTSYVPLECSVPQCSQVRGLSCPATGSGACSFNKSYAGSTYSATLVQDSLRLATDVIPSYSFGSINAISGSSIPAQGLLGLGRGPLSLLSQTGSLYSGVFSYCLPSFKSYYFSGSLKLGPVGQPKSIRTTPLLRNPRRPSLYFVNLTGITVGKVNVPFPKELLAFDVNTGSGTIIDSGTVITRFVEPVYNAVRDEFRKQVTGPFSSLGAFDTCFVKNYETLAPAITLHFTDLDLKLPLENSLIHSSSGSLACLAMASTPKNVNYTVLNVIANYQQQNLRVLFDTVNNKVGIARELCN >RHN65044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63822809:63823888:-1 gene:gene27937 transcript:rna27937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-alcohol O-fatty-acyltransferase MIEGEINNFIMVWSVATILFCYSYTIGKLIPKGKVRFVTLIPPIIILLLLPMRLTIVHLVGPSSFLLSWLSTFKLFLFAFSKGPLSSNPPLSLSHFLLIASFPIKLANHANQTKIKVVPINCPSKLVIIFLFSYFFIHLYDKKENFHPINLMILYTLHLYAGLEIFLVLISTLSSKLLHVELEQPFNKPYLSTSVQDFWGRRWNIMVTRILHPTVYKPMVNASSHFIGRKWAPLPAVVATFTVSGLMHELIFYYIKREKKDTWEAWEPCWDSMCFFFIHGVCLALQIAYKKVFEPKRELLPRVVSCILTMVFVVSTGICLFVPALVRCGVFEKARTESIVFVQFVKNIYVQRGHSLHEG >RHN47309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38705144:38706046:-1 gene:gene41888 transcript:rna41888 gene_biotype:protein_coding transcript_biotype:protein_coding MRGELSHCELHSNGENHRGGPSSVSINSFACSKYSFQPLRLCFSSFTGTRSVDMANRGTMLMIPPDFTFMALDSGTWWKREEETVGKKQTPAASMAVGESGLKLKQFGIQW >RHN45490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21385528:21386637:-1 gene:gene39836 transcript:rna39836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative MULE transposase domain-containing protein MKDMRREHAVGITKGMACKTKQIAKTVVEGDASRQYSMLWRYAAELKRVCAGNNCKINMERPAPTLQPRFSRFYFCFDGCKKGFTSACRPFIGVDGCHLKTKYGGQLLVAVGRDPNDQYFPLAFGVVETETKDSWSWFLQLLLEDVGQDKRYVFISDQQKGLIQVLEEKAQTIDHRLCLRHLYANFKKKFGGGTLIRDLMMGAAKTTYYQGWEQKMNELKNVDRDA >RHN45123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11980390:11983475:1 gene:gene39332 transcript:rna39332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative homocitrate synthase MLKHKGTYEIISPEDIGLERSIEASIVLGKLSGRQALRKRLEEHGYKLKDDELETLFWKFKALAGTKKSNQCRPQDIDVK >RHN60489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27305582:27311116:-1 gene:gene22804 transcript:rna22804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nonaspanin (TM9SF) MAKPHHLILLLLLFTTLQSSSVTASTSNHIYNVGDIVPFFVNKVGPFNNPSETYEYYELPFCKPDPIVKKIESLGEVLNGDRLSNGLYEFKFREDKIDETLCQKKLTIDEIDILKQAINSEFYFQFYLDDLPFWGFVGKVEDESLIHGGGGSSYYLFTHVQFDVLYNGNQVVEVKAFGDPNRAVDITKDVGIDVKFTYSVIWNASELRFENRMERYSKASWLFVYRQIHWFSFINSIVIILLLIGLLALLYTRHLKSDLKKYSSANEEDREVGWKSIHGDVFKPPPHLSLFFAVVGTGTQLLILLCVLLFLAFIGTLYPYSRGGLSSWIVLLFTLSSVFAGYSTASFHGQFAENGWEKSVGLAGILYIGPVFVTFSILNIIAISYRVTAGLPLGSIIVILSLFGFVSIPLLAFGGVIGYRFRSKFQVPSGTKRYPKEIHQLPWYRRTPFQMFIGGFVPFSAIVLQLHQVYASLWGYKIYTLPGILAATLITVIVIIALVNISLTYVQLSVEDHEWWWRSVLCGGSTAIFMFGYGIYFYARSRMSGFLQLSFFIGYNACICYAFFLIFGAISFRVSLLFVRHIYHNVKRE >RHN39243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5248134:5249751:1 gene:gene45248 transcript:rna45248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MSNNIVIVFDFDKTIIDCDSDNWVVDELGFTDLFNQLLPTMPFNSLMDRMMMELHSNGKSIEDIEKVLQRIPINHRIISAIKSAYALGCDLRIVSDANTIFIDTILKHLGISECFSEINTNPGYVNQEGRLKVMPYHDFNKASHGCTLCPPNMCKGLIIDRIQKSISEVEKKRFIYLGDGAGDYCPSLRLRERDFVMPRKNFPVWDLICKDPSLVKAEIHGWSDGEELEQVLMNLINKIMMEEHVQFIASDCKLATNSICSRSPVIA >RHN53709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3517996:3518232:-1 gene:gene28646 transcript:rna28646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MVSVADVFASRCTITITPNWIEKLILQTTYSEEQVKDTARSLVCFYSKVKEFPVIANKYSNIEKGFVAHLKPAKSLYV >RHN42493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38808134:38814414:1 gene:gene48940 transcript:rna48940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase response regulator and transcription factor RR-A-type family MWLKWWEKILGNGYKINQQYYQYIGSKRVKRELWRKLLLTWVLGWTVVSLWIFCFMSLQATEKRKETLASMCDERARIVQDQFNVSMNHIQAMSILISTFHHAKSPSAIDQRTFASYTERTAFERPLTSGVAYAVRVLHYEREQFEKQQGWIIKRMDTLEQNPVHKNDYAVEELEPSPLQEEYAPVIFAQDTISHVISVDMLSGKEDRDNVLRARESGKGVLTAPFRLLKSNRLGVILTFAVYKRYLPSNATPNERLQATNGYLGGVFDVESLVEKLLEQLASKESVIVNVYDTTNHTHPIAMYGSNISGDVFNHVSTLNFGDPFRKHEMHCRFKQKPPLPWAAIYTSSGILVIALLVGHIFYATVNRIAKVEDDYHEMMELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMMHMLMDTDLDVTQQEYVRTAQESGKALVSLINEVLDQAKIEFGKLELEAVLFDIRSILDDVLSLFSDKSQGKGVELAAYVSDQVPKLLIGDPGRFRQIITNLMGNSIKFTDKGHIFVTIHLVEEIVHSIEVDNESNPENTLSGFPVVKSCLSWKGFKAFSQEGPLGSFSSTSSDLINLIVSVEDTGDGIPRESQPLIFTPFMQVGSSISRKHGGTGIGLSISKCLVGLMNGEIGFASEPKTGSTFTFTAVFANGLLSSNECKIPQINDQSKPASSEFEGMNALVIDPRPIRAKVSQYHIQRLGIHVEKVSDLNQGLSTINKGNAVINMVLIEQEVWYRDSSMSSHFFDSTRKVNHGFPPKLFILVNSSNSSKTSSVMSDVDNPTIITKPLRASMLAASLQREMNVRNKRTLNRDLQNLSLSHLLVGRKILIVDDNGVNRAVAAGALKKYGADVVCVSSGKDAISSLKPPHQFDACFMDIQMPEMDGFEATGRIREMEQNVNREASINWHVPILAMTADVIQATHEKCLRCGMDGYVSKPFEAEQLYREVSRFFLSS >RHN78717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17788662:17789970:1 gene:gene2362 transcript:rna2362 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKSVVKVTQNCRNWRMLSISVAELDSSVAEFALSDVGTFEKDRKNVVAEETPKIASIFLLLTLKKVTLMQP >RHN65344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1346439:1347509:-1 gene:gene13171 transcript:rna13171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC transporter permease MalE MQTTFYSHPVFCFSCRNLSTNPKSSLVKIHAPYLSQLNSKCEFNRRPHIYNSPITIKPNRLFASTVDDKMNTNHAPNVISPMFLSNWSLPRYLWRDLSVLILAGQEIMKILKGKVHWRNTLQQFERVGPKSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSVGGVSALAFSRELRPVVTAIVVADRIGSAFAAELGTMQVSEPIDTKRLASSALLADGVYGISINIILDSAQRSLRAWDIISAMIKSGAFGAIISIVSCAWGVTTIGGAKGVGESTTSAVVISLVGIFIADFALSCCLFQGAGDQLKNCI >RHN62246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41996694:42000086:-1 gene:gene24800 transcript:rna24800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lupus La protein MAQAQREEKIHHEKNQEKDHVQETSNSSFKFNVQAPEFVPRSHSQMPISGYFYPCFQILGGSGESDWFYVGDQDPSACLIPNANVSQPNCSKNVLNPDLQQKIVKQVEYQFSDLSLLANESFQKQMNKDPEGYVPITVIASTKKIKSLVNNIHLLTQAIRHSSKLVLSADGKKVKRKHPFAEKEKEELMSRTVVAENLPDDHSHQNLQKIFGVVGSIKTIRICHPQEPNSSRPKGDFLISNKLHALVEYESSDVADKAVEKLNDERNWRKGMRVRLLLRCSPKSVLKNRKSEFDGYLDDDENLHSETAEDSSHPNNTELCVESNGDETSVGSKKGWTRGRGKGRGRTQNHTGRGLLAPPSPSSSVVLGEVSTKPNAKGPRMPDGTRGFAIGRGKPISSPTSPQE >RHN46196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29571382:29572263:1 gene:gene40644 transcript:rna40644 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYYQGISILQFACIDQFLSNNNNDLKENSGATSATMKFLGLFY >RHN39092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4073194:4077325:-1 gene:gene45086 transcript:rna45086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSSTTLPSVPPQDAWTLTSHKLLPRWISLSHQSTIPISISRVNQVDAARLDIEMSAMLKEQLVKVFTLMKPGMLFQYEAELDAFLEFLIWRFSIWVDKPTPGIALMNLRYRDERAVESRDKVRTGLEGPGLTVAQKLWYCIATVGGQYIWARLQSFSAFRRWGDTEQRPLARRLWILIQRIEGIYRAASFGNLLIFLCTGRYRNLIERVLRARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKNLLRPFSKDKSSSSGEDSTACPICQATPIIPFVALPCQHRYCYYCLRTRCAAAPSFRCLRCNEPVVAMQRHGGVSTE >RHN51658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21680006:21680815:1 gene:gene36140 transcript:rna36140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MCCFFLQISNPKKLMAFTTSILAEKKNKILFILGATGTGKTKLSINLGTRYPAEIINSDKIQVYKGLHIVTNKVPESERCSIPHHLLGIIDDPEYDFTMNDFCKNVLESIDLIIGNGRLPIIVGGSNSYIKKLVEEPTIAFLSKYDCFFIWVDVSLPTLFQYVGKRVDEMVESGMVDEIREYYAPGADNSKGIRRAIGVPELDSFFQIEKKNDIDDAQKEKILAEAIRKTKQNTCILVQNQLLKIKNMAQILGSMVYKIDSTEVFEALL >RHN61620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36868130:36870893:-1 gene:gene24103 transcript:rna24103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase transcription regulator Homeodomain-LIKE family MIIPVRCFTCGKVIGNKWDSYLDFLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTMEKAEAS >RHN78997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20707714:20708022:1 gene:gene2673 transcript:rna2673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MSQQQFSLLLEFGDFINYVKKLRNINPQNFCGIDIYNGILIRYIKASEVYLGQSEDSVVIDFNYYRANDQFPARLNQDVWEKLEQIAFSSIVLSHIGIRIGT >RHN44725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7760383:7762717:1 gene:gene38880 transcript:rna38880 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPGAEESTHSSNVCVLMNSLCFSHDFNKTDFVIWKMTEFGDDRSWTKFFTFSYHNLQVNLNSRFVYSWLKLKALHLSEDGDTIVFASCLHNQAILYNLRTNRVLESRVNKKICWYSIKDYVESLVSTC >RHN75243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40550044:40553704:-1 gene:gene11416 transcript:rna11416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MESLAAPAPVDIKRPIVDFSPSIWGDVFLQYDSQPMEINDNMKTQVQMQKEEVRKIFQSSSNDISQKLNFIDSLQRLGISYHFEREIDEALEQIHKNLTKNKEITTKEGSLHFLALEFRLLRKKGYHISSDEIFEKFKNNKGSLNENISKDVQGMWSLYEAAQLRIHDEDILDEALDFTYSHLNSLITNELSPFLAKQLCQCLRTPLHKGVPRLETRCYISSYGEEPSHSKVLLNFAKLDFNTVQKMHQNEIGSITKWWKDSEFATNVPYARDRVAEAYFWPLAMSYEPKYSTARKMVGKLVTCVSLLDDTYDAYGTVEELELFTEAMQRWDINVIQSLPESMKVVFNSIVELCDEIETTIVENGTSSLVFIQYVKQNFYKLARSYFVESKWCSEGYIPTYDEYKANGSISSSYPLQILSFIGLGEFSNDEILDWIFNYPTIIDAISAHGRLADDISSHKFEQERVHVASAVECCMKQYDMSGEEAYNFIRKEIENYWKVMNEECLKLDNIPRPVLEFIMNVARVTEFAYENFEDKYTKPELLKDYIVALLVDPISIELSE >RHN56771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33611764:33614202:1 gene:gene32219 transcript:rna32219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MPEKHILESVLDVLQRNDPDELFAKPVNNPNMIEDYYKDANTPLDFSGIRAKINEERYISLEAFKFDVYLLCCNAMYANDKYSRPYQVAEAIQSVAIRVFEDISVDGFQRFHLESLPTNAPLAASSTIPQVEPSNILGHARFRRILHSENNNGTPSTELIIRDIPKPRITPQVVGPSLEEAATRLDNEHCLVLCLGDSSSDASNNVSDTQQPNAQASGSFLLRQENSTPITSLLSYQDNRESNTNGGGRQHNTSTLIPIFVDKNVASNSKDVVGAGSSQIGIFPLQDANYARKANPNSSFTSQHETFQGFSSPSIISFNQTNEKGLFQSQEHFSTFPINGYSDLQMKLGLLNPMGPFPMLKHSMSSESGPIPQEFFPSSSSVMRGNMNQSEEKAKGKGKEKDGGSTSRQYGDFEELDLDLKI >RHN80391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37668954:37672936:1 gene:gene4313 transcript:rna4313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ADF/Cofilin, ADF-H/Gelsolin-like domain-containing protein MAFRMGVGGGNASSGMGVAEQSVSTFQELQRKKVYRYVIFKIDEKKKEVVVEKTGGPSESYDDFTASLPENDCRYAVFDFDFVTAENCQKSKIFFIAWSPSVARIRPKMLYATSKDRFRRELQGIHYEIQATDPTEMELEVLQERAN >RHN65120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64336970:64339196:1 gene:gene28021 transcript:rna28021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MKRSTDELAPVPATAIPKPVFLTKAQREQQALERRHNQVAGHKRDQEELLLLSTNNNINRSSDSDRRDRDRDRGHDRDSYRERDRDRDRERERDRDNRDRERRHRDREREEENKVRERARLEKLAEREREKELDSIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNVLYQNPHEAQLLFGRGFRAGMDRREQKKLAAKHEKEMRDQIRKKDGVEEKPEEADAQRRKEEAADAYDTFDMRVDRHWSEKKLEEMTERDWRIFREDYNISYKGSKIPRPMRSWVESKLSQELLKAVEKAGYKTPSPIQMAAIPLGLQQRDVIGVAETGSGKTAAFVLPMLSYITRLPPISEENEAEGPYAVVMAPTRELAQQIEDETVKFAQYMGIKVVSIVGGQSIEEQGFKIRQGCEIVIATPGRLIDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVMGVLDAMPSSNLKPENEDEELDEKRIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLISQHVIMMKESEKNYKLQRLLDELNDKTAIVFVNTKKSADFLAKNLDKEGYRVTTLHGGKSQDQREISLEGFRTKRYNVLVATDVAGRGIDIPDVAHVINYDMPGNIEMYTHRIGRTGRAGKTGVATTFLTLQDTDVFYELKQMLIQSNSPVPPELARHEASKFKPGSIPDRPPRRNDTVFAH >RHN63588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52466752:52477369:-1 gene:gene26307 transcript:rna26307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 transcription factor C2H2 family MGHKKRNSVPPRSKLSPAASPVNQSPIGGAATATTTNGSTSPDADNSIILFDPNPNKIEFANNGSPQSEASHFSAIKVECERALTTFRRGNHNRAMKLMKELCLKEEGSFYSPFVHRIYGFICFKVASVITDCNAKQRHLKHAVDLARRAVELSPNSVEYAHFHASVMLEAATEAKDYEDVVHECERGLAIEYPTDPAKETLQDESEQKVSTMEDRILHVQGELRQLIQKSNIASLSSWMKNLSNGEERFRLIPIRRATEDPMEVRLVQTRRPNEIKKVTKTPEERRKEIEVRVAAARLLQQKSESPQSPNEGDREDRALDLNSGSSQRTGERRRHLRKNGSTAERRKWVLSYWDSVGMDVKKDLLKIKISDIVSHFNSTKDTLLKDVLSEALSYAEANKTWRFWSCCICSERFSNQEFHRQHVMQVHLQTLPPKMQRILPQHVDNEWIEMILNCSWKPLDVSAAVKMLDYKAKFRGSSRPEDYLTQDYDDCFKDSNSSYHEQESLGYSAVNCTSESSKYYKIDESDVREGIEDQQFMANPVSDCWPVSDDEERAQLLEKIHGAFEILIRYKCLAAGHLHKVIQFSISEIQGLAAGSELLKHDIDQTPMCICFLGASQLKKILQFLQELSHACGLGRYADKSSSPKNDLHDISQGAEAKEKIVLSGDASCLLLDECLLRTQVTPGITQEAVFEDLVTPSSPDGISDSSGALLSWLYASRPIGDHFASWSRTKEDKMCQGQEMVRALDKEFYQLHSLCQKKCERLSIEEALQTIEDLCLEEGKKRENVSEFVQRSYESVLKRRRDELAERENEMMYPGNRVEFDCITNVLQEAETTSLNNQYCYDEAYTGVASQLCDLESGEDDEWRMKDCLHQMDGCIEIAIQKLKEHSSIEISKVDAEIIKCVSEVQLLELKLGHVSTYDYRAILVPLVKLYLKTLLEDLAEKDAREKSDAAGEAFLAELALDSKKIGKGGNENTRHVEKTKDKKKNKDHRKTRDLKATSGSMHPLFQSTNLDSDLVSPDSEFQDHEVVSMNDDDLEHHEEEFRRKIELEEEEKKLEETLELQRRIENEAKQKHLAEQQKKLSGTCLEEMTDKLQDCQLKTVPDGLDVQEHIRLPMQEQLAKENGCPSNLEVVLSTTVNGSMMPTKSSADSASQKINNLHQSKIKQDMPNGIVPENGLPLPDRRAGKKHKRNRNLSKMVDGKLEHNENIEDSNTVNQDAKHLLENNGTKVMKELQVEDEEEERFQADLKMAVRQSLDTYQARGSLPLVSSLRMPQRPSSQVDCSGLAPVEDSAQDVNGANLLGTGLRNEVGEYNCFLNVIIQSLWHLRRFRVEFLGRSRSEHDHVGNPCVVCALYDIFTALDLASKDSRREAVAPTSLRIALSNLYPHSNFFQEAQMNDASEVLAVIFDCLHRSFTRGSSVSDSESVESKCMGSWDCAASSCIAHSLFGMNIFEQMNCYHCNLESRHLKYTSFFQNINANALRTMKAMFPESSLDKLLNLVERNHRLTCDQEVGGCGKLNHIHHFLSTPPHVFMTVLGWQNTCESANDIAATLAALSTKIDISVLYRGLNPKSTHNLVSVVCYYGQHYHCFAYSHDHGQWIMYDDKTVKIIGGWGDVLTVCERGHLQPQVLFFEAVN >RHN66037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7827906:7828999:-1 gene:gene13947 transcript:rna13947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MVRTSGGGGGRERFLQQTLLRRIDSCKSRFSTADDIVDHLRSTYSSYHRMKFQTLLFSVRKALLASNNNQASYHSRRRRRNDDSDDDDSEDDDHDHERYSSRKRRRNDESQNDDSENDHEIMSTQTSSDYSYRNRHRIDDFGSGSDDDHDHERIRNASRNRRAIEIDEYNSEDDDADADDLGRMRYGRMGTGASSNYDSENYIKKVEEPDVLRKSSIPNAASGVGSSGDVKKGPMFKDLAGNRMEKIKKELEVPLNLLCYPELGKKLGLEPLTGILLHGPPGCGKTRLAHAIANETELPFYPISATDVVSGVTGYKEENLDFFLLLKSSIHELICW >RHN49686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:294253:295068:-1 gene:gene33890 transcript:rna33890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MGLFALQKTLTSMQNVYFGTLLQINSRSLHPSLSSLNHVNYMDPIVNFNGFGYDHVKDDYKVIRWLYFYDNAGVDELRREYLDVEPMWEIYNLRCNSWRKLDIKMPCSGSNEKLYVNGMCHWWSLNDDNNYSNAEPRLVSFDLCNEVFLTTPLPSDMVDRYDICHLTFLNGSIGFIMYDETTTFNIRILGELGVKESWDKIFTVELLPYIERPIGVGKKGDIFFRKDDDELVSSDLSIQMIKELDAKGCECWCYIAIYKDSLLPIEGLLTI >RHN44177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2249822:2254952:-1 gene:gene38267 transcript:rna38267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer plastidial membrane protein porin MAAKGPGLYTDIGKKARDLLFKDYNSDQKFTVSTYSPTGVAITSSGTKKGELFVGDVNTQLKNKNYTADIKVDTESNLFTTITVTEPAPGVKAIISCKVPDPASGKVELQYLHDYAGISGNIGLKANPVVNLSGVFGTNALAFGGDISYDTKLRELTKSNVGVSFIKDDLLGALTLNEKGDVLNASYYHVINPVTNTAVGVDMGHRFSTRENNFTLGVQHALDPLTTLKGRITNSAKASALIQHEWRPKSLITISTELDTKAIEKSAKVGLSLVLKP >RHN45628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23855580:23857818:-1 gene:gene40008 transcript:rna40008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLKTFKSSIGLSRTILLCIKIQSFSQCTTTSLVKNNGKEWENLFKPYDLKHLQRSLNPITPSQLCKLLELPLDFPTSMDLFEKAGLQRGYIHSFHVYYLLIDKLGHVGEFKMIDKLLKQMKDEGCVFKESLFILILRYYGKAGLPGQATRLLLDMWGVYCFEPTFKSYNVVLEILVSGNCPKVAPNVFYDMLSRGISPTVHTFGIVMKAFCMVNGLIRRTFNDVIHGLCKAGRIHEAAKLLDRMLLHGFTTDALIYGCLMPGLCRMGQVDEARVLLSKIPNPNTVLYNTLINGYVASGRFEEAKDLLYQNMVIAGFEPDAFMFNIMIDGLCKKGYLVSALEFLNEMVEKGFEPNVITYTILINGFCKDGKIQDAFQMYGEMSSKGCKPDIYTFNSLIYGLCKNDKMEEALGLYHDTFLEGVIANTITYNTLIHAFLRGDSIQQAYKLVNEMLFRGSPLDNITYNGLIKALCKTGLCKNDKMEETLGLYHDMFLEGVIANTITYNTLIHAFLRGDSIQQAYKLVNEMLFRGCPLDNITYNGLIKALCKTGAIEKGLGLIEEMFGKGIFPSINSCNILSNSFCSIGKVNDALRFLRDMIHRGLTPDIVTYNSLINGLCKNGRIQEALNLFNRLQTEGMCPNAVTYNTLISSFCYEDLFNDACLLLFKGVSNGFIPNEITWSILINCFVKKYQRE >RHN72426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7510594:7510770:1 gene:gene8135 transcript:rna8135 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEATMEKMMVLTVTKRYGRVKHKRKKFHRKVRLVVADGRNDFEFQSEHRGNNSEGK >RHN67032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23068323:23070431:1 gene:gene15163 transcript:rna15163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MEDTMMEVREDFMLSPAGDSHPQLRTAHFLKPISNSIEEQPPFKFNPSSFLNPNDNPLKINFRGWHITQTKWVSWVHKLKQNYESVWKKVGIFDAIMATKCYLNKNQNLLVGVVEKWCPNTNTFVFSFGEATITLEDIMVLGGYPVLGDPVFTSLHDQEMKEIEEKLIIARQQLTNAKHGGVASTSYWMDIFIDKGSEIEHEAFLATWLSLFVFPHKNYLVKSSLFPIAVYLARGNRIALAPAVLASLYKDLTLFKKTITDLSKCHVGGDRFPLEITLKSPFYLVQIWVWERFKNLQPQPQTMLTNHGDPLLFRWHKVQALKIDNVRLALDSAMDDFLWRPYARYAHNYGMFYPNDGIWVLFEKDSDKEILSFVTCLRVSELIGFESIEQYLPHRVSLQFGMDQDVPSYVPRLNDTKDIAWQNYCRPVHDKRLYFPPRLFEADVTVRYAKWWKHSVLGCNDFVKKVVRRKRSASSRKQRHCVGKANRSGNDVGFPLGFPFNAVEKTRKVDDFYADVPCVDFVADVEVCKPVLKECKRGRKVNESNHLLNECCSTPSADYEKIIPVKGPVSKENIEVSIGVLEDGFKDANESKKERICSDRVCLSETQGESRSNGIRNKVSLPNSELGDFISSNVDRQIAGCGDEDEIKNPFCDGNDVHDISGEGVDPFRVYIEFDLENRIEKFDKVLSKLKEARFGQKVEIV >RHN47736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42092442:42092672:-1 gene:gene42369 transcript:rna42369 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFGNDKSWTQFLKFSYHNLRLTYYQLNYHFRFGRMRLILMPLHLSEDGDTLVFAANNLKHQVIIFSITGEPIEY >RHN73249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14774973:14780278:-1 gene:gene9046 transcript:rna9046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MEAYGGYLVDKEAVTLVENKFLDFLKRQQNELYYKEEVEVMISNESNTMFIDFEHVMKHNDVSQKAISDEYLRFEPYLQNACKRFVMDLKKTNKLYEDSPNKDINIAFHNIPMVKRLRELTTSEIGRLVSVTGVVTRTSEVRPELLHGTFKCLECGGMIKNVEQQFKYTEPKTCPSATCNNRTNWALLREESKFTDWQKVRMQETSKEIPAGSLPRSLDVILRHEIVEHARAGDTAIFTGTVVVIPDILALACPGQRAEYHREASQRKGSTSGNEGVRGLKALGVRDLSYHLAFIANSVQICDGRRETDIRNRNNDSDEDDHQFTEQELDEVERMRNTPNFFHKLVDSVAPTIFGHREIKRAILLMLVGGVHKLIHEGINLGGDINVCIVGDPSCAKSQFLKYTSSIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGISCIDEFDKMDTKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPSGGRYDKSKSLKYNVALPPAILSRFDLVYVMVDDPDETIDANIAQHIVKVHQKRNDAFDPAFTTAELKRYIAYAKTLNPTLTSAARNRLANSYVSLRRSDAYRMTVRQLEALIRLSEATARIYLESQVQLHHVHLAEQMLKTSIIRVESSEIDRSEFQEPNMEDDTESPADDGSYPQGKKITISYEKFQKITRALVVHLRQHEENVERLRQNEETEVQEGTGLSGMKQRDLIKWYVELQNEKNKYRSAEDAAQEVSEIKTIIEVYTYFYILKRIYNIIGNHVNYIKHFL >RHN66947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22011944:22014328:1 gene:gene15070 transcript:rna15070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MMTILTTQISLLLLILLSITIFHKTMCTNHTVVRCNEKDRETLLNFKQGINDSLGRISTWSTKKDCCAWEGVYCDNITNRVTSLVLNYMLKGEMNLCILELEFLSYLDLSENEFHVIRIPSIQHNITHSSKLVDFDFSWNYQTLQMDNLDWLSPLSSLKYLNLNWIDLHKETNWFQVVSTLPSLFELQLSACNLNNFPSLEYLNLSSLVTLDLSNNNFTFHIPDGFFNFTKDLTCLYLDNNNIYGEIPSSLLTLQNLKHLDLVDNKLQGPIQDEIGQLAHIEYLGLAWNMLSGFIPSTLGNLSSLNYLSIGSNNFSGEISNLLFSKLSSLDSLDLSNSNFLFQFDLDWVPPFQLSHLSLGNTNQGPNFPSWIYTQKSLQHLDLSSSGISFVDRNKFSNLIERITAPPHIPNELILSNNSIAEDISNLTLNCLILRLDHNSFTGRLPNISPMVYHVDLSYNFFSGSIPHSWKNLNEVGVINLWRNRLSGEALGHLSDWRQLEVLILGENEFSGTIPINFSQNLEVVILRANQFEGTIPTQLFNLTYLFHLDLAQNKLSGSIPKCVYNLTDMVAYADEELPVGTIIELFIKSQNYAVLISPDRRIIDLSTNSLSGELPLELFRLVQVQTLNLSHNNLIGTIPKVVGDMKHMESLDLSNNKFFGEIPQSMALLNFLEVLNLSCNNFDGTIPIGSQIQTFDPSSFIGNPKLCGAPLKNCKNCTKEEENPKNAENEDDESIKESLYLGMGVGFAVGFWGICGSLFLIRKWRHAYFRFIYGVGNRLYVTLMVKLNSFHRS >RHN55903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25288119:25288595:1 gene:gene31186 transcript:rna31186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAGEFSNIRHDVSNLVGCKYCNRFFRNTQTLITHIESHMEYEEAAIRKLYSTEHINPKRQFHPQCLPPHDGKIFQPKPTVIRPQPSKNPFFGHVGYRQMQLPPHVNQSKHLEGESSNDGTKAYIKQLEKPIKKIDFIDLVNNDDDNPDVNTLDLSLKL >RHN65134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64425645:64427492:1 gene:gene28037 transcript:rna28037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MADIFLAPQLHAASKKFNIEMNEFPTLSRLHETYYEIPAFREALPENQPDAVG >RHN60250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24250804:24252868:-1 gene:gene22522 transcript:rna22522 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGGIVVCLLIVIMDIAAGILGFEAEIAQNKVKHLKVWIFECREPSHDAFMLGLGAAVLLGLSHVIANLLGGCNCVCSQQEFEKASSHRQLSVVCLILTWVVVAVGLSMLVIGAMSNNRSKDSCGFSHHHFLSIGGMSCFVHGLFSVIYYIVTTASMD >RHN62851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46224327:46226604:-1 gene:gene25475 transcript:rna25475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MNGTTISRLVLPKQQQIRSFHCYSASYDIGTCITSLQQCAQTTNLTKGKQLHTHLLKNGFFASPLAITSIINMYSKCTLINYALKVFNYPTHHDKNVFAYNAIIAGFVSNGLSQHSFGLYKQMRLLGVVIPDKFTFPCVIRACGDAGDVFEVKKIHGLLFKFGLELDVFVGSALVTTYLKFWLVVDAHEVFEELPVRDVVLWNSMVNGYAQIGCFEEALGMFRRMVENGVVPCRYTVTGVLSIYSVIGDFDNGQAVHGFLTKMGYHSSVVVLNALIDMYGKCKCASDALNVFEVMDEKDMFSWNSIISVHQRCGDHYGTLKLFDRMLGNKVQPDLVTVTTVLPACTHLAALMHGREIHGYMIVNGLGKEGRNNDFDDVLLNNALMDMYAKCGSMRDARMVFDNMTEKDVASWNIMITGYGMHGYGDEALDTFTRMRQAQLVPNEISFVGLLSACSHAGMVKEGLEFLAEMDSKYGVPPSVEHYTCVIDMLCRAGKLVEAYDLMLTMPFKADPVGWRALLAACRIYKDTDLAEIAASKVIELEPGHCGNYVLMSNVYGVVGRYEQVSELRHTMRQQNVKKRPGCSWIELMNGVHVFLTGDRTHPQTDFIYAGLNSLTAVLQEQGYVPLV >RHN79385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28289548:28292865:1 gene:gene3171 transcript:rna3171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MRALLKIKLRSYDSSFLSHSHHVFHLSTFSNSSKLSHSHKTTDQLSNSDVEPSRALFNEITEILGSDTVIHDNSPSGFLFPLEIRDTQLGFKEKHDCTEPVCENAAESVVLDKDENFTVLEDNQLVKLGEEDMCRMVGEIMEIIRGENGSVSMEERLEKMGYCELNVEVFDRVLKRCFKMPHLGLRVFNWLKLKGGFRHTTQTYNTMLCLVGEGKEFGLVKKLVDEMDECRVQKDVNTWTILVSLYGKAKKISEALLAFENMQKCGCEPDVVSYRTIIRLLCSSGKGDIAMEFYKDMVKKDIVLDDVRLYKMLMNCMAESGDVAAVNLLGNDMTRLCLMPENSVFGCMLKSFCISGRIKEALELIRDLKYKDAVLEPEYFETLVRGLCKAGRISDALEIVEIMKRRDIVVWNVQGIIINGYLRRNDFCMALDVFQSMKESGYVPTVSSYTELIQHLFKLSRYEEACMMYDEMLGKGIKPDIVAMTAMVAGHVSQNRISEAWKIFKSMESQGIKATGKSYSVFIKELCKASRTDDIVKVLYEMRSSKIVFKDEVFRWVITYMETKGEFALKEKVQKMHATTILHPENFEESENRVSFKNEVEEDRVDQPKSEKVDCSLLYPILKTYSEQDVRDVCRILSSSLDWSSIQEKLEISNIEFTPEFVMEIMQSCSMHGCTVLNFFSWVGKRPGYRHTTESYNIAIKIAGRGKDFKHMRSLLYEMRRNNYLITSETWTIMIMIYGRTGLTEMAMNCFKEMKDGGYSPSRSTYKYLIIALCGRKGRKIDDALKIYGEMVNSGHVPDKELIETYLGCLCEMGRILDARKCIDSLQRFGYTVPLCYSLFIRALCRAGKVEEALKLVEEVGAEKINVEKLTYGSIVHGLLQKGKLEEALTKVSRMKQEGITPTIHVYTSLIVHFFKQKHVEKAIQIYAEMLESGYEPNVVTYSALIRGYMNVGRYNDAWNVFYRMKFKGPFPDFKTYSMFLSCLCKAGRSEEAMRLISEMLESGIVPSTINFRTVFYGLNREGKQGLARVVLQQKSELIRKRKLIT >RHN44145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1982075:1982446:1 gene:gene38220 transcript:rna38220 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSIIKSCINKPVEHLNHVLSLDFEFLVSEVEEEDDEEASTDIYRLLGHLGRRSCKYQKRLSRRGLSCTKTGTRCHHFPCKDIVLQVNTSAGATPPPSLSIQHKGNASHEGQSPINGSSNES >RHN53258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:456075:457010:1 gene:gene28149 transcript:rna28149 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGARSIIPLVLFLPSLMNVVAFNQTLISFILAVFVLLLITLLFFLLPFIHKLLTAINHLWFLRIIFIHLIIFSPSFTVLFFSSFSRSALFIFFYFSFMSVPFFVFILFHSALVIFFPSFIFLFFSSFSFSLSRSALIIFFYFNFMPISFFVFILLMLVFILYNILIFLFLFMLFILIYFILTWKKIFSSKFCNPIGILSSN >RHN80752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40640484:40643339:1 gene:gene4717 transcript:rna4717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MSKQDMMKIQNCLLKVNIHCEGCEQKVKKLLQKIEGVYSVNIDAEQGKVLVTGDVDPAKLLKKLKSSGKHAELWGGQKAMMINQNQNFQQQQPQFKNMQIDNNKGGKNNKPQNQKGQKGGVQVAQFQNPKGGKDMKVPNKSQKHVNFDLSEEEFDESDADFDDYDDEDDFDEDEEEEFGHGHGHGQGPQGNGFGQHPMHNNKMMMAMMQNQNGRGPQLGPGGGMMMNGPAAMNIPKGGGGNYAKAKKGDIMDLPMQMHMKGKSGNYGEVKNGNGGGKKGGDDGGKKNKGEKQKGGGGDWGDEKNSSKKKNGKGKNGGGGFLVKFLGLGKKSKKGGGAADTTNKKKNNGGGGNSNNSKGKDGKKGEKLDKVEFDFQDFDITPHGKSGKGGNGNGNGKGAPAKGNANNGHGSNNNGNGGHMGPMGQMGAMNQMAAMNRMGPMGQMGSMDQMRSMPAVQGLPAGAAAAAMNGGYYQGMQQMQPNPYHQQQQQHQQQQQQQQYMAMMMQQQQQQQMQQQLQQQQMQQGNMNNMYPQHMMYGGGRPHPSMNYMGPPPMPSHPMADPITHVFSDENTESCSIM >RHN65815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5607650:5612589:-1 gene:gene13705 transcript:rna13705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAATVAGAFISSFVEMILERLASGDFRDNFSRYKLDVGLADKLGITLNSINQVLEEAEQMQYKSTYVKKWLDDLKHAVYEADQIFDEIATDAQLNKLKDESEPVTNTTFESRIKELIEMLELLVNQKLMLGLKESLCASNEGVISWKSSKELPTSSLGNKSDLCGRDVEEEEIIKFLLSDNDGSNRTPVITIVGSGGMGKTTLAELVYNDDRIKEHFEHKAWVYVSEFFDAVRITKEIISRLGYSLAKGEDLNLLQQQLHQRITGTRYLLVIEDVQNGSGECWEQLLLPFNHGSFGSKIIVTTRDKEVAAVMKSSQIVHLKQLEESDGWNLFVRHAFHGKNASEYPNLESIGKKIVNKCGGPPLALKSLGNLLRMKFSPGEWTKILDADMLPLTDEDNNLNIYLILGLIYHNFPSSVKRCFAYFSIFPKANCLFKDQLIKLWMADGLLKCFRAEKSEKELGDEFFDYLESISFIQQSLYPGLDNKHRFFMHDLVIDLARSVSGEFSLRIEGDRVQDIPERARHIWCSLDWKYGYRKLENICKIKGLRSLKVEEQGYDEQCFKICKNVQIELFSSLKYLRMLTFYGCNNLSELADEISNLKLLCYLDLSYTGITSLPDSICVLYNLQTLLLLGCRLTELPSNFYKLVNLRHLNLESTLISKMPEQIQRLTHLETLTNFVVGEHSGSNIKELEKLNHLRGTLCISQLENVTDRADAVEANLKNKRHLEVLHMRYGYRRTTDGSIVERDVLEVLEPNSNLNSLIIEDYRGTGFPHWLGDCYLLNLVSLELNRCGFCFQFPPLGQLPSLKELSISECDGIEIIGEEFYGYNSSTVPFASLENLKFDNMYGWNEWLCTKGFPSLTFLLITECPKLKRALPQHLPCLERLVIYDCPELEASIPANIRQLELHGCVNVFINELPTNLKKAYLGGTRVIESSLEQILFNSSSLEQLNVGDYDGENLEWPSFDLRSCNSLCTLSISGWCSSSLPFALNLSTNLHSLDLYDCRQLKSFPQRGLPSRLSSLRINKCPELIASRKEWGLFELNSLKEFRVSDDFESMDSFPEENLLPPTLNTIHLENCSKLRIINYKGLLHLKSVRLLRIEYCPCLERLPEEGLPSSLSTLYIRECRIVKQRYQKEEGESWNTICHIPDVFIY >RHN47369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39203650:39207405:-1 gene:gene41961 transcript:rna41961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine N(alpha)-acetyltransferase NatB transcription regulator GNAT family MFFFFCKIKKQGTEPDEEGEEDMETPNKDETEISKVEFNDSEIEYISYGGEHHLPLIMNLVDEELSEPYSIFTYRYFVYLWPHLSFLAFHKGKCVGTVVCKMGEHRSTFRGYIAMLVVIKPYRGRGIATELVTRSIKVMMESGCDEVTLEAEVTNKGALALYGRLGFIRAKRLFHYYLNGVDAFRLKLLFPRPDLHAPLDSPDKYGSHMHSDHGDSLIEQ >RHN69216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41856342:41857408:-1 gene:gene17656 transcript:rna17656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribosylformylglycinamidine synthase MSLLGWVPGPQVGGVNGVGGDLSQPRFIHNESGRFECRFTNVTIKDSPAIMFKGMTGSTMGIWTAHGEGRAYFQDEDVLQRVVHSELAPIRYCDDAGNPTETYPFNVNGSPLGIAAICSPDGRHLAMMPHPERCF >RHN44550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6043232:6043787:1 gene:gene38681 transcript:rna38681 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLMFLCHLYSLKLAIALLFTFVSHIYKVVTATTMLLLILVVICVKPYLLSSKAYPIRAS >RHN60669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29279652:29280336:1 gene:gene23019 transcript:rna23019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dCMP deaminase MNSREVTLVATATAFGALASAIALHFFYRSQTHSSKTKSSQNGTISSSRVRSSGDPFDPTKRKGYLSWDDYFMAITFLSAERSKDPNRQVGACLVSQDDIILGIGYNEFPRGCSDDKLPWAKKSRTGNHLETKYPYVCHAESGDSEVIYFVEKKLENSDVTYTASHKLLSLAGVKVRKLQPVMSEIHLKYEDH >RHN71479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:425208:427576:-1 gene:gene7085 transcript:rna7085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDLSSKPYKVLKCHPKDINNVVFHRSYPLFASCSDDCTAYVFHGMVYSDLNKNPLIVPLEILRGHANSNGRGILDCKFHPRQPWLFTAGADKMIKLYCHQ >RHN42736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40550388:40556137:-1 gene:gene49219 transcript:rna49219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein TauE MIMAMHGSKRRDMKWVTLGRSRFLLICFLLLLLLSSYVTSSHQYTDQKATPASNETKGVDIHAKVFYKHTWPGMKFGWRIIVGTIIGFLGSSFGTVGGVGGGGIFVPMLTLIVGFDAKSATAISKFMITGGAGATVLYNLKKRHPTLDLPVIDYDLALLFQPMLMLGISLGVAFNLIFPDWMLTTLLIIFFTGISVNAFLKGVNTWKKETLTKKEAKDNSQLNDIRTEDATLDLQIGESVNENQTNTNLPRKKVSVIENVYWKELGLLFSVWIMILALQIGKNYTTTCSLIYWILNLLQVPIAIGVSGYEAILLYKGKRVIASNGDQGINWSVKQLILYCSCGIIAGIIGGLLGLGGGFILGPLFIGLGIPPQVASATSTFAMTFSASMSVVEYYLLKRFPIPYALYFVVVATVAALVGQHLVRKLIALLGRASIIIFILALTVFVSGISLGGTGIANLIKRLENKEYMGFGNLCSYAVRN >RHN42903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41825853:41826067:1 gene:gene49409 transcript:rna49409 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFTQPYFLFSFFSSFLLFSFSFAAFDLATIPFHDGFTYLWGKENVIPSLDGNTVKLIIHEHSGKLN >RHN61946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39567347:39567784:1 gene:gene24462 transcript:rna24462 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTSSSISNNVDTDFNSPLLPPPSNESTPEKQCIDEMLQNYCGEFGRWQLKHFVLTSLAWALEAFHTMVMIFADREPEWRCLDGVAGLGCDLTAKNVCKLEPGSWEWVGGAASSTVAEWGLVCGEKFKVGLVQAVFFAGCMFGQ >RHN73350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15587048:15591154:-1 gene:gene9152 transcript:rna9152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MLDDNDITLQDMNGNTAFFIAAAAGNMKIVDLMLKINPKLPIIKGAKGCAPIQYAALQGRYKMTWHLYDETIHCFEEKDWELLFFACIYTGIYGKYY >RHN69131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41247915:41253267:-1 gene:gene17560 transcript:rna17560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MTAKFDLTSASEPHKMSGATSTTPSSNQKLSKFGAKSGFVIPKNKLLGSLVPIIRGAKKDGVTGVVNEESSKQIERKSRWGPDPTQDAFVRKAKVLALQIRVDQISKMLESENPEIVDTQNSPLEDENPGASKAGSQINSKKSEMLELEKREAIGEILKLDPSYKPPPGFKPLLKEDNVPLPVQEYPGYKFVGLIYGTEGDNQKRLEKETGTKIKIHGTKADTGEKGEIKPGTDVQCGYQEMHVNISADSFDKVDAAISIIELLISSVTGNSAAGSTPSLSVSGDTTNVLNQNKDTTPSHAISLSLENQAVFQPATNTQMQGDHFQYSGSWFSPLPSHTPLFASSGTVVPPNPQHLARAPPFPSQTMSPSSLISAFGAQPPPVSGFHPFIPNQQFSMQAPPPTQILQHSQWPQTNPFGQVGPPRNPSVIRAQNLSAPTNASLSFPVSLSQPTPTGQLQTSVSSTPQPLSGISPSPIANQPLTPHGVSTGLGGGPVNVKMSVGLSNMGPMASPAVPPTRPVSLGPQPDVEYKSPQPNMLMIPRPGSIHPHHAGMSPRPPFSLVPMPGTVHSTGNHLLGPVSFPSPRISSPLPLAQQSGIPTSASQYSHVNPLASMPSNSGNFTFQGQRPNADYYQAVPRPNSQATTQGGTQEPPSGPRPPPFRFAVPDQPLQSFQRTQVSNQLDPSQAYVSAAPFGGSSGSVSFPPRHPAFPYAGQPSPRSQVPQMGMRNFIPAPQMQNLASPDVQRGMHNRQSYPAQGAWPLNQKFSNNPSLASGKPAHPADQIYDPFSPTSAAPPHLKGNPGK >RHN75767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44891011:44893654:1 gene:gene12013 transcript:rna12013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MATQTAKRKPVFVKVDQLKPGTNGHTLTVKVVNSSPVKTIPNRGGRSAVIASRPSRIAECVIGDETASIIFTARNEQVDLMNPGATLILRNAKIDMFKGSMRLAVDRWGRIEVTEPANFEVREDNNLSLVEYELVNVVEE >RHN54417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9390124:9391089:1 gene:gene29453 transcript:rna29453 gene_biotype:protein_coding transcript_biotype:protein_coding MYYGGRSSNTMVKLKHKKLEAFYNRKLPEEDANCIASTSNLEKNPSGDQTVQPDEQPCKIQRVIVENFDVNCLERDPGKCLQIWEYPVNQRDVAEKYPLNG >RHN70210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49689329:49689913:-1 gene:gene18767 transcript:rna18767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MYFKGIWNEKFDTSVTKDSDCYLLNGSSVKVPFMTSYKKRYIGDFDGYKVLYLPYNQGKDECQFSMYIFLPDAKDGLSTLVEKLASEFELPEHNLPLIKKVAVGEFKIPRFNISFGIETTNTMKELGVILPFSAGGFTKIVDSSFEGENLYVSNIFHKSFIEVNEEGTEVAAFTTYKKKQSTQKEMYTSRRLCS >RHN70226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49869739:49877917:-1 gene:gene18784 transcript:rna18784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyridoxal 5'-phosphate synthase, NAD(P)H-hydrate epimerase MRSLMVQLYGRRRSMILTLPFISPYNITNSPSLIHNHNYFSTNHKPPFLQFFSGILLRPTIGSFSTTITSSSKTMSNFDSDSVTYLKQQEAVEIDETLMGPLGFSVDQLMELAGLSVATSIYEVYKPGEYSRVLTICGPGNNGGDGLVAARHLHHFGYKPLVCYPKRTPKPLYAGLVTQLEALSIPFLSVEDLPSDFSKDFDILVDAMFGFSFHGSPRPPFDDLIQRFISLRNNHNQIGQKRPVIVSVDIPSGWHVEEGDVNGTGIKSDMLISLTAPKFCAKKFRGPHHFLGGRFVPPAIAEKYKLILPPYPGTSMCVRIGKPPQIDISALRENYISPEFLEDQVEADPINQFRKWFDDALAASLKEPNAMALSTVGKDGKPSSRIVLLKGFDKDGFVWFTNYESQKGRALSENPHASILFYWDGLNRQVRVEGYVQKVSDEESEQYFHSRPRGSQIGAIVSKQSTVVPGRHVLHEQYKELEQKYADGSVIPKPKNWGGYRLTPNLFEFWQGQKSRLHDRLQYVPHDIDGKKQWKVERLAP >RHN70516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51958347:51966329:1 gene:gene19100 transcript:rna19100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Dilute domain-containing protein MIWEISLCIYCFLSSYTYLFNSNVLPLGINLDNYEFLTRCIKEDLGFKNGKPVAASIIYKCLLHWHAFESERTAIFDYIIDGINEVIKVRDDDIVLPYWLSNTSALVCLLQRNVRSNGFLTTTAQRYAGSSGLTSRIGHGLKSPLKLIGYNDGMSHVEARYPAILFKQQLTACVEKIFGHLRDNLKKELSPLLALCIQAPKTGRVQSGKSSRSPGGLPQQSPGGQWDSIINFLNSLLNRLCANHIPSFFIRKLVTQVFSFINMTLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNANEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLEEIMQDLCPALTVRQIYRISTMYWDDKYGTQSVSNEVVGEMREIVSKDNQSLTSNSFLMDDDMSIPFSAEDIDMAIPAVNTDDIELPAFLNEYPCAQFLVSHE >RHN46051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28071804:28073479:-1 gene:gene40491 transcript:rna40491 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRFLKSTFGTSYFGKVRSVSNMAFLLHYDVLELSQWEWQCVPTGNSLQMGYGQIIILHWAASFPISFCFT >RHN82422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53625456:53625816:1 gene:gene6587 transcript:rna6587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-acylglycerol O-acyltransferase MELCHLRYCVTVSAPFLILHGAEYKMTNSLVSQFLYEKVSSKDKTLKIYEGGYPGILEGEPEERICSVHNDIILWLDTRYSR >RHN77732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9029871:9034094:-1 gene:gene1219 transcript:rna1219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MFQHVIYMNCSSPVRDDPVYADAASCVNKSNSQRGHVYAIAGDLKVRNYKNDDCHVGVVTPISFFRYNYSSEEWNTPDGKFSYSDADYRNERIPNQMFDYSEIHSMLVGGFEVSWMSGPCEDHCGIPDCYLRETTWSLECDNPSMLCRTTLGFHVGCVTGTPSKLRMFVEGILYGIARGLPHPIGVRIHDRAQYVSESKFGIDIGITIGRYILPSYIMVRFMLGLIALFGKLMHTYRSRHTSMYEIIEDFLQGNSLMPIRYSYKEIKQMTRGFKEKLGEGGYGKVYKGKLRSGPLVAIKVLGKLKGDRHGQDFINEVATIGKIHHTNVVRLVGFCIEGSKRALVYDFMPNGSLDRYISSREDHISLTYKQMYEISLGVARGIAYLHQGCDMQILHFDIKPHNILLDKDFIAKVSDFGLAKLYPVDNSIVTLTAARGTIGYMAPELFYKNIGKVSYKADVYSFGMLLMEIANRRRNLNSNADDSSQIFFPYWIYNELIEEREIDISGEATDEEKKNVKKMFIIALWCIQLNPIDRPSMDRVIEMLEGDIEDIEIPPKPSPYPTEIIQDNGVSSNESFSDDDVTGSISFLEETVEDPLL >RHN48960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51381542:51389291:-1 gene:gene43736 transcript:rna43736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small-subunit processome, Utp14 protein MAEEKRKERGDANRHTAKKKSKKTSDNQRKKTGPRLPSSLKKEIQHLNPTPVDVDDIDSDVYEYEEEQPEEESRKNKRYDPVSVNDDNDLSSDFEDENVQSDDDDGGYDFIGKKRKEIDSDDDYGEEDDERHERMLQRITGMPSKPFDGNKKARKNDVIPELYPESENNPSHDVVEGDGRISIEDLLNPLRDDPDHSRLRLRNQQIENRSRTVHAPLPKADQAKVERKVAYQISKEQVTKWQPLIQKNREAPTKYFDEKTDLGFSTIGAIASEFEPRTEFERKMAALVHHDKIIEAHKNDGASLLDMNKVSIVCEKDRQNRNAKLRSLLFRHEMKAKHVKKIKSKTYHRLLKKHRLKAESSQLEMDPEATNEYALKQERQRAEERMTLRHKHKSPWLQRKMQRGLDKQDEGTRAAVTEHFQRHEELTRKRNTMDSSSSDDSTYEDDDDENAADSDPGKANNILRKAKQKTLEVLEEDDGMPKSGLLSLPFMRRGLEKRKEATIEEVNLTVQEYEDSMKKLDDSGGSEDPKVASTGGRRVFGMAKAQTIGADNKVELDKFYNNSDSEDDFEAKKSGNIENDGSDNLQKDVINDSVLNQENIDSRKESVFKNFDEIVKNPGPKTTYEVSIFASDTWKKAKNKNGIDTDIKKSSKFTRSVRHNVKNSEKDQLGEDSDTDDEGQMVDGILTSASKPSYELPSQEELIRQAFAGDDVEDDFEKDKQEILNEENPEPEKPLLLPGWGQWTDIQQKKGLPSWMVKEHENARIKREEALKKRKDAQLKNVIISEKSSKKAEKLQTKTVPFPYTSKDVFHQSMRMPIGPESNPSTTFGPLTRPEVVKKPGVIIKPIEFEEVNPHVKPEQQSVKNKKLNITKGNDSEAIKKSKLKVKGNK >RHN81533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46785994:46789770:-1 gene:gene5593 transcript:rna5593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP synthase, F0 complex, subunit D MSGTVKKVTDVAFKAGKKIDWDGMAKLLVTDEARREFFNLRRAFDEVNTQLETKFSQEPEPIDWEYYRKGIGTRLVDMYKQHYESIEIPKFVDTVTPQYKPKFDALLVELKEAEEKSLKESERLEKEIVNVQSLKKRISTMTADEYFAEHPELKKKFDDEIRNDNWGYSHYKEEQNA >RHN72628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9254767:9257036:-1 gene:gene8371 transcript:rna8371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NTF2-like domain-containing protein MSLFSITGPIKCNNRIMSFSALPFSITNNNCCKYISLPRKLHRNVDIHYNSISSFSCQTSIHENLYPRKQRGLSFIAFDSKKNSEPVGEDNDQALDAVMKLYSAFKNKNIQELSEILADECRCVCNFLSFFQAFQGKTQVLEFFSHLIRLFGDNIQIVVKPTLHDGMNVGVHWKFEWNTIHIPLGKGFSFHICQTYRGKAVIKNIDMFMEPLLFLEPFMRLKMKASVQEILKISSLTGSEYGNKGKRIVLAVISLVALLFFFMKLAL >RHN49661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:130914:131333:1 gene:gene33864 transcript:rna33864 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MAYRNNRVCDHLTLGVCHCTSNDDSWNIKKVLKQTDVGYCSRLLLGKKLAEEFVLPVLGAKAHRGIQVKIWDIDTKSMHTIKFKRRSGMYFFNGGWVKEFVIRRGLQEGNKIGLYWDQYGKFFNFTVLEADKDQNRHYV >RHN55526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19211105:19212744:-1 gene:gene30722 transcript:rna30722 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSHLTQTNRFSSSRTNKPPLLILSQFTAADFHSVRRGKLFSQQQTNPVADFLSQIAESSTIFFKPSISLSVLTHLMSRVLMMH >RHN67213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24968877:24972359:-1 gene:gene15373 transcript:rna15373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MGESVKAAKALVAKNPSLTQVTDFKGFTPLIYSVTSTRCKDMVRYLFMNTTDDGPGRPFSGSSASQLVALLIHAGFYDITMHLLQRYPNLATISDSNGSIILNVLSKLPSHFLSGHKVRFWKRCIYYCVPVELEYLPSKQAYFRNKLWNALQTLVPSLKLVRDTKLRHVSAVRLVELVFSQASTLNDYQFWQSFVTRVASQAESIACAAFQMKRELHWFKEVEKLDHPLHKDVKNNDGKTAWQVFKEEHKTLLEEGKNWMKDTSNSCMLVATLIATITFAAAITVPGGNNQDKGIPIFLSDKTFMLFIVSDALALFSSMVSLLMFLSIIHGRYAKEDFVVALPKRLILGMAALFFAVGTTMIAFGAALSMLLEKRLVWAPIPIALLACVPVTLFAKLQFPNLFTKIIDMMIDGSK >RHN54186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7678149:7679961:1 gene:gene29184 transcript:rna29184 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTIPSSCCLNDCKMMLVMRRNVIPMNPCIYTCSVVPIWLLELQGPLIRKVLEDL >RHN78382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14600776:14602568:1 gene:gene1929 transcript:rna1929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MGAGAFVETSGIKHYEGRVTPYVLMTCFVAAMGGLLFGYDLGITGGVTSMDEFLIKFFPRVYKKMKDETHNTSQYCKFDDEILTLFTSSLYLAALIASFFASAITRMMGRKTSMFLGGLFFLIGAILNGLAANVEMLIIGRLLLGFGVGFCNQSVPVYLSEMAPAKIRGALNIGFQMMITIGILAANLINYGTSKHKNGWRVSLGLGAVPAILLCLGSLFLGETPNSLIERGNHEKAKAMLKRIRGTENVDEEYQDLVDASEEASRVEHPWKNITQPEYRPQLTFVSFIPFFQQLTGINVIMFYAPVLFKILGFGDDASLMSSVISGGVNVVATLVSVFTVDKFGRRFLFLEGGLQMFICQVIIGILIALKFGVTGQGSFTKGEADLLLFFICAYVAAFAWSWGPLGWLVPSEVCALEVRPAGQAINVAVNMFFTFMIAQVFLTMLCHLKFGLFFFFAGFVAIMTIFIAVLLPETKNVPIEEMNRVWKSHWFWTKYVSDHVVGGGNNKKVDP >RHN51568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20063673:20077576:1 gene:gene36031 transcript:rna36031 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSSPHRRRNHHNHLSTFLHSTASNFISLFNPHPPPPLPSSTPQLPSAISLPLFLPPPLSTVTLSSTTESTRSSPKSVRVSRLNGKNITGGSGGPAFVGQVFSMCDLSGTGLMAVSTHFDIPFITKRTPEWLKKMFAAITKSERNGPVFRFFIDLGDAVSYVKKLNIPSGVVGACRLDLAYEHFKEKPHLFQFVPNEKQVKAANKLLKTIPHSGFGKKVDGVPVFSAHNLDIAIATTDGIKWYTPYFFDKNMLDNILEEAVDQHFHTLIQTRHIQRRRDVVDDNLAAEVVEEIGDSLGEPPEVQEVLDEMGHPSIPLSVISKAAELQFHYTVDKVLLGNRWLRKATGIQPKFPYMVDSFERRSEASFLRITESSSCPKETGCTDSSEYNLDDITEASTKHSHEKAGLSRKGVNKEDLKQNTFLPKITMVGLSTEEAGQMSKASLKKTMDDLTKELEKTEADNVTVGGSNEYKLEDRDPLFVANVGDYYSSVGRTGSPRWIRGGSN >RHN60339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25559866:25563408:-1 gene:gene22640 transcript:rna22640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative autophagy protein Atg8 ubiquitin MAKSSFKTEHPLERRQAEAARIREKYPDRIPVIVERAEKTDVPEIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNILPPTAAMMSAIYEENKDEDGFLYMTYSGENTFGMMI >RHN69026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40382034:40386602:-1 gene:gene17452 transcript:rna17452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative choline-phosphate cytidylyltransferase MTSSSTIPHLPPPEDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCSDAVTHKYKGKTVMTEDERYESLRHCKWVDEVIPDAPWVINQEFLDKNKIDFVAHDSLPYADTSGAANDVYEFVKAVGRFKETQRTEGISTSDIIMRIVKDYNQYVLRNLDRGYSRKDLGVSYVKEKRLRVNRRLKTLQEKVREQQEKIQTVAKNAGMHRNEWVENADRMVAGFLEMFEEGCHKMGTAICDRIQESLRGQQPNDESIFLQNGKDDEDEEYYDDHEEDSDEEFFEEYFDDNELNPQNNGKDTNKT >RHN59605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12459190:12460032:-1 gene:gene21658 transcript:rna21658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSDHVESSTKKITLTSSDGEIFEIEKEVAMESKTIKNLIEDLDDNTRIPIYKVRGEILALVIEYCKKHVNAMNSDEKPSKHALKMWDAEFVQLDQPVLFDLISAANFLDIKSLYDLTCKTVADMMNDKTPEQIREMFNIVNDYSPQEEEAIRSEHPWAYE >RHN78455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15312612:15324444:1 gene:gene2022 transcript:rna2022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MAHEFDDEDGPVIFKRSSVSKSTQLHSETRKSTSHSHDGRSFKKTPDVPSANGQSSSSQNGKVVSTAKASAVESSVGISKASTSTNLKTYVRSPSGSSKFASLGNKKESLIEKKIPVHVHAKEENNSSKHGKEESCEESEDEMDNIPLSARLKMNSDRAKKTTPVVVKKSHEDSKKTTPVVVKKSQEDSDDDIPLSARRLQNNNNLGKSSNNNGGSDQKKPLSNVQKGQQHGSNVGIRPSTLPVKRPLDKTDSLHSSVKKSKLSDPAPSINVKQTSVKSELKVAEEDDDDDDVPLSQRMNKNATSVNKSSSVKKLTNVTKVNKASAPSFKKKANFKKPGNKSEQFKSTKLPPSSGDGQKKWTTLVHNGIIFPPPYKPHGVKMLYKGKPVTLTPEQEEVATLYAVMRDTEYMQKAKFKENFWTDWKVILGKNHVIQNLEDCDFTPIYDWCQIEKEKKKQMSAAEKKALKEEKMKQEEKYMWAIVDGVKEKVGNFRAEPPGLFRGRGEHPKMGKLKRRIGPSDVVINIGRDAPIPECPIPGESWKEIRHDNTVTWLAYWNDPINSKLFKYIFLGASSSWKGQSDKEKYEKARALKNYIERIRAAYTKDFTSKDIKKQQIAVATYFIDKLALRAGNEKDDDEAADTVGCCTLKVENVTAEGDNKLKFDFLGKDSIRYENTVEVELPVYNAILKFQKGKKPSEQLFDELDTNTLNTHLKELMPNLTAKVFRTFNASFTLDDMLNKDTKDGDVAEKLLVYNQANKQVAIICNHQRAVSKSHGTQISKLNEKIDELKALLKELKTDYDRAKKGMAPLKTKRNLAPEAIKKKMDQTSAKIKKMERDMMTKEDLKTVALGTSKINYLDPRISVAWCKRHEVPIEKIFSKTLLEKFAWAMDVDPDFRF >RHN51397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17227248:17228919:-1 gene:gene35827 transcript:rna35827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MKAVAKKIDVIAKDRMKYGLQVGVTEERQRGADEWRQTTSVVTEPVVYGRYIYKEQIVKFPLKHTTDKEELSVYSIVGLGEYGKTTLAQLVYNNERVRNHFDLKIWIFVSDDFSMMKKWNKFKSLLQYETKGASILVTARLDIVASIMATYHAHRLTRLSDSDIWSLFKQQAFRENREERAELVEIGKKLVRKCVGSTLAAKVLGSSLRFTSDEHQWISVLESEFWNLTEDDPIMSVLRLSYFNLKLSLRPCFTFCAVFPKDFEMVKENLIHLWMANGLVTSRGNLQMEDVGNEVWNELYQRSFFQEVKSDFVGNITFKMHDFIHDLGQSFMGEECISYDVSKLTNFSIRVHHISLFDNKSKDDYMIPFQKFDSLRTFLEYKPPSKNLNMLLSSTPLRALHASFHQLSSLMSLIHLRYLELNQSPITILPGSVCRLQKLQTLKLERCHFLSSFPKQLIELKDLRHLMIKNCHSLMSSPFKIGKFTCLKTWSIFIVDSKTGYGLAELCNL >RHN50546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8045987:8047027:1 gene:gene34843 transcript:rna34843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MLSRPSTNQPLKSFHLNFFYGHPDTVFNVTKWLKAAKQHCVEELHLSLRFHTLNPVIFISQTLVVLKLKRIDFSIDTSCVHLPLLKKLHLKYVGFRNRNDYINFLSASPILHKLHAEHIRLRSEMRSDKNNIPEEGFKSLTLSKLVRASISSMDALFNGIDNVEFLRITKGFKDQEATFIAIPLFPNLNHIELVFCNSSFHCWDGIGELLRHCPKLQILIIKKWTTASSSNEWKHPLSVHECVSSHLRSCTILNFHGSANDLRFATYILQNAGLLEDMKIGVTTNRMHLGKSQIKEELSSCPTISSRCKLSFKFKYCY >RHN70488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51764704:51766563:-1 gene:gene19069 transcript:rna19069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MSLRTTQQDDSSSEIHIPAEIDWHMLDKSKFFFLGAALFSGVSAALYPMVVLKTRQQVSSSHYSCINMSCAIMRYEGFRGFYKGFGTSLMGTIPARALYMTALEVTKSNVGTAFVELGFSDNTATAVASAAAGVASAMSAQLVWTPIDVVSQRLMVQGSCNSGGKSILSNLNSENYRNGFDVFRKILCADGPRGFYRGFGISILTYAPSNAVWWTSYSMVHRFIWSSFGSCLGNREQNLDNGCVGFRPNSKAVVGVQGLSAVVASGVSAIVTMPFDTIKTRLQVLDTEENGRRRPLTFVQTVRNLVNEGGLFACYRGLGPRWVSMSMSATTMITTYEFLKRMSTKRQD >RHN82627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55271564:55280164:1 gene:gene6802 transcript:rna6802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl-diphosphooligosaccharide--protein glycotransferase MAATDAQTSLRHAFGNVLAFFILILIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLTKNGIYDFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTLWWVLNSLNIPLSVETVCVFTAPIFSAFASWATYLLTKEVKGAGAGLTAAVLLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNSIAYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVVLGTLLAALVPVVGFNAVMTSEHFASFLVFILIHVVALVYYIKGILSPKMFKVAVTLVVSGGLAVGFAMIAVLVAMVAASPTMGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFSPLSDASSFVILYIVTSVYFSGVMVRLMLVLAPAACILSGIALSQAFDVFTRSIKLQLPSLSVDSHVNAGDASSESVVPDDTVKTDKTEDTHKERTSKKSKKKEKEPVEKPPSKSQIKKRLLVLPLETSIIAIVLLVLLGAFYVVHSVWAAAEAYSAPSIVLTSHSHDGLHVFDDFREAYAWLSHNTEVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDNMATPTMLNCLMYKLSYYRFVETDGKAYDRVRRTEIGKKYFKLTHFEEVFTTHHWMVRIYKLKPPKNRIRGKTKKTKSKASSKTVSKKQGIKKNPF >RHN55115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15257326:15260224:-1 gene:gene30245 transcript:rna30245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEAVIEVVLDNLSTLIQKELGLFLGVDRELKSLSSLLTTIKATLEDAEEKQFSNRAIKDWLLKLKDAAHVLDDILDECATKALEPEYKGFKYGPSQKVQSSCLSSLNPKNVAFRYKIAKKIKRIRERLDGIAEERSKFHLTEIVRERRCEVLDWRQTTSIITQPQVYGRDEDKSKIVDFLVDDASSFEDLSVYPIVGLGGLGKTTLAQIVFNHEKVVNYFELRIWVCVSEDFSLKRMTKAIIESTSGHACEDLELEPLQRKLLNLLQRKRYLLVLDDVWDDDQENWQRLRFVLACGGKGASILVTTRLSKVAAIMGTMPFHDISMLSETDCWELFKQRAFGPTEAERSDLAVIGKEIVKKCRGVPLAAKALGSLLRFKREEKEWRYVKESKLWNLQGENSVMPALRLSYLNLPVKLRQCFAFCALFPKDEIISKQFVIELWMANGFIPSNGMLEAEDIGNEAWNELYCRSFFQDTQTDDFGQIVYFTMHDLVHDLAQSITEEVCHITNDSGIPSMSEKIRHLSICRRDFFRNVCSIRLHNVESLKTCINYDDQLSPHVLRCYSLRVLDFERKEKLSSSIGRLKYLRYLNLSWGNFKTLPESLCTLWNLQILKLDYCQNLQKLPNSLVHLKALQRLYLRGCISLSSLPQHVRMLASLKTLTQYVVGKKKGFLLAELGQMNLQGDLHIENLERVKSVMDAAEANMSSKYVDKLELSWDRNEESQLQENVEEILEVLQPQTQQLRSLGVRGYTGSFFPEWMSSPTLKYLTSLQLVHCKSCLHLPHLGKLPSLKSLTVSNMSHVKYLDEESCNDGIAGGFICLEKLVLVKLPNLIILSRDDRENMLPHLSQFQIAECPKLLGLPFLPSLIDMRISGKCNTGLLSSIQKHVNLESLMFSGNEALTCFPDGMLRNLNSLKKIEIYSLSTLESFPTEIINLSAMKYYKGCTLLRD >RHN49760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:899052:899934:-1 gene:gene33968 transcript:rna33968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligomeric Golgi complex subunit 7 protein MTMIDLVSFSNSNFDPKKWINTACNSRHSQHLIDLEMKLQMLSEEISASLEEQSSAALLRVPRATRDVICLRDDAGSLCSAVSVILHNLQKVEDLRLGAKLSV >RHN58452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1844159:1851089:1 gene:gene20369 transcript:rna20369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease P MVTDVTKKPKGSAPIAAPPRKINVPKFAEARASELQSLQCIVENRLSNDYKSQRNKRRRTTSFNDQIARKGHRRKRQKLGRVDKANVESRLKKDDITQLPRHVRRRYELKSNPENGFCTSGGGTKRLRTHVWHAKRFSMTKLWSYHLPLGLQGRGKGSRALLRKLKQGVLAHDASYYSAVQLEGPEDSLISILRTVLVPSPIEVTHPRNHDDSVLSGTTYGTAMLHQVGAPVSQAIAPVTYMWRPAFQENITDLGVSDEKIILDVDLCDKSERMDCSSSFRHLWVWIHASAFEEGYANLKLACQKELEKRGISINCSSLEGQLAKLELIGSGTFRLLQKILHPVRSISENHWQLRKHVTTEENHVSQNTEPSILKNEEHFSCRAMLSLTVKDPRDLPVKKTVVPIEPISTKALSDAQETSCKELADLGGMLEKNKDFGDNQSDVDDLWYATTRGLKPPVEDSVLSMEKHRKRMVKFCLDDMNFGEANSSKVQCSRSCPILLLKNDLKESTMGWSIILPLSWVKAFWIPLVSNGAHAIGLREKQWIASDTGIPFFPQDFPDCKAYSRFMAAKAAECDQKEELCPPSVRNLRVPILPPWRIVHNTFNKEISNMETLDLSAREDLTNANSLSNSCPGNSKISNFDSENAFDGTVARTGCMLTTLLDETKTGRLLLFPYAADGKARISKFIKGEIMLDTRHKSSIIYDRKLYFIRVHLQPFKEGFFEEGAVICAPHPSDISLWTLSSVKSEVGLKLSESAMRSYFKENSSGEWGMQIPDDSVGRESHRWPIGFVTSACVQGSKRLAAEGFCEAVLLSHLREEQWKEMPVNQRRSEIYILVRNLRSVAYRLALASIVLEYQQNDTEFL >RHN48476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47736295:47737694:1 gene:gene43195 transcript:rna43195 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVSLDTRDINQYNSHSASSPPFPLVLLCIGPLLLGSLAKSMWKKSLTWLYLEEDFVNTKSDFIHDFSTNKNNDVLVKLIILLTTIKEESKT >RHN74825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36942652:36944306:-1 gene:gene10946 transcript:rna10946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MREKMAQIPYMFYTFIIIFSVFFVTTKSDSILCTTHAQCPGDMCELPQFVWCERICDRLSLGAKERGLGADSGEKYED >RHN69685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45522306:45526103:-1 gene:gene18189 transcript:rna18189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-phosphogluconolactonase MSSTFTHSSLHLNTHRIPSLSLSNPNVHPLSTQFSFRPLRFKGVSAKSNNKRFVCKVVKSSMAVEVEVFEKEQLAVSLAKYVADLSNKFTRERGAFTVCLSGGSLINYLQKLLEDPYIDSIEWAKWHVFWVDERVVPKTHDDSNYKLAFDGFLSKVPIPPGNVYAINDALSAEGAADDYETCVKHLVNSNVIASSSASGFPKFDLMLLGMGPDGHVASLFPGHPLVHENKKWVTSINDSPKPPPERITFTFPVINSSAYIALVVTGTGKADAVHSALRGSETSEKLPAALVSPEGELKWFLDKGAASKL >RHN46830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35179501:35180859:1 gene:gene41361 transcript:rna41361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructokinase MTGCCFHVTFDRLHRGSLRRLTSSSSLGSSKHGRKICKGPLVVCFGEMMINLVPTIDGVSLSDAEAYKKSPAGATAIVSVAISRLGGSSAFIGKVGNDEFGHMLSDILKQNGVDNSGLLFDEHARTALAFHSLKNSDDGKPEFMFYRNPSADILFRSEEIDKSLIKKVIVISCIKSI >RHN81706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48304045:48305881:-1 gene:gene5787 transcript:rna5787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine decarboxylase MAFEVSVLDWFAKLWEIEKNEYWGYVTTGGTEGNLHGILAGREQLPDGILYTSQDSHYSIAKIAKMYRMKCVKVDSLLSGEIDCVQLEASLLAHKDKPAIINLNIGTTLKGGIDDLDLVIQTLNKCGFTRDRFYIHCDGALFGIMLPFIKQAPRISFKKPIDSVTISGHKFLGCPSPCGVLITRLKYMNALSRDVDIIASRDATITGSRCGHAPIFLWYALKKRGLIGLENEVHECIMKAHYLLNLLRDAGIGAMLNKFSNIVVFERPLDDHFTRRWNLASNKNTAHVVVLKHVTVEMLDSFVREFTEKQSIWYKDEQFQPPCIANDVGSRNCACSIHNLSRNC >RHN40718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19912071:19912226:1 gene:gene46912 transcript:rna46912 gene_biotype:protein_coding transcript_biotype:protein_coding MYRESIAMAATAAATTRWMTLPTQWTRDHDKLFERALLMVPEDFPVRFPIS >RHN55469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18685859:18689700:1 gene:gene30651 transcript:rna30651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinacetylesterase/NOTUM MDIIVSYLLDLCRMESTKLGQWLKLNLLVILLVLLKAEADFVPTTIVQNAVAKGAVCLDGSPPAYNFDKGYGKGSNSWLVHMEGGGWCHNVTNCLGRMTTRLGSSKQMNKTLAFSGILNDKKQFNPDFYNWNRIKIRYCDGSSFTGDVEAVDPVTKLHFRGARIFEAVMEELLAKGMKKAQNAILSGCSAGGLTSLLHCDRFRALLPKGSNVKCISDAGYFINAKDISGAPHFEEYFNQIVTLHGSVKNLPGSCTSKLKPELCFFPQNFVSQITTPIFVVNSPIDSYQIKNILAPDADDWKNCKLDITKCTPAQLNQVQGFRKEFLRALAPIGNSSSVGTFIDSCYLHCQTELQEFWLFNGSPLLANTTIAKSVADWFYDRRPFHQIDCPYPCNPTCHTHVNQDAEL >RHN82701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55789078:55790070:-1 gene:gene6882 transcript:rna6882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MYATSKMISSLRNLKGLTCLDLSSLRISDHLLSSLAKEGLPLKRLSLKNCTDYSYAGIFCLLSKCQFLQHLDLQYSFYLNDQYVVGLSTVLGSLLSINLSHCNITELTLLSLVRNCPFLSKIIMECSDIGKFCDKNSNSLLDFVVYPQVKSLHLARNPLLKNESIKMLASLFPNLQVLDLRYCHCYCISDGIVEVLRYCKIIHLDMRSCSTLNLLSMNFQVSKLEVLNLSKTKIDDETLYVISKSCCGILQLDLERCDHITEKGVGHVVENCTRLREINLWDCHNVCADVNFWMVMVILRSSLRKIMAPSHFCPSDDKWKPLLNLGCLVF >RHN74891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37590669:37591531:-1 gene:gene11025 transcript:rna11025 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQLRSFTLTSLDQVLNSMSSIFRSSFRARGGPRRSELSKGPGPQINSIGTKNEIKGLANNSKN >RHN53337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1060363:1062873:1 gene:gene28235 transcript:rna28235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 12-oxophytodienoate reductase MSLSFHRYFNILYQEGILCTNYGAHCSFDGVEIRGATGYLLEQFMKDKVNDRTDEYGGTLENRCKFVLEIVEAVVNEIGAERVGIKLSPFSDFEECGDSNPKALGLYMVNALNKYVRKAFNGTFMVTGSYERLDGINAIAENRVDLVAYDRLFIANPDLPKRFALNAPLNKYNMETFCTSDPVLAEPNQTANRWYKYRSTKRTPFNLNRIFFIKRGRKKIELHILPFELLVIIVIYLNGYQNGRY >RHN63507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51842554:51846725:-1 gene:gene26211 transcript:rna26211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MVISLLLRKRPREVLVRCICLSRKSSSSDERKHSVVWRFVNGAIIPSKWLGCFTRQYLFSQADILVRGYGGYNTRWALFLLHHLFPLESTKPPLATAIFFGANDSALSGRTSERQHVPIQEYKHNLQKMVLRLKSCSPTMLIVLITPPPVCEEGRRAYAISLYGNNARELSERTNEVTGQYANTCVEMAKEMGVAYINLWSKMQETEGWQKKFLWDGLHLTPDGNAVVFQEVIKVFNEAGLSADKMPYDFPHHSKIDDKNPGSSFQQNVCDASL >RHN69389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43060133:43062289:-1 gene:gene17850 transcript:rna17850 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLYFHCKKILLFSLLFFFFFVSISFTTCSEPQLNRKQLSNISRRTLLEAEQEEEYEQPLKKKSSSTKNQTKLIKPNNNLSSKNQTKTIKSNTNNLSSKNQTKPSKDSKLTSKTTLTDTTTSLKKLNSTTFKTKKLNSTSKASTTNSTKSSTNKSKSISLDLVKQISGAIAKNKTTKPTATKTDQQSSSKKPNKLNNNKKTQPSNWFFDEEDMDFVSDFKDLPIKFQQTLIPDLEKISTTSKAYITKANKEITKNFKPYVGNKYAPTIATLLSCACVLIPLLLASLLFNKIKAYFSLQKLLIFIQAYLSIYFSILCFSSLITGLEPLKFFYSTSQSTYLILQIIQTLAYFLYLMMLLMYLVLVFSTDCGLGSKLLGLAQMFVGFSVGLHYYMTVFHKVVLRQPPKTNWKIHGIYATCFFMICVLARAERRKKTYLEEGGEEGKKN >RHN53869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4832959:4833252:-1 gene:gene28829 transcript:rna28829 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNRRVFKKKIENKLNTKYLKKCETIFCLVVGKEILMVVLVSVMLESANLLANHALSNEDRVWLDVISCCVVLVEILECSHLFGIEDVGTPIGENG >RHN74776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36615554:36618774:1 gene:gene10890 transcript:rna10890 gene_biotype:protein_coding transcript_biotype:protein_coding MHEYEDLYDPDKFIHKGKVKPPRHNSDNPSNEGKVKIIQPQSQSLDNSSTEASTM >RHN55448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18495618:18496232:-1 gene:gene30629 transcript:rna30629 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSHCMPGRSLLKCGNEDWKVMTGISGSMGDIYIFKGRPYMVDETGRTVTVEPDSSVQLMDESLVVGGNMKFLVESEGDLLLADVYECVGVRFSDHDPVRIDFFKLDEKEKKWVKLTSLGDTVVLFLGMAGSFSISASDLCVSKGNCVIFMNNIFELEPFLNMDPVSFVLDLDEGQLTRLSDSPEYSRKIKYSDGQNPSLNQ >RHN55725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21761453:21765487:-1 gene:gene30955 transcript:rna30955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MSDKEKFEVDGNEEDPMSYSSGMPPDWRFGANSSVGLVSIGNSMSMNRGDLIGSSSCSSASMVDSFSPNFWDHSANSQNLGFCDINGSSSNTIGIRKDGFGFGRGGHDHGTLEIGWNQANAMMKGDGFLPNGQGVFPQSLSQFPTDSGFIERAARFSCFGGGNFSDVVNAYGVPQSMAMYAGSIHGTRDALAGVGLRVANGGPTQESDDPNVVEAATKGVVSPSVEQLATRESPLKNDKSEGWVGSQDEGKQALVQNVNDSDGGESGDDDGGRGGQDGSPMLEGTTSGEPSMKGLNSKKRKRSGQDADNDKPNGTQELQNEGAKDNPENQQKCDQQPTSTIKASGKNAKQGSQNSDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQELVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIESLLAKDVLHQRPGPSSTLGFPLEMSMNFPPLHPSQPGMIQSVIPNMANPSDILRRTIHTQLTPLSGGFKEPNQMPDVWADELHNVVQMSFATTAPMSSQDVDGTTAANQMKVEL >RHN49174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53043531:53047177:-1 gene:gene43977 transcript:rna43977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CALMODULIN-BINDING PROTEIN60 MSNTFGRRRLQFCFMNKLPNRIFTKSTIKAEGDEPLQIELRDVENQQRVVMEEGSSMKIQLCVLYGDFEKEDWTAEEFNTQIAPPREGKEELLKGNEFITLRNGVADIDKEIEFTDISKGRNGQFRLGVKIVQSNSIGVCIREGRSEPFKVLDVRGKNYEKHDRPSLNDEVWRLKGIRKNGPLDKLLASDGIHTVKDFLRLYITNEASLREKIGKIARNSWNTIVAHAKDCDVDNDDERYIYYSTEQPISFLVFNAIYKVVEVTFHNEQNARSIQSLNQQEKRLVERVKQHAYKYFNDWNPLPIDTTTLGLEETLTGVQNAQYDGQDQALQQSDFLVCQQGQKEIGQSYVQPCISTSYVNEGMDNYQIYVDPMPDIREIPQNNHVEGEMYIDGDGYGSHFPVVEGRYSMENLMNDYPIYTTCEPENYNLYGFSDVAECSTHVNFLDSSMDISSSDKSKAVWCKVGIAIKWVISIRRVAAAAKRNANLFYFN >RHN64763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61658320:61660057:-1 gene:gene27618 transcript:rna27618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MASNYAFFVHVITSLICTIFIVAFAGNLYQDVGITWGDGRGKIVNNGQLLTLSLDRTSGSGFQSNNQYLYGKIDMQIKLVPGNSAGTVTAYYLRSEGSLWDEIDFEFLGNLSGDPYIVHTNVYTQGKGDREQQFYLWFDPTTSFHTYSFLWNPAHVVFSIDGRPIREFKNLESEGVPYPKKQPMRLYSSLWNADDWATRGGLVKTDWNQAPFTASFRNFKANGCVLSNGISSCKSNSSSDNAWLYQQLDSTNQKRLKWVQKNYMIYNYCNDLKRFPQGLPLECIVRTNS >RHN64336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58272083:58273213:-1 gene:gene27144 transcript:rna27144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MVVDHSDKVSEGEDSNPNAAVSSDNSNPKKTCADCGTSKTPLWRGGPAGPKSLCNACGIRSRKKKRAILGISKGNNEEGTRKGKKSNSGGGGGGSKVGDNLNMKQRLLNLGKEVFMNRSHWKKLGEDEQAAVLLMSLSYGSVYA >RHN82672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55581685:55582519:-1 gene:gene6851 transcript:rna6851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MCKCNQSSSLPHRASEMEKKYATTTNEKIHDDIVFSILSKLPLQSLKRFGCVCKSWSLLFENPRFMNMVVTIFYLTTVLVITMHLSFSGERFENKAKLNLPNPFQEETETRYRLHHFNILNLGDEFKVIPHSSAKFQPFAANVSGDVINFDSFGHDGFGYDPITYDYKMISHVLSTPPQLFPHSGYVPLGDTSLEPFWEIYSLRSNSWRKFDIVMSTSYGVTDKAKVYMNGMCHWWCIIYHLNSKNESNWCRLT >RHN47216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37989127:37991259:1 gene:gene41782 transcript:rna41782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 3-dioxygenase MDQHGDCTSSFNSAMSLSDQGVSSIPQCYILPPSQRPGTYDHVSISTTLPIIDLSNLREQSLRSQTINEIRIACKEFGVFQVINHGIDESTMKDALQVATEFFNLPNDEKMRLFSDDVHKPVRYGTSLNQAKDEVFCWRDFIKHYSHPIADWIHMWPSNPSTYREKMGKYAVAAQVLQNKLMEIIFESLGLNQSYLHEEINGGSQVVAVNCYPTCPEPGLTLGIHPHSDYGSITLLLQTRSGLEIEDKNKNWIPVPFVDGALVVQLGDQMEVLSNGQYKSVIHRAIVNEDEKRFSIVSLHSLAMDKKIGPALELVDDQHPMSYKEFSFREFLEFLCCNDVSKGRFLDTLKL >RHN52006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30149007:30154572:1 gene:gene36612 transcript:rna36612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, HIT-type MSTSVSQRKEQVENVSIKAIPKGLTKCEECKSNPSKYKCPGCSIQSCSLPCVKAHKTRTGCTGKRNQTQFVPISQFDDSILLSDYNLLEEVKRVAEAASRTRTKLGLYTYFKLPHYLKSLQHAAGSRSTKLLFLPNGMSKRENNRSRYDHRKKSIHWTIELRFHSTKVVLHDHGVNENTSFRSILEKHLKPSPWNHQLKEFCDDQLDCLKLFIRKYPKGPKSPFKELDMNAPIKQQLGNVVILEYPVVFVFLPSHSINFEVIKKVNPSLPKSLPKDSEVNLSPEGVSFREEVIEDDNNSDDPKVFDLMKDIELSSSHQVLTENMNSEKVPNDSLDKPVFEEDNDGNLSPASLMDKELEKLSEDVAFDFDEDFMDDAYSDLMSHLNPEDFLNFDNEFAEKDVNEIDFCRVIDEFPMPEELEEGEIQE >RHN46414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31503851:31504483:1 gene:gene40896 transcript:rna40896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ELM2 domain-containing protein MEDCSYLCDPNDSIKGSSYPMNQQSTKIQPRDEQIILQVAEEQIFPAIYDKGEYCETCTEDYSHLSESEDSIKVLSYPMHQGSLENQPRDLMVGNSFSFSTKPSLNDKPNIYFVKALKSMGDKYFTDGKTSLQLSSTEEEDMELVCSLNNFLTPRCNHLLRPVIPIGPRFQVKIPKWEGGTDIKLDNDDDGLKWLGTQIWPIPFISETNI >RHN51747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24121347:24127617:-1 gene:gene36260 transcript:rna36260 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSYDEQKLRDEVIYLHYLWQQGPPTPPPPPHPHAPQSNPILPHWRHHHDPFPPPHTRSLPPVNSTTFKKKKVTKPEPISSENRRVNTDDNNVTKPDSPGWPVPVPVSRNSPQTGWPTPNPPPQPQEIERLSALHLQNKACKAFKDSLLIDSDDDDDDEVEEDYEDEDEDDNEDGDDRFKQFENFFIKVFMEDNELRWYYQRCFENGEFLCLVCAADKKNNKNKKYKDCMGLVQHSKLILRTANKVAHRAFGEVVCKVLGWDVRRLPTIVLNGEPLGPAQSLGEPKESVAAAAADDDGKDGLCNTEDIAVSLDHVEDPTEEHAKGVDKSIAECSSKEGDIDESGGGEAQYEGETKEKVVFEA >RHN62002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39985030:39988218:1 gene:gene24522 transcript:rna24522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-1,5 bisphosphate carboxylase/oxygenase large subunit N-methyltransferase MATIFSGGSVSLFPFHTNKGTSSFATKAPTLHLKKPFSVKSVASLGTEASVSPAVQTFWKWLQEEGVITAKTPVKASVVPEGLGLVALKDISRNDVILQVPKRLWINPDAVASSEIGRVCSKLTPWLSVMLFLIRERSREDSVWKHYFGILPQETDSTIYWSEEELQELQGTQLLNTTLSVKEYVKNECLKLEKEIILPNKKLFPSPVTLDDFFWAFGILRSRAFSRLRNENLVVIPLADLINHSARVTTDDHAYEVKGPAGLFSWDYLFSLRSPLSVKAGEQVYIQYDLNKSNAELALDYGFIEPNADRYAYTLTLGISESDPFFDDKVDVAESNGFSQTAYFDIFYNRPLPEGMLPYLRLVALGGTDAFLLESLFRDSIWGHLEVPMSRDNEELVCKAVRDACKSALAGYHTTIEQDRKLKEANLESRLAIAVGIREGEKLILQQIDEIFEQKELELDQLEYYQERRLKDLGLCGESGDVLGDLAKYF >RHN46489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32215161:32215544:1 gene:gene40980 transcript:rna40980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MKALFLRGFYGNIDLSCILHVEILALYHGLDLCWSTGYRRVICYSDSLHVIMLVQDLLNMFHKFSNLISLIKKLLSQDWIVALCHILWEGNFVADCLAKLDAKSYEKLVLLDTTPILTVFCFLVVVF >RHN57944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42690010:42690333:-1 gene:gene33536 transcript:rna33536 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMGTIKVKKDIEIMHLDVDNWCMSEGVHEKKAIYGLVVKNEDPSPSSSLVVQQKTKFVNAVDTVKRNFAFSDSETSTSSSSSDDSSFLDNLTVRSTISLAKKKKM >RHN74118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28387864:28388379:1 gene:gene10105 transcript:rna10105 gene_biotype:protein_coding transcript_biotype:protein_coding MENHIPFSAVDKDATFHGKFDELVQDAGTSALRTLLYIQSMEKKYEALEKEFQDSVKDVEKFKHKVTAFEERVEGLLKDKAALEKVVADAEKLKIDWQAKKSDLETQNRKLKDGLNKSQAEVEDEKMALAGFFEDGFQRAKSQALHFYPDLDLSSLNSLKIVQDGELVDEP >RHN79619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30634117:30637272:1 gene:gene3436 transcript:rna3436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Brix domain-containing protein MAPHTAQFQNRHNQGGAHTHGSSTSFSEFRTATTHKGKLALKKRAPKIVETGKKTLLLHGTKTSAVLNAVLTQIYHLKKESAIKYSRKNDNIKPFEAGGETSLEFFAQKTDCSLFLYGSHSKKRPNNLVLGRMYDFHVYDLIEVGIENFRGMESFDYDKKIAPKEGSKPFMAFIGEGFESVNELKQLKEVLLDLFRGEVVENLNLAGVDRAYVCTALSPTKVFFTHCALRLKKSGTVVPRMELVEVGPSMDMVIRRHRPPNESVMKEAMKTSRDKPKKKEKNVKKDPLQGKIGNVYIPDQKIGEMVLPNKSKGVKRERREAKQQKHGSDEHASKKQKDESS >RHN82141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51508063:51510505:1 gene:gene6267 transcript:rna6267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MATISVIPYSFLILIILFTSKVNADDKFTYLCDKNNDRGDYITGSTYHNNLKIAFIYLTFNSKVNYGFYNTNYGQNEDKVNLIGICRGDINPEDCRKCLVSSKSYLTEACPNKKEAIGWYEADQKCMLRYSDRSILGLSEMWPAIIWWNVNNATLADQFNSVIKQLLNDLKNKAINGDSHRKYAVGTLPGPSSDQTINGLVQCTPDLSVSQCDDCLNESIAEVPKYCTNKIGCRVFRASCNLRFETYQFYQT >RHN67936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31636853:31639603:-1 gene:gene16199 transcript:rna16199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MTVQSTLKLQNPSSSNFEPDPSCKTTTKTKPNNKKLLRVIFTDHNATDSESSGDDETPRRVKKEITNITMQFPSLTDSQATSPSSSSCSINPTHLNKPRKRPTKNSGGSNRRSIFRGVRKRPSGRWISEIRDPFQRKRLWLGTFNTEKEAAAVYDAAAAKIYGPKVAAVNFPNDASDKKSVKGSPEIFSGDGFASPTSVLPFCDGETPFDGLRYGAVDAFGFDIDAPLNLTDVNFVPFEKEKEEFGEFDLDEFLTWPS >RHN69410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43250843:43254873:1 gene:gene17879 transcript:rna17879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator SAP family MSSNSKYPILDDKPIDKWKVTELKEELKRRKLVTKGLKEDLINRLDEALREEREAAEAARKKEQEAAEASPEQEAAEGSKKDEANGLDTQVDELKDSKTINVDAEVFGTIQASEQGNSGVVEPFETDNVAKVPEVLDNDGIKNVDEDGVTDPVDANNSVSAMDVEGEHVESANAGEEAVAHPSNVETTVTITETVVTKTVSTEVVVDGQDSYSSEKNNEDSAIKLGNEESKVQLDSEDSKPQLESDTKPPCDNLMPNSSAPENQDTIIANNVKLEQDNVRQEMVEEPSSRNDVPVYDESHSVDVGGLHEKKSSVEANNNNVTSPELNKTNSSDDVGYSEKLNLDRSSGDDSMEEDLPEIRQYDSKFNDELSDKVENNEVLKGKEQSKTIVVGDGVSARNSDTHQDMNISTVSLTEKRKYPEQTLVGNNEPAKRQRRWNTEAVKGADLQSTTPRSATTPKDGQITLKRNLSRSNSSATDDTPKERIVPPSRRAPTNSLRIDQFLRPFTLKAVQELLGKTGSVSSFWMDQIKTHCYVTYSSTEEAIETRNAVYNLQWPTNGGRLLIAEFVDPEEVKMKLEAPPTPTAAVNSAPIVPPAPPSSQPEPSPRQHREQHLPVTLPPPPPLVRPPPVAREHLPSPPPLPEKVDPPIVTLDDLFRKTTATPRIYYLPLSEEQVAAKLAAQGKSTRQ >RHN49199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53246043:53253268:1 gene:gene44005 transcript:rna44005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MSTDQVSPFSVVSVVEDVLQQQGLRSSDFKFASRKAEEASLRRYEAAGWLRKTVGVVGGKDLPAEPSEEDFRIGLRSGIVLCNALNKIQPGAVLKVVEGPCDSVIIPDGAALSAFQYFENVRNFLVAVEEMGLPTFEASDLEQGGKSSRVVNCVLALKSYAEGKLVGRSGSGSLKYGQKPPTSGKPILRKNSEPFMKSLWSMTVGDKDGYTSDPDRHEGGSFSSLNSLVRQYLSDKKPEEIPIAVESLLNKVMEEFERRTQIQQETFKTTQEDKALSETDQSISKVDSVDEEMEENEDVEQLEDKQEECYDEKYNSDAEESSSLNLKQQSLVQEQHRSIQEVKNIVHQTKSGMQFLQKEYQKDMINLSKHLHSLAASASGYHKVLEENRKLYNQVQDLKGNIRVYCRVRPFLGGQPSQNSVVSSVEEGSMSLMIPPNSKLGKEGKKMFNFNKVFGSSSTQGEVFSDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMAGPDNLDEETIGVNYRALRDLFFLSDQRKDTITYEISVQMLEIYNEQVRDLLAPEEIRNSSNNGINVPDASLVPVSTTSDVITLMNLGHKNRAVGSTAMNDRSSRSHSCLTVHVHGKNLVSGSIIRGCMHLVDLAGSERADKTEATGDRLKEAQHINKSLSALGDVIASLAHKNAHVPYRNSKLTQLLQDALGGQAKTLMFVHISPEPDALGETLSTLKFAERVSTVELGTARVNKDNTEVKELKEQIAMLKAALARKDGEAEHIQQPSNSGHVTPKLKSHASSPPQRNWPSSGGRKVPKDDSSSIMSQKKSAPKLKRRSLDIHDMYRHSQSPPWPHVNSHRVANGKDDDKESVSGEWNDKIMNRNDSLTSDDSLVGQWEAESKQFSPLLSPSSLSEHSKLCLEPEFEMTTMTTDESDELEIATSDSSESDMNWLIQAPKPTAISNGLGSNAKKSINQRPTKIPEIRSMIPSLIPSPAKKQPTQVVQARKHPIDAKRRAGNAK >RHN41639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31686963:31687481:1 gene:gene47981 transcript:rna47981 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSIIIYLLLVSFVSPIYSFKTSSYQLENQTFQIEKFHKLKKTIKNRLQQINKPPIKTIQSSDGDIVDCVSVKREETNGILYLGKPELTYIFFFFFLQKKKYFFSFQISHLLYLKIFTLLIQLLL >RHN63085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48279310:48279704:1 gene:gene25743 transcript:rna25743 gene_biotype:protein_coding transcript_biotype:protein_coding MIKELRHLPILLHHVGTSSTTLIVFHWAANLSGSIVRLVSIELVTIILITHLRKLICRFFLPPNLLCLAPSKCSFARDVNVLCLIQTVMRTQDGKMRKTKGVLIKRVQSINSKKEHGQQFANICIWKA >RHN57764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41591533:41595356:1 gene:gene33348 transcript:rna33348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MDDEGLEGGNQISQTLLEAIEKSRLSIVVLSENFGYSTWCLDELDKIIKCMKTKNQLVWPIFYKIEQSDVSNQTKSYGKAMTAHEDRFGKQSENVPSTSCFNNSKLCWTCIMYLGYMQFLIGK >RHN52945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40604125:40610115:-1 gene:gene37687 transcript:rna37687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MNRGVVQSSPVQQMMASNPNWWNINNMRPPSPQPPPLFSSPSNFLNPNYAPTSSFLPFSSWHENHQELPEPWSQQLMGGIVGEEDKIGMNQFQNQMLISQAPNASLVDVKQENSGNSYAYGHGNEELNSCVTSFNNNNNMLDFTNNNSNIDVRHPPLDRSSECNSTASGGAMKKARVQQSTTQATFKVRKEKLGDRITALHQIVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYLSNGSGNTRQPQSIQGENNCLFPEDPGQLLNENGLKRKAAEEVSQEEPKKDLKSRGLCLVPVSCTLQVGNDNGADYWAPALGGGFR >RHN74997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38601988:38605348:1 gene:gene11142 transcript:rna11142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TCP family MNGGEIVEVDGGHIIRSRGRKDRHSKVCTAKGPRDRRVRLSAHTAIEFYDVQDRLGFDRPSKALDWLINKAKPAIDQLAHLPPWKPTLFKQQQQKQQNDDVSEEQSQNENEFRFVQNFSNNGNSNSFIPFQNEIPETASFRNDLKLSLQQNQNQHVQQHVLFTGNFDGMVTWNSGGGATTIDTGSGGGGGDGFVFHGSSPSPVVFPAVMYGQNQYLSQRGPLQSSYNPSVRAWLDAPATFVAADYRLQAGGSGAGFASGGFSGFRVPARIGGDDEEMHGGLSDRLSSASSDSRR >RHN45116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11953185:11957938:1 gene:gene39325 transcript:rna39325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative surfeit locus protein MAETTAVEVVTTEESVKQKEGSNLLGSPKFKEIGNGRLKCVETGHEVLSKDIPFYSNSKKCRLGLIDFALANNKSPLNMFNQDPLCRSKLICKLTGHKVNKSEEHIWKHMTGKRFLNKLEQQEEGMENGESLQEQQSVDCGTKAEMKKKNKQKNKKKKKTKKKKDKRVEEIISEVRKSSNEETDTEEEDFWMPPAGERWDNDDGGDRWCSDSGSDSESEPEAEEGDVIDGDADVDCKESEELSSRTKRLSIEVGPSSFASRKKKSKKNDET >RHN60463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27069868:27075144:1 gene:gene22776 transcript:rna22776 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIRFVSNCYTFQFSKTPSFSPFPTAFSTYTPFVSSLRASRTTTATTTTVSDVDEDVLQTFLKDRELNGDFVSRTCDLLWRMDIRDSGDYDVSELNDDNNAQQIEQIIETNSDGGFLKLSSTQEWLLGDNSAPVNRKMTAKTILDNRARLKKLNALKYQSLKKELLLLSVGIGLGCSGYCLVVFSVQAAISYAIGVLFSCLYLQLLYQQADNISSETVPQIFMKKKSKKIGIRSEDLSDSFEKTIRGSGIALSSPRLVIPAAIYGLWAVSHQYFINDLLDFQLVPAMFGMFVYKAAALVQVYRDNKDLGFEFPEEF >RHN39844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11017443:11021556:1 gene:gene45920 transcript:rna45920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-aminocyclopropane-1-carboxylate synthase MSLGSESYQLLSKIATNDQHGENSPYFDGWKAYEKNPFHPRKNPQGVIQMGLAENQLCFDLIEEWIKNNPKASICTPEGVCKFRDIANFQDYHGLPEFTSAMAKFMSKVRGGRVRFDPNRILMSGGATGANELIMFCLADPGDAFLVPTPYYPGFVRDLCWRTKVQLIPVHCDSSNNFKITREALEVAYKKAQENNINVKGLIITNPSNPLGTTLDKETLKSLITFINEKTIHLVCDEIYAATVFTSPSYVSVSEVVQEMKCNLDLIHVIYSLSKDLGFPGFRVGIVYSYNDQVVNCGRKMSSFGLVSSQTQYMLASMLSDEKFVDKFLLESSRRLLKRHDKFTKGLEEVEISRFPSNAGLFCWMNLKKLLKEETIEEELKLWHVIINEVKLNVSPGSSFNCSEVGWFRVCFANIDDETVEIALQRIRAFVGKETKKKIEVKRWQPNLSLSFTSTRFDENVLSPHNHIIISPHSPLVRAT >RHN51297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15918056:15919285:-1 gene:gene35713 transcript:rna35713 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVSSSFTRLSTQFTTRLFSTTAALSKPSSLTLLRFVPMSQTIRQSLNTAARFGGIHSRAYYSSSLSDGGLDYKHWVIAMDNPGGKDSSWQEKIDCYIQTLGHVLGSVVEAKKKIYSVYCFKKEFGFGCEIDEQTKNNLGVMPGVMFILPDVYMDIQKKYYGGEDFVLIVLIFHTDLCFTALKLPFLI >RHN41804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33130503:33133540:-1 gene:gene48165 transcript:rna48165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane transport protein MGFMQLLYVASLPVMKVLLISALGLFLAIDQVDVLGADARKRVNNLVFYVFNPSLVGSNLAETLTSDNVLTLWFMPVNVLATFILGSALAWIVIKITRPPKHLEGLILGCCSAGNLGNLPIIIIPAICKEKGSPFGDPVLCHKYGMAYVSLSMAIGAVLLWTYVYNIMRISTSRAKLMTSGVISESQQYNISVTNNPAKDALDDAYTLLLPNTDFEEKVSFSDKVKCHLRNISNNINFKTIFAPSTIGAIIGFCIGVINPIRKLMVGNNAPLHVVEDSASMLGEAAIPTVTLILGANLLKGLKGTSTPVWTIVGIIAVRYIFLPIIGVVVVQGAIKFGLVQPDPLYQFVLLLQYALPPAMNIGTMAQLFGSGGESECSVIMLWSYALASIAVTLWSTFFMWLVI >RHN74514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34200857:34202990:-1 gene:gene10600 transcript:rna10600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MRRFFIFNTWILVAFIAVLPVLLSLRTTSFNFYHTSFRSVKLAGIFPFDFSESIRDGSNLRYNFYKDSCPEAENIVRSAVTDIYSDHRDLAPSLLRLFFHDCFIQGCDASLLLEDRNISYEKQAIPNQTLKGFDKVDLIKEEVEQACPGVVSCADILALAARDSVFLRENTRIVRENGFLETMITLSDPCLILSLIIFAPMIYLSTWPWQGGGPFYPVLTGRRDSLQSFFQEAADQIPRPDDNITRTLHLFNLRGFNARETVSLLGAHNIGKIGCDFIQQRLYDFQGTGQPDPSIPLDFLSQMRQNCPDNNKNNVSSNGMFSTFTVSKPMNVHHSNNKGMSYKQALSSAVSSGASFDTHYYQSLLRGRGLLFADQQLMAEEKTAKLVSAYASDDGSTFRMDFARVMMKLSNLDVLTGNQGQVRLNCSRVVSS >RHN63780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53992639:53994373:1 gene:gene26526 transcript:rna26526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase transcription factor WD40-like family MLLTIIMLNINNTMSKSMVVSVILLAIFLLCSPSSVAVLLNKLQLPPPLTGPESLAFDRNGGGPYVTSSDGRIFKYVGSNEGFKEYAYTAPNRNRTICDGLADFSVVQAICGRPLGLGFNHQTNDLYVADAYFGLVKVGPNGGNATQLVGPTQANSTMFADGLDVDPDTGIVYFTIASTNYKLKDFQTVLASGSGDNSGSLLRYDPSTNQTTVLLRNLTIPSGVAVSKEGSFVLVSEYLANRIQRVWLKGPRANSSELFMLLAGRPDNIKRNSGGQFWISVSSFLGTPRSPGCSTLPSGVRVNENGLVLQIVSLVEEYGPEAASEVQEYNGTLYGGSLLASYATSFTS >RHN79519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29839264:29839804:1 gene:gene3320 transcript:rna3320 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVSVLGSLWYMLGWLVYNGFCEFALDKRTTALVLIDDCDNKWKCTLFLGSISYRHRKIVGEWKKMIAARRICEAAQIKLGALMVGKNEIVYLEFIPILCLCMLLFRVQVTFQGESIVEFSKMSFLKFSLSQNVPCNRSFPAN >RHN38558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:236117:239384:1 gene:gene44511 transcript:rna44511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MKIIWDELEDLRPTPSCVCAIPCSCKLSTSVRTYKNNEYILCFLKGLNDNYTNVRTQILLMDPLPSISKTYSLVIQQDSTPTEQPSESNVFNVNSSSTSSAQHKGNHGLGRGRGKGPKTSMLCSNCNKTNHTVENCYFKHGFPPGYRSRNQLDAMKSSFNNGGQEPKPTPHPNNNRSVDSNTADNNLPLSRTEYQYLINLLKSFKLESSTGKSITNDTSSHMVSSIAKTGKSLYHPCIWILDSGASDHVCPFIQSFTTIFKIKPILVSFPNGNLLFASYAGSIHFSENLYLDNVLFLPEFHLSIISISQLTKNLNCLLIFSSNSCMLQDTSTQRTIGDVELINNLYILNQTPKDHSFPNSVSFSVTTDDSQSATENLNNTSFPSSVNHSISSFHNNFDVWHYRLGHPSNSVLQQLCTYFPYINFNKNLICDHCHLAKQTKLSFPNSEHTSSNCFDLLHMDIWGPISSISLQGFQYFLTIVDDFSRYTWTFLMKHSSDTI >RHN42956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42165778:42166047:-1 gene:gene49467 transcript:rna49467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family MVYIQDNLGWSLGFGLCVVTTLVSVFFFSSSDYRIYRHKKPQGNAILELGKVFVASLLKWKCQLSSRAEDYYQHVFASSAASANTRAKD >RHN82194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51855540:51861869:-1 gene:gene6334 transcript:rna6334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MVVCKCRKATKLYCFVHKVPVCGECICFPEHQICVIRTYSEWVIDGEYDWPPKCCQCQAILEEGGGSETTRLGCLHVIHTNCLVSHIKSFPPHTAPAGYVCPSCSTSIWPPKSVKDSGSRLHSKLKEAILQTGMEKNIFGNHPVSLSVTESRSPPPAFSSDPLISRENLGKSVSADGSELSKLSVTDIVEIDGANSGGNFMKSSSPVGPGATTRKGSIHVDRQNSEISYYADDEDANRKKYTKRGPFHHKFLRALLPFWSSALPTLPVTAPARKDGSNATETSEVRTRHQKSSRMDPRKILLLIAIMACMATMGILYYRLVQRGPGDELLNNDELHGVP >RHN56700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33004291:33007130:1 gene:gene32138 transcript:rna32138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative catechol O-methyltransferase MESQNIEQVASNLLKAQSHVWNHMFNFINSMSLKCVVDLGIPDIIHNYGKPIPLSKLISSLSIHPSKNHGIHRLMRIMTHSGFFSQKNVIGNELEIEYMLTDASKLLLKDNPMSVAPYVHAMVNPVMMNPWYQFSTWLKNDDTNTFETTHGMSTWEYAARDSEINNLFNESMANDTRLVSDLLVEKCKGVFNEVESLVDVGGGTGTMAKTLVKSFPQMECIVFDLPHVVDGLQGSEKLRYVGGDMFKEIPPADAILLKWILHDWNDEQCLKILKNCKDAISKKGKEGKVVIIDMVLDCEKGDNNESVETQLFFDMLMMALLTGKERNEKEWMKLISLAGFNDYKITPILGLRSMIEIYP >RHN71457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:301163:302524:-1 gene:gene7061 transcript:rna7061 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLNSERSKMWNVYTASNAGPSHSQTGGGGGGGGGGGVDEEGPWKSFGTSMSAISFGFVATAILISMFLIMAIFEHLFKPTQQFSSTPESMLPTYQEHHSLPTTKQGNAQSVLSSSYACDLSVLMPGQQYPTYIAQPAPLPCPREGAYWPSHQHHFVFNN >RHN41488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30432746:30433538:1 gene:gene47820 transcript:rna47820 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKVLSIVLFVLLGLSISSAARKLIVGDVGGGYGYAGPGEGGDGYGVSRGGGGGEAGGGYGPDGPGTGSGGFVPGYPGVGGGGFVPGVGGGECVPGYPCEGSGGHVPGGEDGGYVPGGPGEGVGGHVPGGGDGGYVPGGPGEGVGGHVPGGPGEGGGDIPGGGGDVGGGYVPGGPGEGGGDVPGEGGKGGVGYVPVGPGEGSGDGGGGGGYPGGGGGGGYARKITCFYIWCWPVGHDGYH >RHN66452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12470168:12471038:1 gene:gene14442 transcript:rna14442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKRLKRTENKDNLSELPDCVLLHILSFLDTKLAVQTCILSARWKNLWKHVPALRLNTYLHSLYTRIKLKGFTKFVSSILSKRDASTALHTLEFRRTGLVEPHIFKRILKYAVSHNVQQLQICYILSLTNFAFHVGDNGCADPFSEFKNLNSLIIDRCVALDAENLHISSVTLANLTVRTPRVVARNYRKIELSTASLRSFVFIGIPYQKLVWSHLCSVTHLKIDANVPWSYADLDWNLLVFLSWL >RHN40093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13156851:13161676:-1 gene:gene46194 transcript:rna46194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MLMCYKSRMKMFFQKLTNSYSLVWLPLLLILLNKVSVNGVEQKNFYIVFLGGDHPVSREGAVETHLNILSAVKESHVEAKESIVYSYTKSFNAFAAKLSEDEANKLSSMNEVLSVIPNQYRKLHTTRSWDFIGLPLTAKRKLKSEGDTIVALLDTGITPEFQSFKDDGFGPPPAKWKGTCDKYVNFSGCNNKIIGAKYFKLDGRSNPSDILSPIDVEGHGTHTASTAAGNIVPNASLFGLAKGMARGAVHSARLAIYKICWTEDGCADMDILAAFEAAIHDGVDVISVSLGGGNENYAQDSIAIGAFHAMRKGIITVASAGNGGPTMATVVNNAPWIVTVAASGIDRDFQSTIELGSRKNVSGEGVSTFSPKQKQYPLVNGMDAARASSSKEDAKFCDGDSLEPKKVKGKIVYCRYRTWGTDAVVKAIGGIGTIIENDQFVDFAQIFSAPATFVNESTGQAITNYIKSTRSPSAVIHKSQEVKIPAPFVASFSSRGPNPGSQRILKPDITAPGINILAAYTLKTSISGLEGDTQFSEFTLMSGTSMSCPHVSGVAAYVKSFHPDWTPAAIRSAIITTAKPMSQKVNREAEFAFGAGQVNPTRAVNPGLVYDMDDFAYIQFLCHEGYNGSTLSVLIGSSINCTSLLPGIGHDAINYPSMQLNVKRNTDTTIGVFRRRVTNVGPGQTIFNATIKSPKGVEITVKPTSLIFSHTLQKRSFKVVVKAKSMASMKIVSASLIWRSPRYIVRSPIVIYSP >RHN76089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47582703:47586644:1 gene:gene12365 transcript:rna12365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative XS domain-containing protein MSKRSKHTHDSDYEYYESRYYEDLKDDYYKDKLKISDSKYRCPFCYNKDYYSLSDVLRHASRMLGDSRETDKEIAKHSALKMYIQRYLDVKADRIRLPDVRIASDKVPGVSIASDKTVNLSIADEKSRLMNVDKGQLAKVNVVDDELFVWPWMVILANNVTNFDPKSGKYIGKNHKKIKEELIMKGFQPIRVTALWNPRGQTPFAIVEFGKEWDGFHNAMKLERSFQAEHCGKRDYLGLRKQELGDRLFGWMARRDDYNLKDVVGKHLKGNGDLKTVSGKEAEDTRKAKTLVFGLANTLKQKTDELEHTASKYDEANAFLRKVMDQKEKMLEAFNEEISKMRQVEREYQEKVSKDHEIARQELETRRNELKSREKDLQKRQADNLNERNKLYLEKKNNEMAIEEQQKADEKMMSLAEEHKKEKEKLHKKIHDLERGLDAKQALELEIERLKGAFQVMNHIGETDLEEKKKLEEIKMELQEKEEELEGVEDLQQTLVVQERKTNDELQDARKKLISWIGCPKKAPRAIISVKRMGELEIKPFLEAAKRKFSDEVNEKKATKAQLREKWQLKAIEWCSTWDDCLKDPSWHPFKVVTDKDGKSKEISDGEDEKLKSLKDEFGDEVHDAVATALKELNECNPSGRYPIPELWNFREGRKATLKEGVSHLIRQWKLNKGKKTY >RHN70701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53314929:53319453:1 gene:gene19312 transcript:rna19312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MIMETFPLLFMLFFFFSVLFVADSTPIVASCDQCHRTKEEPDVTTTPIRNYPHDKDIDIIAAYSDASGHIRLARLKTMDLSASWVWENPTNVNTEHQNNSQGGMESLQTDSRFEDNLKHSEDEHYPEESLVQIPHSSPMTPVKIKRRMMRLQRRKARAAELVQQNKETENHTVSAAIERSKGFDTTIKGKYSIWRKEYENPNSDSTLKLMRDQIIMAKAYSNIAKSMNKTVLYEALVKHSRDSQLAIGDAHSDAELHTGALDWAKAMGHVLSMAKDQLYDCILVSRKLRAMLQSTENRANMQRKRSAFLTQLAAKTVPRPLHCLPLQLTADYYLQGYHKKGNVGKEKIEDPSLYHYAIFSDNVLATSVVVNSTAQNANEPEKHVFHIVTDKLNFEAMRMWFLTNPPSKATIDVQNIDDFKWLNSSYCSVLRQLESARLKEYYFKANHPSSLSAGSDNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTPLWSIDLKGMVIGSVETCKESFHRFDKYLNFSNPLISNNFSPDACGWAFGMNVFDLKEWKKRNITGIYHRWQDLNEDRTLWKLGTLPPGLITFYNLTYPLDRGWHVLGLGYDPALNLTEIDNAAVVHYNGNFKPWLNLAVSKYKSYWSKYVMFDNPYLQVCNLRK >RHN50105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4092092:4094204:1 gene:gene34358 transcript:rna34358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S28e MESQIKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRHIMRNVKGPVREGDILTLLESEREARRLR >RHN45086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11623453:11625200:1 gene:gene39289 transcript:rna39289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MVTIFDIKVFGEPKNLTLKNTLSTLIEQCKNLKEIKIIHTHILKSPILHTRDQYYLFTRLLYFCSFSNYASFNYANNVFHMIKYPELRVYNIMIRSYGCIEGGDDSNCCYKALMLYKQMLNKGIVPNNLTFPFLVKGCSRLQYGGTVGEVIHAHVLKFGFLNDVFVGNSLISLFMNFGLSKNARKVFDEMFVRDVVSWNSMVVGYLRNGEVEMALNLFRKMNGRNIITWNSIITGLVQAGHAKESLEIFHEMQFLSGDDVVKPDKITIASVLSACALLGSIDHGKWVHAYLRKNDIECDVVIGTALVNMYGKCGDVQQAIEIFNDMPEKDASAWTAMISVFALHGFGKKAFDCFLEMEKAGVKPNHVTFVGLLSACSHSGLVEQGRCCFDVMKRVYSIEPQIYHYACMVDILSRARLFDEALFLIRSMPMKPDVYVWGALLGGCQMHGNIKLGEKVAHYLIDLEPHNHAFYINLCDIYVKAGKYDAAKRIRNSMKERGIETKIPGCSIIEINGVVQEFSVGEIPMKELPLVLDRLRNEMKI >RHN80807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40987260:40989778:1 gene:gene4774 transcript:rna4774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L22e MGRVDAAGSKGKKKGSKIAVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEGEEED >RHN74912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37728777:37729609:1 gene:gene11046 transcript:rna11046 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSSPPSSTVSETHRFIKIHIIIIIIIIIIIKYIYKLLDTRGKKKKKSITLPSFFLFLP >RHN75597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43620567:43621386:-1 gene:gene11827 transcript:rna11827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MGSSKLFTIILFSFTFVFLIQTTLGTDPLFHICSTSENFTAHSPYESNLKTLINSLIYKTPSTGFGSGSIDLTQYQNQKAYGLALCRGDVSTSECKTCVSQATKEILNVCPYKKGAIIWYDNCMFKYLDNDFFGKIDNTNKFALLNVQNVSDPIKFNNMTNDLLSFLANEASMNHKLYATGELKIGESERVYGLTQCTRDISSVDCKKCLDGAISELPNCCDGKKGGRVVGGSCNIRYEIYPFVRE >RHN53342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1075577:1076740:1 gene:gene28240 transcript:rna28240 gene_biotype:protein_coding transcript_biotype:protein_coding MATNYVFNDKKIYGAAAVIPKYSKFAPLSRRFVSTLMPRLSPLFLVTIANGFFPSCCHPNSIIVLLSQTFIIL >RHN68466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35815906:35822974:1 gene:gene16827 transcript:rna16827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative biotin/lipoyl attachment MDSSAAIRSFHYPIGTISHVRSSIERAAVVPCHKIRWNSNRGKKALVSCAKAVEAINTTKSDASLDSTQQDKLEKKPLQTATFPDGFEALILDVCDETEIAELKLKVGEFEMHLKRNIGATKAPLSNISPTTPPPIPSKPMDESAPTTAQPLPPTSSSEKTNPFANVSSQKSSKLIALEASGTSTYALVSSPTVGSFRRGRTVKGQKHPPICKEGDVIREGQVIGYLDQFGVGAGIPIKSDVAGEVLKLLLEEGDPVGFGDPILAVLPSFHDIK >RHN78891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19663878:19681598:-1 gene:gene2558 transcript:rna2558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEILISFVAKIAEYTVVPIGRQASYLIFYKGNFKTLKDHVEDLEAARERMIHSVERERGNGKEIEKDVLNWLEKVNEVIEKVNGLQNDPRRANVRCSTLSFSNLVLCHQLSRKATKIAKDVVQVRGKGIFDQVGYFPPLDVVASSSTRDGEKYDTRESLKEDIVKALTDSTSHNIGVYGLGGVGKTTLVEKVALIAKEHRLFDKVVKTEVSKNPDIKRIQGEIADFLSMRFEEETIVGRAQRLRQRIKMEKSILIILDNIWTILDLEIVGIPFGNKHNGCKLLMTCRNQEVLLQMDVPKDFTFKVELMSENETWSLFQFMVGDVVKDSNLKDLPFHVAIKCAGLPLRVVTVARAMKNKRDVQYWKDALRKLQSNDHTELDPGTYSALELSYNSLESDEMRALFLLFALLAGDIEYFLKVAMGLDILKHINAMDDARNRLYTIIKSLEATCLLLEVKTGGKIQMHDFVRDFAISIARRDKHIFLRKQSDEEWPTNDFLKRCTHIFLKRCHTLELPQMIDCPNVKLFYLDSNNSSFKIPDAFFEGMRSLRVLDLTRLNLLSLPTSFRLLTDLQTLCLDQCVLENMDALEALQNLEILCLRKSSMTKLPREIGRLLQLRMLDLSHSGIDVVQPNIISSLTKLEELYMGNTSINWEDVNSTVQSENASISELRKLPILKALELQVRETWMLPRDLQLMFEKLERYKIAIGDVWDWSDIMDATLKTLMLKLGTNIHLEHGIKALIKGVENLYLDDVGGIQNVLYQLNGEGFPFLKHLHIQNNANLKHIVDSKERNHIYASFLILETLELLNLINLEHICHGPLSISSFGSLSDITVKNCGQLKYLFSFTMVKGLSHLCKIEVCQCNSMKEIVFRDNNSNENNDITDEKIEFLQLRSLTLEHLETLDNFFSYYLTHSRNKQKCHGLEPCDSAPFFNAQVAFPNLDTLKLSSLLNLNKVWDDNHQSMCNLTSLIVDNCVGLKYLFPSTLVESFMNLKHLEISNCHMMEEIIAKKDRNNALKEVRFLNLEKIILKDMDNLKTIWHRQFETSKLLEVNNCKKIVVVFPSSMQNTYNELEMLKVTDCDLVKEIFELNLNEKNSEEVTTQLKEVTIDGLLKLKKIWSRDPQGILSFQNLINVKLESCASLEYLLPFSVATRCSHLKELVIKWCENIKEIVAEEKEFSLSAAPIFEFNQLSSLLLWHSPKLNGFYAGNHTLACPSLRKINVSRCTKLKLFRTLSTRSSNFRDDKPSVLTQPPLFIAEEVIPNLELLRMVQADADMILQTQNSSSLFCKMTYLGLAGYNTEDARFPYWFLENVHTLEKLHVEWSCFKKIFQDKGEISEKTHTQIKTLMLNELPKLQHICDEGSQIDPVLEFLEYLRVRNCSSLTNLMPSSVTLNHLTKLEVIKCNELKYLITTPTARSLDKLSVLKIKDCNSLEEVVNGVENVDIVFISLQILMLECLPSLVKFCSSKCFMKFPLLEKVIVGECPRMKTFSMGSTSTPILQKVKIAENNPEWLWKGKLNHTIYNMFEDKVAFGKFVYLALSDYPELKDVWYGQLHHNVFCNLKHLVVERCDFLSHVLFPSNVLEVLLRLEELEVKDCDSLEAVFDVKGMKSQEILIKQSTQLKRLSLSTLPKLKHIWNENPHEIISFGNLCKVDVSMCQSLLYIFPYSLCLDLGHIEMLEIESCGVKEIVAMEETISMEIQFNFPQLKIMELRGLSNLKSFYQGKHTLDCPSLKTLNVSRCEALRMFSFDNSDLQQPYSIDENQDMLFQQPLFCIEKLSPNLEELAVNGKDMLGILNGYCQENIFHKVKYLRLQCFDETPTILLNDFHTIFPNVETFQVRNSSFETLYPAKGATSYLSMQMSNQIRKLWLFELEKLKHVWQEDFPLDHPLFQYIEELCVLNCPSLISLVPSSTSFTNLTYLEVDNCKELIYLITYSTAKSLVQLKTLIIMNCEKMLDVVKIDDEKAEENIIFENLEHLEFTSLSSLRSFCYGKQTFIFPSLLSFIVKGCPQMKIFSSALTVAPCLTKINVGEKNMRWKGDLNTTIEQMFKEKEVLSRSLTKLVRDGRLKLIHGSRSNQAPSHILYADDIMIFCKGFTIGTLPFSYLGVPIFKGKAKSAYFQPIADKIKLKLSAWKASLLSIAGRVQLVKSVIHSMLLHCITIYSWPVNLIEDIEKWMRNFIWSGDVNKRKLVTVAWHKVCVPVDEGGLGIRSLSNINEGANLKLCWEMIHSNLPWAHFLKNRVMKNQQPVKYHISSSIWSGIKHKYSEVILNSAWLVGNGTDINFWTDNWSGAPLVTTLNISRGIQPFLKSIVSNFINDFTWNLPTSLIQILPNLQSITDKVCLPIVDKEDQLLWKHSHDGSLSFKEAYQYHCTIGQNIYWAKSIWNLAIPPSKSLMVWRSLHNKLPTDDKYLESCYTPFKIS >RHN58360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1094679:1095017:-1 gene:gene20265 transcript:rna20265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MVNVINTKANTTLKKILQLIPGSTPRQEFALKTRAIEYNTIIIYNVSTAISALQKGNPKLAEELANDAANEADDCESQIFSGKSPLTTENNVMHDASVITSAIVKILLKMLN >RHN78669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17329755:17333551:1 gene:gene2306 transcript:rna2306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eukaryotic translation initiation factor 4E (eIF-4E), translation Initiation factor eIF-4e MATTEPIVEGATAAEEVAAVPVPAPETGLKHKLERRWTFWYDNQSKPKQGAAWGSTLRKVYSFDTVEEFWCLHDQIFKPSKLPSNADFHLFKDGIEPKWEDPECANGGKWTLTSKSKGNLDTMWLETLMALIGEQFGDTEDICGVVVSVRQWQDKLSLWTKTAANESNQMSIGRKWKEIIDVSDKMTYNFHEDAKTRGAKARYTV >RHN49744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:771035:781961:-1 gene:gene33952 transcript:rna33952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyribonucleotide nucleotidyltransferase MSIALRIRNAKPLLRTLHRHIGGSRRTFSSGDGGGSGTKLLETFTEEFEVGNRLITFQNGKYGRFSNGAVVLTMEDNKILSAVNTSRDEIARNDFLPLTVDYEEKQFSHGLIPSTYMRREGAPKERELLCARIIDRPIRPLFPPGFYHEVQVTASVLSSDGTQDPIVYAANATSAALMLSDIPWGGPIGMIRIGRICGQFVVNPTMDELSLSDLNLIYACTKDKTLMIDVQAREISEEDLQAGLRFAHPEAVKYIEPQIRLAAKAGKSKKEYKLSMLSDKTLEKVSNLAEAPIKAVFTDPSYGKFERGKALDNITQDVKKVLEEEGDEESIKVLSKTIDTVRKKVVRKRIIAEGSRVDGRQLDEVRPLYCEAGCISKLRGSADFFRGETQVLCTVTLGAPKDAQHLDSLVGPSSKRFMLHYSFPPYCIGEVGKRGGLNRREVGHGALAEKALLAVLPPEVVFPYTVRVNSEVMASDGSTSMASVCGASMALMNAGIPIREHVAGISVGLVSELDPCTGEIVDYRILTDILGLEDHLGDIDFKIAGTRKGVTAAQLDMKPAGIPLDIICECLEPAHKAHLHIIDHMEREIKEPCTKQGSSSQQIVTLKYSNDALRRLIGPMGVLLKKLEMETGARLSVGDGTLTIVTKNQSLMDKTLEKVVSIVGREIEVGGIYKGVVTSIKEYGAFVEFNGGKQGLLHISELSHEPVSKISDIVSIGQQLSLMCIGQDVHGNIKLSLKAALRGPGGSETNYIAEVSATSAKVTTNSWAPVWDASSITQEQNSASKLPIEKNEVGETKPSASQTPVIVIRSAAECDEEEKSISLDHNQTSNSPLIDDGVKLDSSKSKSPSKSKPRKSQDAVDSPSQSGSLPKKPKLSMQKKLKSDTQRAEGNEKKDKDNEPLTAKDLPLGTKFKAKVFQIRAHGLVLDLGGGVRGMYRFEGDGKTDLKVGDEMPVVLSSFSSKGIPVVFPVDDK >RHN78475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15434948:15437509:-1 gene:gene2045 transcript:rna2045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MLLRFPLFNSKPPLLINTHNLLLYHSSTISKQQCKTLTQAKLLHQQYIINGHLLNSYTNVTNLIYTYISSNSITNAILLLEKNVTPSHSSVYWWNQLIRHALHFNSPNTALRLFRRMKTLHWTPDHYTFPFVFKACGEISNFELGASIHGCVIRLGFESNVFVCNAVISMYGKCKAVVHARKVFDELCYRGICDSVTWNSIVSVYSHCFVPNVAVSLFREMTVGYGILPDTVGVVNILPVCGYLGLGLCGRQVHGFCVRSGLVEDVFVGNALVDMYAKCGKMEDANKVFERMRFKDVVTWNAMVTGYSQNGRFEDALSLFGKMREEKIESDVVTWSSVISGYAQRGFGCEAMDVFRQMCGCRCRPNVVTLMSLLSACASVGALLHGKETHCYSVKFILKGEHNDDTDDLAVINALIDMYAKCKSLEVARAMFDEICPKDRDVVTWTVMIGGYAQHGDANHALQLFSEMFKIDNCIVPNDFTISCVLMACARLAALKFGKQIHAYVLRRSRIDSDVLFVANCLIDMYSKSGDVDTAQVVFDSMSKRNAVSWTSLLTGYGMHGRSEDAFRVFDEMRKEALVPDGITFLVVLYACSHSGMVDRGINLFYRMSKDFGVDPGVEHYACMVDLLGRAGRLCEATRLINDMSMEPTPVVWITLLSACRTHSNIELAEFAAKKLLELKADNDGTYTLLSNIYANARRWKDVARIRYLMKRTGIKKRPGWSWVQGRKGMETFYVGDRTHSQSRKIYETLADLIQRIKAIGYVPQTNFALHDVDDEEKGDQLLEHSEKLALAYAILTLPPGAPIRITKNLRICGDCHSAITYISMIVEHEIILRDSSRFHHFKNGSCSCKGYW >RHN56616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32285525:32285959:1 gene:gene32046 transcript:rna32046 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYSYIQQIDHIRKMQCIFSSMFNTRDTFVLEVYSCIIREDNALNYRLCRWAHSYLGET >RHN53034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41375941:41378384:-1 gene:gene37780 transcript:rna37780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKIFIMSILLFIFFFTYGNSESQLYNQEHEILLNIKKHFQNPSFLSHWIKSNTSSHCSWPEILCTKNSVTSLSMINTNITQTIPPFLCELKNLTYIDFQFNCIPNEFPKSLYNCSKLEHLDLSQNFFVGTIPNDIDRLAHLQFLSLGANNFSGDIPMSIGKLKNLKSLQLYLCNFNGSIANEIGDLFNLETLLLFSNHMLPRTKLPSSFTKLKNLRKLYMYDSNLFGGFLKQLCIFTEIVSLERYQVALNLIEIDLSENNLVGKIPNDFGELQRLTYLSFFMNNLTGKIPSSLFMLKNLSTVYLAMNSLFGEIPNVVEALNLTKIDLSMNNLVGKIPNDFGKLQQLTVLNLYKNNLSGEIPQSIGNLKSLKGFHVFKNKFSGTLPSDFGLHSKLEYFRIEINNFKRKLPENLCYHGKLQILGAYENNLSGELPKSIGNCSNLFALEIDRNEFSGKIPSGLWNMNLVTFIISHNKFTGEMPQNFSSSISLFDISYNQFYGGIPIGVSSWTNLVKFIASKNYLNGSIPQELTTLPNLERLLLDQNQLKGSLPFDVISWKSLVTLNLSQNQLNVQIPVSIGHLPSLSVLDLSENQFSGEIPLILTRLRNLNLNLSTNHLTGRVPIEFENSAYDRSFLNNSGVCVGTQALNLTLCKSGLKKPINVSRWFLGLIISLIVVTFLLVLFASFKIIKHYRKKRTNIGKFMGAHFISKAKLHRIRHSFLNDRTKYHRKWRIRNGIPHSS >RHN50195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5027418:5033630:-1 gene:gene34458 transcript:rna34458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MHPYMSSYSEARSKWRKVSIVTRAVFNFKSLLYGRNESRIHDVFLSFRGEDTRSSFTSHLYASLRNSGIKVFREDDSLQRGDHISTSLLRAIEQSRMSIIIFSTNYAESRWCLDELVKIMECNRTIGQIVLPVFLDVDPSEVRHQTSEFGKAFQILLNRRIEKEEPELIEFNLEMKWRTALRQAAGLAGFVVLNLRNESDVIEDIVENVTRKLDKADLFIANNPVGVESRVQDMIQLLDQDIQLSNDVLLLGMWGMGGVGKTTIAKAIYNKIGRNFEGRSFLANIREVWDETAGKLNLQEQLLFDICKVTAKVPSIESGKTILKDRLSQKRVLILLDDVTTLDQLNALCGSRKWFGSGSRIIITTRDRHILRGNRVNKVFKMKHMDESESIKLFSWHAFKQAGPTKDFAAISRKVVEYSGGLPLALEVLGSYLFDREVTEWKSVLDKLKRIPNNQVQEKLRISYDALNDDTEKEIFLDIACFFIGMDRNDVTLILNGCGLFAEIGISILVERSLVIVDKKNKLGMHDLLRDMGREIVRGKSPEELEERCRLWFQEDALQVLSEQTGTKTIKGLALKLPRSNEKCFSTKAFKKMKRLRLLQLAGVNLDGDFEYLSRNLIWLSWNGCCLPHIPENFYRENLVSIELENSNVKLLWKVAQRMEKLKILNLSHSHCLMHTPDFSYMPNLEKLVLKDCPILSEVSPTIGKLSEILLIDLEDCVSLRNLPRSIYKLKSLKTLILSGCIMIDKLEEDIEQMESLTTLLAHKTAIKRIPFSVVRSKSIGYISLCGYEGFSRDVFPSIILSWMSPTNNLPSLFQTSTIMPSLVPLDVPHSSSHELSSISKYLPSLRSLWVECSSEHQLSNDATIILDALYATLSKDVESTSATSQISNMITSALTQHCDQLHVPCSELSMKSVLIQMGMNCQVTNNLKENMLQKMDGNGFGEDSSVTYNCEGSSVTFEVPQMKGRMLKTIMICIVYSSTPDNIASDGLTNLLVKNYTKSTIQLYKREALISFEDEEGQRVVSSIEPGNKVDFVVVFENGFVVKRTTVYLIYDEPIAEKGEECHAQDKNVIVSSGDENECFLGEISSHAQHKNESIVCSGEENECFVRKVSPQVVPVDDLTQQKKKNLQRKWKTIKQC >RHN61506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36010509:36010829:-1 gene:gene23979 transcript:rna23979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MRENRNNAWSMRERGHYIVDLQQINMSFGTIAEIARCAIRALPPVKSFDGDKNTDCPICVDEFTKGEMIQSFGVCGHEFHTACLNSWLLGGKTTCPVCRHDLLSNY >RHN45139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12172037:12174978:-1 gene:gene39353 transcript:rna39353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-1,4-mannosyl-glycoprotein 4-beta-N-acetylglucosaminyltransferase MSEEYYNSKKTDDICDDVCGQGTPAALSMSRLRCILRGLDFKTYIFMFVIIPIGVLVIYLHGQKISYFLRPLWESPPKPFHEIPHYYHENVSMESLCKLHGWGIRESPRRVFDAVLFNNEVDMLTIRWKEMYPYVSQYILLESNSTFTGFVKPLIFASNRDKFKFVEPRLTYGTIGGRFRRKENPFIEEARQRVVLDQLLRIAGIEDDDLLIMSDVDEIPSAHTINLLRWCDDIPPILHLQLRNYLYSFEFFLDKKSWRGSIHRYQTDKTRYAHYRQSDLLLSDAGWHCSFCFRHISEFVFKMKAYSHYDRVRFPHYLNPDRIQNVICKGADLFDMLPEEYTFKEIIGKLGPIPHSYSAVHLPAFLLNNADRYKYLLPGNCRRESG >RHN57766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41600149:41601684:1 gene:gene33350 transcript:rna33350 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGWWRSVVVNVRQQHVCQLRTFFHLEQISVLNNFGTVRKAKIIKYDIDEGLNLKALGM >RHN78254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13237622:13239193:-1 gene:gene1788 transcript:rna1788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MKNTCNLKTHFSKSTMSIIQCIGGLLAILIFLYIYYWRRNRYGSLPINLPIIGMLPSLLRHLFKFHDYLTLILKRNGGTFRFEGAWFTNTSFIVTSDPLNVDHIAGKNFGNYGRGSNFKEIFDFFGDGILNSNSNVWKQQRTMFHSFLKRKTFKNFFQQTMKKKLENYLLPFLDDVSEIGAQLDLEDALSRFTFDSICTIAFGFDPNCLPNKFNELTEIAYQKALTVIDEVIMYRHFIPSFLWKLQKWLHVGQEKKLREAEENLDRFLYESITFSKQEQRKYNGSEEMDECYFVREKARTKEGYGKEEMSGKFLRDDTLSLFLAGSGPASSGLSWFFWLVSTHPIVEAKIIQEIKDNCPTQEENQIPSRDEYLDKLVYLHGAICEALRLYPPVPFEHICAIKSDILPSGERVSPNTRLLYSLYAMGRMEQIWGEDCMEFKPERWVSETGHIIHVPSYKFIAFNTGPRSCLGKDLSFIQMKMVAAALLQNFHIKIVEGHPVTPKISFVLHMKYGLKVKVTKRCI >RHN58313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:704229:708465:1 gene:gene20212 transcript:rna20212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial glycoprotein MTRLFRSLRKTLTLTLTNQIPIQQQSLCISSPSSHIIPSRTYISQMHKEAFEGNISRLLRNEIQYELQSSSSSNPPADKFGSFLVDGRPGERWITLKRQFANENIKVEVTMFDGAVPAPKKSGGVANADEVQLHITLIVNISKEDGDVLEIMCSAWPDSIVIKRLLVRANKNMTAEPYAGPDFEELDDELQDSLYNFLEVRGINDELAKYLHQYMKHKDKTEIIGWMEKVKSYIEKK >RHN51287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15827999:15828860:1 gene:gene35703 transcript:rna35703 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSFMVGSCNGLICFRSYSYTHGHEEFWFRFWNPTTNTLSQELGHLTTFFRLTFGYDISNDTYKVVAFSIDEGFVEVPSVEPSVTILMDCLCFSHRFKETHFVLWRMMEFGVQESWTQFLKISLQDLQIDQNLHLFLFPLYLSESDNTLIMAINRQGYDDHNSHAIIYNWIDKRVEQITSGDNEILWFYTKDFVESLISSS >RHN76565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51264568:51265222:-1 gene:gene12913 transcript:rna12913 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAKEEMHKSVEKEENKTAEDAQQPPLLQSENESVLQRDRTSKQNDRSNATGYLAIVPTRKQGAFDFLRKLFLRRKKGRSIGIR >RHN70254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50023066:50025249:-1 gene:gene18815 transcript:rna18815 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHVELGFQLSHCTWSKAVRQYCIITRGSGVVLRSTFCIQ >RHN55082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15014228:15016281:-1 gene:gene30210 transcript:rna30210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MHFFHSQIHNNNGAITNPSIISPPYSSIPYNSEPTQISSSSGNKISPAILFIIVILAIIFFILGFLHLLVRFLIKHRSSSSSSTTTISQSNRFPEMSESDAYQRQLQQLFNLHDSGLDQAFIDALPVFIYKEIIGLKEPFDCAVCLCEFLEQDKLRLLPNCNHAFHISCIDTWLLSNSSCPLCRNTLYSQGFSFDKNPIFEFEDERDEEFVIGIGSVNKDMENHIMNGKRVFSVRLGKFRSSNNEEGGAKSEGESSNCNFDVRRCYSMGSFQYVVADSDLQVALKASKGDGSMRQLKGIREIQDGSFSNDGDVEGKKINIARKGESFSVSKIWQWSKKDSKIPSSSDTHFHNCVANESLQWMNKARGT >RHN43491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46266760:46275379:-1 gene:gene50079 transcript:rna50079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MASTPKKLLQSPSSKSNIRSQFSPLTPHSLPTRRSTRLNSDSPITPNVIAESQIQQSTPRRGRRIGENSVPAAKSRLDFTRKEKAVTHNSSIKVENEIDSAQFLRKRSRKAESDEIVFAPKSPDSSKSVKKRKKEGEKTVELKKRNGKGDSVKVSFAPTSPDQSETKKRKRKNEVKVEKMVVTRAGRKGGKIAKVQYYKKVVYDGGEFEVGDDVYVKRREDATSDEEDPEVEECRFCFRSGDEIMIECDSCLGGFHLKCLTPPLKDVPEGDWICGICEGRKMGKDVDFPKPPKGKKLVRTMRQRLQSSDLWAARIESIWKEVDGSYWCRVRWYMIPEETSVGRQPHNLSRELYRTNDLAKIEMESVLRHCYVMIPKEYAKASNEGDDVFLCEYEYDIQWHSFKRLADIDDERENSDESDGDEDWNMDKESDSDTDEDVEYDEENIKISQSQPPTSHQLAANLHKGRFFGLQKIGTKRIPEHIRSHKQTNLERAKASLLLASLPKSLPCRNKEMDEITTFIKGAISDNQCLGRCLYIHGVPGTGKTMSVLSVMRSLKSEVDAGNIKPYCFVEINGLKLASPENIYKVIYEALNGHRVGWKEALRLLNERFVEGKKTGEEADRPCILLIDELDLLVTRNQSVLYNILDWPTKPHSKLIVIGIANTMDLPEKLLPRISSRMGIQRLCFAPYNYQQLQEIISSRLNGIDIFEKQAMEFASRKFSLQVAAISGDARRALEICRRAAEIADYQMKKLASDPDNVTAGKGLVCMADVESAIQEMFQAPHIQVMKNCSRLGKIFLTAMVHELYKTGMGETTFEKLAMTVSCLCGSNGEVFPGHDILLQVGCKLGECRIILCEAGAKHRLQKLQLNFPSDDVAFSLRDCKDLPWLSKYLM >RHN52679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37663475:37679097:1 gene:gene37377 transcript:rna37377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MADIEEDIRSLQLESADIAEDSNGVVSPDDGKTEVEESANTQQEVEEHINADEDPKQEVQEQSIKTEIKDKEIPPVQDEEDEEDILSKKRHLNVVFIGHVDAGKSTTGGQILYLSGQVDERTIQKYEKEAKDKSRESWYMAYIMDTNEEERAKGKTVEVGRAHFETETSRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVQLAKTLGVSKLLVVVNKMDDPTVQWAKTRYDEIESKMIPFLKQSGYNVKKDVLFLPISGLIGANLKTRMDKSICPWWDGPCLFEALDSIEVPLRDPNGPFRMPILDKFKDMGTVVMGKVESGSIREGDSLLIMPNRDQVKVVAIYIDETRVKRAGPGENLRVRVSGVEEEDISAGFVLSSTANPIPAVTEFVAQLQILELLDNAIFTAGYKAILHVHSVVEECEVIELISQIDPKTRKPMKKKVLFVKNGAGVVCRIQVNNSICIEKFSDFPQLGRFTLRTEGKTVAVGRINSF >RHN80270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36688627:36692048:-1 gene:gene4173 transcript:rna4173 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLSEESGVGTSRVQQQVENEITPTLAPYRDTDEDDGEQKPSELYGKYTWKIEKFNQITKRELRSNAFEVGGYKWYILIYPQGCDVSDHLSLFLCVANHDKLLPGWSHFAQFTIAVINKDSKKSKYSDTLHRFWKKEHDRGWKKFMELSKVNGHDGFVDYSDNLIIKAQVQVIREKPDRPFRCLDCQYRRELVKVYLTNVEQICRRFVEERRSKLGKLIEDKARWLSFSAFWSKIDQTSRRRISREKTDVILKVVVKHFFIMKDITSTLVMESLYSGLKALEGQTSKKEWKKLLDSEKIPTPIVRVENDMFVLVDDVLLLLERAATEPLPPKDEKGPQNCTKVRFRIIFVKATKDLLDDS >RHN55298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16970338:16972666:1 gene:gene30461 transcript:rna30461 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKASDKPKKFDSKCDASVKKRDTPTLLDKRLFDDKNTLHISVKKSKVSPYDDIPSLKKERPLVKKSFEECKRYRIVEEKRLQSIKRDIDKCYYELKNKKTQVSCVRRINEIHQKMLEKVKEIHKEFLAKEGKLSLMEDLIGERKQELVTKERELRQVMDNISKQKHFESKLKKFESQEKEFEIQVKDLVSIHKHFESRMKELASKEKQHEALVMEHKSKESEFEGLVKELESKKKDFDIQVEELKSKERQLEGEVQDLESRKNTLDGRQKEIESKKGEFEGRVEDFTSEKMDFEIRLKELETKEKHFEEKVKEFELTKKQHDEGENEFDTSYMDDELSITIDGASEESDILVNLQESSDPSKIVLDVIMNPIIPLPKKGDKVVIIDESRIFMLEQLMIMSPNIKSCVKDEALKLAHELKANIKANTEYSLEVLGFLLILSVYGLFTYFDQDEVLDLFASVAEHKISVELFEKLGFANKVSGKFIIKLVT >RHN43033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42714509:42719370:1 gene:gene49553 transcript:rna49553 gene_biotype:protein_coding transcript_biotype:protein_coding MKTATSKDRKPVKASGKSGLKIGSVGVKNSSKKTNKLNHKTKGCDEELLANKENQHFPFKEPVGLCQEQPRKDSFLMKMRDAFKVDLYPRQTLNSSAKITLQLFPANEVIRKGLEKDGHNPYLELTLSGRKKISSVLRHIKKKWGSSSPAKGEPMLFPYNRMKNLSNCKRWTINDSDTTTAAVYAAVGNPAIFRLKYGWFNIQKATPIGISSMPIPCESGVQSGRTETGCNASLESLSDDRDKIEATAVDTATDVVNVISEIVAQKTDNEPADPLDNEPKESCSVQQPLMPWADCLDNISIGGLLSEASFLGKFDSKLFGSNGTSQTRHLISDSLDAFITSRNSHPPVSTPSAGPLLTSFFDAGDACNSFDLQNLSSPTDVQTDSGTKTGYSVACCQDASSYLFKLPCTDKVNDQARLSQNPSSEETQTDSLLSSCLYDGERSLGLSGIIWNDSMGPFDLGMPAKKRIGGDSVSIGELIK >RHN40001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12194945:12199943:1 gene:gene46090 transcript:rna46090 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKRSQPNGDESPSEGEESPPVGKVIPTGWDVNSEVQKDGSIKASYCCPDTEQYYNTYRRLIRYVSYAKKNQVGPYEPLLTSTKIRTPIVSSPRKTSAALSLPGGPRQSSSDSLAADQDSDDDSKSSSTTESGDVKEDEESVPKKDAAVFTELGTDAAVHQNTQERRMSSRPKKANTMLTGFVLSEEKKGEGRRRIRQ >RHN65156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64575425:64587022:1 gene:gene28062 transcript:rna28062 gene_biotype:protein_coding transcript_biotype:protein_coding MANLALPGILEKMTGKDKDYRYMATSDLLNELTKPTFRADADLELKLKNIIIQQLDDAAGDVSGLAVKCLAPLVRKMNESRVVEMTSQLCDKILNGKDQHRDTASIALKTVVAEVSTQSLAQSILSILSPQLIKGITAKDMTTEIKCECLDILCDVLHKFGNLMAADHDLLLNSLLSQLNSNQATVRKKSVACLASLSSSLSDDLLAKATVEIVTKLKNKAAKSDMTRTNIQMIGAISRAVGYRFGPHLGDTVPVLINYCTTASENDEELREYSLQALESFLLRCPRDISVYCDEILHLALTYLSYDPNFTDNMEEDTDDEGHEEEDDEESANEYTDDEDASWKVRRAAAKCLAALIVSRPEMLSKLYDEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGQTDANETSPKWLLKQELSKIVKSINRQLREKSIKTKVGAFSVLKELVVVLPNCLADHIGSLIPGIEKALNDKSSTSNLKIEALIFTRLVLSSHSPDVFHPYIKALSAPVLSAVGDRYYKVTAEALRVCGELVSVVRPNIEGSGFDFRPYVHPIYNGIMSRLINQDQDQEVKECAISCMGLIVSTFGDHLNAELPACLPVLVDRMGNEITRLTAVKAFAVIATSPLRVDLSCVLEQVVAELTAFLRKANRALRQATLGTLNSLIVAYGDKIGLSAYEVIIVELSGLISDSDLHMTALALELCCTLMGDERSSQSVALAVRNKVLPQALTLIRSSLLQGQALLALQNFFAALVYSANTSFDSLLESLLGCAKPSPQSGGIAKQALHSIAQCVAVLCLAAGDQKCTSTVKMLTDILKDDSSPNSAKQHLGLLCLGEIGRRKDLSVHAHIENVVIESFQSPFEEIKSAASYALGNIAVGNLPKYLPFILNQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQESSVEKILNLLFNHCESEEEGVRNVVAECLGKIALIEPVKLVPALKVRTSSPAAFTRATVVIAVKYSIVERPEKIDEIIYPEISSFLMLIKDNDRHVRRAAVLALSTFAHNKPNLIKGLLPDLLPLLYDQTIVKQELIRTVDLGPFKHTVDDGLELRKAAFECVDTLLDSCLDQLNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPLHKTINFKPKQDAVKQEVDRNEDMIRSALRAIASLNRISGGDCSAKFKSLMNEISKTQTLWDKYYSIRNE >RHN77931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10590528:10598195:-1 gene:gene1439 transcript:rna1439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-fatty-acid--CoA ligase MNPYIVTIILPILATLLFRTNHPKRRGVPVNSADDQGGVTVRNRRFASPVETAWEGVSTLAELFEEACRKHTKRLLFGTRVVVSKEMEKSGDGGKVFEKVELGEYEWLSYDEAFDSVVKFASGLAVLGHGKDERVGIFADTRVEWFLALQGCFRRNVTVVTMYASLGEEALCHSLNETEVTTVICGQKELKTLVNISGQLDSVKRVICMDDDIPSDASSNGHGWTMISFADVKRLGKENPVDADLPLPADVAVIMYTSGSTGLPKGVMMTHANVLATLSAVKMTVPKLEKKDVYLAYLPMAHILELAGENLMAAVGIPIGYGSPLTLTDTSSKIKKGTKGDATVLMPTLLAAVPAILDRVRDGVFKKVNATGGLPKKLFYLAYERRLKAVNGSWFGAWGLEKALWDFLVFKKIRAILGGRIHFILSGGAPLSGDTQQFINICLGAPIGQGYGLTETCAGGTFSDFDDTSVGRVGPPLPCSFIKLIDWPEGGYLTNDSPMPRGEIVIGGPNVTLGYFKNEEKTKESYKVDEKGMRWFYTGDIGRFHQDGCLEIVDRKKDIVKLQHGEYVSLGKVEAALIVSPYVDNIMLHADPFHSYCVALVVASQSTLEEWASNQGIPYSGFSELCSKEESIKEVHASLVKEAKKVRLEKFEIPAKIKLLSDPWTPESGLVTAALKLKREAVRKTFQEDLLKLYAS >RHN75957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46522683:46531210:-1 gene:gene12221 transcript:rna12221 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMQRSTLKRSNDSTRIVITALMGIAFGVCIGISISSAHLSKISLLSGVKNSFDVPMSEIGRSHPFIESSSGTKHIEALGSASLSKINASSNPRGAESLPPGIVVSESDLYLRRLWGDPSEDIKKKPKYLLTFTVGYDQRHNIDAAVKKFSDDFAILLFHYDGRTSEWDQFEWSKNAIHVSARKQTKWWYAKRFLHPDIVSAYEYILIWDEDLGVEHFNGDKYMDLVIKHGLEISQPGIEPNNGLTWEMTKRRGDSEVHTVTNEKPGWCSDPHLPPCAAFVEIMAPAFSREAWRCVWHMLQNDLVHGWGLDFALRRCVAPAHERIGVIDSQWIIHQVIPSLGNQGQSDDGTDPREAVRKRCRTEWAEFQARLSNADKEHLNELKQNGKG >RHN51736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23856310:23866092:1 gene:gene36246 transcript:rna36246 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGNGFLFDIQAKYSNELLRKMNQIKVENAKSSKESSHVNIIGGNIQKLDHHKQPLAIRNVIQTQHNKQDQLKRSLDNIVPAQQMKPIESDKGFVHRGIKRQLEVEASKKIVEPMKGFCENNNLKKLKSTVDYQLEGPTKSHSSKKFDEQYKEVRQENTLKRQGKSTFGNQLKGSTESYALKKFDEQNKEVRQDNTLKRQGKSTLGNQVQCSTESHASKKIDEQTKELRQNNTLMRQGKSTTETKFKDQR >RHN78303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13860611:13861231:-1 gene:gene1841 transcript:rna1841 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSPINIFILRIRKNPIFMDIDHRKETKISYNYNLCRKEFLDIIGVISIQNYLEEYDILDMEKNLEKNPDRKYFNWMGMNVKRKKTSIPKNNFLIPGFWFFSKLSKFYCAYKMNPWILPIKFFVLQLDNLELTTEEYVNTVDEDLKSGSYYYKGSDSKYRTDLKGEHDFLLSKYLGFYLHCDSSDEEIGMDNTNLFCLLLRMKKF >RHN69726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45834524:45841830:1 gene:gene18237 transcript:rna18237 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription initiation Spt4 MASAPAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCPFLQMEEDQERAVECTTPNFNGIISVMDPTRSWAARWLRIGKFVPGVYTLAVSEALPDDMQAICEDKHVPYTLPKRS >RHN44411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4409413:4412432:-1 gene:gene38530 transcript:rna38530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone 7-O-methyltransferase MASSINNRKASEIFKAQALLYKNMYAFIDSMSLKWSVEMDIPTIIYNHGKPITLSNLVSILQIPSTKVDNVQRLMRLLAHNGFFEIVTNQELENEEEAYALTVTSELLVKGTELCLAPMVECVLDPKFNVSFHNFKKWIYEEDLTLFATSLGCDLWEFLNKNPEYNRLFNDAMASDSKMINLALKDCNFVFEGLDSIVDVGGGNGTTGKIICETYPKLRCVVFDRPKVVENLCGSNNLTYVGGDMFISVPKADAVLLKWILHDWTDRDCIKILKKCKEAVTTEGKRGKVIVIDMVINEKKEENELTQIKLLMNVTISCLNGKERNEEEWKKLFMEAGFENYKISPCLLLFSDSSSLGFALLG >RHN81955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50112659:50114138:1 gene:gene6061 transcript:rna6061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein MAVSSYQSIMSSSITSTISSRPRVNQFNNIPSVYMPSFRRNASLKVRSMAEEGQKEQPKVPVDPITPTSAPTPPPQPQPTYTRSPKMSTKFSDLMAFGGPAPERINGRLAMIGFVAAMGVEIAKGQGLFDQISGGGIPWFLGTSVLLSLASLIPFFQGVSVESKSKGVMSSDAELWNGRIAMLGLIALAFTEYVKGTSLV >RHN49991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2939591:2941037:1 gene:gene34237 transcript:rna34237 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYTFFSSILYFLLLATLVSSSPFITDDIFGSGASSGRSLLQARKACRVNFENFKNYTILTGQCKGPKYAPKVCCESFKQFACPFAEEISDLTTDCANVMFSYINLHGKYPTGLFAKHCKEGKEGLDCGYY >RHN62682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45153685:45154524:-1 gene:gene25281 transcript:rna25281 gene_biotype:protein_coding transcript_biotype:protein_coding MASYKVVLMLVAALLVSSTFAQSPSSSPSKSPAISPSAHSPAASPPAPVKNSPSPSPSAINSPPSPPPASSGSPAAAPAVTPSSISTPPAEAPSNGAALNRFTVAGSAAVVIFAAALMM >RHN81129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43501697:43509317:-1 gene:gene5129 transcript:rna5129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MSSLDSTWITSLSCSPSSGDAVAAYALPQWLRFIFLSPCPQRALLSAVDVLLLFTLLVFAITKLYSRFTSSNRTHSEEINKPLISNTRALNTRTTLWFKLTLIATIVLTVLYTVGCILVFSSSNVESPWKQLDGLFWVVQAITQLVLVILIIHVKRFEAVVHPLSLRIYWIANFVVVALFTASGVIRLVSLEGSYFFMVDDVVSFVSLPFSLFLLCVGVKGSTGVIKSRDESQLVIDNDEETKLNGYDDHGLNKPNATTGFASASQFSKTFWIWLNPLLSKGYKSPLNIDDVPSLSPQHRAERMSVIFESKWPKSDERSKNPVRVTLLRCFWKDIMFTAFLAVIRLSVMFVGPVLIQNFVDFTSGKGSSVYEGYYLVLILVAAKFVEVLTTHHFNFNSQKLGMLIRCTLITSLYKKGLRLSCSARQDHGVGPIVNYMAVDTQQLSDMMLQLHAVWMMPFQVGIGLFLLYNCLGASALTALVCLLLVIVFIVITTRQNKNYQFQAMISRDSRMKAVNEMLNYMRVIKFQAWENHFNDRILSFRGSEFGWLSKFMYSICGNIIVLWSSPMLISTLTFGTALLLGVRLDAGTVFTTTSVFRILQEPIRTFPQSMISLSQALVSLGRLDRYMSSRELSDDSVERNEGCDGVIAVDVQDGTFSWDDEGLEQDLKNINLKVNKGELTAIVGTVGSGKSSLLASILGEMHRNSGKVQVCGSTAYVAQTSWIQNGTIEENILFGLPMNRQKYNEIIRVCCLEKDLEMMEYGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGTEIFKECVRGALKGKTIVLVTHQVDFLHNVDRIVVMRDGMIVQSGRYNDLLDSGLDFGVLVAAHETSMELVEQGAAVPGENSNKLMISKSASINNRETNGESNSLDQPNSAKGSSKLVKEEERETGKVSFNIYKRYCTEAFGWAGILAVLFLSVLWQASMMASDYWLAFETSVERAEVFNPVVFISIYAAITIVSVILIVVRSYSVTIFGLKTAQIFFNQILTSILHAPMSFYDTTPSGRILSRASTDQTNVDIFIPLFINFVVAMYITVISIVIITCQNSWPTAFLLIPLVWLNIWYRGYFLSTSRELTRLDSITKAPVIVHFSESISGVMTVRAFRKQKEFRLENFKRVNSNLRMDFHNYSSNAWLGFRLELLGSLVFCLSALFMILLPSNIIKPENVGLSLSYGLSLNSVLFWAIYMSCFIENKMVSVERIKQFSNIPSEAAWNIKDRSPPPNWPGQGHVDIKDLQVRYRPNTPLVLKGITLSISGGEKVGVVGRTGSGKSTLIQVFFRLVEPTGGKIIIDGIDICALGLHDLRSRFGIIPQEPVLFEGTVRSNIDPTGQYTDDEIWKSLDRCQLKDTVASKPEKLDSLVVDNGDNWSVGQRQLLCLGRVMLKQSRLLFMDEATASVDSQTDAVIQKIIREDFAARTIISIAHRIPTVMDCDRVLVVDAGRAKEFDKPSNLLQRQSLFAALVQEYANRSTGL >RHN41543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30941037:30946063:1 gene:gene47877 transcript:rna47877 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:dxs2 MALSSCLLKPNHSLLQCHKFKAPNPNHGFRNQFCVMASSSSDGERTIIRKEKDEWKINFSAEKPPTPLLDTVNFPVHMKNLTTEDLEQLAAELRADIVHSVSDTGGHLSSSLGVVELSVALHHVFDTPDDKIIWDVGHQAYPHKILTGRRSRMHTIRKTSGLAGFPKRDESVHDAFGVGHSSTSISAGLGMAVARDLLGKKNSVISVIGDGAMTAGQAYEAMNNAGFIDSNLIVILNDNKQVSLPTATLDGPATPVGALSSTLSKIQASRKFRKLREATKNITKQIGGQTHLVASKVDKYARDFISGSGSSLFEELGMYYIGPMDGHNIEDLVNIFEKVKAMPAPGPVLIHIVTEKGKGYPPALAAADRMHGVVKFDPKTGHQFKPKPSTLAYTQYFADSLIKEAEMDNKIVAIHAAMGGGTGLNYFQKRFPDRCFDVGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDITFMACLPNMIVMAPSDEAELMNMVATAAAIDDRPSCFRFPRGNGIGANLPLNNKGTPLEIGKGRILLEGSRVAILGYGCMVQQCMKAAEMLRAVGVYVTVADARFCKPLDTDLIRLLAREHEILITVEEGSIGGFGSHVSQFLSLAGLLDGPLKLRSMMLPDRYIDHGAPNDQIDEAGLSSKHILATVLSLLDMPKEALLFK >RHN66765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18487174:18488394:1 gene:gene14844 transcript:rna14844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MIKLQASEDDLDGLVGLLDDMVRCGCHYSSIIGTLAGLLEDAVGIYNQMMKSDADEKKLNKHIVCTRIDIYSVMGRFKDAEMLHLKLKMSSSPNSLDVIAYSIVVRRLYFMPKKQGLVDVITYNTIIDAYGKRKDFSDMSRTVHKMQFDVFSVSLEAYNSMLDAYGKDSQMDAFRSVLKMMKESNYASDLYTYNTVINIYSEQSWIEEVSDVLAELNECGLRPDFCSYNTLIKAYGIAGVDEEAVELIKEMRKNGIELDETTYTNLINALKRNDKFLEAVKWSLWTKQIKL >RHN76859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1863764:1869062:-1 gene:gene242 transcript:rna242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK family MPQDTKKAYHFSSTKFVIQFKAMHPLIMVSLALLIETVASDQPKQVLPGCNYTCGDLTIPYPFGIGNSTAQHHTPCYMDSKFELTCVNNSKLIRPRGIEVLDINPQGQVEMKFSVSHLCSNGSSSSNNTEEANRAYLIVSPHTISSTENKFITVGCDSYGYLNSNFTGGTYSTGCLTRCYDFKPKIVIGNNTGKCTGLGCCQVDIPSSMKNITIEAFKFPTSTLSKENSCSYSFIAKQGSYKFSVDHINNLPNETFPLVINWAITNESCQIAHTTNSYACKKNSECVDDDPDYDGYRCKCLQGFEGNPYLPGGCTDIDECATKTHVCKNKANCNNTLGSHTCFCPKGQTGNGTKEGGCQKVHLNATRIVLPTVLGAGALFMIFFLIYQRRKFIKLKEKFFRQNGGSILEQKLLQRKDSSQIAHIFKENELRKATNNFDESLIIGRGGFGTVFKGELDDNRIVAIKKSKTIDESQIEQFINEVDVVSQINHRNVVKLLGCCLETEVPLLVYEFVSNGTLSEFLRTQGKTNDLTWKTRLRIAVEVAGALSYLHSAASIPIIHRDVKSANILLDGTNTAKVSDFGASRLIPLDQTEVATMVQGTIGYLDPEYMQTSQLTEKSDVYSFGVVLVELLTGEKPFCFGRPEERRSLATHFLSCLKKDNVFEVIQDGLWNEENKQVIMEVAVLAANCLRLIGEERPSMKEVAMELEGMRLMDKHSWINADLNVEESPLLAS >RHN77384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6476725:6480460:1 gene:gene831 transcript:rna831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MEVDGSRSWEEDIYWNNFQFIHFTQFLQTTDFQQQLALPKTFSDNLKKKLPENVTLKGPSGAVWDIGLTTRDNTVYFVGGWERFVKDHSLKENDFLVFKYNGESLFEVLIFDGDNFCEKATSYFVRKCGHAQTEEGGSKVKNTNTSVEEVNTASNGGVDCGSPETFRVRRLDSIRTPLAVPVKTTDKMTLNAFFESASPKELPVYFPKQPTGQRTKKPANEVTPGQTKKRGRPPKEGNSREGALDLRASNKEHSEAAQSRLSSAKDEKKLAQSFTSTFPYFVKIIKTFNVDGPRILNVPHQFSIAHLPNGKIKIILRNLKGEQWTANSVPRSRVHTSHTLCGGWMSFVRANNIKLGDVCVFELINDCELRVRVAEVDEDGLVSEVQKEGIDHQNGSCET >RHN52517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36259270:36262161:1 gene:gene37205 transcript:rna37205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 50S ribosomal protein L30e MLSYCSEMADAHDTDKNIEVWKIKKLIKALEAARGNGTSMISLIMPPRDQIARVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVAGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSSEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLQALDAGAVETLIVWENLDMNRYVLKNSTTGEVVIKHFNKEQEAKQSNFRDPETAADYEVQEKTSLLDWFANEYRRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRTFDDFSDDGGVYEDE >RHN49279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53759876:53764828:-1 gene:gene44093 transcript:rna44093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA pseudouridine(31) synthase MVAVAPMLFAGSSRILGVPDTASAVPISVNKHLNKHEFGWCCRISNDSNAISETVTYPKYDRLLPCPSHKIPPRIEHLVVSQEGPVLQYICKALDLPHLFVADLIQFGAVYFALVSPEPPPTATAEQIRIFEQVTEPLVLQKRSSLKGKTIREAQKTFRVTDANQFVEPGTYLRVHVHPKRFPRCYEIDWRSRIIAVEESYVVLDKPAGTSVGETTGNIEESCVTFATRALGLTTPLITTHQIDNCTEGCVVLARNKEYCSVFHCKIREKKVKKIYLALAASPLPIGIIKHYMRPTNTAPRLISEDCIKGWHICQLEVMDCRKIPWPAIVVQDEYCGWPSQDYAYECKINLLTGRTHQIRAQFAACKAPIIGDTMYMPAAIAEMINPGLNPFGKYNKDFSSESEKETAVINWIAQHGKEPSVAIGLQACQISWDDDEYIYRAGLPWWNYS >RHN60781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30350814:30351616:1 gene:gene23152 transcript:rna23152 gene_biotype:protein_coding transcript_biotype:protein_coding MERWWPELKMSPFFIFFRPLSFFSLPLSDFAYKNSKQKLKRPRSKRKENTTFFSF >RHN38719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1496875:1497711:1 gene:gene44683 transcript:rna44683 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNQIPVQNPNQEQNENENQDQVQKPDPEQTRHRNAMYWEKMKEIRNLTFWLHVVAGTLVTVEIQAVAQKIVVGLAPAPVAKTVENVASVHLKDFIDPFFIGFEALYAWFMFYAGLSPPSTGNIEVILIMLFNGTSSYYYLFKINEHRASQVFTVWCASAFLVLMFTVAETLYQIWNIVVTAIKRITFWKKVVLQWLKQQTNPLPP >RHN45664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24265301:24265971:-1 gene:gene40045 transcript:rna40045 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFLDLGFPFLVVSNGDGWLLTTTTVGSAVKTSDSVPLDLSVVLDHDCSVARGCSSFTINPPSQLPDRCRRKDRGGFVVVVCAGLFKFSFAFCLFGCQTPSFCEISVFWWCVWWLVVVVMGVLCLDSSRVWGDCCWAGDVLEAFRHVCREWCCRAAAFGDVQVVVMEDVFVAYFLVCLC >RHN72585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8897803:8904781:-1 gene:gene8312 transcript:rna8312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diphosphate--fructose-6-phosphate 1-phosphotransferase MAPAAIVTNGTTTVTPTVTTRFASVYSEVQNSRVDHKLRLPSVLQAPFAIVDGPKSSAAGNPDEIAKLFPYLFGQPSAALVPAGENTALPHRKLKIGVVLSGGQAPGGHNVISGIYDYLQQSASGSTLYGFKGGPAGIMKGKYVELNSDYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETATKLDLDGLVVIGGDDSNTNACLLAENFRSKNLKTQVLGCPKTIDGDLKSKEVPTSFGFDTACKIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKLTLKNVTDYIVDVICKRAEDNYNYGVILIPEGLIDFIPEVQHLIAELNEILAHETVDEGGLWKKKLTDQSLELFEFLPQAIQEQLMLERDPHGNVQVAKIETEKMLIEMVETELGKRKQEGKYNGEFKGQSHFFGYEGRCGLPTNFDANYCYALGYGAGALLHSGKTGLISSVGNLCAPVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFKKFASLRDEWALKNCYTSPGPIQFTGPGSDAVSHTLLLESGFQI >RHN50346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6374486:6374845:1 gene:gene34621 transcript:rna34621 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNVGSGSSGSKRSHEDSVGSSARPMGREAAKKKGKMKSKGDTLEKVEKEWVQFKELKEQEIEQLKELNLVKQHKNKLLQEKTQAKKMKMYLKLRDEEHLDDRKKELLEKLERELFEN >RHN51641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21470935:21476223:1 gene:gene36122 transcript:rna36122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGFQLAEKVQKSKYRKGLWSPEEDNKLSNYIMKHGHSCWSSVPIKAGLQRNGKSCRLRWINYLRPGLKRGMLSKHEEDKIMTLHQMLGNKWSQIAQQLPGRTDNEIKNYWHSYLKKKEVKSKDIESHTQIQYASSSSDTMNSLLSTQKYAIQDPKYVLPENINKSTATTHSDQSISKNYNTANEVCHSSLPKLLFSEWLSLDQVNGCNSLNSDDSLVLKNGYDQNLIFQENVIQMPEGQFGEEFHDSLIQNLENDVYYSQIQMSNQVDENELVHYLNNDVMYV >RHN68037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32301160:32302345:1 gene:gene16323 transcript:rna16323 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLRLPIAAVALLLLALSTTTVTARPCRTFIISSYSIRNPSTNAFATITEIRSISPLFINDNTKPFEILLDRPVQHQTHSQSASHPRGPLGLGFSTDAYDFSSLRDRTKDILSVALALLFGVGCGALTAATMYLVWSVFTARHELRAAAYGDFSDDEIESPKKMGGYVKIPATETAAPAPAAPVKDSV >RHN53515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2198681:2199405:-1 gene:gene28427 transcript:rna28427 gene_biotype:protein_coding transcript_biotype:protein_coding MYKYPDRRADRKISSIFSNLCHIKRSRLCIENTKSIPQIGEGWIKIKH >RHN81578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47228129:47228834:-1 gene:gene5647 transcript:rna5647 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSLYSLLSLLRRQNPVSIPNECLERFDFYIGKLKGYFMGEWLDELEAFSTFLHEHNNDKYCGNKGTVSRLEFKQKYLIDWYITVLELQDRPNFSDEIFEEEALKERFEVFEKTIKDIQAQIMEVKTALKLRAELTSLEFDISSSTTIIRKKDTKVGWLESRDTKEAKKP >RHN56846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34165274:34169762:1 gene:gene32305 transcript:rna32305 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNNQRQLERTGRYGTSRLQYLQELVTQFQNSSEDEIKEKILANLANFAYDPYNFNFLRQLNVLELFLDCVTEPNEKIIEFGVGGICNSCVDPANATIVAGVGGIPLIIQCLSSPVRNTVNYALGALYYICNESNKEEVLKPEVIDVIKRYAAAEEVSVSFSNLAKAFLDKHLSRNY >RHN65177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64715529:64725012:-1 gene:gene28085 transcript:rna28085 gene_biotype:protein_coding transcript_biotype:protein_coding MSATATLTPNLFGGYYTTNTIIASSSYLFYPHPHFYSSFNKDKPNPNFNAFSSTLSASLSPKPKQSLSTRTTCHYGHNNMNRDQDPDYQFQNGPGLFDSDEERTQIPTQVQTMVEGSGSIRVSDYNPAPDVDYLQELLAIQQQGPRAIGFFGTRNMGFMHQELIEILSYAMVITKNHIYTSGASGTNAAVIRGALRAEKPELLTVILPQSLSKQPPESQELLSKVKNVIEKPYNDHLPLLEASRLCNMDIISHVQQVICFAFHDSRLLMETCQEAKNLRKIVTLFYLD >RHN56627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32363837:32366581:-1 gene:gene32059 transcript:rna32059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MNKNMSPLILLPFALLLFFLFKKHKTSKKSTTLPPGPKGLPFIGNLHQLDSSALGLNFYELSKKYGSLIYLKLGSRQTIVVSSAKMAKQVMKTHDIDFCNRPALISHMKFSYDGLDQFFSPYREYWRHTKKLSFIHFLSVKRVVMFSSVRKYETTQMITKISEHASSNKVVNLHELLMCLTSAVVCRTAFGRRFEDEAAERSMFHDLLKEAQEMTISFFYTDYLPFVGGIVDKFTGLMSRLEKLFKILDGFFQSVFDEHIDPNRKKLPPHEEDVIDALIELKNDPYCSMDLSAEHIKPLIMNMLLAGTDTIAAAVVWAMTALMKNPRVMQKVQEEIRKAYEGKGFIEEEDVQKLPYFKAVIKESMRLYPSLPVLLPRETMKKCDIEGYEIPDKTLVYINAWAIHRDPEAWKDPEEFYPERFIGSDIDLKGQDFELIPFGSGRRVCPGLNMAIATVDLVLANLLYLFDWEMPEGVKWENIDIDGLPGLVQHKKNPLCLIAKKRIECV >RHN40150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13641999:13643863:-1 gene:gene46261 transcript:rna46261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-hydroxy-tetrahydrodipicolinate synthase MNVRKSIDDIRSLRLMTAVKTPYLPNGQIDLESYDNLVNMQIANGVEGILVAGTTGEGHLMSLNDKVMLIAHTVTSFGDKVKVIGNTGSNSTSLAISLTEQGFAVGMDASLQINPYYGKTSMEGLVAHYKSVLSVGPIILYNNPSRTAQDIPPSVVEILAQNPNFVGIKECIANERVKKYASQGIFVWTANQKESHEGAIGDVSLASNLIPSLMVKLMNEGMNPSLNSKLIPLFDWLSLEVIPIGLNTALAQLGVIKPVFRLPYVPLSRERRVEFVKLVKQIGRDHFVGEKDVEVLDDEDFIIVGRY >RHN71797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2677501:2681937:1 gene:gene7432 transcript:rna7432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MKSSTSSKLQIYFILSLYFFNGVISSDTLTASQSLGSNQTLISPQKVFEFGFFNTTTSKWYLGIWYKDVPDKIFVWVANRDTPLENSNGTLKIQDGGKLVLFNQTDNPIWSSNQTISSVTDPVLHLLDDGNLVLKEAQEKNNSNYIWQSFDHPTDTLLPGMKLGWNLDTGVEIRITSWKSQDDPSTGDSHFSLDYHGVPDIYLWNKQQRVFRSGSWNGQSFGGVPILSTIAALNDKIVVDEHEAYYYPAGLLQSNLSRLVVNSTSSMERYAWIESTKDWNKVWSAPALQCDNYGTCGPFGICDSNAFPVCKCVTGFDIKNQRQWDLRNFSDGCVRKTELECDKDKFLHLKNVQLPETRSVFVNKSMTLLECENKCLKDCSCTAYANEEITNGGTGCVMWNYSLVDMRQFTEAGQDIFIRLAASDVDEADTGSSGGSKKNAGMIAGITITIVILILGVILFILRKKRRWQRIQKVNNSQRGNSDRGQKTRLSDSKFSNSREYSDERNMDDLDLPLFEFHVISDATNSFSLANKLGEGGFGAVYRGRLVDGQDIAVKRLSTSSGQGNVEFKNEVRSIAKLQHRNLVRLFGCCIEKEEKMLIYEYCENNSLDSILFDKAKSCKLDWPMRFSIICGIAKGLLYLHHDSRFRIIHRDLKASNVLLDKEMNPKISDFGIARIFDNDQTHSSTMRIVGTYGYMSPEYAMGGYFSAKSDVFSFGVLVLEIISGMKNRGFHQSDDLNLLGHAWRLWNEGKAMELIDSSYADSYSEAEVIRCINVGLICVQEKIEDRPIMPSVVMMLNSETSSLPQPKHPGFVLGRNLGESDSSSAVTINEVTVTIINGR >RHN59377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10058808:10059437:1 gene:gene21403 transcript:rna21403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin MKIIHILFLFTFFSFTISQAFVNDFCVADLKAPNTNPGYPCKPLASVTSDDFVFHGLVAGKTNNTFKLGATLASVTNFPTLNGLGISAMRVDIDEGGSAPMHTHPDATEFIILVQGEFTAGFITPTSVYSKVLKPGDLFVVPQGMLHFALNSGKGVATAYVFFSSENPTIHLLDFLLFGNKLPSNLVSQTTLIDVDQVKKLKAHFGGSG >RHN40691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19516694:19517983:-1 gene:gene46882 transcript:rna46882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQTMRNMNLVYMFVYAFIIFLSIHFPPRIKCNTEADCPQRFDNIVECLFGICHFYIK >RHN73994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23320774:23321248:1 gene:gene9915 transcript:rna9915 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIMVTVDQYITDDGWWYLSCVCHEQVVPDSPAYYCERWKCYLFKVFSKVGAGCDGEKCHPISKINDDEELIEKFKRHNSEVIKLDME >RHN62473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43680604:43684478:-1 gene:gene25053 transcript:rna25053 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGFCGLKPLLFRRKASILEGLKVIKGSSTPKKSCTPKKSSKAQSKENGESMELSFVGADQLILMVEIHMKIMAFRDIMDLAPCNSSASLREIVMRTLNDLQRLYPEIITKTQVSKIEDKSIDQAVAYFCEALKSLGESWMMDNDCMDKLDIAFPTCKDDNNMRQLGETLLVTLDYLMKLASERFDIEEDEQKKEFSPKSSSFGKFVARTSSFSDSSCSSPLTPRSVLPEFMKHSSRSSESPRSSCASPRLWSLRVQAVGKLNPVEVKRLSFNMSPTHIVKIDEEPITEMEVDDNKPVKDTSEDLVCDLDTNEDDHHQKMVKHDQVMEEVELPLSPKQFQPNSPKPTQTPSHLQQASMSISSSSPPPPPPLPAPFMMPKKVQILPPSAPPPPPPPPPMLQPNVAVPPPSTPPPPPPPPAAVRIPPPPPPPMSLSSGSATMTAAPPPPPPPMKGGSVPAPPPPIPGGKGGAPPPPPPGGAGRTLRPKSTTKLKRSTQLGNLYRTLKGKVEGPSLTGKSAAAGKKSAIGGASNGGKQGMADALAEMTKRSSYFIQIEEDVQKYTKQIIELRSRITNFKTKDMTELSKFHKDVESILENLTDESQVLSRFEGFPTKKLEAIRMAASLYNKLDSILTELQNWKVVAPMGQLLDKVERYFSKIKTDLDALERTKDDESKKFKSHNIEFNFHILIKIKEAMVDVSSNCMELVLKEKREDSKIDGPKKECAKMLWRAFQFTFRVYTFAGGLDDRADTLTRELATEIQNEPNHP >RHN70042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48274106:48277196:1 gene:gene18582 transcript:rna18582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLQRNFMTWASTIRSLCVDSRHNEALSFFHHCLKDSAAFKPDHEVLAAILKSCSALLASNLGKCLHSYVVKQGHVSCHVTSKALLNMYAKCGMLDDCHKLFDQFGRCDPVIWNIVLSGYSRSGKNDADVMKVFRAMHSSGEVMPSSVTIATVLPVCARSGNLNGGKSVHGYVIKSGFEMDTFAGNALVSMYAKCGLVACDAYAVFDSIIHKDVVSWNAMIAGLAENGLLKEAFSLFSLMMKGSVKPNYATVANILPVCASFDENIAHRCGRQIHSYVLQWPELSADVSVCNALLSFYLKVGRTKEAESLFWAMDARDLVSWNTIIAGYALNGEWLKSLHVFGNLVSLEMLLLDSVTMVSILPACAQLDNLQAGKQVHAYILRHPFLFEDTSAGNALVSFYAKCGYIEEAYHTFSMISRKDLISWNSILDAFGEKRHHSRFLSLLHVMLKLDIRPDSVTILTIIHFCASLLRVKKVKEIHGYSIRSGSLLCATAPTVGNAILDAYSKCGNIEYANKMFQNLSEKRNLVTCNSLISGYVGLGSHYDANMIFSGMSETDLTTWNLMVRVYAENDCPEQALELFLKLQTQGMKPDVVTIMSLIPVCTQMASVHLLRQCHGYIIRSSFEDLHLKGTLLDAYAKCGIIGYAYKIFQSSVDKDLVMFTAMIGGYAMHGMSEKALETFSHMLNMGIKPDHVIFTSILSACSHAGRIAEGLKIFDSIEKIHGMKPTIEQFACVVDLLARGGHVSEAYSFVTKIPIEANANIWGTLLGACKTYHEVELGRIVADKLFKIEANDIGNYIVLSNLYAADDRWDGVMEVRKMMRNKDLKKPAGCSWIEVERTNNIFVVGDCSHPQRNLIYSTLCTLDQQVKEPMEF >RHN72408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7400362:7400724:-1 gene:gene8115 transcript:rna8115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MMAESPITMIPNELMIEILCMVESSNPLQMRCVCKLRKSLVVDPKMMKKHFHRFSTEVADLTSKAKKHINAFKSQHPEQDTGVEDAAEEKEDKKEEDDDATPDKDKLKQWLMIDVDHLDC >RHN72960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12141209:12142331:1 gene:gene8729 transcript:rna8729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative folate-biopterin transporter, major facilitator superfamily domain-containing protein MSNLYFYSFLQALSWLAIAISPSSISVFTISIYLLLSILGASITEVKNDAIVAELGKQPPSSTKHSQQPSSSGNLQSFVWIASSVGGVAGNLLGGIFISRFSPQSMFMFFGLLVALQFFITVSVHESSLGLSKNPSIGIKKQLSELSAALRKPEITYSISWFALSYAVVPLLNGTMFIYQTQYLKIDSSVLGISKVFGQETMLLWGIIYNRYLKSISPRKLISAIQATIAFLMISDVLFVHGFYRQMGVLDTLYVVIFSGFLEVLFFFKFLPFTVLLAQLCPQGCEGSIMAFLMSAVALAFIVSGYLGVALASYIKITGSDFSGLSLGLVIQASCTLLPIFWSSCIPEYVKTKDKHKD >RHN43909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49375287:49379219:-1 gene:gene50544 transcript:rna50544 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNHAISFSLTSRTFPCKRTPNPQKKKEHRTLFYLLLPLIYPKMSPSTFPSHHSPSPIPSVTSSPSPSSNLPAPSAPEASFVLLRVPDR >RHN54543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10375055:10375802:1 gene:gene29607 transcript:rna29607 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLFYKFDFVLLMHELPSFHLSYVFFDDFAPSLQPLSFSIFVKLRHFFLYERVSYACNRKVESLIEPMGDDWLQFQIRYYMFALFLLFLMLKRSFFTMGNEF >RHN71614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1371624:1375613:-1 gene:gene7231 transcript:rna7231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitotic-spindle organizing protein MDPEAARSARESLDLAFHMSNILDTGLDRHALSILIALCDLGVNPEALAAIVKELRKERLPLSSLHPTPPS >RHN48095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44955093:44960228:-1 gene:gene42770 transcript:rna42770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase, beta-propeller MSELIEGLPDAVAIRCLARVPFYLHPKLEVVSRSWQAAIHSPELSKIRQEVASSEDLLCVCAFDPENVWQLYDPLRDLWITLPVLPSKIRHLAHFGAVSAAGKLFVIGGGSDAVDPSTGDHDGCFATDEVWSYDPIIQQWAPRASMLVPRSMFACCVLNGKIVVAGGFTSCRKTISQAEMYDPEKDVWTPMPDLHRTQNSACSGIVIGGKMHVLHKDMSTVQVLDNAGARWIVEECEWLQGPMAVVQDALCVMSNGSIIKQDKEGRKIVSSATDFKKRIGFAMIGLGDDLYMIGGVIGPDRWNWDIKPLSDVDVLGLGSERPTWRQIAPMTRCRGTIVGCTLMRI >RHN49030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51893060:51897746:-1 gene:gene43813 transcript:rna43813 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSIFVISFLSGNTRDVPHKYSVDLQKKHGIKRAVSPTFYFSKHGKSIV >RHN44465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5090118:5091824:1 gene:gene38588 transcript:rna38588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MPNSINKKFHTKITLLSIYLVLFPLVINSYNPDYNLAINCGSSTNNVALDKRIWIGDNIDNSNLFTFIEPKTTNPSFKSSPNSLSNIQIPFTTAHISFSNFTYSFSSIPSSPVFIRLHFYPTSYQNFDPSNALFSVEVNNNLTLLKNFSPSLWLHDEVEKITKEYCIQIKPNGKLNITFFPNNINELNPFYAFVNGIEVVSMPSFLYYTNPDDLNYYFKLIGFDNREYEIRNDKALEMVYRVNVGENQVQPSDDTGMFRNWDNDFPRYLEKEYPLSVSSFYGSDHLNYLNNTIPNYTAPEAVYLTARSYGKYVTEDYNVTWNFEVDSSFTYMVRLHFCEFDLLTKNKGDRVFQIFINDILAEPNADVIRWSGGNMIPVHKDYAVKIYSQNGSSEIERVNLSIKLQRLPAVDTTYRDTILNGIEIFKVSDKNNLAGMNPNKPMIIPTSPKHRSKSKKSTTFMVAVVGVSCLLLAFLLVVRIIAYARRRRRRRFESNLQMEESSWKTNKEGSSTLPSHLCRYFTIAEIREATNNFEDIFIIGAGGFGNVYKGYINGLTPVAIKRRKPGSR >RHN64277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57847646:57848289:-1 gene:gene27079 transcript:rna27079 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVVDHTDSATFVLFDRDAAELFKKTCADMIESRGMKNVHEEDVSLVDGVVDGGALKSYIQHYCSGIYRVHSTF >RHN40145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13619415:13631647:1 gene:gene46255 transcript:rna46255 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAHFLHQIHKPYPLFQSQRYIWQPKNRLDNPNKSSVQSNRCRHMEKPIKQRLQLCIQCRILTFKHEFSHQTGNQVSSNRVKSSSTTFPSSIMAFFVVSGPNMKSLTCIHLHSIISYTLLDADQIRVFSQLFDYTRRNIMRTPRRYIVYDDGAN >RHN39299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5692397:5692654:-1 gene:gene45309 transcript:rna45309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin synthase MWRLKIADGGNDPHIFSTNNFLGRQTWEFDPNAGTSEERAQVEKARQHFYNNRFKVKPCSDLLWRFQVIIFSCNRNPLNFFCPFS >RHN46651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33731410:33735051:1 gene:gene41169 transcript:rna41169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MEPKGSVLMQRYEMGRLLGQGTFAKVYHARNLITGVSVAIKVIDKEKVYKVGMVDQIKREISVMRLVRHPNVVELYEVMASKTKIFIVMEYARGGELFHKIAKGKLKTDVARRYFQQLVSAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSTLSESKSQDGLLHTTCGTPAYVAPEVINRKGYEGCKADIWSCGVILYVLLAGYLPFHDQNLMEMYRKIGKGEFKFPKWFAPEVRRLLSKILDPSLKTRISMAKIMENSWFKKGLEKPVVIETENNELTSLHAEGVFEVSENGGDSNTETKQLQAKPCNNLNAFDIISFSSGFDLSGLFEDTIQKKEMRFTSNKPASTIISKLEEICKCLQLKVKKKDGGLLKLEGSKEGRKGILNIDAEIFEITPHFHLVELKKSDGDTVEYEKLLQQEIRPALKDIVWNWQGEQPQQLQQEVVQEEQQSSLTDQI >RHN44729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7808444:7808784:-1 gene:gene38885 transcript:rna38885 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKTEQQNRVLNNIFSYPFACFVQSRETHALPSEPSSWSYYMRVRTVVLAFHINGSLVPLLKDAGALWL >RHN57005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35650833:35651120:1 gene:gene32488 transcript:rna32488 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSNTPVLLPDLLKNTPSNIARLKDVVEQSKARQKYLAHTNSSSDGGDVRWYFCKTSLAHNGMYYVLSSICSFCCINLILYYFTTLLVCIPFD >RHN62890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46550394:46552183:-1 gene:gene25523 transcript:rna25523 gene_biotype:protein_coding transcript_biotype:protein_coding MVYCNPTYAGYPIGRGVNPLSGTLLANSKDYELSQYKLMISSLEKELKEPNNFPQHLIEIKTIILEDCRVLLAEYRQSKAAAKQAAKATKVRKQTAKTSKIRKQKGKKVLET >RHN51485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18726092:18743536:-1 gene:gene35926 transcript:rna35926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutaminyl-peptide cyclotransferase transcription factor WD40-like family MDTLPRLISVFCLLLVSSNTLRAFQQTVSIDTITVVNVFPHDPQAFTQGLLYAGNDSLFESTGDYGKSSVRKVALHTGKVEKLQKMDDSLFGEGLTLHGDRLIQVAWLQTNGFIYDPKNLSEIGTFNHDMKDGWGLATDGKVLFGSDGSSSLYKIDPQTFKTVSKQVVYYKGHQVHFLNELEYINGEVWANVIPTDCIARISPNDGLVVGWILLQSLWKELIEAGNTDINVLNGIAWDAEQERIFVTGKLWPELYEIKVTPIKSTIEEGMVEKLCLPKPFNSA >RHN42182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36192707:36196540:-1 gene:gene48593 transcript:rna48593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdenum cofactor sulfurtransferase MHLSLWKPISHCASLIMDKKGRRKGESAADIKRNPSMLRKLQENKLREALEEASEDGSLSKSRDMEPDTAGNQDEGLGRSRSLARLHAQRDFLRATALAAERIFESEDEILSLEEAFSKFLTMYPKYVSSEKVDQLRSDEYSHLPPKVCLDYCGFGLFSFVQTLHYWESCTFSLSEITANLSNHALYGGAEKGTVEHDIKSRIMDYLNIPENEYGLVFTVSRGSAFKLLAESYPFQTNKKLLTMFDHDSQSVNWMAQCARNKGAKVHSAWFKWPTLKLCSTDLRKQISNKKKRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVMASLQNQSGSTGSGMVKITPEFPVYLSDSVDGLDRLAGTEDDEFDGVGDKTFEPRHQGSQLPAFSGAYTSAQVRDVFETEMDHDSSERDGTSTIFEETESISVGEVIKSPVFSEDESSDNSFWIDLGQSPIGSDYAGQSNKQKIASPLPPFWFSGKKNQKQPSPKPSSKMYGSPMYDDREANLGSHDERHVLSFDAAVLMSQELDRVKEVPEEEQVEEVNHYPINGNAQDRPHVSEIMEEPGTSEAVQNGSVALRESWLNNSTSLSRHHSLENGSTSEIKESAIRRETEGEFRLLGRREGNRYGGGRLFGLEDDEHTSRGRRVSFSMEDNRKEHLRETLETGDISATSLDDEEVSSDGEYGDGQDWGRREPEIVCRNIDHVDMLGLNKTTLRLRFLINWLVTSLLQLKLSVSDGDEKVNLVHIYGPKIKYERGAAVAFNLRDRSRGLINPEIVQKLAEKEGISLGIGILSHIQIYDSSRQQRGALNLQDTTLCKPMENGRRDGKGSFVRLEVVTASLGFLTNFEDVYKLWAFVAKFLNPAFIGEDSLPTVQEGSET >RHN59348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9771580:9772093:-1 gene:gene21366 transcript:rna21366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQIGKNMVEIPKLVYFIILIFSIFLCTSISIFACKTDKDCSKL >RHN57722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41304752:41305753:-1 gene:gene33305 transcript:rna33305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (carboxymethyluridine(34)-5-O)-methyltransferase MSKDEDDEYEQQAILEQVFGHSSSSDSSFDSDSDNCYSKWERIEKVKGLWIVRNFLSSHKQSRLLSSIASENWFTQPSINQSMRFGYQNLPRWAIKLSDSICQSCSSSPSPFLQNLSMRYPFFDQMITNVYQPGEGICPHVDLLKFEDGIAIVSLESSCVMDFTLGDETVPVLLEPGSLVMMYGEARYVWKHEINRKDAGFQSWKGQLLDQTTRTSITLRKLCSSPPSI >RHN62436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43422941:43423573:-1 gene:gene25014 transcript:rna25014 gene_biotype:protein_coding transcript_biotype:protein_coding MLISQNTNYNELTASQVQIRQQKLLHIDVELNSTQYGLHVCFHELI >RHN41467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30272959:30274428:-1 gene:gene47796 transcript:rna47796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MDSQSNPLHILVFPFMGHGHTIPTIDMAKLFASKGVRVTIVTTPLNKPPISKALEQSKIHFNNIDIQTIKFPCVEAGLPEGCENVDSIPSVSFVPAFFAAIRLLQQPFEELLLQQKPHCVVADMFFPWATDSAAKFGIPRIVFHGTSFFSLCASQCMKKYQPYKNVSSDTDLFEITDLPGNIKMTRLQLPNTLTENDPISQSFAKLFEEIKDSEVRSYGVIVNSFYELENVYADYYREVLGIKEWHIGPFSIHNRNKEEEIPSYRGKEASIDKHECLKWLDTKNINSVVYMCFGSMTHFLNSQLKEIAMGLEASGHNFIWVVRTQTEDGDEWLPEGFEERTEGKGLIIRGWSPQVMILEHEAIGAFVTHCGWNSVLEGVVAGVPMITWPVAAEQFYNEKLVTEVLKTGVPVGVKKWVMKVGDNVEWDAVEKAVKRVMEGEEAYEMRNKAKMLAEMAKKAVEEDGSSYSQLNALIEELRSLSHHQHISQE >RHN77585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7923728:7929321:1 gene:gene1054 transcript:rna1054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative filament-like plant protein MDRRWPWKKKSSDKTVLEKVAAELDSAGASNQPNQDVYKKPNYVQISVESYSHLTGLEDQVKTYEEKAQTLEDEINELNEKLSAANTEINTKEALVKQHAKVAEEAVSGWEKAEAEALALKNSLESVTLSKLTAEDQASQLDGALKECMRQIRNLKEEHELKIQDISLAKTKQLDKIKGDLEARIRNFEQELLRSAADNAALSRSLQERSNMLVKLSEEKAHAEAEIEHQKNTVESCEREINSLKYELHVISKELEIRNEEKNMSMRSAEAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGETRLRKSPVKSSSPHFSPVPDFSLENIQKFQKDNEFLTERLLTMEEETKMLKEALAKRNSELQASRSMCAKTLSKLQILEAQGQTSNQQKGSPKSPIHMNHESIYSQNTGYAASMISISEDGNDDARSCAESWSTAIISELSQLPKEKNADELGKSEATKKLELMDDFLEVEKFAGLSDDSNEDASLPFTSNNKTDEIMTNDVSEVSTSKDDISEPEKKSDLNPLQSQESPAAGSPVPSPRGIDSFSPADLQSRILSVFESMAKDADVGKILKDIKHVLEEVHDTSIQDSVAEIPVDVPASDTPCDKKDDPEDVCSVSEKELISSQEPSECMQITSDIEAAISQIHDFVLFLGREAMTVHDISSDGDGISQKMEEFSVTYNKVKCHEASLLQFVLDLSHFLAKTSEFRFNILGYKGMETETNSPDCIDKIALPENKLVQENSLGERYQNGHSHILNPCSNPEVPDDGNLTSSYESNVTSQKFSMEDFEELRLEKEKAIVDLSKYSENLEMTKLQLQETEQLLAEVKSQLASAQRSNSLSETQLKCMAESYRSLETRAQEFETELNHLRMKTETLENELKDEKRSHEAALAKCKELEEQLQRNESSAADNEIQTKKERDLASAAEKLAECQETIYLLGKQLKAMHPQTEPMGSMYSEKLLKVEGFTEHQPRSPNLQDQVEMDGASFGFMQRQGAESPLHFTNTLYSPSDNDSNFQAISPVHHPNHKPTKSASSSASSTPTPEKHGRGFSRFFSSKGKNGH >RHN68322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34556665:34557347:1 gene:gene16658 transcript:rna16658 gene_biotype:protein_coding transcript_biotype:protein_coding MTENAKPMAILLRMLMPLSLPVIFLIIGTRTLSYKGTNIKVPIAVTETTEPGGIVKLSLSFKFIEAACFTKSVVACAKVIPVVNEASHMGIMLRTSFVSSTLVTVANLHGLCFGLTGVSSNIAALSRNLFIISSYIRHYLI >RHN62591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44550675:44552092:1 gene:gene25183 transcript:rna25183 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMFDYHADYIEPQLLIKILNYVSSHNDHLHELGIYVRGYSCLIMSRVSSCRALTSLKFSLSSIRRYDIRETLFPKNLNLPLLSSLVLENFAFCGGGLVLENFAFCGGENGCAEPFSTFTKLNSLILRSCEVKDAQILSISSETLVKLALLDNFAKIELSVPSLCTFTFTGDLIQKICGSSLSSIKQVNIDHEISPSVNNALALLSCLQDLANVELLTVNSTTLEILSLVPDLLEVKLPSFCNLRSLEVKMIPLHHGHLFLLMKDVMLKKVAAKSSKEADKLRKAFKAGLELPAIPDGIVDFLRQNPSSAEVNITTDYPGCFNLKQVEESIKGEKIINYRSQIATPASFSVAPACADESAFAPASAVASASAAPPSLHLCRAEI >RHN72741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10359273:10359542:1 gene:gene8494 transcript:rna8494 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVFGKETSIELLVIHDDDYGNLQSVCDQPVFGIIKDLALLPCNENFRSDDQQVCYSPCLV >RHN47159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37575415:37580469:1 gene:gene41723 transcript:rna41723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rhodanese-like domain-containing protein MSICHSLMDSLSLHLSYPPFPKTQKLFTTKPFSLLSNPNPLHSSSFPQPHFNKKISISHSPIPLQNLFKKSTFSFSIINLFTPFQSLAVEAPTLTESDAGRINLESILVSIDDFFNRYPFFVAGCSFIYLVAIPLAEEYFRKYKFVSAIDAFRKLRDEADSQLLDIRDRKNVKFLGSPSLKMVNKEVVQFEFVEGNEDGFVKKVLERFKDASNTAVFVLDSFDGNSMKVAELLFKNGFKEAYAIKGGVRGQQGWMAIQDTLLPPSVHINRRKKTKVPKELNTNGNGAIQQSDNKNESLVSSDTPAVGNQETENDHVKKSVESNPEMKISSVVSSSPYPNYPDLKPPSSPSPSKPQ >RHN61903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39231507:39231800:-1 gene:gene24416 transcript:rna24416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSSTDVHKIQRRILGDMNDIISDLPEGVLLHILSLLPIEDVVRTSVLAKKWRYLWTYLSVFDFQIPYPRYDSNHKIRKNVPNCPLRSSWKTTELLEH >RHN44682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7382062:7382415:1 gene:gene38830 transcript:rna38830 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta MLQPRIVGEEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFKLILSGELDSLPEQAFYLVGNIDEATAKAANL >RHN73098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13451569:13457101:1 gene:gene8878 transcript:rna8878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MSEGASFVLESSENGTDLSQDDIGTVEETPEETILSRQTSVNLVPFIGQRFVSQEAAYEFYCSFAKQFGFSIRRHRTRGKDGVGRGVTRRDFTCHRGGFPQNKPSEDGGGGKAQRNRKSSRCGCQAYMRIVKKADFDVPEWRVTGFRNIHNHELLKSNEVRLIQSHCPISPDDKSRICMFAKAGMSVRQMLRLMELEKGVKLGCLPFTEIDVRNLLQSFRNVDKDNDAIDLIAMCKRLKDENHNFRYEFKIDNNNRLEYIAWSYGSSIQSYEVFGDAVVFDTTYRVEAYDMVLGIWLGLDNNGVICCFSCALLRDESMPSFSWALKAFLGFMKGKAPQTLLTDHNTWLKEAIAVEMPESKHAFCIWHILSKFSDWFYLLLGSQYDEWKAEFHRLYNLEMVEDFEESWRQMVDKYGLHANKHIISLYSLRTFWALPFLRRYFFAGLTSTCQTESINVFIQRFLSAQSQPERFLEQVADIVDFNDRAGAKQKMQRKMQKVCLKTGSPIESHAATILTPYALSKLQEELVAAPQYASFLVDEGCFQVRHHSQTDGGCKVFWLPCQDHISCSCRLFEFSGILCKHVLRVMSTNNCFHIPDQYLPIRWRNIGLSSFNHFHGATSRDQPERIQYLESLVSTLLMESVETEERLDVACDQVSMVLSRVKTLPRSSHGVNDIAFSYASDSLILPEVEDTDEMIQGFTIANPHDSMALGKLKERRARDGVDLSRKRRQFSAPLCAQYGHDGSDCSIMADDNMSEDALGYM >RHN52033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30648472:30650831:1 gene:gene36647 transcript:rna36647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MEEMTSLKVLLIEVSKKQDTLETGLTMDFNLLRTNIASIQTSIEVFEMLANSKCFCNIRGSSTSCEEEEFKEPPVPTEQERFAKELAELGLSRETEKVGESFGKYIHQGFVRDKSAEGTSKEVVFNGRSSSVFGGMETFDNHDVDVNFSKTNFSNSVGKAFVPDHWLEEETTPKGRTSGKQSFLITKSDYKRKPQHFSQTAPSTVPESGVHKRLKFHTPSATLKPTQSNSSKKSAKLRQSAKKLKGQREEATPRSARMKGGVTPKGKEIALDTKNVALDERTKRALRNAHVCSFLFQKSSEVNLINETLVLTMSLASTRSELQCLLPDVHITELVIALAAARVTCRHTLRQSVWCLPPSFAIYIPLRGAEGHWFSMVVHVPSGITYHLDSNCPAGLTEEQRHYKIRRMGLLLHRLVDCDEYSTIFPKKSQEFEKFEIVRPNLMIDGCSSCYHCSSENSGVWVLHWLSMEHYYRPENFLRIMDVKSVRLNTAAELLIGDENSLRYEVQKKTDEFLKARNEKKPIDLDTD >RHN60035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17355884:17356713:1 gene:gene22230 transcript:rna22230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MHQYNCWFSRFVLSCGKDSTIKLWEVGSGRLVKQYLGAIHTQLRCQAIFNATEEFILSIDELNNEVIIWDAMTTEIVAKWPSNHVGAPRWLEHSPVESAFISCGTDRSIRFWKEV >RHN61593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36684066:36684376:1 gene:gene24072 transcript:rna24072 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKKFKLFVVKSNVLSFGDSILDITITISFFNQVDLWGVRVRTQVGTITIRVN >RHN53885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5022714:5023103:1 gene:gene28845 transcript:rna28845 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSNSSSNYSPDNVPNSNPQQPSMFHWPCFPQTATQAPWQQQLPYPQQGAQVFQPTQLFGANIPPIFQTFNGNGWQAFPVASEATTTATHNLVPNMFYHAGYTFPGFPGLYATFSLQMTCPYWDFQD >RHN49835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1575932:1577985:-1 gene:gene34059 transcript:rna34059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MDEDIPTNVVCYMTSSFTKQNINLKLCSTKPYLSIYVYVLTSYTSTVSFSLPSMASSIIPRHYCSNFATTPILFHSNNHSKSLNYPFLNTNVGGFSRSFTTRCSYQTPPTHHSQFDHKHKGKSFCLKQCAISLALAVGLITGVPTLGLPNDAHAANPVLPDLSVLISGPPIKDPGALLRYGLPIDNKAIREVQKPLEDITDSLKISGVKALDSVERNVRQASRALKQGKTLIISGLAESKKEHGVELLNKLEAGIDEFELILQDRNRVAVAPKQKELLQYVGG >RHN58044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43321763:43323592:-1 gene:gene33650 transcript:rna33650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKYCVFNLAINIYVAFFVISIRTLTFFSIGFLSIEPFMAWFLLFLHLFLFHFPSFSSSSSSFNFSCHHNDSSALLQFKSSFIIGFSQCVPLLKTATWKNGTDCCSWNGVTCDTVSGHVIDLNLGCEGLTGTFNPNSTLFHLVHLQTLNLSYNDFFDSHFHYKFCGFQSLTHLDLSDSNLEGEIPTQISHLSKLQSLHLSENYDLIWKETTLKRLLQNATDLRELFLDSTDMSSIRPNSIALLLNQSLSLVTLNLHYTRLSGKLKRSLICLASIQELDMSYNDELQGQLPELSCSTSLRIIDLSGCAFEGEIPMYFSNLTHLTSLTLSNNNLNGSIPSSLLTLPRLTFLHLYSNQLSGRIPNASLPNLQHLIHLDLSKNLFSGQIPSSLFNLNQLYTLDCSKNKLEGPIPNKTTGFQELNDLRLNDNLLNGTIPSSLLSLPSLVHLVLSNNRLTRHITAISSYSLKKLDLSGNKLQGNIPKSIFNLANLTLLDLSSNNLSDVIDFQHFSKLQYLKTLSLSHNSQLSLTFEPNVNYNFSYLSKLDLSSINLTEFPISGKVPLLDSLDLSNNKLNGKVPDWLPETMNSLTFLNLSQNMFTSIDQISMKNEQL >RHN58701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4159277:4160892:1 gene:gene20634 transcript:rna20634 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLGREESVASMDNSGKIIWAKHNETQTVNIECMSRCGDEYCAFQIADGERLPLAIKELGTCDLYPQLTEQNGEEEYTEEQEIHIGEEGSQEDAVVVDADSTDGTVLVNGNEADKELSTNKEG >RHN74301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31632432:31635647:1 gene:gene10339 transcript:rna10339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP-binding protein, orthogonal bundle MFGKRIASHPNIYVLDTPAVLPPEVPDVDVLSKLLLTGAIGDCLIERKETAEYFLAIHNSSDQYKKWAKLSSKENDIFFLNSTTECLTTHGLQMKQKKKIPNDHTQDDMVQDVRRTLYETVSSFDGNIRCEVEMEALIASQFTALQEVFHVSTEREEDAHVVVAGKLLNLFRTGRLGHYILDNLPRNIH >RHN51015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12906894:12908867:-1 gene:gene35376 transcript:rna35376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MESKSSFLIISSFIFFLLFLAKIYKQKIKMRSHKLPPGPWKLPLIGNLHQLALAGKLPHHTLGDLSHKYGPLMHLQLGEISTVVVSSPNLTKEIMKTHDLSFVQRPQFLAPNILTYESKDIIFASYGDYWRQMRKICTSELLSAKRVQSFSSIREDGVEKMIQFIHSSSCQDPLDLTKMVSSLVSSFVSRATFGKKSKYEDDLLCLLKQAMEMASGFDVADLFPSFKPIHLITGIKPKLENLQKKLDMILESIINEHQSNPALQGENLVDVLLRIQQRSSLEIPITQDNVKAVIWDMFGGGSDTSAITIEWAMSELMKNPRVMKKAQAEIREAVKGKKRIYESDLHKLGYLKLVIKETLRLHPPATLLMRECREACNIGGYEIPLKTNLILNAWAIGRDPEHWSDAEKFIPERFHDSTGFDFNKVNDNSFQYFPFGGGRRMCPGILFGLANIELPLAALLYHFDWKIPNEMKEEDLDMTEVFGATVSRKNNLFLIPTQYI >RHN74577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34839500:34842537:-1 gene:gene10669 transcript:rna10669 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKHFFFMFFLCLLLFISTRAIQTSKDGNQIGVAKVSKTKVGIDGWRDWGGSFWEDGQENNGGSDKEGGEVGVTKESKTKIGIDSWRDWGGSFWGYGEENNGGGEEEGGQDGVTRESKTKIGTDGWRDWGGSFWEDGQENNGGGKKNSGQGKGAKEIGEVHESVTEGWRDWGGSFWDDEKENIGGINKEGAHEKGAKGKDEDNISGRDNIDGYNGGGMN >RHN69122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41196773:41199760:-1 gene:gene17551 transcript:rna17551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative O-methyltransferase COMT-type, S-adenosyl-L-methionine-dependent methyltransferase MGEIHSEVTWEQEEEEEKEEAEAQVEIWKYIFGFVELAVVKCAIELGIAEAIEKHKKPMSLLELSSTLKCDSSYVNRIMRFLVHRKIFKTMNTNHDDYPSYVQTPLSRRLIRNGEHSMVAILLLESSPVMVAPWLSLSDRVLVNGNPSFEKVHGEDVWHYAASNLDHSNLINDAMACDAKVVVPAIVEGCSEVFDGVGSLVDVGGGNGTTMSILAKAFPRIRGINFDLPHVIDVAPKYDGVEHVAGDMFTSVPKADAAIIKWVLHDWGDEECIQILKNCREAIPKENGKVIIVEAVIEEGEGKHNKYKDVGLMLDMVMMAHTNIGKERTLKEWEYVIKMAGFKALIVKSINAVQSVIVASC >RHN46638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33572790:33579219:1 gene:gene41155 transcript:rna41155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target of Myb protein MMAGSSSSSASVAVEKATSDLLMGPDWTMNIEICDSINSNHWQPKDVVKAVKKRLQHRSSKVQILALTLLETMVKNCGDYVHFQITDRHILEEMIKIVRKKADMQVRDKILALLDSWQEAFGGAGGKYPQYYWAYDELKRSGVSFPKRSPDAAPIFTPPPTHPSLRQTGYGMPSSSSKTLDETMATEIESLSMSSLESMRHVLDLLSDMLQAVNPNDRVAVKDEVIVDLVDRCRSNQKKLMQMLTTTGDEELLGRGLELNDNIQSLLARHDAIASGSSFQIQGASSSTMSSEVQSSFNQNEVKSSSPAESVSTPKASPPAEVYSEPKGENDEEEEDEFAQLARRHTKTQSVTSKDATIGSTENSGSMNTSSTTPYVPEPSTAVPSASNALALPDPPAPISTTSKDQDIIDLLSITLSLTPSSPPTTTYAPSSASSQGGMHHQIPTPSSTESYSYAPQAYPGNSPYNSYVAPWAQPQSKSEFQTQQPQQHMYQPQPTTPPSPQQHMYQPQPTTPPSPQQLHAHHESEQALRHQQAQSELLQSQNQHLPYSPHQVHPHNEQYQPQPHLQSQPQHHPHLQPQPQLQTQSQNPRQHQPQPQRPMQLQSQQYQQQPQLQNQHVQYPARYPPPPWAATPGYANYQSHSQNAISTSQGNTATASYPPALGVRPSQHNQSFSSPGVDPRGGNSGQRPFVPSYKLFEDLNVFGNTDGRVSGTSSNVSGTMGPGMVGGGRK >RHN81053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42929726:42933498:1 gene:gene5047 transcript:rna5047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase MTMAAPGQLNIIESPSRGSCSVDCSEKLEQIGEGTYRCPEQLNKIFDLCGGPDEVNWPGVAKTPCYNQFKPTRPMKRRGKILDSNFDRHALELLEKMLTLDPAQRIPAKGPLDAEYFWIDPLTSDPKSLPKYDSSHEFQTKKKRQRQRQNEENVKRLKMQHPLQDTRLPRFNRVDNMVKCGKVLPTLFMALNQQLLQDIAIIMGSLEVPLVVQDGILLVGTLVRNTIIQIVEVKEGVLVTAVDHILLKGGGHLMGLVDACRNWWWSAWWRWLWSWNSKLSSRWSSIWWIGCWSWESQPTVWLAAVEHFLITDD >RHN73780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19849666:19851490:1 gene:gene9642 transcript:rna9642 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNSQEHEISEAGSEVTYPEIVENEVKDIKKGATHKIIAESCKSNSMVLKKGHAMIPAHIIAEAISTIREFDIRWSGPITPKEMEYVEQYVLAKYPEYSRLIEGDGNGIDMSTFIINEEPLDEKGKSPRGTPSPRDSSSYTFGSSLPESDRAKIQLEQSRLLDILNKKSSFTGSFISIPEIQAQNKVLKHYGLTDDEYLVLFTPSYKDAMMLVGESYPFIKGNY >RHN65846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5772414:5772857:1 gene:gene13738 transcript:rna13738 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLVYALVFVGHIVDKHEYITNSQYFTWNGCTIEHVIHSISNFLIY >RHN56915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34722970:34725213:-1 gene:gene32381 transcript:rna32381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MSIPRLVFVTCIFSLGEIALAAKLVTYIFGDSLTDVGNNNFLQYSLAKSNFPWYGIDYSGGQATGRFTNGRTIGDIISSKLGIPSPPAYLSVPQNVDALLKGVNYASGGAGILNDTGLYFLQRLTFDDQIKSFKKTKVAITAKLGEDAANKHFNEATYFIGIGSNDYVNNFLQPFMADGQQYTHDEFIELLISTLDQQLKRLYQLGAQKMVFHGLGPLGCIPSQRVKSKRGQCLKQVNEWIQQFNSKVQKLIIKLNRGLPNAKLVFADTYPLVLDLIDNPSTYGFKVSNTSCCNVDTSIGGLCLPNSKLCKNRNEYVFWDAFHPSDAANAILAEKFFSSLFSSAPSAAPSPSP >RHN73119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13591608:13593791:1 gene:gene8902 transcript:rna8902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain, Bet v I type allergen MGVQTQEYATPAAVPPVRLFKAMSLEFHNLFPKLVEIIQSIEFTEGTGGAGTIKKLTTVEGGETKYVLHRVDEIDETKFVYNFSIIGGTGLADTLEKVSFKSQLVEGPNGGSIRNVHVDYFTKGDYNLSEEELKAGKAKVEGLVKLVEGYLLANPDY >RHN80210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36130514:36147448:-1 gene:gene4109 transcript:rna4109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PWWP domain, CID domain-containing protein MAPSRRKGGSKAAAAAAAARQWKVGDLVLAKVKGFPAWPATVSEPEKWGYSTDLKKVLVFFFGTQQIAFCNPADVEAFTEEKKLSLVKRQGKGADFVRAVKEIVDSYEKLKKERQLGEANCGGNVADANVSKPFNSYNKDQTDAPALSPTLPMKSSNSDMDSHGLVCPAEDDSAAVLKDESHDNEASKELTENVASVHSAKPLTYSSRKRSAAELCPQGFITDRHMPVRKNRSSSRVQPFMFPCNDSGKNAGSQLTNAAQGASVRRNKRLRKSPDLAGCNDFDSSALVLNGSMEDKDNSSEILTNDSDEFSLNEGSAMDSNFKHTETSECPEEVELNKGLDLKIKGVVNKKKRNPNRKRATNDTSKPTIRVEEELGVRNSSQSSQNICRNSEERCFEQDGDEHLPLVKRWRVRMGKSSSTEGELNSIPHTPGKSCKEDINSPPQMIASSNCENRGSADVGSSVLIGTMDNVSPSKNFTPCFENQVCNTKKDQTFCSVDCEAALPPSKRLHRALEAMSANAAEEGQAHVESSASRMTSIATCCISSIKTSPDVAINDHEGGGLELQKFDACGGGDSSHIIVHSISANSNPMISTENKLSNQVDEPSTRFQPQETGKNVLQCAADQIEELSDFVVSHTANVDLKTQVHGETYPDLDSKCNEAESNQDSPALSLPPNIEANIITSNHSNTTSNASEHNRINLHSVADVMKKEIISPNLDPPRNEVVISEGTKCLKPAVDDVNRANDMSEFVKEVKCEGPEEDLNSVSTSDCLGQKAVSGIRSSPSLTDGGDCLPQGSPPNTSICNVSTSDSSNILHNGSCSPDVHLHQKQTLSGPVDESKYGSEATQQSRSMGKSSEAGRAALLYFEAMLGTLKRTKESIGRATRIAIDCAKFGIADKVMEILADNLETESSLHRRVDLFFLVDSIAQFSRGLKGDVCLVYSSAIQAVLPRLLSAAVPTGNAAQENRRQCLKVLRLWLERKILPEPMVRHHIRELDLYSSVSAGVYSRRSLRTERALDDPIREMEGMHVDEYGSNSSLQLPGFCMPRMLKDEDDNEESDSDGGNFEAVTPEHNSEVHEMTSIIDKHRHILEDVDGELEMEDVSPSRDVEMNSFSNVDRGNATQFENNIHLPSAPPHQLVPQSSVPPPLAPPPPPPPPPPPPPPLPMPHLVSSTSDPCRTVFNSRGHTESQCVKDNPLHPMDRPLAAPRSSQPISNAVHHHAPEYREAHISESDRSFNSFPVPHPVNYRHSDGVTMHDRGHSIRPPRHVPSNQFSFVHGEQHARHRREVPPPPPYSNRQHFVENMEREHFYHNNHERLKPPPYDYRERWDVPPPYPGPRYHDEDMPSPYGCHPFTNRGFWRPR >RHN80514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38743185:38746456:-1 gene:gene4450 transcript:rna4450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MDHPTFQHRYCNFIIIISIFITGLTTKTDSIGINYGQIANNLPSPENVVTLIKCIGATKVKLYDADPKVLKAFANTGIEFMVGLGNEYLSKMKDPKQAQAWIKTNIQPYLPSTKITSIFVGNEVLTFNDSSLTSSLLPAMQSVHTALIVLGLHKQITVTTTHSLAILQNSYPPSSGTFRSDIAPCIASILSFQSKTGSPFLINAYPYFAYKDNPKQISLDYVLFQPNQGMVDPKTNLHYDNMLFAQIDAVYSALGALGYDKMPVHISETGWPSKGDGDEVGANVENARKYNGNVIKLSSKKGTPLRPEVDLNIYVFALFNENLKPGPTSERNYGLFKPDGNPVYNLGFSLSSSSSSSSSSSNPPSNDGGNNGSTGSGSAPPHPPTSSSGYLAISEATSLDRYHMLGLSLSFLLPLLMILKC >RHN66939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21952269:21955073:1 gene:gene15062 transcript:rna15062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MMTILTTQISLLLLTLLSITTFHKTMCSNHTVVRCNEKDRETLLTFRQGIHDSLGRISTWSTEKDCCVWEGVRCDNITGRVTELDLKPRFEDESIRFLKGEMNLCILELEFLSYLDLSLNVFDVIIIPSIPHNITHSSKLVYLDLSLLNKFVDLEKKKTLHMDSLHWLSSLSSLKYLNLSNIDLPKETNLFQIVNSLPSLLELQLSDCKLNNFPFDEYLNLSSIVTLDLSRNNFTSHLLDGFFNLSKDLKYLDLSWNNIYGEIPSSLLNLQNLRHLDLSYNQLQGSVPEEIGQLSHIQQLDLSENQLQGSIPSTLGNLSSLNYLFIGSNNFSGEISKLTFSKLYTLGLLDMSYSNIVFQFDLDWIPPFQLFHLSLGNTNQGPNFPSWIYTQKSLQVLELSNSGISLVDRHKFSNLIERVANSLILSNNSIAEDISNLTLNCLFLWLDNNNFARGLPNLSPMAWVVDLSYNSFSGSIPHSWKNLKELSLLNLWSNRLSGEVQEHLSDWKQLRVINLGENEFSGSIPTGMSQNLQLIILRANQFEGTIPQQLFNLSYLIYLDLAHNKLSGSIPDCVYNLTEMVTFSEGVLPADITIELFTKGQDYIYQIRGDTRTIDLSANHLTGEVPLELFRLVQVQTLNLSHNSFIGTIQKTIGGMINMESLDLSNNKFYGEIPRSMSVLTFLGYLNLSYNSFEGKIPTGTQLQSFNASSYIGNPKLCGAPLNNCTMKEENPKTAMPSTDNEDDDSLRESLYLGMRVGFAAGFWGICGSLFLIRKWRHAYFRFVYRVGDKIYVTLIVKLNMFKRN >RHN55604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19944242:19948831:-1 gene:gene30807 transcript:rna30807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b5-like heme/steroid binding domain-containing protein MPTLSNFYTIQDVSNHKTKDDCWIIVDGKVYDVTQYLDDHPGGDDVILDATGRDATEDFEDAGHSKSARELMEKYYIGEFDTSSPISTKKGFSEKFMQLKNKPYLSFSVAVVGISVVVGFLYLRKK >RHN45508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21674253:21674477:1 gene:gene39859 transcript:rna39859 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATPFLGSDGLGFTGWCQGMCCGHFVAVVLADGFILLLRDPYDGGVAACGGGWWGWLWFVVADMGGFHICWR >RHN81139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43594646:43595731:-1 gene:gene5139 transcript:rna5139 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHIHVSGESSPGNEFRKPVGEKLHRVDEADTMTINCEASKQGRVQAYQPFERRKWSHRAEDPIRTLMFLGSWNHT >RHN45096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11730847:11734898:-1 gene:gene39299 transcript:rna39299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sieve element occlusion MTSIGKLGAMQQLMKGGKMMPANVISDDTILVKKIVADHNPEGLDYDVKPLLHIVEDILRRSTLGSSEHDSMGELSHVDQLEDRTHLPSYTSMLEALSVKIDRISCEISYKILSGVDAHSTTVAIFEMLTIYKWDVKLVLALAAFALNYGEFWLLAHIHDTNQLAKSMAILKQLPGIMEHSSSLKPRFDTLNDLVNVILEVTKCVIEFNDLPIQYIRQDVSAYNTVSNHIPVAAYWSIRSIVACAAQITSLTTLGYEIFTSNDAWELSTLAFKLRSIVDHLRKQLDICKIHVEEAMDTEAYGMLRELFLTPHTDNMKIIKALIYSHDDILPLYDGVSKKRASLEALRRKNVLLLFSGLEFSTDELLILEQIYNESKAHAPRQDNRYELVWIPIVDQTSEWTDQKQMQFENLRESMPWFSVYHPSLISKAVVWFIQSEWKYKNKPILVVLDPQGRVACPNAIHMMWIWGSAAFPFTSFKEETLWKDETWRLELLVDGIDSEILNWIKEGKYIFLYGGDDPEWIKRFVKEARKVAQATRTPLEMVYVGKSNKRDQVQKVCDTIIREKLYTHSWSEQSMIWFFWTRLQSMLFSKIQLKQVDDNDRVMQEIKKLLSYDKQGGWIVLAKGSQIVVNGHASTGLQSLIEYDLMWKEHAERDGFETAFKEHYGKLHAVDNPCCRFEFSHSMGRIPDRLTCPECRRNMHVLTTFQCCHDDNVEEDFFVSSVTPPNTN >RHN50663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9079980:9086356:-1 gene:gene34978 transcript:rna34978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MTPVTPVFLPGDLIAELLSFLPVKSLVRFKCVNKAWKTLISDPTFVKLHLNRSSSTRNPLFTLVILHVPTTTMVYGKVGRGNSVVPYSLNRLIQNPSFTLSVDPYYRLTGRQSSYIIGTCNGLILLIGGDLYGYFRLWNPTTRTMSYKFGHFRSFDSPAHHRFTFLGHYKFSFGLDNSTDTYKIVASNYNPNIVRIWSVGHYGWKDIQSFPVVPVHSYFGENDVHNAVYLSSTLNWLAVHNDFDYDIKNLKVEQFVIVSLDLRTETYNQYRLPRDFHEMPSALPIVAVLGGFLCCSYFYKETDFLIWQMKELGNDESWTQFLKIRLIHWCLRPAKNPIQFSIIGKKIECSEQKLQQVLLLPMIVGILYCGARPRFTLKAWFQFFER >RHN40580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17817303:17826507:-1 gene:gene46744 transcript:rna46744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MDNRIYTSSYNIPTGAAPSTASPDPNTMFLAHYRRTAEAAAAASSIRYSSDFDIAPPGVASRISTTNPWANAFPSAAAAVAAAATNVASAASLDLKRSSDALYHPSILSTIGQNEAWYTSNSLAKRPRYETGSTLPIYPQRPGEKDCAHYMLTRTCKFGESCKFDHPIWVPAGGIPDWKEVPNIVPSETLPERPGEPDCPFFLKTQKCKFGTKCKFNHPNVPSENDDVSGLPERPQEPPCAFYLKTGKCKYGAACKFHHPKDIQIQLSDDLSRTVEQTQTNSSVFDGAIGDTQSIMPLISPLSHNSKGLPVRPREVDCPFYMKTGSCKFGASCRYNHPDMNAINPPVSALGPSVLASSTANLNMGAINPAASFYQAFDPSLSNPMSQVGVTGTIYPQRPGQIECDFYMKSGICKFGERCKYHHPIDRTTSLSKLQSNVKLTAAGLPRREGVEICPYYLKTATCKFGATCKFDHPPPGEVMEMAKSQGASTTNGGETETNEDVPVPGSAPEQY >RHN75985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46769369:46774226:1 gene:gene12253 transcript:rna12253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle-fusing ATPase MSQPESSSDSKSGAGKKDFSTAILERKKAPNRLVVDEAVNGDNSIVAMHPQTMEKLGLFRGDTILIKGKKRKDSVCIALGDDTCEEPRIRMNKVVRSNLRVRLGDVVSVHQCTDVKYGKRVHILPIDDTIEGLTGNLFDAFLKPYFVEAYRPVRKGDLFLVRGGMRSVEFKVIETDPGEYCTVAPDTEIFCEGEPVKRDDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGAKAPKGILLSGPPGTGKTLIARAIANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKRDKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEIGRLEVLRIHTKNMKLSYDVDLERISKNTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVTNEHLHTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHSIFKSCLRKSPIAKNVDLGALARHTQGFSGADITEICQRACKYAIRENIEKDIEQERKRKENPEGMDEDLVDEIVAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFSNTATSAIVSDHLTTTTGGADEDDLYS >RHN69943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47484588:47486217:-1 gene:gene18476 transcript:rna18476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MFFFKAMYLAKPAVNSKTVITCISCNLKTLICKDLLHHSTVKKTLQNGIFTYLVYWQKLRIKMKMKSHSSFFFTFLIVAFSSIQISLADCDNLHDTCPTSPSKKQTTFINGFSCNNPSNTLAHDFKTMELSKAGSRDDFGSSINIVSASKFPGLNTLGISIGRTDIEVDGIVNLHNHPRASEMIFVKEGVLDAGFLDTQNKVFQKSLKEGDVFVIPKGLFHFFLNRGVEVATVLSVYNSQNPGLGSLNATPPSSSESVEKIKRKLYELELDNVNDMSLDDVSEIIYS >RHN54280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8256040:8257805:1 gene:gene29288 transcript:rna29288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MLYQTSYPLLFQFHPLHSSSTTIPLSTISPQKLSLFSHLPHPHSQVSKTVMECVVETALKTSLRKDITPQTFVDEISALNAQNGTTSDDFFVDDLLDFSHVEEQQQQQEQEEQHQQQQEHSLCLSLKQNHETSNPNTTFSLKEDYSSLPTNDLNVPSDDVADLEWLSHFVEDSDSFSGMALTTTTEKNPKSFVVFEEPKPKQENSVFTTFKTPVQTKARSKRARTGVRVWPFGSTDSSSSSTTTTTSSSTSSSPTSPLMIYTNMLQVQSFDSVKVKKPKKIASSNGSGHVGAVVMAAPPRRCSHCGVTKTPQWRSGPLGAKTLCNACGVRFKSGRLLPEYRPACSPTFSSELHSNHHRKVLEMRRKKEVVGGVEIEVETGLSRSPVVPSF >RHN39797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10561867:10567652:1 gene:gene45860 transcript:rna45860 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLTKLKPPSPPSSSPHQFLQRCSVSRTAKGKGKAGQILKRSKITVKKTGAEPTPGAPTGSREKQERERLYEQCLNAPTPLRFLKPKQRAREAERGKLGLISKDRQIELDMMKKKNAKFRVSEKPTIMGTPGLDYITLGLVDAEKLPKYELTKEDGMKLAKEYSRVLMRKHRARQAAETNLLMMKKEAIEALPGGLKEAALVPDLTPFPVNRFMATLTPPIDGYIEKIKEAADRISGKEKIR >RHN42503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38892175:38893486:-1 gene:gene48950 transcript:rna48950 gene_biotype:protein_coding transcript_biotype:protein_coding MPITRRCESSKEISLSRAAKIFSNFVSADNGASGGYDVILGKTFKGFNLLNQLHKELKLSKSHRKNRQSHITDDSVDVKSEKEFRNVDGKSNQIDVKFNQEVNGFEQPKETEKKISNNAKVENGVSVAPRGVEVRSKKRNEAASEVKMQRGKNSEVENAEGQEQQKEVEKKPSTGVNSGGPMGPLDLEIRTNKKIEAVSESKLYAVEKRKKRKSQDGEERIESSLGEHSKKKMKH >RHN42245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36682341:36683082:-1 gene:gene48662 transcript:rna48662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative encoded peptide MENTKRLQIICVLILFLVLQQEVVIVQGRHLRSKLCRDCTKPHKRSIAHHGGKSSRRVGYEVDDFRPTSPGHSPGVGHSIHN >RHN58419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1589504:1590778:1 gene:gene20329 transcript:rna20329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative apoptosis inhibitory 5 MAVLHVFLLKVIEGLPILFEDSHHNIENVVDIFVQILGTAESLEHLDAVVWTLMSLLGQDSRNDVIREKVMNFLRDKVFPFKAELLKPQGEMERCITDLIKKSLEYVNDIEFQIFMDS >RHN48583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48583939:48585085:-1 gene:gene43316 transcript:rna43316 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAIALMITAMVVCCTTNSILVSAWEEPAQVIHVVGKVLCQDCSKGWNEWVSGDKPIKGAKVSLTCMDKRNRVMYYTSDTTDELGLYDITVDKYKYGKKLDTKGCYVRLVSSPDNVCNILTDFGGGKAGYKLNYPTSVYRGLIKYMVTPFYYTTPMCEMPDTDTYESEAKVPKEEREGGYY >RHN66350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11195727:11196309:1 gene:gene14315 transcript:rna14315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDEVFKFVYVMIIFPFLILDVATNAEKIRRCFNDAHCPPDMCTLGVIPKCSRFTICIC >RHN46769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34717877:34726913:1 gene:gene41293 transcript:rna41293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDELFPIVAFSLLLGAIIALLFFNTYYRKRQSEVRSIANLNPNPNPNPIVPSSKPLPNKKSNPKHHSSDKDQIKRHHPLDLNTLKGHGDAVTGICFSPDGRNLATACADGVVRVFKLEDASSKSFKFHRINLPPGGPPTAVAFADDASSIVVSSHSLTGCSLYMYGEEKPKVSEDKSQAKLPLPEIKWEHRQVHDKKAIITMFGASATYGSADGSALIASCSEGTDIILWHGKTGKSLGHVDTNQLKNNMATISPNGRFIAAAAFTADVKVWEIVYSKDGSVKEVSNVMQLKGHKSAVTWLCFSPNSEQIITASKDGSLRIWNINVRYHMDEDPKTLKVFPIPLRDSAGTTLHYDRLSISPDGKILAATHGSTLQWLSVETGKVLDTAEKAHDGDITGIAWGPKAIPMGNEKVLVLATASGDKKVKLWAAPS >RHN63679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53148239:53150767:-1 gene:gene26411 transcript:rna26411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactoside 2-alpha-L-fucosyltransferase MIKMMKRAIAIRNSTANDTGGNNKISLSPSDLETVTDKYATSSPPLTLMRVMGFFVISFMLFSVLFSLSVVLRDPPSDAAFREQPSSLFQLQQTQQGLDSDASHSGELLNDKLLGGLLADGFDEKSCLSRYQSAIFHKGLSGKPSSYLISRLKKYEARHKLCGPYTESYNKTVKQLGSGQFTESLDCKYVVWISFSGLGNRILTLASAFLYALLTDRVLLVDPGVDMTDLFCEPFPDASWFVPHDFPLNSQLNSFNQDSNQCHGKKLKTKSITNSTVPSFVYLHLAHDYDDHDKLFFCDEEQLFLQKVPWLIMKTDNYFVPSLFLMPSFEQELNDLFPKKENVFHFLGRYLFHPTNNVWGLVVRYYNAYLAKADERVGIQIRVFDTEPGPFQHVLDQILACTLKENILPDVNRGQNINSSLGTLKSKAVLMTSLSSGYFERVRDMYWEYPTMTGEVVGIYQPSHEGYQQTQKQFHNQKAWAEMYLLSLTNVLVTSSWSTFGYVAQGLGGLKPWILYKPENRTAPNPPCRRAMSMEPCFHAPPFYDCKAKRGTDTGELVPHVRHCEDMSWGLKLVDN >RHN77695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8782588:8783001:-1 gene:gene1177 transcript:rna1177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MLTSGSCLYSTRIDTSCEWDPRIKGLFFYESTSIFPASKFGDFIIDVKKLRDINPEIFCGIDIYNGILIHYIKALEAYLGQSEDSVVIDFNYYRANDQFTPRLNQDVWEELEQIVFFKYGAKPHWAKNRNLAFSNEL >RHN46798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34972819:34974449:1 gene:gene41328 transcript:rna41328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MKCSYLYVRMKRVSECGLLLLLSSFLPSHLIVKFMHKNPENYGIKKGISADRLEKHVQGIQLRRNEKKILNDINADKDGRLRFHILGDKGKKKKRIQTREEKIFILANDCLTGDPSVHELSLIQDINSICSNGCRIAKCMKEYFVYKKNFKGAVNSALLAKSFNQKLWDDSPYLLKQLPGIGMITAKALHSMGVKSFEALAEADPRKIELVTGRKYPFGNHIKDSLLSLPPKVDVKLTEMESHTHGKSKLVVTLTRISQSGQSAKRHYADMIIGLEEDNTIFFHEKIR >RHN65539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3039418:3040918:1 gene:gene13386 transcript:rna13386 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTHSSTRKTEKEMKVVQLKPWKCHRGSMMGDECIDGDVQDIIQHNNRNQRSERKKKERVVFKN >RHN61959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39627061:39627852:-1 gene:gene24476 transcript:rna24476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sodium/sulfate symporter MFTAFLSVATALGTPPFFGAMLLSFLSNLMGGLTHYGIGSAPVFFGANYVPLAKWWGYGFVISIVNIVIWLGLGSIWWKAIGLW >RHN79253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26703484:26704575:-1 gene:gene3017 transcript:rna3017 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKIQNANLLPKDGSSDQPSLEHRIFLHLFIRREYANVPKYMFQHMTQQLRESQIKNRCWVPYGRLLSENFYQGGIIKALINVNFFNDTQLGTETGKIINGGTLKHMKVIGKDDYKRLSTYMKESDSVSALMKDFPPICKKDALEVQMHFIRDHYETYGTRISLKDVPDEMYGGVLPVVKSRKTKRKPLSKEVYLEEGSEQPSKKTKERKRNASEQLATSELPTIQEEAQDLNAEEILTKRTRRSKNVATSQDGSDQPAIPNKKKKHAIRKLRMATSAPEEQEKEVAASELVTREMRNKQAKDVAALQKALEIVKQIDIPASSIVNENVGEAAEPMMKASEEVQELIASELCCCFLRSNLIR >RHN77179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4717767:4724003:1 gene:gene605 transcript:rna605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-KNOX family MEEYTNNPNPNPNSRPNFLYSIASGNNQHQHQHNHQHNQIPMNNFHGSDNCFQSDQVQHQQHSAVKTEANSTSQLHTPIFHYPALMRTNIIPHTNIMHNHHHQGGGGSPSSSNVEAEAIKAKIIAHPQYSSLLQAYMDCQKIGAPPEVVARLVASRQEFEARQRSSVNSRETSKDPELDQFMEAYYDMLVKYREELTRPIQEAMDFMRRIETQLNTLCNGPLRIFPDDKNEGVGSSEEDQENSGGETDQLPEIDPRAEDRELKNHLLKKYSGYLSSLKQELSKKKKKGKLPKEARQKLLNWWELHYKWPYPSESEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLHPQSAALYMDGHYMADGPYRLGP >RHN50116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4183225:4189104:-1 gene:gene34371 transcript:rna34371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chorismate mutase MEAAKLLQASTICTPPSPSSSFHQKNGGSSFSFKPISYFPQKFNLSVKAQAASIGSVPTKKRIDGSENLTLDHIRSSLIRQEDSIIYCLLERAQYCYNEDTYDPDTFSIDGFHGSLVEYMVRETEKLHAKVGRYKSPDEHPFFPTGLPEQLLPPLQYPQVLHPIAESININDNVWSVYFRVLIPQLVKEGDDGNYGSSAVCDVMCLQALSKRIHYGKFVAEAKFQAAPDSYKAAIIAQDKDRLMELLTYPEVEESIKRRVAVKAKTYGQEVAVNLKDQKTEPVYKINPSLVADLYSDWIMPLTKEVQVAYLLRKLD >RHN69919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47348853:47348996:-1 gene:gene18452 transcript:rna18452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-pyruvate monooxygenase MQESTVVIVGSGPSGLAISACLTQNSISHIILEKEDCCDSLWRKKCL >RHN70955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55373235:55376489:-1 gene:gene19591 transcript:rna19591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MKMGTGWRRAFCTRDPESTISDNKNNNNGSPNPSPRSCARLSFLSGGSSNPSTPRLHQSKSQPVSSPSLRCRTITEAASQITNDSPRFQSSTPRSTKSPRVNSISNPTSPRSPLKLSLFKNSFKFRSSCGICLNSVKTGQGKAIYTAECAHAFHFPCIAAHVRNHATLVCPVCNATWKDVPLLAAHKNLASSQQIPNAIPNHKIPTVNPSPVIRTKNVDHSQQQQQTKPSESTRSYDDDEPLLLSPTSGGGRINTIPEADENAEEEDDDNCEFQGFFVNTKPTSTANKTYSDYIQTNDGVGDSRTVQVKLMPECAIVSVSRTHETYALVLKVKAPPPLRGGTNTLDPSRRAPIDLVTVLDVGGSMSSAKLHMLKRAMRLVISSLGPSDRLSIVAFSSISKRLLPLRRMTAQGQRLARRIVDRLVTGEGNSVSEALRKATTVLEDRRERNPVASVMLLSDGQDEKVNNSKNQNQRKMYNHASSTRFAHIEIPVHAFGFGSKSGYSHEPGEDAFAKCVGGLLSVVVQDLRVQLGFQSDSARAEINAIYSCSGRPTLLSLGAVRLGDLYAEEERELLVEMRVPASALGYGTHHVMTVRCLYKDPASQEIVYGREQGLTVQLPQNQSQNIRSSGTRIERLRNLFITTRAIAESRRLLDHNSDFTSAHHLLASARSLLIQSGSASAEQYVRGLEAELAELHWRRQQEQVQVEVQQQQMMIQRRRGCENMVDENGEPLTPTSAWRAAEKLAKMAMVKKSLNKRKKTIGDGDGDKVVADGAALCALEDDFVMECMAHL >RHN73248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14770101:14772266:-1 gene:gene9044 transcript:rna9044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DREPP family protein MGYWTTKVLPKIKKVFEKNSTKKTAAAEVSKTFDESKEGINKEFEEKKTELQTKVLAVYEASSTEIKSLVKERDQAGLKKNSTEVHKFIEELVKIDFPGSKAVSEASSKFGPALVSGPVFFVFEKVSTFIVTEEKVEEAPATTETKTEDETSGVKEREIVVEEEKKEETSEKIESVAEKVEEKDAAEPTPPPAEKQEEKPVEPAAVEQVEPPKA >RHN65907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6372597:6373313:-1 gene:gene13801 transcript:rna13801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTEILKFVCVMIIFLSSFIVSQNIDSGGNRRCFRDSDCPKNMCPSYLVVKCLRSNCKCVRPGLQVRLNPN >RHN52680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37681284:37684398:-1 gene:gene37378 transcript:rna37378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EEIG1/EHBP1 domain-containing protein MAADNSTKRNSNVQLLEELEALSDSLYQSHTTARRAASLALPRTSVPFVPSAKDDNDTAKLDNNKNSNKPRSRRMSLSPWKSKTNQEDANGKSPSTQSENNKFEYETTNSGDNKKGIWNWKPIRAISHIGKQKISCLFSVEILTAQSLPSSMNGLRLSVCVRKKENKDGAVQTMPSRVSQGAADFEETLFLRCHVYCNQQGNGKNLKFEPRPFWIYLFAVDAKELDFGRNSVDLSQLVQESIEKNRQGNRVRQWETSFSLQGKAKGGELVVKLGFQVMGKDGGVEIYNNEENLKPSSRFKNLTSTFARRRSKTSFSMPSPRITNRNDAWTPSQRRLAEDIQEIDDLNLDDDPNPNPVHHSYPSTKKRVDDKEKVEDLDLPEFEVVDRGIEVEEKKEDEGEGSEKSIEVKSASSEIVKEIVHDQLHLTRLNELDSLSKQIKALESMMGEQSKDFDTESQRLDSDEENVTREFLHMLEDQKSRLYKLNQSEIPPLHLEEHDDNSSSYGESNSQVYLPDLGKGLGCVVQTRDGGYLASMNPLDNYVARNDTPKLAMQMSKPFVLTSQDTLNGLELFQKLAAIDLDELTSQIFSLMPIDELIGKTAEQIAFEGIASAIIQGRNKEGASSSAARIVSALKDMANAMSLGRQERISTGIWNVDDIPLTAEKILAFTMQKIEFMAIEALKIQAGIAEEEAPFEVSSVKEGNKEKDLLSSAISLEDWIRDQSSKNTNASSDIDELSNITLMFVVQLRDPIRRYEAVGGPMMVLIHTTNVDTKGDDHDEDDEEKRFKVSSMHVGGFKVRSGGGRKNAWESEKQRLTSMQWLIEYGLGKAGKKGKHALVKGQDLLWSISSRIMAEMWLKTIRNPDVRLV >RHN77997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11130484:11133250:-1 gene:gene1511 transcript:rna1511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MSSLTFVVLLLILIFSYNKVYGDEHQDSCSRWCGVHNIRSPFRLKDSPKECGDERYNLSCEDNNQLILYHDSFGKYYVQSINYNNFTIRLLDFNLASSNNFIPPYYSLGLYNFSSNSIVSPYLAFRYYKNNTLNVLTKSMLYMSCPNRMEYSYIGNCMNRTSNSQDVNSFYVGSYGKSLSEFGLGDGCRIQFMYLTSLDVEDDGADHNNNNISCADIRREMFYGFELSWLNSFCKLDVSYAYAELDQNNQAHCNYAYWNNVAWIVACLSSRTVALVVFLSGVKFVLGAPLIIALVIYKWRRKHLSMYDGIEDFLRSDNSIMPIRYSYKDIKRITEQFKTKLGNGGYGSVFKGQLRSGRLVAVKLLDRAKSNDRDFVNEVATIGRIHHVNVVQLIGFCVEGSKRVLIYEFMPNGSLEKYIFSHNEENYSLSCEQLYSISLGVARGIEYLHNGCDMKILHFDIKPHNILLDENFNPKVSDFGLARLCPTDKSIVTLTAARGTIGYMAPELFYRNVGTISYKADVYSFGMLLMEMANRRKNLNALADQSSQIYFPFWVYDQLQDGREMTILNDTNHEMKLAKKMMIVALWCIQAKPSDRPPMDKVLEMLEEEDEDLQMPNKPDYYVQDEDTRDDRTGSSWYSSGTSVTDTK >RHN59673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12616594:12617445:-1 gene:gene21751 transcript:rna21751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MKAFHLLVFDGSFIFPELILIFGLILLLMIDSTSDQKDLSWFYFISSTSLVMSITALLFRWREEPMISFSGNFQTNNFNEIFQFLILLSSTLCIPLSVEYIECTEMAITEFLLFILTATLGGMFLCSANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATMKYLLMGGASSSILVHGFSWLYGLSGGEIELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSLAPSHQWTPDVYEGVRFVREIPTSLSISEMFGFFKTLWTCRRDMLFPLGPRHNFTC >RHN53012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41168956:41179214:1 gene:gene37756 transcript:rna37756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Golgin subfamily A member 5 protein MASWLKVAEDLFEVVDRRAKLVANETGEEQSDSKSPASNGQGSQGKRKKSKPKAQKGQSDSSTIISDTTKEKNESPAATSDVSVTTPSDKVDPVAKNDGSDSISTNQSKEQQPTDAVSPVLGTSLSTKLASDTVKHDTGDVEVLGNDTDVDVTTTSPNNEPVKEKASDINEVDPSPSPKGIKDPNHEPTSTDQITKAVDLESNQNMDQEETETVADDVAPSTSDTILKDSDIKAEPIVNRKSQEDHKTDISPKKLQEQLEEAQGLLKTTRSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLTAERELSKSYEASIKQLQRDLSESKKEVTRVESNMADALAAKNAEIEAVLSSVEAIKRQAALSEGSLASMQANMESMMRNRELTETRMMQALREELASVERRAEEERAAHNATKLASMEREVELEHRAVESSTALARIQRIADERTAKATELEQKVALLEVECSSLNQELQDMEARLRREQKKSPEEANQVIQIQAWQEEVERARQGQREAENKLSSLEAELQKLRVEMAAMKRDAEHYSRQEHTELEKRYRELTDLLYYKQTQLETMVSEKAATEFQLEKEIKRLKEAQAETERSRVSRRASSSWEDETDIKALEPLPLHHRHLAGASIQLQKAVKLLDSGAVRATRFLWRYPTARVFLFFYLVFVHLFLMYLLHCLQVQADSMADREVAESMGLSMGLSNHSLP >RHN70106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48812494:48818256:-1 gene:gene18655 transcript:rna18655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Homeobox domain-containing protein MATEALDDNKPKQVENEAKDEPKPKEEVEVTEQDAEVAESDEKKEIDGHEDSDKDDEDEGGDEDDADDDEEEDAGEEKKGVKKESSEKKSPVTPTSDRPTRERKTVERYSEPSPSKFGRSSSSKGLIIEKGRGTQLKDIPNVAFKLSKRKPDDNLHMLHSLLFGKKTKVNNLKRNIGQFSGYVWAENEEKQRTRVKERIDKCVKEKLIVFCDVLNIPINKSSVKKEELSAKLLEFLESPHATTDVLLAEKEQKGKKRVRKATSSKTPGETPAKKKKQTSESGKKRKPSDVEEDDQAELSDAKDESQEDEDVAVANNGSDNEVGKSEGEEDTPKAHKSTSKKSVKEGSVAKAEAKSTSVKKTSAKAAKSSEKTPKKSIPKKSITEQDSASLSKSDQPATKIQKTGKEKQDTKGKAASKTAKAPVKDQGKGKSSKKTKVEPSRQDMHAVVVEILKEVDFNKATLCDILRQLGKHFGLDLMHRKAEVKDIITDVINNMSDEEDEGEEEADSDGDGDVDAGKDGDASDEVDAGKDGGASDEDEE >RHN60690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29478959:29481123:-1 gene:gene23041 transcript:rna23041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MADIEGSPGSSMHGVTGREQTFMSSVASPMVPTDTTAKFALPVDSEHKSKVFKLFSMANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKSDVGNAGVASVSGSIFSRLAMGAICDLLGPRYGCAFLIMLTAPTVFCMSFVENAAGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLANGTAAGWGNMGGGATQLIMPLVYELIRRAGATPFTAWRIAFFIPGWLHVIMGIMVLTLGQDLPDGNLGALQKKGNVAKDKFTKVLWYAITNYRTWIFALLYGYSMGVELTTDNVIAEYFYDRFNLKLHTAGIIAASFGMANIVARPFGGYASDVAARLFGMRGRLWTLWILQTLGGVFCIWLGRANTLPIAVLSMILFSVGAQAACGATFGIIPFISRRSLGIISGLTGAGGNFGSGLTQLIFFSSSKFSTASGLSWMGAMIVGCTLPVAFVHFPQWGSMFLPASKEINEEHYYTSEWNEDEVQKGLHQPSLRFAENSRSERGKRVASAATPPNTTPTH >RHN57314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38076019:38076633:1 gene:gene32839 transcript:rna32839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MTAIVDSDNILLNISSDEVNDFISEYTLEGKWDSVIRLYNKFPEQAHTAIISDSAGTPLHVAIDLDEEDVVNELVNAILTHNNFEALEMVNERGDTPLHFAASRGFARICNCIIGSENERIYLLSCKNKNGETPFFQAAVNWRKQAFAYLAHISKGMVNLQELVRNDGDSILHTAIRGEYFGKLCYNITCV >RHN39979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12038957:12041886:-1 gene:gene46066 transcript:rna46066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MGSIAERIAVVTGANKGIGFEIVKQLASAGIKVVLTARDEKRGLHALETLKASGLSDFVVFYQVDVANAASVATLADFVKSQFGKLDILVNNAGISGTVINDKDLATLLISNPGALTEDEKKKAVTQTYELAEECLQINYYGAKITTESLLPLLKLSDSPRIVNVSSTLGKLEGIQNEWTKKVFSDADNLTEEKVDEVLKKFLEDFKEGSLESKGWPKTGGAYVLSKAAMNAYTRILAKNFPTLCINSICPGYVITDITGNTGLLTAEEGAASVVKLALLPNGSPSGRFYNRTEVSAF >RHN55556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19489378:19489924:-1 gene:gene30757 transcript:rna30757 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLFSQPFGSSSSSSPSLPLRKCNTLKLKPFTVFSSSQQPFPPFLPKEIHSIKDPFARKFAMRIQRLPVPSAKSDSRGT >RHN57699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41136632:41137500:1 gene:gene33280 transcript:rna33280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA recombination and repair protein Rad51 MGCTGQFSVCECTRFIYARAYTYEHQHNLLLGLAAKMAEEPFRLLIVDSQKLAQMLSRLIKIAEEFNVAVYMTNQGKLTPNSNLIIDFKPAGGHVLAHAATIRLMFRKGKGEQRVYKLFDAPNLPEAEAISFIRLSPLKIIYSLNDLENSKVS >RHN41530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30795182:30795979:1 gene:gene47863 transcript:rna47863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain, legume lectin MPLYNTKPVFLILLPLLMLHRNWDLSFELSNFYGPYINDIINYEGDAVESNGTIQLTKVNNGINMPYSVGRASYAIPIRLFDPAIGLASFTTTFSFLVTSNGQSKGDGIAFFMAGPNHSKIPESSSGGYLGLFSPETAFKPIINQIVAVEFDTFANEWDPPYAHVGINANSIRSETTERWGIDSVESNLSTVVATVSYDNRNDTLSVIVNTVNGTTISLSWVADLRGYLPDWIIVGFSGATGGLVETHKILSWTFSSYKYSYRFN >RHN60899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31473160:31473678:-1 gene:gene23293 transcript:rna23293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MFIEMFSDAAEFVFCIIIIVVWVFFFISISHGAFMRLFFLIYHAIATRNHVQRQIPDVSNFQRRLPELANFYPSNSSRHVLNSGLEYSMVQALPMFQFKKNEVEQKLSDVDCAICLGEFEEGEWVKHLPICTHSFHVSCIDKWFQSHSNCPLCRCHVLQDHLSTTEDIFYSL >RHN54539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10325150:10334692:-1 gene:gene29603 transcript:rna29603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligomeric Golgi complex subunit 6 protein MGTTVAGLAPGLSRKLKKVLESRIDTPDLLSSLNTLSSFYDDNTPQARRNLRSTIEKRSLSINHEFLDASHAAQLALDSVENEVNSLAECCDRIAKALDSCSASTSDIISTTERLKQELETTTQRQEIVTCFLRDYQLSPEEINALRDEDLNENFFKALSHVQEIHANCKVLLRTHHQRAGLELMDMMAVYQEGAYERLCRWVQAECRKLGDTDNPEVGELLKTAVRYLRERSVLFKYCAEEVANMRHNALFRRFISALTRGGPGGMPRPIEVHAHDPLRYVGDMLGWLHQALASERELVLVLLDPDAIVDTRPTAKQLSNNFENGSSKTEIDLMFVLDRIFEGVCRPFKVRVEQVLQSQPSLIVSYKLSNTLQFYCYTISDLLGQETALCNTLWSLKDAAQKTFFDILKGRGEKLLRYPPLVAVDLSPPPAVREGVSVLLEIIENYNSMMIPASGQKPVFDPVISAILDPIIQMCEQAAEAHKSKGVGNSSRRSRMSSDSGQLTKSSVDAILSNSRTASSSLTSETPSKIFLINCLSAIQQPLSGYEVADEYVKRLGTMIDNQLRVLVEKEADAILRRCNLSEKMPHFHNSIHKDGDNEVGTPLAELEDTSPAVLSESLKALFGLILGSESSLPEFEQIQVPRLRSEASIGVARSLGEAYELIYKAIMEPKNGYPDPRALARHPPNQIRTILGI >RHN59101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7477819:7483768:-1 gene:gene21090 transcript:rna21090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-methyl-2-oxobutanoate dehydrogenase (2-methylpropanoyl-transferring) MSPCVKRLGKWVSLVSRRGLSTSIVQKDAAAENGVKSLNLYSAVNQALHIALDTDPRAYVFGEDVGFGGVFRCTTGLADRFGKKRVFNTPLCEQGIVGFGIGLAAMGNRAIAEIQFADYIFPAFDQACSLYKAFIVNEAAKFRYRSGNQFNCGGLTIRTPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPKEAKGLLLSCIRDPNPIVFFEPKWLYRLAVEEVPEGDYMLPLSEAEVIREGSDITLVGWGAQLSIMEQACVDAEKGISCELIDLKTLIPWDKETVEASVKKTGRLLISHEAPVTGGFGAEISASILERCFSRLEAPVARVCGLDTPFPLVFEPFYMPTKNKILDAIKSTVSY >RHN67273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25416294:25419648:1 gene:gene15450 transcript:rna15450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MCDTVISFAFDQLLPLARDHLLPLLKEVTNMIRGVPKEVADMKNELESIEDFINNTDRMTEAEEDNTRDGIKAKIRQLREASFQIQDVIDEYMICEGQQPHDPGCAALLPVTKDFFKTRILRLQIAYKIQDIKSLVSAMDDTGGKNHGFFQIKSSLTRGSSSSAATENTILNNLREAPFYIGEAQVVGFEAPRDELVNLLIDGRKELTVVSVVGMGGQGKTTLAKQVFDSKEVIGYFDCRVWITVSRHTVEGLLRDMLQNIYKQTEEDLPCRISEMDRRSLIDNVRNFLQNKRYIIFFDEVWNEQFWNDIGFSLIDSKKGSRVLITTRKIDVAMSCKRSSFFLEVHELKPLSHEKSLELFYKKAFFDLNDLNGPCPKNLMNVSSKIVEKCEGLPLAIVAIGGLLSTKERYSHQWERFSENLSSELDNNPSIHVITKILGFSFHDLPYNLKQCFLYFGIFPGNYEVNTMKLIKQWVAEGFVKEETGKTVEEIAEQYLTELIHRRLVLVSSFSSNSKARSCHVRGLIREMILDKIQDLSFCNFTQDNEDQSVLSLMTRRLTISTSSNTLLSRNVECSNIRSLHVFKNEELPDSFVASIPSKFKLLKVFDFEDVALHHYVPKNLGDLFHLRYLSFRNTKVRYLPGSIGKLHNLETLDLRQTMVRKLPKEINKLQKLRHLLAYDKSKGVGYGIQLNDGIGIGDIVSLQTLREVEADDGGVELITDLERLKQLKMLGLTNVKQEYTEAVCSSINEMQHLEKLYIAAINKDEVIDFSNFDVSLHKLQKLRLVGKLERFPYWIRELQNLVKLSLSYSMLTHDPLKSLTDLPNLLCLSILFRAYEGEHLHFQDEGFKSLKQLVFRRLYNLKSIKIGKGALSSLEKFKLVNIPQLMEVPSGVYNLPRLVCHIINMTDEFEQSIDRVRGQHQWIIEKVPCVGIVDRSWAPENV >RHN43860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49051820:49052536:-1 gene:gene50494 transcript:rna50494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MLSRIIFFTAVSLATIAVIVLALVSPVSHKKIHSRPWLDLSLYIQQSQNITTSNTHAIPREDAGAFVFHRVLTEGPENTSKVVGKAQGFIIPVEQFQQSEFNVLYLTFDTPDHSGSLSVQAIKVAHKEREEFRVVGGTGSFAFAHGVAVFTQTDEQTSDEAITYHVKLQLEFPNHSTKLL >RHN50353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6428452:6429323:-1 gene:gene34628 transcript:rna34628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKAFSRKVTTLTSLNCSHIHSLNSSHLLLIADCFPLLKQLNLGHTFIHNHTNAFQSLLSKCRYIQHLDLCGLHFLNDQHVAGISPVFTRWKLSFEIKMERTSIGKAGVENYDLLDIDVYPQLKSLYLGHNSWLRNERIIMFASIFPNLQHLDLRWCHRINEGICQVLRRCCKLKHLNLAYCIKVKLHGMNFEVPKLKVLNLSNTSVDDETLYVILKNCCGLLQLFLYNCDNVTQKGVKLVVEKCTQLRKIYKFEHCKLFSFLRQMNFLH >RHN67254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25227567:25227995:1 gene:gene15426 transcript:rna15426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLDLQNLTVLRLVWPYSVKDPLQSLKSLQHLLRLLLVLSKYEGLQLHFQDGGFQKLKELEVSDCIELREIIIDKGSIPSLKALSLIDLHNLKNIPTGIQHLEKLEELWIAGVDDEFGKRSSTEDWNWIMEHVSLVKKFIPGI >RHN63833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54332502:54333947:-1 gene:gene26587 transcript:rna26587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDEEARMDLPHELMIQILLRLPVKSLIRFKCVSKSWFSLISDTNFANSHFQITHTPRVLFISTSTCETRSIDFESSLNDDVSVSASLNLDFLLPESYLNLEIKGSCRGFIFLHCYPNKYLWNPSTGFHKQIPFSPFGSSLYAEYFYGFGYDPSTDDYLLVSMLIDPVTNISSQLEFFSLRGNTWKEIEIEGSHFRYSNPIEDEQPKPGLLFNEAIHWLAYRHDSRLLRDVIVVFDLMERKLFDMDLPYEFDHQLDYCGLWVFGEFLSLWAKDCYIDTIEIWVMKEYKMPSSWTKTHVLSFDSMPTDYFSPLCCTKSGDIIETDGDTGLVKSDDKGQLLEHLSFHNDSHGSHVAIYTESLISLPCDGEQA >RHN78682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17456798:17457703:1 gene:gene2319 transcript:rna2319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial import receptor subunit Tom22 MAKKQSSAIARVCNHPVVRNTKEAASDATVIAKKLLRSTGKAAWIAGTSFLVLVVPLIVAMDREQQINELESQQANILGTPSPLAG >RHN67224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25033009:25035990:-1 gene:gene15386 transcript:rna15386 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKVYVFVGLFVVLSYQVLAQSPLPPPPILGVVNEDDPRPNGPKEIPFEALETVEAVNEMIHHDKNSGKMHKVMIPACDWMTFRGNLIKKKCAETIPNSRKFLKHLCDEGNKFSEIDEEDNVSLSDREYKASKSGEEDGVSKSNEEDKVSKNDEGDQVSKIDGEDAVSKNDKEDNVFMSNMEDNVFKSDEEDKISLTK >RHN45294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14694341:14696616:-1 gene:gene39545 transcript:rna39545 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLMLILIFKDIFVEAKCQRFIGSCLTLKNISAAMTVMI >RHN49211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53320611:53323046:1 gene:gene44018 transcript:rna44018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MNSTTSIVSLPEDCVSAILSHTSPQDACRFLLVSNTFVKVADSDLVWKTFLPSDYEDIVSRAVNQFSFKFISSYKQLFRSFCRPLLLDGGNKSFKLEKFSGKKCYMLSARELSIAWSIDPMFWSWKSSPQSRFPEVAELRTVNWLEIEGRIRTKILTPNTSYAAYLVMKSSHRAYGLDSTPTEVSIVIGNKVNRGKAYLCIKDENKRNMETLFYGNRRNRVIQEQEDGGNIQVPSKREDGWMEIEIGEFFSGEGDEEIKMSLMEIGHQLKGGLVLEGIEVRPKHT >RHN60165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22241255:22246549:1 gene:gene22406 transcript:rna22406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronosyltransferase MRKYVGSHSGRMFSDKKWIIPFFASLLVSVSLLLTAILGEFSSAGGGEELPLVDVISFKGDDSRGLFVESDLERSINASVVVKMKAPKFAYLISGTKGDSHRMMRTLEAVYHPRNQYVLHLDLEAPPRERLELANAVKADPVFREVENVRVMSQSNLVTYKGPTMIACTLQAIAILLKESSEWDWFINLSASDYPLVTQDDMLHVFSNLSRDLNFIEHTRLSGWKLNQRARPIIIDPAFYLSKKSDLAVTSQKRTLPTAFKLFTGSAWVVLTRSFVEYCIWGWDNFPRTMLMYYTNFVSSPEGYFHTVICNTQKFRHTAISHDLHYIAWDSPPKQHPMSLTMKNFDKMAKSNAPFARKFARDDPVLDKIDKELLGRTHRFSPGAWCIGSSDGGADPCSLRGNDTVFRPGPGADKLHELLQVLLSDEFRSKQCL >RHN68924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39647916:39654531:-1 gene:gene17345 transcript:rna17345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GPN-loop GTPase, P-loop containing nucleoside triphosphate hydrolase MGYAQLVIGPAGSGKSTYCSSLYQHCETVRRSIHVMNLDPAAENFDYPVAMDVRELISLDDVMEELGLGPNGGLVYCMEHLEDSLNGWLDEELDNYLDDEYLVFDCPGQIELYSHVPVFRNFVEHLKRRNFNVCVVYLLDSQFMVDVTKFISGCMASLSAMVQLELPHVNILSKMDLVTNKKDLEEFLDPEPTFLLSELNKRMAPQYAKLNKSLIELVSSYSMVSFIPLDLRKDKSIQYVLAQIDNCIQYGEDADVKVRDFDEDEDE >RHN39350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6285698:6289929:-1 gene:gene45363 transcript:rna45363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MAGLAPEGSQFDANHYDSKMNELLATDGQEFFTSYDEVYDSFDAMGLQENLLRGIYAYGFERPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDFLGVKVHACVGGTSVREDQRILQAGVHTVVGTPGRVFDMLRRQSLRSECIKMFVLDEADEMLSRGFKDQIYDIFQQLPGKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVSINFVTGEDARMLADIQKFYNVTIEELPSNVADLL >RHN40300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15082914:15083776:1 gene:gene46442 transcript:rna46442 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRKLDRGLLIHLLYMYVNKNIPSFSNFSMFLLRDKVHVSDLRV >RHN48566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48507209:48508669:1 gene:gene43297 transcript:rna43297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 7-O-beta-glucosyltransferase MASQTNQQHFLLIPLMSQSHLIPFTEMAKLFASNGVTVTIVLTPLNAARFNMVIDQAKSSNLKIQFQLLPFPCVEAGLPKGCENMDTLPSPKYQPLFFAACNMLKEPLENWLSGLEKLPSCIVSDICLPWTSNVASKFNIPRVVFHAISCFTLLCSHNISLSKVHEKVDSMSTPFVVPDLPDTIEFTKAQLPEVMKQDSKAWKGAIDQFKESELSAQGILVNTFEELEKVYVRGYEKVAKKVWCIGPLSLHDRLTFNKFGKDDKGFIDDSETKCLKFLISNKACSVIYACFGSLSFIPTSQLKELALGLEASNHPFIWVIGKNDCSIELEKWLKEENFEERTKGKGVIVKGWAPQVEILSHPSTGGFLSHCGWNSTMEAISSGVPMITWPMFAEQFFNEKLIVQVLKIGVRIGVEAFVDPMEIYKGEKVLVKKEDVKRAIENLMENGVEGEQRRNKAKEIKDMAYKAVEDGGSSDSNCKLFIQEKL >RHN76945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2581499:2582212:1 gene:gene339 transcript:rna339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MSSGRKSRGRQKIEMKKMSNESNLQVTFSKRRSGLFKKASELCTLCGADVALIIFSPGEKVFSFGQPNVDTVIDHYLSRVPLKNNGTMQFIEAHRSANVRELNIQLTQINQLLDNEKKRDEELSHLRKANETQFWWAGSVDGMNRAQLEVFKKALEEIKKLVAHHANRLVIQAAPTQTFPFFVGNGSSSNMPLDHQPNPRQTQMFPAQLFQNPMLQPHLFGFNNMGGGGGYGPSRFF >RHN72886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11549565:11553429:1 gene:gene8651 transcript:rna8651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MLSLYAIAFNNCCFKQMINTRFVSQNWNLSWAILALVLLSSTPFSSATFRKTKKYIQTQVFFSPKIELSPGSVSNKFYYDADFPRGHISLKSFFAEVVNESGNSVPLHQTYLHHWTVTRYHQPKNVTNNSKEGIILKRNHGFCEENVIEQNYGLGSETRGTNTYIPDPYGIEVGNPEEIPKGYVEKWLINVHAIDTRGVEDRMGCIECKCDLYNVTKDENGKILSPNYKGGLQCCPDNSKCKLSKGFLGPKQNLYLKYTIMWIKWKKYMVPVKIYIFDVTDSLKISDKSKEKRLNHDCKIEYEVETCNTSFENPSSCVDVKRTSFPMQNGGYLIYGVGHQHSGAIGSTLYGKFLILTATTVTIEKDLSAIKNQDGRVICTSIPKYGKGRKAGNEKGYIVGMSTCYPKPGSIKIFDGETLTLESNYSSNISHSGVMGLFYFLVAEKLPHYHV >RHN63516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51887371:51895006:-1 gene:gene26220 transcript:rna26220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxisomal membrane protein MATQSPSPSPSPPPPLPSPTATDENQGADIIQPSNMDQQNAGDESVKPISSTSVFVNSEPLREEQVQNAVKFLSHPKVKGSPVMYRRSFLEKKGLTKEEIDEAFRRVPDSAPTVQTSGVTQDGQLKSPSNVQQQAQQQTLQPVLPASTGVNTSSGALSRTRFHWSHALMLVGFLTASGAGTVLIIKKSLLPRLKSWIRKVVLDEDDEQSKKTDNKPTLVEEAAQAAKSAAAAAADVAKASQEMLASKGEERKYFVEVVGLLDKQVQEMKLMTNAIRRLEGQEDLRVSQTSLKQLVANGKADYDLRSVRSLSPPAPVEPSGPPHPKSYMEIMAMVQRGEKPSNIREINDLPPNPSQQPSDPRILPRSKPWEIGQVQNTSSQVFQPQANGEESYIKVQDTTQSNGDDPTPWWQKKNVRIREIDEIEYNGAPNGSASSQQPLQRVWVPPQPPPIAMAGAAEAIRRPKQAVQKEQASDNQSVVQSSDISNGEHEIPKPSESEGAVEGSNVGSGEIQVLQEDHETTYE >RHN66638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15472277:15473739:1 gene:gene14675 transcript:rna14675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MVMWSYHTTPHSSTQETPFRMVYGSDAMIPIEVMEPNARVLFAQEEVNNSNLLNNLDFQEEV >RHN58318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:757176:759610:-1 gene:gene20219 transcript:rna20219 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVCDSGYMGSYFHFSTIYMGIFYSRPLSLGIKSWVSGSYFFPRNSLFPGIIFLTL >RHN52392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34757880:34761882:1 gene:gene37063 transcript:rna37063 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:OEPB MAGNKIPEVLLNSGHKMPVIGMGTSVDNRPSNDVLASIFVDAIKVGYRHFDSASVYGTEEAIGMAVAKALEQGLIKSRDELFITSKPWNTDADYDLIVPALKTTLKKLGTEYVDLYLIHWPVRLRHDLENPVIFTKEDLLPFDIEGTWKAMEECYKLGLAKSIGICNYGTKKLTKLLETATITPAVNQVEMNPSWQQGKLREFCKEKGIHVSAWSALGAYKVTWGSGAVVENQILQDIAAAKGKTTAQVALRWVYQIGSSAMAKSFNKERMTQNLEIFDFELSEDDLEKIKQIPQRRQYLGDMWLSENGSCKTLEELWDGDV >RHN72378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7181069:7186896:1 gene:gene8082 transcript:rna8082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo-like helical protein MSFSFFKVSRPKTPQEVVKSIKESLMALDTKTVVEVKALEKALEEVEKNFVTMRTMISGDGESEPNLDQVSQLVEEICKEDVLTLLIHKLPILGWEARKDLVHCWTILLKQKVDSNDCCVEYIHQHIELLDFLVACYDNKEIALSSGIMLRECIKFPNLAKYILESASFVLFFKYVELPNFDVASDAFSTFKDLLSKHATVVAEFLTAHYDEFFDQYEKLLTSPNYVTRRQSIKLLSDFLLESPNAQIMKRYILEVRFLKVMMTLLTDSSKNIQLSAFHIFKIFVANPNKPRDVKIILGKNKGKLLELLHNLSPGKGSEDEQFEEEKEFIIKEIERISV >RHN68728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38066009:38068707:1 gene:gene17115 transcript:rna17115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anaphase-promoting complex subunit 15 MLQFPAFMTQYQLSTRIPTSFLLPSQWPQPQNEELLLAMEESDFEEKCNEIRKMNSNLIIIGKTTNENDKEDFDEADDDDPDNAEESEGEEFEQETG >RHN80921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41921801:41922061:-1 gene:gene4905 transcript:rna4905 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFLLYYFFLIPTSRASTLLLPSRLLFLLPLLSDPNHPRLKASVPPIPGRNPAVTTVCGRNPPSQLSFTCPMLETDPKEKPIQIP >RHN69039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40519301:40522467:-1 gene:gene17466 transcript:rna17466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLGFTSQIPIVWLLLLLLSTTIFERCNSSNTQLGISNCNEKDRSALLLFKLGVENHSSNKLSSWSINEKNCCSWKGVQCDNITGRVTTLDLHQQYLEGEINLQSLFQIEFLTYLDLSLNGFTTLSSFNQSNDHNNNNLSNIQYLDLSFNDDLHLDNLHWLSKFSSLKSLNLSQINLQNQTNWLQSIDMLHVSLLELRLSSCHLTDIFASVKHVSFTNSLATLDLSANHFDSELPAWLFEHGNDMNISHIDLSFNFLKGQIPKSLLSLRKLETLRLSNNELNESIPDWLGQHENLKYLGLAENMFRGSIPSSLGKLSSLVDLSVSSDFLTGNIPTSIGKLFNLKSLVIGGSSLSGVLSEIHFSNLSSLETLVLSAPISFDMDSKWIPPFQLNGISLSNTILGPKFPTWIYTQRSLEYLEIPNSRVSSIDGDIFWRFVTNITHLNLSNNSMSADLSNVTLNSELLFMDHNNFRGGLPHISANVIYLDLSHNSFFGTISPMFCHRLGRENSLDYLDISFNLLTGEIPDCWEYWKGLSFLFMESNMLTGEVPPSMDLFIDLIILDLHNNSLSGNFSLDLSNITNLQFINIGENNFSGTVPVKMPRSMEVMILRSNQFEGNIPPQLCNFSSLIQLDLSHNKLSGSIPKCISNITGMGGAKKTSHYPFEFKLYTKGRDLEYYDYGLLRTLDLSANNLSGEIPSQVFNLVQLKSLNLSRNHFTGKIPRDIGDMKNLESLDLSDNKLVGGIPVTTSTLSFLSFLNLSNNYLVGQIPVGTQLQSFDASYYVGNPGLCGAPLPICDHGSYLHGGHNDIDNDENSFTQSLYFGLGVGFAVGFWCICGPLFLNSAWRHTYFRFLNNVFVVVGFSN >RHN76619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51712806:51713813:1 gene:gene12970 transcript:rna12970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fasciclin-like arabinogalactan protein MCVSCSSLTMMFLILLIIMLFPLSITCFDITKILSQYPAYSSYSNYLTQTQLATEINSRQTITVLAVDNSGMAPISGKPMDVIKKVLSIHVILDYFDVQKFQHMGNQPITVSTLFQSSGQAKGSEGFMKVTDLSTGAVTFTSPSDPNGTGANLVKAVVAQPYNISVVQISTVILPPSLLASSNATSHAPSPAPVVSPIPAPVPVVSPVPVAAPVIVPVPMPVVSPVPVVSPVPIATPVPVPVKSPVPVAAPAPVAVPAAAPAPVAVPVEAPAPGPAISPVETPAPVLSPTNPDEAADGPNSGVIKSDVNNALALFLTFSSIYVLLLSRSMYNIYS >RHN46085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28364448:28366647:1 gene:gene40525 transcript:rna40525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MFNLLPSLDRVVLSHNNNLSGLLPLSLGNSNVTCLRLNNQGVDDGFSGSITIIASMRLLSQAWLNGNHLSDSIPASFASTKLSDLQLRSNYLTGLVPRSLFNLISLKEISLDYNLLEGPVPMFDKHIKATWESNNFCRSDVGPSDPQVTIFFVIFESFGISGNPLLLGGNDVCTNVTTLIKCQRGKVVSLDLALGIQYQLVLNGTISPAFSNLTSLVNLNLSGNNLTSPIPEILTTLPHLKLLDVSNNNLSGPIPKFPSKVKVITRGNVLLGQYATSPNGNQSKTTRLCIILIAGASLFSMYPTLIQRGMFKETVNPNKLKVEDFNERCNLSPIKQYSYADVQKMTNSFKEKLGEGGFAVVYKASLPDGRPVAVKIINDGKADGQDFMNELDSISRTAHVNIVSLLGFCCEHKIALIYEFMTKGSLDKFIMNTGLPDGICSLDRNTMCKIAIGIAKGLDYLHQGCASRIVHLDIKPHNILLDDDFCPKIADFGLAKICQKNVSAMSGVGGTRGYMAPEIFDKHKVSEKSDIYSYGMLIIDMIGRRYNNNAGGSDNSEYFPDWIYNDLQQGNNLVNSFEISEEENDIIRKITMVCLWCIQFKASDRPSSGKVVQMLQGSLESIPFPRKPSLYSQEVPSFPSPSSSSFIDSKSASLLKTGSIKSDRFVSCRYENGALSIE >RHN69308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42464090:42465162:-1 gene:gene17757 transcript:rna17757 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II PsbY MAATMAMMINTKCLNTGLPKLQNLSTTTKLTSTCKTTTMQNLPKGLVSTKNTNFVSPSLAIAGAIFSSLATSDAAFAAQQIAEIAEGDNRGTLLLFVVAPAIGWVLFNILQPALNQINRMRNAKGVIVGLGLGLGGLAASGMVSEASASEIGLIADAAAGSDNRGTLLLFVVAPAILWVLYNILQPALNQINRMRSD >RHN46624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33375772:33377191:1 gene:gene41138 transcript:rna41138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wound-induced protein, Wun1 MDSMVEMQNKSIVEILYKALLGQGTMEMVAKLLASDLEYWFHGPPQCQHMMKVLTGETDHKKGFKFEPRSVTSIGDCVITEGWEGQAYWVHVWTLKNGLITQFREYFNTWLVVRDLRPLRWEDHKQDNMTLWRSQPRDLYRRSLPGLVLAI >RHN40755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20383685:20385108:1 gene:gene46952 transcript:rna46952 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTSSTLQIRRHEYSGDLNIVILVIFVGIGTLPFYAINMDAVSLSTDSSFLFLANFDLYCIDVLYQSI >RHN77404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6634446:6637074:-1 gene:gene851 transcript:rna851 gene_biotype:protein_coding transcript_biotype:protein_coding MFYKICYGAAMIAYDYHMKYLMKQGNRFLYSHGWNWVRETLNTPGESYNMFRMETHVVLKLEKLLVSKGWLHPSNEMTFLEALIIFLWSCAYSETNRNIQNKFGKSGETVSRKFSEVLESLCLLAKEIVRPPEFNFTEIPSKIKDDRRY >RHN70253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50020054:50030823:1 gene:gene18814 transcript:rna18814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-tubulin complex component protein MGAESHHPQTLIHKIYAPFSNEFPFATPLPSLKTNELELVRGVLRMLQGFSSSLFSWNHNENRFRINNGVYVTHLSLKSLHSLLNQFLHAATCLQIVEITVKKVETSMPRPNPTLNAFVASASSWLKRLRNVALKEEVSMNNADVVFTPTLLGFKNSLSSLCSGAEFLLQIVHEAIPDVYFEFGASVPAADLAVHVLDYLHKKLEEMCLVQGGEEEAYLTVLYMYVESLLPYIEGLDSWLFDGILDDPSDQMFFFANKEVSVAEAEFWEKSCLIRKLQHRKSDTELSSTIYAGDSIPASNEKKEMGMRDSISLSSTIKGKDQSVRDCPACPLFIKDLAKSIISAGKSLQLMRHAPSSLAACSKGSNFKFGSTKSLNYGLSPSHRVAGLTLSEIFSVSLAGLIGHGDHVCKYFCQDDWHESVSVSSFVSYLNLNAEKKDNQNLTAPPYSEKIWYKFLIDTLSQKRSADLKPKYEDINNGNGDSRGDKVVEDKLFLLRSCLQNPVITVCRKTIGNSRDSLKTLNLSRKFCLPSLNDEGLRKAIFGGESTSFSDSEGTNYTFGFQYDESKFIHSQDKRKLLETLFPFPTILPAVQDDLPVSELLPFQRNSTLPSKVLHWMQNVDLRTTPLPLVIMQYCLTAYIQKQVDYIGVNMLLKLMNEWRLMEELAVLRAIYLLGSGDLLQHFSTVIFDKLDKGETWDDDFELNTILQESIRNSADCMLLSAPDSLVVSITKNIVESDGEASTSGSVLGTHKSRVNNLGMNGLDMLKFTYKVPWPLELIANTEAIKKYNQVMRFLLKVKRAKFVLDKVRRWMWKGRGSTTNNRKHHWLVEQKLLHFVDAFHQYVMDRVYHSAWRELCESMTVAKSLDEVIEAHEAYMLSIQRQCFVVPDKLGALIASRVNIILGLALDFYTIQQTLKSGGAVSAIKARCEMEVDRIEKQFDDCIAFLLRVLSFKLNVGHFPHLADLVTRINYNYFYMSANGNLMTTSGPGSVASRLGKASG >RHN78555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16238746:16239247:-1 gene:gene2173 transcript:rna2173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MFHNLTHLELIFNFMHSSGSLKWSWIMKLLENFPKLQTLIIEEVDIVHNFGDKGWEDPKVVPRCLLSHLTTCSLRNYSRINCELPFARYIMQNSRILRTMTIQSAEFLDTNTKLQMFMELYLCPRNSITCQLLFI >RHN70970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55494206:55494754:1 gene:gene19608 transcript:rna19608 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNMSSSNSNKAVKRRSVVLNDKQVEVGGNRGVEEEEEEESVFNVTSQLFLKPIENCKNLDKEVVLRRIRHRKRMNKVQAAVGAIFLGSSANNTGNGDASVQHKRWVDDAFAAL >RHN43424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45746079:45753409:-1 gene:gene49995 transcript:rna49995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MSSSDGNRQRGSSSTTVERKSQCKTLFFLTYQSLGFLFGDISLSPLYVYQSIFSGRLKHVQNEDAIFGSFSLIFWTLSLISLLKYAVFMLSADDNGEGGTVALYSHLCRNAKFCLLPNHQASDEELSTYRKPGYSNRNIPPSSLKRFIEKHKNTKIVLLIFVLLGACMIICVGALMPAISVLSSVEGLKIEAKIKNNSMVPLISCVLLVGLFVLQHRGSHTVAFMFPPIIILWLLSIFMIGIYNVIKWNPRIYQAFSPYYIYKFFCVTGKDGWINLGGVFLCVTGTEAMFTDLGYYKQVPVRVAFSCVVYPCLILQYMGQAAFLSKNLSAVPISFYASIPDVLFWPVFVGASLAAIVASQAVISSTFSIVQQCHAFECFPRIKAVHSRRWLNGQIFIPELNWVLMIISLAVTVGFGDTSHIGYAYGISCLIVAFVTTWLTSLVINLVWHQSLVVSMAFLLFFGSIEALFLSSYCMKIPKGGWVPIVLSVAFMVVMYVWHYGSKKKYFFDMHNKASMREILTLGPSLGIVRVPGMGLIYTELATGVPASFTHFLTNLPAFYQVVVFVCVKTVPVPSVPHAERYLIGRIGPKSYRLYRCIVRNGYKDAYSHEIDFENELVMSIAEFIQLEAEGCSGNLDGSADGRMAVVRTSGKFGTRLRMSESYGVEEGDSSNIPGALTVASSKSPTLKKLQATYEQELPELNTRRRIRFEVLNTIYRDPRVKEELMELVEAKRAGAAYVIGHSHVKAKWNSSFVKKFAVNLYSFLRKNCRSPSVGLNIPQTCLIKVGMNYHV >RHN40032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12439735:12440383:1 gene:gene46124 transcript:rna46124 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNISSAEEEVKAVSTNKQKVEEVAAKQKALKVVKKEESSSEESSDEYEDEKPFAKAPAPSKKTPTKNGNVKKTQLETTSKESDSDDSSSSDEEELSAANLKSHLEVYCMICC >RHN80163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35745319:35750190:1 gene:gene4053 transcript:rna4053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MRDDNLKKSKLSWPKTLVKKWFNIKSKNEDFQADDDVLYGGVDEEWRNNCSKREECTIKKSKIERTKRRHSERSRRCKVDHDAAQVTDMNHYRIFSATWNVAGRSPPSYLNLEDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNAPARKWLALIRKTLNSLPGTSGECHTNSPLPDPVVELDSDFEGSMRQKATSFFHRRSFQSLSHSMRMDNDMVVPQACLDRRFSVCDRMIFGHSTGDYEQNYRWGSSDDENGDSPVVAQYSPMLYRGSVSMEDRDRQTENSRYCLVASKQMVGIFLTVWVKSNIRDDVRNMKVSCVGRGLMGYLGNKGSISISMSLHKTSFCFICSHLTSGQKEGDELRRNSDVMEILRKTRFPRVNGIGDESSPQTILDHDRIIWLGDLNYRIALTYRAAKALVEMHNWKVLLENDQLHIEREQGRVFEGWNEGQIYFPPTYKYSNNSDRYTGDDIHSKQKRRTPAWCDRILWHGRGLRQLSYVRGESRFSDHRPVCSVFLAEVESVSRNRIKKCSSCSSSRVEVEELLPHSNGYNYTDLTFY >RHN67488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27654427:27655748:-1 gene:gene15681 transcript:rna15681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MRTAYEHANDTYLCTNEYRAIMKSNCYHKEGHQAPCTYGGNLYMILFGLVQIVMSFIPDLHNMAWVSVVAALMSFTYSFIGLGLGISTVISKTHFHSNSVDLLLHPSHNNDFEFNRIIAENGRIMGSITGVQKAKVADKIWLIFQALGDISFSYPYSIILLEIQDTLESPPPENQTMKKASMVAIFITTFFYLCCGCFGYAAFGDATPGNLLTGFGFFEPYWLIDIANVCIIIHLVGGYQIYCQPIYTTADRWFSKKYPNSGFVNDFHKVKLPLLPALEINLFRFCYRTSYVISTTGLAILFPYFNSVLGLLGAINFWPLAIYFPVEMYFVQKKVGAWTRKWIALRIFSFACFLVTMVGFVGSFEGIIREKISGKG >RHN41533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30816272:30818467:-1 gene:gene47866 transcript:rna47866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MAIFTYASYNNQTKNTSFSMLIIIFTLFYAFFNPAHSISFNFTSFQSNLYLIKFQGDAFSSNNVLQLTKNQLDGPITRSVGRASFDQPLKLYDKETKELTDFTTHFTFIMRAVNLSLFGDGLSFFMAPFQSDIPENSAGGYLGLFSKESALNTSKNQIVAVEFDSYRNDWDPNSDHVGINVNSIQSVQNVSWKSSIKTGAVANAWISYNSTTKNLSVFLTYVNNPTFHENSTLSYNIDLSEVLPEYVRIGFSAATGQWIEIHNILTWSFNSSLKSGNGKNIKVGLGVGLSVGFGSLTCLVGLLWFTFWRKRKVINKGERDNNRDFDASIDEDEFERGTGPKRFTYKELSNSTNGFDEKGKLGEGGFGGVYKGLLEKNNKLEVAVKRVSKGSRQGKKEYISEVRIISKLRHRNLVQLLGWCHEKSELLLVYEYMPNGSLDYHLFGKGVMLTWSVRYKIALGIASSLLYLHEEWEQCVVHRDIKSSNVMLDANFNAKLGDFGLARLVDHELGSQTTVLAGTMGYLAPECVTTGKSSKESDVYSFGVVALEITCGRRSIESNEEAKKIRLVEWVWELYGKGELFEGVDRGLNLEFDERKMECLMVVGLWCCHPDFTMRPSIRQVINVLNFEAPLPSLPSKLPVPMYFAPPMEMCRFSYTSDGLTNTTKGSSTYSSISAGSRKSLL >RHN44187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2303426:2304976:-1 gene:gene38277 transcript:rna38277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNLTNLVVLNLPFNSLHGKLELDKFLNLKKLVFLDLSFNKLSLYSGKSSSRMTDSLIQDLRLASCNFVEIPTFISDLSDMETLLLSNNNITSLPKWLWKKESLQILDVSNNSLVGEISPSICNLKSLRKLDLSFNNLSGNVPSCLGKFSQYLESLDLKGNKLSGLIPQTYMIGNSLKQIDLSNNNLQGQLPRALVNNRRLEFFDVSYNNINDSFPFWMGELPELKVLSLSNNEFHGDIRCSGNMTCTFSKLHIIDLSHNDFSGSFPTEMIQSWKAMNTSNASQLQYESYLRSKYARQYHMLEKKFYSFTMSNKGLARVYVKLQKFYSLIAIDISSNKISGEIPQVIGELKGLVLLNLSNNHLIGSIPSSLGKLSNLEALDLSVNSLSGKIPQQLAQITFLEFLNVSFNNLTGPIPQNNQFSTFKGDSFEGNQGLCGDQLVKKCIDHAGPSTSDVDDDDDSDSFFELYWTVVLIGYGGGLVAGVALGNSYFLQVFAWYRDCLSVSVIILLNKIFKRH >RHN80602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39343734:39347800:1 gene:gene4544 transcript:rna4544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA(Thr) (cytosine(32)-N(3))-methyltransferase MKKSESEAAEYFSKDFEWEELRSEVESNPSLRHHFQSFSHSSTQSPESDVQAWKQFHTRHSSGKFFKERRYLLKEFPQLLSSSHPNSIPQKLLEVGCGNGSTILPILRANKDIVVYACDCSDETLAKAKEIINENSNAVDSFNIRFHPFCCDFSTTGFPNWLACNPCRDNFLQKQSYYFSDVKDDKGMHLRDSCSSEEIDCCIGGVDFITLIFTLSAVPLERMPRSIEECFTVLKPGGMVLFRDYGLYDMTMLRFEPDKRVGFREYMRSDGTRSYFFCLNTVRSLFLGAGFIELELDYCCVKSVNRRKGKSMQRVWVHAKFQKPVLS >RHN53112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42061324:42061889:-1 gene:gene37877 transcript:rna37877 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVVKTKSTFITGTCVIPTFRFSRKNTLNFCYTMRVLTTFQNCSSFIPMKNSERKRMAI >RHN57946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42693474:42693842:1 gene:gene33538 transcript:rna33538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II MSDNQLSLPVKLSYANKLNYDLYKVNIFILLFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVNQFDLVHIHFLSTQPFFSTFSIEVINF >RHN70433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51414131:51414340:-1 gene:gene19012 transcript:rna19012 gene_biotype:protein_coding transcript_biotype:protein_coding MFCANKPGVLASIMKALDSLGLDVHQANISCFNDFSLDVFKAEVYIYFSILYFIKFLIRLPCHLFYNFH >RHN51953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29062242:29062618:1 gene:gene36532 transcript:rna36532 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYEQGVVGFLDRMLHTDIRKLLNKEGDSEDLELYLLPMLPLSGKERRKYLEVLKEKNASGEHIVSDLAGVLLSKGAKKKELVPSVEASGGDGDKTL >RHN60588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28504415:28506487:-1 gene:gene22919 transcript:rna22919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MACSDEEHDRLSNLPDDLICHILSFLSTKESYRTCVLSTRWESICTKIPSLRFELPEISDPFLSKYIKSVYQEYIRKQNLDSEKGCEPHDVHMWVSKAFVNFALLRRTENIRKLRLYSEKGCQPNDVHMWVSKALDLKVQELDLDLFLHEKTLLPLRLSTCESLVVLKLRGRIQPTLNSSLHVYLPSLKILHLRSTVIYSFFDDHREYDLNNFLSGCPHLEELYLNETFRIAINTSFHFLKRLYLSLFVPISVSKICPVQINAPSLEVLSIIDFSLTPRKYEFANLSNIHQATLSICQSPDFNSLYTLLKGLSNVKSLALGSNTFHFIIFIG >RHN47483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40088910:40090603:1 gene:gene42093 transcript:rna42093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MVSVQSQSIVIPSKPTPNPKLFSLCEQIKLRTHAPLLYVFKPHNHKNTSTFLETLKKSLSQALVAYYPLAGRLSLIKGGRWEIHCNAKGALLLEAKCEELTNLNQLGDFVPTNLVSQLIPNINYNLPIEDIPLLAVQLTRFNCGGFTLGVALCRAATDGTATMCFMNAWAKLARGENLDPSEFPCHDRTMLNSRKLTHSSSLHRHHHEFDTPPIQVDHDLGNTREVSVAIVKLTREQVSILKKNVNSRVNFQPTSKDVPKTKPYSTFEVIAGYLWRCVSKARCMENNDQPTRLSTLVNCRNRLKPPLPSGYAGNAAFPTVTPIRSFNDLTCKPLGDAVEDVHKALERVTEEYVMSALDYIDREKDMDLLRYNFHYPAKSVCKGQYKGNPNIFVVSWMNFSYKDADFGLGEPVYFGPGYMDSEGKAFVMNNNGGDIVVAISLEVSCMDNFRKIFYDDIKEVFCTSKL >RHN78938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20124336:20125593:-1 gene:gene2607 transcript:rna2607 gene_biotype:protein_coding transcript_biotype:protein_coding MFFEEQILTVHDHWSSLYMCKEGSNVGLPLAALYGNLLNCLRCI >RHN82379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53304790:53305171:-1 gene:gene6542 transcript:rna6542 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSISVLIIVIFAHLFAFFYAFRAEVNRYWAEELPNDDGTICVYTTDASTFYGFVAFSFLLVNQVFLNLITRCFCCGKGLVPGRSTSCAIICYILSW >RHN72523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8398822:8400274:-1 gene:gene8249 transcript:rna8249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative petal formation-expressed MASLQVFSSLISSSSSSSSERIKSSFNVPKLPKVLSIVPKTRPSRKLFEELNGQLTHTIPIIIQENDYHSPKYYSPSSKSPKTKTKTITQLYAILESVSDRIEMHQNIGEQRDNWNTLLLNSINMITLTATAMAGISAISGSGAPLLALKLSSALLFSASTGMLIIMNKIQPSQLAEEQRNATRLFKQLKSQIETTIAIGNPTEEYIKDTIQEVLALDRAYPLPLLGVMIEKFPQKYEPANWRPTKKSSRRSNNSFHSENNETKKNGWNEGLESEVKDVLEVMKRKDMEDYERLGNLVLKINKTLAIAGPLLTGIAAVGSTFVGQGSLASIVPVMAGALATAVNSFEHGGQVGMVSEMYRACGGFFQMMESSIQENILEEDEEQRENGDLFEIKLALKLGRSLSQLRDLARKSAYSRVEGTTVDEFASKLF >RHN60163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22216693:22220846:1 gene:gene22404 transcript:rna22404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation protein, beta-barrel METQNNNGSEPGPNLVTAVTPELMPQNLVNNNPVAAETPKPQPEKLINNNGSELDPNPFAAETPVAGKLGTSDDEIPIEKSVERITLTGDPPSVEVNGNEDKDDGSEGESESESSESESESSTSSSSTSGSDSDEDDEDDAEDEEEGQISDDEKMVSWSAADGDLDDDEDVVVGPIKSKNEIQNLPPVPPVDVCLAPHHKMLPVGVVMSTLGAQVIVEGVEKHEPLNEGSVLWLTESRKPLGLVDEIFGPVKNPYYVVRYNSENDVPAGIQGGTTLVSCVPEFAEHVLNNKDLYRKGYDASGAYDEELFDEVEFSDDEKEAEYRKMQKMTKRGVNDQNPGKKKNNKKKFSPKEHVLPPNAPVASPHVFPNVPNAPAAAPFVNKGNYSPFSGIGQGGTTTVSPFQPLNAGPNFAANSMWANQTTFPQQPQPSLPPNAFPSNAMSYYPQNTQFSHQFPVPGIPFQQQMNPSHGTHFPTMMPGVQPNIFSQQPMYAPGFLGQNQMPQQSFQQIQQPPIFQAQQQGFPPTELQQRPSPISGNPSQFHPGSSGSRGRPSFRGASGRGWRPSR >RHN40072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13012350:13012982:-1 gene:gene46168 transcript:rna46168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MVRFLSIMVVFLACVASSYATNVVNVQEICKKATNPSFCSTILESKPGGAGKDLVSLASYTIDVLRTNVSNTISLITKLAAQSGSDSLKQNRYKNCLSRFGMDDGALGEVEEAQKVLKDSDYNGVNMHITSVMTAVDECLSKDSSPDNDTSLLPKDVECVNQIAQIILIISNVLLN >RHN42022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34819490:34820805:-1 gene:gene48409 transcript:rna48409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MEYLVVSDQLSTTLWYLILMLLVYKFLIKHQRSTQKSEKPKPKLPPGPTPWPIVGNLPEMLANRPTFRWIQKMMNDLNTDIACIRLGNVHVITISDPEIARELCIKQDAIFASRPSSWSNEYVTNGYLTTALTPFGEQWKKVKKVISNELVSPLRHKWLHDKRVEEADNIVRYVYNKCTKIGGDGIVNVSVAAQYYSGDVIRRLLLNKRN >RHN73403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16018687:16021037:-1 gene:gene9208 transcript:rna9208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NIF system FeS cluster assembly, NifU MLGGALSTPTTQCLKTRTTIVTMLYPSHRLLSTHFCLFKNLIAGNRRFSSNESCFLRGHQINIKQVFGLISRRAPRNKAGFVVSPRCVLPLTEENVEKVLDEVRPGLMADGGNVALHEIDGLVVILKLQGACGSCPSSTMTLKMGIETRLRDKIPEILEVEQILDTETGLELTEDNVESVLSEIRPYLIGTGGGTLELVEINDYIVKVRLSGPAAGVMTVRVALTQKLRDKIPSIAAVQLIE >RHN57238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37485703:37486439:1 gene:gene32751 transcript:rna32751 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKPFIFVFFLCSLIIISVIAIRPSKDEKQSGVTEESKTKFLVDKDGGRGEGGHDGDWGGGMHSNVDWETLEQEGFGEEPEEGGSRGGGENGKFGEGEGWENEGGGGGGEGGGGEEEEGFSEYEETGEYP >RHN62358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42905622:42910128:1 gene:gene24924 transcript:rna24924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triose phosphate/phosphate translocator MESRVLSRATTLSSLPRLNKLHREHLTNGASILSVKSIGSVSDGGNLVFGRQLRPELCSPALKKSGVLLRPCLAAADDSAGGEKVAPVGFFSKYPALTTGFFFFTWYFLNVIFNILNKKIYNYFPYPYFVSVIHLLVGVVYCLVSWTVGLPKRAPIDGNQLKLLIPVAVCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQSIPITLWLSLAPVVLGVSLASLTELSFNWLGFISAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALIVCIPPALIIEGPTLLKTGFADAIAKVGLVKFVSDLFWVGMFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIIIFGNKISTQTGIGTAIAIAGVALYSFIKAKIEEEKRQAKAA >RHN41936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34219307:34223124:1 gene:gene48312 transcript:rna48312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rossmann-like alpha/beta/alpha sandwich protein MAFTHYLPPLQLVKPSLISPKPSLFFNNPPISITSHFFNPPSTLHTLPSGIIVKAKPQEPEVAIATDSFTQFKHLLLPITDRKPYLSEGTKQAIATTIALANKYGADITVVVIDEQQKESLPEHETQLSSIRWHLSEGGLKDYKLLERLGDGSKPTAIIGDVADELNLDLVVISMEAIHTKHIDANLLAEFIPCPVMLLPL >RHN62704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45274948:45275430:-1 gene:gene25305 transcript:rna25305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLQHHTELQVAIFRSMEIYSQQILTRKNEEIAKVAKEKLEMEVLLRRLEAEKMELKRITLKRRAMVITLHTKLEEEKERVTMLVENDAESSCGEKEEVRAQKHVRREKNLFCSKYKTHTLGVLVLPCRHLSSCKACNALLQTCPICGMAKKGIIEIQNLI >RHN73203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14356883:14364816:-1 gene:gene8992 transcript:rna8992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MEESAAIKRKLREFALASVNELSSSPSSASPGVLRLTDDTLQIHHDSHQIAFDVHLPLLQIFRLGPVQSVCMVEGSDAGKQTSYSRGVAIQFRNEEESEAFHCVFQQWKKEFNGQGGNIPNGANVMTSKSKFDEKIEPSSAKMYFHYYGQLLHQQNMLQDYVRTGSYYAAVMENRTDFFGRVVVDVGAGSGILSLFAAQAGAKHVYAIEASEMAEYARKLIAGNPLLGQRITVIKGRVEDVELPEKADILISEPMGTLLVNERMLESYVIARDRFLTPNGKMFPTSGRIHMAPFSDEYLFVEIASKGLFWQQQNYFGVDLTPLHKTAFQGYFSQPVVDAFDPRLLISPPMCHPMDFTKIKEEELYEIDIPLRFIASVGARVHGLACWFDVLFNGSTVQRWLTTAPGSPVTHWYQLRCVLSQPIYVMAGQEITGRLHLVAHSAQSYTIYLTLSAKMWGPGAEQGGILQTSSCKLDLKEPYYRMSQQQSYPTTQDQPQAQPFLPTQDVKIKSQDFDDTEIMQEPSPNSCVQIDSFMQNT >RHN74733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36236156:36236919:-1 gene:gene10841 transcript:rna10841 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELQHLQEIYIEECGIEFIAAHDELADTYPILIFPELTSLSFRDLSQLRSFSHKLHTLDCPVLRHVDVLHCDKLVLFKPKSLNYQEIVPVDTVPLLSIEKVHSTSQYSANKWQKYLYTPKNTFLIDKWRATCYFLIEKGSKIRVLVLFHVFLKFI >RHN74421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33068877:33072175:-1 gene:gene10483 transcript:rna10483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L7/L12 MSLLLRIRHRLHNGFYRQPLYESTIGLNARKLNVFSRNFGQAARKEEEEDVEEVEIDQRSLPADFDPATFDPTNNRGPPSERVFRLVDEVASLTLAEGAELGLILMKKMGIKEMPNVGYMKAGSANLAGMATKTTTAAQEEVKPEKTVFELKLLSFEAASKIKVIKEVRGFTDLGLKEAKDIVEKTPSIIKKGVSKEEGEQIIEKMKALGANVVLE >RHN48544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48331711:48337429:1 gene:gene43274 transcript:rna43274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MAIAFKSSCFLQFNKPNTGFGLSFSSRKPVIISVKRYTSVAAIKTMETVGISETFNRLKKQGKVALIPFITAGDPDLSTTAEALKVLDSCGSDIIELGVPYSDPLADGPVIQAAATRALARGSNFDSIISMLNEVIPQISTPIALFTYYNPILKRGTGKFMSIVRDTGVHGLVVPDVPLEETKTLREEAKKHGIELVLLTTPTTPTDRMKAIVDAAEGFVYLVSSVGVTGARASVSGKVQALLQDIKEATTKPVAVGFGISTPEHVKQIVGWGADGVIVGSAMVRLLGEAKSPEEGLKELEKFTRSLKSALD >RHN79569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30186690:30193373:1 gene:gene3381 transcript:rna3381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CAAX amino terminal protease MSISPSLGFQQAFTMDLQNDAVSKPISVLACIAMALLYVVTLYAPTFLLRLPPPSSFTNFMIRRFLCAVVSTTISLFLTPLILPVQTRDLPYILGVYGIRVDHMWQAVFIPLALTSLMYAGSLFLKSLLLFDFWRQHSFFGGEISIDSLKCAVTRFIDWFSEISSNVLTWRNYVVAPLTEELVFRACMLPILLCAGFKPYSAMILCPVFFSLSHLNHFMEIYTKQNYRIMKAAMVIGLQLGYTVVFGSYASFLFIRTGNLAAPLVAHVYCNFMGLPVLYSQRSGIVSIASIMGLLGFLWLLFPMTAPDLYNDRIDNCSCWQGYCSWRERI >RHN63400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50962844:50963624:1 gene:gene26097 transcript:rna26097 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKVEEAMKKNKQQQSQQHNQQQQKQIQCNKGKAGKFKRSSSNVEEDGLSSAILFLACIACAPSYG >RHN74588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34944312:34946399:1 gene:gene10682 transcript:rna10682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine-rich transmembrane CYSTM domain-containing protein MSHNINQQQSPVTAYPAVSQNNPQAVPPPPMGYPSKDDPQQSVPIKTTSRGDGFWKGCCAGLCCCCALDCCL >RHN51026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13072065:13078814:1 gene:gene35387 transcript:rna35387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase, cytosolic MDHSADAHRTDLMTITRFVLNEQSKHPESRGDFTILLNNIVLGCKFVCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFCKALISSGRTCILVSEEDEDAIFVEPKQRGKYCVVFDPLDGSSNIDCGVSIGTIFGIYMMKDNHEPVIEDALQPGKNMLAAGYCMYGSSCTFVITTGSGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDGPTAAYVEKCKFPTDGSPAKSLRYIGSMVADVHRTLLYGGTFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKERALDLIPTKLHERSPIFLGSYDDIEEIKALYAAEAK >RHN59569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12079884:12083174:-1 gene:gene21615 transcript:rna21615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MASAAMVEDGNFEDDQLANMSTDDIVRASRLLDNEIRILKEELQRTNLELESYKDKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDDRIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >RHN42117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35509661:35512044:-1 gene:gene48516 transcript:rna48516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TUBBY family MFYVATECGNMSSKSLGRKLKGIKNGIGSIWSKHMFCRSNSHVALDVTPIEPIQQGQWASLPPELLLDIIRRVEKSETFWPARAVVIFCASVCKSWRSATLEIVKTPQQCGRITFPISVKQPGPCDYPIQCFIRRNKRTSTFLLYLGLEPSNNEGNKLLLAAKKIRRSEFVVSLAADDFSQASNKFVGKVRSNFWCTKFSIYDSQPPDNAAVQSNCRPSGILNSKQVSPRRAPACSHLVGTVSYEVVITTDTPRKINCIMNSIPTSALYEGGNTPTPTSLPPIFDIPFSCSPALKEKSPMKGSYHGSLSELPELSQSSIEPLTLINISRSYFDQLIQCRGRCSARVISIKNFQLGASVHLPHNVSRAELKRVILQFAKIEKDLFIMDYSYPLSAFQAFAICLTSMRAMHL >RHN72877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11487259:11495062:1 gene:gene8641 transcript:rna8641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosome-binding ATPase YchF/Obg-like ATPase 1, Beta-grasp domain, TGS-like protein MPPKSAKSKEAPVERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKMAIPAENFPFCTIEPNEARVNVPDERFEWLCQLFKPKSEVSAFLEIHDIAGLVRGAHQGQGLGNSFLSHIRAVDGIFHVLRAFEDPDIIHVDDTVDPVRDLEVITEELRLKDIEFMQNKLEDLEKSMKRSNDKQLKIELECCQKVKAFIEEGKDVRLGDWKAAEVEILNSFQLLTAKPVVYLINMTERDYQRKKNKFLPKIHTWVQEHGGGQMIPFSCVLEKNLSDMPPDEAAKYCEANNVQSALPKIIKTGFSAINLIYFFTAGPDEVKCWQIRRLTKAPQAAGAIHTDFERGFICAEVMKFDDLKELGSESAVKAAGKYKQEGKTYVVQDGDIIFFKFNVSGGGKK >RHN52315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33834754:33841810:-1 gene:gene36977 transcript:rna36977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydropyrimidine dehydrogenase (NADP(+)) MANLSMTQLKTRNSASRFSFNFSKKVYPRPSRVDFKVFASEGQVSEPDLSVKVNGLHMPNPFVIGSGPPGTNYTVMKRAFDEGWGGVIAKTVSLDAAKVINVTPRYARLRANANGSAKGEIIGWQNIELISDRPLETMLKEFKQLKEEYPDRILIASIMEEYNKAAWEELIDRVEQTGIDAIEINFSCPHGMPERKMGAAVGQDCALLEEVCGWINAKATVPVWAKMTPNITDISQPARVALSSGCEGVAAINTIMSVMGINLNTLRPEPCVEGYSTPGGYSAKAVHPIALGKVMSIAKMMKSEFDSENYSLSAIGGVETGGDAAEFILLGANTVQVCTGVMMHGYGLVKKLSLELKDFMKKHNFTSIEDFRGASLQYFTTHTDLVHRQQEAIKQRKAIKKGLQSDKDWTGDGFVKESESMVSN >RHN57180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37131784:37133412:1 gene:gene32685 transcript:rna32685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAETLKFVYVMILFLSIFLVITISNSNPYIINILCKTDKDCPKVQGANIRCRSGKCVQV >RHN68776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38443269:38445366:1 gene:gene17171 transcript:rna17171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ISC system FeS cluster assembly, IscU scaffold protein MLRIAKKLVQTASFETPNPAIRVLPRFYHERVVDHYDNPRNVGSFDKNDPTVGTGLVGAPACGDVMKLQIKVDENGKIIDARFKTFGCGSAIASSSVATEWVKGKQMEEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKRASATAATGDKSATA >RHN75050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38974527:38975494:1 gene:gene11199 transcript:rna11199 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQNRSRSSNFRWWRNIKIKIQESLTWHTFIINNGGRRTRVTLHGGASKISHGTFKSTHLTTANG >RHN42806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41144765:41148322:1 gene:gene49297 transcript:rna49297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MALFSHLLLHFALLMIFIHFNNLLVGVSSTTLSITTDKEALILLKSQLSNNNTSPPPLSSWIHNSSPCNWTGVLCDKHNQRVTSLDLSGFGLSGNLSPYIGNMSSLQSLQLQDNQFTGFIPEQITNLYNLRVLNMSSNRFEGIMFPSNLTNLDELQILDLSSNKIVSRIPEHISSLKMLQVLKLGKNSFYGRLHNLIELDLILNNLTGTVPPVIYNLSSLVNLPLASNSFSGEIPYDVGHKLPKLLVFNFCFNKFTGRIPGSLHNLTNIRVIRMASNHLEGTVPPGLGNLPFLHMYNIGYNRIVNAGVNGLDFITSLTNSTHLNFLAIDGNMVEGVISETIGNLSKELSILYMGENRFNGSIPLSIGRLSGLKLLNLQYNSFSGEIPNELGQLEELQELYLDGNKITGAIPNSLGNLINLNKIDLSRNLLVGRIPISFGNFQNLLYMDLSSNKLNGSIPAEILNLPTLSNVLNLSMNLLSGPIPQVGKLTTIASIDFSNNQLYGSIPSSFSSCLSLEKLFLARNMLSGSIPKALGEVRALETLDLSSNLLTGPIPIELQSLQVLRLLNLSYNDLEGDIPSGGVFQNLSNVHLEGNKKLCLQFSCVPQVHRRSHVRLYIIIAIVVTLVLCLAIGLLLYMKYSKVKVTATSASGQIHRQGPMVSYDELRLATEEFSQENLIGIGSFGSVYKGHLSQGNSTTAVKVLDTLRTGSLKSFFAECEAMKNSRHRNLVKLITSCSSVDFRNNDFLALVYEYLSNGSLEDWIKGRKNHANGNGLNLMERLNIAIDVALALDYLHNDSETPIAHCDLKPSNILLDEDMTAKVGDFGLARLLIQRSTNQVSISSTHVLRGSIGYIPPEYGWGEKPSAAGDVYSFGIVLLELFSGKSPQDDCFTGGLGITKWVQSAFKNKTVQVIDPQLLSLISHDDSATDSNLQLHCVDAIMGVGMSCTADNPDERIGIRVAVRQLKAARDSLLKKI >RHN55745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22472976:22475652:1 gene:gene30985 transcript:rna30985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MECSVLSEPRNAASVVRLHFHDCFIQGCDGSILLDDTYTLKGEKKAATNIHSLKGFEIIDQIKNFVESECPGVVSCADILTIAARDAVILVGGPYWDVPVGRKDSVTASFELANTNLPTPDESLVSIIPKFLYQGLSVTDMVSLVGSHTIGMARCQNFRSRIYGDYESTSVKNPISDNQFNNLKSICPPIGGGDNNITAMDYVTPNLFDNSFYQLLLKGEGVLNSDQEMYSSVFGIETRELVKKYAADSLAFFQQFSDSMVKMGNITNSESFITGEVRKNCRFVNT >RHN69885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47107065:47111596:-1 gene:gene18414 transcript:rna18414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Actin family MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTSAEREIVRDIKEKLAYVALDYEQELETAKSSSSIEKNYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >RHN40671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19198096:19198869:-1 gene:gene46857 transcript:rna46857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MSASPQITVTLVALILLQYLTGSYSTTFTIANNCKYTVWPGILSGADTTPFSTTGFALQPGESNTLAVPPKWSGRLWGRTLCSQDSTGKFSCVTGDCASSTIECVGGNAQPPATLAEFTLNGAGGLDFFDVSLVDGYNLPLLIKPRGATGGGNCMTTGCMVDLNTACPTELKVMSNGSSVACKSACEAFGDLQYCCNGAYATPDTCKPSSYSQFFKSACPRAYSYAYDDGTSTFACASADYTITFCPTISSNARKIN >RHN76630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51826499:51828897:-1 gene:gene12984 transcript:rna12984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-transporting ATPase MDTLGALALATEPPTDSLMHRSPVGRREPLITNIMWRNLLVQAIYQVTVLLVLNFAGDSFLQEESETDTLTLKNTIIFNAFVMCQIFNEFNARKPEGMNVFKGVTQNRLFVGIVGMTFVLQIIIIEFLGKFATTVKLNWQQWLACVCIGLVSWPLAVIGKLIPVPKTPMSRSILRAFRRLKKSRTA >RHN78854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19212578:19213441:-1 gene:gene2515 transcript:rna2515 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTKPYFLSLMDPLSQLQISPMAFSLHLYIFLSHQHILLLS >RHN68169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33337736:33344714:1 gene:gene16482 transcript:rna16482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Hydroxymethylglutaryl-CoA reductase (NADPH)] kinase MMEISIARCHLEAQGIFFSNTLRTLGRENSQKLPCNVASLHSRRDLHVLASSYQTLTAMYRRRLFFCRTQSMQTGLEEYASLQSRDNPNNDIDPSIDSEDEILSQPHTSEQIKALLADTERAKVTKKLSEANQQNRFLKRQLYIKEDALVKFKSELAVLELEVQALARLAEEIAKSGIPEGSRKINGKYIHTHLVTRLKAVHEQLNEQIKGVDAAQSKEVSVFWVGMAESVQVMGSFDGWSQGEHLSPEYTGSYTRFSTTLMLRPGRYEIKFLVDGEWHLSTELPVTGEGLTKNNLLIVE >RHN43129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43423889:43424464:1 gene:gene49657 transcript:rna49657 gene_biotype:protein_coding transcript_biotype:protein_coding MEAINITDGGFSTSSPFSPFSLFKSALINRALMVIFLNMNSTKAIAISVVLSMLVGKGLLDIDYEVMMIRIVSVLLYKEFKEVHVRCFKKLLNHLFSFYFRL >RHN79983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34100365:34101582:-1 gene:gene3847 transcript:rna3847 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYLPFYMVKILNKKVFVRVKFWQIIYAFLCAWTVCFLLKTLVVYLTNVF >RHN43319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44895649:44899718:-1 gene:gene49871 transcript:rna49871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription repressor PLATZ family MVCCQYCISSGVHRHHKILKIYRHIYKDVVALSAMEKYFDCSEVQTYKSNRKVVISLKPRQFSEIASNAEDSCEVCNKKLNEPDLYRYCALSCKVEAVSKKSESEDPVSTTPLESSSGPSIQGPPQHQETQEGTSGPSLGKRKRKGIPHRSPFF >RHN81917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49804268:49806597:1 gene:gene6015 transcript:rna6015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative abieta-7,13-dien-18-ol hydroxylase MKSTLDSVFKVILGVELDTMCGTSKEGTQFSNAFNEASATIMFWYVNFLWKVQRFLNIGSEAVLKKNLRVINEYVYTIIKSKIEQSQKPQKNSPELKGDILSRFLELNETDSKYLKDEKIAQEIREATKVEDGSTTDELATRITEESMKKMQYLDAALTETLRLHPPIPMESKYCFSDDTLPDGYSVRKGDYISFHPYVMGRMEFLWGEDAEQFRPERWLDENGNFQRESPFKFTAFQAGPRICLGKEFVYRQMKIFSAVLLGSHNFKLADQNRLVKYRTTLTLQIDGGLHVNAFHRNK >RHN55256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16469099:16469948:1 gene:gene30407 transcript:rna30407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MGNHDYYKTLKVKRDATLEDLNDSYNNLVMKWHPDKINQYPSRNPSRKQEFEAKFKRISEAYEVLSDPKKRQIYDRSGQYPVNLENGGGSNMEVDEGVGVVETDFLCSLEELYKGCKKKVNVVRDVPDEFGVLISGCVAARIAVK >RHN82215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52015844:52020900:-1 gene:gene6360 transcript:rna6360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MGDSVNEEHHTSGALVASPKRGIAFFEGDTDFEPPNGIEFETHEAAYSFYQEYAKSMGFTTSIKNSRRSKKTKEFIDAKFACSRYGVTPESDGRSSRRSSVKKTDCKACMHVKRNPDGKWNIFEFIKDHNHELLPALAYHFRIHGNVKLADKNNIDILHAVSERTRKMYVEMSRQSGGCQNIGSIMGDTSYQFDRGQYLALDEGDGQVMVEYFKHIQKEDPNFFYSIDLNEEQRLRNIFWVDSKSIDDYLSFNDAVSFDTSYIKSNDKLPFAPFVGVNHHSQPILLGCALVADETKPTFVWLLKTWLRAMGGQAPKVIVTDQDKALKEAIEEVFPSVRHCFSLSHIMEKIPENLSFVIKQYKNFLPKFKNCIFKSWTDEQFDMRWWEIVTIFELHDDVWFHSLYEDRKKWVPTYMGDVFLAGTSTAQRSESMNSFFDKYIHKKITLKEFVKQYRLILLNRYDEEEIADFDTLHKQPALKSPSPWEKQMSTIYTHAIFKKFQVEVLGVAGCQSRIEVGDGTAVRYIVQDYEKDEEFLVTWKELSSEVSCFCRLFEYKGFLCRHALSVLQRCGCSSVPSHYIMKRWTKDAKIREPAADRTQRIQTRPQRYNDLCKRSIALSEEGSSSEESYNIALRALIDALKNCVLVNNSNDNGAETGNNGYSLREAEEYQSTLASKPSKKRNTTRKRKVQREQSPVLVDAQDTLQQMDNLSSDAIALNGYYGTQQNVQGLHVQLNLMEPPHDGYYVNQHSMQGLGPLNSMAPSHDGYFGTQQSIHGMGGQLEYRPTTTFGYSLQDEPDPDPHFHSNSSRNT >RHN70157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49178030:49179868:1 gene:gene18712 transcript:rna18712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine permease, plant MEEGKEQQVAKKKMNKFLLIVNCLILALGNSGGPLIMRLYFIHGGQRVWLSACLETAGFPLMLIPLTISYIQRFRHRHKPLPSNTISIASEKQNIISMKPPIFFAAAFVGILTGLDDYLYAYGVARLPVSTSSLIIASQLGFTAFFAFLLVKQKFTAFTVNAVFLLTVGAGVLAMHTSSDRPAGVSAKQYAIGFSTTVAASALYGFVLPAVELVYKKIKQPITYSLVMEFQFVMCMFATIFCTIGMIINNDFKMIPREARNFGLGESIYYVVLVLNAIMWQAFFLGAIGVVFCASSLLSGILIAVLLPLTEVLAVVFYKEKFQAEKGVSLVLSLWGFVSYFYGEIKHAKAEKKKRSLEIEMGQTIEGLPAP >RHN52957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40698835:40699337:-1 gene:gene37699 transcript:rna37699 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDRMHQPCHDLAKRDTILTVEECIISVSLQILKCSRELQERRTWEIKGGNFRVEGL >RHN79981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34070637:34071394:-1 gene:gene3845 transcript:rna3845 gene_biotype:protein_coding transcript_biotype:protein_coding MISIFWRISTPNFCFCNFLFRAEEENESKSQQSNSKNTKVCPQHIITRRFTPSTKGILKNSNRAGEIVPKENARASSVIRPRAVLSSPDNDELIGSIHDLNNNVSLTKRNKDARGTMRGHAKVLANQRQSSSKSKVQF >RHN55713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21517957:21524306:1 gene:gene30939 transcript:rna30939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MDSDMVKNLGTSLTVPSVQELVKQPITKVPERYLQQNQDPSLVVSSTISSQQVPIIDLNKLLSEEDGTELQKFDLACKEWGFFQLINHGVDLSLVENFKKHVQEFFNLSAEEKKIFGQKPGDMEGFGQMFVVSEEHKLEWADLFYIVTLPTYIRNPNLFPSIPQPFRDNLEMYAIEIKKLCVTIVEFMAKALKIQPNELLDFFEEGSQAMRMNYYPPCPQPEQVIGLNPHSDVGALTILLQLNEIEGLQVRKDGMWIPIKPLSNAFVINIGDMLEIMTNGIYRSIEHRATINAEKERISIATFNSGRLNAILCPAPSLVTPESPAVFNNVGVEDFFKAYFSRKLEGKSHIDVMRMENKG >RHN66784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18694357:18695844:1 gene:gene14865 transcript:rna14865 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGGFALVSPFELCLLSQEFEEREIMLSGFGDEYGECNQHFVECLDAFPRVGCGDFHKCVAFIWICLNSSFC >RHN81043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42852005:42853475:1 gene:gene5037 transcript:rna5037 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAREASGMAPKKPVNTGKRKKGETSATRPPPRNQAFERERFRSRYHQDRYIELLDQSMWCERVFNLNPEGPYKEIAKLLLDQGWERLLQPITDINAELVREFYANALPENPHTDPFTFETFVRGRTIRFDREAINTYLGNPFELAYPDDLDDFHEKQNLGHFILPGPHEEIKRFLLLGDYNYDISDAGREYRAQYKFMTNEAKIIQKFILYNVRPNSHLSDCVVEVCPLIYYILKGIKVDIARTIAWELRMVTLQGRGEREARLFFPGLIMGLIKDTGMRLPTSVHEKIRNPINDAFITRYIMGETKKDKSKQASSSGAPPPRPQPSSEPQPHFPPHLDPHTAAFDFSSFAQWQYQCHTHTWNMLDATNRANTYLQQSQYVMQQQAGYPPEVMSQFMTPEAFQAHVSWPEGRPDPYGGGGSSFGTLSDDILMGDSDRDDPDRVPSATGGSDDDDMQG >RHN49735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:728217:729481:1 gene:gene33942 transcript:rna33942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MKTSILFVTAFLALASCAFAFDPSPLQDFCVAINDTKNGVFVNGKFCKDPKLATPNDFFFSVKEGNISNPLGSKVTPVTVNDILGLNTLGISLARIDFASRGLNPPHTHPRATEILIVLEGTLYVGFVTSNPENRLITKVLNKGDVFVFPIGLIHFQLNVGYGNAVAIAGLSSQNPGVITIANAVFGSNPKISSEVLTKAFQVDNNIVDNLQKQFWVDNN >RHN41425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29907584:29912244:1 gene:gene47743 transcript:rna47743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MSESENFEVDRKEGTLDWRFVSGNLANSSVGLVSMENSMMGTSSCPNSMVDSYGPNFLDLLTNSENLGGFCDVNGQSNEVRKDGFGFARVGCDDRTVGMGWDLGSSSMIKRDGVLPNGAEIFPQSLSQFSTDSGFVDAARMSCFSAGSFVDMMNSCGIPQSMALPLHVSRSVEHLGSDGSPIQNDRRSDCPVMSQDEGKQVLGRSCNEADGDESSGDDGSQMLDCASGEPSIKGLNPKKRKRNGQDGDSDKATGTLELPSETAKDNCESRKKGKQQTSSTAKASGKNAKQGSQASDPPNEGYVHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPHVDFNMERLLPKDILQHQPGPSSALGFLREMPMAFPPLSHSYQPGLIHSTLPNMANLSDMLRQTVQPQLEPLAGGFKEPNQVNPLQATKD >RHN74722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36133185:36133619:1 gene:gene10830 transcript:rna10830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MKFNKESIEGRATQLRNRLTKEKSILVILDDIWGRLDLVEVGIPFGDDHKGCKLVVTSRDLNVLNCEMNIQKAFRIDVLHQEDSWKLFEKMAGDIVHEFNIKPIAVEVARCCAELPLLIVTVAKALRKKRSLRLEGCLKPIGEI >RHN67194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24792508:24801219:-1 gene:gene15352 transcript:rna15352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bactericidal permeability-increasing protein, alpha/beta MTLLNLFFLLHLILIPTSGYVQPFKDGFISGVISNKGLDFAKDLLIEKCIETIVLLKLPKIENTAYVPLVGNAKVTLSDIMIKDVQVNDSSVIIRESGIVVVFSAATVDLSMKWKYTVSSWLIPFGISGSGTASIKRIEHGTLMRSTHQGYKLTSPDQHLNGIIKITFRKIKQSIHSETRPLLGLSCNYLSRGSRAHILLADPYPINLKSTSHIKKPNKIQIPTPTNSLYLRNQFLQTKISNNTFKKTILLNKIQKLMKLLNLFFLSHLLLIPTIASNQPFEDGFISGVISNKGLDFAKDLLIEKGIETIVFVKLPKIENTAYVPLVGNAKVTLSDIMIKDVQVNTSNIMIGESGIVVVVTGAIVDLSMKWKYTVSSWLLPFGISDSGTASIKVTGMQVGLTLNLKSKDGTLKLSLLDHGCYVGDLSIKLDGGAAWLYQLLVDAFQDIIASSVEEAISDQITEGIVKLDNILQALPKQVSLDKTVSLNVSFVGNPVLSNSSIAIAINGLFARTSEVFVPQSYKNGFKVSSACGGLPKMIKVSIHEDVFKSASLVYFNAGKLQMVIDELHDQALLNTAGWRFIVPQLYKRYPNDDMQINISASSPPVIQVSYQDIGATLSVDITIDVLEGGEIIPVACISVDVSASCGVEIIGNNLSGSLKLQQFSAYLKWSKIGKLHIRLIQSLISSVLKTAVLPYLNHQLKSLPLPNIDGYGFQNTVILYNYPWISLCSDFSFTEDDYYFIQHSNYVS >RHN62256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42095858:42097439:-1 gene:gene24811 transcript:rna24811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, non-heme dioxygenase domain-containing protein MGEVDPAFVQEQEHRPKLSIIEAKGIPVIDLSPIFHNEVPNPSDIENLVKEIGSACKEWGFFQVTNHGVPSSLRQRLDEAAKLFFAQSLEEKRKVARDEINPTGYYDTEHTKNVRDWKEVLDFLANDPTLVPLNSDENDDQFIQWSNPSPQFPSHFRAIVEEYNEEMEKLAYKLLELIALSLGVEAKRFEEFFKDHTSFIRFNHYPPCPYPHLALGVGRHKDAGALTILAQDDVGGLEVKHKASQEWVLVKPTSDAYIINVCDIIQVWSNDAYESVEHRVMVNKEKERFSIPFFFNPGHDAEIKPLEELTNKQNSPKYKPYKWGKFFVHRLHSNFKKQDEENLQIYHYKLQ >RHN54652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11068381:11069153:1 gene:gene29723 transcript:rna29723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MDGDHGDNPLSDNVIVLLIAMGSALFVVSMYHVVAICFCNHQRTTTNPTQPPRQPATPSLEENTSTSVANLFPTHKYHKRNKDDAVPDGEGDTCAVCLGDFEEGEELRTMPECLHSFHVSCIDMWLHSHSNCPVCRSSTAPSPVVNGQQQQQHNIDMPHTNMVPLAIMQSGLVRW >RHN59453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10891602:10893112:-1 gene:gene21483 transcript:rna21483 gene_biotype:protein_coding transcript_biotype:protein_coding MEACELGASQLVVCIQVVSQQVACALEASQLVACTPEVSLQVACKQVASQLVTCVQVVSQLVACALEASLLEVCKLETSQLVACIQLASPLEVCELEASQLEVCELGASQLVACTPEVSLQVACEQVASQPVACVQVVSQLVACALEASLLEVCEVGASQHVVCTPEVSLQVACKQVASQLVASQLVAYVQVVSQLVACALESSLLEVCEVGASQLVACTPEVSLHVACKQVASQLVACVQVVSQLVACALEASLLEVCLLGASQHVACTPEVSLQVACKQVASQLVAYVQVVSQLVACALEASLLEVVSQLVACALEASLLEVCEVGASQLVACTPEVSLQMACKQAASQLVVCVQVVSQLVTCVQVVSQLVACALEASQLVACLPEVSLHVACRRDGALLEVCTQVVSLLEACKWGVFRLVDGGLEVSHPVVCAPVVSLCVVYKIGDSELVVYTREVSHSWQALEG >RHN82702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55791774:55792417:-1 gene:gene6883 transcript:rna6883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MAEYSYLPEDCWEYVIKFLNHRSLESLSIVSKKFLSITNRCRPSFKICDPTIPFLPSLFHRFTNLISLDLTCFHSDLDTLLRQISFSSMHLKSLDVSNHYIPKDGFQSLAAKMKTLTSLTCSNMLFVSQTDLIIIAECFPFLEELDISFPQSVKSNTYILPLTLPKLRKVDLSGAFNNKGNLLQTCYFVFC >RHN42573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39453077:39453452:-1 gene:gene49029 transcript:rna49029 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVFSWFSIQVTAASRLVLPVKERSLSSVSREYVIINMQM >RHN71167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56883153:56884364:1 gene:gene19817 transcript:rna19817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLDTVLLALFLPCLGMSAIFVVYMCLLYATTRHRSDSNPPLKPVTEKGLSPLDLEKLPKITGKELLAPTECAVCLDDIVDEQPARLLPGCNHAFHLQCADTWLSKHPMCPLCRAKLDPQSLNSESPC >RHN39633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8901520:8913604:-1 gene:gene45675 transcript:rna45675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MELDLRSFLPDDTETDLPPIHHRTVDEILNDCSSSSSSSSSPSPSPPPSPSPSNNKPTHFPQPVPASISDRVVQNVRVGDKPPRPRLFSSLFGRVTPNAKPGAALAAAAAASRSVPTPHAAAIMHRRRISNSIKSESDISDVLSEFGEKIERSGSVGDVDFRGAELEERFEGFVERSESVGDVRIDAIEVNEDDVNMKNDVKSDLDKCFVSNLLNSVENSHDHDDNGLDSTKSTPFDVGGAENGHDHDSKGLISASFDVVVDDVDDENEDKFGEKSSFDYVGDNGINEKEEIVNGVGVVEGIGNEMNDDGGGDGSGEGIGNEVNDDDEVNGGGGGDDGSSIGDVFELVEETLEELEQESRRVTKKKLDSLKKPLDLAEELEKKNASTGLHLEEGAAAQPMRLEGVRRGSITLGYFDVDADNAITRVISSQNFRREHGSAQVLVVHANYIAVGMTRGLIVVVPSKYSIHHVDNTDGKMLMLGIQGDRSQAPVTSMSFNQQGDLLLAGYGDGHVTLWDVQKGVAVKVISGEHTAPVVHAFFLGQDPQNTRQFKAVTGDCKGLVLLHHISMVVLINRFNFKTQCLLDGQNTGLVLSASPLFSGEFGGSPSYSQGNTMVSASSISSMVGGVVGGDAGWKLFNEGSSLVEEGVVVFVTHQTALVVRLSPSLVAYAQLPRPNGIREGSMPYTAWKYMTQTSSSTENASAETVERVSLLAIAWERKVQVARLVKSELKVYGEWSLDSAAIGLAWLDDQMLVVLTSTGQLNLFAKDGTVIHQTSFGIDGIGGDELLSYHTHFINVYGNPEKAYHNAIAVRGTSIYILGPTHLIISRLLPWKERILVLRKAGDWMGALNMAMTLYDGHAHGVVDLPRTLDAIHEAIMPFLEELLTSYVEEVFSYISVAFCNQIGKPDQSNDSNSISNSVHSEIKEQYTRVGGVAVEFCCHIKRTDILFDKIFSKFVDVHVQQRETFLELLEPYILKDMLGSLPPEIMQELVKYYSTKGWLQRVEQCVLHMDISSLDFNQVVRLCREHGLYSALVYLFNKGLDDFRAPLEELFAVLQNSQKENATAHGYRMLVYLKYCFTGLAFPPGHGTIPPMRLPSLRKELVEFLLEDSSATKSRIVSDSVSKRPYLNLYLLLELDTEATLDVLRCAFIEDKNSNSSSSLLESADRPIEEAKEENNNVTGTDNILVQNTVDALIQIIDMNVVPTDTTSSSGDDGLTMEWPLKDKGCLFEFIAYYVAHQRAKVSKGMLCRILEYLTLDNHFSTNVSSHSSTPKNREKQVLALLEVVPESDWDVPFLLDLCESAKYYQVCGLIHSIRHEYVAALDSYMKDVDEPVHAFSFINKTFSLLIGNDHTAIRSAVLSRIPELVELSREGAFHVVTRHFSDESSHVITKLHSHPRSLFLYLKTLIELHLFGTLDLSHLTKGVITNPPNGKQVKDPPPGIHDYLENISDFPKYMRENPSHVPDDLIELYLELLCQYERGSVLKFLEMFDSYRVEHCLRLCQEYGILDAAAFLLERVGDVGSALSLTLSDLNDKFVELDAVVEAVVSNHPKLDYSHIDVYNTVLKTKEVNGMYDLLHACIGLCQRNTPRLNPEESEAHWFKLLDSFCDPLMDSYVEEKSYERKNYFGVLAGSPDSQLDKDTYKSSWKISKSRNGDILRKLISQFIKEIVEGMIGYVHLPAIMSKLLSDNGSQEFGYFKLTILGMLGTYGFERRILDAAKSLIEDDTFYTMTLLKKGASHGFAPRSSVCCICNCLLTKNSVTNGIRIFNCGHAIHLHCEASEVESSSKASSSGCPVCMPIQKPQKSRNKSIITENGLVNKLSSRRQYPHGSTSHHHHDSDLSENMYGGQQQISRFEILSSLQKNQRFMQFENLPPLRLAPPAVYHEKVSKVAHYQTGESSNNSAVIDKHSRHKQNRDLRVRGSSIRFPLKSSFFGKEKTNKR >RHN79964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33947929:33950568:-1 gene:gene3828 transcript:rna3828 gene_biotype:protein_coding transcript_biotype:protein_coding MPHKTRPMTAILLFTTLNAVLCATITPVYDFVCFLPYWERRRERRRQEREASNHGRI >RHN59075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7307404:7310526:1 gene:gene21062 transcript:rna21062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MGRQIIYEESPSDPEKRSRLWRREEVFDILAKDKGTEAVKGLALEFPGKDCLDTKAFKKMNKLRLLRLTGVKLKGDFKYLSRDLKLLYWHGFPKTYTPAEFQQESLVAVELKYSKLKQLWNKSQILENLKILNLSHSPDLTETPDFTYLPNLEKLVLKNCPSLSTVSHSIGSLHKILLINLTDCTGLRKLPRSNYKLKSLETLILSGCSMIEKLEEDLEQMESLITLIADKTAIKKVPFSVVRLKSIGYISLGGFEGFTRDVFSSLVRSWMSPSNNVISLVHTSVSVSSLVNYKDLQKLRVLYVECGSDLQLTQDIARFLDVLKTISCTMLEASANSIASEISDMYDSPLIDGCLGLVHTSKSKNHLKSLLIQMGTKCQVSNTAEDSVSQTTKDTEEAWDSFSLPYDNNSEWSTFSCKGCSIIFDIPIIKPLNLKSMRLFIVYYSSSENIISEGFQGVLIINYTKRTIQVYKRDTLISFDDEDWQSITSNLELGNKVKFMVVFGEGFHVENTTVSLLYVVASGNDDNHVGVSGGDNEVINQFREVMVNHLQITWPTDGCYTDGVGLVELVPHDLDQPAEEVAEEVCAAEVEDGQKQQVPLPEGQPELRAAETPETIGLVLGTQILDALKEFRADFVRLEQTVTARLNAVEVKLEKLEDVIAQIPHASSFKSTSMLMFLSMLLFLLLCCWVVFLSLLGY >RHN71835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2925509:2926851:-1 gene:gene7475 transcript:rna7475 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKFFLEFVFIMNLLCKETINFLSIVSSNPLFSCIVTFCTLILIYFPYSFCKIVFSPVLILTGVILIFVLRFGAIQRSKSEEKEKFGELESVTNEENRDEKQGKTEENDSLDQIYKWVSRNYEEKLKSKMGFESNSFLDESFVEWNVKAPLDVIYEDEETEDIISNENCVAGILRHSSLSRYYPESDSDSSSENEFPAMENWDSPGNMSFRWDEEDRDGLIEIALDGFKRKALGFQYEEENMIEIDISPTKRREFSGEEEVFAGEISCN >RHN43628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47337322:47337672:-1 gene:gene50235 transcript:rna50235 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFKTPQNLSLVFLKLANKDLPLHKPFLSFPSPPKPNSQTKPKETV >RHN52979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40803867:40807549:-1 gene:gene37721 transcript:rna37721 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MASSNNSSSALVTSSKKNHYDVFVIFRGEDTRNNFTDFLFDALQTKGIIVFLDDTNLPKGESIGPELIRAIEGSQVFVAFFSRNYASSTWCLQELEKICECIKGSGKHVLPVFYDVDPSEVRKQSEIYSEAFVKHEQRFQQDSKKVSIWREALEQVGDISGWDLHDKPLAREIKEVVQKIINILECKYSCVSKDLVEIDSPIQALQNHLLLNSVDGVRAIGICGIGGIGKTTLATTLYGQISHQFSASFFIDDVTKIYRLHDNPLDAQKKILFQTLSIEHNKICDCYHATTLIQRRLCHERALLIQVVVP >RHN47536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40387134:40388395:1 gene:gene42149 transcript:rna42149 gene_biotype:protein_coding transcript_biotype:protein_coding MESENTKTKKQELSSNKQKEPLASHPNHQSLHLLELQSSNISIHFDLVKELLNRSVTILKFSIIPLLPDHIPGHTQHHSMSLIHTIVTVTMRLNILQNRMPIASINRHIHPSHNTFPNSISIITRKKEVRMSFILLCTQNANLVTLDTYFTHPIISWQSSMNNQPSNKHSFWRGWIITYHFPPTNLCKVTS >RHN60057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17850766:17855015:-1 gene:gene22256 transcript:rna22256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol O-acetyltransferase MATARSLVGSTPFLLTSLPYKKKKKLMKNNNALIKSFHLSSSSSSSVQVTMSTDNLIQQPHPSFEILGGARDRFLPSLTHVTRPYNPFPFITWNRHVETIFASFFRSTPDVTLRRHCLRTQDGGSVALDWVSGDDRRLPPDAPLLILLPGLTGGSGDSYVRHMLIRARNKGWRVVVFNSRGCGGSPVTTPQFYSASFLGDMHEVVSHVSDRYPDANLYGVGWSLGANILVRYLGQESHNCPLSGAVSLCNPFDLVVSDEDFRKGFNKIYDKALSSALSKIFNKHALLFEDIGGEYNIPLVANAKSVRDFDDGLTRVSFGFKSVDDYYSNSSSSDSIKHVQTPLLCIQAANDPIAPSRGIPREDIKENPNCLLVVTSKGGHLGWVAGDEAPLGAPWTDPLVIDFLQYLETEAAKASQTGSNPGVNKETFHHLQV >RHN40876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23592455:23593717:1 gene:gene47119 transcript:rna47119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative defensin, plant MAICSTRCLFTFLVFFCLVFHLISAEVRIAAAICQQPSGTWSGPCVGSSICNDQCREREGAVGGGCHILACYCHFFCNGD >RHN38970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3138989:3140396:-1 gene:gene44950 transcript:rna44950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MDSSSSSSNPRWIHDVFISFRGEDTRKTFVSHLYAALTNAGINTYTDSQLHKGVELGPELSQGIEWSHISIVVFSKRYTESCWCLNELKKIMECYRTHGHVVVPVFYDVDPSVVRYQKGDFGKALLSTAKKIYFHSGEERLEYVLSRWTSALTEAANLAGWDVNNCRNEGELMQQIVADVLEKLDSAFLPITGLEKLNCGGRFGKTNAANYAHFEYYLVIEFIVTQPSKVCMMGIWGMGGLGKTTTAKAVYNQIHRKFEDKSFIENIREVYEKYSTGIIHLQQQLLSDILNSKEIIHSIASGTSTIERRLQGKRALVVLDDVTTIKQLHALFRNLTFFWLRKCFNCYNQGCAHT >RHN65232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:403929:404832:-1 gene:gene13051 transcript:rna13051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDVEHHSSGEGPATNDRCSICHENFQLPCQANCSHWFCANCIIQVWQYSSPLQPCKCPLCRRPINLLLPTDVVDNNNYEQDSLLGDIQKYNRLFGEQSNASIAERLRDLPFLLRRLFRDFANPNVSFPLVIRARIFVTVVVNRVGLEIISRNVGGDFEPLFL >RHN71637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1563971:1565589:-1 gene:gene7257 transcript:rna7257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-hydroxyacyl-[acyl-carrier-protein] dehydratase MDINQILEILPHRFPFLLVDRVIEYNPGVSAVAIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLHPDVGGSRANFFFAGIDKVRFRKPVIAGDTLVMRMTLTKLQKRFGIAKMDGKAYVGGEVVCEGEFLMAMGG >RHN61312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34716165:34717379:-1 gene:gene23762 transcript:rna23762 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSLNTKSHHNHARSNSLPSKPHPIILQCNEHLARLGGANSNSDSTSSSLVLSHKLNILQDLHICIEKLVQLPLTQEAFVKQSQEKWVDEFLEGSLRLLDTCTATKDALLHTKECARELQSIIRRRRGGEAEVTIEVKKFLTSRKVVRKAIFKALENLKGNANKCSLCINSNKDYQTVATVSLLKEVEMITFSLFESLLIFMCGTQSKRSSWSLVSKLMNSKKVSNSLSQGEDENEFAKVDTALEFFAFNMRSKSSDIENLQNKLVNLGSCIQDLEEGLESLFRRLIKIRVALLNILNH >RHN38474.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000010.1:24821:31148:1 gene:gene50665 transcript:rna50665 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSDRKSKRSYPSQSESEDEKKSKRKRTVDDDEEKKSRKREKKEKRKDKKHSRDKSDKDKKLKDKHKSKRSKSERNMNFQELSGDDYFAKNNEFATWLKEEKNVFFSDLLSESARELFAEFVKAWNRGKLESNYYEGIKTAPRTAHNWKIKK >RHN46682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34015658:34017328:1 gene:gene41201 transcript:rna41201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKRRFLDTEMSMPPSYENNTSDSFINVENFDTNMVIILAALLCALICALGLNTIARCAMRCSRRLSEETPEQATVRLNKTGLKKRELSQIPVTVYGGAGEDIPVTECPICLGEFEKGDKVRMLPKCNHGFHVRCIDTWLVSHSSCPNCRNSLLVEGESKDGVAGDGLPENVAAVIVEVS >RHN69068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40764352:40768723:1 gene:gene17496 transcript:rna17496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Ribulose-bisphosphate carboxylase]-lysine N-methyltransferase MTTMVEALGMFMFTNTTTLIPAFNQTIHKTTHLGLSRRNHAHFTLSATSSLIETIPWGCENDSIENSSSLQKWLSQSGLPSQKMSIDKVDVGERGLVALNNIRKGEKLLFVPPQLVITPDSEWSCPEAGEVLKKNSVPDWPLLATYLISEASLMKSSRWFSYISALPRQPYSLLYWSQAELDRYLEASQIRERAIERTNNVIGTYNDMRVRIFSKYPDFFPEEVFNIESFKWSFGILFSRMVRLPSMDGKNALVPWADMMNHSCEVETFLDYDKSSKGIVFPTDRPYQPGEQVFISYGKKSNGELLLSYGFVPKEGTNPSDSVELSLSLKKSDESYKEKLELLKKYGLSGSQCFPIRVTGWPLELMAYAYLAVSPSSMRGKFEEMAAAASNKTTSKKDLRYPEIEEQALQFILDSCESSISKYNKFLQVSGSLDLDVTSPKQLNRRLFLKQLAVDLCNSERRILFRAQYILRRRLRDMRTGELKGLKIFNGFWNFFQ >RHN45851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26293651:26296529:1 gene:gene40272 transcript:rna40272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MVVKNSNQVEKSTNSTYDRYNEVKAFDDSKAGVRGLVESGVSKIPQMFHNEMLDIATKSICDSKLNIPIIDLKGIDINPTLREEVIGKIRSACHEWGFFQVINHEIPINVLDEMIHGIRNFHEQDVNVRKEFYTRDLREKVVYFSNTTLFTSQAADWRDTVGFVVAPDPFKPEEIPPICRDIVIEYSQKISDLGFRIFELLSEAVGLDPSYLKELKCAEGIYIMGHYFPPCPEPELTMGVGKHTDGNFMTLLLQDQIGGLEVLHENSWVKVPPTHGALVVNVGDLLQLITNDKFVSVHHRVLSPNIGPRISVATFFLSSRDPIEGASKVFGPIKELISEANPPIYKDITIQDFLSYFHAKGLDGSSSLEPFKL >RHN41443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30069946:30070314:1 gene:gene47766 transcript:rna47766 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVRKAIFQKCCTNIISLPKHKLRWGRTNEGSDSAFVRQKREEFPKGCVKPPRHEKSLHPMSDSVTENIITALLLLSKEKKKKKKYSFHYCIKKKKKKRKIMMRNRGSNSIYVLRAVYKFD >RHN38402.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000027.1:75:1172:-1 gene:gene50776 transcript:rna50776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MSSEIEVVEEVQSRRDQQSPPSSSSSSSAVVDEVASRNDVYTAAAYGDLEKLHRLVEIEGCLVNEPDGLGYYALQWAALNNRTAAAQYIIEVFFHPSIHPLF >RHN40007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12234541:12237917:-1 gene:gene46096 transcript:rna46096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain-containing protein MGGIGKTTLAAAIFQKVSSMYEGSCFLENVTEESKRHGLSYTYNRLLSKLLGEDLHIETPKVISSMVMKRLKRMKAFIVLDDVRTLELLDNLIGAGHDCLGVGSRVIVTTRDKHVLTGGGIDEIHQVKEMNSQNSIRLFSLNAFKKILPNEGYEEISNNVVSYTKGNPLALKVLGSFLRTKSKKEWNSALNKLKEIPNAEIQKVLRLSYDELDDTEKNIFLDVACFFKGFGSSSSVTKILNACGFFADIGIRNLLDKALVTITSENFIKMHDLIKQMGREIVREESIKNPRQRSRLWNADEICDVLTDNNVIMIYTLTCIAKNMYIYRVLLEDTFYEGVF >RHN61305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34693566:34694339:-1 gene:gene23754 transcript:rna23754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxoacyl-[acyl-carrier-protein] reductase MAGSDGDRGGGKGIEMGIEIGRSEGNRIVIKTNVSKGLGRVLVIELLAYRSHTIIGCSCDQDKLDFLQSQLPNNNHHLFLNIDVRCNNRVEEMACIFMEKNGGPSDIIVNGAGVVNKNNKMWEVPSEEFDLVMDTNLKGAANVLRPFIPLMVKNKKYEEGGIIVNMSSGWGRSVAALVAPYCVSKWAIEGLTKSVVEELPKVMAVVALNPGVINTNMLAFCYGASSSLYRFPESWVLEAATKILNLTPTDNGSSLSI >RHN60735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30008768:30010371:1 gene:gene23091 transcript:rna23091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L11/L12 MPPKLDPSQIVEVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVAVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIAKIMKPRSMAKELAGTVKEILGTCVSVGCTVDGKDPKDLQQEINDGDVEIPQD >RHN65707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4624538:4630582:1 gene:gene13580 transcript:rna13580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEALAFTVLEKLSSAAYKELEIIWNFKEDMERMKNTVSMITAVLLDAEAKANNHQVSNWLEKLKDVLYDADDLLEDFSIEALRRKVMAGNNRVRRTQAFFSKSNKIACGLKLGYRMKAIQKRLDDIAKTKHDLQLNDRPMENPIAYREQRQTYSFVSKDEVIGRDEEKKCIKSYLLDDNATNNVSIIPIVGIGGLGKTALAQLVYNDNDVQGHFELKMWVHVSDEFDIKKISRDIIGDEKNGQMEQVQQQLRNKIEGKKFLLVLDDVWNEDHELWLKLKSMFMDGGKGSMIIVTTRSQTVAKITGTHPPLFLKGLDSQKSQELFSRVAFCELKEQNDLELLAIGMDIVKKCAGVPLAIRTIGSLLFARNLGRSDWLYFKDAEFSKIDQHKDKIFAILKLSYDHLPSFLKKCFAYCSLFPKGFMFEKKTLIQLWVAEGFIQQSNDIRCVEDVGHEYFMSLLSMSFFQDVSIDDCGGISTCKMHDIMHDLAQLVTGNEYVVVEGEELNIGNRTRYLSSRRGIQLSPISSSSYKLRTFHVVSPQMNASNRFLQSDVFSFSGLKFLRVLTLCGLNIEEIPNSIEEMKHLRYIDLSRNNVLKNLPPTITSLLNLQTLKLSDCSKLEILPENLNRSLRHLELNGCESLTCMPCGLGQLTDLQTLTLFVLNSGSTSVNELGELNNLRGRLELKGLNFLRNNAEKIESAKVLLEK >RHN50355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6444371:6450684:-1 gene:gene34630 transcript:rna34630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Per33/Pom33 family protein MGSEKEDPQKLKRLAADSYDYDSDSRWPDYWNNVLIPPHMSSRQDVVSHFKRKFYQRYLDNDLVVEPMSLSSSSQPPRPPATSSSSSPPPRSNDQARARSTGSTNRTSGTSATSVGPNPAPLRWDRQTILFSVNAWVLVVAFLAAVPIIPKHLSHRAYRLSFMGTTCSSLYSLYSQYGKPRAWNMQALQVYFQSIIATKDFIYFVYCLTFVTSHLCLKFALIPILCWSFEHVAKFLRRNFSRSTLYRKYLEEPCVWVESNNTTLNILTSHAEIGLGFLLVLSLFSWQRNIIQTFMYWQLLKLMYHVPVTAAYHQSVWAKIGRSINPLIHRHAPFLKTPLSAVQRWWLR >RHN72562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8632837:8635004:-1 gene:gene8288 transcript:rna8288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling &Metalloenzymes JmjC family MNCHDIKCPMSSDLIDKNLFKFQKKLRNGEPFIVPDVLKQGTGLSWEPMVTLRALCANSSSSVNSDVKSNVKAFDCLASCEVEINTRQFFKGYKEGRRYVNLWPEMLKLKDWPPSDEFENLLPRHCDEFIHCLPFQEYSDPRSGILNLATKLPPHVIKPDLGPKTYIAYGTREELGRGDSVTKLHCDIADAVNILTHITEVKLTEDQLCAIKKIKSAHKAQDIKEGRAQDNRGPFVPSITKEISETAGALWDIFKREDTAKLEAYLQKHCKEFRHTFFSPVEKVIHPIHDQCFYLTFDHKKKLEKEFGVVPLTFEQKLGEAVFILAGCPHQVRNLKSCTKIAADFVSPENVDICMLLTEEFRRLPKNHRAREDKLELKNMIIYAADQVVEELEAFIG >RHN79739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31726148:31734718:1 gene:gene3571 transcript:rna3571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MSQDSDETIKTSEELKWSEMQGEHSLSKEQMEEEASLPKDKSCDVSNGNEKVKNVASVGFCELFRFADGLDYVLMIIGSLGAVVHGCSLPLFLRFFADLVNSFGSNANNLDKMTQEVAKYALYFLIVGAAIWASSWAEISCWMWTGERQSTKMRIKYLEAALDQDIQFFDTEVRTSDVVFAINSDAVMVQDAISEKLGNFVHYMATFVSGFAVGFTAVWQLALVTLAVVPMIAVIGGIHTITLGKLSGKSQEALSQAGNIVEQTIVQIRVVLAFVGETKALQGYSSALRVAQKIGYRTGFAKGIGLGATYFVVFCCYALLLWYGGYLVRHDYTNGGLAISTMFAVMIGGLALGQSAPSMIAFTKARVAAAKIFGVIDHKPCIDKKSESGLELETVTGLVELKNVDFSYPSRPEVQILHDFSLSVPSGKTIALVGSSGSGKSTIVSLIERFYDPSSGQVLLDGHDVKTLKLKWLRQQIGLVSQEPALFATTIRENILLGRPDADQVEIEEAARVANAHSFIIKLPDGYETQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQQGSVSEIGTHDELFAKGENGVYAKLIKMQEMANESSMSNARKSSARPSSARNSVSSPIITRNSSYGGRSPYSRRLSDFSTSDFSLSFDASHPNFRLEKLAFKDQASSFWRLAKMNSPEWLYALIGSIGSIVCGSLSAFFAYVLSAVLSVYYNPSHKHMIREIEKYCYLLIGLSSAALVFNTLQHFFWDTVGENLTKRVREKMLSAVLKNEMAWFDREENESARIAARLALDANNVRSAIGDRISVIVQNTALLLVACTAGFVLQWRLALVLVAVFPVVVAATVLQKMFMTGFSGDLEAAHAKATQLAGEAIANVRTVAAFNSEKKIVGLFASNLETPLRRCFWKGQISGSGYGIAQFALYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMKSVFDLLDRRTEIEPDDPDAVIVPDRLRGEVELKHVDFSYPSRPDMPVFRDLSLRARAGKTLALVGPSGCGKSSVIALIQRFYDPTSGRVTIDGKDIRKYNLKSLRRHIAVVPQEPCLFATTIYENIAYGHESATEAEIIEAATLSNAHKFISSLPDGYKTFVGERGVQLSGGQKQRIAIARAFVRKAELMLLDEATSALDAESERSVQEALERACSGKTTIIVAHRLSTIRNANLIAVIDDGTVEEQGSHSHLLKNHPDGIYARMIQLQRLTNSQVVGTASSSSSSARTKVDEREG >RHN65809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5560847:5561143:-1 gene:gene13699 transcript:rna13699 gene_biotype:protein_coding transcript_biotype:protein_coding MFKGDFNEIFGPTLSNFFFSALSLEKKKKKTLHRHQNPKIQTLLF >RHN81330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44920188:44921950:1 gene:gene5349 transcript:rna5349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain-containing protein MAFKVVDFKDFSPGSGLVFHLLPLDQNYLPNNSDGGYLGVIDSKNAFNQFVGIEFDGVSPWDPKYTHVGIDCKNL >RHN68256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34011649:34013675:-1 gene:gene16587 transcript:rna16587 gene_biotype:protein_coding transcript_biotype:protein_coding MPCYLIALPPNPDFLSFASSLLPQGNNKLLHAMEMLPPELQLVIAKKIAASSTRELLTFWASAKLHRRLSKNLVVLRAVSKYCLGLLLLTSPNAGQRKFMQQLTLNGHALYFVVKVAQMLDQPHPDLPRIQYVLSSAQLAGSDEAKYFLIMLKVLASEGFVRDRVLSLFHDLFTRQRLAHRRSIISADGILFDWGQLELRLMPLGLDYKFTCLSNGACAMSNMIRNSHFPSPCADEDYDIINIYLPCRLDTELVWFLGHFRFFGLDFLL >RHN54027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6325368:6335374:-1 gene:gene29002 transcript:rna29002 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEITQENIIPKQLPKKYTFTGPDVALPMVIKLQINNNFSVLRVFVNPMSKVDILYWSAFLKMKLQESMLKPCQGFLKGTFGKGLPVKGYIDLDTTFGKGENTKTIKVRYFVVESAPSFSIFNVVLGWPALKDLNAVRLSWADLTIEYSIGDGKVGVVEADLETAKKCHDMCPHFIM >RHN79848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32816804:32822355:1 gene:gene3695 transcript:rna3695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MGWIPCSGGSNTKKKKIKKKKLEEVQDMRDAPIKGKLKKNSSMNSRNSSKNGDSEHIAAQTFPFRELATATRNFRADCLLGEGGFGRVYKGHLESSNQTVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDISPGKKRLDWSTRMKIAAGAAKGLEYLHDKANPPVIYRDLKCSNILLGEGYHPKLSDFGLAKVGPVGENTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSKCAAEQNLVAWARPLFKDRRKFTQMADPMLQGQYPSRGIYQALAVAAMCVQEQANMRPVIADVVTALSYLASQRYEPNTQTVQSSRLGPGTPPRTRRGV >RHN58755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4506650:4515133:1 gene:gene20697 transcript:rna20697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative YAP-binding/ALF4/Glomulin MSISLESETASFLGSEAHTNLQRILRSCPKLDEVGDSHEYENTFSELVNFLDSLLDAAFSDPYNEHKENDAFEALSEIHRYICSPSLDQEVVDALSFEVPKAVSKFAGISSKFSDMAISIIDQFIAKCGPRDMLSILCDTLGYSSKVTNAASYIVPPLSGISKVLISIRRRQFQQVKETIPIILNVLKAVSLKSDEELDNVFDRAVEIANSIYEVCDKLVDEDAAREKFRSLLGLYVLQCLALVSAGVSYTASSCHSLVLQLSRISSYCGLSYLSLVTTYDVEVVASAVFGENKDDYMDCLSHIKHGCALSVIWGHVSEEVAHAAKEDMTVVKDELRNNQIKRWQAIGTLKHVLSFVSLPWELKKHTINFLLCITDGDIRGNCDDEQSQWSSYMPNLFSALQAVKMVIMYTPDPEHRKNSFAVLKGVLADIPISQRLDILIALITNTDSSSMIAILVDLVRREMHTEISSSTSVVKDVQHIDISFWTPSVLELVESILRPPQGGPPSLPEQSDAVLSALNLYRFVIMTESTGKTNYTGVLSRSSLNKVYNEWLLPLRTLVTGIMVENKSDYDELAIDTLCTLNPLELVLYRCIELVEEKLKQVT >RHN74390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32571324:32575947:1 gene:gene10447 transcript:rna10447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGNLLGKSKKRNPQNSRSDKGGRILSNIPVHEPIPLPLTQQVASMSKKSNFGTHNSGQSSNTKKPLKKYALIQDNFTTLEQVTAALRKEGLESSNLILGIDFTKSNEWTGQVSFNKRSLHAIGDTPNPYEKAISIVGKTLAPFDEDNLIPCFGFGDATTHDQEVFSFHSDHSPCHGFEEVLACYKNIVPNLKLSGPTSYAPVIEAAIDIVEKSHGQFHVLVIIADGQVTRSVDYDDNELSPQEEKTIKAIADASKYPLAIVLIGVGDGPWEDMEKFDDKLPTRDFDNFQFVNFTKIMSKNTSETEKEAAFALAALMEIPFQYKACVEFKKLGRVTGRAKRIVPKPSPVPYSRPVPSNSPASTTDDHNQSACCPVCLTNAKDLAFGCGHMTCRDCGSRLRDCPICRVRITSRLRVYNG >RHN61068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32684423:32685634:-1 gene:gene23481 transcript:rna23481 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLIVREIKINKSLLIFLFNFTKQIILTLDLIYAKWHLLFLNVNP >RHN46522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32472741:32473651:1 gene:gene41015 transcript:rna41015 gene_biotype:protein_coding transcript_biotype:protein_coding MQHNILTSIRSTKITDGCKSNQIYALNNSAAANSPINAVVGGGDSIGEKLFHHLLDRSKTSGRTKPVGPKTATLEALLPCGLPSSELLEPSIEPCLKPFDLVQTLAGVRRRIEGEGGKFEAFLEQCLVFKGFFDSKLFRRSLRSARQHAVDVHVKVVLAAWLRYERRDDELVGSSAMDCCGRKVECPKASLVSG >RHN58379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1322021:1322260:1 gene:gene20286 transcript:rna20286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MHHYIADGTSGLHFINIWLDVTRGLDVSIPPFIERTVPRACDPPRPIFDHIEYKPPPSMQTPEKPPKPGFERECSSVDF >RHN76462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50533584:50535686:1 gene:gene12791 transcript:rna12791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bicarbonate transporter MVSEFEVPKEGDPTLDKYQFHWLYANGLLGIIFTFCLLYTSLKSRRARSWLYGTGWLRSFIADYGVPFLVVVWTALSFTVVASKVPSGVPRRLVAPLAWESASLHHWTVIKDMGKVSLEHIFAAFVPALMVAGLYFFDHSVASQLAQQKEFNLKKSSAYHYDILLLGFMTLLCGLIGLPPSNGVLPQSPMHTKSLAVLKKQLIRRKMVKSANESIGKKASNSEIYGMMQALCIEMDNDPNNHSVVKELEDLKDFVLNGEDKGDNNKSTFDPEKHIDTYLPVRVKEQRVSNLLQSLFVGVAVFAMPAIKMIPTSVLWGYFAYMAIDSLPGNQFWERILLLFVRPSKWYK >RHN58796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4983760:4986242:1 gene:gene20743 transcript:rna20743 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQENSRNGCFSSFIKVLLCARNETSPPVYPSENVETIHHKKDKLFDDSITTTPGVVARLMGLDSLPSTKRVVQGTTLDSVPRSKSVNFVDYLLEFDKNMGSHRRVKTSASFREVPSMVEKKKSFLFVLDIDDKKGKVQEENDANLRRKSKETVRVKKEKNQGKNKKISKLKDEPRRVPFSSSSSKYKSRVRDCSKDKDFSSVSTRCNCSYYGYGGDAGSSSSSCSTSSLPNRQKKGFVEPKMRNKVKKHVSPKKIQTEHSMENLSPVSVLDVNDYAFLYGADFSVTSTLPLKSKRKSKSLLPVSLEEDVEEKVNNNKGYAPHTDINREAEYYSDLMLKLRSLTEESIRESDCTSKTQSLEEICMVFEQTIFDHLVFEFLNEVV >RHN50215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5251491:5251813:-1 gene:gene34481 transcript:rna34481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S25 MNDFVKWSKGKQKEKVNNMVLFDQATYDKLVTPSVLSDRLREVYGCFLIGNLV >RHN49571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55734370:55736105:-1 gene:gene44416 transcript:rna44416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LRAT-like domain-containing protein MGLISNRIEKHDIKPGDHVYTYRAVFSYSHHGIFVGGSKVVHFRPDRNFKSITETSSNVDDPTPTPCPTFPDCGFRQPNSGVVLSCLDCFLRNGSLYCFEYGVSPTLFLTRIRGGTCTTALPDPPETVIHRAMYLLQNGFGNYDVFQNNCEDFAMYCKTGLLIVDKQGVGRSGQASSVIGAPLAAMLSSPLKLLMPSPVGMATVTAGMYCMSRYATDIGVRSDVVKVGVEDLAVNLGWTTCSDEEEVVGDGTANSPIII >RHN68857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39130605:39135291:-1 gene:gene17268 transcript:rna17268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAUS augmin-like complex subunit 1 MSDQEGSVSTEVSGISEVKEWLSKTFEVAGKPVPEFEYTPRSVSHLHHLVTLSKAKDEAARLVARDFRLKAAEYRSQAARIREILENVGLAQESLPSNVVASAQVLANVSNLLNIRDTELSSFLVAMGDISLRKTGVEEKRAKVHKESKFLLDYTRKAIARLTYLKRTLAQLEDEVAPCEAQMESWSNYLKVMAQKERQYVQQCANYETRLNRVGYTPEISHRVLVDMAEHRKELERQTKPILDTLRSYQDLPPDKALAALAIEDKKRQYAAAEKRLEDVLQAALLNEG >RHN52299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33602146:33604290:-1 gene:gene36958 transcript:rna36958 gene_biotype:protein_coding transcript_biotype:protein_coding MITHLSDHKVKVSLRLLSLLISRIAKNFSVTSLLITDTKAIKVVVSKFTRSLPPKRLLIIDTVAMKFVFVIFYKHFKPKFKIKSTSKTTQSATVQQIESCLLQVFKI >RHN46024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27842259:27844605:-1 gene:gene40461 transcript:rna40461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MSSQRNSRDSKLKEKQINDLVSRLQLLLPQLNQRNNSRQSASKILQETLNHIRRLQKEVEDLSERLTQLMDSVDINDNDRRTLENFFQY >RHN42186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36228742:36229110:-1 gene:gene48597 transcript:rna48597 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWITNRIYYKRFSRKYHAAVPSEWAGTFKNGNSNRTRHYSAISESMHPTSVASLTILSTKMAYKYRSTDPSKTQTCSDPRLYADDYMETLQVAISLLSVHHCYDYLFSTSVPPFNQQTDI >RHN64649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60733867:60735499:1 gene:gene27483 transcript:rna27483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MTNKIILINLLLITFLVEASAASNFNQYFDITWGDGRGKILNNGQLLTLSLDKASGSGFRSKNEYLFGKIDMQLKLVPGNSAGTVTTYYLSSLGDAHDEIDFEFLGNLSGDPYIVHTNIYAQGKGNKEQQFYLWFDPTKDFHTYSILWNPQSIIFSVDGTPLREFKNLESKGIPFPKSQAMSIYSSLWDADNWATRGGLAKTDWSQAPFTASYGNFNIQACVWTSSGSSCSSKNPSSNHSWMKQSLDSTGQARIQWVQKNYMIYNYCTDTKRFPQGLPPECSLA >RHN42639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39898558:39898932:-1 gene:gene49104 transcript:rna49104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MVNKQAYDSCNVTNPIRKMHGGDSTFLLDKPGHFYFISGNVKHCVKGEKLSLVVLSHQEHHGPSLSPVPANAPTSGVHDGIALVSSGHHMVAPAPHHDHSGFTRLSGSFVVCVVLALILDSFVF >RHN44047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1237972:1238511:-1 gene:gene38095 transcript:rna38095 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKKIISERQVDTPSCCFHQPKTIILGFSKGGTVLNQIVTELGFADIGSNVNSVDEEISIVPQTKEALLNSISEIHYVDVGLNSTGAYLTNHDVFERISKRLIQGARKLRFVLHGTPRQWTDEQRDWIRKEKDKMLLLLELEASKSKGKLEVLSRYYFADKLPNMQMHFEIIESLDVS >RHN54811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12535672:12543258:-1 gene:gene29899 transcript:rna29899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MFETSLKIEEEVNSIPSNMSGRYHRNKSQQQHRTKSKLRYVNKSELIVSSPNSVAVEQPVSSEDVIVNNNNNTVDDVASSSKTKTKEEYDYDNNDIDNGMDNTNRLDKLLTDIQQIELSEEEITINDQLQQDELLVVESIYGENVFSLDTWKGLRCFQIHINIDVLGEIGITAKVNSVNELETLSNNSDDFLYSFKVQYLPPIVLTCLLPKSYPSHQPPIFTISVKWLESAKILSLCSKLDSIWTEQQGQEVIYSWVEWLQNSSLSHLGFDEEIRLGPYGWNRVADARVVSGIGCIDADIPFLQSYNNERRHQNFLKELHECCVCYSEYPGTEFVRLPCKHFFCRKCLQTFTQIHVKEGNVSNLQCLDAKCKEMIPPGLLKHFLGDEEYERWESMMLEKTLASMSDVAYCPRCETPCIEEEDQHAQCPKCFFSFCTLCRERRHVGIACMTLEMKLQLLQDRQNSSHLKGNQKQIELAKINEMLSIKAIHRDSKLCPYCDMAISRTGGCNKMKCGNCGKYFCYLCNKALDPSDPYGHFREGGSCELFPQEMVDNWQPRINPRQEVQQIHAELFHLGGSACPSCRQFNVKIGNNNHMLCWACQSHYCYLCNKTVRRGTKHYGPKGCKQHTEG >RHN51289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15849266:15854683:1 gene:gene35705 transcript:rna35705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MHTSFQHSSYMSSQQFHICFHLLRVQILRSIIMFRFLLFAFIFVGLKQDGANAQIYKRVGNKLLPYSTIIVDPLGNGNFTTIKSAIESIPLNNKHWVAIRVKAGTYREKIEIPRDKPYIILKGAGKRKTIVEWDDHAPISQSATFSSMADNVVVKSISFRNTYKNPIKNHTHIAAVAAMISGDKTYFFRVGFFGYQDTLWDNNGRHYYKLCTIQGAIDFIFGAGQSLFERCSISVIGGGYITAQGRTNANDESGFVFKDCHIFGNARAYLGRPWRRYARVLFYKTNMTKIVAPRGWNPWSFDGEEDQITFAEYGNFGPGADTSKRVKWTKKLDLETVENMASLNFINTPEEWINYQPF >RHN60144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21700127:21706933:1 gene:gene22382 transcript:rna22382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MGSSNKEKERNIEFMFNDDDVEEQYEKIESESSSDNDDDDDHSVQLQSFTSQQWPQSYKETTDSYTIATAPNFVSILRGPSSIYSSFSNRSKSDLDIDGKTPFLSGLEGTTQSTWWEKRSTQNLVGEMPLGYGCSYTQTVFNGINVMAGVGLLSTPYTVKQAGWMGLVLMLIFASVCCYTATLMRHCFESREGLTSYPDIGEAAFGRYGRIFVSIILYTELYSYCVEFITLEGDNLTGLFPGTSLDIGGLHLDSMHLFGVLTALVILPTVWLKDLRVISYLSVGGIAATILIIISVFSVGTTVGFHHTGRVVNWSGIPFAIGVYGFCFAGHSVFPNIYQSMADKKQYTKALITCFVLCILIYGSVAVMGFLSFGDDTLSQITLNMPAGAFASKVALWTTVDFEFSLIINKYALLMNPLARSLEELLPERVSSTYWCFILLRTTLVASTVCAAFLIPFFGLVMALIGSLFSMLVSVVMPSLCFLKIVGKKATRSQVIVSVIIAAAGIVCGLLGTYSSLMDIVNSY >RHN74716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36105885:36106587:-1 gene:gene10824 transcript:rna10824 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKRNRRCVIHISFPHFFFSKNFTPLSQATPEHPTPSFNLSSHQKTPKSVSSFFPKVMAFFRKLNRIFLP >RHN68334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34700249:34704008:1 gene:gene16671 transcript:rna16671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytokinin 7-beta-glucosyltransferase MEQQKEIAKGHKIILMPSPFQGHITPLLQLATILHSKGFSITIVHTVFNSPNPSSYPHFTFHPLHGALSDTEASKVDAVHLTEVINVRCVQPLKECLTMLLDKEDDGVCCFVSDAALYFTQAVCVEFGIPRIVLRTGGASSFLVFASFPILREKGYFPVQESRMEEAVEDLPPLKVKDLPVFQSKEPEAFYKLVCRFIDECKKSSGIIWNTFEELESSALTKLRQDFSVPIYPIGPFHKYSLAGSNSTSLLTPDKTCISWLDKQEHKRVVYVSFGSIVAISEAEFLEIAWGLVNSNQPFLWAIRPGTIRGSEWLEPLPSGFLENLGERGYIVKWAPQEQVLKHPAVGAFWTHNGWNSTLESVCEGVPMICMPSFGDQKINAKYASDVWKVGVQLEGKLERGEIEKVIRKLMVGDEGNEIRENVMNLKEKANVCLKEGGSSYSFLDSLVSEILSLKSSTSRAH >RHN75551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43228365:43229002:1 gene:gene11775 transcript:rna11775 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASHNNLINIFECKVPKQWTFSLYQKTLYFASLKASIHAHEFPITTFLS >RHN70155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49159436:49163873:-1 gene:gene18710 transcript:rna18710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MGSINRENNDADHNLIQSLLSKEISTINKHQHEDDDEQEFGKKLWFETKKLWHIVGPSIFSRVASFTMNVVTQAFAGHLGDVQLASISIANTVIVGFNFGLLLGMASALETLCGQAFGAKKHNLLGIYLQRSWIVLFLCCFLLLPFYIFATPILKLLGQPDDVAEWSGIVAIWLIPLHFSFAFQFPLQRFLQCQLKTGVIAWVSLVGLVVNVVLSWLLIFVWDFGLIGAAIALDVSWWILVFGMLAYTVCGGCPLTWTGFSIEAFSGLWDFFKLSFASGVMLCLENWYYRILLLMTGQLENATVAVDALSVCMTINGWEMMIPLAFFAGTGVRVANELGAGKGKSAKFAMQVSVAQSTVIGFIFCILIMIFHRQFAYIFTTSPPVLEAVNDMSILLAVTILLNSVQPILSGVAVGSGWQVFVAYVNIGCYYLIGLPLGILMGWVFNTGVEGIWGGMIFGGTAIQTLILIIVTARCDWENEAKKARSSVSKWSVTKPDDQLQITE >RHN73021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12817356:12818135:1 gene:gene8794 transcript:rna8794 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMKRKGFAIMLMMIMLVATQVECITPSQEFVSIKQKEYYEALCEQWCIFVCANARTIGDRFDACVLDCVKDECKAPVETKILL >RHN67827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30543077:30546204:1 gene:gene16066 transcript:rna16066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tyrosine--tRNA ligase MATNFASRSIFFSHSIKHPFFPFTPPLSYSHLPFSLPLRFTRTTCTLQHQHHQQPQTTRNVIRILEERGLLDSLTNDSLRSISSNTINAPLKVYCGFDPTAESLHLGNLLGLIVLSWFRRSGHNVVALIGGATARVGDPSGKNIERPQLDGETLERNTVGIENTIRTILGRSQNPNFKELNVNGNEFCDDSVVVLNNYDWWKEFSLLDFLKRVGKYARVGSMMAKESVRKRLESEQGMSYTEFTYQLLQGYDFLHLFQNEGVNVQIGGSDQWGNITAGTELIRKILQVEGGTYGLTFPLLLKSDGTKFGKSEDGAIWLSPSMLSPYKFYQYFFSVPDADVVRFLKILTFLDIDEIVKLEEEIKKPGYVPNTAQRRLAEEVTKFVHGEDGLNEALRATEALRPGSETKLDWKTIEGIAEDVPSCSLAYDDVLNQSLVDLSVSSGLFDSKSAARRLLKQGGVYLNNSRVDSENKRIEVADIVDGKVLLLSAGKKNKVLVRIA >RHN38579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:417236:417922:1 gene:gene44534 transcript:rna44534 gene_biotype:protein_coding transcript_biotype:protein_coding MCLISTLQSSILTLFLEPDLNAWKINSLLQFGCTLYAVYVGIMGSAFVFCLQAWCITKRGPLFSAILIGAIGVIIGLYTVLWGKAEDVKEMINSKLVVNETTEVTFSTNESCEKSSCKIDLEEHLLADKSTSRCGYK >RHN59666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12604725:12605369:-1 gene:gene21739 transcript:rna21739 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S3 MGQKIHPLGFRLGTTQNHDSIWFAQPRNYSENLKEDKIIRDCIKNYIQKTPGVEGIGRIKIKKTIDQIQVIIYMVLPTLLTEGKPRRIEELQTNVQKKLNCVTRKINITSTRIPNAYSDPNILAEFIAGQLKNRISFRKAIKKAIELAEQAGTKGVQVQIAGRIDGKEIARVEWIREGRVPLQTIRAKIDYCSYPVRTIYGVLGIKVWIFLNND >RHN46142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29149720:29155149:-1 gene:gene40585 transcript:rna40585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MSLFGSNFNIIFHNSLNLFHIIFLMRMGSTWKSSYLSKENQDDSNSLTIPFVSEKTSTPRQCSFARSVINGTNLLCGIGLMTMPYAVKEGGFLSLALLLLFAVICCYTGILLMRCLQSYPGLDTYPDIGQAAFGYAGRLGIAIILSLELFGASVEFLTLVSDNLSALFPNTSMIVAGTELSTHQVFTIAAALLVLPTVWLKNLSLLSYISVGGIFATVIVALCLFWVGAIDQVGFINPGNKLLDIKNISVSIGLFGFAFTGHAVFPNVYSSMKDQSKFPLVLYISFAISVIMYTSVGAVGYLMFGDKIESQFTLNMPKELYASQIAIWTTVVTPLAKFALILLPVASSIEELVVPSPRLRCYAMSLFIKTTLVFSSLFVALSFPYFGSVMALIGSLMSMLVSLIYPCACYLKLHSGRLSTMQITNCILIIIVGVISGIIGTYSATSRIFGDGD >RHN67255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25231549:25233137:1 gene:gene15427 transcript:rna15427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAEMAVSLVVDQLLPLLTEEAKLLRGVHKEFAEIKDELESIQAFLKDADKRAAGAEGDNTGEGVKIWVKQLREAAFRIEDIIDDYLIQLGQQPRDPGCIDLLHKLKTMIPRRRIASEIQDVKTSVRGITERSERYGFQRSFEQGTSNSRGSRNAKWHDPRVAALYVEETEVVGFEAPRQRLIDWMVQGREERTVVSVVGMGGQGKTTLAKKVFDSKDIMGHFDYRVWITVSQSYNSEGLLRDMLLKVCKEKGVTPPEGISQMNRESLTDEVRNHLRKSRYLVVFDDVWNVHFWDDIEFSVIDSKNGSKIFITTRNMDVVLSCKKSSFIEVLELQPLTQEQSLKLFNKKAFKFDYGGSCPKELIGIANEIVKK >RHN38829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2312573:2312977:1 gene:gene44798 transcript:rna44798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MVIPSWAPQVQILSHRSVGGFLSHCGWNSTLESVVHGVPLITWPLFAEQRTNSVLLSEGLKVGLRPRVHENGIVERVEVAKVIKCLMEGEELKWSNMKELKEAASNALKEDGSSTKTISQLTLKWRSLVQENKF >RHN68807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38753605:38759866:1 gene:gene17213 transcript:rna17213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthine/uracil/vitamin C permease MAGGGGGPAKSDEPQPHPPKDQLPNISYCITSPPPWPEAILLGFQHFLVMLGTTVLIPTALVPQMGGGNAEKAKVIETLLFVAGINTLVQTLFGSRLPAVIGGSYTYVPTTISIILAGRFSNEPDPIEKFKKIMRAVQGALIVASTLQIVLGFSGLWRNVARFLSPLSAVPLVSLVGFGLYELGFPGVAKCVEIGLPELILLVFVSQYVPHVLHSGKNIFDRFSVLFTIAIVWIYAVLLTVGGAYNGSPPKTQTSCRTDRAGLIDAAPWIRVPYPFQWGAPTFDAGEAFAMMMASFVALVESSGAFIAVYRFASATPLPPSILSRGIGWQGVGILLSGLFGTISGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPAIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSIFLGLSVPQYFNEYTAINGYGPVHTGGRWFNDMVNVPFQSKAFVAGVVAYFLDNTLHKRDSSIRKDRGKHWWDKYKSFKGDTRSEEFYSLPFNLNKYFPSV >RHN74965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38228170:38229622:1 gene:gene11108 transcript:rna11108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MAKNQVFEAAETYLGTKATVSTERVKASKSHDHKKLSFNIDRGEEVSDDFEGITVKWKLICIQEDGSRIRHNDMYTSSVSEIRSYELTFHKKHKNTIFDSYFPYVMEIAKQIKQGNMAIKILSTEHGCWSHEPVKFNHPMSFNTLAIDIELRREIMNDLDNFVKAKEFYRRTGKAWQRGYLLYGPPGTGKSSLIAAMANYLNYDIFDLDLTDVGDNKSLKQLIIGMSNRSILVIEDIDCTINLQNREEDENEEVVDNGYNKMTLSGLLNAVDGLWSCCGEEHIIVVTTNHKERLDPALLRPGRMDKQIHLSYCNFSAFKQLVINYLCITQHELFEKIELLLGEVQVTPAEIAEELTKDVDATECLQDLIKSLQAKKIMKEEIKNEENIKEEHELGSYEARTLTRTPTRTPDMTLTRTRRRHQRGFRPGLRGGHRTRTRRHS >RHN52382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34594623:34595437:-1 gene:gene37050 transcript:rna37050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ZF-HD family MEGGGMLSSSSSHSQNYIYRECLRNHAASLGSYATDGCGEFTIDDSSPSAVNSLQCAACGCHRNFHRKITAITRESAAAAAMSDQMMEYSGGGGSGSDGRRKRYRTKFTPDQKEKMMGFAEKLGWKLQRKELDEEIERFCESVGVSRQVFKVWMHNHKNSCFSNSSDPSTGNANSSLTQ >RHN73901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21394397:21396308:1 gene:gene9793 transcript:rna9793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MNVDLCRHPGSFECLCIRCGQKIDGDSGLTFGYIHKGLRLHEEEISRVRSLHTRNLLNRRKLCLVLDLDHTLLNTTSLHRLSPEEMHLKTCTDSLEDIARGRLFVLEHRQRMAKLRPFVRTFLKEASKMFEMYIYTMGDRRYSLEMARLLDPQGKFFKDKVISRDDGTEMKEKDLNLVLGTESSILILDDNKKVSALLVSDLFFLNYVIGMVSVVVVNL >RHN50364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6503676:6505884:1 gene:gene34642 transcript:rna34642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MMYNYQVSNLIRFSKYFLPIKFDSTVHPDPSKFFSPNLLSKPLPTNSFFQNFVLKNGDQPEYIHPYLIKSSNSSLSVSYPSRFLNSSVISQVFNADLTITSSTKTSNEKHIISSYNDLSVTLEIPSSNLRFFLVRGSPFLTFSVTQLTHLSFTTSHDILSFYSNDSLTKFTFYLNNGQSWILYASTPINLSHGHRYIATSEEFSGIIRIALFPDSDSKTEAALDRFSCCYPVSGNAVFTTQFCVEYKWQKKGSGDLLLLAHPLHIQLLCDTIDCDVTILSDFKYKSIDGDLVGVIGDSWFLKTDPVSVTWHSSNGVKKEYHKEIVSALLKDVEDLNSSDISTTSSYSYGKLIARAARLAIIAEEVFVFDLIPKVKIKMFLKETIEPWLDGTFSENGFLYDKSWGGIVTRKECDESNDCLGSEIYNAQLNHLGYFLYGIAVLAKLDPDWGRKYKPQAYALMEDFMNSSTCLNPNYTRLRCFDLYKLHSWAGGLAEYENGRYQKGSSEAINAYYSATLLGLAYGDANVVAIWSTLTAFEIQASKMWYHVKVGGKLYEEEFTKQNRMVGLVWSNKRDIELWFGYLGAKQCLLGIQDVVEWVLPDLESDGVGEGWKGFVYALKGIYDKGDALEKIRKLSGFDDGNSLSNLLWWIHSRD >RHN41221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28367829:28369474:-1 gene:gene47519 transcript:rna47519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MAKSDVKLIGKWSSPYVTRVKIALNIKSLEYENFEENETFNPKSDILLQSNPVYGKVPVLIHKDKPISESLIIVEYIDETWSSAPSILPSDTYDRAVARFWAAYIDQKWFPPMQSIITVEGEDERKPYFEVLEEVVERMEEAFEKCSKGKPFFGGDRIGYLDIAFGSFLGWLSVIEHEYERKVLVEEKAPNLVKWAERFASDPAVKGLIPETERLVKLSKALQIKWRAAICKK >RHN77199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4909149:4913634:-1 gene:gene625 transcript:rna625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MKSMMNDSNISDDGNNHNWLGFSLSPYHMKMELTSSDPSQHHHPSHHYYHHHHDNQPSSSVVPSNFYLMSPSHLNMNNTSSLCYGLGENNNSFHSSLPMMPLKSDGSLCIMEEALTRSQSQVMMPTSSPKLEDFLGGATMGTHNHEYEGHERETMALLSLDSIYYNNNADHETNREHSSLDHSSYFSGFSCHGMYQNPLMEEEQTKEESIYSFKNFVAPRDYSMEQHHHQVNNSVGSIGDDGSVGTVNGCGELQTLSLSMSPGSQSSCVTVPNQISASGTDSVVVEAKKRGHAKVGQKQPAHRKTISTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFSIEIYQNELEEMNNMNRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNRDLYLGTFSTQEEAAEAYDVAAIKFRGLNAVTNFDMSKYNVEKIMSSNTLLAGEQARRTKKSKESNEKTEANECNNNVVSSPIIHSQEVEAVTNNENNWNQSPQQESNTCDQKLIRNSDFSMSLQDIIGIDSVGGSSQVMVDDSSNKMIRTHFSNSSSLVTSLSSSRECSPDNKSTGPTMLFPKPPTGSKTLSPIATNAGSWFPSASAISMSHFPVFAAWSDA >RHN43586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47037582:47041306:1 gene:gene50191 transcript:rna50191 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTSFIQVAMPQSEVIILTDPVSDLSVHRNRVSLYPIQGEYSRDKLMLQRIRSCITFLETRLHKLSQNPMDIIHYIFTDSDIAVVDDLGQIFCDHPNFHMALTFRNNKAQPLNSGFIAVKGTPDGILRVSFSCKRF >RHN48120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45186619:45189571:1 gene:gene42799 transcript:rna42799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MNYLYPVKEEYLEIEATPSSLTYERGSDEGSTVVIPRPMEGLHEVGPPPFLTKTFDVVEDPTTSHIVSWSRGGASFVVWDPNAFSRDLLPRYFKHNNFSSFVRQLNTYGFRKIDPDKWEFANEGFLRGHKHLLANIKRRRQTSQPSTSQQQSSHSQGHCVEVGRFGLDEEIDHLRRDKQVLMMELVKLRQQQHNTRSILQAMEERLRGTEIKQQQMMAFLARAMKNPAFIQQLLQQKEKRKEIEDAITKKRRRPIEYGESSNGGDGRSSVRYGFEVSELEMLAMEMQGLGRGGGEHEEEIEVFETNEKLNKELDEGFWEELFSEKFESELDILTSQDDDDDDDEDINVLSNRFGYLGSSSK >RHN45082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11577096:11577718:1 gene:gene39285 transcript:rna39285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative legumain protein MNHKNKYWVALIASIWMSVTDNVFAEGESTTGKKWAFLVAGSNGYVNYRHQADICHAYQILKKGGLKDENIVVFMYDDIAYNPQNPRRGVLINHPNGSDVYNGVPKDYIGDYGNLENFLAVLSGNKSATKGGSGKVLDTGPDDTIFIFYTDHGSPGSIG >RHN81413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45696974:45704558:-1 gene:gene5451 transcript:rna5451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLVRRRVMSWRRVFKSLQAMLAHAFLFSFSLLLVLKLDRFFLFSWWTVFFPLWLFHVVIARGRFSLPAPSMPHGRQWAPCHSVIATPLLVAFELLLCIHLGSSYVVNLKIVFIPLIAFELAILIDNIRMCRALMPGDEENMTDEAVWETLPHFWISISMVFFVAATVFTLLKICGDVAALGWWDLFINYGIAQCFAFLVCTKWHNPTIHGNGHITEPCSSSNTVRYLEWSREGIVISTEEDEQQNVFCSLQDIGGHIMKIPFIAFQILLFMHLEGTPSGAKDIPIWVIFSPLLLLQGAGVLFAAYRLIEKIILLLYNGDIPRSYSSISSKSRDCFGFFNHGSRLLGWWSIDEGSREEEARLFCAGSSGYNTFSPDTVKKMPRGELVEEIWRLQAALGEQTEVTKYSQEEYERLQNEKILCRVCFEEQINVVLLPCKHHVLCSTCCEKCKKCPICRGTIEERMPIYDV >RHN47742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42142979:42146888:1 gene:gene42377 transcript:rna42377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MIGKDGGVFKAWEATVRRTSAVAKKRANSIFGLGALAITNADDEEGSHHHDDNDENGNQVFELYTEEKVLSNGDYYTGQWAENFPHGEGKYLWTDGCMYVGQWFKGKTKGKGRFSWPSGATYEGEFKTGFMDGVGTYTGINGETYKGQWVMNLKHGHGYKSYVNGDWYEGDWRRGVQDGKGRYEWRDMSHYIGEWKNGIIWGKGSFFWPNGNSFEGIWEDGLPKGNGTFRWQDGSYYVGNFSKDDDDNNKDENGKFYPFDESSNESYMNWDPQDLYSELSGYSVCPSEKVSVLPSQKRLAVWRSTRGGESGKPRRMSVDGRASVGGEKPSDRMNLWDGGGSSHGGRGEGDASSGGNRTPPTLGSDYDDDSISSRIDDADDDALNQLQPLKAPKKSKRQGETICKGHKNFELMLNLQLGIRHSVARPAPTASLDLKPSAFDPKEKVWTRFPPEGSKYTPPHPSCDFKWKDYCPVVFRTLRKLFKVDPADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMRKAEVKVLIKMLPAYYNHFREHQNTLLTKYYGLHCVKLNGPIQKKVRFIIMGNLFCSEYITHRRYDLKGSSLGRTSDKLEIEISETTILKDLDLNFIFLLQKSWFEEFCRQVDKDCELLEQEGIMDYSLLLGIHFKSISQDGDVLPIAPQSPTGDSENEETSIEDTEHVPSDPSSTILGVNIPAKAERTVRRGDIETQLVGEPIEEFYDVQLTFGIIDILQDYDISKKLEHAYKSIQYDPTSISAVDPRQYSRRFRDFIFRIFTEETFET >RHN70270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50141690:50142300:1 gene:gene18833 transcript:rna18833 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQSSSPMSLFLGFLLLSLTPSSMAKFVVEKNNLRVTSPDSIKGTYDSTIGNFGIPQYGGSMDGTLCIQRIIKRVVQSLMSLGFPLNLSLVLFQLLFCSIVEV >RHN51172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14793190:14798549:1 gene:gene35558 transcript:rna35558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-methylene-furan-3-one reductase MATEVSRNDPTNITIPTHTNAWFYSEHGKALDILKLHPNWSIPQLKDDQVLIKVVAASLNPVDYKRMHALFKETDPHLPIVPGFDVAGIVIKVGSEVVKFKVGDEIYGDINEEGLSNLKILGTLSEYTIAEERLLAHKPKNLSFIEAASIPLAMETAYEGLERAQLSAGKSILVLGGAGGVGSFAIQLAKHVYGASKIAATSSTGKIEFLRKLGVDLPIDYTKENFEDLPEKFDVVYDGVGEVDRAMKAIKEGGKVVTIVPPGFPPAIFFVLTSKGSILEKLRPYFESGQLKPILDSKTPVPFSEVIEAYSYLETSRATGKVVIYPIP >RHN49136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52707472:52711668:-1 gene:gene43933 transcript:rna43933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MMPLHELYHMSKEKVDHKEMNNSCAPDQSSVPRNDLFELVWQNDQILVHGQSSNSNRAKMTPTLPSHTLKGHDKYSGQHANETNTRIGKFEDLDNGLNEIITRSVSSSQDEDLMTMMPWLNCAMDDEHSLHYSSCFVHEPGARTNDFAATNKFSLLDRKSNCIQVFSDSHKQGILSKGSSLAVEDFDTSELKTSTNQLNMSSLLQQCQPSFESIRFRESGLSENNTKGNANQHAPCEEISHVPSSSTVMNFTHFAKPAAIVKANLQNIGFSSSRSERVGAKNKDAAAIGRNPCESSKVDLSVECPKSSAIHCHQSVEPSRVGLKPLEPKSLEKNTTVSTSACKEDVSKVDQTSNQVLSESSRKGQEVFKKCTELTVASSSVCSDNGVHRSSDDANQNLKRKNLDSEDSEWHSEDFEDESIGVKRTDHGRGVTGSKKNRSTEVHNLSERRRRDRINERMRALQELIPNCNKADKASMLDEAIEYLKSLQLQLQIMSMGGGGLYMPMTLPAGMQHMHAAHMFPFSPMSVAMQMGLGVPQFQGTHLPVAHTSGLAALHGMVRPNPQMFGLQAGQGLHMPMPSASMFSYPGEPVMNSSAVELNASGTAGLMETVESASASKLKDQMPKLKDPMPNVNSQVMLNNKGCSSTNQMSIQCEATTGGLEQSNVVLDSAHALLENDKRDNIEIEIDKSHE >RHN79109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23186156:23191641:-1 gene:gene2822 transcript:rna2822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGTPEFPDLGKHCADSDCKLVDFLPFTCDRCYQVYCLEHRSYIKHRCTKADKQDVTVVICPLCAKGVRLVPEQDPNITWENHVNTDCDPSNYEKVTKKKKCPAAGCKEILVFSNTIKCKDCTIDHCLKHRFGPDHKCPGPKKVETNFPFMNLMNRSRKKESKTNSSSTSSSSKWTTSFLNAASNIRASAEAGMSKLSGEINQAWGTSSDGGRKSNDNGQVEQCPQCGAKFSSITTLINHVQKVHERSGSRSAANVTIDACPKCSKGFTDPVSLVEHVEREHGGTSRR >RHN47021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36513660:36515534:1 gene:gene41567 transcript:rna41567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAESFLFSLAESFITKVASRAVEEASLALGVYDDLREIKNTVSLIKAVLLDAELKQKQNHELREWLQQIKRVFYDAEDVINDFECEALRKHVVNTSGSIRRKVRRYLSSSNPLVYRLKMAHQIKHVNKRLNKNAAARHNFGLQINDSDNHVVKRRELTHSHVVDSDVIGRDYDKQKIIDLLLQDSGHKSLSVIPIVGIGGLGKTTLAKTVFNDKSLDETFPLKMWVCVSDDFELQHLLVKILNSASVSDATPNLIHEENIKNLDVQQLQTHLRNTLAGKKFLLVLDDVWSEDRVKWIEVKNLLQVGDEGSKVLVTTRSHSIAKMMCTNTSYTLQGLSREDSLSVFVKWAFKEGEEKKYPKLIEIGKEIVQKCGGLPLALRTLGSSLFLKDDIEEWKFVRDNEIWNLPQKEDDILPALKLSFDQLPSYLKRCFACFSLFVKDFHFSNYSVTVLWEALDFLPSPNKGKTLEDVGNQFLHELQSRSFLQDFYVSGNVCVFKLHDLVHDLALYVARDEFQLLKFHNENIIKNVLHLSFTTNDLLGQTPIPAGLRTILFSIRSQQCSFFEQFGIKV >RHN69503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44022892:44026090:1 gene:gene17982 transcript:rna17982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK family MAVHSKQLLLMVAAVIYIKAATQPMSLPNCPTKCGSVTIPFPFGTTKNCSLDNTFLINCNKTSSTPTSTHVPYLDKSNQNVLNISLNGELHVAWPVASDCYAEKGRFIYQTLRDMNMTHFYISPTRNKLTAVGCDTIGALSAIDSGGNNYTTGCVALCNRLDDIMASQSCSGTGCCEISIPQGRVLREVAYTSAGIFNNHSDVHDFNPCGYSFVVENGAYSFESTDLLELKKKEFPVLFDWAVGNQTCQHAQNDLSNYACKASKSTCYNSAERSGYLCRCVHGYRGNPYLIHGCQDINECMESNNCVDGATCINFPGSYHCLCPEGYEGDGKSNGTRCSSKSSTKQRKEIILIISLSVSVSLILLLVGSFYAYWALKKRKLIRLKEQFFQQNGGLLLQQQIVRHGGSTETAKVFTVEELNEATNNFDEGKILGQGGQGTVYKGVLQNKRIVAIKKSKVSDPNQIEPFINEVVVLSQINHRNVVKLLGCCLETEVPLLVYEFISNGTVYEHLHDQNQTIKLTWKTRLRIAKETAGVLAYLHSAASTPIIHRDVKSTNILLDHNLTAKVSDFGASRIVPLDHSQITTLVQGTLGYLDPEYFLTSQLTEKSDVYSFGVVLAELLTGKKALSLGRPEVDRNLAAYFVSSMKEGRLLHILDKSIDNADIEQLKEVALIVERCLRVKGEDRPTMKEVAMELEGILVSEERRWGSDNLSSEETEKLLKTARSIKNVEDVVGGSGINSSESYSLNQISMSLIGGR >RHN52257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33160694:33164761:1 gene:gene36910 transcript:rna36910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived nuclease domain-containing protein MPSSDEEPTTNPIPKHPPRSSSTSTKFFEEVLNGPNQRCLENFRMDKVVFYKLCDILETKGLLRDTNRIKIEEQLAIFLFIIGHNLRIRGVQELFHYSGETISRHFNNVLNAVMSISKEYFQPPGEDVASMIAEDDRFFPYFKDCVGAIDGIYVPVTVGVDEQGPFRNKDGLLSQNVLAACSFDLKFCYVLAGWEGSASNLQVFNSAITRKNKLQVPEGKYYLVDNKFPNVPGFIAPYPRTPYHSKEFPTGYQPQNACELFNQRHSLLRSVTARTFGALKERFPILMAAPSYPLQTQVKLVVAACALHNYIRGEKPDDWIFKMYEKDASLTMDESLPPIEVEVDPTSNVETQNQYQGLSFDAEEIALASQLRVSVTAEMWNKFIQDISPM >RHN65983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7255753:7261611:1 gene:gene13892 transcript:rna13892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MPPQTPTPPNKFYFFYGHRKPSQNRPTVRGGLFSNRKTLTPPKPKSTKPTNSFQIQKWDPHFLSQPNSPSPSPSPSPEATFSASLRLSPIARFILDAFRKNNNNWGPPVVTELNKLRRVTPTLVAEVLKVQTNPTLAFKFFHWVEKQKGYHHNFASYNAFTYCLNRANHFRAADQLPELMDAQGKPPSEKQFEILIRMHSDAGRGLRVYHVYDKMRNKFGVKPRVFLYNRIMDALVKTGHLDLALSVYNDFREDGLVEESVTFMILIKGLCKGGKIDEMLEVLGRMREKLCKPDVFAYTALVRIMVKEGNLDGCLRVWKEMKRDRVDPDVMAYGTIIGGLAKGGRVSEGYELFKEMKSKGHLIDRAIYGSLVESFVAGNKVGLAFDLLKDLVSSGYRADLGMYNNLIEGLCNLNKVEKAYKLFQVTIQEGLEPDFLSVKPLLLAYAEAKRMEEFFMLLEKMKKLGFPVIDDLSKFFSHLVEKKGPEMALEIFTHLKEKSYVSVEIYNIFMESLHLSGKVEKALSLFDEIKGSDLEPDSSTYNIAILCLVDHGQIKEACECHNKIIEMSSIPSVAAYNCLAKGLCNIGEIDEAMLLVRDCLGNVTSGPMEFKYCLTIIRMCKSNVAEKLIDVLNEMMQEGCSLDNVVCSAIISGMCKYGTIEEARKVFSILRERKLLTESDTIVYDELLIDHMKKKTADLVISGLKFFGLESKLKSKGCKLLPD >RHN67732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29839380:29843983:1 gene:gene15953 transcript:rna15953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNTLSHIDLPQENHIELRIVQKTLKMEIDGGTTNSNATTITISVKFSGSTIPISISPQSTIKELKSLLLPATNVLPRGQKLIFKGKVLEDSVTVAASNLSNGSKVMLMASQGLYQGDGPVLKRAQAVPKKDSHSSSSNDVKKIPVKNRLERWKATGVVALSECNLEAIPDEVWICGSSARVLHCNDNLLKKVPVEISQLTRLDKLFINSNNLLDESINWEALSNLKYLTVLSLNQNRLTTLPSVLGLITSLRELHVSNNQLAGLPDEIGHLTKLEVLKANNNRMSKISEFIGKCHSLVEVDFSSNFLSELPETFSSFSNLKALHLSNNGMKSLPSKLFKTCLQLSTLDLHNTEITIDILREFEGWDSFDERRRSKHQKQIEFRVGVSRDFDEGADKN >RHN79933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33608958:33615529:1 gene:gene3791 transcript:rna3791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase A22B, signal peptide peptidase MVSVAKSFEAPIKLLFPTADSARLFSMLGLGDIVIPAERRVVPGSGGEEFKFASLRLLPSAVIIVL >RHN53508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2159323:2160606:-1 gene:gene28420 transcript:rna28420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MATLRISFIFSIVLLLFHSTKSTTPPQKKFKPPICDKRQYCGTPELGLLFNFPFQLREENQISNNQSDRCVYPGFEVVCKNKQPLITLSNGKEYVIKNISYESQRIWMDDPNDCPPRRFLQNIDLNDDSLFQRDRLYHSTHYENVTFLNCTKNAKEPMFDHLPNNIPCLSNDNYLIMYALQSLLGNLWSPSCHEIGSAKVPVKDKSGQPMVIMEGLYSNLMLRWNTPLCGCKAPQYCGFASDRGLDVTCYGYFDNMPGVNPPSPKHENIYFFEVVWGVTGILLLMGVTLSLCKDRQQNHIQQTQTIIIIEPSDREPSWFVFGLDHSRIEQYPKIQLVESGQLPKSIDNVCSICLGEYKPMETLRSIPQCNHHFHADCIDVWLKMNATCPLCRNLPE >RHN55824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24435748:24438365:1 gene:gene31093 transcript:rna31093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVSMQFHHKMHSHKMEFRDYILALEEEKKKIQVFPRDLPLSLELVTQAIETCKQQLFGTQSECSEQTSTDEGLVFEEFIPIKKRALSPDCDENDEEDDDDEEQHSSHKMKSDWLRSVQLWNPNPSSAKEDVPRKTNVVEVKRNGGAFQPFHKEEIAAEKDNALESDKAPTSSPQVPATSSTEPVPESGSKKDDKGQRKQRRCWSQELHKRFLHALQQLGGSNSATPKQIRELMKVDGLTNDEVKSHLQKFRLHTRRSPIIHNNSNSHTAPMFLVGNIYVQPQEYAAVATKTTVSGELTTVTTPTGIYAPVATHPSSTTTVIKPKSKKFELSENSHSVERVVAHSNSPASSCSTHTPTTSRC >RHN60151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21801840:21804883:1 gene:gene22389 transcript:rna22389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MASLRSKVKQVLFLCFSLILFLRMVIADDGTFMSKLAKSLSPTPSGWSSNTSFCLWTGVRCDEFNSVTSIKLASMSLIGTIPSDLNSLSSLTSLVLFSNSLSGALPSLANLSYLETVLLDSNNFSSVPDGCFQGLDNLQKLSMRNNINLAPWTIPIELIHSTRLDLIDLANTNLVGPLPEIFHRLFSLKNLRLSYNNLTGDLPMSFSGSGIQNLWLNNQKPNGFTGSINVLASMTQLTQVWLMNNKFTGQIPDFSNCTDLFDLQLRDNQLTGVVPSSLMALSSLKNVSLDNNHLQGPFPSFGKGVSFTLDEIHSFCQNAPGPCDPRVTTLLGVAGEFGYPLQLVNSWKGNNPCQNWSFVVCSEGKIITLNLANQKLKGTISPSFASLTYLRNLYLGDNNLTGSIPSSLTSLAHLQVLDVSNNNLSGDVPKFSSTLRFNSTGNVLLRLGSPSEKANTSYVMLAWLLGGALCAVVDMLFIVMVCKRKGYLSLLKTRIFKNTRIVIDHDIEDFIKRYNLSVPKRFSYADVKRFTNSFRDKLGQGGYGVVYKASLPDGRHVAVKVISECKGNGEEFINEVASITKTSHMNVVSLLGFCYEKNKRALIYEFMSNGSLDKFIYKSGFPNAICDLDWNTLFQIVIGIARGLEYLHQGCISRILHLDIKPQNILLDEDFCPKISDFGLAKICQKKESVVSMLGTRGTIGYISPEVFSRAFGAVSSKSDVYSYGMLILEMTGGKKNYDTGGSHTTEAYFPDWIFKDLEQGNSLLNSLAISEEENDMLKKITMVALWCIQTNPSDRPSMSKVIEMLQGPLHSIQYPPKPILFSPKVQSLSL >RHN38894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2691692:2694713:-1 gene:gene44869 transcript:rna44869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, EF-hand domain pair MAVEYLKSYDYTKEEKVSAASNNIYPIAKDCIGVRIVVAGDKDTGKSSLIYALGMTEVDVGDEDYDEVYDTNFLSVPSLLQPSKLLINFFPDLVQATIVDTSSSQKESTVDSELKNADAIILTFDCARPQTLQNLKQYWLNRVSNLELKTIAVPLILVGCKSDLACNLSTVKSDVEKVMKDFPRFEKYQLCSAFLRDNIDAGFYDAHRAVLFPEFPLFLRKSNYVAPTPQLTEALRAIFKKCDGNEEGYLSSDELNDLQVNCFMAPLLEEERKELGTITENEFITLICNQFGRPEIIWTLLRKYGYDDGLALPPENLHFIDSKISNVGHGSAMANFRGRILAFRRGQFIEGWRHDDAILGYGGTICWPVWQVFGSPPWSTIYHRQCLEHAPDQDHDWAPTAFRRLLSAKLIKFKDITSPVVETHVSPAPTANPGIKREIPEDQRRGLFKWILEEKRKIKPKDAKEKQKIDEEKALLKNLIRSKSIPHI >RHN71683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1892278:1895886:1 gene:gene7308 transcript:rna7308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Root phototropism protein MWESESDSTARHKYGDGILTSNKHGVKTEGFLQRGHSWYVATDVPSDFLVQIGEANFHLHKYPLISRSGKLSRIIYESREPDVNKIVMDDIPGGFEAFELAAKFCYGIAVDLTAGNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEKLSPWSENLQIVRRCSESIAWKACANPKGIKWSYTGRTSSKISSPRWNNNDMKDTSPSRNQLVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFELIGAAIMHYATKWLQELVSETSITFDETSNCGNSNSSSSGSGSWIKGGGGLHMIVAGGTRDETSSLQAKEQRMIIESLISIIPPQKDTVSCTFLLRLLRMAIMLKVAPALVTELEKRVGMQFEQATLADLLIPCYNKGETMMYDVDLVMRLLEHFLVQEVTENSSPKRQSFSEKHMGLGCNLNAKARVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFAEQVKISTALSNPSLKDVESFTQPMVTNRKTLLEATPQSFQEGWTTAKKDVNTLKFELESVKAKYLELQNDMENLQKQFDKMLKQKHTSAWSSGWKKLSKLTKITNVQNVQNHDHDNSPHSNIPAAPEQNRKTTRRWRNSIS >RHN70461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51583899:51589375:-1 gene:gene19041 transcript:rna19041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, peptidase, FtsH MAWRHLITKVESRQLEFGKVKDLLSGNHFSPNKFQGFKRHRLFFSQGRSQSSYLENLSQRPRDSSEAAAVSYLKELYLRNDPEAVIREFESHPSLHTNSSALSQYVKALVKVDMLDESELLETLQRGISNSARKEENIIGGLTSLRSAGKPTKDGILGTEKTPIHMVAASCGNFKEQLWRTIRTLAVGFILISGVGALIEDKGISKGLGMNEEVRPVLETNTKLSDVKGVDEAKADLEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGKRNAKDQMYMKMTLNQMLVELDGFKQNEGIIVLAATNLPQSLDKALVRPGRFDRHVVVPNPDVEGRRQILESHMSKVLKADDVDPMIIARGTPGFSGADLANLVNVAALKAAMDGAKAMNMHDLEFARDKIMMGSERKSAVISEESRKITAFHEGGHALVAIHTDGAFPVHKATIVPRGTALGMVSQLPDKDQNTHSRKQMLAELDVCMGGRVAEELIFGESEVTSGAYSDLSNATSLAREMVAEYGMSTEVGPVTHNYYDDGRSMSSETRLLIEKEVKNLLERAYNNAKFILTTHDKELHALANALLEHETLTGSQIKELLAKVKSQQQQPQSCVVETQGSSRSNPASSAAAVAAAAAASAATKAQGVSPVGS >RHN77934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10614931:10620062:1 gene:gene1442 transcript:rna1442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MEEGLVCQWSVVRSLLAILQWWAFNVTVIIMNKWIFQKLDFKFPLSVSCIHFICSAIGAYVVIKVLKLKPLISVDPQDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVVLQWLVWRKYFDWRIWASLVPIVGGILLTSITELSFNMFGFCAALFGCLATSTKTILAEALLHGYKFDSINTVYHMAPFATLIMVFPALLLEGNGILEWFSIHPYPWAAMIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLISWLIFRNPISYMNAVGCAITLVGCTFYGYVRNMISQQPAVPGTPRTPRTPRSKMELLPLVNDKLDDKV >RHN41103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26993523:26995318:1 gene:gene47392 transcript:rna47392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3,9-dihydroxypterocarpan 6A-monooxygenase MQLFLGSVPCIVTSSPKIAKEFLKTHETSFSNRFTTSAVHYISYGSKGLIITPYGDYWKFVKKICMSELLGGRTLDQLRPLRQQETLRFLRLLDKKGEAGEAVDIGGELLTLSNSIITRMTMRKTFGSKNDICEVKDIRKMVTDTAELAGKFNVSDFIWFCKNLDFYGMNKRLKRIRDRFDKMMERVTKEHLEEKKKRGEGAHHVRDLLDILLEIQEDESNEIKLTSENVKAFILDIFMAGTDTSAITIEWAIAELINNPHVMEKARQEIDSVTGKSRLIQESDIPNLPYIRAIVKETLRIHPTTPTIVRESSESCNIYGYEFPAKTVLFVNLWSMGRDPNLWENPLEFRPERFMSEEDNKFDARGQNFQLMPFGSGRRACPGATLALQVVPTNLAAMIQCFEWNVDGNGKVNMEEKSAVTLPRAHPLICVPVPRFNTIPSCE >RHN71227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57411790:57429429:-1 gene:gene19881 transcript:rna19881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein patched/dispatched MDLPLRLGLLTFLLQVCLILSLTEAKVLSSNDRTQGEKHSVEYCAMYDICGKRSDGKVINCPFGSPAVKPDDLLSSKIQSLCPTITGNVCCTGAQFDTLRTQVQQAIPFLVGCPACLRNFLNLFCELTCSPNQSLFINVTTVDKVGGNLTVGSIDYFVSDAFGEGLYNSCKDVKFGTANSLVMQFIGGGAQNVKEWFAFIGKKAAPGGFGSPYAIMFPPNATKSSGMEPMNVSAYSCGDISLGCSCGDCPSSSVCSSSSSTTTQKRDSCSLKIGTLMVKCVDLTLTVLYIILLSVFLGWGLYYRTRERKPAYRTKSVSNVISGGQLHSRNQEKDENLPMHQIIEDVSQNRNEVRLSAVQGYMSNFYRKYGLYVARNPIMVLASSLAIVILLCLGLIRFKVETRPEKLWVGPGSKAAEEKQFFDSHLAPFYRIEQLILGTVPDHVNSTSPRIVSEDNIKFLFEVQKKVDAIRANHSGLMVSLQDICLKPLDKECATQSILQYFKMDPNNFDNYGGVEHLTYCFEHYSSADQCMSAFKGPLDPSTVLGGFSGNDYSGASAFIVTYPVNNAIDEEGNENAKAVAWEKTFIQLVKDELLPMAQSKNLTLAFSSESSIEEELKRESTADVITILVSYLVMFAYISLTLGDTPQLSSFYISSKVLLGLLGVILVMLSVLGSVGIFSALGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQQLELPLERRISNALEEVGPSITLASLSEVLAFAAGSFISMPACRVFSMFAALAVLLDFLLQVTAFVALIALDSLRAEDKRVDCFPCIKVHSLHAESDKGIEQRKPGLLARYMKEVHAPILSIWGVKIAVIAIFVAFALASIALSTRIEPGLEQEIVLPRDSYLQGYFNNVTEYLRIGPPLYFVVKNYNYSSESTHTNQLCSISQCNSDSLLNEIAKAALVPDTSYIAKPAASWLDDFLVWVSPEAFGCCRKFTNGSYCPPDDQPPCCAPEDDSCVSVGVCNDCTTCFRHSDLHNDRPSTTQFREKLPWFLSALPSADCAKGGHGAYTSSVELKGYDSGIIQASSFRTYHTPLNKQVDFVNSMRAAREFSSRVSDSLKIEIFPYSVFYMFFEQYLNIWKTALVNLAIAIGAVFIVCLVITGSLWSSAIILLVLTMIVVDLMGMMAILNIQLNAISVVNLVMSVGIAVEFSVHLTHSFTVASGDKDQRVKEALGTMGASVFSGITLTKLVGVIVLCFSRTEVFVVYYFQMYLSLVLLGFLHGLVFLPVVLSMFGPPSRCTNTDQGEDRSSTSS >RHN55379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17803065:17808636:-1 gene:gene30552 transcript:rna30552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, EF-hand domain pair MFYQSYCLGDVYLNFISFTISLYSSCVCYCCCCYSIDGSDRVFKELKRADIVVLTYACDRPYTLTSLTIFWLPLLRNLKVRVPVIVVGCKLDLRDENQQENLEHGMSQIMLQFHEIEAYFECSAYRLIQVLEVFYFAQKAALYPMAPLFDQKSHTLKPRCERALKRIFTLCDHDRDGALSDAELNNFQAVCFNAPMQPWEIANVKKVVQKELSEGVNERGITLTGFLFLHVLFIEKGPLETTWTVLRRFGYNDDIKLADDLIPPLKRAPDQSVELTNEAIDFLKTVFDELDSDFDGMLQPCELKELFSTAPDSPWIEYPYEDAVKSKACGGLSLDAFLSEWALMTLLNPTFSVENLICIGYPGDPSSAIRVTRMRNMDRQKQHSERNVLQCFIFGPRKAGKSALLNSFVGRYFGCYLKDSESYSEAYNPTNEDRYAVNVVDISMENKKYLVLREISEGGVTKLLANKESLASCDIAVFVYDRYFACCGRGSLCTLKDHISWQGIRQFHESDESSWDAAYELLVKIAEHGTYTGFEMPCLMVAAKDDKDSFTMGIRETTGVSQDMGVEAPIPISVMSGDLNDVFRQIVSAAEHPHLSIPVTEAGKRHKKFHRLIKGSLQFVSVAVAFGVAAARKNATGRRNAAARKNAAARKNGS >RHN76941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2543730:2547547:1 gene:gene335 transcript:rna335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSQVFGFSGDNFCHGGLYTNPKEANFFLSLGPQVDVYYPPQKRSRVSVPFVFDGEWFEQKQKTSIESLPDECLFEIFRRLPVGEERSASACVSKRWLMLLSNICKSEICSNKSTSSNDENKMECDSEEFGGEGYLSRSLEGKKATDVRLAAIAVGTASRGGLGKLSIRGSNSERGVTTLGLKAVASGCPSLKSFSLWNVSSVGDEGLIEIANGCQKLEKLDLCKCPAISDKALITVAKKCPNLTELSLESCPSIRNEGLQAIGKFCPNLKAISIKDCAGVGDQGIAGLFSSTSLVLTKVKLQALAVSDLSLAVIGHYGKTVTDLVLNFLPNVSERGFWVMGNANGLHKLKSLTIASCRGVTDVGIEAVGKGCPNLKSVHLHKCAFLSDNGLISFTKAAISLESLQLEECHRITQFGFFGVLFNCGAKLKALSMISCFGIKDLDLELSPVSPCESLRSLSICNCPGFGNATLSVLGKLCPQLQQVELTGLKGVTDAGLLPLLESSEAGLVKVNLSGCVNLTDKVVSSLVNLHGWTLEILNLEGCINISNASLAAIAEHCQLLCDLDFSMCTISDSGITALAHAKQINLQILSLSGCTLVTDRSLPALRKLGHTLLGLNIQHCNSISSSAVEMLVEHLWRCDILS >RHN42219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36431800:36432544:1 gene:gene48634 transcript:rna48634 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFLDLGFPFLVVSNGRGWLLTTVVVISDSVPLDLLVVFDHDCSVACSSFTINPPSQLPDHCRCKDRGGIVVVLPVVVVCAGLFRFSLAFCLFGCQTPTFFEIAVFWWCVWWLVVVVMGGGDVLEAFRHVCREWWCRAAAFGDVQVVVMEDDFVACFFGLFVYVGSICAIVPPCLCLTNLSSG >RHN72249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6117179:6120882:-1 gene:gene7941 transcript:rna7941 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSQHIFQFHVLNVLSLSKVVIIRIDTIGIIFRKFTPREFVIFIIIVR >RHN75892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45975371:45975941:1 gene:gene12151 transcript:rna12151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein disulfide-isomerase MLNYLEMNCLNHLKCLYVEELCDCSRDDIVIWARKRTGMPVIRISTEKAAEEFLKKYHTFLIGRFDKFEGPEYVEFVNAAKSDNETQVMRSYQVNRQNFIGYADGAFTLDKIMEFLSYNKFPLVTQLT >RHN56703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33015831:33019806:-1 gene:gene32141 transcript:rna32141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pseudouridylate synthase, tRNA pseudouridine(38-40) synthase MAAAASSLRLTLSPLLRKVPYTNSTPIIGNRRRWECFRYCSFSSTPQSYSWQPFRKKKVVMRIAYTGTNYRGLQMQRDQNSLSTVEKELESAIFKAGGIRESNLGDLEKIGWARSSRTDKGVHSLATMVAFKMEIPENSWNEDPFGFALANYVNSYLPCDIKVISILPAQRSFDPRKECVLRKYSYLLPADIIGIQSHSSNDEIDYHMSEFNDILKEFEGGHPFHNYTSRSRYRRHIPRRPSHSKCGEILSAYNSEQEDSDEEENFKVDEALTGNIECQNQKSSGTSDSCEPVIETGNKGNLHDQSSSSVVRAKWLYEPDEADRLNASHFRRVFRCSSGKLEKSMGHSYIEIYIEGESFMLHQIRKMVATAVAVKRKLLPRDIITLSLSKFSRIILPLAPSEVLLLRGNSFSMRTKPGNVTRPEMQTIVESEEINKVVDDFYRSVMLPQVSKFLDPSRVPWVEWIEKFDAHTSIPNDQLDEVRKARNLWKENFDSVMSAHL >RHN46607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33221280:33221633:1 gene:gene41114 transcript:rna41114 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVGQWSDTMTDLDYNQDPQTWYDLSSSNVMVSKDVLNPNIAHDLEILRPYLKDNNACASEPRVYTDEEERETTINYLNRSATREEPFIEVSKSKKKIVQKGFQVHNMRSKGRHAH >RHN67479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27582000:27582486:1 gene:gene15672 transcript:rna15672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MKTSERTTSWNWPKGARAQEVALKFISTNPFFTILINPTHLAENRVCVPTLNGVIENKEKYVMLQIGKRSWNLKLLPYCEARNVRRLSAGWSLFARESGLQAGDVCVFELINKKDLVFNIHITKSDS >RHN54776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12116187:12123065:1 gene:gene29860 transcript:rna29860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MGNSEMDKTTREKESKTPPPTSQEQPSTTTSTGQVNTDWASFQAYSPMPPHGFMASSPQAHPYMWGVQHMMPPYGTPPHPYVAMYPHGGIYAHPSMPPGSYPYPYAMPAPNGIAEASGNTPASMETDGKPPEVKEKLPIKRSKGSLGSLNMITGKNNEHGKTEGTSVNGLHSKSGESGSYEGTSEGSDANSQNGSQLKSGDRLDSFEGEPSQNGSSVHTSQNGGLNAPHTVVNQTMSILPISASGAPGAVAGPTTNLNIGMDYWNAPNSSNIPALRGKVPPTTVAGAVVTGGSRDSVQSQLWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRADVLKEENASLRLEVNRIRSEYDQLLSENAALKERLGEQPGNDQHMCNDTQQSGHTEVVQDGH >RHN59817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13541463:13543237:-1 gene:gene21976 transcript:rna21976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MRGNMVAVSKLSLLLTMMMMITMVKSVSLNYSDALTKSILFFEGQRSGKLPSSQRMTWRKDSALHDGCDIHMDLVGGYYDAGDNVKFNFPMAYTTTMLAWSVVEFGELMGPDLQHALESIRWGTDYFLKATTKPNIVVAQVGNPISDHDCWERPEDMDTSRTTYYVSENRPGSEVSAEIAAALAASSIAFRKIDDQYSNVLLARAKQVYIYLIA >RHN68697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37895406:37897082:-1 gene:gene17081 transcript:rna17081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MAMFQWLMESYKPFFLCVFIFIALLMKLFINNSRKRSSNLPPGPPTIPFIGNLHQLGTMPHICLQGLADKYGPIIFLQLGEIPTVVVSSARLAKEVLKTHDLALASRPQLFSAKYLFYNCTDIAFAPYSAYWRHVRKICILELLSAKRVNSYSVVREEEVASLVERVAGSYPGAINLSKILGLYANNVVCRVAFGRDFTEGGESKKYGFQKMLDEYQELLGGFSVGDFFPSFDFIHSLTGMKARLQDTSRKFDQLIDQIVSEHKACNKIKEHKDLVDILLEVQENDSGEMPLTIDNIKAIILDMFAAGTDTTFITLDWAVTELLMNPHVMEKAQKEVRSVLRERRVVAESDLHQLQYMKAVIKEIFRLHPAVPVLVPRESMEDIILEGYKIPAKTRIFVNAWAIGRNPESWEDPTAFKPERFLESNIDYKGQDFELIPFGAGRRGCPAITFAIAVVELALAQLLYSFDWELPPCITAKDLDLTEVFGISMHRRENLLVVAKPYTFYEDS >RHN58091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43676876:43678201:1 gene:gene33699 transcript:rna33699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MVGKCMQVCSTWREVSRSDLLWQRLTRRIWRRTYRLRDTWQLEYIYWHRTARNFTTGRHALVVPQYDPGDHHQNLICRCLTLSDTHLACGFVDGSVRLFDLHTGAHVSTFWSNHGLLFGPFSQSVSGIVIGSSTLAFARLDGDVYIAIINGPGPIPGPIPARRAIIGDVVNNGVLVEFAGSSRWWVGLYAGHAGGAFQIWDAHTEQRVFVGGSLTDPETVQGWHMLTELVEPVGRVRVTEREFVVACTSTRLVCFNLRNPEVLLRDVVSTTGFIVGSLDVSHEVFVVVERSGVGTVRRVNNFERVSRFRLRASWLRGLLVCMNLGYVITYSGGSGLLRVWDIHEPAARLCITLPVRDDGEGQVNGNSMVANQTHVAISFNDSSIHLLDFSVQ >RHN55667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20881989:20882549:1 gene:gene30886 transcript:rna30886 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRMNHGQQRQDVPSLAGAGSEAVLLCPDHSRPPMTIEDLTPWSFVMAFMEFSVHVLSQQERGDPVPEDEVWKHEVGYMRWFYRVSHPIMIVPVLVADYTTHVPPYKEVIVEQQWARQVPEPLQIIENIIARVDSAMEFLMCMQILSLPTLSMASRPSITLCRRCWFRGIGLGVIVHGRSKVLF >RHN43151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43591642:43597994:1 gene:gene49682 transcript:rna49682 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLWLSLLLLQLMIFSLFKFQEICCSLGQERSIGRLDNHIMKALCRLNELGGSSKTTIASFKVKGRYRIAPTPAYSDRGRHQPMLLLEGGRKASYEI >RHN43481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46202244:46203257:-1 gene:gene50065 transcript:rna50065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MRIKPTCVDTATPALSGICSSQIASAHIYTVNSSPKSGKHKQVFLMGCACTKPQFRHEDPAILAEQTYFNVSEIEALYDLFMKLSSSMVNDGLISKEEFQLGLFGSSKKQNLFDFGEFVKALSVFHPAAPQPQKAACKSSSLKRVFAFRLYDIWQRGFIERDEVFVFCFSLC >RHN47806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42664756:42668120:-1 gene:gene42449 transcript:rna42449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MQGQAGFGFQPKTNEYKVIKIWIRHVQRANDWVFDRVILEINTLGAPSWRTVEVDPRISISSLKYPTCVNGVLHWIKFEGQQKSILCFCFEGERLQPFPSPPHVFGIHDNRRISMGELKGFLYICDLNFFVDVTMWVMNEHGIEESWTKVYNIETSVNSLGYPISPRYGLCWPVKPFDAILLYHSCNCFIYFEPEKYGYKVFRIRGTRSKSVEVIPHIPSLISLKDVVKGDNIEVFSIHSRCAKYKLWEESEVLFLAQEFV >RHN55849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24710577:24711126:-1 gene:gene31124 transcript:rna31124 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWKKSELLKEKTVSTTLGVLMLKPSRSFTVISSTSAERPPPEPPPQVVVSIPKGRLLLRPPPPPPPPPTLQKTEFCFSSLELGTERPPWKPPWVIYVCFESLSLLRSNCWYHTSFIGLYENESSFTTFAHQLIFVQHDKVNKSETKV >RHN68054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32398405:32401766:-1 gene:gene16344 transcript:rna16344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MGRKNNKRKKEEIAESFCFICKDGGDMRICDFRNCLKTYHAECLGKDDSFLTNDDNWCCGSHYCNGCHGASKFMCLCCPIAFCRKCFHGAEFALVKRNRGFCRHCSKLAYLIEKNVDVDSDGEKVDMKDPDTQESYFFDYYQIIKKKEGLNSQQVYFARDTIINRKNKCDPYEIGEGEDDTGESDVSNFIDSDYDDLDDTAGVKSVRRKKNCIKKLKLLNQKVEKDKKKDFVGWGSRSLVDFLKNIGEDTTKAFSEIDVASIISKYCHKNQLFDPKKKKKVICDANLETLLRRKSVNKNNIQKLLASHFVDNFEETDGIISSSEERDNGNEAFKFPKQRNLNSTTKPCQNLLSQEQPSGFAAIINSNIKLVYLRRTLIDELLKKPETFDVKVLGSFVRIKSDRNDYLQKNSHLLVQVIGNLCLYLK >RHN81186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43911865:43917847:1 gene:gene5192 transcript:rna5192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MYAISGALYVDQMGYAMSRLDVDSSDTEDGNAILEDSSTGKAKKAFENLDNEIAQITKLKSTPHQLLVHDGSGRKELPVSPVKMLAGRESNCSGRGRFSSADRCHLLSRYLPVNGPWPIDQMPSRAYVSQFSADGSLFVAGFQGNHIKIYNVEKGWKVQKNILTKSLRWTITDTSLSPDQSHLVYASMSPIVHIVNVGSSETESLANVTEIHDGLDFSSNDDGGYSFGIFSLKFSTDGKELVAGTSGDSIYVYDLETNKVSLRILAHTADVNTVCFADETGHLIYSGSDDSFCKVWDRRCLNAKDKPAGVLMGHLEGITFIDSRGDGRYFISNGKDQTIKLWDIRKMSSNVTSNRVRGYRSFEWDYRWMDYPPQAKDLNHPCDQSVATYRGHSVLRTLVRCFFSPAFSTGQKYIYTGSHNACVYVYDLVSGAQVATLKHHKSPVRDCSWHPFHPMLVSSSWDGDVVKWQSAGSSDMAASSVKKRVNKRHFYEDYL >RHN44065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1384100:1385313:1 gene:gene38121 transcript:rna38121 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLHFKSGFGILFEKTNGVKAPGFWELDGKWFFRTKQVTGFWFLVFIFWDCGR >RHN40080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13059271:13060128:1 gene:gene46176 transcript:rna46176 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVEQLEVEKEQLKISKYQLKEAHENLAKSECQVVSGRNEIKILEDMVTVYEDVKSNHELWVEKLNSMIDTQAKSSLEKDALINKWFHRMSEMKIQLISKLDDYESRNSELENKLRQYEAEKMKQEELNATQQMILHNEISSLREDLGQRKHDVEGANKEFDKLMTERDEGNAKIDKILTEICSHDDQISDMKNYIWELKVRLKELMVDYKTSLNHENELLLRVGELEKEVTKQNGVISDRAEEKREAIRQLCFSLEYYRSRYLKLFQAVVGHRRRGGHTVIAS >RHN81128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43498733:43500667:-1 gene:gene5128 transcript:rna5128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosome biogenesis protein Nop16 MGRSRRKYKQSRPKVRVGLPKKNPKVLKPAFTIPPKLLQSLAEDPKWDDKGSVTQNYSSFGVVSDPNSLTDSLKIPSVADDNDPGSDLEEDDLKSALGKRRRDGKSSLPQPLTSIQRLHISRLVEKYGDDFQKMMMDIKLNPMQHSVATLEKLCFSYYLYKDKNPLIVGK >RHN49969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2767120:2768262:-1 gene:gene34213 transcript:rna34213 gene_biotype:protein_coding transcript_biotype:protein_coding MILSDPHADQIPSIKFNKPERVTLLSFFSSFSAFFIGSFFSSFSTSSFSFFFFLCPVRSREQSISSITIIDLLDVSIKSLFNSLLSLTIVNSRSYTS >RHN80416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37907415:37909690:-1 gene:gene4343 transcript:rna4343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein ClpC MQESCLGFCGSRLMSLVYWLFGLIGEGTSISVKVLKCMGINLKDARVEVEKIIGRGGGFVAVEIPFTSCVKRVLKLSLEESRQLDHNYIRSEHLLLGFLREGEGVAAPVLENLGADPTNIRRHSKNNPCLIGEPGVGKTAIVEGLPQRIANDDEYRKHIEKDPPLERRFQPVKVPKPTVNMNESKCTSDQFLPDKAIDLNAEAGSRVQLQQAHVLFIYHLRTSSSCLLFIYHSMIWLDMSEFMERSTVSKLIGSLHAYAGYTKGGQLTEEVWRRPYTVVPFDEIEKAHHDVFNMMLQILGDGRLTDSKGRTVDFKNTSYNDIKCWKQCDCERRLSDNSYNQIKSLATEE >RHN40087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13113153:13114835:1 gene:gene46187 transcript:rna46187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TCP family MAKENSTNIDLTLGEPKEEPEIEDVSAIKVMPMTMHVPPGIPMSMQVNKALVQAQAQAQAQTQAQAQAQAQQTIQKRSSTKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLEHAEPAIIAATGTGTVPAIAMSVNGTLKIPTTSPTKNPNQEPNQQSDESPEKKKRKRPSNSAYVDINDTTVSVSSGLITTSNINTNTNLNLIPQQPTIQLPQNMVPVWAIPSNAVVPNGNTFFVVPTNQPQFFTLARPITGFVSSMVTPVIQVQQASCSLSPSTKSPARATVMAPSTAATTTTQMLRDFSLEIYDRQELQLLSRSSSKH >RHN66175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9490334:9491838:-1 gene:gene14105 transcript:rna14105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTTIVNFVCGMIIFLSEFMVATNFKRKQIPFYFFIREFYPCFIDGNCPRNMCKVYQIPKCVGGLCRCIPLRCGRWEK >RHN75801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45197456:45197998:1 gene:gene12054 transcript:rna12054 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGDNKENIPPVYTNGENKKKNSISIMASSFKKNSSKRKMKRVPLADITNLFENSSTLTTTREENVFSSASVHLGLNFRRTLRIGFR >RHN60938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31702283:31704735:1 gene:gene23337 transcript:rna23337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTAIFKFVYAMTFFISLFLALVHVDAFGGLCVTDAACPQLFCSPKTAKCIFFDCHCV >RHN80127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35359021:35361634:-1 gene:gene4014 transcript:rna4014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNTRSRMSLSSSPVFPDDIIAEILSWLTVKTLMKMKCVSKSWNTLISDSNFVKMHLNRSARHSQSYLVSEHRGDYNFVPFSVRGLMNGRSITLPKDPYYQLIEKDCPGVVGSCNGLVCLSGCVADVEEFEEMWLRIWNPATRTISDKLYFSANRLQCWEFMFGYDNTTQTYKVVALYPDSEMTTKVGIICFRNNIWRNIQSFPARLLQFSICSNRTLYAGVHLNSTLNWLGFIQDGDLAPQLVIISLDLGTETYTQFLPPPISLDLSHVLQKVSHAKPGVSMLMDSLCFYHDLNETDFVIWKMTKFGDEKSWAQLLKISYHKLKMNLKPGISMFNLYVNGDTLVFVDDQKERAILYNWRNNRVVKTRVNKKICWFSINHYVESLVSTS >RHN53465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1804901:1807437:1 gene:gene28374 transcript:rna28374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c MASFELAPPGDAKSGDKIFRTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKNMAVNWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKEATAQ >RHN38532.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:966078:966886:1 gene:gene50654 transcript:rna50654 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQKQKSKGNNRKKYTTHIVDAATEARLNPMPNISTASSSQGSPGSSQASVAAPAMGSAPPPTYIYPPLHLGYYGTVPPFGTFPPPSYQFPPYSIPPQNHPLPQQQQIPPQQNPPFPQQQQIPPQQNSPFPQQQIPPQQNPPFPQQQQNPPQPQQQPQQENVVEEGGGELVMFHDVIPDYPRDSLRRYILKPSGNSFLPCKPAAEAIKSIIHNCYGHFWKTYGDLDDNEKDRWFSILIVIVY >RHN42894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41757799:41758167:1 gene:gene49394 transcript:rna49394 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVESGDGERWNQGYQIGMMMFFHGFSMKKTSTWVFLKRGCMQDYKLKQIKNGFLIRSVPLLFKEDDVDVSSISMGTNTKSEGRKTLKKKKKKKGKKNTCCLGDRLKEKKEGIKCQFFIGV >RHN63072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48118318:48122141:1 gene:gene25729 transcript:rna25729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MKRMENNDDSVDINNENNWLGFSLSPQMNNIGVSSHTHHHSLPSATATASEVVPLQASFYHSSPLSNFCYSYGLEHENAGLYSLLPIMPLKSDGSLFEMEALSRSQTQAMSTTSAPKLENFLGNEAMGTPHYACSSTVTETMPLSLDSMFQNQIQQNMNMNNQQHLSYYNSTLRNHELMLEGSKQSQTSSGNFHQSNMGEDHGLSGLKNWVLRNFPASHGHDQSKMIVPVVEENEGECGSNIGSMAYGDLHSLSLSMSPSSQSSCVTTSQNMSSAVVENSVAMDTKKRGSEKFEQKQIVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQVYLGGYDMEEKAARAYDQAALKYWGPSTHINFPLENYQNQLEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGANAVTNFDIIKYDVEKIMASSNLLNIEQARRNKEVVDISSTQYIDQNKPSSAYDNNSTQEAISMQKSMVLYQSSQHQQLQQNQPRFENERTHQTFSSVSLDNMFHQEVVEEASKMRTHVSNASSLATSLSSSREGTPDRTSLQNLSGIMPSTASKLLVTSAPNSNLNSWDPSQHLRPSLSLPQMPVFAAWTDA >RHN57669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40909390:40910415:1 gene:gene33248 transcript:rna33248 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGWRSVVKVRQQHVSQLRTVFHLEQISVMTNLAIVDRVERIIYDVDQGFSFCFNITSCAWHFARLIGEIVPVKSAWAMIIPRTERYLFSVEIVPICCGDLIFLPPNVVASLGPNIVICTRVAKTFTLLDPFTLTHCFLDAHQYWKAPFTHSFNRTQLVEYVVLDIVLLQDNQQDNQDEEEEVVEENKEKKDAAAAAAAKKYRLADAVVARVKDTGNNDTTFQIRTHLGRILKPGDHALGYDLSGGVGEGGADTNTNNNLPAAILISKISYAEVNGRVVAVKDKWESDYQLFFNDLQQDPQLLFKITAPYRNPNNHYTYDGPFVDPPIRPFDPLEDLLDG >RHN40143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13615920:13618642:1 gene:gene46253 transcript:rna46253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MSVTLHTNLGDIKCEIFCDEVPKTSENFLALCASGYFDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGILSMANSGPNTNGSQFFISYAKHPHLNGLYTVFGRVIHGFEVLDLMEKTPTGAGDRPLAEIRLNRVTMHSNPLAG >RHN64339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58322679:58324583:-1 gene:gene27147 transcript:rna27147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRAPCCEKIGLKKGRWTAEEDKILTDYIQEHGEGSWRSLPKNAGLLRCGKSCRLRWINYLRSDVKRGNITPQEEEIIVKLHAVLGNRWSVIAGHLPGRTDNEIKNYWNSHLRRKIYCFMKSLNESFSPIDLAAVNLAAASKRRAGRGAAPRQDQNNKYNKKEVLEVQLPTLLHTRKEEELQQGYNNTNNSSCPNMNELVDNLEPYEWLDDEIIKLSYMFESGVLVSPDHENNNNNNNNNNININVTNDDNNILDSDFGVLVGNNDGGSGGVWSSSNGAESGECNSSVNSVYEYQWPDMHLEGSCVQSYNQQWDLCDQQGQDVNCFWDASNYQENGFYH >RHN72357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7041728:7044742:-1 gene:gene8059 transcript:rna8059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal transduction histidine kinase, phosphotransfer (Hpt) domain-containing protein MEVGQMRRQWVDYIKSMFMEGFLDGQFLQLQQLQDENNPEFVFEVVSLFFDDSERILKDLSFAVDQQSIDFKKVDAHVHQFKGSSASIGAQRVKNSCVAFRNFCEEQNIDACRRCLQQVKQEYLLVKNKLETLLRLEQQIVAAGGSIPMMELN >RHN51040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13296950:13297165:1 gene:gene35410 transcript:rna35410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stizolobate synthase MCFNLSTENRKCRRKKFTFVPFSFVPMSLNFFRYWQLKYPAPGAPKLAKRVKEQIEASGLSQVDEDKKRRS >RHN43119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43363000:43365447:-1 gene:gene49645 transcript:rna49645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S12e MSGEEEVVVAEPVVAAAGIPGEPMDIMTAVQLVLRKSRAYGGLARGLHESAKVIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHSVSLLTVPNAKTLGEWAGLCKIDSEGKARKVTGCSCVVVKDFGEEHEAYNVVLQHVKSN >RHN56180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28561904:28564799:-1 gene:gene31541 transcript:rna31541 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRRRDAQAIKRAKPMIPGPLPKEQILEHKKKIGLWGITLPSANATVVGKVFLILTKHANGLPGLNDNPETIQKGKVFWSPVKPVHFGLKLSSKSLLGISGLHLE >RHN80632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39596652:39597491:1 gene:gene4578 transcript:rna4578 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHRYLYSWGPLSVFWICLLEEIFHLALYHRVHYLNLVPSLLLVCHALEIFVFCQFHVCKLPHCWVYHFRFCCCHCEEPAADTVAFRYEVSISGYYQEQTPQLADVQDQRFLWIIVKNSQSNKSMLML >RHN39312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5804162:5804715:-1 gene:gene45322 transcript:rna45322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MAHHSCCSKEKVNRGLWSPEEDEKLIKYITTYGQDCCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSYEEVAIIIELHSILGNRYIHYNSSSSFPPMLFSCQSLPFIILKVQKDLSFSNKCLSFSIGTLNFFSYNF >RHN59354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9802708:9804898:1 gene:gene21377 transcript:rna21377 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHKSKSFCTFFVTGISWCRIEPARAGATFMSVSSWRVVCAISIGLDCACVECSKAITSKEEC >RHN39860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11142711:11147174:1 gene:gene45938 transcript:rna45938 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHFKDPDHNSSITFSKLITFFFLLITISYLFYSLRFVTHNYDCDNIQNQKPIIHSHTNLPTQKPFEDEEKKQTKTNISHIVFGIGASAKLWKKRKEYIKLWWKPNQMRGIVWLEQKVKIDSNDEDLLPLLKISEDTSKFKYKNSKGHRSAIRISRIVSETVRLGMENVRWFVMGDDDTFFVAENLVNVLKKYDHNQFYYIGSNSESHLQNIYFSYNMAYGGGGFAISYPLAVALEKMQDRCIQRYPGLYGSDDRIQACMAELGIPLTKEKGFHQFDVYGNLFGLLAAHPVTPLVSLHHLDVVEPIFPNVSRVQALKRLTAPMNLDPAGLIQQSICYDKTRTWTISVSWGYAVQIYRGIFSAREMEMPARTFLNWYKRADYTAYPFNTRPVSRNVCQKPFVYYLSNAVYDKDTDETASRYVRVQSNPDCKWKMEDPSQIKLIVVYKKTNPNLWDKSPRRNCCRIQDSKRKGTMVIDVGECREDEAVEL >RHN51717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23378165:23385224:1 gene:gene36222 transcript:rna36222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEQIPYGVATSLVNRLASAAFREIGRIYGVMDELERLKKTVESIKAVLLDAEDKQEQSHAVQLWVRRLNDLLLPADDLIDEFFIEDMIHKRDKAHKNKVKQVFHSFSPSRTAFRRKMAHEIEKIQRSFKDVEKDMSYLKLNSNVVVVAKTNNVRRETCSYVLESEIIGREDDKNKIISLLRQSHEHQNVSLVAIVGIGGLGKTALAQLVYKDGEVKNLFEKHMWVCVSDNFDFKTILKNMVALLTKEDVVNKTLEELQSMLQVNLTGKRYLLVLDDVWNESFEKWDQLRPYLMCGAQGSKVVMTTRSKIVADTMSVSDQHVLRGLTPEESWVLFKNITFGDVTVGVNQPLESIGKKIVEKCKGVPLAIRSLGGILRGESKESEWINVLQGEFWKLCDRENSIMPVLKLSYQNLSPQQRQCFAYCSLFPQDWEFEKDELIQMWMAQGYLGCSVEKQCMKDVGNQFVKIFLKNSFFQDADLNVHGDVTGFKMHDLMHDLATQVAGNDCCYLDSSKAKKCLGRPVHVLVEYDALCLLESLDSSRLRTLIVMSYNDYKFRRPEISVFRNLKYLRFLKMESCSRQGVGFIEKLKHLRHLDLRNYDSGESLSKSIGNLVCLQTIKLNDSVVDSPEVVSKLINLRHLTINHVTFKDKTPSGFRKLSIQQPKGLSLSNWLSPVTNIIELCLYNCQDLRYLPPLERLPFLKSLDLRWLNQLEYIYYEEPILHESFFPSLEILNFFECWKLKGWRRMGDDLNDINSSHHLFLPHFPCLSKLVIVRCKMLTFMPTFPNIKKKLELWSCSAEILEATLNIAESQYSIGFPPLSILKSFHIIETIMGMENVPKDWLKNLTSLENLDLDSLSSQQFEVIEMWFKDDLICLPSLQKIQIRTCRLKALPDWICNISSLQHLAVYGCESLVDLPEGMPRLTNLHTLEIIGCPISYYYDEFLRKTGATWSKIAHIPKIITR >RHN57689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41049908:41052129:-1 gene:gene33268 transcript:rna33268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MEAGSKDERKITHRVAVQPETPNTVDSHPSPFRFTWRIGGFSSINTIKLYSDVFEVGGYKWRVLLFPKGNNVSDHLSMYLDVQDSANLPNGWSSYAQFSLTVVNQINNKYSVRRDTQHQFNEQERDWGFTSLIRLGKLHDPRRGYLMNDTLVVEVEVTCNVDEKDTAEHIMERLKKELSGKKHKNKEKEETHLHTIIKVVRDEDLAKQVGKYIYFDLVDYDKVRIFHVQKQMSFNIFKVSSSVTVLRCKIRGNLNLIN >RHN82521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54431149:54443421:1 gene:gene6692 transcript:rna6692 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGSSTASREESTFKGTLSNGQRENSVIGDSDRSASVLEGNASQAFVSGANMLQGSSTSSADSDPSAQSSKLDPKATSQKYTVTSSGELRQVLGTSLGNSLEDCAFRTANLISRLPADSEKLKEFKVRMQEASGKSRYEKRLDESVHKLNKVWEAVKPKNQFQNELLPNETISGSHLSKMGSQTHRSPTEFANPRLKSRPKNVILNKCIRTSAIEIQAEGQNNSFGQPLAIGKNRENIEDGGKVCDGVEEKIQRLPTIEETRERKAKRKPSMCTGSAGSIDGEGKPKRAMYLKRANESGVQSCDAIGLRFVDHDKNYTGGIYLQTKGKASRAPRTGNLIVGNSSSLSHSSETLEALEQPSNVNEPHSVSGTINGKRTHLAQWVRQRPQKISRTRRANVVSPFLNCDEMQVPLEGCSPSEAGTRTNSTTTSGPPISKGAINNINCDRMKNVNNSSPTRLSQSEESGAGGLDVSKGDERVINNSCNISSYMSVTEKEKLNKVETGDGLRRQCRGSSDFSVLKSGISSTEEKLDTLTSTKPIRNMKPSSEKKARSRRSHNSKAIAHLGDIAGRSGDDREELLSAANFASNASYIGCSSSFWKKVEPNFAPVSLDVIVYLKQLVKTIEDDQRCLSQRLCLQSDAPEGVVLTDKLLLQSPLEGDRGRSILDQTESKEPPSMVDTVDQHRAGSFLCSQMNLENKPAPLYQRVLSALIVDDQTEETVGDENISFLCERDDSTLEAWDFENQFNNSRTEHGCNTDTVSCKGNATSEHTCMEDKLLLELQSVGIYPELVPDLADGGCEAIDQNIIQLQKECFQQLTKKRKYFMKLIQAVEEGREMEPRALEQVAMDKLVELAYKKKLATRGTSAAKYGLSKVSRPAALGFMKRTLARCRMFEETGKSCFQNPMFKDILFATLARENNAASTLAENLPLAHNSQQECALSGSFPCTEQNVLGNSDHPSDLDAAKIGPILNGGKKEEVLLDEVGASASLKPTSTLGNSFLGGAKGKRSGRVRNKDTSRRSSVQRGVRSSASNTRSECKIKPKAKPKAAQLSTSAEGSLGKLVENINSENQLACGSGQLVSDDNNRKSKVGSVSHGNDYAVETEEPMDLTDVPELDSTEVGVGKDLDSWLDIDVDAIQDDEVAVGLDIPMDDLSMVL >RHN80168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35792981:35794750:1 gene:gene4058 transcript:rna4058 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zf-FLZ domain-containing protein MLLRNRSRAVTKQTLMGEQSPNQNFTTLPSLFGSPNYRDFHFTSKKSLTGTEALISPTSTLDTKALTSFENPFSITNSNKVCSTRDKVASKTIGLGLIGVLKDEPSHQNSDHKLSNRKVLFGTELRVKIPPLPPSMFESQKSVLSLSEMELSEEYTCVISHGPNPKTTHIFDNCVVEESYCSVTNSPHSFSMNFLSFCYTCKNHLEHTKDIFIYRGEKAFCSQECRHKEMVLDEAEN >RHN50969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12483022:12483474:-1 gene:gene35327 transcript:rna35327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MSVDLATETVGKWLPFTGSGDGPSSYWNRGISAYDVFDSAAPIFRNKPLAVIGG >RHN41622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31594703:31596644:1 gene:gene47964 transcript:rna47964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative primary-amine oxidase MNIYCRFFHVSLFKFFKCTFPANIFTVRNTRSVNRTGHLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYARDELHPGGEFPNQNPRVGEGLATWVKQNRPLEEADIVLWYVFGVTHIPRLEDWPVMPVEHIGFMLMPHGFFNCSPAVDVPPSPGDLDDKENGMPAKHSQNGLIAKL >RHN75079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39207440:39215648:-1 gene:gene11231 transcript:rna11231 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRPRGFGNFVKKFFRNRFKEFNNAYGETVSDVFAFPFKVLFTPLTVAIDIAGSAPRGFGVPELVSKLSAASVFAVATLGTYDIALNLGRKVICQRDCVTCNGWQAMRCTMCRGSGRVHYQVKNCHLKSGEKATAESVADAIVNNQAELVHIPSSSFDPQIPLPSKDCPICDGTGVMGCPECKNKLPFKISADGIMEPHWQARNVLEKMDYPYEHIRDSMKDPDIAEFWLIAYPQIMYGFTYGDNAKKTILSEYEESRWDQLRDEVATRKPGWEFLNDALISIDPDRARDDPVIGKNIPYYKAKKALEEEVMKLDPPPRPSNWGELDLPLSPSSWDEEDLKNPEKLHEMNVLLNAQREISENILDVQWETKWRQEKVNEMLEEKLQPYIQNIDNEVLSEPILLKSQKQEKKHRQRRWFIF >RHN57843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42117239:42118707:1 gene:gene33432 transcript:rna33432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MDPKMEEKWIKHYSNHHKILLVGEGDFSFALSLANAFGSASNIVATSRDSKGSLIMKYSRASTNLEELEKFGCSIVHEVDAHSVHKHPMLQNKIFDRVVYNFPHAGFDMAENNLNQIRLHQEVVWGFLKSAKKILTKDGEVHITHKNNNPFSKWEIVKLGEKIGLVFVEKVPFKISDYPGYVNKRGSGDNCDRTFPVGESSTFKFSKPKYVKPISLGISSVLLEDSDSVQLKNYVSKVMLASQNQKGHWIDSKMKERWIKHYNSCQKILLVGEGDFSFALSLARAFRSASNMVATSLDSKGTQLQKYILAFIIKVVI >RHN81494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46431975:46432333:1 gene:gene5543 transcript:rna5543 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIRVSDASGEAYISTFNEEAGKIIGCSTDDLRSQGESLSNEIEANYLDDEKKQTSWLVVPVETKFLLKDISKMEMLFLLSFNYVFI >RHN48489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47896555:47897230:-1 gene:gene43209 transcript:rna43209 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTSFNIHLTPHKLNLFSIKIPSLYYREYRQWKRGCCKAAI >RHN70727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53480535:53483560:-1 gene:gene19339 transcript:rna19339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zf-FLZ domain-containing protein MLRKRSRSIQKDQHQMGHLTNSDTNSDHYAQSHALGRNIKGNPIFNVPCLFVGLGPKGLLDSDSVRSPTSPLDTRVLSNSGNPVRNLRSSLLEGNQRSWDSCKVGLSIVESLEDCNCSRFCGKILQSLDSKGISLSPQSMIKTPICETCMDSFESSSKSLPKDFGKVVPCVEDGSVIQKGECESNVLFEIGETSLEHDEPFGRTRSCSLDSCKSMKADFGLATSKTDSDIDDFAMKDVTVQVSSSPHFIGGSQNSNAFIPAESKSNTLSICSSSEILKSLSASEIELSEDYTCVISHGPNPKTTHIFGDYILETHPDLSIKNHFKNEENEKEKGVTLMGNKLSQTPNQYPSSAFLSFCHHCDKKLDEGKDIYIYRGEKAFCSLTCRAIEIMIDEELEKSNSPCENSAKPKLGEQIFEAGIPTTT >RHN57892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42449305:42449508:1 gene:gene33483 transcript:rna33483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transaminase MSPGYRTISFLIGQFSLLWIEQQKRLNFKVDVVESEWGQGANLEALELNLLRIKHISYHKGNLYCSQ >RHN81292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44615445:44616130:1 gene:gene5308 transcript:rna5308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling JUMONJI family MPVAPEYQPTPAEFEDPIEYILKIENQASKFGICKIIPPFPLLNDPFGRVGTTTLYEFEIKAKWINMNKKKKNSNSALEIETLFWKVVLHSLNYLHMGASKTWYGVPRVAAVAFEDVVRVHVYGGKIKPLVEADED >RHN62544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44238445:44243345:1 gene:gene25135 transcript:rna25135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MEETTRKLIKSIHQNTNNPKLAWHLFKRILSSSPSSSSSSSSSSSSHHHHLIPTITRILLRHNMDNEINHLFQLTTSHHSHISILNILSQSPHHLDFAFSHFKSLRTHFPSTPLPLQLYHLLLRSSLQQNRPHYVTSLYTDMIQTGVNPETYTFNLLIQSLCESNALDHARELFDKMSEKGCQPNKFTVGILVRGFCRAGRTKQALEFVDGKMGGNVNRVVYNTLVSSFCKQDMNDEAEKLVERMTEKGLLPDVVTFNSRISALCRAGKVFEASRIFRDMQMDGELGLPKPNVVTFNLMLKGFCQEGMMEEARSLVETMKKGGNFVSLESYNTWLLGLLRNGKLLEGRSVLDEMVENGIEPNIYSYNIVMDGLCRNHMMLDARRLMDLMVSNGVYPDTVTYTTLLHGYCSKGKVFEAKAILNEMIRKGCHPNTYTCNTLLNSLWKEGRKSEAEEMLQKMNEKSYQLDTVTCNIVVNGLCRNGELEKASEVVSEMWTDGTNSLGKENPVAGLVNSIHNVSTNVPDVITYTTLINGLCKVGKLEEAKKKFIEMMAKNLHPDSVTYDTFVLNFCKQGKISSALRVLKDMERNGCSKTLQTYNSLILGLGSKGQIFEMYGLMDEMRERGIHPDICTYNNMINCLCEGGKTKDATSLLHEMLDKGVVSPNVSSFKILIKAFCKSGDFKVACELFDVALSVCGHKEALYSLMFNELLAGGKLSDAKELFEASLERSLLSKNFMYEDLIDKLCKDGRLDDAHGLLQKLIDKGYCFDHSSFIPVIDGLSKRGNKQQADELGRIMELALEDKTSDRTYRNGNSIFRRKLHKDGGSDWQDIINRDIGGNIALKTLKRVEKGWGQGSISSLLPQKNDYLDY >RHN41522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30752835:30753663:1 gene:gene47855 transcript:rna47855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVIDAMSKLIVSDFFTTLSMPPNFYMFPLFFLSFIFFIFPTNSVHFKISSFHPDDGIVVCLGSARASDGQINFNINDDYSSRVGRVEYAKKVLLWESATGQLADFKTHYTFIIDTQNRTTYGHGIAFFLVPVGIEIPPNSAGGLMGLFNTTTMVSSSSNRIVHVEFDSFANSEFSETTEHVGINNNSIKSSISTPWNASLHSGDIAEVPLEN >RHN49351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54167748:54171839:-1 gene:gene44171 transcript:rna44171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger, cation/H+ exchanger, CPA1 family, na+/H+ exchanger NHX -type MGVESLQMLTTSDYASIISMNLFVALLCACIVIGHLLEENRWVNESITALLLGLCTGVVILWISGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKRFFVNFITIMSFGAVGTLISCSIITFGMSFLFIWILTFMILFLKNLHRENSDGYFKQTIPYPCVSQIFKRMGIGTLDLGEAVAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIKSFDLEQLDHGIALQFFGNFLYLFIASTMLGVLGGLLSAYIIKKLYIGRHSTDREVALMMLMAYLTYILAELWYLSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATLSFVAETFLFLYVGMDALDIEKWRFVSDRPGTSVIVSSVLLALVLIGRAAFVFPLSFLSNLTKKSQNEKISFRKQVIIWWAGLMRGAVSMALAYNEFTMSGHTQLQFNAIMITSTITVVLVSTMVFGMMTKPLIRFLIPLGHPPKQKNSLANLEESSPKSITVPFLGGRQDSEEVDNDNDNDANDSHRPSSIRDLLTTPTHTVHRLWRKFDDAIMRPVFGGRGFVPVVPTSPTESDCQLQWD >RHN48928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51220977:51221794:-1 gene:gene43697 transcript:rna43697 gene_biotype:protein_coding transcript_biotype:protein_coding MFALSTMDMNIVDPVLSTTPMKGKLRQVITHSKCSPSHYFLRPPEENACQKSLKLGGYKCVLALN >RHN60521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27590212:27593169:-1 gene:gene22842 transcript:rna22842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative taurine-transporting ATPase MIYKNKFFVFLGRLGPSTRRTGEILINGHKQELSYGTSAYVTQDDTLLTTLTVREAVYYSAQLQLPDSMSKEEKKERADFTIREMGLQDATNTIIGGWGVKGISGGQKRRVSICIEILTRPKLLFLDEPTSGLDSAASYYVMTRIATLDHKDGIQRTIITSIHQPGTEVFQLFHNLCLLSSGRLVYFGPASAATEFFAMNGFPCPPLQNPSDHLLKTINKDFDQVIETALADNGTMPTEEAICILVNAYKSSEMYQDVHNEIAVLSKKVDFMAYLIIQKHTSSLDCKKEEHAKFLNQCLVLIKRSSVNMFRDLGYYWLRFGIYVALAISLGTVYYDLGTNYGSIKDRGSLLSFVSGFLTFMSIGGFPSFVEDMKVVSKLIYRKTNEVFQRERQNGHYGVIAYVIGNTFSSIPFIFLVTMIPAAITYYLSGLHNGSEHFLYFAAVLFSSLMLVESLMMIVASVVPNHLMGIITGAGIQAIMILIGGFFKLPHDLPKPIWRYPLHYVAFHTYSFEGLFKNEYEGLRFNVKKGQGTSNYITGEEILRDIWQSDMSYSKWVDLGILLGMIVLYRVLFLVITKITEKVKPVLGSLLTCKSISSDTIVEKPELLL >RHN41711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32263201:32263368:-1 gene:gene48061 transcript:rna48061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative defensin, invertebrate/fungal, defensin, plant MGPSMVAARTCETPSNSFKGACFSDTNCASVCQTEGFPGGHCKGFRQRCFCTKPC >RHN80524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38819601:38824492:1 gene:gene4461 transcript:rna4461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MASLLYRTFGYQISSFVNRWFIQPSHHHNHSHNNNHKTNKRFNMPKGRPISLQTVELKVRMCCTGCERVVKNAIYKLKGIDSVKVDLEMERVTVTGYVERNKVLKAVRRSGKRAEFWPYPNPPLYFTSASHYYKDTTNEFKESYNYYRHGYNLPDRHGTIHVTQRGDDQCVLYAHYR >RHN78654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17206223:17210675:-1 gene:gene2288 transcript:rna2288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKDDKDASQSQPNAGPDADNAYASFQGLLQLAKITNSEVDVSRGACKKCGRVGHLKFQCKNNVKIKDDKEEKDFDAMQSLGLVRMDKLKGKAEKVDKGSNVESSEEEEDDSESSDSEIDSEIERAIVKRSGKKVSEKRGSYRKKDDSDDDESDKDSDRKRKKRGREKKRSVKTKRDASASDDSVERRRRKKKREHRRSRRDKSPDDSDEYRHKKRKSRKEKRRRRSRVSDSDSETSEDSTSRHKRKSKRTSSSTDSDSGDGYNGSRKGRDTKKSEKRKRRHHGDDD >RHN66349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11181419:11184184:1 gene:gene14313 transcript:rna14313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAATMIGGAFLSATVQTLVEKLASTEFLDYIKNTKLNVSLLRQLKTTLLTLQVVLDDAEEKQINNPAVKLWLDDLKDAIIDAEDLLNEISYDSLRCKVENTQAQNKTNQVWNFLSSPFNSFYREINSQMKIMCENLQLFANHKDVLGLQTKSARVSHGTPSSSVFNESVMVGRKDDKETIMNMLLSQRNTIHNNIGVVAILGMGGLGKTTLAQLVYNDKEVQQHFDMKAWVCVSEDFDIMRVTKSLLESVTSTTSESNNLDVLRVELKKISREKRFLFVLDDLWNDNCNDWDELVSPFINGKPGSMVIITTRQQKVTKMAHMFAVHNLEPLSNEDCWSLLSNYALGSDEFHHSTNTALEEIGRKIARRCGGLPIAAKTLGGLLPSKVDITKWTSIFSILNSSIWNLRNDNILPALHLSYQYLPSHLKRCFAYCSIFPKDCPLDRKQLVLLWMAEGFLDCSQGGKKLEELGDDCFVELLSRSLIQQLSDDDRGEKFVMHDLVNDLATFVSGKSCCRLECGDIPENVRHFSYNQEYFDIFMKFEKLHNCKCLRSFLCICSTTWRNDYLSFKVIDDFLPSQKRLRVLSLSGYQNITKLPDSIGNLVQLRYLDISFTNIESLPDTICNLYNLQTLNLSNYWSLTELPIHIGNLVNLRHLDISGTNINELPVEIGGLENLQTLTCFLVGKHHVGLSIKELSKFSNLQGKLTIKNVDNVVDAKEAHDASLKSKEKIEELELIWGKQSEESHKVKVVLDMLQPAINLKSLNICLYGGTSFPSWLGNSSFSNMVSLRITNCEYCVTLPPIGQLPSLKDLEICGMEMLETIGLEFYYVQIEEGSNSSFQPFPSLEYIKFDNIPNWNKWLPFEGIQFAFPQLRAMKLRNCPKLKGHLPSHLPCIEEIEIEGCVHLLETEPTLHWLSSIKKN >RHN81020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42665267:42668083:-1 gene:gene5010 transcript:rna5010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKSNSLTLTIHFFFYRLPVNSSERQHNARIRVLINPNKTLQETTSRQNPWRRFDHRDSEMNYSRLISGGGRLLRRLCTAATAAELPNKKANLYRRLADLEKTGGTVSQTLNQYIIEGKALGKDELERCVQELRKYRRFHHAFEIMEWMMMRQINFSWDNYAVYLDLVSKVKGVVEAENHFNSFPPPAKNKYTYGSLLNCYCKELMLDKALSHFDKMDEFGYLTSLSFTNLMSMYMRLSQPSKVPQLVNVMKERKIRMTEFTYILWMNSCAALNDLGEVERVYEEMKREDEDKIDWKTYSNLAAIYIKAGFFEKAELMLKKVEGVMKPRQRETYHFLLSLYAGTGNVKEVYRVWGTLKKITPVTNRSYLIMLSNLRRLNDMEGIIKLFKEWESRHVSYDSRLVGVAVQAYLSQNMDKEAVLVFEEALKSCRGPFFRIREMFMASLLEKGQLDGAMSHLEAALSEASDYKYQPSPQVVSAFLKYYEEETDLDGVDELSKILRSHNFDESYIKTCITASESSPGIHTVLKEDSYVNQAHENL >RHN71813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2763459:2764100:-1 gene:gene7450 transcript:rna7450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative actin cross-linking MEFFQRAKVVRLRSHHNKYLLADDDKEGVYQDRLGCYNNAKWTVEFVDGGNLIRLKSHYGKYLTASNMPFLLGRTGKKVLQTLPSRLNSSLEWEPIREGNQIRLRTRYGQFLRANGGLPPWRNSVTHDIPYRTKTTNWVLWDIDLVELRPPPPKQIQDVPPIDVKDLSCPVNSLSNRSRSPSPQSPTLSNNDDPFGAIDLRFSSSISNEVCFL >RHN65282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:828606:830906:1 gene:gene13105 transcript:rna13105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKIVYFVYSMIIFLSLFLVTTKAAERIYRCLDHSHCPTFMCSPGLKPKCMNPKVCKCVPVQSRKYYALT >RHN81064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43034517:43043819:1 gene:gene5059 transcript:rna5059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CTP synthase (glutamine hydrolyzing) MKYVLISGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNIDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTKDNNITTGKIYQSVLEKERKGDYLGKTVQVVPHITDAIRNWIESVAVIPVDGNEDPADVCVIELGGTVGDIESMPFIEALRQLSFQVGPDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHLLASRSAEPLLDSTKEKLSQFCHVPVNNILNIHDVPNIWHIPLLLRNQNAHHSILQQLNLLSRATPPDLRQWTDMAESYDNLTESVRIAMVGKYVGLTDSYLSVVKALLHACVARSLRPSIDWIAASDLEDDSAQSTPEAHAAAWKTLKSAACVLVPGGFGDRGVRGMMLAAKYARENHVPYLGICLGMQISVIEFARSVLGWERANSIEFDAQTPNPVVIFMPEGSRTHMGSTMRLGSRRTLLQTRDSITSKLYGNSEYVDERHRHRYEVNPDVIETLEEAGLKFVGRDESGRRMEILEHPSHPYYVGVQFHPEFKSRPGRPSALFLGLILAATGKLEAHITSIHENGS >RHN55735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22074834:22082118:1 gene:gene30966 transcript:rna30966 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLIEKQQWSSKFDRLRQELAETQEVLKRDQSSHLIAFSQP >RHN51625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21170429:21173229:-1 gene:gene36103 transcript:rna36103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pheromone shutdown, TraB MVHERDRYMSHTLLTVARKSRTVVAVVGEGHLEGIKKNWKQPIEIQELQELCTIPPPKPAIPAMRLFAILCIVVAGVTIISTIYH >RHN43386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45352344:45354672:-1 gene:gene49950 transcript:rna49950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MSSELYSFGTTTFHTHSTSEIVSSNDGSGDLPFFSDSFPFFTNSHHSTNEVTSQQNSNPFDEPLLPSLDPFSPSFFSFSPPTDQNHTTVQPLCNESFSAFDFSQVKNEESQISIDYYNYNTNTNNNQFLPHSYSGDENVSKYMQRSFSSNSFEKKPSFLFQTHHDNLVDSSKFQRHDLSSPENSLRRVCSTGDLQNMKENNMSPTEGNLQEEQNFKVGRYSAEERKEKISKYRAKRTQRNFNKTIKYACRKTLADNRPRVRGRFARNDEPTEIPKAPCLIRDEDEVDFWMEELRLHEDDVTVGVAEQYLKSSGYGVGQFQYFGL >RHN42510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38950188:38954738:-1 gene:gene48957 transcript:rna48957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative asparaginyl-tRNA synthase (glutamine-hydrolyzing) MVMDSALACSLIQFLILVTFSSMLLLPTTLASEFSIKEATVQDLQLAFQRNQLTSRQLVEFYLNQIKIQNPVLKGVLEVNPDALAQADKADQERREKTPSSLSRLHGIPILVKDNTATKDRLNTTAGSFALLGSVVPRDAGVVTKLRKAGAIILGKATLSEWSHYRSSKVPGGWNARGGQGKNPYTLDDPCGSSSGSAISVAANLVTVSLGTETDGSILCPSGLNSVVGLKPTVGLTSRAGVVPISPRQDTVGPICRTVSDAACVLETIAGIDTFDKATVEASKYIPKGGYAQFLKKDGLRGKRLGVVRYYYEFGNDTFMHETFNLHLKTLRQRGAVLVDNLKIDNIDEILSAPSESIALNFEFKLSLNEYLKDLVASPVNSLADVIAFNEKHPKLEKMEYGQDVLVQAEKTNGFGKAEKEALLNMSRWSENGFEKLMKINKLDAVMTPFWSFSHILAIGGYPGVSVPAGYEKGVPFGICFGGLKGSEPKLIEIAYSFEQATLIRKPPPLRKLEITNKMASTQII >RHN47446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39826696:39829983:1 gene:gene42050 transcript:rna42050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MEKNWIFAMLLMLGLMGCFCCYVEGLGVNWGTQATHKLPPETVVKMLKDNGIQKVKLFDADDTTMTALAGSGIEVMVAIPNNQLAEMNDFKRAQYWVRKNVTRYNFDGGVKIKYVAVGNEPFLKAYNNSFLNITFPALQNIQNAINEAGLGDTVKATVPLNADVYMSPPDNQVPSAGIFRPDINGLMTQMVQFLNKNGAPFTVNIYPFLSLYGNDDFPFNFAFFDGVDKPITDNGIQYTNVFDANFDTLVSALKSIGFGDTPILVGEVGWPTEGDKNANSGNAIRFYNGLLSRLAANRGTPLRPGFIEVYLFGLIDEDAKSIAPGNFERHWGIFRYDGQPKFPMDLSGQLQNKLLVGAQGVKYLDPMWCMFNPDAKDLSKLADNINFACTFGDCTALGYGSSCNNLDANGNASYAFNMYYQTQNQDRQACNFEGLAKPTTDNISTPTCNFIIQIVPSSASSLMPSFAALLFIAFSVILLA >RHN62888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46520875:46521528:-1 gene:gene25521 transcript:rna25521 gene_biotype:protein_coding transcript_biotype:protein_coding MWPMSSEKNTSNISTTMKMKEESTTVDTKSVAATSSTCCCLVTKLMRKLKRSRSRIRQGSFQCHYDPLSYSLNFDTSDCGSLLDEDYYYKFCAFSSRFVANPTISCPVLQLSAGKSQ >RHN72986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12361769:12369508:1 gene:gene8755 transcript:rna8755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MATTEAAGPRYAPDDPTLPTPWKGLIDGSTGLLYYWNPETNVTQYEKPGPVNPPAPAASTPSLAPIPVAHSMTAGGVGQQQHGQQMMQVQQLSQQQQGGHYGQGMPQQQSPHMVQAAQQQSSQTAQPVQPQPAQQPGLHQSRPQMMQPQGQPMMQYQGQQQYQQMHHQMPPQAIPRPQQFGQGNSQDHGSQLVQPQAPQFTPQNMHYMGYQQNMISPRQPNSQQIQPNMHPSGQPNPQQNQHNIHNQPFENQHDFKPAMPKMEEAEFKNGSQVGFSPSQYPQRSGLPVQNNQNIPAEVSSGQVPNAGVNAGQPQQFRGFSGGMQQSTPTMQSQQGGSDLFYQHGPNFQNQMSPGMMHGHTSNAHPVAQKMGHEDNLHGRGGNDYYYNSNKEMPPMGRQQPDMTQMPIPRNPQDMRIGNSPFQNNVPSGNGSGITGNAMSNMFTPPIGGPSALSSNSFTRPPYGGSSDVTDLSAAELYCQQHEVTASGDNIPPPFMTFDSTGFPPEILQEVCSAGFSNPTPIQAQTWPIALQGRDIVAIAKTGSGKTLGYLMPAFILLRQRRNNSLNGPTVLVLAPTRELATQIQEEVFKFARSSRVSCTCLYGGAPKALQLKELDRGADIVVATPGRLNDILEMKKIDFGQVSLLVLDEADRMLDMGFEPQIRKIVNEIPPRRQTLMYTATWPKEVRKIAGDLLVNPVQVNIGNVDELAANKSITQYVEVVPQMEKQRRLEQILRSQERGSKIIIFCSTKKLCDQLARSIGRTFGAAAIHGDKSQGERDWVLGQFRTGKSPILVATDVAARGLDIKDIRVVINYDFPNGVEDYVHRIGRTGRAGATGVAYTFFSEQDWKHAGDLIKVLEGANQHVLPELRQIASRGPPSFGKDRGGMNRFDSGGGGGGGGRWETGGRGGMRDSGFGGRGGMRDGGGMRDGGGFGGRGGMRDGGGFGGRGGMRDGGFGGRGGMRDGVGGSGGRGDFFPGRGNRGRGFDAPRGGNVGWGRGDRGGPGDRYNMDGRGQGRGRGRFDNRRDVQRSRDRSYSRSPDRVRTWDINRSSSRSRSRSRSRSWSRGRSRSRSWSRGRSYSRSRSPRSRSRGRSYSRSRSPKKTRRVKSKFSDTNDVVPPEAGASDPKMFPIPANTQGNSVTGTEHVEQLPVVGGTGSTNPEAVVDVSHQPASDI >RHN79324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27615589:27618730:-1 gene:gene3101 transcript:rna3101 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAPLSEEPINEENERKLSKYNSKKGFQLWMNWSWIKTHFSLVFNKKSNLKMLLSVLGCPLFPVPIHPKSPLNEVSSSAQYIIQQFTAATGCRKLEGTVKNVFATGKVTMVVVDELGSVGSGGVNGTSEKGCFVMWQMVPDNWQIEIVVGGQKVLAGSNGTIAWRHTPWLGVHAAKGGVRPLRRALQGLDPLAVSAVFSAAQHMGEKQINGVDCFVLKLSADQKDLIERSDNTAEMIKHAIFGYFSQRSGLLVHLEDSYLTRIQAIGTNPTYWETTMSTKIEDYRDVDGVMIAHAGSTTVMITRFGDNLKDGPAITRLEESWTIDDVAFNVQGLSIDCFLPPQELNKDCPQQHLDWRSSLHR >RHN73888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21171283:21198441:-1 gene:gene9777 transcript:rna9777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MEVPLESEKKKSSESIKIASTGSVSTRKKVETRNIPESGSATKRSGSIGSSASSVPRRNSTGGLPQTQRSSLSSDGRIKPATKTVRDKTVTEPVRKSLPEIRRSSISALHAGKPVAATPVGSSLRTSAVSGSEVVKKPLSKPALSRDRVGSSTVDGSVRKTVGKVSSQSLSARSPTVSGGLRAGSVSSSSDRSSGLSGRRKVTTTPDSRNSRLIVLPQIEVKASDDLRLDLRGHRVRSLTASGLNLSSNLEFVYLRDNLLSTLEGVEVLTRVKVLDLSFNDFKGPGFEPLESCKVLQQLYLAGNQITSLASLPQLPNLEFLSVAQNKLKSLTMASQPRLQVLAASKNRISTLKGFPYLPVLEHLRLEENPILKMPHLEAASILLVGPTLKKFNDRDLAREEMAIAKRYPAHTALCIRDGWEFGRPEQAAESTFRFLAEKWKDHIPPDFFLKEASIDKPLEEDVCHSHFTFVHDGAMSTDPPLVLKYQWFCGDVTLSNFVPIPDATDEFYLPKHNEIGKVLKVECTPFVGETEYPSIFAISSRVKPGSGIPKVVSLEVHGELLEGSIIRGCAKVAWCGGTPGKGVASWLRRKWNSSPVVIVGAEEDEYQPTINDVDSSLVFMYTPVTEEGAKGEPQYKYTDFVRAAPPSVSNVRIVGDAVEGITIKGVGDYFGGREGPSKFEWLRKNRDTGDFMLVSAGTSEYTLTKEDVGCCLTFVYIPINFEGQEGKSLSAVSPVVKQAPPKVTNVKIIGDVRENGKVTATGIVTGGTEGSSRVQWYKTYSSTLDESNLEALSTSKVAKAFRIPLGAVGCYIVAKYTPMSPDGDSGESTFVITDRAVETLPPSLNFLSIIGDYSEDGILTASYGYVGGHEGKSIYSWYIHEVEGDFGSPIPGVSGLLQYHITKEVIGKFISFHCTPVRDDGVVGDERICMGQERIRPGSPRLLSLHIVGNAVEGTTLRIEKTYWGGEEGDSVYRWLRTSCDGVQSEIMGATTASYMPSIDDIGFYISVSCEPVRSDWARGPIVLSEQIGPIIPGPPTCHSLEICGSMIEGQRLNFNAVYTGGERGDCTHEWFRVQNNGVRNKISSQDFLDLTLDDVGACIELVYTPVCKDGTKGIPKNVVSDVISPADPKGIELIIPDCCEARQVTPMKIYFGGHEGVGEYIWYRTKIKLEGSALLNISNGSDIVICGTELTYKPTLKDVGSFLALYWVPTRADNACGEPLVAICSTTVSPGPPVVANVRVKELSLGVYSGEGEYFGGYEGESILSWHRENSEGSVEPVNGANSRTYEVTDSDYTCRLLFGYTPVRSDSVVGELKLSDPTDILFPELPYAEMLALTGKAVEGDILTAVEVIPNSEMQKHVWSKYKKDIRYQWFCSSEEGDSSSYEPLPNQNSCSYRVKLEDIGRCLKCECVVTDVFRRSGEVVYIETTPVLPGIPRIHKLEIEGRGFHTNLYAVRGIYSGGKEGKSRVQWLRSMVGSPDLISIPGETGRMYEANVDDVGYRLVAIYTPVREDGVEGQSVSVSTDPIAVEPDVLKEVKQNLDLGSVKFEVLCDKDQKKISSVGTYERRILEINKKRVKVVKPATKTSFPTTEIRGSYSPPFHVELFRNDQHRLKIVVDSENEADLMVQSRHIRDVIVLVIRGLAQRFNSTSLNSLLKIET >RHN43932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49546091:49548466:1 gene:gene50570 transcript:rna50570 gene_biotype:protein_coding transcript_biotype:protein_coding MEPERLSVAPSFQRIIIIADSLSLLQTHPAPMIWIGTPPLIIIRLRHDTKPKTPFGYSRKDVILIGLGVTFLGIALKSGLEFAGFDPLQAGNVVQLVLVLGLTVGWISTYIFRVSNKEMTYAQQLRDYESKVMEKRLESLTEAELQVLLQEVEEEKSR >RHN73215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14461260:14461781:-1 gene:gene9007 transcript:rna9007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein MLYQCHLSEGGLNTWKIGNQDPIFKQYSLPKGEVGYPSGIFNPLNFAPTLEAKEKEISNGRLAMLAFLGFISQHNVTGKGRFDNLLEHLSDPWHNTIVQTLSGGN >RHN49185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53134746:53153416:1 gene:gene43990 transcript:rna43990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MAMAMAFQTTHSYLTTTNFIFSSSLKRLTKPSRFFHSHSKRFVSTPRRLAVKACAINVEEKNVAAKSQEWGKVSAVLFDMDGVLCNSEEPSRRSGVDFFAEIGVQVTVDDFVPFMGTGEANFLGGVASVKGVEGFNTEAAKKRFFEIYLDKYAKPDSGIGFPGALELISQCKSKGLKVAVASSADRIKVDANLAAAGLPLSMFDAIVSADAFENLKPAPDIFLAASRILNVPASECIVIEDALAGVQAAKAAQMRCIAVRTTLSDEALEPAGPTFIRDDIGSVSLDEILNGDSVGYRGVDGVGRTTSGTDEETPSTGGLQGSRRDIVRYGSLGIAISCLAFTLNNWKAMQYTSPQAIWNLLFGVTQPPMEYKAGNSRSDRIPQFVNYIADLESRENAQLVPEFPSKLDWLNTAPLQFRRDLKGKVVLLDFWTYCCINCMHVLPDLDALEKKYEDMPFIVVGVHSAKFDNEKDSEAIRNAVLRYDITHPVVNDGDMYFWRKLGINSWPTFAIIGPNGKLLAQLAGEGHKKDLDDFVAAALQFYGKQNMLDNTPILLNLEKDNDPRLLTSPLKFPGKLAIDVLNNRLFISDSNHNRIVVTNLDGNFILQIGSSGEEGLQDGSFDEATFNRPQGLAYNAKKNILYVADTENHALREIDFANEKVRTLAGNGTKGSDYIGGGKGDTQLLNSPWDVCFHPSKENIYIAMAGQHQIWEHNILSGVTRAFSGDGYERNLNGSSSTSTSFAQPSGLSLSQDLMEIYVADSESSSIRAVDLKTGGSRLLAGGDSIFSDNLFKFGDQDGIGSEVLLQHPLGVVCGKDGIIYITDSYNHKIKKLDPTSKRVSTVAGTGKAGFGDGNSITAQLSEPSGIVEGSNGRLFIADTNNSLIRYLDLKTNEFELRTLELKGFQPPKPKSRSFKRLRRRPTADTVPITVDAISSEEGNLSIEISLPNEYHFSKEARSRFSVDIEPEDAVNINPIDGLLSPEGSATLHFKRSSYSASMGRISCKIYYCKEDEVCLYKSLLFEVPFREGVFDTAKADVTLAHFVKPKSPTNSLLQPISP >RHN66301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10487917:10489154:1 gene:gene14254 transcript:rna14254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MQLTFDNIGKLFLSKEPGPFLNSLDKLYEGMVPGLRAYPLNIPGFAYHHALKCRRKLEDIFWMELGKRKNENKVKSTSDLMDGLMQIEDGEGDKLSDKEVVDNIVSLVIGGYMSTSLSSMWAIYLLAKYPIVLKKLREENMAFTKDNQGDFIAAKDVSKLKYTNKVVEEVIRMANVSSFVFRKVVNEVDYKGARLKFSRKLLIFVSFSFILLPRTNCSI >RHN64264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57759378:57760259:-1 gene:gene27066 transcript:rna27066 gene_biotype:protein_coding transcript_biotype:protein_coding MKYHIYPQSKVHQECSGNQSSSHSYSNITLLCRFLIRICLLSRVPRNRFVEDNRNCFVAGNHKRFVAGNHIPFAAGNRNPFEAVDDTVEAEGTHIRQDIAVEEIDLRRCEAQMWTPPPEKDNL >RHN75208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40153243:40163030:-1 gene:gene11379 transcript:rna11379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MDLLRSNLSRVRIPEPTNRVYKQECCLSFDTPMSEGGLFIDMFNFLAFGKDYVGWNFEKTGNPVYLHVKKTKKPVPEDRPLKKPTLLAIGIDGGFDNNEADYEETHNIVILPDYVSLSYPSVELPEKVRLAADAILLDAGAERKEQVAAWTADKKLVSVYATNLQQIDNGVVIPPTGWKCSQCDKTDNLWLNLTDGVILCGRKNWDGSGGNNHAVEHYKKTGYPLAVKLGTITADLEAADVFSYPEDDNVLDPLLAQHLAFFGIDFSSLQKTEMTTAEKELDQNTNFEWNRIQESGQEVEPIFGPGYTGLVNLGNSCYLAATMQAVFSARSFSSRYYINQSLKKAFEEAPADPTVDLNMQLTKLAHGLLSGKYSVPQVENDDNKNVATTPTSAEQEGIPPRMFKTLVAASHPEFSTMRQQDALEFFLHFLDQVERSNAGKTELDPARSFKFGVEDRILCSSGKVAYNRRNDYILSLNIPLHEATNKEELELFHKLKAEKLSEGKEVSGDEVVRPRVPLEACLASFSAPEEVHDFYSTALQMKTTAVKSAGLTSFPDYLVLHMRKFVMEEGWVPKKLDVYVDVPDIIDISHMRSKGHQPGEELLPDGVPIEDDSEIPLANEDIVAQLVSMGFNQIHCQKAAINTSNAGVEEAMTWLLAHMEDPDIDAPILRGRGSESVDQSKVDMLLSFGFQDDVARKALIASGGDIEKATDWIFSNPDASVSNMDATASNTTSGPNDADLPDGGGRYRLMGIVSHIGTSTHCGHYVAHILKDGRWVIFNDNKVGASINPPKDMGYLYFFERL >RHN74274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31343185:31350673:-1 gene:gene10310 transcript:rna10310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-related family MNDMENHQNQNKNPNQDLQGWGTWEELLLASAVDRHGFKDWDTIAMEVQSRTNRTSLLATAHHCEQKFHDLNRRFKDDVPPPQQNGDVSAVTAEDSDHVPWLDKLRKQRVAELRRDVQLSDVSILSLQLQVKKLEDEKAKENEEKVETEPDLAVSGEGRLPENEKTGGDIDEPVPAIRRLDESTTNTDKLLPAAGEESDRDNQSVNESNSTGSRFDALKTGEVDVKLGSGPGHGSGSVQVKSGLNEPDQTGRKRKSVEEESNNGSYDNNEAKAVTCESVPPSEERKVEDDSVTRSEGGGTRESSEVQSSSSLSKTRRTQRRRKEVSGEDAKMENEDVAVVKSEPLFGVLEMIKRHQKFSLFERRLEKNQDLDRYKNTVKRHVDLETIQLRVQEGHYSSGTNTFFLDLVTLFSNATVFFSRGSPELRAAQQLRRLILDEMKTFGQAQSNTITQKSDSLPPNTPLSRPDSLSKHKPPILVCRKRSSIPVKPSTTTFSHKGDHKPIINDKKERPSSDVKPTLKPSYSETDEEEPPKAKEKPVTGARSRRSNKNLSSNASNKKPPSNSTPRTGSSANKPAETPKLKNKAEGVSDKKKNNGAAGFLNRIKKNESVEVLRSGSGGGGGSSGSSKGGGGGGTNTKEQKKVVNNGKGEKGKERASRYNDGGGSGSGDKRNKNIESNSKRNVGRPPKKAAETVASTKRGRESSASGGKDKRPKKRSKK >RHN69890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47133218:47139912:-1 gene:gene18421 transcript:rna18421 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSSHAQTEAAKTEQIITEFFAKSLHIIIESRALSASSRNFSTLSSPSSTSSSSSSSVRPRDKWFNLALRECPTALENTDLWRHSNLQPIVVDVVLVHRNLSFSPKVRSFVKERNPFEEFGGGSEQNEKIVERWVIQYESKKIKDCSSSNTANTTRSRQNLPPSTPIRISRCTSETERSRNLMQSCTTPEKMFSIGKESQKYSGGKIAPNSSPQISFSRSSSRSYQDEFDDTDFTCPFDVDDDDTTDPGSRAESFDRGDMTQLLEAGRFFPIRKSQGAAVGALVHMLKKAAPLHQDFSTGENLSQGARAENLKSNNFQEPNQILESLTPVSTMSSGNRKTTSDALEEFHSYREMKNLLLMPRDGSKRQI >RHN49875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1880178:1885717:1 gene:gene34100 transcript:rna34100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heme peroxidase MSSRYEYVYLYSAIIHSIDKLGLWHRLPVILGLLYLATRRHLHQQYNLFNVGQTAVGVRANPNDYPYRTADGKYNDPFNESAGSQGSFIGRNILPVDQKDKLLKPDPMVVATKLLERKTFKDNGKQFNVLAAAWIQFMIHDWIDHLEDTNQIELTAPREVASQCPLKSFKFLKTKEIPTGFYEIKTGHANIRTPWWDGSVIYGSNQEVLNKVRTFKDGKLKILDDGTLKQNENGVAISGDVRNSWAGVSTLQALFIQEHNAFCDALKNEYPDLEDEDLYRHARLVTSAVIAKIHTIDWTVELLKTDTLLAGMRANWYGLLGKKFKDTFGHVGDSILGGLVGMKKPDNHGVPYSLTEEFVTVYRMHALLPDSLHLRDISATPGQNKSPPLIKEIPMNDLIGLPGEKTLSSIGFSSTLVSMGHQTSGALTLGNYPSWLRDLVPQNIDGTERSDHVDMAALEIYRDRERKVAKYNQFRRSLLMIPISKWEDLTDDKEVIKVLEEVYGNDVEELDTLVGLMAEKKIKGFAISETSFVIFLIMASRRLEADRFFTSNFNEETYTKKGLEWVNTTESLKEVIDRHHPGMTQKWLNASSAFSVWDAPPNKFNPIPLYLRIPS >RHN56039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27061722:27061994:-1 gene:gene31361 transcript:rna31361 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFSIDLPLLKTLFLFGIIFDTLDDLMKLLYGCSKLEDLTTKNVRGKLGITSLRYFEPLSNLIKATTWFFEVQETIHVEFFNLSKVCIL >RHN47084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37000670:37001460:-1 gene:gene41639 transcript:rna41639 gene_biotype:protein_coding transcript_biotype:protein_coding MMMESPDLSPPQVGTALLLLIIFSLSGIFSCCYHWEKFLSLHQSLSHLESPQAHTQ >RHN64233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57475052:57478436:1 gene:gene27024 transcript:rna27024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MHIQVRNLILRKQDCTKKNRKQMVATKLTTLMKKCSTVKHAKQIHAQIITNNLTHLEPIFIHRILLCDITNYKTISNYILSILHHLRNPDSFSWGCVIRFFSQKGQFVEAVSLYVQMRRIGLCPSSHAVSSILKSCARVEDDLCGLLIHGHVHKFGFDACVYVQTALLDLYCKIGDVVTARKVFDEMPDKNVVSWNSLLSGYIKGGNLDEGQRFFDEIPLKDVISWNCMVSGYAKAGKMDRACYLFQQMPERNFASWNTMITGYVDCGSIVEARELFDAMPRRNSVSLITMIAGYSKSGDVHSARELFDQMDDKDLLSYNAMIACYAQSSKPKEALDLFNVMLKPDSSLHPDKMTLASVISACSQLGNLEHWRWIESQINNFGIVLDDHLATALIDLYAKCGSIDKAYELFHGLRKRDVVAYSAMIYGCGINGRASDAVELFERMAGECIIPNLVTYTGILTAYNHAGLAEEGYRCFISMKDNGIVPSVDHYGIMVDLLGRAGWLDEAYKLIMKMPMQPNVGVWGALLLACRLHDNLKLGEIAVQHCIKLESETAGYYSLLSGIYATVGKWNDAKKLTTGVEGKKIIKIPGCSWTQLV >RHN77176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4668164:4668512:1 gene:gene602 transcript:rna602 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMKILFVLLLIFSIDFGNQVQLLEAKQCFYVIPGYCNTWKNFVCVLTCKGVCKSFSAHGECRDDRGCHCRCC >RHN66141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8971803:8974119:-1 gene:gene14063 transcript:rna14063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MEEGNSSKSSKKRNHENDKSHHNHNGNNNKKKRKGECYFCGKAGHFKNECRFFKKKNKEKNSRATNDDFVAVISEINMIEDVDSWWIDSGATRHVCKNKKMFKTINKDVSVLYMGNDSTVQVQGKGTIEIEFTSGKTPTLKDVFYVPEVGKNLISVPLLNKNGFKSVFEGDKFILSKGVCL >RHN61216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33912835:33922403:1 gene:gene23656 transcript:rna23656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase TKL-Pl-2 family MEILPSDETPPETPAIPENPTTPIDNSPNPTGIEEGVTLDVSGKNLELPVPENSSDDAVESLYMYKNVYSLIPKSVGGLMRLKTLKFFGNEINLFAPEFGNMTRLERLQMKVSSPGIGGLPLHKLKGLKELELSKGPSRPSAFPILTEIAALTCLTKLCICHFNIRYLPPEIGCLTNLEYLDLSFNKMKTLPPEISSLKALISMKVANNKLVELPPALTSLSRLENLDLSNNRLTSLGSLELSSMHRLQNLSLQHNKLPSIFQIPSWICCNMEGNDGDGCKDSYSSSSVEMDVYETNLLESEETFSHGPDTISSSVLTNSSSNSRCFAAWKSSGKRWKRRHSKQHKTRQEQKARQERLNNSRKWKGVDHDQLLSKKIHRISEPENLDTLVSENCTETVSDNGSLDDNHKKIFSEEAAQNNLIDNIDNDEVIIEKQFSQEDCCTAESKDERDASSCSLDNGQSEQDGASCSDFSKCSFKTKRHSDRDLDNPKPCKSRKPIGDGSLLSSKYSKISFCGTEDHLSDGFYDAGRDRPFMPLENYEQNQCLASREVILLDRQRDEELDAILLSAQGLVSNLKQLNGLNKSGSQDEVYNLQTASLLALFVSDHFGGSDRGAIIERARKSVSGSNYSKPFVCTCSTGSSTSINAATEPVVNAIEDINLSMISEKSLDSIKKRRNSIIVPIGSVQYGVCRHRALLFKYLCDHMEPPVPCELVRGYLDFSPHAWNIILIKRSDTWVRMLVDACRPYDIREEKDTEYFCRYIPLRRTQIPLSTSILPSPDNSFPSLSNCDELEKKALTTLVRCKYGSVEAAVKVRTLEVQENSADKIKNFEYNSLGEIRILGAFKHPCIVEIYGHQISCIWTISADGNPKHRVLRSAIFMEYVKGGSLRTYLEKLSEAGEKHVPVELALYIAKDVSCALLELHSKHIIHRDIKSENILFDCDRKRDDGTPTVKLCDFDSAVPLRSPLHACCIAHVGTPPPSLCVGTPRWMAPEVMRTMYKKNNYGLEADIWSFGCLLLEMLTLQIPYFGVPDSHVHDSLQMGKRPQLTDELEALSSMNEPTMIQSGEELEKSDAETDTLKFLVDLFHRCVEENPNERLTAEEIHEMLLGHTSRIQVQNVSEI >RHN41540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30903889:30906733:1 gene:gene47874 transcript:rna47874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamyl-alcohol dehydrogenase MAISPELEHPRKAFGLAAKDSSGVLSPFIFSRRETGEKDVRFKVLFCGICHSDLHMLKNEWGNSVYPVVPGHEIVGEVTEVGDKVQKFKVGDKVGVGCMVQSCHSCQNCNESLENYCPKVILTYGSKNLDGTITYGGYSDTMVTDESFVISIPNSLPLDAAAPLLCAGITVYSPLKYFGLDKPNLQLGVVGLGGLGHMAVKFAKAFGAKVTVISTSPNKKKEAIELLGADSFVVSSEQDQMQAATGTLDGIIDTVSAVHPLLPLIGLLKSHGKLVMVGAPEKPLELPVFPLLMGRKIIGGSLIGGIKETQEMIDFAAKHDVKPEIEVVSADYVNTALERLLKADVKYRFVIDIGNTLKSS >RHN56424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30773623:30779040:1 gene:gene31822 transcript:rna31822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MGSLCDFCGDQRSLVYCRSDAASLCLSCDRNVHSANELSKRHSRTLVCERCNLQPAYVRCVEEKVSLCQNCDWSAHGTNPSSSTHKRQSINCFSGCPSASELSSIWPFFSDIPSTGEACEHKLGLMSINENSDNSARVPPESKNVSGSAQVADLPSKNKSGVDTSSIPESSAKPRILDQAPGSSNEFMPKLLCPSRKAPALCEDDKLLDDFNIDEMDFELENYSELFDFALNHSEEFFENGGINSLFERKDMSASAGDSNCQGAFAAEGSSARFVSAIQPECSNAASADSILSTKTEPVIYFTERQSNLSFSGVNKDASAGDYQECGTSSMLLTGEPPWCPPCPENSIQSANRSNAVMRYKEKKKNRKFDKKVRYASRKARADVRKRVKGRFVKAGETYDYDPLSQTRSC >RHN51586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20286268:20293175:1 gene:gene36051 transcript:rna36051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SPX domain, major facilitator superfamily MVAFGKKLKDRQIQEWQGYYINYKLMKKRVRQYAQQIQHGTLDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLGEMHNDLQEEPEIHKIAELRESYRTVGQELLNLLYFVEINAVGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGIGAVVGALSRNLHELQDRQGSYLSIYDQPALPLQDPVVDKINAAVDRLSNSTNFLNFLAQHALIMQDDLPTPSEEHVDDEKYHFMSLLLNLANTFLYMINTYIIVPTADDYSTSLGAAPTVCGIVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSVALFVGNIFYACAYDVHSIWILLLGRLFCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGILQTNFKIYNLTFNQNTLPGWVMAVAWLVYLVWLWISFQEPSHFAEENRTPNQSNVVDNGAVDNSALEKGLKQPLLITSDDKIDEDIDQDYDDSEEAPEESRLPANSIGSAYRLLTPSVKVQLLIYFMLKYVMEILLSESSVVTTYYFNWSTSTVAVFLACLGLTVLPVNIVVGNYISNMFEDRQILLTSEIMVCIGVLLSFHVIFPYSEPQYICSGLLLFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITLAGFLGQSRLLNVTLLPSLFISVFSIIATCYTYNSLY >RHN76484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50726335:50727010:-1 gene:gene12817 transcript:rna12817 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLFDREYTKKCMDEHEQGIRLSHPHPWSFLSLIILLQLPLLLYYIVEFMLWKSLGLNYVAAIELENDIRFVCFLCFEL >RHN63552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52158991:52159692:1 gene:gene26265 transcript:rna26265 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSDKDDIYIDMLLDSVYCIMEYVPPSPPESLPFNTVWFHLHGYGYDPINDDYKIIRHEVYLNGLCHWWAYTNVADPYMVSFNLSDDNFLTTHLPLDMQDSYPDEWVKERK >RHN74277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31401455:31407803:1 gene:gene10313 transcript:rna10313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MSNRNRGHPHQPPRAAISPPLHDPIFAMRGGGGHPPHPSLLDDFRESQLGLGHRLPLIHPAAVIEERLAVQHGEIQGLLGDNQRFAATHVALKQELEAAQHELQRMAHYKDSLRADTEVRMRELYEKAGALEAELRGTEVAKAELQQIRGDVKELSAVRQDLSGQVQAMSQDLSRMTADLKRMPALMVDVEAIKQELQRARAAIEYEKKGFTENYEHGQVMEKKLVAMAREMEKLRAEIANAEKRAHATAAATAAGNPGQGYNPNYGNAETGYGGNPYPAYYGMNQRPGGENFQQYAPAPVPGPAAWGAYDMQRVQGHR >RHN50838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10960879:10966721:-1 gene:gene35175 transcript:rna35175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MEPESIASSSRNPSQLSWINLSRNLLLAYQSFGVVYGDLSTSPLYVYKSTFEGKLQNHHDEETIFGVFSLIFWTLTLIPLLKYVFILLSADDNGEGGTFALYSLLCRHAKFNLLPNQQAADEELSSYKYGPSSQTGASSPLKTFLEKHKRSRTVLLVVVLFGACMVIGDGVLSPAISVLASVSGLKVTETKFNDGELVLLACLILVGLFALQHCGTHRVAFMFAPIVIIWLLSIFILGIYNTIYWNPKIVFAISPHYIIKFFIKTSTQGWISLGGILLCITGAEAMFADLGHFTASSIRLAFAFVIYPCLVMQYMGQAAFLSKNLESVHNSFYDSIPGPVFWPVFVIATMAAIVGSQATITATFSIIKQCHALGCFPRVKVVHTSKHIFGQIYIPEINWILMVLTLAVTVGFQDITLIGNAYGLACMTVMFITSFLMALVIVFIWQKSILIATIFLLFFWIVEGVYLSAAFLKVHQGGWVPLVLSFFFLIVMYVWHYGIRRKYKYDLHNKVSLKWLLGLGPSLGIVRVPGIGLIYSELATGIPSIFTHFVTNLPAFHKVLVFVCVKSVPVPYVSPEERFLIGRACPRPYRMYRCVVRYGYKDIKRDDGEFENHLIQSIMEFIQMEAVEPQISSSEASSSFDGRMAVIGTRSFESTPSLISAEQEDVDIDESIPSSRSITLRRLQSTFDDENPQVRRRRVRFQLPNNPGLDHAVKEELLDLIQAKEAGVAYIMGHSYVKARKSSSFLKKLVIDMGYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >RHN49584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55788983:55792635:1 gene:gene44430 transcript:rna44430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b5-like heme/steroid binding domain-containing protein MASSNAKTFRFDEIAKHNNKTDCWIIINGKVYDVTPFLDDHPGGEESLISSTGKDATVDFEDVGHSDSAIEMMHEYFVGHVDTSTVPTKVEHNSPPSTQAQSVRDQSSGFVTKTLQFLLPLLILAFAYAMQHYGKKKQVSDS >RHN58039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43291736:43299822:1 gene:gene33645 transcript:rna33645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GBF-interacting protein MSGGRGGGGGGSRVPIPNNVRKTILDIREITGKQHTDDEIFSVLKESNMDPNETTQKLLYLDTFHEVRSRRDRRKEGLSSRVSEESRSKQRGPGRGARGFSGGYSSNFPDGGGGGRNLPIRRENGVHHIAERTHASSTQPDLQKNTNAAPQASRGSAVAPRSAANQSNGKSGHGSSGQSLIGSVVSVPQSSSASNDTVNQETVQPQAVVVAATTSPTQTFASITRTDQGKSLSSSDQHQISVPGVCSSSDPVLAPSISQIPGVGGAVSREVGSDRISAGPNHVKGNKLEEAGDLSASENDKSGSMNSTSNPNAIPKSNEVESNRLSEPLQLSSSSSLTSSCVSQPPQDVSKTANVKEVSTSEAPVQSTELRQHVTFPNHFQVPEALKGGLTFGSFDTFDPSEKSSSVTGCDNSTSPTTEFSSVNAETVNSSNQSAPLPEHGDHLDHAHSSSYLIKEALASEGISITGNDSKIEQPKQEVLLTPEGHPILTVQSAQNYGLNFMSTMLGTQQVQFEGSELQAQETPHFPSFVTASSQAVSPSPTPPLQSSIPVSPQPVSYFRPPYPANFFPYGPYYPPIYLSPMHQFLSHNGFPQQPPAGNMYLPAAAAAAGIKFPLPQFKAGANSGNMAHIGIPSGSYITPPVGYAPSPTVNTGSSAGNEDTAVSQLKENQIYTTGQLSEGSAVWIHAPGQDISGLQLNSLYNLAPQGQHLTFPQTQAAHGAFPGIYQPGQTVASPSTLLQQSQAVAGPIETVGLPPGSYQQLPPSQINWNSNF >RHN66970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22291764:22292225:-1 gene:gene15097 transcript:rna15097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MCKIKVITLVNLPRIKSVFILSVASGMLLETLTVKSCDELKHIIVDIGDGSGNDNIVFPQLKELYVENCGKLEYIFGHIDDSDDHQNYNPHLPALKCLKLCRLPSLTGMCTKNYRTTFPPLAVLELINCTQFDIKSIGDFFVKVSTFLSIYFY >RHN81091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43234435:43234890:-1 gene:gene5088 transcript:rna5088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MITISKFLILVSMLPTIIVALQFNDTKTRIGQKVTVYVTNNLTDLQLGVDCKDKNYDFGFQTLKFAESYIFRFVPSFLIKNSLYFCSFSWINGNHKFDIYVQKRDENECDPECHWQIKESGPCKLKAGGAVECFHWNPDVVPGGRQLGYTL >RHN47426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39660122:39666414:1 gene:gene42025 transcript:rna42025 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:APY2 MLKRPTRQESLSDKIYRFRGTLLVVSIPILLITFVLYMMPSSSNYDSAGDYALLNRKLSPDKKSGGSYAVIFDAGSSGSRVHVFHFDQNLDLVHIGKDLELFEQLKPGLSAYAQKPQQAAESLVSLLEKAEGVVPRELRSKTPVRIGATAGLRALEGDASDKILRAVRDLLKHRSSFKSDADAVTVLDGTQEGAYQWVTINYLLGNLGKDYSKTVGVVDLGGGSVQMAYAISESEAAMAPQVMDGEDPYVKEMFLRGRKYYLYVHSYLRYGLLAARAEILKVAGDAENPCILSGSDGTYKYGGKSFKASSSGASLNECKSVAHKALKVNESTCTHMKCTFGGIWNGGGGDGQKNLFVASFFFDRAAEAGFVDPNSPVAIVRPADFEDAAKQACQTKLENAKSTYPRVEEGNLPYLCMDLVYQYTLLVDGFGIYPWQEITLVKKVKYDDALVEAAWPLGSAIEAVSST >RHN43069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42970524:42970838:-1 gene:gene49592 transcript:rna49592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3,9-dihydroxypterocarpan 6A-monooxygenase MSELLGGRTLDQFCSLRQQETARFLIFQKKTEVGEAVDIGGELLIHTNRIITRMTMSKTCCENDGDVEDIGKLVQRLFRIAGKFDLLEYKCRKNLYMQGITKRL >RHN76450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50345560:50346317:1 gene:gene12777 transcript:rna12777 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIHRWSMQLDMPNSTISTILECSVLGRQILKRDSKLHKMQQPQ >RHN82306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52713692:52714871:-1 gene:gene6462 transcript:rna6462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MASSLFLASSFIIFFFSFSVTALPRETIFDAADILLDSGFVSMSLTLEIVAESLLEQSHSATVFAPSDSAFKKSGQPSLDLLRFHFVMLPLPQQSLRRLPAGAKLPTMLTGQSLTVTTSFSDRVTSVNNIKINGTPIYDDGVLLVYGIDRFFDPNFQYTGPSRKPNSYSNSSCSALNRTVNSSGSFEQAVKTLKTSGYSVMASFLGMQLSGNINQNGITVFAPTDEMVMNRIGDFEDYPSFFRRHVVPCKFLWNDLVDFGDGTQLPTFLEGFSINITRSGGVLILNGVPVFFPDVFFNDRLVVHGVTDVLANAVQDDTSAVVDPAVSSDGDQNLFDPDIPC >RHN82158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51598115:51600994:-1 gene:gene6284 transcript:rna6284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MTITQSSFSIEDGKYDDDGRMKRTGTWFTSSAHIVTAVIGSGVLSLAWAVAQLGWIGGTIVLILFSLVTLFTSILMADCYRYPDPIHGTRNPTYMKMVQNILGGIQYKFCGLAQYTNLVGCTIGYTITGSISMVAIKKSNCFHKEGHLADCKISNYKFMAIFGIVEILLSLIPDFHELSWLSILAAVMSFGYASIGIGLSIAKVAEKGHHVTTSVTGIAVGVDVTSTEKMWNTFQAIGNIAFAYAFSNVIVEIQVSNFKQIKCLIQFIVMLNSVLNTTICQVSHLFCYISNKIIMFTGLRYKQMLITFKLFLIQDTLKSSPPENQVMKKSNLTGITITTFFYALCGLVGYAAFGNKAPGNFLTGFGFYEPFWLVDIGNLFIVIHLVGAYQVFGQPVFSLVESWGNKRWPQSKLVTQEYYVKIPLVGTWRMNMFRVIWRTLYVIFTTVIAMIFPFFNSVVGLLGAISFFPLTVYFPIEMYLTQAKVPKYSSIWIGMKLLSWFCLIVTLVAAVGSIEGIVTDLKTYKPFHSS >RHN77498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7246353:7248277:-1 gene:gene961 transcript:rna961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative jasmonate O-methyltransferase MCFVGDQFVPRGLEDERGKGLNKGKLYISKSSPNCVLKAYSQQFKNDFSQFLESRSQEMVHGGRMVLSFMGRESMDPTSPNCCYQWELLAQALMTLVLEGLVEEEKVDSFNAPYYTPCFEELKMEIEKEGSFMVDSHEAYEIDWDTGIELQSGGDTMSSGERVAKTLRAVVESMLEYHFGSHIMDELFQRYAKHVEDHLSKTRTKCINLVISLVKRQ >RHN69507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44052219:44055353:1 gene:gene17986 transcript:rna17986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK family MAVEYSKQLFLMVVVAVFYTKAATQPMSLPNCTAKCGSIKIPFPFGITKDCSLDNAFLINCNKTSSTASNSTKHVAFLSKTNQRVLNISLNGELHVAWPVARHCYPKKSKPTTPDIKMPHFHVSPTQNKLIAVGCDTMGLLEAIDSKGNTYTTACVALCNRLSDIVANESCSGPGCCEISIPKGRVFTEVAYISEHGLNNNQALVQPFNPCGYTFLVENGAYRFAITDLQKLKKRNFPVLLDWAVGNQTCQQARKDLSTYACKADKSKCYDAPTHKSGYLCRCRDGYWGNPYLNHGCQGLLVGSFYAYWALKKIKIIKLKEEFFKQNGGLLLEQQIVKHGGSSKTLKIFTIEELNEATSNFNEGKILGQGGQGTVYKGVLEDKSIVAIKKSKISDPNQIESFINEVAVLSQINHRNVVKLVGCCLETEVPLLVYEFIPNGTVYEHIHDQNESRKLTWKTRLRIAKETAGVLAYLHSAASTPIIHRDVKSTNILLDENLTAKVADFGASKIVPLDKTQITTLVQGTLGYLDPEYFHTSQLTEKSDVYSFGVVLAELLTGEKALSFGRPEVDRNLAMYFVSWIKEDQLLHILDKNIDEKNIEHLKEVALIAERCLRVKSEERPTMKEVAMGLEGILVTEENENMINATPSVINVEDGDGGSGFNYSYST >RHN48058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44621574:44629359:-1 gene:gene42729 transcript:rna42729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif domain, nucleotide-binding alpha-beta plait domain-containing protein MSRLSVPKMAKLDCLLLLGFVQPRKRFDILINLSLTRIELLVRSVAHKLGDANLPRPWSRHSRKKDTKAFTPDVNNCARAKGHEDNSMDIDDLKLREFLQVMQSRATSKLWANETSVASNDGSNQATLNKKTERTSVANHPILSDSQLDELPNNPKSNKKRELKPDGVIPDMDYFKSKVTTEWSDSESSDDDNSDDNSDSASSDDDDKDKHSHATEHKENRGNNPFERTPRSGAQELDLEDQENTVGKDVANDKSQVNSTEEEGQLSNPEDKKGVSEPCRLFVRNLPYSTTEEELEEHFSQFGSVSQVHLVVDKDTKRSKGRAFIHFSVPEFAARALEESDNSIFQGRLLHVIAAIPIHSNNEENKNQGSKTLKQRRVEERKAAEAKGDTRAWNSLFMRPDTIAENASRKYGVSKSDFLDPEADDLASRHSLAQTQVIFETKNAFKKAGVNVESLEELVNCKVDGVKRSNHVLLAKNLPYGATENELAKMFGKFGSLDKIILPSTKTLALVIFLEPAEAKAAFRGLAYKRYK >RHN39557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8189547:8190500:-1 gene:gene45588 transcript:rna45588 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHYRQQSVNEKKERGRCMQALLPSISPTFIKQQHQLYAPV >RHN52262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33184546:33191349:-1 gene:gene36915 transcript:rna36915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TIFY family MEREFLGFSSKNSPWTTMKEDASNKPKDQVRSSGMQWSFSNKVSNLPQFMSFKNNTHEDRSRNNVMDPVASSGYMTISTKDAFDSNQKSFLGVTQENLAIKQVGNKHGITIYPIQSSDAQSVCNQEARTISVSNQSNHVITGINMVNSVTNSQTFGSKSSATPLSVLPSKGPIVGSTDLRSRNCSKSNGTTPAQLTIFYGGTVCVYDDISPEKAKAIMLLAGNGTKMQQEISIPSKKDNFIISQPYPSPLPSPIPMTSHASTQPRGGSSSNNEVTIIRTLGHSIAKSSHNDLSHLSSLPSPLPMTSHASSYPKGGSSSNNEVTIIRSLGPSNAPTNHLESPIVATSVGLTPTNVIQPVGLPQARKASLTRFLEKRKERAMSTSPYYMSKISPECSTGSDNASFSIDFSGSSTQPPTNLPLRRTCMEVIR >RHN77063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3657239:3657898:1 gene:gene473 transcript:rna473 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MDININVTTTDQSPNSSNNKMYRGVRKRKWGKWVSEIRLPNSRERIWLGSYDTQEKAARAFDAALYCLRGPHASFNFPNTPLTINVAVNHGVGHQSLSPEEIQDVAAKFANESPPIELTHEDDQQVPSEAQNDTISNHSYSCPLNDNDGRVQVDYGDLRRMDWKFEDMFDDLNGVANCSNLYGQENMQYSTQLVEEDNVDQIECEDTFSDHSFLWSWNF >RHN70554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52265567:52268534:1 gene:gene19144 transcript:rna19144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 55 MALVNPFLLPTKTHFALPVSPPPSKQILLWTSPSSSFSFNLNKGLSSSRRKHAGYLPATADVKTASTLLDVEEDQKVLVGPSSEQERRGERELAAYDWKEEWYPLYLTKNVPDDAPLGLTVFDKNLVLFKDGNDQFQCYEDRCPHRLAKLSEGQLIDGRLECLYHGWQFEGEGKCVKIPQLPADAKIPRSACVKTYEVRDSQGVIWVWMSPKVPPNVSKIPWFENFARPGFQDVSTTHELPYDHSILLENLMDPAHIPISHDRTDWTAKREDAQPLLFEVTERTDRGFAGWWGREKDGTKPNFLRFEAPCVLQNNREIVDKNGEINYFSGLFLCRPTGQGKSMLIVRFGGTKRSPIVKLFPQWYFHQNASKVFEQDMGFLSSQNEILLKEKVPTKELYLNLKSSDTWVAEYRKWMDKVGHGMPYHFGHNTISLPKEPAVIEHAPAGLIAGLSASSPAKGGIGTMHAPNLANRYFRHVIHCKGCRTAVKAFQTWKNALSAVVVALAALAILVTGRQWKAILLASAALCSVGVYACSTAVALNTTNFIRTHRRL >RHN77705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8842011:8846394:1 gene:gene1187 transcript:rna1187 gene_biotype:protein_coding transcript_biotype:protein_coding MGRALVKVELGDSHMSELNYPDDKRIRSERRWRRREAMNDSLKYNCFTSATKTTVMNGENMAALNAKNDHTIDLDADEDNVNNLSANTGEDDDKMDEDHGNMAALIDKNDHVIECDAADEDNVNNLSANTGEDDDEMGEDHGNTAALIDKNDHTIDDEADEDYAEFLSTYTGEEVDETDEDYGNTDALIDKNNQTIDDDADEDYMKFLSGYTSEDDDETDEDYRSFLTTYDPDILTDCSSNHNGGSNGNNSGSDETDADYAAFLPTYNPDDSGIDCASNHSGSNIDVNFDDNTGREDVGEGCSYFPNQSVSDHISDGVGVDEDDQLLGKSSSVRRNSLVSDQEFDTPEVQFDVDEDYEQFLNSVTIVDDDKEYFRDKNTTNTSNVEDENNSSDSDLIILDSYPICENTPFVSSKTYDTSCFGDKMNPEDNNQIAAYDLSEFRKRLMECLDRPYDQKEYDELLLKASKHTKKERHLETRRGVVKAYYSKGLSKSYLDMYPDLVKVITEFKEQHRVLFLLRGFFFWVEKVSLLGRFQPWRDESCLEILQKI >RHN44112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1806664:1809800:-1 gene:gene38171 transcript:rna38171 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFLSLLNQSFFFSSFFFIFTQISRTPVSQNLNFSSSMADPIPITKPHLRIQPKPIKKGNSCSDFIIKFLFLAIFIIVLPLFPSQAPEFLNQPILTKLWELLHLLFIGIVVAYGLFSRRNAELETNDSDNNSANYVSKFFPASTIFGDECEIENSCGFDENKMMHCWNDGSNSNEGGNSSTAGVFDEQYNTEKLSNSDDNFGYSVGFDGGNDGTNVVQSWNSEYFYSESVVVAQPYFGNVECGEVVGHKPLGLPVRSLKLVEREVDGVRKYFNENGSDSSLGSRRSSKRLDVIEDREFGDMDPTNLEEKFNDASVGVGGIASPSPIDWNSRFGRIERENVYGNVNGPLQFRPLSVDETKFEGLGTYSSQSTTSFSSNAGMYSSSFDSIASDNINMYSSSFDSIASDNINTGFQEEEMRQQKEESYVPALEKKNFRDNSVRRRKTSSFVPARENMIFEEEGMEQRIQRRDSGKKVSEGRSLRNRRVGTKGKHAANLRPMSVDETQFVELLSSQSFQSTGSFSANARMYSSMDSISSDTIDFQEEVVEQKETSPLHTSENMNIQEEDMHTSENMNFQEEDMEHNNTSYVHASENVGFQVEDLGQKKTSFVPFSEDMNFHEVDLATKISQVSSGNETMELRGKYAAVSHPSHFRPISVDETQLESRISRSLQSVGSFSSHTSNTSLRSSVDSVSSENMNPLQEGLGEKKSLHGSSSSSSSSSSSSPSSSARRNAETSLQPFVSLLHDDMKSNLNDDFKSKSGTAGEDPSGNKESVMHGLHSDSDRPTSLAKALSRGKSVRTRRASGLPSGTTKVDETSSKQTDEKVVVKKQNNVDTAMRKDKMRSREPDLLFKGISKKTLDCYFPNHDEIMLPSHRKRDKPEPSKSEYKEDSDNKLESLQSSSDEDVVSEHVNDSGLDSEVDKKASEFIARFKAQIRLQKIGSIERSKGQKIFGDNNVR >RHN49003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51679731:51680539:-1 gene:gene43782 transcript:rna43782 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNLENSNITIPNLPQEDVEPKPKPVLTRKRNNGAMDVIRLALFMLRGRSKKKSVIPVDDKSTSIWRKIVGSIRPLHLQSNQSPPLFSQSNSQKFDQPVILLPSSPPQSIGGGSVLTDFPAEEPFSPSPMSPANSCYASAIGLNELVQSDENSRYAASAIGLNELVQSDEDIRYASAIGLNELVQSDEDSRYASAIGLNKLVQSDEENDRNDLIIEECNENGDDKIDDKADEFIAQFYQQMRLQRLNNVDRRYQERSQRSLGL >RHN66212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9752060:9754267:-1 gene:gene14154 transcript:rna14154 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTALSAAFWSDFESESGRYQLATKGTSQASELIILYVVKVDKTVL >RHN51310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16046276:16048617:-1 gene:gene35726 transcript:rna35726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MSKNMARFCCLDTYSYALFFISSLVLAFHFHASEAQAHPQVVKGLSFSFFSKTCPNLETVVRDHLSKVLKKDNGQAPGLLRIFFHDCFVTGCDGSILLDAKGGKDEKGEIKNVGIRKEALRTIDDIRSIVHNKCGRIVSCADITVLAAREAVFQSGGPLIKVPLGRRDSLTFNTKATSKIPSPFKKTNETIKTFAEQNFDVTDVVALSGAHTFGRAHCSTFSKRLSSKDPTMEKSLAKSLKTRCPNASSDNTANLDLRTPTFFDNKYYLELMNSRGLFTSDQDLFNDKRTKGLVTSFANNQKLFFEKFSVAITKMSQLSVLTGNQGEIRAKCNVVNTK >RHN46667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33912192:33914756:1 gene:gene41186 transcript:rna41186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MATSMFVKVTCLTVICLVLGISMTNAALSCPQVQLTVVPCLGYLRNPGPSVPAPCCNGLRGLNNQAKTTPERQSVCRCLKTTAQSLSGLNVPALATLPKKCGVNLPYKISTAIDCNTVKY >RHN63401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50983553:50985118:1 gene:gene26098 transcript:rna26098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RAD1 MSPALYASTFKCEVDENPSLMGSYYASLYPNLPILENSATSTWILNPFSDHETETIRDHKKLKRSTVTIPIWFANFSSHSNSFFNNINSNNNSVNSIPRLHFRDHIRTYKQRYFASEAVEEAAEDNFNYNNCEAEEDGSCADGMRLVQLLIACAEAVACRDKSHASVLLSELKSNALVFGSSFQRVASCFVQGLTERLTLIQPIGNNSAGSDTKSMMNIMDAASEEMEEAFKLVYENCPHIQFGHFVANSIILEAFEGESFLHVVDLGMSLGLPHGHQWRGLIQSLADRSSHRVRRLRITAIGLCIARIQVIGEELSIYAKNLGIHLEFSIVEKNLENLKPKDIKVNEKEVLVVNSILQLHCVVKESRGALNAVLQMIHGLSPKVLVMAEQDSGHNGPFFLGRFMESLHYYSAIFDSLDAMLPKYDTKRAKMEQFYFAEEIKNIVSCEGPLRMERHEKVDQWRRRMSRAGFQGSPIKMVVQAKQWLVKNNVCDGYTVVEEKGCLVLGWKSKPIVAVSCWKC >RHN62994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47431830:47432341:-1 gene:gene25639 transcript:rna25639 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTIHKTEALLVLFMLMNYAFVILEASRPLSIIDTKNSATREEVLDLFDWLSHSLGAIKQGVPIETLGGIKDSGPSSGGVGHKFTNSETLGGIKDSGPSPGQGHK >RHN48718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49687942:49693572:1 gene:gene43463 transcript:rna43463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mRNA-decapping enzyme subunit 1, PH domain-containing protein MSQTKKLTPNLDQHSTKMLNLTVLQRMDPFIDEILFTAAHVSFYDFNIETNQWSRKDVEGSLFVVKRNAQPRFQFIVMNRRNTDNLVENLLDFEYELKKPYLLYRNASQEVNGIWFYNPEECEEVANLFNRILNAYPKALPNATMSSNKSEFEEHDQVSAINESAIETSSAAVAATDAVEDSVFTNFFNTCKITGPYASNVESFHQPYHSASVTSTVPGGFLSPVPSIQIPSTSLETINSGTQLFIIPRICHANTALRCSNPSHHLIPLLLLPPFPALCQIDRLSAGIKSAMHLYHWFRMINSLT >RHN60273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24490413:24490760:1 gene:gene22557 transcript:rna22557 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPSAPAAVKCNVKLEHIDEVYDASYLVPVKTLSELSRYFNDYFMRYHEPTRRVKKICYITPYYPLDTVHNDWKLSYEIKYLETDRDVQDMFQWRTNTGDPLYLHVATQLIDW >RHN45085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11583852:11588302:-1 gene:gene39288 transcript:rna39288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MCNKNSSTLSPTKTKRKAKSITMKKRKKQTKKNICSSISPSSSSSSSSLKSRRNKTKRKKPKYLSLRLQLSKTEKNKDQPKNSNNKKTPKQEQQQQQQQQQNQVNLFAHHHDTEHDMHEENNVALLFTSDGGATLNGLLENESTTLTTTEEEEESLSVMKCPVMDQGLVRKAMRRKRNDEESEERWVSYSEVVEETKKEIMEEVNSTCFGFETTSFFGSLSLKLDHDGILNAWSDKGSLYVDGCDEAPQTVPDLFNGGILPNVLWDGYGCDVNGNTWKVPEGVGANNNNVKVKEEMGWKQEMGWKLGQREASLLRYKEKRQSRLFAKRIRYEVRKLNAEKRPRMKGRFVKRE >RHN71627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1467322:1475977:-1 gene:gene7246 transcript:rna7246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSNFLSNNTNPNPNPNKSIEVNQPPTDSVSSLNFSPKSNLLVATSWDNQVRCWEVARDGANNVATMPKASIAHDHPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQPITVAMHDAPIKDIAWIPEMNLLATGSWDKNIKYWDTRQPNPVHTQQLPERCYAMTVKHPLMVVGTADRNIIVYNLQNPQVEFKRIVSPLKYQTRCLAAFPDQQGFLVGSIEGRVGVHHLDDSQQAKNFTFKCHREGNEIYSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAMLRCSQPVPCSAFNNDGSIFAYSVCYDWSKGAENHNPATAKPYIFLHLPQESEVKGKPRIGATGRR >RHN50739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10001173:10015677:-1 gene:gene35063 transcript:rna35063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TFIIB, brf1, TBP-binding domain-containing protein MVNCDHCLRNVSGTRIDEGQLCCEYCGKVLEECFLSNEPTFQKGAAGQSKFSGNLIRAVNEMADSRQRTTDRATENIRNLCRNLGMDDYSVADAAVKFYIIGLEKNFTRGRRSELVQAACLYLAFRDNDKPYLLIDFSNAIRTNVYALGSVFLQLLKVLRLEEHPIARKLVDPSLFIFKFTHTLLKQRNVAVSESALNILSDMKRNWMQTGRKPSGLCGAALYMAALANGFPCSKSDVLRVVHVCEATLTKRLIEFENTESSSLTIDELNAMAKEHENRPIKIPNGEPTKYIPEEEEEEEEEGEEGDPLTKKKKKKDPLRCEHKGMDPPIPLFALGLCETCYRFFDKLSGGLGGGLDPPAFQRAEKDRMVKSHSEENANKSDDLAMDSNDAYESQIELHTSEPGNIGGEHVATKDGEHDESRIEDDMNEKTHDESESLSDIDDKEVDGYLHNEEETRYKKQIWEFNNREYLEEQAVKEAAAAAERRRLEEELKNCTPEEREARELKGAVAADVAKSRKEKRKQRAEEERRLGPAQSAVEATSRMLKTKRLSSKVNLDRLDKLFDKPAAPENPKKVRFETKEDELEPVNEFPADEDMYAEYDDTMYPENNYETYDYEEAGGFYEY >RHN72733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10256225:10260263:1 gene:gene8485 transcript:rna8485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MREGGGRRGTSKLREVARKIVVVAAAYACASFSRRKTLVDPVSIDTSCSLSATISNSSFVSPSTIKNCSGEIIEETDSSITTNINNNELHNKGLCAICLDPLSYHSKGSSPGQAIFTAQCSHAFHFACISSNVRHGSVTCPICRAHWTQLPRNLNNTLSGPFASSNQSDPILRILDDSIATFRVHRRSILRTARYDDDDPVEPNDSPDTPKLCFSLEPIPPNAPTSFHQALQVTNHASCPCSSSSMLHSSPMHTPYITCPSSNRAYLSVKLAHERATDLVLVASPNGPHLRLLKQAMALVVFSLRHIDRLAIVTYSSAAARVFPLRRMTTYGKRTALQVIDRLFYMGQADPVEGLKKGIKILEDRLHRNPESCILHLSDNPTRPYHAISMELPSTPIHRFHVGFGFGTSSGFVMQEFEEFLAKMLGGIIREIQLRICGAGEDGRNGRVVRIGEIRGGEERRIVLDLGDCSHVYLEYSYIEGEIDECVRRTGESVVGVEDEHKGDDVSEDGEENESERDMNTTNTGGRSSSVESWDFHDPYMARRWAKYLHGYRL >RHN47126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37316408:37322382:1 gene:gene41684 transcript:rna41684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ER membrane protein complex subunit 10 MTLLRSILTVTLLLTFFFTLSPAFQSDELLLDDEEFGLEGGRPQTRPSSPNTAATTTTTTTRKRIPDSASDSKIQFTLEHAFGDSDFSEAGNFSARLKTWSHGAQTLTKLRFSRDAFTEDEKNKFQELLKRDDFYRIRLPSNVLSPPGRDYIVSSVKARCLPGDGLEEHFVIHTEGVNILAVNYGAPGACPFPRQLKLPAKWSFKSHTLLKNTEQAPRTPIFTEELIGGEGIEGELVQPIERSFWAKYWMYMIPLGLIVMNAVTQAANMPEEQAGGQAGAPPQQGTAVQRGTNSGVRRR >RHN76951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2639693:2640187:-1 gene:gene347 transcript:rna347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MASNKHSALIILFSLLAYSTFSNACGSCKPTPPSPPPPSKTPKACPPPPSTTPKASPPPTAITPPSTTPKSSPPTPSTAQKCPSDTLKLGVCADVLGLVNVIVGNPASSKCCTLIQGLADLDAAVCLCTAIKANVLGINLNVPVTLSLLLSACQKSVPNGFQCS >RHN80757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40676351:40679680:1 gene:gene4722 transcript:rna4722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative checkpoint protein Hus1/Mec3 MKFKAFMTETGVNLLEKRFIPSLEKTAKTCHLYFTKTHTLFLHNLLNGDGIQSIAQFTNQLLFDDFKISSQNDDRIAFLIDLSLLLRALRSSVAVCSDYSAVVPNRLQIKLVKKVNQNCTVAMPFLTFETRGFKSAVIQDIPISKPLSRAQVVELQNALDMAQDIPQTLIQVPDLNQLLNLVDRMKHVGDAVNVSISKYGDLTVQVSTTLISLGAEFRKLLVIGEQANAPAEDQNLSAQTRSSRSILRGDAQSVQVSVKHFAKSLQCHLAKPDCAFYGIAPQGSCLTVIFQFFIPGTRQTDKSISYHCRLPILDPGTG >RHN80974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42354884:42359194:-1 gene:gene4961 transcript:rna4961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MGVEIENDFVDEATTSENNRTETSTNATTNGEKDITKEKQETVPFHKLFSFADSTDILLMIVGTIGAIGNGLGLPIMTVLLGQMIHSFGSNQTNTEDIVDQVTKVSLKYVYLAVGSGVAAFLQVSCWMVTGERQAARIRGLYLKTILRQDVTFFDKETNTGEVIGRMSGDTVLIQDAMGEKVGKFLQLIATFVGGFVIAFTRGWLLTVVLMSTLPLLVVSGAAMAVIIGRMASKGQTAYAKAAHVVEQTIGSIRTVASFTGEKQAVANYSKHLVDGYKSGVFEGFISGVGVGTFMFLMFLGYALAVWFGAKMVMEKGYNGGTVINVIMVVLTASMSLGQASSGLSAFAAGRAAAYKMFETIKRRPEIDAYDPNGKILEDIQGEIELKEVYFSYPARPEELIFNGFSLHIPSGTTTALVGQSGSGKSTIISLVERFYDPQAGEVLIDGINMKEFQVRWIRGKIGLVSQEPVLFASSIKDNISYGKDGATIEEIRSASELANAAKFIDKLPQGLDTMVGDHGSQLSGGQKQRIAIARAILKNPRILLLDEATSALDAKSERVVQETLDRIMVNRTTVVVAHRLSTVRNADMIAIIHRGKMVSKGTHTELLKDPEGAYSQLVRLQEINKESEETTDHHIKRELSAKSFRQLSQRKSLQRSISRGSSIGNSSRHSFSVSSVLPTGINAIDPGLENLPTKEKGQEVPLSRLATLNKPEIPVLLFGCFAAIGNGVIFPIFGILTSSMIKTFYEPFDEMKKDSKFWAVMFMLLGFASLLVVTAQSYFFSVAGYKLIQRIRLLCFEKVVSMEVGWFDEPENSSGSVGARLSADAASVRTIVGDALGLLVMNLAAALSGLIIAFVASWQLALIILVLIPLIGLNGYVQMKSMKGFSADAKVS >RHN43252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44361335:44367410:1 gene:gene49797 transcript:rna49797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MFGMRDLEEERNMASSEVSMKGNSVNGKGENNVDGVGDAQNGSSSSSTGREAEAALYRELWHACAGPLVTVPREGELVFYFPQGHIEQVEASTNQASEQHMPVYDLRPKILCRVINVMLKAEPDTDEVFAQVTLVPEPNQDENAVEKEAPPAPPPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSKQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAVLTGTMFTVYYKPRTSPAEFIVPYDQYMESLKNNYTIGMRFKMRFEGEEAPEQRFTGTIVGIEDSDSKRWPTSKWRCLKVRWDETSNIPRPERVSPWKIEPALAPPALNPLPMPRPKRPRANVVPSSPDSSVLTREASSKVSMDPLPTSGFQRVLQGQESSTLRGNLAESNDSYTAEKSVAWTPATDEEKMDAVSTSRRYGSENWMPMSRQEPTYSDLLSGFGSTREGKHNMLTQWPVMPPGLSLNFLHSNMKGSAQGSDNATYQAQGNMRYSAFGDYSVLHGHKVENPHGNFLMPPPPPTQYESPHSRELSQKQMSAKISEAAKPKDSDCKLFGFSLLSSPTMLEPSLSQRNATSETSSHMQISSQHHTFENDQKSEHSKSSKPADKLVIVDEHEKQLQTSQPHVKDVQLKPQSGSARSCTKVHKKGIALGRSVDLTKFSDYDELTAELDQLFEFRGELISPQKDWLVVFTDNEGDMMLVGDDPWQEFCSMVRKIYIYPKEEIQKMSPGTLSSKNEENHSATDGGDAQETKSQLNQSASDN >RHN65318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1173557:1177014:-1 gene:gene13143 transcript:rna13143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L5 MALSEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQAIV >RHN68881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39326440:39326748:1 gene:gene17296 transcript:rna17296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MFNGDVRVFECWCPIICVLRKVNTVKNSGRPFYACPKPKDDVENCEFFVWINEVEELGYFKNNGIDAGHGRKARLMEKF >RHN42945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42115024:42116548:-1 gene:gene49456 transcript:rna49456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MATTINMYNSNKNMTHGFLDPYNEEELMKALEPFMKTSSSSSISEQSPLTPNLNPNYGIQASSIGLNQLTPSQILQIQTQFQTRQQQQQNKPLTQKPVPMKYFGIPSKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAAFAYDNAAYKLRGEFSRLNFPHMRFHGSYNPLPSSVDSKLQAICETLAISQKQGNTEKPCSSVEDVKPLVHVPAQSMGEFVFEDFKAFSSMSDECSLGLSSPESGLTFLDFSDSSQWDEVENFGLKKFPSVEIDWEAL >RHN65316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1164195:1166978:1 gene:gene13141 transcript:rna13141 gene_biotype:protein_coding transcript_biotype:protein_coding MAITNFILTVAGVSAVVLLLRSDVKKSASIFKQNVKHIRHWLEEETATSSSKVPKELQSKVPPPKDIPKEDKH >RHN47158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37572191:37574304:1 gene:gene41722 transcript:rna41722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLEEFTICLMNICISFQANGADNFQSKDYGTSAELFEKSMLYIPHDTENRILRAKGFRVLCLCHLGLLQLDRAKEYIDEAEKLEPNVVCAFLKYKIYLQKNDSQGAITQIEAMTACLDFQPDFLSLSAHEAVACSARSVAVASLSTMLNFYTSGKSMPTAEVTVMRTLVTILSQEPGNEQKVLKTLKHAHTRASELGPDCFFGKEEVGRRERNWFAVTSWNYGTKTGQDKSYELSAEFLRLASSFYDLVKGSDDENNVMVCKSLVLSVSSMIASEFQRKTAMSETEVKQAVTLLDRAGKMLKSISAGSFANDGEINTVATDLFFIYTLCAYDVQGRLNDLGSQLFTVKSFASSKACKPQYLLQIGLQASQGPRSNHEVATFALNECLSSFLSSPVPDYQNVALVVRKLIAIASIHKGDKDDDLVYSMYKQAYRIMVGLKEGEYPIEEGKWLAMTAWNRAAVPVRLGQIEMGKKWMNIGFDIAKHVSGMEVYKACMEDVLSNLEKKL >RHN74684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35839603:35847464:1 gene:gene10791 transcript:rna10791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGNKLGRRRQVVDEKYTRPQGLYNHKDVDQKKLRKLILESKLAPCYPGDEETAVDREECPICFLYYPSLNRSRCCTKSICTECFLQMKVPNSTRPTQCPFCKTANYAVEYRGVKSKEEKGMEQIEEQRVIEAKIRMRQQELQDEEERMHKRLEVSSSNVNVAVADVEYSSNAVAESSTSAIEHDEIVSSQDSCATPMVRPPPATRANRDDEFDVDLEDIMVMEAIWLSIQENGRQRNLSFADATSGHYVADDRYASSPMVQQAETSSSPSPSGGLACAIAALAERQQMSGESSVSSNNENTSFNMLPGSRRFYNRIGRDMVSYSPTENLDEGPPDDTMATTRSHGQWSIDHGSQVAETATSYANSVEGEDRGELSSMSQSNDNDGSFQSATEPIVPESFEEQMMLAMAVSLAEARAMPSGQSASWQ >RHN63261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49773832:49774595:1 gene:gene25941 transcript:rna25941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MSLSKSKALFFIFKILMLNFITPMIHACGPCTQPNPPPYHKPPSHPKPKHPPHHGGGGGRPIVLPPPVVVVPPIIVTPPLQPPPTIIYPPPTTPPVFPPPSPRTCPIDALKLGLCLDVLGGVVHVVIGNPLKNVCCPVIQGLVDLEAAICLCTAIRAKVLNLNIFLPLALQVLITCGKTPPPGFVCPPL >RHN78338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14252394:14256565:1 gene:gene1880 transcript:rna1880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MKLTLSLLFLSLSLVQGLNPKCDVQDNGSTLQVIHVFSPCSPFRPSKPLSWEESVLQMQAKDTTRLQFLDSLVARKSIVPIASGRQIIQSPTYIVRAKIGTPPQTLLLAMDTSNDAAWIPCTACDGCASTLFAPEKSTTFKNVSCAAPECKQVPNPGCGVSSCNFNLTYGSSSIAANLVQDTITLATDPVPSYTFGCVSKTTGTSAPPQGLLGLGRGPLSLLSQTQNLYQSTFSYCLPSFKSLNFSGSLRLGPVAQPKRIKYTPLLKNPRRSSLYYVNLEAIRVGRKVVDIPPAALAFNPTTGAGTIFDSGTVFTRLVAPVYVAVRDEFRRRVGPKLTVTSLGGFDTCYNVPIVVPTITFIFTGMNVTLPQDNILIHSTAGSTTCLAMAGAPDNVNSVLNVIANMQQQNHRVLYDVPNSRVGVARELCT >RHN49639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:56173774:56174398:-1 gene:gene44489 transcript:rna44489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MSSSAPSSSNSDVIDPELEELMPNFHPLPVDENPNEEEVDGPVFAQFQNFQNGDHTWMHNISSDAAVGSFLVDFYFIFAGLFAHGLIFIRKLQAVQSMYVNQSKIRVVDDETGEEYECEVRDKGNWTEKYVRSGWVDFVREKELKHGDLLFFTIDNPPHKLFVLVIRGGS >RHN70795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54068349:54068516:1 gene:gene19412 transcript:rna19412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (guanine(46)-N(7))-methyltransferase MIPTTFSFIEVNIKFPQFVLFFASPLGRGLLSITDVEELGDWMKSCLENHPLLNF >RHN78637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16988088:16988590:-1 gene:gene2266 transcript:rna2266 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFFFHPNLKFECNYYFRCLKTRTIGVSNSHNRLLVLWQLYIYR >RHN51652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21651760:21652512:1 gene:gene36134 transcript:rna36134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MCCFFLQISNPKKLMAFTTSILAEKKNKILFILGATGTGKTKLSINLGTRYPAEIINSDKIQVYKGLHIVTNKVPESERCSIPHHLLGIIDDPEYDFTMNDFCKNVLESIDLIIGNGRLPIIVGGSNSYIKKLVEEPTIAFLSKYDCFFIWVDVSLPTLFQYVGKRVDEMVESGMVDEIREYYAPGADNSKGIRRAIGVPELDSFFQIEKKNDIDDAQKEKILAEAIRKTKQNTCILVHVLVIFGYQTIN >RHN64645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60708246:60710076:1 gene:gene27479 transcript:rna27479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MHPSTNMIPPPYRHSISSSVMPLDLNQDQNHDLSSPNSSSSSSSSFSSLSPSYPTLFNSQDQVQKPSYYCQTNHLPHDQEVEKINIPSSGSWNSSTAENHENYKTKHKLTIRWKKEQISDEMNNNQEADQDGTSVKWMSSKMRIMKKMMVSDQTGSSNLTSNSKQIKFEDQKQPLSPQGTDNSSSNNYSTIRVCSDCNTTKTPLWRSGPRGPKSLCNACGIRQRKARRALAAAAASANGTTIADQTASVKRKKLQKKKENKSKIEFDCSTVHMKKKHKLEAKPPSHQSRKEFITFEDLKLSLSENLGVQQVFPQDEREAAILLMALSYGLVHG >RHN41504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30583839:30584543:1 gene:gene47836 transcript:rna47836 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKNHWNKKFGVNKMGNKNIRNSHLQHAINIGITSGKHNYLKPKVYHIHKDDFKSFIQHVTGKQSNELKSMVETTRLDKIRPPPLSIARPLVPIHVSAPTFVAPPQVSYNPLSEPLKPIIDPPLVDMSCNNFLESPISAFMRNFQDSTMNQNTSRCNQFQPYPPQTQVLNNVNVDSTQHYPIETQMVTSVETFAESPISAYMRSFQNSMLDYGTLGGNQFQPQPNYCPQMFI >RHN59810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13487405:13487653:1 gene:gene21966 transcript:rna21966 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKMVWNLDCKRMNHCSVDEPSGWFGILEYASLKVSGSIPFNVNFGGQVHTVLCSGLELLWQVSGGIGHLRLIGPWIGYRV >RHN75762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44848180:44848476:1 gene:gene12007 transcript:rna12007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MAHIVAFVTIFLITMCPISSSISCDNVYWDFVTCLWYLAAYESEPTTRCCKTVAKQYEESICECIENLGNDLDIRFDLSRIDDLPNKCHEPKSLNCSK >RHN78377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14553620:14555115:-1 gene:gene1924 transcript:rna1924 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSLIWLPKNPTFQPGWDLEEIHATFFKCISWQMEETMDAINCPYHYVCECATCVCRKEALVVGGKLVKYKGWSMTTFFVVSVLCLRIICKIFGENVGKFVSMIKVLMERFSWILISLDCVYLIAKSPPEKVMLRVVAFGGIFLLILLHVLKEACSQICAMAYVVEKLRLVSMSTPIA >RHN71085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56371585:56373263:1 gene:gene19728 transcript:rna19728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MGSVSLKIGDGTARFKKSTLCSSAVNILMILSVITTNLFALYAFTSSPKNNQTEQLHQVHKNFSLISEQVSLILREIDQSQKKLTQIEKQLLGYESFDLSRPNIAKELKLFLNPHKLPLGKDSKTGMTEMVSSVGHSCEKSSDLLSQYMNYKVFGNCQDDWSFAQKLISKRCEPLPRRRCFAKSVSSKVNYLHPFPTSLWKPVSNKTVNWSGFSCKNFECLNGKKLNRGCMHCFDLVNGYENQRFVKSRSKNDFLVDDVLALGNGGIRIGFDIGIGSGSFAAVMAERNVTIVTSTLNIDAPFNEFIAARGLFPLFLSLDHRFPFYDNVFDLVRATNTLDDDVGKKQEKLEFLMFDADRILRAGGLFWIDNFYCANEEKKIALTRLIERFGYRKLKWVVGEKVDSGKSQVFLSAVLQKPVRV >RHN48266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46167005:46169140:-1 gene:gene42965 transcript:rna42965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L14P MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACAGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIAKECADLWPRIASAANAIV >RHN40101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13297104:13300987:1 gene:gene46204 transcript:rna46204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MHCADQDDISAVANRQVFDVIVSSTDDMGPLSLDNFRKNMSASWRVVGLETSNAAYEVKKPTTHVTQEKPKVKEGRSSEGLTHWTDSPTRQVRRKLIEKRQEKRAAELVKMDNEVIVKLENEAIERSRSVESAVLGKYSIWRKEIENENADSSVRFMRDQIIMARVYVSIAQMNNKLELLQELQSRLKESQHALGDAIADSDLHHSTRQEIKAMGQVLSKARGQLYDSKLVTGKLRAMLQTADEQVRNLKKQSTFLSQLAAKTIPSGIHCLSMRLTIDYYLLPPEKRKFPRSENLENPSLYHYALFSDNVLAASVVVNSAVTNAKDPSKYVFHLVTDKLNFGAMNMWFLLNPPGKATIHVQNVDDFKWLNSSYCPVLRQLESAKMKEYYFKAGHPTTLSSGASNMKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTGLWEVDLQGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKDITGIYHKWQNMNEDRVLWKLGTLPPGLMTFYGLTHPLNKSWHVLGLGYSPTVDRSMIENAAVVHYNGNMKPWLAIAMTKYRSYWTKYVKYNHSYLQKCKLHE >RHN65598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3579531:3580714:-1 gene:gene13453 transcript:rna13453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSTAEPPSSTTITTTGVGLGYGITIAVSILVLISTIMLVSYLCVRIKSHGRRDSFHLDIHHQPHTTINSGLAQPGPFIMGLEKPMIESYPKMILGESRRLPKPNGGQCAICLTDYLPKETIRCVPDCQHCFHADCVDEWLKMSATCPLCRNSPAPSPITTPVATPLSELAPLAFHAR >RHN65619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3827859:3828255:-1 gene:gene13482 transcript:rna13482 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVFSLPSSSMNEVLLCDAMQLSRTLIFAASVHVKNRFG >RHN64383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58671528:58674692:-1 gene:gene27199 transcript:rna27199 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDNNNKPNSGKKVMKEQVMVLKNNGPMKNTLNASMQKDVQIHGIGNTAKSMVYTHQVQGHRNKSNVANAEDIPPDKNIIGSRTSTINGSILNHEIPCAMILGEEKHTPPYKDNGSMETT >RHN46310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30623396:30625774:1 gene:gene40790 transcript:rna40790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L20 MNKDKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIQRINAGTRIHGVNYGNFMHGLLKENIQLNRKVLSEISMHEPYSFKSLVDISRNAFPGNKNVVVPPRKVSF >RHN63877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54697048:54697485:-1 gene:gene26632 transcript:rna26632 gene_biotype:protein_coding transcript_biotype:protein_coding MISFIATNDGTQKSLQGAEDCLAVFFHGYWKQHLPPLEISLGTSMGASRGNPVKTSLGVSRGTSFRATCTPSEVSKVHPLNPQVMIKSCVRFCVELCRSKGLQSESRKNGPKIVRSSSTFYFLWEFHVELGVCREAKINIITDFL >RHN42108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35445453:35452572:1 gene:gene48506 transcript:rna48506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, ARF/SAR type, P-loop containing nucleoside triphosphate hydrolase MGLLSIIRKIKKKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTLTYQKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLSGASLLILANKQDIKGALAPEEIAKVLNLEAMDKSRHWMIVGCSAYTGEGLLEGFDWLVQDIASRIYLLD >RHN66403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11853127:11856843:-1 gene:gene14373 transcript:rna14373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyllase METLSYGSAPCCQVMNTKTKSVKNSSNSTQSRVFYIRKNGGFYINNLYTIGSLRFYDKGNQQSGFRSQSVFEGSKRLKFKVNSGSYGDYVINEGEGRDIVGVDVPASKVLIPGLPDESNGESGAVIRSCFKGWKPKLNVHYEKAGCENLDSPNVLFLPGFGVGSFHYEKQLMDLGRDFRVWALDFLGQGMSLPFEDPAPSSKEGGVTSSGNVSPWGFGDETEPWANELVYSVDLWQDQVRYFIEEVIGEPVYIVGNSLGGYVALYFAACYPHLVKGVTLLNATPFWGFLPNPVKSPGLAKVFPWAGTFPLPSNIKKLTELVWEKISDPKSIADVLNQVYADHSINVDNVFSRIIETTRHPAAAASFASIMFAPQGELSFSETLSRCRANKVPICLMYGKEDPWVTPIWGLQVKRKVPEAPYYQISPAGHCPHDEVPEVINFLLRGWIRNLESDGSLSLPLLEEIDTINQTIERELEFPRKSSKKSVKVRYFASSVSLWERIRSYLKFYSKSKKVVVESQ >RHN52539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36481667:36482902:1 gene:gene37228 transcript:rna37228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEKKKSVANESVSTVYIPHDIHFSILSKLPLKSFKRFESVRKSWSLLYENSLFMNMFHNSLLSNSYYEGASLLLRVFVFDLRRYVLYSLSGENFENKVKLDAPDSFLNHIRLRIFGFGSINGTFCLHHYDNKGQISLWNPTTQSIKLLPPSEVESVGSSIPDFAQGFVTLSVMSCIHGFSYDHVINDYKVIRYVRIIVLASFEYPGDVEDVMDLLADISLAPWEIYSSKSNSWRELDVDMPYSLDCNAGTQVYMDGVCHWLCEKHEENPIGPCLVSFYLSNEVFVTTPIPSDVDDCFDVKENWINLAVLNVSIALMSYHEGTTTFHISILGEFGIKESWTKIFIVGPLSGVERPIGVGTKGEIFFLRKDEELVWLDLSTQRIAGLGYKGVGHTSRIIIYKDNILPIGGTSK >RHN53052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41533602:41539411:-1 gene:gene37801 transcript:rna37801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase STE-STE7 family MKTKTPLKQLKLSVPAQETPITSFLTASGTFHDGDLMLNQKGMRLISEEKESRPSDAKDLDFDFTLDDLETVKVIGKGSGGVVQLVRHKWVGKLFALKAIPMNIQEDIRKQIVQELKINQASQCPHVVVCYHSFYNNGVISLVLEYMDRGSLVDVIRQVNTILEPYLAVVCKQVLQGLVYLHNERHVIHRDIKPSNLLVNHKGEVKITDFGVSAMLASTMGQRDTFVGTYNYMSPERISGSTYDYSCDIWSLGMVVLECAIGRFPYIQSEDQQAWPSFYELLQAIVESPPPSAPPDQFSPEFCSFVSSCIKKDPRERSTSLELLDHPFIKKFEDKDLDLGILVGGLEPPVNFPR >RHN67569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28335927:28340147:-1 gene:gene15767 transcript:rna15767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan 1,3-beta-glucosidase MSFFNFSNQRTPKSKEAMDSLYKNPNEPIEARIKDLLSRMTLNEKIGQMIQIERTVATPSVIKDLSIGSILSSGGSTPFDNALSSDWADMVDGYQKSALESRLGIPLIYGIDAVHGNNSVYGATIFPHNVGLGATRDADLVRRIGAATALEVKASGIHYNFAPCVAVCKDPRWGRCYECYSEDTEIVRKMTSIVSGLQGQPPQGHKHGYPFVAGRENVIACAKHFVGDGGTHKGVNEGDTILSYEDLEKIHMAPYLDCISQGVSTIMASYTSWNGRKLHADHFLLTEILKEKLGFKGFVISDWEGLDRLCKPHGSDYRYCISSAVNAGIDMVMVAVRYKLFIEELTSLIESGEVPMSRIDDAVERILRVKFAAGLFEFPLSDRSLLDIVGCKPHRDLAREAVRKSLVLLKNGKDISEPFLPLNKNAKRILVTGTHADNLGFQCGGWTKTWYGASGRITVGSTILDAVKAAVGAETQVIYEKYPSQDTIERNEFSFAIVAVGEAPYAESLGDNSELTIPFRGTDIISLVADKFPTLVILISGRPLVLEPRLLVKIDALVAAWLPGSEGDGITDVIFGSHDFKGQLPVTWFRSVEQLDQPRDRVAACEPLFPLGFGLNYINK >RHN59999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16277110:16278540:1 gene:gene22180 transcript:rna22180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-alcohol O-fatty-acyltransferase MESNMLNLIKVCTLGFFFAWLANFKLLLFAFNIGPLSSDSSISLTRFIALACLPIKIQQNPPKKHHQNLTNGSKNSDFETETKPTSKTSNTNTPFLQYAIKFVLLAILVKIYDYSDQIHPKIILGMYCFHIYFLLEIILAIVATLARTILGLELEPQFNNPILSTSLQDFWGRRWNLMVTSILRPTVYEPTLKAAKNIVGPKWAPLPAVFGTFVVSGLMHELILYYMGRLEPTLRMFGFFVFHGVCLTVEIALKRCFTARWQLPRFVSGFLTAGFVFATCFWLFLPEFIRCRLDVRAFEEYAALGVFVKNLSSKF >RHN61921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39382936:39386123:1 gene:gene24434 transcript:rna24434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transport protein particle (TRAPP) component MAPVAPRTGDAIFANIERVNAELFTLTYGAIVRQLLTDLEEVDEVNKQLDQMGYNIGIRLIDEFLAKSNVSRCVDFRETADVIAKVGFKMFLGVTASVTNWDAEGTTCSIILEDNPLVDFVELPDNYQGLYYCNILSGVIRGALDMVSMKAEVTWLRDALRGDDVFELQVKLLKQVPEEYPYKDDE >RHN52028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30614138:30615343:1 gene:gene36642 transcript:rna36642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSHQKKSLIVTNEKVNNYLHDDIVFSILSKLPLKSLKRFGCVRKSWSLLFENTYFMNMVRSYFLSKDPSHRRDAFLLLGQVFSPTITLYSLSDERIENMVKLNIPNPFQEQTETCFVFDILNMGGINDIIYVRCHLRNNDLEIYTRDLLWNPTTDELKVIPRSPTKDPRSPVTVDVSVHGFGYDCVKHDYKVLQHIQFIGRSYPCTGYVSLGDITFEPFWEIYNLRSNSWRKLDVFMPTFYHTPHARVYMDGVCHWLYIDFESYLGSFDLSNETFFTTAIPSDIDCGFDEGYIWRHLMVLNESIALVTYHEKKTTLNISILGELNVKESWIKLFIFGFLPSIEFPIGVAKGKLFFKRIDKELAWIDLTTHMIEDFGAKEGDCSFNMLVCKENFLPIDRCNK >RHN38647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:998369:1003060:1 gene:gene44605 transcript:rna44605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hyaluronan/mRNA-binding protein MATINPFDLLGDDAEDPSQLIAAEQQKAAAAAAAAPKKGLDQGKQTGAAKKPAQLPSKPLPPSQAVRESRNEPSRGGRGGGRGFGRGRGDGGFRRDFSNDDNSLPAPPANQGSFEGDSANPTERRGYGAPRTPYRVGGGPERRGGFSNGEAGEGRPRRTFDRHSGTGRGGGFKREGAGRGNWGTQSDEIAQVTDEVANETEKNVAEDKPVGEEDAAAADGNKETPAPEAEEKEPEDKEMTLEEYEKVLEEKRKALNVKTDGGRKVDTKEFESLKPLSCKKENDEIFAKLGSDKDRRKDAIEKEKARKALSINEFLKPAEGEKYYNPGGRGGRGGRGGRGGSRGGGGYGGNAYGNVSAPSIEDPGQFPTLGGGK >RHN59164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8082668:8097343:-1 gene:gene21156 transcript:rna21156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterol 3-beta-glucosyltransferase MAELPENCWRYSSGSSSSSDQSIRVEHEIGTGNESTGQEIVDSTDAVSFGVSSCESSSSKSGIPRKGLHKATTMPVDISHGDKLESSPSKFKLERSKSDRQRHLRPEDAAQIFNDKIPVQEKLRLLNKIATVKDDGTVEFDVPIDVEPEALGAGSAHVNNDIDDSHDATDLDHIPPLNIVMLIVGTRGDVQPFVAIGKRLQDYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIPVQRNQMKEIINSLLPACKEPDIDSGVRFKADAIMANPTALAHTHVAEALQIPIHIFFTMPWSPTADFPHPLSRIKQQAGYRVSYQIVDLLIWLGMRDMVNDLRKKRLKLRPVTYLSGSQGFETDIPHAYMWSPHLVPKPKDWGPKIDVVGFCFLDLASNYEPPESLVKWLEDGDKPIYVGFGSLPVQDPKTMTEIIVEALETTGQRGIISKGWGGLGDLTEPKDSIYLLDNVPHDWLFLHCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHDRGVGPPPIPIDVFSLPKLIAAINFMLDPKVKEHAIELAKAMENEDGVTGAVKVFFKQLPKNKPEPNTEPSSSSCFSYIARCFGYS >RHN61824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38637063:38637455:-1 gene:gene24324 transcript:rna24324 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLYDTSTHPDVKDFEHGDDAFVGVVEYGYTYLVGDDLSIEPIFSVIENYVKFNMKAYSSLEVRTIKFDIEQHDVKSLRASLESKTTVLTDVFFNTIRYGLKSYLKEKVLVGHKSNTSILGTHTKARKS >RHN46602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33136363:33136593:1 gene:gene41106 transcript:rna41106 gene_biotype:protein_coding transcript_biotype:protein_coding MILLWEQWLKKEDFILLMLVLLFMPSPNRYWLCATTVWICQHCIVSFGVVIFCTSVLFENSGMELVYCTRIPFKTL >RHN52711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37972884:37973218:-1 gene:gene37410 transcript:rna37410 gene_biotype:protein_coding transcript_biotype:protein_coding MDFERSIVDDVVVILLVMLMLLHLLLKMRIIWKVEESVQLEI >RHN72229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5941393:5941851:1 gene:gene7916 transcript:rna7916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S10, serine carboxypeptidase, alpha/Beta hydrolase MFAKLCIAYTRALENGVVVPTIYLIQWIFDYHVNLSDKGYRSLIYSGDHDISIPFLDTQAWIRALNYSIVDDWRQWHTDDQVAGYSHNYS >RHN68929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39685621:39688331:-1 gene:gene17350 transcript:rna17350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation efflux protein MTDQLRTDSKDYRTELLSPNIASENVSMARQPSWRINMDEHRIPERQMESHFGFGLFLRTIKRQRKLAKYYKRQEILLKGYQEVDSYIDLGTLPGNLTEDEMKQLERNEKVAIYLSNIGNMVLFVAKVYASIQSRSLAVIASTLDSLLDLLSGFILWFTSHTMSKPNYDQYPIGKNRMQPVGIVVFASVMATLGLQILFESMREIIVKAQPDRDPVKEKWMIGIMVTATVVKIGLMTYCRRFKNEIVRAYAQDHFFDVITNSIGLATAVLAIKFYWWLDPLGAILIAVYTISNWAKTVMENVWSLIGKTAPPEYLAKITYLCWNHDKEIKHIDTLRAYTFGTNYFVEVDIVVSEEMSLIQAHDIGETLQDKLEKLPEIERAFVHVDLNTTHKLEHKQLKVA >RHN48698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49555794:49556758:-1 gene:gene43441 transcript:rna43441 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQPSASPPAPVLSAEVLGSGGCGSFFRRRRRHGAFGSETLLFFGVVLCSCPFSVVPCPGVVRSLLVSASNLAPLNHIGACFHLSARKKLQHLLHFCCFPCFGSRHSTTTVLLSEHVRAADHFFVAHFVVAVPTLLYVLWFLVVMGRCSGGMIRLEVVRLRQRW >RHN60227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23893143:23895775:-1 gene:gene22491 transcript:rna22491 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVNDHEHHLKWTAYDRRVVRIQKEVQVCLVWIQSSILCRSVSKWLGMVLFCR >RHN63875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54685563:54685888:-1 gene:gene26630 transcript:rna26630 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYLRTWFTLHVMCNISPRITEMLIYTTYLTYGILRLLQYLWNIGKVHAFLSKFEDDIRYNFVGFRCGKLVLVRF >RHN66290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10381148:10385411:1 gene:gene14240 transcript:rna14240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MLSRDTCFKILLLATHFILPDLLLQDQQKMAATMIGGAFLSATVQTLVEKLASTEFRDYIKNTKLNVSLLRQLQATMLNLQAVLDDAEEKQISNPHVKQWLDNLKDVVFDAEDLLNEISYDSLRCKVENAKAQNKTNQVWNFLSSPFNSFYKEINSQMKIMCDSLQLYAQNKDILGLQTKSARVSRRTPSSSGVNESVVVGRKGDKETIMNMLLSQRDTTHNNIGVVAILGMGGLGKTTLAQLVYNDEEVQQHFDMRAWACVSEDFDILRVTKSLLESVTSITWDSNNLDVLRVALKKNSREKRFLFVLDDLWNDNYNDWGELVSPFIDGKPGSMVIITTRQQKVAEVAHTFPIHKLDLLSNEDCWSLLSKHALGSDEFHHSSNTALEEIGRKIARKCGGLPIAAKTIGGLLRSKVDISEWTSILNSDIWNLSNDNILPALHLSYQYLPSHLKRCFAYCSIFPKDCPLDRKQLVLLWMAEGFLDCSQRGKKMEELGDDCFAELLSRSLIQQLSDDDRGEKFVMHDLVNDLATFVSGKSCCRLECGDIPENVRHFSYNQENYDIFMKFEKLHNFKCLRSFLFICLMKWRDNYLSFKVVNDLLPSQKRLRVLSLSRYKNIIKLPDSIGNLVQLRYLDISFTGIKSLPDTICNLYNLQTLNLSGCRSLTELPVHIGNLVNLHHLDISGTNINELPVEIGGLENLQTLTLFLVGKCHVGLSIKELRKFPNLHGKLTIKNLDNVVDAREAHDANLKSKEQIEELELIWGKHSEDSQEVKVVLDMLQPPINLKVLKIDLYGGTSFPSWLGSSSFYNMVSLSISNCENCVTLPSLGQLPSLKDIEIRGMEMLETIGLEFYYAQIEEGSNSSFQPFPSLERIKFDNMLNWNEWIPFEGIKFAFPQLKAIELRDCPKLRGYLPTNLPSIEEIVISGCSHLLETPSTLRWLSSIKKMNINGLGESSQLSLLESDSPCMMQDVEIEKCVKLLAVPKLIMRSTCLTHLRLDSLSSLNAFPSSGLPTSLQSLDIENCENLSFLPPETWSNYTSLVSLRFYRSCDSLKSFPLDGFPVLQTLDIDDWRSLDSIYILERSSPRSSSLQSLRIKSHNSIELFEVKLKMDMLTALEDLHMKCQKLSFSEGVCLPPKLRTIVISTKKTAPPVTEWGLQYLTALSSLWIVKGDDIFNTLMKESLLPISLVSLNIMVLSEMKSFDGNGLRHLFSLQYLYFAGCQQLGSLPENCFPSSLKSLKFVDCKKLELIPVNCLPSSLKSLKFVDCKKLESLPENCLPSSLKSLELWKCEKLESLPEDSLPDSLKRLDIYGCPLLEERYKRKEHWSKIAHIPVIEINDQVTI >RHN58017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43134272:43135762:1 gene:gene33622 transcript:rna33622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exostosin MGMPMLMKYLVQFRYVFLITASIFFVSLLFLDYYQEIFAAPSTFSTICCGTNNQNNVTNEATSNDEGNRRTNPLIKHNVDEVFKKLPPKIMDPCLGQYIYVYDLPARFNEDLLKGCHSLQKWENMCVYLSNLGVGPKIIEKTKKKVLSKKSWYATNQFSLEVIFHNTMKHYKCLTNDSSLASAIYIPYYAGLDAGQYLWEFNISMIDKSPNEFVKWLAQQSQWKRLHGKDHFMVGGRIGCDFRREGDLDDDFGTKLMSLPELSNVSFLLIESCKGLYDNEFPIPYPTYFHPTNDDEIFNWQRKMRDRKRNYLFSFVGAPRPNSTSSIRNELIKHCESSKSCKFVRCYHGSSKKKSCRDPVQVMDNFQNSVFCLQPVGDSFTRRSIFDSILAGCIPVFLHPLSAYKQYLWHFPKNGSSYSVFIPEIDVKEGRVMINETLFNVSKSEVLAMREEVIRLIPRIVYRYPGSRLETIEDAFDIAVKGVLGRIEAMRRQIQM >RHN56511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31521718:31524571:1 gene:gene31919 transcript:rna31919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MEKIHIFIPNKSITPLSFPNKPTKFDCISSKRVNANSNNVSTTKPSIRKLIDSQLNQLCINGSLSEAVTILDSLAEQGCRVKPITYMNLLQSCIDKDCIFIGKELHSRIGLVENVNPFVETKLVSMYAKCGLLGMARKVFNEMSVRNLFTWSAMIGGCSRNKSWGEVVGLFYAMMRDGVLPDEFLLPKVLQACGKCRDLETGRLIHSMVIRRGMRWSKHLRNSIMAVYAKCGEMDCAKKIFDCMDERDSVAWNAMISGFCQNGEIGQAQKYFDAMQKDGVEPSLVTWNILISCYNQLGHCDLAIDLMRKMEWFGIAPDVYTWTSMISGFTQKGRISHALDLLKEMFLAGVEANNITIASAASACAALKSLSMGLEIHSIAVKMNLVDNVLVGNSLIDMYCKCGDLKAAQHIFDMMSERDVYSWNSIIGGYFQAGFCGKAHELFMKMQESDSPPNIITWNIMITGYMQSGAEDQALDLFKSIEKDGKTKRNAASWNSLISGFVQSGQKDKALQIFRNMQFCHILPNSVTILSILPVCANLVASKKVKEIHCFAVRRILVSELSVSNLLIDSYAKSGNLMYSKNIFNELSWKDAVSWNSMLSSYVLHGCSESALDLFYQMRKQGLQPNRGTFASILLAYGHAGMVDEGKSVFSCITKDYLVRQGMEHYSAMVYLLGRSGKLAEALDFIQSMPIEPNSSVWGALLTACRIHRNFGVAVLAGKRMLEFEPGNNITRHLLSQAYSLCGKFEPEGEKAVNKPIGQSWIERNNVVHTFVVGDQSNPYLDKLHSWLKRVAVNVKTHVSDNELYIEEEEKENTSSVHSEKLAFAFALIDPHNKPQILRIVKKLRMCRDCHDTAKYISMAYGCEIYLSDSNCLHHFKGGHCSCRDYW >RHN60216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23670671:23675762:1 gene:gene22479 transcript:rna22479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MNSNHFLYYMLTRKIIILNYSSNHMLSIFCLCLIFFTSFNHCLAKDTITINNLIRDGGKDTLISAGENFELGFFTPNGSSSIDRRRYVGIWYYNLTPKTVVWVANRDNPVQDSSGSFVISEDGNLMVLDSSGKSYWSTNLETSSSSLHRKVKLLDSGNLIVSEEEQEHGQGQGQGQGPGKVMILWQSFANPTDTFLPGMKMDESLSLTSWRSNEEPASGNFTFEQDEKVNQYIIWKRSMKYWKSSVSGKFVGSGEMSSAISYLLSNFTMKVSPNNTVPFLTSSLYKNTRMVMNYWGQLRYLKMDSQKKWLLVWVEPRDRCSVFNACGNFGSCNSKYDSMCKCLPGFKANSVESWKSGDFSGGCSRKTNVCSENAKSDMFLSLKMMKVGNPDAQFNAKNEEECKMECLNNCQCYAYSYDDIEKVARMGDSGDPVCWIWYDDLNNLEEEYESGCDLNVRVAFSDIESTGRSCGTCGTNFIPYPLSTGQHCGDPVYFNFHCNTTNAELQFETSGKTYQVISINPETQKFLIHQKNVLNCEGQSSLLLNKSSPFHITGNCYVDPSTFSSNAPLKHGVEIELSWKSPIEPICSSLLDCKDWPNSNCNTTSDGKKRCLCNKNFLWDGFKLNCTREGDRYKTKRHLSSPVIIVLTLATVILLIILSSTITCTYVRKRRQSKTQENKGYVQKTSGINLYDSERYVRDMIESSRFKEDDAQAIDIPHFHLESILDATNNFANANKLGQGGFGPVYKGKFPGGQEIAVKRLSSCSGQGMEEFKNEVVLIAKLQHRNLVRLLGYCVEGDEKMLVYEYMPNRSLDGFIFDKKSCVLLDWDTRFKIILGIARGLLYLHEDSRLRIIHRDLKASNILLDEEKNPKISDFGLARIFGGKETVANTERVVGTYGYMSPEYALDGHFSVKSDVFSFGVVVLEIISGKRNTGFYQVEHELSLLGYAWHLWKVSRAMDFIDQTLTCNEEECLKCVNVGLLCLQEDPNERPTMSNVVFMLGSESNTLPTPKEPAFVIRRCPSSRASTSSKIETFSRNELTVTLENGR >RHN81514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46628135:46632500:-1 gene:gene5571 transcript:rna5571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MSHSIFCVVLFLTIFCVGISLASSIKEQKRDKIKWLPGQPKIVGFEQYSGYVTVNEESGRALFYWLTEAPLSHGPNSKPLVLWLNGGPGCSSIAYGASEEIGPFRIRPDGKSLYLNPYAWNNLANILFLESPAGVGFSYCNKTTDMQNFGDQKTAEDAYIFLVNWFERFPQYKHREFYMAGESYAGHYVLQLAQIVNQRNKGVSNPVINFQGFMVGNGVIDDYHDYIGTFEYWWTHGLISDSTYKKLNIGCDFGSIQHPSVQCLQALTVAITEQGNIDGYSINTPPCNNTASLRSGLHDRYPWMYRAYDPCAERYSDVYFNRPEVQKALHANVTGISYAWKACSGTVWDYWTDSPLSMLPIYQELINADLRIWVYSGDTDAVIPLTATRYSIGALKLPTIMNWYPWYDNGKVCGWSQVYKGLTLVTVRGAGHEVPLHRPREAFILFRSFLENKNMPSS >RHN56370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30387035:30388851:-1 gene:gene31759 transcript:rna31759 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKILDLILVPSGLFVMVAYHLWLLYQVVKHPTKTVIGVNSINRRYWVQAMMEDVSKNGVLAVQSLRNNIMASTLLASTAIMLSSLIAVLMSSRNEGRSVVSLVFGDRTELVLSIKFFSILVCFMLAFLLNVQSIRYYSHASILINVPFKKLSSNLRQQKLTAEYVANTVNRGSYFWSLGLRAFYFSFPLFMWIFGPIPMLFSCFALVSMLYFLDVVIECGWAAIGVDDADCVGDEVHKQHHVDMEMQEPIRN >RHN54919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13541418:13550591:-1 gene:gene30018 transcript:rna30018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylthioribose-1-phosphate isomerase-like domain-containing protein MPDVHTLVNEFLNQLKKRKIEGSQATAKQAAELLRSVISQQRLPQTHQATALISAVRAVGEKLIAANPVELAVGNIVRRVLHIIREEDLSLATAAIDGLELSAVSDDEDDVERDDYPVLSAAAVAAAARSTLRPPSLQTLLEDTPISAAIPHTSSSGGDSEGKSRSVDKSSRGRKLKHDVIEAVNELIQDISTCYEQIAEQAVEHIHQNEVILTLGSSKTVLEFLCAAKEKKRSFKVFVAEGAPRYQGHLLAKELAARGLQTTVITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQRHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCMDSASGGSLHVVNPTFDYVPPKLVSLFITDTGGHNPSYMYRLIADYYSADDLVVKRRPATTS >RHN56522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31584852:31590056:1 gene:gene31930 transcript:rna31930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MLFMLRSLEDGFLGSWHPGKILRCGRKTRYVKYDNILDDEESDYLIEVVDVSSVLDGVNSSSALDCSYQRGLIRPLPPPIELLIKDLPFGQCVDVKYQDAWWEGVIFDRCNGMKDRSIFFPDLGDEMKVGVKQLRITQDWDESTENWLPRGKWVFLELFEECERVSYVAVSVKQIWYDIRMRKDFAETIREWTCNVKELWRDLVVEVIGDYYTLTLSEVRPALNIPNNLLEGESFEPTDNVQCEATNQGNAFGSDIGISDKPEENADSLNLLVTDQNCGSTSIIPLVDEEFEKENLLHEELESDKSQMVDVEFEKEILLHEELESDKNQMVDVEFEKDILLHEELESDKNRKRRRSKSIIWKPLELSEVEFCPEVIDEYALGCRSKTVRELLKTKVRKHLVYLGWTIEWTENNTPPHRRYRYKSPDKLNPKFYTSIFQVTKILQEDPNMNSGPPQIDSNLSHLLSDPPQMSQGFNVCPPTNEPSPVKFQVEPEFCPLAIVKYYCHSLERNSSDKRKWKLKAKKHLLSEGWMFDYPTERRKTTLYKSPQDQCLGTLQGACRLYLKEKIPEWTNSDHGDDDDLLSSVSQLLQKEPELRTIDGSPPTAKRNHKRARTSKASTQKDLESEVLTRVLRSSKRVQKVLGSSYQKPQNILSWLIDCNIVLPKYKVFYWETEGGNSPMFEGRITREGIRCTCCQNLYGLSGFANHAGGSSNCRPSACIFLKDGRSLLDCMMEVMQDHRTREITEKPHNDLFEGENDNICSVCNYGGELILCDQCPSAYHKNCLNLEGIPDGDWFCPSCRCGICGQNKIEETEDGHFLTCIQCEHKYHVECLRNGEKDDSRRCMKNWFCGEECERVYTGLQNLLGKPVLVGADNLTWTLVKYVNSETCGVGGAESDLVVENYSKLSVALSVMHECFEPLHNPFSSRDIVEDVIFNQRSELNRLNFQGFYTVLLERNEELISVATVRIFGEKIAEVPLVGTRFQYRRLGMCRVLMDELEKKLKQLGVERLVLPAVPGVLDTWTNSFGFEQMTNFERSQFLDYSFLDFQGTVMCQKLLTRFPSPESVVTRGS >RHN65575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3342291:3346542:-1 gene:gene13428 transcript:rna13428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAETAALYALQELCNFVVEEGCRHIVENGTLLAGFKKDFIDIKDDLESIQAFLKDADTKAAADGGGGRANEVVKTWVKQVRDVSLCIEDVVDEYEYSKYVAQWIDHSRIIAFLQPLPALIKTKYTEYQIASKIKDIKESLGKIKERMTTLLFQSESESGSYRGTKAPRIDNTRMASLFIEETQVVGIESPRDELISYLVSGTSDLMLISVVGMGGLGKTTLAKYVFNNQYVKEHFDCHYFFTISQSYTLRKMLIDMVNKFCVDNNEPIPKSLWKMDNNTLITHVRQHLQSKRYLLLFDDVWKEAFPDEIKHALISNNKGSRIIMTTRKMNIVEYFKKSVSVHVHELQPLTPDKAWELFCNKAFRGQCPIELEEMSNEIVQKCGGLPLAIVAIGGLLSTKAKTMSEWRKASQNLRMELEHNVHLTSLTKILSLSYDDLPHHLKSCMLYFGIYPEDYTINRKRLTRQWMAEGFVMNEETKSLEEVAEEYLTELVQRSLVQVSRVGFDGKVKSCQVNDLLHQVIIRKMKDLSFCHLMHKYDEQHMVGITRRISIASIPNNDLRNTSNSGIRAIFVFDRDEFPKQFIDGLSAKFKLLKVLDFQNSLLNYIPDNLGNLFLLRYLNLSHTKVTVLPKSIGNLVNLETLDLRQTKVHELPIEINKLTKLRLLPAYYRDYEGHYNIFNFTTGVKMQEGIGCLKSLQKLYFLEADHGALGLIRELKMLTQLRKLGIRCVQQQYGNALCDAIQEMKNLEPLNITVKDENEILDLDFISTPPPNLRVLNLKGGLTRLPDWIPNLQYLVKLRLGLSNFNDDPLDSLKNLTSLFRLNLWDDAFSGERLHFQRGGFPKLKELELTRLNRLSSISIDEDALVNLEHFRFKNNPQLKVVPEDLQNLKNLQFLGFAEMPVELVDSIDPEKGGVYHQIINHIPLVRIRQNVGSRRIPTQINIQVVYHAAHMKMHFVFLHYVRPKLNVAVCIRRSNYFRAVMFSSLCQLCFDN >RHN78346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14289021:14289956:-1 gene:gene1890 transcript:rna1890 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCFSLGSVLILIALAASMVVLPLMLPPLPPPPLALLFFPVGIMAALVVLAFSPSENVKNVVVYSSSSGIANSKR >RHN53999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6118113:6121148:-1 gene:gene28974 transcript:rna28974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MELEAEIDRLPIDLLAHIFVLFTSFTDLAQASKVCKKWKQGVKESLARRQNLSFAGWKMDDDSTSRLVSHAYNLRKLDIPRSRWSCQITDAGLIRISYAKCINNLTSISLWGLTGITDEGVVKLISRTKSLRHLNVGGTFITDESLFAIARSCPKMETIVLWSCRHVTENGLIALVDQCLKLKSMNVWGLRVPVDCLNNLLIMSPTLQIKI >RHN58719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4270960:4272952:-1 gene:gene20653 transcript:rna20653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MTNLLFLDFHGGNYQECLDLFPRGIQSFPTDLRYISWMSYPLKSLPKKFSAENLVIFDLSFSQVEKLWYGVKDLVNLQEFRLFDSRSLKELPDLSKATNLKVLNITQAPLLKNVDPSVLSLDNLVELDLTCCDNNLSFLFYHQLKKFKKLRTFSEIAYNKFPGQDLTKSWINELPLSFGSQSTLETLIFKGCRIERIPPSIKNRTRLRYINLTFCIKLRTIPELPSSLETLLAECESLKTVWFPLTASEQFKENKKRVLLWNCLNLDKRSLINIELNIQINIMKFAYQHLSTLEHNYVESNVDYKQTFGSYQAFYVYPGSTVPEWLAYKTTQDDMIVDLFPNHLPPLLGFVFCFILAEDYQHCEQIEFNISTIDDKDDDEKDGVSIYMNRTPLGIASDHVCMIHDQRCSRYLTRVAKNHTRFKIKVTARTDTNVKLRERPEVELKGFGISPISNSTYHNLIQKMELFDYMNKWNRTVLSIILCISLLQLKMKNLI >RHN59767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12896410:12905254:1 gene:gene21882 transcript:rna21882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MTPINLAGQFGDTTYTKVFVGGLAWETQKETMKKYFEQFGEILEAVVITDKATGRSKGYGFVTFREPDAAMRACVDAAPIIDGRRANCNLASLGVQRSKPSTPKHGGGGRNIRVMGSFQTGFGGVGSAFPSAATFPHYAIQQGIPYNVYGYSSYSPDYSYPTSYYGMYGGATAQYPVYGNGPAGGMMTGGAAAAAYYPYLQYGEGTGGATTGGYTSGQGYGVNYPPQVFQYSPIASTGGYAQHYGTPISLAPSPAALPSVCFAVPQA >RHN75127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39574626:39576527:1 gene:gene11286 transcript:rna11286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTLLLKFLYALIIFISLLFVVTNGAQFLCSDDSDCPRDLCVRNSLTLRCVNYICQCR >RHN42238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36580979:36581327:-1 gene:gene48654 transcript:rna48654 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPHKSSFYCFFYYRCYPNSLSHVITLLPRKFTLKSWFIWYLLVFPFLRS >RHN70289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50286517:50287869:-1 gene:gene18854 transcript:rna18854 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPVRDTVSGGMLRPRDRSHCKLYFTGMV >RHN49741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:753274:757654:1 gene:gene33948 transcript:rna33948 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLMGHTKFLNLFKFSSFPSTSRFNNFTSTSLKLKVKILKLSQSKRGFIFQQPLNLTSNYLRNFRYSGIGCILGVSVATASTIAYATNAEDALVDDHRRSDSLNLDYPLTTLLVKIWLERYMEYLWKLVRRFWLPIFFVATVLTNLDYPFTILFIKLTLFLLSTNPNPFSVYVFVDQLCRQSICKDTKFFDRKSFYASKVEVQDYKLLCLADVEVRDHKFTLVGILGTWWTLPH >RHN39219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5055954:5056805:-1 gene:gene45223 transcript:rna45223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MSIYPSLIYVCVRVNSLGRLSVVLADYCEKKSLNLHVDYEELSLRTKVLRGEKVERSVAAIAGKHFLTINNFMRAFNMVKHDEFVLALFNSVGFRGLAPDESTLDELISSACCSGLSVLSSAKCIHAVVVKHTFFNPTVKLSNSLMRMYFICGDIDAAIQLFHSLPEKNLGSWNRYLTGLAHSGFVVQWVKALDDMLLANFKPNPATFLAIFTACCHSVEVDLGMRFYKLMSKYDITPTLKHNGVIIDMLSRAGRFNMALDFIGKMGFPANDIISVQWCQVAQ >RHN45831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26083503:26084573:-1 gene:gene40251 transcript:rna40251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MIVQIILLFYYLSSTPSISKKHETQISSSLYPKDSSSDEVVLDLSPMIKVYKDGHIERLSGSDIVPPSFDSTTNVESKDVFISKAQNISARIFIPIPNNDEFPNQKLPLLVYFHGGGFCVETPFSPTYHNYLNTIVSQANVMAVSVDYRRAPEHPLPIAYEDSWTSLKWVASHLHGNGRDEWINSYADFGKVFFAGDSAGANIAHHMAIRVGTEGLQGINIEGIVLVHAFFWGVERVGSEAEKPEQYLSFVDNLWRFVCPTSSGPDDMLLNPGKDKNLGRLGCKSVLVCVAENDLMKDRGWYYKELLEKNGYDGVVDVIQTKGEGHVFHLFNPKSHKALYLLKQIVSFINLACSLA >RHN80116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35245870:35250704:1 gene:gene4003 transcript:rna4003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MQHSINAAASQSSRGGDTAESSDTKKGKKRGSYNCGRCGLPKKGHNCNIKTPVSTTTTTTTPVDSSLSIVSVPSAVSVIRQPPSNLRRALSFDGLDDRGSGLDLLRLDDKAYGDGDGDGDGDGDGDLLDLELDLEPDLDVEIDSSGLPGNLRWDVLRRLPPAGLLSAAKVCKGWRETARKLWKATEELKLRVPVKVHVGFVASMLQKCPGILRLSLRMESDFDSTMLACIAFSCPNLESMEISVFDTATNRINGDELGRFVADKRNLKTLKMEGCSNLGGFVLSSSSLSTLWLSDLHSLSKMVFNCPQLREISLEFSCQENASTDLITMIEGLGRSCLKLQNIHIASMRLSHAAVLALTAAQLRGLRMLSLVLGSELTDASVAAIASSYPNLELLDLSGSGISDSGIGMICNVFPETLKRLLLALCPNVTSSGIQFATAQLPLLELMDCGMTICDPNFPDPTTVENNGKSLKTSSANLQHINQKLIIKHSRLKKLSLWGCTGLDALYLNCPELNDLNLNSCRNLHSERLLLQCPTLENVHASGCQDLLVEAIQSQVRNVFPAIDNHSPSKRLPDGSKRVRVPYLLSGESPEPEKKRRRIERLPCNVLVD >RHN52111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31618357:31619903:-1 gene:gene36734 transcript:rna36734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kunitz-type trypsin inhibitor-like 1 protein MKHLLSLTLSFFIFVFITNLSLATSNDVEQVLDINGNPIFPGGQYYILPALRGPGGGGVRLGRTGDLKCPVTVLQDRREVKNGLPVKFTIPGISPGIIFTGTPLEIEYTKKPSCAASTKWLIFVDNVIGKACIGIGGPENYPGVQTLKGKFNIQKHASGFGYNLGFCVTGSPTCLDIGRFDNDEAGRRLNLTEHEVYQVVFVDAATYEAEYIKSVNYVLEQFKHLISEISYLCFFLSCI >RHN39670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9455444:9455993:-1 gene:gene45716 transcript:rna45716 gene_biotype:protein_coding transcript_biotype:protein_coding MERTKICSHMNLFVIWYRKCFCEWNSFFWEDECMCEGFFFFFFTRCVKGCRCRPGNWNLGEEDEFFIL >RHN53390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1400550:1408169:-1 gene:gene28292 transcript:rna28292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GC-rich sequence DNA-binding factor MSSAKSRNFRRRTDTNSDDDTPTTVPSKPSAPKPKKPPKLLSFADDEIDADNETPRPRSSKPHHHRPKPSSSSSHKITTHKNRITSHSPSPSPSNVQPQAGTYTLEALRELQKNTRTLVTPTTASRPISSEPKPSSEPVIVLKGLLKPVTSEPESDSEENGEFEAKFASVGIKNGKDSFFPGEEDIKAAKAKRERMRKAGAAAPDYISLDGGSNHGAAEGLSDEEPEYRGRIAMFGGKKGDGEKKGVFEVADERFDDVVVDEEDGLWEEEQFKKGLGKRRDEGSARVGGGGEVPVVQAAQQPNFVGPSVANVYGAVPNVVAAASANTSIGGAIPATPVLDVISISQQAEIAKKAMLDNIRRLKESHGRTMSSLNKTDENLSASLLKITDLESSLVVADEKYRFMQKLRNYISNICDFLQHKAYYIEELEDQMKKLHEDRASAIFEKRATNNDDEMVEVEAAVKAAMLVLSRKGDNVEAARSAAQDAFAAVRKQRDFPVQLDEFGRDLNLEKRKQMKVMAEARQRRRSKAFDSKKSASMEIDDHKVEGESSTDESDSESQAYQSQRDLVLQAADEIFSDASEEYSQLSLVKTRMEEWKREYSSSYNEAYISLSLPLIFSPYVRLELLRWDPLHKGLDFQDMKWYKLLFTYGLPEDGKDFVHDDGDADLELVPNLVEKVALPILHYEVSHCWDMLSQQETMNAIAATKLIVQHVSRESEALAGLLVSIRTRLADAVANLTVPTWSPLVLAAVPDAAKIAAYRFGVSVRLLRNICLWKDIFAMSVLEKLALDELLYAKVLPHFRSISENVQDAITRTERIIDSLSGVWAGPSVTGDKSRKLQPLVAYVLSLGRILERRNVPESDLARRLKKILVDLNEYDHARTMARTFHLKEAL >RHN60738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30047130:30047869:1 gene:gene23095 transcript:rna23095 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSLVSLNFTKYINLHVWIRKHANKLQSCQENKQNKISYE >RHN54063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6628178:6629278:-1 gene:gene29042 transcript:rna29042 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRLCLRVLRGREGKAKEGKGRKGREGRKEENLPIVWELKSQ >RHN39255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5325856:5333479:-1 gene:gene45261 transcript:rna45261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MKICDFDTGALSWICGGEVNLSSFCVQRCIIDGVNIIFSCVFYLFMLITLIRKQHSPDNNRKDRVMVVASICCFLTCIMYLGNVLYNFIDQDGKIDNLSWVIRGLIWSSFAVSLLIQKSKWILCLSSVWWICFCALLSILNIQVLKTVHSIPVFDLIPWLVSFLLLYCAFRNYSYFTSKHSQKSTMFEEPLLCETEKEPIVQQQPGLSHSNFISKLTFSWLDPLLSLGYTKPLVLTDIPSLPNEDKANNCYQKFVGTRDSLLRRSSTNIPKNFLILAMSRAFLKENIYIAICTLVRTICAAVSPLFVYAFVNHASCECDEEEYFYEGVTLLGCLVLVKLVESVTERQWYFESRRAGMRMRSSLMVAVYEKLLNLSSFGRKRHSNGEIVNFIAVDAYRMGEFLYWFHSGWSFVLQLLLSICVLFWIVGLSAIPGLILLVIFGVFFNIPYAKKIKSCKSQVLISQDQRLRLTSEILNNIKVIKLQGWEDKFMNMIESIRDVEFKWLAQTQFTKALGSFLYVSPPIIGAVVLIACSLFGTAPLNAATIFTVLAILRSVAEPVRFIPEAVSVIIQVKVSFDRLNIFLFDDEINTSYQKKSIYVSKSGKCIEIEEADFSWDEGSVTPTLRQINFGIKHGEKVAVCGPVGAGKSSLLHAILGEMPKVCGTLNLHGEVAYVSQTSWIQSGTIRDNILFGKLMERNRYENAIKACALDKDIDGFSHGDLTEIGQRGLNLSGGQKQRIQLARAVYNDADVYLLDDPFSAVDAHTAAILFHDCVMSALKEKTVILVTHQVEFLTEVDKILVMEGGVINQAGSHEELSTSGTTFEQLMNAHRDAISVIGTTSSQNKGKSQEIERVSDPATKKNNNDEICETSIGGQQLTQEEYIEIGSSGWELYLDYIIISKGMLLQFLSLIALLGFAAFSAGASYWIALSSEFPSITKGWMVGVYTAMSILSAIFAYLRSVLVAHLGLKASKEFFSGFTSSIFNAPMSFFDSTPVGRILTRASSDFNTLDFDLPFATVYVAQSAVLLITGILIMSSVTWQVVIVSILAAVTGYYIKEYYQASARELVRINGTTKAPVVSYTTETSAGVVTVRAFKMMDRFFKNFQHLVDTDAALFLHTNAALEWLQSRMDILQNFILFTAACLFVFLPMGSIIPGLVGLSLSYALSLTRSQMYYTTWSCSISTFIISVERIKQFMQIPQEPPKLLEDRRPPSSWPSKGRIEFHDLMIRYRPNAPLVLNGITCTFKEGTRVGVVGRTGSGKTTLLSALFRLVEPTSGEILIDGLNICSIGLKDLRMKLSIIPQEPILFKGSVRTNLDPLDQFSDDEIWKVLEMCQLKEVLSGLPHLLDSSVSNEGENWSMGQRQLFCLGRVLLKRNKILVLDEATASIDSATDAILQKIIRQEFAECTVITVAHRVPTVIDSDMVMVLSYGKLVEYDEPSKLMEDNSSSFSKLVAEYWSSCRGNSL >RHN73482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16886777:16887935:1 gene:gene9299 transcript:rna9299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase SIN MIYNYVEIQCDNGHIVCSTCCSKFGNKCDKCSKCISLKRCRAFENLLQYIKMPCLNEKYGCKETIDYIQKRKHEEECIYVPCYCPLSGCDFVASSEVLSDHFSHKHEDSQINFYYGFSFLVSLKSDDEVIVLQEKRSGKVFILNNSTMLYSKKNYSTMLLGNAVNICCFGPTASVSEYSYDISARSQKCKLKLHSFAKNLHQVTLATLSSEFLVIPIGSSEPLELVICITPTTPTMRIFVNLLTGETITLEVNSLDTICSVKEKILDETEYSMQSQRLIFAGKQLDDSRTVADYNIQNESTIDVAFRILGD >RHN40131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13534401:13540139:-1 gene:gene46237 transcript:rna46237 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative abieta-7,13-dien-18-ol hydroxylase MDVLYTILTLIAFSLLAIFLAICFILMTIFKGKSIGDPKYAPVKGTVFNHLFYFNKLYDYQAQMAKIHPTYRLLAPNQSDQLYTIDVRNIEHVLKTNFDKYSRGKYSQDVMTDLFGEGIFAVDGDKWRQQRKVASYEFSTRVLRDFSCSVFRKNAAKLVRVISVFYHEGLVFDMQDLQMRCALDSIFKVGFGTELNCLEGSSKEGTEFMKAFDESNALIYWRYVDPIWNLKRFLNIGGEAKLKHNVKLIDDFVNGVINTKKEQLALQQDSNVKEDILSRFLMESKKGQTTITDKYLRDIILNFMIAGKDTTANTLSWFFYMLCKNPIVEDKIVQEIRDVTCFHESELSNIDEFATNLTDSILDKMHYLHAALTETLRLYPVVPVDGRTADAPDILPDGHKLQKGDAVNYMAYAMGRMSSIWGEDAEEFRPERWITDGIFQPESPFKFVAFHAGPRMCLGKDFAYRQMKIVAMCVLNFFKFKLANGTQNVTYKVMFTLHLDKGLPLHAIPRS >RHN54678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11235340:11239645:1 gene:gene29752 transcript:rna29752 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPPYLLKLISMCFALFCRCILTHNTLISLRYSSGIELLTVIITILETIDWTFILDFITTTAKVLYFLSNFQGLKIQQMNSTSPLHQPGYHQ >RHN42654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40012073:40019443:-1 gene:gene49123 transcript:rna49123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MASVPVEAEAERTLNLQPPETKLKARRLKGHKDSVNCCIASPQNPRIIVTSGEDGRVCWFDLRCNDEPQIAMDVSDDPILSLCFKSGNEDNIYVSSGKEIKCFDVRLATTKWEPLENYNYNKEEINKVTCNSKSSFLAAADDSGEVKIIDIRQHCLYKTLRAETGHTSICSTVEFLPWRSWEVISGGLDSTLVLWEFSKARPYKVLNFGNVSNSGAGQCFNPSLIHAIAVPEIDMVDKLGKICAVAGGDVINVIDIESEIAAVRSKSSSNTRKGSQSRLKGGSSSNNTDADDNVKKRLQFDYTIGGHTSAVSSLAFSMFGERGKFLISGGNDKLVKVWNWSRYTDAGSSDGNNDILHLNIGVPQKVNWLCTTSADTDNLVVCDTSKTVKVHSLT >RHN66319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10802419:10810531:-1 gene:gene14278 transcript:rna14278 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHRATMVEARFLGRHWKFRATMKTHRATMVALKIKIKRIFQFVSVF >RHN53262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:482652:488061:-1 gene:gene28153 transcript:rna28153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MITTSSKQIWSYVVSILYLTLLATEASPTYLGSYCNDNTTYTPNSTLSTNLNVLLNSLSTNSSQQQDGYYMTIMGFGTTNAVNGIFLCRGDVNTTTCQNCVTDAATQIKRRCTNQTEAIIWYEECLLRYTNKFFRYYSIEPRLNPKNGKNVSGVDFERFNETVFGLLNELVVTAANSRTAKKFGTGEVEVTRSMKVYGSGQCSTDLTSSQCEICLRNAIGTLPKCCSGREGASALLASCIVRYQLYPFYNLYGSSSSSSGRNGVGTKAIVIIISLAIVPVLLFFIGCYYKRRRSRQRRRTMLRENFGDELKTLDSLQFKFSTIEAATNKFSSENEIGKGGFGIVYKGVLSDGQQIAVKKLSRSSGQGSIEFQNEILLIAKLQHRNLVTLLGFCLEEREKMLIYEYVPNKSLDYFLFDSKKHRVLHWFERYKIIGGIARGILYLHEYSRLKVIHRDLKPSNVLLDDKMNPKISDFGLARIVAIDQEQGSSTNRIVGTYGYMSPEYAMHGQFSEKSDVFSFGVIILEIVSGKRNSRPIQSHDFEDILRTAWRLWRNQTPLEMLDPILKDMFSHSEVIKCIQLGLLCVQENPDDRPTMAQAVSYLSSLLVELPLPLEPAFSMDRDMKLNIPTTESSSGQFISNSTASSINDLTKSQFFPR >RHN78384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14615427:14617843:1 gene:gene1931 transcript:rna1931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MSSLYLSKNKETKLNQNRSVQCLENFNYFRTNNAPSKGSTNFLAYKYNYFNTSSLHQHTTMDSIPYMHQMVSSLVLIILSISSLASASLQVGFYSYSCPSAEAIVRSAIDKAVSLNPGIGAGLIRMHFHDCFVRGCDASVLLASTPGNPIAEKDNFINNPSLHGFEVIDEAKAQLEVVCPQTVSCADILTFATRDSILKLSGGTINYDVPSGRRDGRVSISDEVPKNIPSPFLNADQLIANFAQKGLSIDEMVTLSGAHSIGVSHCSSFSNRLYSFSDTISQDPSMDPSFAESLKTKCPPPPSNTNPIVMLDVATPNRLDNLYYEGLINHRGLLTSDQTLLSSQSTQESVLSNANYGSNWATKFAQAMVHMGSIDVLSGYDGEIRKHCSFVN >RHN66845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19984907:19988229:1 gene:gene14947 transcript:rna14947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MNMEMEITTTKEEEVKEVEKEKVVVIEKQGEEGEAHHPYAFHVSGPRNLVNLNWRDLISSSWKDPNYKRTVIACFIQAVYLLELDRQEKRTPENALAPNWWIPFKYKLTKTLIDERDGSIFGAILEWDRSAAMSDLVVIRPSGAPKAVLALRGTLLKSHTMRRDIQDDLRFLAWESLKGSVRFNVALETLKSVSDAYGSSNVCVAGHSLGAGFALQVGKSLAKEGIYVETHLFNPPSVSIAMSLRNIGEKAELAWKRIKSMLPSSSEGVNNINNDGNNNNKKSWMPWLTSLKNHNFGVGKWVPHLYVNNSDYICCSYNDEPDKSNEKEDGGENNKENVGPNNNGCHVAAKVFVVNKEKQKFHEAHGIEQWWSNDSQLQQTIHTSKLISRQLKSLYTSGSSNSSQVLQGKASK >RHN48445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47504517:47506745:-1 gene:gene43161 transcript:rna43161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MAFGNSIPFLTSLVCSNVGSMSRQDISAISRSFQMLEVLDLGHPNLFVDGAHPNTAKALINLSLSTPRMREINLSSHSYISDNLFHNLLYNWRHNLEEVFICNCPNITHGIIFNSFSFPDAPPRVKSLVLSDTGLSQPNIPQPYFLNSLTTIKLFSCNISNQFLSSIKVLPLHTLLLGIHLSWFVRLLISAASNPPFGPFRCSLVYP >RHN43975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:428354:428879:1 gene:gene38018 transcript:rna38018 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAEPIDQIVGSEDPTNSVVDLSGTSEYDPEASSQVTPVSTFKCADFFKDAETLSQMIPFSNNYLSVAADKHVSEASTTAKAKMTPLLQSTLHQRESNSSNRRRSKRLYSHFHDYNKFCFFTIPDPELLPILYYNLHQY >RHN67430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27127993:27128737:-1 gene:gene15619 transcript:rna15619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MNSLTICSMFLGLILISQSPFAANATLLDLGGGGVPLVSLLCDGSSNKVECSKVLSSPQTAQAKNWTELSKAVAEIAIKKAVEGEAFFKKLDTYCADAYSSLVVTFKFCWDFADGNPSIVSYDCVTAGDLLRGCDVNVNPDVTAFNRQTKFLYGLLYETITQLPNYLTGNQG >RHN78892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19685511:19687169:-1 gene:gene2560 transcript:rna2560 gene_biotype:protein_coding transcript_biotype:protein_coding MKARGVSLVGKNISHLLDNYLVIEELSATKEEVANEVVREALDRAPSGYIKWRPDPIIGQLIVNNRLLGEANKGLSARMSLLESETREMKKLYGSAQSSYVDEGVFNDEGVHEDVSMDEIERVIEREVANVSYDVAHHASNLLTIIKDKPRNRVKSGVLLSPWVKDGRIKKKI >RHN52235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32897640:32898703:1 gene:gene36884 transcript:rna36884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MINGLCKNKMVDEAVNLFKELHLKNMAPDIVAYSSLIDGLCKSGKISDVWDLIDDMHDRGQQADIIIYTSLLDALFKNHQVDKAIALLKKIKDRGIEPDMFTYTMLVDGLCKNGRLKDAQEVYRDLMVKGYHFNACMHNVMINGLCKEGLFDEALFVLSEMEDNGCTPDVVTYKTLIRALFKNDMNDKAVKLLREMLSRGLL >RHN56123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27974900:27976523:-1 gene:gene31470 transcript:rna31470 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSVSSIKRRSSFGGKRSQHELESPYSEETEAPVPKLLKKVENVKPGKAGGIPKHHRKVTRHEYEVETSNGRTITKKRKTRSTVLFEACICFSLNAINPIDVHMNYISTPHGLAFKCKLLICLKILNFSVYQDPRKQKINTPKTNTPRTFVKSQRVEDHPRPSNIGDLFSEGSLNPYADDPYAFD >RHN44445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4810223:4814727:-1 gene:gene38566 transcript:rna38566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MVVGVVKVEDTITEEIITKLPVTDEKDEEENNLCISSIGFLKKKKLIVLDLNGLLADIVSSHPKEVTPDATIARNSLFKRPFSLFKRSFSHEFLNFCFERFEVAVWSSRSKETVDSIIDYLMGDMKQMLIFTWDISHCTETAFQTVENKRKPLVCKDLRKIWDKYDPNLPWEKGYYNESNTLLLDDSPHKALLNPPYNSIFPHTFSYENQNDNSLGIER >RHN70146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49103041:49104143:-1 gene:gene18700 transcript:rna18700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Blue (type 1) copper binding protein MTFSNPLILGFFLVINVAVPTLATVHTVGDKSGWAIGSDYNTWASDKTFAVGDSLVFNYGAGHTVDEVKESDYKSCTTGNSISTDSSGPTTIPLKKAGTHYFICAVPGHCTGGMKLSVKVKASSSASSAPSATPSPSGKGSPSDGTPAATTTTTTPSTQSASSSTSISPIVALFFTVSWILISYILV >RHN60553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28093085:28094863:1 gene:gene22876 transcript:rna22876 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKPLKKLHLIQFLLLFNLILGSSTPHHNHTNNCENIKTQPPFLTSNSSISSQLRITVNVPTHAPEFCKGCENPNGNCNAGLKCLCHPKECKDKVISKVGSINSTGSLFFSLLSFICTVAFLIMYV >RHN59313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9440759:9442625:-1 gene:gene21321 transcript:rna21321 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP83E8 MLSLLLLVLCLTFPLLLFFQKRRRSLNEPHPPGPRGLPIIGNLHQLDNSILYLQFSKLSKIYGPIFSLQLGLRSAIVVSSAEIAKEIFKNNDQVFSNRPVLYGQQKLSYNGSDIAFSQYSDFWREIRKLCVIHIFSAKRVSYYSSIRKFEVKQMIKNISNHAASSNVTNLSEILTSLYSTMICRIAFGKRYEEQGIERSKFHGMLHEFEALMTAFFVSDYITFMSWIDKLRGLHGRLDRNFKEMDAFYQEVIDEHLDPNRQNTDGEFIVDVLLELMKQRLFSTDLTFDHIKGVLVDMLVAATDTTSATIVWAMTALIKNPRVMKKVQEEIRGSRVKKDFLDGDDLQNFVYLKAVIKETLRLYLPAPLLLPRETREKCIVGGYHIPAKTIVYVNAWSIHRDSEIWKDPEEFYPERFLESSINFLGHDFELIPFGAGRRICPGISVAVASLELTLANLLYSFDWELPHGLVKEDIDTEMLPGITQHKKNHLCLVAKVPM >RHN64758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61602058:61602683:1 gene:gene27613 transcript:rna27613 gene_biotype:protein_coding transcript_biotype:protein_coding MWSHWRSFQQKTESSINVSSLISIRHNQTQENKNKNKNKTIYIQGDINPELFCSLQ >RHN40505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17031072:17031991:-1 gene:gene46662 transcript:rna46662 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKKQENEEQLEEERKEEAKSKEKNEGSSDEENAKQRLRHKMSIPKVYDLMNSVHGKQRKEEIINVLNESGFGGLVHICNWNRVHTFFVDWIVKNFDKENMWIALSKTEVLPLKEEDVHRVYELPIAGKQINIDLCSVEAIKRLRIELGVNGNYSASVRVTDLERLLKTQENPKAWVKGAICFIIHKILCPTNSSFVSLQYAKILEDPAGVSSYKWCSHVLEYMKEGLQTPEVANPLADCIARITRLMLSVYKGETCGHFKKTNGCHV >RHN60018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16644359:16644640:1 gene:gene22206 transcript:rna22206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-transporting ATPase MEKINVLKGLLSSWIFLMVMASTVCFQVIIVEFLGAFAQTVPLSRDLWLTSVMIGAVSLVVAVVLKCIPVPVKNYVATHHDGYEQLPTGPELA >RHN69553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44351549:44351701:-1 gene:gene18035 transcript:rna18035 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPLIENLDWLSLIITPLSVLILRRSHMLLSSDIQCGQSPHSPVNTSRA >RHN75896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45988240:45988602:1 gene:gene12155 transcript:rna12155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MGKKAPLLIMLLILCHGVSMTMAMWEAEDRENGPSTPDRKLFLLQNSKRVVKTDAGEMRMLESRGGRILERRLHVGFITMEPSSLFVPQYLDSTLIIFVLTGIISITHLDFQCKSYVLKN >RHN56719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33161990:33163604:-1 gene:gene32158 transcript:rna32158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MSRDSGRLDEIAKINSEGETSSQMGRKHLYGPTSGTTLNTVTPCAACKLLRRRCAEECPFSPYFSPHEPQKFAAVHKVFGASNVSKLLLEVPEGQRADAANSMVYEANLRLRDPVYGCMGAISSLQQQVQSLQAELHAVRTELLKYKYREAASFISSQVSMPTSANSQPLPLPTTLAPPSPAPPPPQKVLASQSIILSSLSSSSTSSVYTPPKTTMSHGSISSENIPYFV >RHN54909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13416362:13423158:1 gene:gene30008 transcript:rna30008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MMSSVKQNQQQSSFHTLFSLRGSLLTLAILTLLSFTYLSLKYSTPSSQGPESVNVKVVDAGKNEEEDDGGDEFGDVYHSPRVFKLNFAEMEKKFKVYIYPDGDSKTFYQTPRKLTGKYASEGYFFQNIRESRFRTLDPDEAHLFFIPISCHKMRGKGTSYENMTIIVQNYVESLISKYPYWNRTLGADHFFVTCHDVGVRATEGLPLLVKNSIRAVCSPSYDVGFIPHKDVALPQVLQPFALPAGGNDVENRTSLGFWAGHRNSKIRVILARVWENDTELDISNNRISRATGHLVYQKRFYSTKFCICPGGSQVNSARIADSIHYGCIPVILSNYYDLPFNDILDWRKFAVVLKESDVYQLKQILKNKSQDEFVALHNNLVKIQKHFQWNSPPVRYDAFHMVMYDLWLRHHTIQY >RHN49651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:67256:70155:-1 gene:gene33854 transcript:rna33854 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNVVQKRRRALVAERKRLVHGDPTTGKLKIRKQPVNLSGKRKRKLFKQWRREQKDAVESGLISMEDVQMAVAEGETKDKNAISSAKFHLKKKGLKLKQLKGKGKNKRKSDVPAAEVSTDAMVE >RHN78802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18584659:18584886:-1 gene:gene2456 transcript:rna2456 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLEKATNFIRVQKNQQNQPQGATFNEMKNKESHVNGPFNSFGQRCSRKVRELRVRFYIIRKCVRMLVCWKDIE >RHN49993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2973055:2974077:1 gene:gene34239 transcript:rna34239 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFNLVACATIGGNFIKFIGGIIWHPKNHYFSKEPLLLQRSSYSKKSFSFISIPHHKVYHSKMINKFFRFAYHGSSSGYLIMTGKDNSFILINPFTRRKMVINNSVFEVDFSCFACKVLLAFCRGSEEFVLLVLCRDSNDLHVYQSRSFSWVTYLTPQKVVDFVVLQSTIYVVTNKANIGIVNLNSTNINFLELKTTIQVHFVSYAHVGLVSCDGHLLVLHIMSKVTFNVYKIDFSTMDYVKLKTLGDIALFYAPQRKYYALSNPRMWGYENNSVYVIDVACEKYTLFKGDVDKLPELILPIVLIAQYPPLHPGPQPKQPYLDWCFRHIRNKVDYSLVE >RHN67847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30827361:30827831:1 gene:gene16090 transcript:rna16090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MCNIKKMTLSGLPKIKSVFVMSVASKLSLETLTIRKCDKLEHIIVDIDNGSGGNNGGNNVFPKLKDLEVEYCTKLKYIFGHIDATDHHQNHNNEVTHFHLPALKCLKLRRLRRLVGMCTKHYCITLPLLTKVECSKVDFTSIGDFIVPNYSNKVPT >RHN45679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24430975:24432960:-1 gene:gene40062 transcript:rna40062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKRGKNMAKILKFVYVPILYLSILLVLTIYDQVYFNNSPPCVTDKDCPRPQFRKSNVRCRNGYCVNLGN >RHN69729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45882286:45883684:1 gene:gene18241 transcript:rna18241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MSQITIKSPKHCATKQVRIERNYKKIFFAFSTFFTTILLLILLIYFILKPSKPQFSLQELDIYQLNLSGPILNSSIQLTLLSKNPNQKVSIYYDEFQVYATYKNQQITSDSFVPPFYQGTQESNFLSSSLIGNGLPVAPSIGYELSRDQVSGRLGLSLKANGKLRWKIGTWVSGRYRFNVNCDSIVAFGIGPTLNVPPLNSKLGALCSTTI >RHN74357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32162625:32165400:-1 gene:gene10404 transcript:rna10404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-RSK-2 family MPDLPEMNHNTSEEQFETHNNARSYPNSGNSSPTHHKKSNQEEKEAYKNEYSASESTKHMDQVSKTDSNSANKTRPQKLSPPNKNIPDAFLRQSPRNSRMPSNSDAATPLPSGVSKGMSTNTKTNNQGAPGSGASSRSDSLESTSCSSNIRPHTGGDIRWDAINMASKNSPLNLTHFRLLKRIGYGDIGSVYLVELKGTDAHFAMKVMDKAALISRNKLLRSQTEREILGLLDHPFLPTLYSYFETDKFYCLVMEYCSGGDLHSLRQKQPNKCFTEEAARFYASEVLLALEYLHMLGIVYRDLKPENLLVRDEGHIMLSDFDLSLRCSVCPTLVKSSSTHGGNSSGNSDSGGILNDDQAVIAQSSTSFFPRILPSKKNRKAKSDFGLLVNGNRLPELMAEPTNVRSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLHGATPFKGAGYKATLFNVVGQPLRFPDSPQISPVAKDLIRGLLIKEPQKRIAYKRGATEIKQHPFFEGVNWALVRSATPPHIPDAIDFSKYAKKETAPPPVDKKLPDVTNDKKGKTSTDNSYVDFEYF >RHN52691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37793690:37794238:-1 gene:gene37389 transcript:rna37389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MGMSSALDTFCGQAYGAQQYHMVGIYTQRAMLATTLVSIPLSFILAYLKPILIILRQDKTIAAQAQLFARYSIPSLSANALLRCLVKFLQTQNIIFPMVLANGVTSLIHVFLCWALVIKFGFGIKGAAIAICISNWLNVAMLAIYMKFSSSCKKTWVGLSMESLHNIPQFLKLAFPSAVMVW >RHN60627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28976195:28992670:1 gene:gene22972 transcript:rna22972 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTKVRKMLFGSSVSNKRCVGCCLELYMSNKLSLYKLRNMFSMRKDDCREMLKRLIAFAFERDKCFLFQTLAFLLQCPLLFIELNPLESKLIKSCCASNLNLNFGSKETWGESM >RHN79885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33174762:33178194:-1 gene:gene3736 transcript:rna3736 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLQYMKTLRSHMNDAEDQAAKISAEEQMQMTNIRTLEIDIDSAKSEIAQVVEDTEKMNKSKVEICSKIFENQKKLASLDSDTSRLTQTMELIRQEKVGLSAKLSERRAYYSKVAEDMRAKFQKQQVCSYYWI >RHN48018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44332646:44333866:1 gene:gene42686 transcript:rna42686 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDQLNSWRIKVTESMIKGDFVLNFPRRISKRFLIHNSCYMKVIDQEFGVHFQCNLKSSSRDLHESLFLKVGMILLEPKKLSRVIS >RHN70590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52555823:52557232:-1 gene:gene19186 transcript:rna19186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MTTKKKSFVGPSSLIKWYTDPLEKSVHLKQTPTTKTHHPSFSTTSSWFHNKTLYHYSKTLSEKNTFVFPNMARPQQRYRGVRQRHWGSWVSEIRHPILKTRIWLGTFETAEDAARAYDEAARLMCGTRARTNFPYNPNVSQSSSSKLLSATLTAKLHRCYMASLQITRPISLQQPQKQSSQSVNISTNNAPMKSSQEIDTTMKHEEEKESEGNWVFKKVKVENSQQFIKPLEEDHIEQMIEELLHYGSIELCSVFPTQT >RHN53617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2885035:2887405:1 gene:gene28544 transcript:rna28544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative remorin MGESEGSSINKTESPQLQSLLDPVPEELSPVVEKDSETLSTISISQEPNQQAISTLDDQKVADDHADNKETGDHDDKKDAKDSTDRDAGLAKIVAEKRLALIKAWEESEKTKAENRAYKKQSSVGLWEESKKSSIEAQLKKFEENLERKKVEYVSKMKNELAEIHQYAEEKRAIVEAQKREECLELEETAAKFRSRGVAPKKLFGCFSA >RHN41361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29460710:29463319:1 gene:gene47676 transcript:rna47676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MLKGRFKLHVQVMDNTGSTSIILFDCNIANYVGRSVQDLIDAQGRANNSLGYPKELGLLVGKQMLFKVEITDWNLVHNWRNHGVKRTSDDADLIKRFIEKHNIKEIAEEDDSCNNNVPLTQIGEARLESRLIEFGDSTKTGNDLVDNEQTPGSKSGGKRFADAEDSAILGTEEIGDRSINKLQKMICVKVEKLN >RHN39604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8610957:8611757:1 gene:gene45643 transcript:rna45643 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRFAVRDMRRILIILAIKRVPRDQTTQDHLHNRWNNNTLLLISCSHTTLDQNQDIMVCNQDLKNTVIANK >RHN79922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33530992:33531456:1 gene:gene3780 transcript:rna3780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MIPISKFVLLASILLPIFVTLQFNKSESTLPGFTKVTVTVTNNLTDLQVGVDCKDKNYDFGFRTIKFSESYVFKFRPTFIIGRSQYFCGVNWINGDHHFDFYIQKRDQDCGFDCSWVINESGPCKIKKDSKDCFHWNSNVVLREKQRSLTHNVT >RHN68666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37599394:37608716:-1 gene:gene17047 transcript:rna17047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative telomere length regulation protein MEKKELEARVVSKVAEVISAIKNAKHVDHVISSLHSIATLLFPLDPNLLSGSVGHDYREQILTVKVPSSKERDDWWRVFYQGPAFSTLARFLLLDVASNWLACFPFSAQKYVYDVFFVRGFITEVLQILVPFLKQNRSDDLDINVVISNSERLLVLCLLENNGVLQIAREFGGLSKSKGFTDEQMKPDISRMAQIVASIPDKARMNSPTSLSSHLFFRQIIAQVLSLEEEREVILIEKIETSDEMDKNGALLFIGEMFSRICRRGSADLLSSELVPRVLRLVNSCLSSSNNSINEEVLESKPEAMFWLSMMESIRDPYTMEKISEQILHELASQQANDVQAYWVLWLFFHRTIKLQASVRSMFIDKFLLWKVFPVSCLKWILQFAVRECPPSTSISGHNRPGLLKIVQRLLATWSKKEFVQTAPIEQQAYITAALGLSLETMSKKELDGMKDAMHSILQGVSCRLESPNQLVRKMASNIALVLSKIIDPKNPLYLDDSCNGETIDWAFGFTDPKKRTLTASNSRKKDVEEIQISTVSGSEGGSDSLSSKEKGISVKDKKKLLDFNVLDPDEIVDPASLNLELDINDEDIDDSASENSCSSDDSSLQPYDLSDDDSDLKKTISQLSDVVAALRKSDDADGVERALDVAEKLIRASPDELKHAARDLTRALIQVRCCDIALDGEEESTEDKRQRALIALAVMSPFESLDTLHNLLYSPNVDISQRIMILDVMTEAAQELADSKIMKPKRDTGSFVSVVSDTRPWFLPSSSGTPGASSWKEISGTGTFLNWSNSYERELHSKPNQVKKGKTRRWSLRSPSSEQNLMECSHNKFPMYAAAFMLPAMEGYDKKRHGVDLLGRDFIVLGKLIYMLGVCMKSAAMHPEASVLAPSLLDMLRSREVCHHQEAYVRKAVLFAAACTLVALHPAYVSSALLEGNVELSNGLEWIRTWALDVADSDTDKECYTMAMTCLQLHAEMALQTSRALESASSSRASPTLRSDASKMTIKVPYLNGN >RHN55363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17668897:17669686:1 gene:gene30533 transcript:rna30533 gene_biotype:protein_coding transcript_biotype:protein_coding MLFISCTKTFHLKPCHLRQHFCICTMFLICCSESITSNTNSFLTITTQQFPRTPPRHLFVDFVFAIQ >RHN44418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4463152:4463515:1 gene:gene38537 transcript:rna38537 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFSKSAFLLKWVIDVLVVGFMDSLMCYAIQYLGYGSSMLWVTVVFHTYVSFRLAGGCFSSAGPFSARVLVLVFRYGC >RHN66262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10163532:10165068:1 gene:gene14210 transcript:rna14210 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGDRTALGTYSASWLGDSCKQRWDSIKKKEDFTSEATEKDLELEAQPVETRSII >RHN68586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36792939:36794793:-1 gene:gene16956 transcript:rna16956 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVCLSLLNQDLPEQAAQTSNTFLQLEVLSQPRRKQPQRMLPCRQQNRIYQSSRSKLLPDSPQD >RHN75809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45267187:45267733:1 gene:gene12062 transcript:rna12062 gene_biotype:protein_coding transcript_biotype:protein_coding MHDQSSRAFGGTSLQLGTSEKTDGVQSLYNGILVNLQESADFVEHLINRNQTVAAVKFSFAYDLDDKDHLVDMLRKYVKNAKLICESSCKKSNSIGIKDKARDEEIASLGTVLQCISDSNLESTGLLHADIEYRILELKAHKGY >RHN47583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40792051:40792443:1 gene:gene42201 transcript:rna42201 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSVRGCVKNPTSVRSWPDNLFISGGNPHLTSRFCGVVLGPTTISNMVLEPLHDSLGHLLSGFHYRATRHLCSRFRCAILSVRGCVKSPTSVRSWPDNLFISGGNPHFTSRFCGVVLGPITISKKYIYY >RHN44147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1990300:1990822:-1 gene:gene38223 transcript:rna38223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAETPKFVYVLIIFISIFLVLIVCDSTYLPSTRSCITDKDCPNVQNYIGRCRKGFCISSWVR >RHN69620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44984888:44994528:-1 gene:gene18111 transcript:rna18111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase transcription regulator PHD family MIIKRNLKSQMPSLKRCKLADSVGDDEECSYARKKKKTNGYYYPLNLLGDVAAGLTPVSFHGLLSGVSEKGFSTLWCSQVPCSPSEVESNSKEEMVAVKKKRVQRPPLVRTSRGRVQVLPSRFNDSVLDNWKKDGKTSLRDFEVEDEFECKKDRVVQKICNGNVRKGRNNEKIGYKQRKYSALCRDDDVGVSMRYKSFGRRKNSVLDVDEVDLMMCSDDEVDLNETKGEKKDGLYGPEDFYASDIVWAKAGRKEPFWPAIVIDPLKQAPELVLRSVIIDAACVMFLGNAGNENQRDYAWVKHGMIFPFMDYVDRFQEQPELSNYSPSDFQMAIEEAFLADQGFTEKLMDDINAAAGDTGYDDTILKSSLHEVRGSNQYGGAGKHFLKQDLFDKKDSRSCEACGLALPYKMSKKIKGLTPNGQLLCKTCTRLTKSKHYCGICKKVSNHSDSGSWVRCDGCKVWVHAECDKISSNHFKDLETTDYFCPTCRGKFDFELSDSEYTKPKVKSSRNSEQLVLSNKVNVLCNGVEGIYFPSLHLVVCKCGFCGTEKQALSEWERHTGSKLRDWKTSITVKDSRLPLEQWMLKVAECHAKTQVSVKPKKPSLKERKQKLLTFLKEKYEPVYAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGAKNVRDFTSWVCKACETPDIKRECCLCPVKGGALKPADIDTLWVHVTCAWFRPEVSFASDEKMEPALGILSIPSNSFVKICVICKQIHGSCTQCCKCSTYFHAMCASRAGYRMELHCLKKNGKQTTKMVSYCAYHRAPNPDNVLILQTPLGVISTKSLLQKRKVGSRLISSARIEKEDNPIDITELDPFSAARCQIFKRTNHTRKRAADEAIFHLARGHSHHPLDTIQSLNTYRAVVEEPQAFASFRERLYHLQRTENGRVCFGRSGIHGWGLFARRNIQEGEMVLEYRGEQVRRSVADLREARYRAEGKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMSVGDDESRIVLIAKTNVSAGDELTYDYLFDPDEPDEFKVPCMCKAPNCRKFMN >RHN68947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39791695:39792574:-1 gene:gene17370 transcript:rna17370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MEEESDRITVPNWFDLPIDLTANILQRLGTFEILRSACRVSPQWWNVCKDPVMWRTIRMRCTRNSPSAYVDLAKICCNAVKRSSSHLEDIYIERFCTSDLLKFIAENGSHLLCMGLVNCSKITDEGFTEAMRKLPQLEKIDISHCHLTDVSLKALGRYCPLLKSLKYCSWSHESCDSDKMAFVIAETMPGLRHLDLKGHELTELGVLAIIDSCPLLESLDISDCHYLTEDLKKRCIDQIKDLQLPSRYIHEDNDSDDDFHY >RHN57797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41773748:41776486:1 gene:gene33385 transcript:rna33385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MDTPMAEAAESISDDDGIDRINQLPNSLLHHILSFLPTKTCVQTTPLVSRKWRNLWKNLEALDFCDSSSPQIYEFDNDEQFLIFSVFVNTVLTLRKSRVVRKFCLSCYHVQLDPFYNHSIDTWINATIGPNLEEFHLTLLTAAGFNRVPLSLFSCPNLVSLSFNDYIILQLQDNSKICLPSLKLLQLLDMYNLDLNSVNALLSGCPVLENLEISFAPESLATLRLSSSTLKRLKIDVENEVGAYLEIDAPDLKYLSLTNIMFLNAASVGNLHNVEEAHLDVFSTHRAPSSPSTSESVEPVLRLLQALSGIKHLKLLSSTTKWLFAAPVLDFPEFCHLLYLELWLLSFNSSFLIDMLQKCPVLQTLITFNDKMHPSYDSSPSYGWEVKPKSVPKCLVSHLTFIDLQRYLGNSNELEFTSYVLQNGLVLKTMLISGFSLEQRGEWLKKISNLPRASAMCQVTFH >RHN76472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50652917:50657223:1 gene:gene12804 transcript:rna12804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MLIRLPLREEIKSAVFDLNGDGAPGPDGFGGHFYQTFWDIVGSDVVLSVQDFFLHGSFADNINSNLLVLIPKIPGAQAMGDFRPIALANFQFKIVTKILADRLASIAVRIVSVEQRGFIRGRNIADCIILASEAINVLDKRQYGGNLAVKVDIRKAFNTLDWNFLLAVLQQFGFSSVFSNWILAILHSARLSILVNGKAVGFFSCVRGVRQGDPLSPLLFCFVEEVLSRALSLARNSSRIVPLSYCRGVSLPTHILYADDVLIFCTSLKSNIRCLLAIFKDYADVSGQLINNQKSCFYSGSMTAVREKMLGELLGFGAGSIPFNYLGCPVFKGNPKPIYFLPISDKIKMKLATWKGSLLSIMGRVQLVKSVIHGMLLFSFHVYMWPRSLLHDLDKWLKNFIWSGNVNTRKLCTVSWKVVCRPWEKGGLDLKPTRLVNEALMLHLAWTLVSEDSQWSCLLKSRYFSKGQPIRHYFKSSIWCGVRPHIDTILANSLWVVGTGTKIHLWTDNWLGETLVDILNIDPLVHVNFSGLLSDVILNGGWNLPDELTSIPGVASRLPSVVLPSSELPDFLSWPLAQDGKLTIKLVYSFLTSHTSCAPWASTIWNSCIPPSHSFICWRLAHDRLPTDDNLSSRGCALVSMCSFCLEQVETSDHLFLRCKFVVTLWSWLCSQLRVGLDFSSFKALLSSLPRHCSSQVRDLYVAAVVHMVHSIWWARNNVRFSSAKVSAHAVQVRVHALIGLSGAVSTGKCIAADAAILDVFRIPPHRRSMREMVSVCWKPPSAPWVKVNTDGSVLNNSGACGGLFRDHLGTFLGAFVGNLGRCSVFDTEVSGFILAMEHAALHGWYNIWLESDASSALMVFKNPSLVPILLRNRWHNARTLNVQVISSHIFREGNVCADRLANLGHSVVGEVWLST >RHN80139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35469228:35470007:-1 gene:gene4026 transcript:rna4026 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRSNQKRKHYKRVLTKKPNTIHKKPLSKKLEREAPTSL >RHN58569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2870557:2874256:1 gene:gene20491 transcript:rna20491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxyureidoacrylate/ureidoacrylate amidohydrolase MTENWNHTALLVIDMQKDFIKDESPVNVKGGKDVVPNVIKAVEIARQRGILIVWVVREHDPLGRDVELFRRHLYAEGKVGPTSKGTEGAELVNGLVIKEGDYKVVKTRFSAFFSTHLHSFLQGAGVNSLVVTGVQTPNCIRQTVFDAVALDYQPVTVLVDATAAATPDIHLANVLDMKNIGVATPTLQEWSESKA >RHN66161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9319316:9320904:1 gene:gene14089 transcript:rna14089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MASSFTFSVVTVFLATVILTVHGCSPSDRTALLSFKASLKEPYHGIFNTWSGENCCVNWYGVSCDSTTGRVTDINLRGESEDPIISKSGKSGYMTGKISPEICKIDSLTSFILADWKAISGEIPQCLTSLSNLRILDLIGNQLTGKIPVNIGKLQRLTVLNLAENSISGEIPTSVVELCSLKHLDLSSNSLTGSIPVNFGNLQMLSRALLNRNQLTGSIPVSVTKIYRLADLDLSMNRLTGSLPYELGKMPVLSTLNLDSNSLSGQIPSSLLSNSGLGILNLSRNGFSGTIPDVFCPNSYFMVLDMSFNNLNGRVPGSLSSAKYIGHLDLSHNHLCGSIPLGVGSPFDHLDATSFSNNDCLCGNPLKTC >RHN39318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5968074:5969980:-1 gene:gene45328 transcript:rna45328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGHHSCCNKQKVKRGLWSPEEDEKLIKYSTTYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSHQEVALIVELHNILGNRWAQIAKHLPGRTDNEIKNFWNSTIKKKILSHGIIPSFTTFSDDHNIGSMENSFPLNANPNVILNFNHYNHQDYLYHSTSSPNLQGNFHQIDTKVDINDHYNANFPLIQNPIPQNIVQPISDPFPYEDGVALHLNPVNQENQFLKSDTTPLNKLMNPIELIQQYDQYHDLVELDATVPELANHQSLEDYASSILDSSNSQEHETPQEIQCYSSGIIHSQDQNVEANPLDYNIDALMCMSSSLPSSSSQFVTNPIIPLGWES >RHN58118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43864184:43865887:1 gene:gene33727 transcript:rna33727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MPISILKLMFPLLFIAMILPKSANAEFELWCVADEQTTDSDLQDALNWACGKGGADCSKIQQDQPCYFPNTLKDHASYAFNSYFQKFKNNGGSCYFRGAAMTTEVDPSHDSCHYDFFP >RHN75720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44534048:44535946:-1 gene:gene11959 transcript:rna11959 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLVLMDILSNRAKADANANADSNKICSNDFECNICLEHVKDPVVTLCGHLYCWPCIYKWINSTSWEHNEKPECPICKSEISESTLVPLYGRGKTTSSSEGEAHQDGVVVPPRPLGPRSLDTATVSQPELEQAEAEAEAPPAQESSLHICLQYGPYKLDFRKFD >RHN64946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63199640:63205136:1 gene:gene27827 transcript:rna27827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosine deaminase MTKIQDARKSEMEWCMSIPKVELHAHLNGSIRDSTLLELAKGLGDKGLIDFSLVEHVILKNDRSLSEVFKLFDVIHILTTDHATVTRITKEVVEDFASENVVYVELRTTPKKNESKGMSKRSYIEAVLEGLRAVSSVHLGFIPLSEEIKNHSNPILSASATDDRSNENTRKKVFVRLLLSIDRRETTEAAMETVMLALEMRHFGVVGIDLSGNPAVGEWVTYLPALKFAREQGLYVTLHCGEVPNSREIHDMLDFLPERIGHACFFEEEHWRKLKSSKIPVELCLTSNIRTLSVPSIDAHHFVDLYNAKHHVVLCTDDSGVFSTSLSNEYKIAASSFGLGRKEMFELSKNAVEFIFADNMIHRPSFNHQSLIAIHQQSNSTQNPKTHRSI >RHN46918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35768783:35769568:1 gene:gene41453 transcript:rna41453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MTCFFSKTFIALLVLFLTNCLYIEAQKCNPNGIVKGKSPSGRCKHEDDLCCVPGKKYQTYKCSPPVSSHTKAYLTLNSFEKGGDGNGPSTCDNKYYSDDTPVVALSTGWFNTKRRCLHNITISGNGQSVVAMVVDECDSSKGCDAEHEYQPPCANNIVDASMAVWKALGVPRNQWGGLDITWSDA >RHN69185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41605536:41609917:-1 gene:gene17619 transcript:rna17619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-IX family MAPPKSKLKTLISLSKLFFTFSFLLHTAIADDGAFMSKLAKSLTPPPSGWSGNSFCSWNGVKCDGSDRVTSLNLASKSLTGTLPSDLNSLSQLTTLSLQSNSLTGALPSLANLTMLQTVFLGGNNFTSIPDGCFVGLTSLQKLSLTENINLKPWKLPMDFTQSSNLVELDLGQTNLIGSLPDIFVPLVSLQNLRLSYNNLTGDLPNSFSGSGIVNLWLNNQNDGSGFTGSIDLLASMSHLAQVWFQKNKFTGSIPDLSNCTNLFDLQLRDNQLTGVVPSSLMSLSSLANVSLDNNKLQGPLPSFGKSVKVTLDEGINSFCKTTPGPCDPRVSTLLDIAAGFGYPLPLANSWKGNDPCDDWTFVVCSGGKIITVNLAKQNLNGTISSAFGNLTDLRNLYLNGNNLTGSIPGSLTGLTQLEVLDVSNNNLSGEIPKFSGKVRFNSAGNGLLGKSEGDGGSGTAPPTDPSGGPSGSPPEKGGSSLSPGWIAGIAVIAVFFVAVVLFVFCKCYAKNRRHTKFGRVNNPENGKNDVKIDVMSNVSNSNGYGGVPSELQSQGSERSDNLQVFEGGNVTISIQVLRQVTGNFNEDNILGRGGFGVVYKGELHDGTKIAVKRMESVAVGTKGLNEFQAEIAVLTKVRHRHLVALLGYCINGNERLLVYEYMPQGTLTQHLFDWGENGCAPLTWLQRVAIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKNAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMELITGRRALDDTMPDERSHLVSWFRRVLVNKENIPKAIDQTLNPDEETMESIYKIAELAGHCTAREPYQRPDMGHAVNVLVPLVEQWKPSNHEEEDGYGIDLHMSLPQALQRWQANEGTSTMFNDMSFSQTQSSIPSKPSGFADSFDSMDCR >RHN53682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3306165:3310777:1 gene:gene28615 transcript:rna28615 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATLYLAKPCCSTPKISFPSNSRVVNNSRCRFGTLKPKAGLSHFLNIVETTSSYIQLPLQLELQEPSNALSLPTWAVHVSSVVEWIIAMALVWQYGEKSGYEAWKGLSWGMVPLLGGAFCACTWHFFYNSDSLEVLVALQAALTVIGNFTMCIAAYRIYKSSRESSENI >RHN40788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21166453:21169467:1 gene:gene46998 transcript:rna46998 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSASGSSSNEQQGEAPAYEIKGRTMSLEEWDLNIQSESPVDFDSLAANGCDIGMFYEKQGLGRYFNLLNGPTYQTLVRHFWVRASIYDREAAKIEEDEKVLLNPELKGKSRAEMGLETFSKTQIRSSIMGIRVWITEDTIAFVIRRPAEGEHEAGISKPKDSPWNAIVNRTLYNKVKDFAYADMNSKTKVMLKIQNENLLPKGGGNDQPSLEHKILLHFVLKGVEANIPRYIFRHMVHQLRESQLNNRTWVPYGRLLSEIFHQGGIIEMLKEAQIFTDEQLGTVRGKIINGDTLKAMHLIKAKDVKKSPTDLKPSDAKSDLIPDFPPICKQDPLEVQRAYIMDHYKTYNQKISLKDVPDQMYGGELPVAKSRKSKKKQITKEEYLAENATEVGAQKHKKAKKVKSAMSTIQEEEDSDDIPLIRKRTRSDQETAEQPASEQAGSEQAASDQAASEKPPSPKNKREAALQTIKRKRSNLTRNLKTAEGRRAQMLEELEENWDEDSSPKKAKRTATSEPIVMPSFEMTEEMEQYTREVAASRIAEKKRMKILYEQEMDERLKAAGYVPTPDIAALASELETVKYGATLLSQALKNKQASGATSSEPSSEAPEAVHPEAQSSGNPSNAPTNTQIPSLPSSPSSSSTESDDQPLSQHIDKLLKTKPTKLTDLGTLDWEQTQIEFSKNRIKLCEKFNLPPTHPLYPDNPEPVSVQQPQPNPEPTTNTPHNSTTQKASEVASDATTSETPQHQETSTLHNLEKHLGGEMQPTPTKASKTVPEKTVLETQTETQTIPEQTVQEQTASEQVTPDQTTSDQHIPSDQTTEQQQQPDSPTIIDLTSDQPTTSNTTQTEPSPIPDHILESEYIEEQLISLSDEIQALILRRTVPVPPIHYYDQWMDLQKSFNDLLSQLRTKCVSSHYVMLRKLLDDMHEAAKEKELNFVPLLDITPFYPEAEYITRAARIYAGHKRKLREKEELLQKKDELLQKKDEQIRLLLEQLKKQAQP >RHN80560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39070549:39073906:-1 gene:gene4500 transcript:rna4500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MTEFEELSEGCISAIISRTTPADAGRLSVVSKTFLSAADSDAVWNQFLPSDSHFIDSIISHSPSLANVPSKKALYLALSDLPIIIDNDQKSFQLDRKSGKKCYMLAARSLSIAWGDDRRYWNWISMPNSRFPEVAELLEVWWLDIRGMINTLALSPNTQYAAYLVFKMIDAEGFQNCPIELSVGVEGGHSNTKIVCLDPNVEVEGMQHNRAVGLQRPSVRSDGWLEIEIGEFFNSGLEDEVQMNVKETNNWKRGLFLEGIEVRPK >RHN81448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45992775:46013030:-1 gene:gene5492 transcript:rna5492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative VHS domain, armadillo-like helical, CLASP domain-containing protein MSSEEEKLLKEAKKLPWEDRLSHKNWKVRNEANIDLASLCDSITDPKDPRIREFGHFFKKTVSDSNAPVQEKALDALIAYLRAADADASRFGKEVCDAVVAKCLTGRPKTVEKAQAVFLLWVELEAVDAFLDAMEKAIKNKVAKAVVPAIDVMFQALSEFGSKIVPPKRILKMLPELFDHQDQNVRASSKGLTLELCRWIGKDNVKSILFEKMRDTMKKELEAEVVNVTGIAKPSRKIRSEQDKEPEQETISEVVGAGPAEESGSDAPQEIDEYELVDPVDILTPLEKSGFWDGVKATKWLERKEAVGELTKLASTKRISPGDFSEVCRTLKKLITDVNIAVAVEAVQAIGNLARGLRTNFSASSRFLLPVLLEKLKEKKPTMTEALSQTLQAIHKAGCISLVDVVEDVKIATKNKVPLVRSSTMTWVTFCIETSNKGIITKVHKDYVPICMECLNDGTPEVRDAAFSALAAIAKSVGMRPLERSLEKLDDVRRKKLSEMISGSEDAVPGGSSTVSVQNARASASSTETGAPVRSAASMLSGKRPVQSAPVTKKGGAVKSGTSKKVDGVSQKASKSIETPEDVEPTEMGLEEIESRIGSLLQSDTITLLKSAVWKERLEAISSLKQQVEGLQNLDQSVEILIRLLCTLPGWGEKNVQVQQQVIEVITHIASTATKFPKKCVVLCLSGLSERVADIKTRAHAMKCLTTFCEAVGPGFIFERAYKIMKEHKNPKVLSEGILWMVSAVDDFGVSHLKLKDLIDFLKETGLQSSAAATRNASIKLLGVLHRFVGPDIKGFLTDVKPALLSALDTEYEKNPFEGASAVTKKTVRASDLSSSAVAGGLDSLPREDISGKITPTLLKSLESPDWKVRMESVDAVNKILEEANKRIQATGTGELFGALRGRLFDSNKNIVMATLTTIGNVASAMGQAVEKSSKGILSDILKCLGDNKKHMRECVLNTLDSWLAAVHLDKMVTYVAISLVDSKLGAEGRKDLFDWLSRQLSGLSNFAEAAQLLKPASSAMADKSSDVRKAAETCINEILRVSGHDMIEKIVKDIHGPAQALVLEKLKPYGAFQESFEPATRSASVGVTSKGVTKVGKSTANGVSKPGNRSVSSRAGAIKGTKSEQISAQDIAVQTQALLNTKDSNKDDRERLVVRRFKFEDPRIEQIQDLENDMMRYFREDLHRRLLSADFKKQVDGLEILQKALPSIAKEVIEVLDILLRWFVLQFCKSNTTCLLKVLEFLPELLDTLKDEGYSLTESEVAIFLPCLVEKLGHNIEKVREKMRELTKQFVVVYSASKCFPYILEGLRSKNNRTRIECADLVGFILDHHGAEISGQLKSLQIVASLTAERDGDIRKAALNALATGYKILGEDIWRFVGKLTDAQKSMLDDRFKWKVREMEKKKEGKPGEARAILRRSVRENGSDVAEQSGEMARSLPGPLLRNYAQPDSNIERQLMPRPVAVASSPTDWNEALDIISFGSPEQSVEGMKVVCHELAQATSDPEGNAMDELVKDADRLVSCLADKVAKTFDFSLSGASSRSCKYVLNTLMQTFQNKRLAHAVKESTLDSLITELLLWLLDDNVPRMDDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPSRWPSPAPNESLATRNQKFSDLVVKCLIKLTKVLQSTIYDVDLDRILQSIHLYLQDLGMEEIRRRAGADDKPLRMVKTVLHELVKLRGAAIKGHLSMVPIDTKPQPIILAYIELNLETLAAARMLTASGPGGPNHWNDSATNNSAAGTHSADAQLKQELAAIFKKIGEKQTCTIGLYELYRITQLYPEVDIFDQLQNASEAFRTYIRDGLAQMAKNAAAGRTPSSMPMPTPPPASLNISSPDFAPLSPVNANSLNDARLNVKSEPTNFNLPPSSYSEENRAVNAFASRVLSSDYTLGDQRNDKFMTGVTSGTLDAIRERMKSMQLAAAAGSTESGTRPLTSVNDNFNHGLPHSQIPLASEHVGAENTLQGGVHPVDEKALSGLQARMERLKSGSLDPL >RHN42808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41157291:41161425:1 gene:gene49299 transcript:rna49299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NSF attachment protein MASSDPQNLISKADNLTTLSFTRWNADWRNATVLYEQAASGFKIAKDYERAKSAYEKASQGQEMLSSPWEAAKNIESAAAMSKELRNWSEVRDLYRRASELYIECGRSQPASDALAKGARALEDSLPEEAIKLYTDACTILEEDGGDQMAFDLYRASASVYIKHDKYTDAASFLLRLGVAADKCNAPNSQTKAYLSAIIVYLYGQDFKQAEKCYNDCSQIDGFLRSDHGRCASKLLGAFKDGDIEEIKRITQSSIISNLDHVIIRLARKLPTGDVNALKADTTKEEEEPLDENDLT >RHN67825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30527213:30533429:-1 gene:gene16064 transcript:rna16064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MMLKMLVDSISSFLHSSFSGNMNSETVSKYYQKTEVILKLLKPIIDTFANSEPTSDEVLSMTFEELGHAVDEVKEYVENWHLLSSKVYFVMQVDPLISRIWTSSLKVLQQLKASKQFPNDEVNSEHLEHCVQKLKLLGLEETSSVIKEAIMEQLDDAGPSSEVLEKIADSLGLKSNEEVLIEAVALEKLKENAEQTEKTAEAEYIDQMIAVVTRMHERLVLLKQSQSNSPVPVPPDFCCPLSLELMTDPVIVASGQTYERAFIKNWIDLGLTVCPKTHQTLAHTNLIPNYTVKALIANWCESNNVKLVDPIKSTTLNQASILHGYMESGTTRESPVFPHSRSNLPSSPESARSRSFNSPGNNIPSSGGIQREGTSPLHPRSISEGSLSGIVNGQYMDAARTSPTGIDDRSASSDESSIDSMGQPSISPSRRESSGAFSSELSQNHVRAVSDSGAFSDANFQETQGDDSNASQLSTSPGYSRDTSGELNPVSDASGSAPMPSMQREPEFPPRLMEARSRSQAIWRRPSERLVPRIISSSAIEPRVDLSGIEAQVRSLVEGLRSIDLDIQREATSEIRLLAKHNMDNRIAIANCGAINILVDLLQSADSRVQENAVTALLNLSINDNNKTAIANAGAIEPLIHVLEHGSPEAKENSAATLFSLSVIEENKVNIGRSGAIRPLVDLLGNGTPRGKKDAATALFNLSIFHENKNRIVQAGAVKHLVELMDPAAGMVDKAVAVLANLATIPEGRIAIGQEGGIPVLVEVVELGSARGKENAAAALLHLCLHSNRFLSMVLQHGAVPPLVALSQSGTPRAKEKAQALLNQFRSQRHGNSGRG >RHN64958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63295569:63296049:1 gene:gene27840 transcript:rna27840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSSTNKITLKSSDGKTFEVYEDVALESQTIKHMIKKNSGSDNEIVILNVKGKILTKVKKRVDDLKAWDAEFMKQVDQDTLNDLLLAAIYLNIKELLDLTCPAIVEARRRDTLFI >RHN51291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15870218:15870527:1 gene:gene35707 transcript:rna35707 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTCDMGICPGKYICMDQSSNKGIYKDYVKEGIQCSFKTMNIDVLGIFHKGISS >RHN69764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46109526:46109867:1 gene:gene18277 transcript:rna18277 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEVPKRTCFVFGNMYRCKLTGLTHICDKNCNQRILYDNHSSLCRASGQIFPLTPTEEQAVRGVLGSLMQRTHLLMAVVLSADGIPNAILHLSRNLFLLSVLSAAKLEMAWI >RHN45127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12002192:12003841:1 gene:gene39337 transcript:rna39337 gene_biotype:protein_coding transcript_biotype:protein_coding MFVALELALAKLSLILTCISSFLKDIQNHNCFGVSGALIKESNNRKIVLKI >RHN49594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55843454:55843924:1 gene:gene44441 transcript:rna44441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MESLCATLQLLVHTWETQNAVDFDITYYRSKDPLTPRLFEDIIEEIEQIGLFKYGGLPHWGKNRNLGFVGAIRKYKKAGKFLKVKEEYDSRGLFSSEWTNQVLGLKEGVTILKDGCALEGLCICSQDTHCAPKNSYFCRPGRIYKDARVCRRGVNT >RHN68616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37128717:37129573:1 gene:gene16988 transcript:rna16988 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSNNWCQYLGIQSGQIQLCNTRPDRTTIETSKRSLRQPLSDHMSLDLELNLTCESQRKKENSDQKKNSGNSPKSLSEHDDLCIESSKFKKDSGGLNGSQSWLSTTEGDYKEMVATVCMQCHMLVMICKSSPACPNCKFMHSPDQNPSKFLKSRCSFFCSS >RHN79171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24999270:25000256:-1 gene:gene2901 transcript:rna2901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MGYCNVWLESDSQLVILAFKSNSVVPWGLRNRWENCIHITHRMRFCASHIYREGNICADSLANFGLSLSSLDLFWFDSIPDFVRREYNRNRLGMPNFRFVTF >RHN49545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55549362:55561676:1 gene:gene44387 transcript:rna44387 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGRSCSGAVVGRSAAAPEFFFCFFRIFLHQNTPFPFLYPNPNSKFRKHTPKILDLQMKVWKFYLFFRSPSFLAFPVRFSCFPLLRFGCSSLFRFGAGFFVPVRRKLFLFRFGSGFLLRKWDLSLFCASGGMPSSHSALCTALTTSVAICHGVADSLFPVSLGFSLIVMYDAIGVRRHAGMQAQVLNMILADMFQGHPISERKLKELLGHTPSQVFAGALLGFLVACFCCQGCVVVG >RHN64251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57664383:57668713:-1 gene:gene27046 transcript:rna27046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin MSNIINFEQGWSIMQKGIKKLQNILEGFPEPHFTSEEHTLLYTTVYNMCTQKPPHDYSQPLYEKYKETFQDYIVSTVLPSLRGKKDELLLRELLGRWSIHKTMTKCLSKFFHYLDRYFIGRQRLPSLEEIGLLSFYDLVYVEMHREVMDAILAMIDRKWAGEPIDETLVHNALTFYSEIGESTGKNDPKHFAETTIKENATFYTMSRLQIG >RHN61986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39821197:39822288:1 gene:gene24506 transcript:rna24506 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKIETPENFWHSCPQRAWMEFLIQVMSLSRFSIVVFDIVTICLVSIVILFGLICIAASFYYLHRIHNQGFVHLNFFSGPWIIRIAFILFVIWWSLGEIIRLTLLRHALHLNLWSEIVCKCYIVWNMGFVEPCLFLTIVFLLRAPLQRLEIGIMSIKWNMITFGYIILYCLPPLIVQVFVVFVGPQLEENNGLGKKLPHYFTSTISSSSRERENDDITICTYPLLSTALLGLFAMILTSYLSWLGSRILKLVINKGLRKRVYTLLFPVLCFLPLRVLFLGLSVLSRPEHFMFEAFVFLAFLALVHCFGLCMWTLVYRPIVDCLALVNLQDSEARTRRSNDDSVEEDSFAESVRSTPYRDFN >RHN74606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35105907:35106230:-1 gene:gene10700 transcript:rna10700 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPSSSSYFHQHQHHFFLIPQTLRLVEVLQPPLDIRVYLVAAFSPIGAIAGQLICATFLVFVEVLDLRVIFFPLSCLTSCSQVSMVPKFLSPLLVSFFSKVGSKTF >RHN71089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56428758:56430515:-1 gene:gene19732 transcript:rna19732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MLFDCGMEELVDDTTTVLGKKDKVFLNGDWVGVCSDSAPFVAELRNRRRKNELHHQVEIKRDQNQREVRILSDAGRILRPLLVVNNLLKIKGSKSERKSFQSLLDNGVIELIGPEEEEDFKTAWGVQYLFGKEEKSSVKYTHCELDMSILLGLSCSLVPFANHDHARRVLYQSQKHSSQAIGFSTTNPNVRVDALSHQLFYPQRPLFQTTTSDCLGKPGLLGQSKVVPKSEFYNGQNAIVAVNVHLGYNQEDSLVMNHTSLQRGMFRSEHIRSYKAEIENKESSEKRKKPEDIVNFG >RHN68891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39397624:39400248:-1 gene:gene17307 transcript:rna17307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MKKISLYACLLLNLSLLVIFPYSKASQADKLDELFLSRKSQNPPKTLSWEEGEALKTLSSSAAYVAAPQEELRLADKIVTLPGQPDGVDFDQYSGYVTVEPEAGRALFYYFVESPYNSSTKPLVLWLNGGPGCSSLGYGAFEELGPFRVNSDGKTLYRNQYAWSEVANILFLESPAGVGFSYSNTTSDYKKAGDKSTAKDAYVFLINWLERFPQYKTRDFYITGESYAGHYVPQLASTILHHHKLYNKTIINLKGISIGNAWIDDATGDKGLFDYFWTHALNSDQTHELIEKYCDFTKQNYSTICINVTDWAFIEKGKIDFYNIYAPLCHDSSLKNGSTGYVTNDFDPCSDNYGIAYLNRPEVQKALHAKPTNWSHCGDLITHWNDSPITILPTIKYLIESNIKLWIYRQVQFHYCINGDTDARVPVTTSRYAINTLKLPINASWRPWYSGKEIGGYVVGYKGLTFVTVRGAGHLVPSWQPERALTMISSFLYGSLLPTSPSK >RHN41417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29826353:29829953:1 gene:gene47735 transcript:rna47735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-alpha-glucanotransferase MVIGLGWLKPYVAFCFLKDLFETSERSQWGRFAQYSEDKLEKLLSKESRHYEIICFHYYVQYHLHLHLQLFEASEYARNKGVILKGDLPIGVYPNLFRMNTSIEAPPDYFDKNGHN >RHN66456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12512325:12513114:-1 gene:gene14447 transcript:rna14447 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYFIRFIRTLKDKVTNSSSVEGSICEAYLVEEASTFASYYYPPDVTCRRTRVPRNDDGGESCSIRQPLSIFNYLGRPSGKCTTYFLQDKEMKAAHLYVLLNCPEVEPFLSMYTDMLQDLGVADSEVDKVISTSFPNWFSEYVWSQRTMLKITF >RHN48262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46146067:46147854:1 gene:gene42961 transcript:rna42961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSFLSANFLNSKVPSELGLCTNLTFLSLAVNNLTGSLPLSLANLTKLSELGLSDNSFSGQISASLVSNWTKLTSLQLQNNSLTGKLPPQIGLLKKIIILLLYNNMLSGPIPDEIGNLKVMTGLDLSGNHFSGPIPSTIWNLTNITVINLFFNNLSGNIPMDIGNLTSLQIFDVDNNNLEGELPDTIAHLTALTSFSVFTNNFSGSISRDFGKNSPSLTHVYFSNNSFSGELPSELCSGHNLVVLAVNNNSFSGSLPNSLRNCSSLTRVRLDDNKFSGNITESFGIHTNLIFISLSRNHRVGHLSPMWGKCISLTAMEMSGNKLSGKIPSELSKLSKLQFLSLHSNEFSGNIPPEIENLSLLFMLNLSRNHLSGEIPKIIGRLAQLNIVDLSDNNFSGSIPKELSNCNRLLSLNLSHNNLSGVIPYELGNLFSLQYLLDLSSNNLSGEIPQNLQKLATLEILNVSHNNLSGTIPQSFSSMISLQSVDFSYNHLSGLIPTGGVFQTETAEAFVGNPGLCGDVKGLRCATVSSQKGSGGANRKVLLGVTISVGGVLFIGMICAGILIFRRQAKKHGEESKNIEDNDQSICMIMINLNN >RHN76909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2281737:2287326:-1 gene:gene298 transcript:rna298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, EAG/ELK/ERG, ankyrin repeat-containing MKMFGIKSFGRKNDKQKQTKDDSSSQYSLTGFIPSLGAATMYGSKRQELRRYIISPSNRYYRQWCKFLMIWVVYTAWVCPFEFGFLEKSRGALAYADSIVNGFFFIDIVLTFFVAYVDKTTFLLVDDRWKIASRYLKSWFILDVFSIIPFEGSMFLLPDSLEMYGYFNIFRLWRLRRASAMFSRLEKDRNYNYFLVRCLKLLCVSLFEVHCAACFFYFLATVRNDKKASWLSLVYDADHLTLWDGYVASIYWSICTLSTVGYGDLHPVNTDEMIFDIFYMLFNFGLHAYLIGNMTNLVVHWTNRTESYVSIQYSLSFFLKHYALEYSTSMSWFYKRDTVQAASNFSRRNRLPKRLQEQIFAHFHMKYKTNLEGLEQQEIMDSLPKAIKSSIAHYRFFERIQDVYLFHGVSNDLRFQLVTELKAEFFPPKEDVVLQNESPTDFYIMVVGAADLILNENGKEKASFICIPLYDIMKNLISIRLTKYVCKLYQSFKEATSGDVFGEIGVLCYRPQPYTVRTKRLSQILRLSRSTFLTLVHNNVEDGTIIMNNFLQVYKKIFEIFNFSLSRSDSSRKHMLTFSTLLQHVQNLKYPMIASVQTEIESMLSKGKMDLPISLIYAANKPDDMLLHQLLKKGSDPNEIDNKTGRTALHIAASKGNNHCAVLLLEFGADPNIQDFEGDIPLWEAIKGGHESMIKLLMDNGADISSANIASLACFAVEKNNIQFLKDIVKYGGDIVTKSTNDGTTALHTAVCHGNVEIVKFLVEQGADIDKPDGFGWSSRAYANQECHEEIQNMFKEIEQDNTIPYDISSTRKNNGRLFIETDQSEPSMLASPRGGMFPPNQEPSMLASPRGGMFPPNQELTWLDSPQRRKVSLPYDIPSRPKTNGGPFIGIPQSEPSIQASPQRRKVSPFRNSFFGMIAAANRDKVGSPSSQSSTAPIRVMLSCQEKSEHPKRLVFLPKSLQELLDVGAKKFDFSPTKILTEDGAEVEEINLIREGDHLILA >RHN45644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24073032:24074152:-1 gene:gene40025 transcript:rna40025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKKKEIVCTMASCSSQTMKKKAKREISEGPNWLDLPRDITQNILQRLNAVEIVTSASLVCALWWNICKEPLMWRTIHMGYNDRCPCNNMDLLDISFSNISKDSLEFIGRFCPLLKSLKFSRSFFRSIKWNDALAIAKTMPKLRYLSMIGNTLTNDELLVILDRCPLLEYLDLRICFRLDLSGSLKKRCRDQIKYLILPIDVVGDCDEQYYDYYISA >RHN43594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47093219:47105244:1 gene:gene50200 transcript:rna50200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tyrosine-protein kinase ephrin type A/B receptor MGFASFLMAFPLHNHKTLSFTLYFTFLLSLLLSFSFASSSHHDFSIMDSEFNSFYSDYTPPSPPPPPPEPHPPSLSCEQGLSGIGSLSTSCDLNSSIIFDGDVYIEGNGSLNILPGVNLTCPISGCVIKINMSEDFTLQNDSVIIAGTVYVAAKNANLFDGSVVNVTGLAGSPPAQTSGEPSGTQGAGGGYGGRGATCVSDNTKLPDDVWGGDAYSWSSLHEPWSYGSKGGTTVKNESYGGGGGGRIWFEVVDTVEVSGDLLANGGDGGIKGGGGSGGSIFVKAHRMTGTGTISATGGGGFAGGGGGRISIHVFSRHDNTDFFIHGGVSLGCAGNAGAAGTYYDAVPRSLTICNHNLSTETDTLILEFPKVPLWTNIYVQNQAKALFPLYWSRVQVGGLISLSSGAVLSFGLAHYGSSEFELMAEELLMRDSVIKIFGALRMSVKIHLMQNSKILIDAKEDLLVATSLLEASNLVVLKDSSIIHSNANLGVHGQGYLNLSGPGDLIEAQHLVLSLFYSISVGPGSVLRGPLKANGDDNITRTPQLYCKQENCPAELLHPPEDCNVNSSLAFTLQICRVEDVSVEGTITGSVLHFHWIRSVKVEYSGVISASGLGCTGGLGKGRYFENDVDLPCELGSGSGNDSIAGATAGGGIIVMGSLEHSLTSLTLNGSLRSDGESFGDDIRRQDGRTSSIGPGGGSGGTVLLFVQTLALGDSSIISTVGGQGSPSGGGGGGGGRVHFHWSHIPVGDEYITLASVEGSIITGGGFGGGQGLPGKNGSISGKACPKGLYGIFCEECPVGTYKNVSGSDKALCQKCPLHELPRRAIYVAVRGGVAETPCPYKCTSDRYHMPNCYTAFEELVYTFGGPWFFGLILLGLLIVLALVLSVARMKYVAVDDLPALAPARNDTRLNHSFPFLESLNEIIETNRSEESPSHVHRLYFQGPNTFSEPWHLPHCPPEQVKDIVYEDAFNRFVDEINSLATYQWWEGSIYTILCVTAYPLAWSWLQRCRRKKLQKLREFVRSEYDHACLRSCRSRALYEGLKVAATSDLMLAYMDFFLGGDEKRSDLPPRLHQRFPMSIIFGGDGSYTSPFSLHSDNILTSIMSQSVPPTIWYRLVAGLNAQLRLVRRGHLKITFGPVISWLDVYANPKLATYGVRVDLAWCQPTASGYCQFGLVVHATENENMSSSGESYDDSRVTEKQSGFLRSPRNPVHHLTNNEQLLMPRRMSGGLLNGKILRTLKEKKTIYYPLALIMYNTKPIGHQDLVGLVISILLLGDFILVLLTLLQMYSLSLVNFFLVLFILPLGVLFPFPSGISALFSQGPRRSAGLARLYALWNMTSLVNVVVAFICGFIHYTVHSHDKHPNVQSWSFSMDESEWWMLPSGLFLCKIIQARLIDFHVANQEIQDPSLYSSDTNVFWNS >RHN65672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4260260:4260757:-1 gene:gene13540 transcript:rna13540 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIPFLSSEQDYPFSPSFILNTHCFSHNPHVIQNNHFTFQLVVFPSKEK >RHN70881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54710007:54711767:1 gene:gene19512 transcript:rna19512 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYLCSGEVQQGIPVVQKAYGDSIHKVLHVVLVQTDTCYVVSKLQKEDENHII >RHN58568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2860986:2865348:-1 gene:gene20490 transcript:rna20490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysophospholipase MNHACYQLGSGSGTARRTNLEFGKTHVVRPKGKHQATIVWLHGLGDNGLSSYQLLESLPLPNIKWICPTAPTRPVAILGRFSCTAWFDMGELSDDGPDDWEGLDASAAHIANLLSTEPADVKVGIGGFSMGAATALYSATCFAMGRYGNGLPYTLNLRAVIGLSGWLPGSRSLKSKIELSHEARRRAASLPVFLSHGISDDVVLYKYGEKSAQSLCSAGFQYITFKSYEGLGHYTVPREMAEVSNWLGSCLGLGGPSNNMASSHVVEDMRNKNKEGREGHQHK >RHN71692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1946191:1950428:-1 gene:gene7318 transcript:rna7318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbonic anhydrase MAIPSSISDPFASKFLPLSSSSYNPSRISVPAQITAKIEQTHLTALRRSQCSSLKASMGSPGFTQQLNNSKLDTLAEVKDGRDDIFNDLKDRFLSFKKNVYMENPEQFESLAKVQTPKFMVIACADSRVCPSNILGFQPGDAFTIRNVANLVPTFESGPSETNAALEFAVNTLLVENILVVGHSCCGGIRALMGMQDDGSTSFIKSWVIHGKNAKVKTKVSASNLDFDHQCTHCEKESINHSLVNLLSYPWIKEKVENEELSIHGGYYDFVNCSFEKWTLDYRGTKLEENGRIVTKNKVFWS >RHN43833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48832035:48838898:-1 gene:gene50463 transcript:rna50463 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSNSSNESIQLCIFDLRRGQHEGQELDKILFFFPSGLPFSKQLAVIGLSEGLITFTRIFSPQAACDSIEAERHSHVFHEPEPDIWMVLVVEKSNDSEPIWRDDALRKVLKEIHSLFVMFHGPVRAMLEKEPGGGLVRRHLYSFIMDYLRDFLVGKKFLLPSFRDCLKERGTVQMLTITREAAIEVQSLVRVLESSAANTPCYSLILFQDLLVSTTLSPDDTINLFTYAVLRLTPRALSSGTSSWSYLRKGNIASNVVTETNVAPPSSMPESFYGSSDISPGEDNNYHVVRPLQSDKWSKGKDGYLVTDLWGAEVGTWVFATPTVLLQQTGERMYLCAYQHRSLTLMLLIPISSIPNGEQGVSAVKQQVLENASLKILKIEEKLSKGWGGENAYHVGGYRYLLVDGDRNVSRASPATKVTTLTKESLLAMNKLRQEVELEKNRAKLDEHSCEKDLEVCIRAKNNAWVISRVTRGKELYMVLEKANETLLYASDAVEKFSNRYCDGTFSLD >RHN58577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2971024:2971935:-1 gene:gene20500 transcript:rna20500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTKTLKFIYIMILFLSLFLVVESVFTGIPCKIDEDCPQLPRPGSSKSINYYCFAHQCFCYIQIG >RHN40023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12345854:12346727:1 gene:gene46113 transcript:rna46113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MGVASRTLEITVISGENIHVTEDAYVVVRGESLNCYTTKTLKDNNDNCGKNSSFLSWNEKFLLNMPLHARSITFEVQCKKFKSVRPIGVARIGVSDFLNGTVPENCSQILSYKLRDWEGRQNGVIHFSVRVVVPEERSVTVAEKQTVMHGKNCGGRLTGMDIVGVKNSNGVAIGFPF >RHN52396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34788919:34792421:-1 gene:gene37067 transcript:rna37067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MVGLHGAGGMGKSTLAKAIFNFIADQFEGSYFLEDVKENSTLNNLKHLQEKILMKTVRLDDKLGGVSEGITKIKKMLCQKKILLILDDVDKLEQLEALAGGLDWFGGGSRVIITTRNRQLLTYHGIERLHHVSGLYDEEAHKLLRLMAFKNGEVPSSYEGIFNRALTYVSGLPLAIVTIGSNLFGRSVEDWERILDEYEKIPNKEIQRILKVSYDALEEKEKSVFLDIACCFKGCKWTKVKDILHAHYGHCIKHHVEVLAEKSLINHRENDSYMRLHDLIEDMGREVVRQESPDNPGERSRLWFHDDIVQVLKENIGTWKIEMIYLKCPSVENVIKWSGKAFTKMKNLKTFIIENGHFSKGPNYLPSSLRFWKWKGYPSASLLCVLNKTFEKMKILKIDNCEYLTNIPDVSCLPNLEKISFKNCKSLVTIHDSIGFLSQLQILNAADCNKLLSFPPLKLKSLRKLKLSGCTSLKKFPEILGKMENIKKIILRKTGIEELPFSFNNLTRLTDLTIEGCGILKLPTSILMMSNLFEVTVSGYTQLLSKPDDKLSSTLSANVNVLCLNASNDEFLTIALMWFSNVETLHLSGSNIKILPESLKNCLSIKCIDLYGCGTLEEIRGIPPNLEALSALRCKSLTSSSKRMLMSQELHESGSIECCFPSILNERIPEWFEHQTNKSISFSFRNNLPSLVFLFSSKLVSDMYPSIRVYLIINDNVYNSRIGLVPGHTYLFPFKVQDWYLEEYQKLKSMLDEALLKNEWIHAEVRFCDWGKEYVVESGIHVIKHLTNMDDFQFTDSLLRKNKISDEYLKSSRSVMKLLNDEDISATPPRYRVLKTMISQPPYVVTSSEKDLNFCAIM >RHN51697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23042584:23048217:-1 gene:gene36197 transcript:rna36197 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRFMEKEHSLMVQEGIYREVSEMLKFPPPETESEGAAAKPPVKRNDMVALASGGYAEVLSFQEDRQHEGVEMKKLSDSLWKNKRMSLDDFLGNTEASIGTVVDARICRLL >RHN65047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63837402:63843035:-1 gene:gene27940 transcript:rna27940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rho protein GDP-dissociation inhibitor MTFFLKPLHFEVAFDHEGSGNEKGKGQLSRHMSDSSVAATEDDDDDVDKKIDVGPQFTLKEQLEKDKDDESLRKWKEQLLGSIDISSVGETLEPEVKFLSLAIKSGDRDDIILPVPETGNPEGLWFTLKEGSRYRLVFTFQVNHNIVSGLKYTNTVWKTGIKVDSTKEMIGTFSPQAEPYTHEMPEETTPSGLFARGTYSARTKFVDDDKKSYLDISYTFDIRKDWL >RHN55784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23764478:23768510:1 gene:gene31038 transcript:rna31038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA glycosylase MESKHYLYVDNPFKGNHLRKIEVEQECKVRVLSRFFHKVEECKVEHIPQRVVSRSTKSIEDLDQYRYQSEKVSSLRECVNKREHEGTDSYPLPPKIPKDSRKRPKVEKPRKSKRKTKPFLKADRCREAYKRKTLDNNWVPPRSTPPLVEKPLLQEDHFHDPWRVIVICMLLNRTKGQQAEKVLANFFKLCPNAETCMQVPKVEIQEVIKTLGLQVKRSESLQRLSREYLAGTWTYVTELHSVGKYAADAYAIFCTGKWDEVVPDDHKLNKYWNFLHSIKDTL >RHN63217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49437565:49442282:1 gene:gene25893 transcript:rna25893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminocyclopropanecarboxylate oxidase MAMTAKLSLPIIDLSSPDRLSTANSIRQACVEYGFFYLVNHGVNEDFMKQVFEHSAKFFSLPLQHKMNLILVHFPKVNWDLVKRNMVPLLIQIMA >RHN42278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37020359:37022087:1 gene:gene48700 transcript:rna48700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MILGSQPQLVVLSTCIFFPSSPQIHHINKKSLNNDIVSSMDWVRGEAVGRGSFATVNLVIPKGNSYSTPTAVKTSEVSTSSSLKNEKHVLHQLGSCQRIIPCFGDDYTFENGKEYYNLFLEYASAGALSDQVKLNGGRIPEQHIRRYTRSIVEGLDHIHRNGFVHCDIKLQNILVFNDGEIKIADFGLAKKTGGKQSLKCRGTPLFMSPESVNHGEHESPADIWALGCAVVEMVTGKPAWNLEKDSNMWSLLLRIGTGEESPVIPEELSKEGKDFVEKCFVKDPRKRWTAEMLLNHPFVEEVKNVNESSPRNHFDFNDWVSSATDSVPNSPESEESSPWDFDSKFCSAADRLWQLVTDERLVSSSELDSWISVR >RHN51254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15425492:15425978:1 gene:gene35664 transcript:rna35664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyadenylate-binding protein/Hyperplastic disc protein MDPSASAATSTGNHHHGPLSNNSLASALASASQENQHRMLEEHLHPLVGRLAPTIQTAKVTRMLLEMDQSEVIHLIESPEELKMKVAEAMRFLRDASQGPAVGDNAHNHLVNEIILDVVLDTCQDFPPFLLGFLY >RHN63307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50267572:50273284:1 gene:gene25993 transcript:rna25993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyl-tRNA hydrolase MASTTTRMLKGILLLHLSSTPPSSLLRITRDLSRPFFLSSLQLQRSHPATAIRCASSASDSGNNKVSSRLSQVHELLQEAEHRSLSADYNAPIPKITLDHVTVSFARSGGPGGQNVNKVNTKVDMRFNVGKAHWLSERVREKIMQMEKNRMNKDGELVISSTKTRTQKGNIEDALAKLQEIIDAASYVPPPPSEEQKKKIAKLAAIGEHKRLKSKKVQSDKKAQRRSKSSWD >RHN55022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14483984:14484748:1 gene:gene30139 transcript:rna30139 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRAKKEINKFKRHKNDAPISIVKAFPNDLLVDIVGKVASGSMVDLYKIKLSCKEFLSASEDRFVYQHASLDKFALIPLPWFTEEKETSFLRRCRESGNLEILYREGMEQYFSTSMLNNLGFENLKKAALEGHHDAKYVYSMLLMANCEDEEGRKLGYDLFGELKNSTCISITRKRVKSFIQSMWVKNHVVVQNQAVSLCCSSTCQSRGTMKVVKNHSTWSVDEFDNEDVGVSCKYCDGNYELSLFCKMFHV >RHN71459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:334595:339314:1 gene:gene7063 transcript:rna7063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MKRKRGHKKGRPKGNTNPVSVTLTNEQEEEEEEEEETSAFDVGSKEDNNSGMEVDTPSSTGTDQHSNLANINPDGSIRKPVGRVKVKLKTSKMLDSQPNSSDAPSQSDTDKSSQQQHGLERHGVNADRIEDSVTSFPDLKYGASYKKAGSIKIKSSKVLGLNADQTSKPLPISSEIIHPKERKMPPLNPRYNKHELDTSLMIIRKVMKMDAAEPFNVPVNPEALGIPDYFDIIDTPMDFGTICSNLEKNDKYMNSEDVYNDVRYIWENCYKYNNKGDYIVDLMKRVKKNFMKYWAAAGLYSEQPKGSTGTERTTEDIALSGDGKAGKGGQLKHKKKKHGRHHKHDCLCAICVLKRRRKEREENDRIAKGNFGSGSDNHGRDFKQEESMLVESPGGEDSLSNTDELLGTDGDVDDDKGEVTKMETSEKNCSPSDGRHEVNEVDDDGMEEENHGPEDVEEDEEEDGEGENEEEIEMNRVKRRMDETLRHGGTLAEESEVGDTAALHDEYKNTQQEGQAAVVQQQKKHKEPQDKHQKAKLLESLCSENPMLSSLCGTLFPKNSQSVWSGPHSLIHQRKSARTSSIHAAIGSLME >RHN43331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44979548:44984192:1 gene:gene49887 transcript:rna49887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VI-2 family MKLCTSLLFMGLVSMLSFVASAMVVSNEVGALSTFKEAVYEDPHMVLSNWNTLDSDPCDWNGVSCTGTRDHVIKLNISGALLRGFLAPEIGKITYLQELILHGNNLIGTIPKELSALKSLKVLDLGKNQLSGPIPREIGNLTQIVKINLQSNGLTGRLPSELANLKYLQELRLDRNKLEGPVPAASTSNFPSNMHELYATNANSTGFCRSSLKVADFSYNFLVGSIPKCLEYLPRTSFQGNCLRVKDVKQRTKVQCAGASPAQGHPVVKPKHLSKAEHESKHEGGSKPAWLLALEIATGTMVGSLFLIGIFTAIQRFNNKSSIIIPWKKSSSEKEQISVYIDSEMLKNVTRYSRQELEVACEDFSNIIGSSPDSVVYKGTMKGGPEIAAISLCIKEENWTGHLELYFQREVADLARINHENTGKLLGYCRENSPFTRMLVFDYASNGTLYEHLHCYEEGFRLSWTRRMKIIIGIARGLKYLHNEIEPPFTISELNSNAIYLTEDFSPKLVDFESWKTILERSEKNSGSVSSQGDVPNSLQARHLDTEGNIYAFAVLLLEIISGRSPYCKDKGYLVDWARDFLELPEVMSYLVDPELKHFGSDELRVICEVITLCISPDSNGCPSMQELCSMLESRIDTSISVELKSSSLAWAELALSS >RHN66322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10871227:10871934:1 gene:gene14282 transcript:rna14282 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPREKSPGLKILWIWTFGTAAILIANVMRTSIRDFQNVLNAEEQQQQNQQQNDNVVIDDSRVSEERVVED >RHN52528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36397739:36398312:-1 gene:gene37217 transcript:rna37217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MRSGKNECPICRTHCPTQLSLRDDPNYDALIALLHPDIDKFEKEELALLKEEFPHLSIVEKSVEVVDRDEEEDFSEEDFVAQIIVHVLPSFHYMTDENYFAEEPLVDDDNDVAEELVVEEEDMDDDSSNST >RHN66374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11572143:11572821:1 gene:gene14344 transcript:rna14344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MALISRSLLFLFSLNIIFTQSTSTNGVFSKQSNIYLPSEKLTHLHFYYHDIKNKNNPTIVQIVNTPENVPNGFGATYVMDDEITEGPEMSSKHIGRAQGLFGQASLHDIGMFMLTNFIFTEGNYAGSTLSMLGRNPVAEQNREMAIVGGTGLFRFARGYVIANSVYSISSPENFVMEYNITVYHHL >RHN65854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5841885:5842227:1 gene:gene13746 transcript:rna13746 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSCLVRSNLFRSSLLLNSLLRDGLGFCLGFQDSLFRNCLRGLSGFASEATSEAFCGDWLFGEFVWVGSGCCILTGSGVSGYSG >RHN47371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39210969:39213365:-1 gene:gene41963 transcript:rna41963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cofactor assembly of complex C subunit B, CCB1 MAAKMMLLSPHPHHLPLRSLPFHTNKLHSWQHHHLPTPKPKKLRLSLHESAEFITQNQQQLLNPNSLFLLTETTGYSLASYYTSLGLFVISVPGLWSLIKRSVKSKIVKKTFIDEGGNKAPSQVAGEVLSFFTRNNFAVVDRGETITFEGVMVPSRGQAALLTFCTCISLASVSLVLTITVPDIGNNWFWLTILSPLAGAYYWTRASRKEQVQVKMIVKEDGTLSEIVVQGDDQQVEQMRKELKFSEKGMVYVKGLFET >RHN79912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33446070:33447578:-1 gene:gene3767 transcript:rna3767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative short-chain dehydrogenase/reductase SDR MATTPQQVIEPLPLQNRVAIITGSSRGIGREIAIHLSSLGARIVINHSSSNSLSADSLAADINATSPLPRATVIGADISDQSQVQSLFDSAERFFNSPIHILINCAGVIDDTYPSIANTSIESFDRVFGVNARGAFLCAREAANRLKRGGGGRIILFSSSQVAALRPGFAAYTAAKAAVETMTKILAKELKGTGITANCVAPGPIATEMFFGGRTEEQVQKIIDESPLGRLGETKDVAPLVGFLASDAGEWVNGQIIRINGGYV >RHN74144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29152165:29153706:-1 gene:gene10144 transcript:rna10144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase chromatin remodeling SET family MDADHRSYREMVRRTRILFDSIRVSGLLEEENNRKIKKYLKASSLINQTEEVNNCRVRNDLIAATLMNDHGMYLYHDVKIAGPVPGVCVGDIFLYRSEMCVIGLHGQPQAGIDYLHASMSSNGQPIATSVVVSDGYNDDDQGEFIIYSGHGDMKQDQKLERGNLAMVTSMQYEIDVRVIRGFRLKIIDCWFEKGISGFGVYKFMLSRVEGQPKMGSMILKEAGMLKRGQLCDILCMSFMMIFPMGRRILVMTTKKKMRAIECSECVDGCVSSIINGNTTPYSKSGILLKGMSLIYECGPFCSCPLHCRNRVTQKGIKYRLEVFRSNETSWGVRSLDPILAGTFICEFTRLVLTREQAEILTMDGEHNSLTIYPNRFLNRSTQEWGDLSMIDANHVHPAYPTLHFALDVSMMRNVASYISHSPTPNVFVQLVLFDNGNWMFPHLMVFAMENIPPMRELSLDHGVEAG >RHN44916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9835836:9838308:-1 gene:gene39093 transcript:rna39093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative licodione synthase MEPLLLAFTLFLSSLICYIIFQPILNRHKNLPPSPLFKLPIIGHMHMLGPLLHHSFDRLSQKYGPIFSLNFGSVLCVVASTPHYAKQILQINEHAFNCRNESTAIKRLTYEASLAFAPYGEYWRFIKKLSMNELLGSRSISSFQHLRLQETHNLLKLFADKAKNYEAVNVTQELLKLSNNVISKMMLGEAEEARDVVRDVTEIFGEFNVSDFIWLFKKLDLQGFGKRIEDLFMRFDTLVERIISKREELRKNKGRKENKGEQGAEFRDFLDILLDCAEDQNSEIKVQRVHIKALIMDFFTAGTDTTSISTEWALVELMNNPSLLQKAREEIDNVVGKNRLVDESDGPNLPYIQAIIKETFRLHPPVPMVTRRCVTQCKIENYVIPENSLIFVNNWAMGRNSAYWDKPLEFNPERFLKNSTNSNGVIDVRGQNFQILPFGSGRRMCPGVTLAMQEVPALLGAIIQCFDFNFVGPKGEILKGGDIVIDVNERPGLTAPRVHDLVCVPVERFACGGPLQSLGC >RHN59543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11833189:11835049:-1 gene:gene21585 transcript:rna21585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I20 MALKVETILLVLVCGAIFSGGNLKNVDAQKICPQFCYDTVAYMTCPSTGGQHLYPKCNCCLASIGCILYEADGTPICTAV >RHN53163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42456607:42459108:1 gene:gene37936 transcript:rna37936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRKCSHCGNIGHNSRTCNSLRGSGSFVGVRLFGVQLDLSSSCVSMKKSFSMDSFPTSSSSPTSSFSSSRLTIDDRASIGYLSDGLIVRTQERKKGVPWTEEEHRKFLVGLEKLGKGDWRGISRNYVTTRTPTQVASHAQKYFIRLATLNKKKRRSSLFDMVGSGKTNKTVDPNNSSKSKSGDSVCRHDHEVEKDATLSLLINSLQQQTKSDDYDMQKIEDDSEEAEHKDVPDWLHPLTKSLNMTLVIPNSSNVAPPDLELTLAGSKSNNMEQDKTSSSSFLIGPISVT >RHN62135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41008992:41011421:1 gene:gene24671 transcript:rna24671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MEENYGISPTPDHFACMVDLLGRSGQLRDAYELIKSMHIEPNAGAWGALIGACKLQGDTELGEIVANRLFELEPQNAANYVLLSNIYAAAGRWKDVSLVRSKMKERGVCKIPGCSKL >RHN42189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36245186:36245344:1 gene:gene48600 transcript:rna48600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin MLGCMILQMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLI >RHN72677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9664544:9665202:1 gene:gene8423 transcript:rna8423 gene_biotype:protein_coding transcript_biotype:protein_coding MALIIRWLLHSACNILGYPREDKIEGYPNGEVKKKCLNNLKEVSDENSSSSYEFQMPLHYPRYTKVDYEKMEEWKVELLLKEYGLSFKGSLDEKRSFAMGAFLWPDQY >RHN67070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23437684:23441071:-1 gene:gene15209 transcript:rna15209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MKLKLIPTVLLILSVTSTADDYVRPQPRKTLHLPWHSKPSSYPQQVHISLAGDKHMRVTWITDDKSAPSVVEYGTLPGKYDNVAEGETTSYSYIFYSSGKIHHTVIGPLEPNSVYFYRCGGLGPEFELKTPPAQFPISFAVVGDLGQTGWTKSTLDHIDQCKYDVNLIPGDLSYADYIQHRWDTFGRLVQPLASSRPWMVTQGNHEVEHIPLLKDGFISYNSRWKMPFEESGSSSNLYYSFEVAGAHIIMLGSYDDYDVYSEQYKWLKTDLSKVDRKRTPWLLVIFHVPWYNSNTAHQGEGGDMMETMEPLLYAASVDLVFAGHVHAYERSKRVYNGKLDPCGAVHITIGDGGNKEGLAHKYIDPQPKWSEFREASFGHGELKIVNSTHAFWSWHRNDDDEPVKSDDIWITSLVNSGCVAQKKTELEHALMTP >RHN43114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43321489:43326783:-1 gene:gene49640 transcript:rna49640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S5 MGYIQYGRHSFTKILRSASATNVFRNSVRSPLGYAATTLPSCSHFHGKSLYSTASDARVVMELLKEVEKDRLREKNERLRAGLDTADIDAETEDGYDYMGVSSLIEKLEKEKLKETSELNRYEEPTDSDSDDEEDLDANQKKVDDFERKFRRHEEMIQNFTEADTLDDAYKWMQKIDKFEDKHFKLRTEYRVIGELMNRLKVVTEQKDRFILQHKLNRALRLVQWKEAYDPDNPANYGLIQQEQGGANMDTLEQSGFEKEDKPAQGDEDAADNDDEVEFDDMKEKDNILLAKLDVIDKRLEEKLAELEYTFGRKGKALEEEIKDLAEERNELTEKKRRPLFRKGFDVKLIDVNRTCKVTKGGQIFKYTAMLACGNYNGVVGFAKAKGPKAPDALSKAYEKCFQNLHYIERHEEHTIAHAIQTSYKKTKVYLWPASTATGMKAGRIVQTILHLAGFKNVKSKVVGQRNPHNTVKAVFKALNAIETPKDVQEKFGRTVVEKYLL >RHN59347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9757757:9767171:1 gene:gene21364 transcript:rna21364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major sperm protein (MSP) MGETISEASSSESKLKNQEDSFYLYIHPQELHFPLELKKNISCSLQLWNKSDNYLAFKVKTTIPEKYCVRPNIGVLLPTSISDITVTMQALEEAPPDMQLKDKFILQSIVARPGSTTKDITSDMFNKDSGYEVKESKFRAVCVAPPPNYPPSPVQASGSSDQISVYLRSLLVRGPSKFQAKPNISELIAEREFLKELYKRHQEELERNVVIEKNKKLEPKNWYNTLIVKCEAKDDCCCIL >RHN61578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36573483:36575085:1 gene:gene24056 transcript:rna24056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MAFRITTSFIVTLVLLSSICDAQLSSTFYDGTCPNALSTIRTAIRTAVSKERRMAASLIRLHFHDCFVQGCDASILLDDTSTIESEKSALPNLNSVRGFQVIDKAKADVEKVCPGVVSCADIVAIAARDASFAVGGPSWTVKLGRRDSTIASKSLANSDLPKFTDDLTTLISHFTNKGLTLKDMVTLSGAHTIGQAQCFTFRDRIYNNASDIDVGFASTRQRGCPSSSTTTNNQKLAALDLVTPNSFDNNYFKNLIQKKGLLQSDQVLFGGGGSTDSIVSEYSKNPTTFKSDFAAAMIKMGDIQPLTGSAGIIRSICSAIN >RHN77444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6894629:6897833:1 gene:gene898 transcript:rna898 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNFDKVDGLETVLEVPIPEDMWTGIGSSGSNRWQNLRALMKAQISNDKCSHLSATSNNEFIALLKLVGSPLIPLQVQCDHTLTRPLQDSNIEASSAKYIVQQYIAATGGVGALDSLKSMYAVGQVRMFGSEMREGDDSIQPIGRAEVGGFVLWQKNPDLWHFELVVSGFKVSAGSDGKMAWTQSSSKPCHANKGPPRPLRRFFQGLDPRCTANLFLDAVCVGEKTINKEDCFLLKIETAHDILQTQNTPHTEIIRHTVWGYFSQRTGLLVKFEDTKLVRMKPTKGNDSIFWETSFESVIEDYRYVDGIKIAHGGKTVAMLYRYGVAHNHRRRIEETWRIEEIDFNICGLSMDCFLPPSDIKKEHEAVEQIV >RHN57731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41369639:41369923:-1 gene:gene33314 transcript:rna33314 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQGKAALDVFHNFDVFQCVPNQDTYYYTLQALLRSTRCSADMIHQAESIYQKLLLLHDDDQEEYNHLLPCYDDDDKVTTSESFQRVLTRTSQ >RHN56715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33090635:33093282:-1 gene:gene32153 transcript:rna32153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MASSSPCQIFLVFVMVTLVTSLIPSNALLTPHFYDNVCPQALPTIKSVVLHAILREKRIGASLLRLHFHDCFVNGCDGSVLLDDTPNFTGEKTALPNINSIRGFSVVDEIKAAVDKVCKGPVVSCADILATAARDSVAILGGPQFFYNVLLGRRDARTASKAAANANLPSPTFNFSQLISNFKSQASLRKTCPRNGGDNNLTPLDFTPTRVENTYYRDLLYKRGVLHSDQQLFKGQGSESDKLVQLYSKNTFAFASDFKTSLIKMGNIKPLTGRQGEIRLNCRRVR >RHN60080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:18733831:18741449:-1 gene:gene22287 transcript:rna22287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lycopene beta-cyclase MSLLQLQPINGISQLLVQKKCSRKAFRFRAQAVPSKTQRIMESVSVSGEVGGAGGAYSYEALKRLDQLWSNICSPQEVVQEPQQIVSTIPSLFTSSDLADKIEGSYDVLVCGGTLGIFIATALCARGLRVAIVERNVLKGREQEWNISRKELLELVEVGILEEDDIERVTTAKFNPNRCGFESKGDIWVNNILNLGVSPVRLIEIVKKRFISLGGVFFEGYSVSFINVYEDAAVLKLSGGKVLSSRLIIDAMGNFSPVVKQIRRGRKPDGVCLVVGTCARGFENNSNSDVIFSSSSVKRVGDSKAQYFWEAFPAGSGPLDRTTYMFTYVEPQPGSPKLEELLEEYWNLMPEYQGVPLDNLEILRVIYGIFPTYRESPLPAAFSRVLQFGDASGIQSPVSFGGFGSLTRHLGRLSAGIQEAIDGDYLDSYNLSLLNPYMPNLSASWLFQRAMSAKQQSDVPEDFINELLYANFSCMQRLGDPVLRPFLQDVVQFGALSKTLGLVMLTRPQILPSIFKQVGIPVLLDWSRHFLMLGYYTFLATFADPAVRPFLNTLPSMTSFQWKRHLEAWKYGAGLDYKL >RHN63545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52103194:52104159:-1 gene:gene26257 transcript:rna26257 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMARTSLDFSSAFQLRPHPTTIIIIPSPSFPFSLTNIPNHHYTPTSSFITNIIIIFHQTFANDDHHPLHHHKYFHSKEAEEEEDLDGEDREGRNPSYFNIPVSTT >RHN64241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57564669:57564988:1 gene:gene27034 transcript:rna27034 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHRILFDKYHPGYIDKIASLIPKDVKEKALKENKGPTKLISKIAEKKNKEVVGAGVLAAYFLCMTFCFERIRVFSPF >RHN81946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50017700:50018191:1 gene:gene6047 transcript:rna6047 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQGKTGLEVFHKFEAFQCVPNQDTYIHTLQAFFDHKVYH >RHN47825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42832237:42835333:-1 gene:gene42471 transcript:rna42471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAESFVLDIANSLLGKFVSYGYEETSRAYGVYEHLQCLRDTLAIVSGVLLDAERKKDQKHGLREWLRQIQNICHDAEDVLDGFNLQDKRKQVVKASRSTRVKLVHFFSSSNPLVFRFRMARQIKEIRDRMDKVAADGVRFGLTNVDPGLVVQQREMTYPHIDASSVIGRENEQDEIFNLLMQPHPHGDGDGDNSLCVIPIVGIGGLWKTTLAKSVFNDKRIHQLFQLKMWVCISDDFNIRKIIINIINSATIASIFTSSSAPSSGPAQLENTNNLDIVQLVSRLRQKLSGQKFLVVLDDVWNDDRAKWLELKDLIKVGAPGSKIMVTTRSNAIASMMGDVPPYILEGLSPKNCLSLFVKWAFKEGEEKKYPNLVAIGKEIVKKCQGVPLAVRTLASSLFSNFDISKWEFVRDSEMWNLEQKKDDILPALKLSYDQMPSYLRQCFAYFSLFPKHHLFDSYAMCSLWVALGLVQSVNGIEKLESIARKYIDELHSRSFIQDLYDSGSFCDFKLHDLIHDLALYVAREDFVTVGSHTQSIPQQVRHLSVVQNEPRGYALFPKSRSVRSILFPAFGLGLGSERVLDTWLSRYKYLRFLDLSDSSFKTMPNSISKLEHLRTLDLSRNLKIRTLPNSICKLLHLQVLLLNGCMELKTLPKGLGKLISLRRMIATTKQSVLPHDEFASLIHLQTLSLHFCDSIKFLFRQILPFVEELYIYSCSCLESLPLHIFPKLQTLCIRNCEKLNLLLNNESPIKTLRMKHLYLVGFPTLVTLPDWIVCAMGTLETLVIIGFPNLNMLPVFLTSMTRLKKLYIIDCPRLLSLPSDMHRLTALEDLRIGDCPELCRKYRPQSSGFWAMIAHVKSISIEEPTGEEA >RHN56650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32569957:32572154:-1 gene:gene32082 transcript:rna32082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MTNILIQIWRWLMQPKVWRFVGFASVVVGLVCYALSSSFNYLFGEWNFLKIFLYGVFSFIISLVVLFVNIWRHSRSLRFKAHTAYLVLTMTSLYSFFFDKLMNGKPDGYSLISCASFAIMSLSLSRQTQCGFEVDLLYFFLGCLIVQLMKIKLLLFILGAGFSYLLIILRSSVHSMDVGRDNESAGIQDANSIVIEVHSHSPQLPSIDIGSGMVEQLSNYVKVLQQENSYLFEMLLEKLEEYISYDSGFKVSEPDFMIEALPTETIDNLHKTAKLMVSSGFEREFSDVYSNIRRECLVESLSRFWFQKLSIEALQMLTWKELEDEIKRWIKVSKVALRILFRSERRLCDQVFFGLSTTADLSFTDICRESMLQLLNFAEAIAIGSRSPERLFRVLDMFETMRDLIPEFESLFRDQYNGSMQNEATTIWKRLGEAIIGIFMELENLICHDPMNLEAVPGGGIHPITHYVMNYLSATSRSRKTLEQVFEEDYGQSLKEYPKIDDKVQSSSPLSMQMSFIMELLDRNLEANSKIYKEPSLSYVFLMNNCRYMVQKTKDSELGTILGDVVIQKYVTKVRQHHKNYEKNSWSKVLDCLKLDNNDSMHPNEVANSMKKKLKSFNILFGEICRVQPSWFICDKHLKREIIISIVKLLLPSYAKFIQRFQRVLQLGKNADKYIKYDMEDIATGLDDLFQGSGKSN >RHN66625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15296182:15296589:1 gene:gene14659 transcript:rna14659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling CW-Zn family MLRAIFWLVSKNLVNDLIAPCFPNNQQRSLPNHNYVSSSYSSSGDESDLSNDDTPKKSVLYDLVTNGDVWDQLTPYTLWCLPPSSLPRVFPVVGAFSVQCDFCTKWRFILAKKKYEEIREHTIQNPLVCDKAREW >RHN67892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31230177:31232036:-1 gene:gene16137 transcript:rna16137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MTQSKLFSSGIELASFVTSSSILCKSWTSISSNNEDIIWNDGIDVSWKVDEESSSDFTIVAFKATSSNVQADFVSSAELKEGNFLDFEFLCSKRIPIFSLNRTALSLFRDNHQELEKLKIEINSFTNLSTPLIFTGHGLGASIASLFVISLLQNVGSGKNRPLCITFGSPLVGDKRLQQAISCSSIWDSCFILVCHTKTHFQGSSLQIELVITCLLEHLSCLLMQLLFRTQILSWKFSWRWSQSMIKMKNLNQLIMEIL >RHN70336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50720325:50722884:1 gene:gene18906 transcript:rna18906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MVETRSAKRKTNETQNHMNKRVVLGELPNSTTNLTVPQTLPTASEKTNSIGEESTTHEKFDAPVVSSAYNYLRSIEMETKRRPMKDYMDIVQRDIDPKMRGILIDWLVEVVEEYKLQNDTLHRAVSYIDRFLSYYPICRVKLQLLGVSSMYIASKYEDINPPHVEELCFITDNTYNRDEVLEMETDILKTLDNDLGSPTVKTFLRRFNEIVCKKKNASNLQFEFLSNYLAELSLLDYACVRFLPSLVAASITLLARFIVWPKTYPWPSALQEYSGYKPVELKECVLILHDLYMSRREGSFEATREKYKQYKYKYVANLPSPPHLPSYLF >RHN65456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2387689:2391952:-1 gene:gene13296 transcript:rna13296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-RSK-2 family MNASSNTCEIVEYREEIFPEFETDEKPQSSCSYKSGKRYSIEADIDRLFKAIEIKSSSRGHSTSRSQQSSLKRPIKVCPSQASGIGIAEPVSLKQALRGLCISQASEMAALKRVSKQCSSSRVSEVGTVKKLVEISLVPEISTLSGKFPVVAISSSSANHSIPVVSATRLTEMTTRFVSQDQIVPLPPEVEAGKQTLQTEEVLEVRMNPATTSKSCLSSSMPDKDREKNFHCASCPPSSSNDSGVGKNSSLSTCLAKPIFNNMNFLKKKVKKDQCSASSCATSSFNKENGNSDLKHDIKENDDKPSPCSSNHSIEVNSINVSRDSSKPGFSLNFSKKTKFLVTKVDEKSRSKEKGEFSQSSKSSMGEYSTSSTSISEESNLSGSSRSGQRPHMSKHSRWEAIRAIQQQHGNLNLRHFRLIRKLGSGDIGTVYLAELIGTSCLFALKVMDNEFLASRKKTFRVQTEREILQMLDHPFLPTLYSHITTTKLSCLVMEYCPGGDLHVLRQKQTYKSFSEQAARFYVAEVLLALEYLHMMGVVYRDLKPENILVREDGHIMLTDFDLSLRCSVNAMLVKTSSPDADAAKKTSSPCSGASCIHPFCLQPDWQVSCFAPILLSPGAKSRKMKADIAAQVGPLPQLVVEPTNARSNSFVGTYEYLAPEIIKSEGHGNAVDWWTFGIFLFELLYGKTPFKGPSNDDTLSNVVSESLKFPGTPIVSFHARDLIRGLLIKDPENRLGSIKGAAEIKQHPFFEGLNWALIRCAAPPELPKFRDFGTSAPSMAAQKENANDLEDIDDCEEFELF >RHN42635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39877395:39879817:1 gene:gene49100 transcript:rna49100 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIIAYGIPSVDYYFLQKQTQHHTKVLPLSLSLSLSCKTKPERSFLLSTIVATMLPPSRGRRTAIRGRMTKPKPPLNSSLLPLQKSPIHFLDL >RHN65383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1626956:1627198:-1 gene:gene13215 transcript:rna13215 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNTRWSSSLSTYSTIWLWFARPFPNRGFVLCWAVSFCSNHEFLASILNEWVQRKTYICPPTSCNFGMKVVALLLSPSS >RHN79344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27772064:27774484:1 gene:gene3121 transcript:rna3121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MSSLTHLELERNSLSGTIPSNTGFLPNLQKLHLNHNKFVGNIPNSIFNSSNLVEFEAVDNEFSGTLPNNAFRNLRLLDSFIISFNNLTIDDPLQFFTSLTNCRYLKILDISRNPISSNLPKSIGNITSTYFDMDLCGIDGSIPLEVGNMSNLLQLSLPGNNINGPIPVTLKGLQKLQYLDLSNNGLQGSFIKELCGIERLSELYLQNNKLSGVLSPCLGNMTFLRNLDIGSNNFNSRIPSSLWSLTYILKLNLSSNGFSGNLPPEIANLRAITLLDLSRNHISSNIPETISSLKTLQNLSLADNKLYGSIPTSLDEMVSLISLDLSQNMLTGVIPKSLESLLYLQNINFSYNRLQGEIPYGGAFQNLTAHSFMHNLALCGNPRLQVPPCGKQDQKMSMTKKIILKFILPIVVSAILVVACIICFKLRRKNVENTFERGLSALGAPRRISYYELVEATNGFEESKLLGRGSFGSVYEGKLPNGEMIAVKVIDLQSEAKSKSFDVECNAMRNLRHRNLVKIISSCSNLDFKSLVMEFMSNGSVDKWLYSNNCCLNFLQRLNIMIDVASALEYLHHGSSIPVVHCDLKPSNVLLDENMVAHVSDFGIAKLMDEGHSKTHTQTLATIGYLAPEYGSKGIVSVKGDVYSYGIMLMEIFTRRKPTDDMFVAELSLKSWINESLPNSIMKVLDSNLVQQIEEETDDILIHMSSIFGLALNCCEYSPEARINMTDVIASLIKIKTSVFRVNMV >RHN41720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32341569:32350584:-1 gene:gene48072 transcript:rna48072 gene_biotype:protein_coding transcript_biotype:protein_coding MKICKWKKRIGLDLSECNNTHNHNHHHHQKLLKRNTRREEKRRKRKGSGSSMILLQSHSRFLLQTLLNRLQNIEKGVELDHHWVEFDDVRYHIQVSMKNPNIFLLSVSLPTPSSETIFVCGLPFGAIEAIKAAYGSLVQILDPPRDGFNLTLKINLSKVPANQEQRQALLVKVASVREVVLGAPLRVILKHLASRNVAPDMDPLVALVHRPKESFFVFPQADKVTVMYPMRFNDSIDIVLATSFLQEFVEARRTAGLNNTPPCSWSHTPPPELKGVSTDALSANAGFVSFVIFPRHVEGPKLDRTVWSLSTFHAYVSYHVKCSEGFMHTRMRRRVESLIQALGRAKPDPESSKKTSHSRSFQRMPQGVKNQLVFIKGEA >RHN72719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10158182:10159054:1 gene:gene8468 transcript:rna8468 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVEKTMKKSALFIAFSWLLLMVFTVVSANAENISAHTPTSKNMVYYPQGCRCCWFIWKPQIHCGKVYCEEGCC >RHN46505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32340829:32341415:1 gene:gene40998 transcript:rna40998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TRAPP II complex, Trs120 protein MDVLLPDPLTFGFKLVRDESDSDNLDPVKESDLPESPASKSSVLAHEMTSMVVVVRNNTKDTIKINLNITCRDVAGENCVDGTKSTVLWTGVLSEITVEIPPLHQIKHSFCLHFLVPGEYTLLAAAVIDDANDILRARARATSSAEPIFCRGPPYHLRVLGNT >RHN80291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36904399:36906065:-1 gene:gene4197 transcript:rna4197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDLVNRKYLIHFSSQALGPTSSSSIFHPPHMHHSSTSFPIIAIAIIGILATIFLLVSYYIFVIKCCLNWHRIDLLRRFSPSRRRQDPTTIHSPGIEPRGLDEAVIRLIPVIQFKAEEGNKDSAGSESFCECAVCLNEFQQDEKLRIIPNCSHVFHIDCIDVWLQNNANCPLCRTSISLTSRFHFDQMLTQGPFSSQDQNPTRENLIGGDEDFVVIELSNNNAMESPLPLPRPCPISPSPRKMLDKKGRKKLHKVTSMGDECIDIRATKDEQFSVQPIRRSFSMDSSGDRHFYLAVQEALQQQKRQVNEVNNTIEGSSGGSGRVKKSFFSFGYGSRSRSSSVQPVYLDS >RHN47798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42590360:42591758:1 gene:gene42441 transcript:rna42441 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIYFQYIESTISRILITQLMILNVELDNMVKLVDMCNIQLPMEVVFTGSFPDCRCVASLHRNCRAFHLGEDFHGRISESVLLENCNQVHNPIMIAIVFVIDYLKLIVIGDSSFCNENYKFCCMGKLPILSMIDDDTADNLEDVTGRGSLFSESYVDSFYAAPIVTSIYSFEMNSEFPFMFYSSDIVSMQIVIYFFVATSSALKNCVSACYENGPCCNEIAS >RHN38383.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000031.1:6104:7055:-1 gene:gene50800 transcript:rna50800 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPDIHSLSPYSLIKYLINYPIRNLIALLLNLITNQLRLTIDK >RHN45574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23044362:23045981:-1 gene:gene39945 transcript:rna39945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MTKTKPSSSTTNPQHKPFQVSNWNFTERSLLFKNVSTRRVLSKVQRNTETPIKKLHLKRRCEDATSGNKPSLTSDNSRRKKVKTTLGNFRALCAKLGKELTADGLPIEVSRILKNRGKFIHDGKKIIGKVSGIEVGDKFLYWQELNVLGLHRQNLSLIDHVLKDGNLIATSVVSCHFDDMDDTNVFVYTGEGGNVINSGKCDEETLALMNSYHFKNAIRVIIKFNSKNCGAGARGGGEVYCYYGLYKVESIWKKKGKLDFKFCLVRLPDQKIFVKDISNGKEAVPICVVNHIDSGKVPYFQYITRNIYPEWLFDDSSVGRKCVDHCSDSMKCSCALKSGGKITRVKRNEIKFKLQIFKTKAKGWGVRSENAIPSGNFICEYLGEIMEDEEALKKVDNDEYLFNIGNYIRKVYSSWEEDSYMVDSKEMTSYQPLEASGGKEVVDDRCDSGRFTIDAAKYGNVARFINHSCSPNLFARNVLYDDDDLRIPHIMLYAAENIPSMNELTLSYNYKIDQVIDSNGNLKTKACYCGASECIRRLY >RHN67181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24666055:24668659:-1 gene:gene15337 transcript:rna15337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MPSQKVETGHQDIIHDVSMDYYGKRLATASSDHTIKIIGVSNSASQHLATLTGHQGPVWEVAWAHPKFGSLLASCSYDGRVILWKEGNQNEWIQAHVFDEHKSSVNSVAWAPHELGLCLACASSDGNISVFTARADGGWDTSRIDQAHPVGVTSVSWAPSMAPGALVGSGLLDPVQKLCSGGCDNTVKVWKLSNGQWKMDCFPALQKHNDWVRDVAWAPNLGLPKSTIASASQDGKVIIWTAGKEGDHWEGKDLNDFKTPVWRVSWSLTGNILAVADGNNNVTLWKEAVDGEWQQVTTVEP >RHN42449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38454845:38461722:-1 gene:gene48892 transcript:rna48892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MGSYPPPDIFIPEEWSQAANSIVSSSTPPVVLVCGPGSVGKSTFARYLLNLLLTTRCKKVAYLDTDVGQTEFTPLGLISLTVVYDITPDLKTKYLKPSKRSLFFGDISPKIDPSVFLEYVCSIYDHYQKKYRTFDKRKNASKIQTPLIVNTSGWVKGVGYEVLVDMLKYIGPTHVVKIDLSTEFYKYKNLPAGKFWLDGEDDGTIKLIEIDSALKDREIVQKEARLLREERIVAYFEQCFPSDSGISTHKETAHSLTSHCPYQVPIASIKIRHVHREVPSSEIFYGLNASIVGLAVESEVPGDLPWCLGLGIVRGIDTVKGMLYVITPVPFVSLKKVNLLLQGDIQFPTDLLQVQGRLSPYISENVLNIT >RHN58126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43915796:43919779:1 gene:gene33736 transcript:rna33736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase WNK-NRBP family MPAENWDGEVEPFAEVDPTGRYGRYNDLLGSGAVKRVYRGFDQEEGIEVAWNQVKLRNFSNDPVLINRLHSEVELLRNLSNKYIIVCYSVWKDDERGNINFITEVCTSGNLRDYRKKHRHVSIKAFKKWSKQVLEGLEYLHTHDPCIIHRDLNCSNIFVNGNIGQVKIGDLGLAAIVGRTHAAHSILGTPEYMAPELYEEDYTEMVDIYSFGMCLLEMVTMEIPYSECDSVAKIYKKVTMGIKPQALSNVREPEVKAFIEKCIAQPRARPSATDLLKDPFFFELNSDEESTLIT >RHN39953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11891164:11891580:-1 gene:gene46037 transcript:rna46037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MASFNGFYDVVTIMIGFTLLFTTSLCSEISQPPSEGPSNDVASAPKPLSPYEKYLTNCASKLKPAECGKQIFSGVFVRNQIISDYCCHSLVNDVGKSCHYDLTRNALQWPAYAKNKTEILKRNDKVWNDCIAVRPILP >RHN73199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14302090:14312098:-1 gene:gene8988 transcript:rna8988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MSSSTSAWLTSPSCTLLPLHSSSSTPQFILQWLRFIFLSPCPQRLLLSALDSLFLLSLLAFAAQKLYSRFYSRANTSSSITKPLLQEKDSDYKITFLFKLAFLVTTLLAISYTVLGILAFTQTNNLSSWKQIEALFRLFQAITNIVIVILMIHEKKFKSSKHPLSLRIYWIANFVIATLFAVSAIVRLITVREEKLELSLRIDDIFSLVNLPLSVFFFVISIKGSSGIHVIRISDVVATYPSISIDRTLSPYAHSSFLSKTGWFWLNPLLNKGYQTPLKLEDVPSLPLDFRAEKMSELFQNNWPKPEENSKHPVGVTLFRCFWKHIVFTGFLAFMRLCVMYVGPLLIQSFVDFTSQKDSPTSEGIVLICVLFAAKSVEVLSVHQFNFHSQKLGMLVRSSIITSVYKKGLRLSSSSRQAHGTGQIVNHMAVDAQQLSDLMKQFHPIWMMPLQVAAALALMYSYVGVSVVAAILGTAIVFCFTAYRSKNSNVFQFRIMMSRDSRMKSTNELLNNMRVIKFQAWEEYFGNKIQQFREAEHGWIGKFLYYFAVNMGVLTTSPLAITVLTFGVATFMGIPLNAGTVFTVTAVIKILQEPMSTFPQALINISQATISLGRLDKFMMSKEMDENAVQREENCNGDVAVEIKDGKFSWDDMDENEALRVKELVIKKGDHAAVVGTVGSGKSSLLASVLGEMFKISGKVRVCGTTAYVAQTSWIQNATIKENILFGLPMNMEKYKEALRVCCLEKDLVMMEDGDETEIGERGINLSGGQKQRIQLARAVYQDSDIYLLDDVFSAVDAQTGSFIFKECIMGTLKDKAVLLVTHQVDFLHNVDSIMVMREGRVVQSGKYDELLKAGLDFGALVAAHESSMEIAETSEKTSDDSAQSPKLARVISKEKESGEKQSSQIQSKSDKTAAKLIEDEEREIGQVNLEVYKQYFTEAFGWWGVALMVAVSVVWMLSFLVSDYWLAIATADDSAVSSFTFITVYAVIAVVSCIVVMARAFFFTYWGLKTSQSFFVGMLQSILHAPMSFFDTTPSGRILSRVSTDILSLDIQIPIFVNLVTTTLVGLFSILVVTCQNSWETVFLLIPLFWLSNWYRKYYLATSRELTRLDSITKAPVIHHFSETISGVMTIRSSRKQNAFSQENVDRVNASLRMDFHNNGANEWLGFRLDYMGVTFLCIATLFMIFLPSAIARPEYVGMSLSYGLALSGLLSITISMTCNVENKMVSVERIKQFTNLSSEAPWKIADKSPPQNWPSHGTIELHNLQVRYRPTTPLVLKGVSLTIEGGEKVGVVGRTGSGKSTLIQVLFRLIEPSAGKIIIDGINISNVGLHDLRSRFGIIPQEPVLFQGTVRTNIDPLGLYSEEEIWKSLERCQLKDAVAAKPEKLDALVVDGGDNWSVGQRQLLCLGRIMLKRSKILFMDEATASVDSQTDVVLQKIIREDFADRTIISIAHRIPTVMDCDKVLVIDEGYAKEYDKPSRLLERPSLFAALVKEYSNRSA >RHN41321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29088621:29094826:1 gene:gene47629 transcript:rna47629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MQKLHSLIRTSHITLPYLQATHCLAIKSGSISDLYTSNNLITAYSKCAQLPLALQLFDKMPQRDTVSWNAVISGYVNTADLDSTWQLLNAMRVSGHAFDNHTFGSTLKGVARAQRLELGQQLHSVMIKMRLNENVFSGSALLDMYAKCGRVDDALVVFRYMPECNYVSWNTLIAGYSRVGDLDMAFWLMRCQELEGVGIDDGTVSPLLTLLDGVRFYSLVMQLHCKIVKHGLEAFNIVCNAIITAYSECCSLQDAERVFVGAVTVTCRDLVTWNSMLAAYLLHKKENLAFDVFIEMQSFGFEPDDYSYTGVISGCSIKEHKSRGESLHGLVIKRGAEVSVPVSNALIAMYLGFDNRCMEDALRIFFSMDVKDCCTWNSVLAGYVQVGRSEDALRLFVQVRSLFVEIDDYTFSAVIRCCSDLATLQLGQQVHVLSLKVGFDTNKYVGSSLIFMYSKCGVIEDAKKCFETTSNDNAIIWNSIIFGYAQHGQGNIALELFYLMREKKVKPDHITFVAVLTACSHNGLVEEGRKIIQSMESDFGIPLRMEHYACAVDLYGRAGYLEEGKALVETMPFEPDAMVLRTLLGACRSCGNIELASHVAKMLLVLEPEDHSTYVLLSDLYGRLKMWDEKASVTRLMRERGVKKVPGWSWIEVKNKVHAFNAEDHSHPQSKEIYELLLQLKEGIMLFDNFVGQTSLLQCLDFLDGYDYQNFL >RHN81473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46230412:46239609:-1 gene:gene5522 transcript:rna5522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [histone H3]-lysine-36 demethylase MGNVEIPNWLEGLPLAPEFRPTDTEFSDPIAYISKIEQKAGKFGICKIIPPLPKPSKKYVFSNLNKSLLKRPELGPDGSSLGAGNSWKMGSGDSGNDGESRALFTTRQQEVGQNVKKSKGVVQKSMACVHKQVWQSGEVYTIEQFESKSKTFSRSVLGTAKDVSPLVVESMFWKAASEKPIYVEYANDVPGSAFGESQGQFYRSHRRQRKRTDYKSRVDSSVCKETEMGGVNDTHNNESNGIASPSHAESCLETSKSAMTLSTSTPNEVSQPSKEMISDADNDMQGTAGWKLSNSPWNLQVIPRASGSLTRFMPDDIPGVTSPMIYIGMLFSWFAWHVEDHELHSLNFLHTGSSKTWYSIPGNYAFAFEEVIRTEGYGGDVDQLAALKLLGEKTTLLSPEVVVASGIPCCRLVQNPGEFVVTFPRAYHVGFSHGFNCGEAANFGTPQWLGIAKEAAVRRATMNHLPMLSHQQLLYLLTMSFISSVPRTLLPGVRSSRLRDRQKEEREILVKQAFIEDMLHENKLLSILLGKEATKEVVLWNVDLLPDSGKYRQLPDLASTSGTYMADMSNDNISSADKSSHCLLDEMSLYMENLTDSDVGYDDLPCHFQTDSGALVCVGCGILGFPFMTLIQPTEKLIMELPDNHLVEDSSLNSVGSFHSAVSRDLSVSELACAKYSPDQSLNECNKCWNTSSTFLKPRIFCLEHAVQVVEMLQSKGGANVLIICHSDYPKIKAHARAVAEEIQGDFDYNEVPMDIASPENLALIDLAIDGKELDECEDWTSKLGLNLRFCVNNINKSPCKQVPFTLALGMQFYDKRPGLSLNWHSQKARSKRSNRLAQSKPDDIQRKKDDQLQERIDGSTAEKKLLQYSRRKFKPKQSCFSVAITVCECESHEKSKNVSAVLSAEHYKCVSKDELDTDNFRSDCALSRGSASAAMSPLHNEIQNAEAPTSMSLNTSVSEKCSKENQNCQNNKYSGSLSNGIDKNVDVFGNNQITEAIAIGSKCDSLDLVGEGYQEQQSACKSNSEAVLSTASLVNQTALATVDGSFESPINNQAEERVSNSMSLKETIERESKSLNEMDKEPLNDDKEISEHAPTADICEVPRELYASANFHNTVDLDAMQQERQVGESGEQEIIQSTHISAKQLYESTSGEYAEGLHNEVILESSKQCQIQNENITIEEHVSNYVAKGDNRSITISEISCSDVSAETCPEKDSCIQFVSNTEKEMEIQPIHRVDEELSISIQECSQTEKKTCGRENVNGSEVDLSQDDGELESCELTTEVPRSNAGKKKRRKMEDTENNQFDCYDFIRSPCERLRPRTGKTATGKSVGDNSQNDEENRVAKRTRKPAEASIPRKDKKSNVKRPHKCDLDNCRMSFTTKAELQLHKRNLCPHEGCGKKFSSHKYALIHQRVHEDDRPFKCTWKGCSMSFKWSWARTEHLRVHTGEKPYQCKVEGCGLSFRFISDFSRHRRKTGHCVKSPA >RHN55079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14991872:14995280:-1 gene:gene30207 transcript:rna30207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MWVCVSEDFSLKRMTKAIIEAETKNSCEDLDLEPLQRRLQHLLQGKRFLLVLDDVWDDKQENWQRLRSVLACGGKGASILVTTRLAKVAEIMGTIPPHDISKLSDEDCWELFKQRAFGSNEERTKLAVIVKEILKKCGGAPLAAIALGSLLRFKTEEKEWHYVKESKLWSLQDEDYAMPALRLSYLNLPLKLRQCFAFCALFPKDAIIRKQFLIELWMANGFISSNKILDEEDIDNDVWNELYCRSFFQDIETDVFGKITSFKMHDLVHDLAQSISDEVCCITRNDDMPSTFERIRHLSFGNRTSTKVDSILMYNVKLLRTYTSLYCHEYHLDVLKFHSLRVLKLTCVTRFPSSFSHLKFLRYLDLSVGEFETLPASLCKLWNLQILKLHYCRNLRILPNNLIHLKALQHLYLFGCFRLSSLPPNIGNLTSLRTLSMYVVGKGNLLAELGQLNFKVNEFHIKHLERVKNVEDAKEANMLSKHVNNLRLSWDEESQLQENVKQILEVLQPYSQQLQELWVEGYTGFHFPEWMSSSSLIHLRSMYLKSCKSCLHLPQLGKLPSLKELTIWSCSKIEGLGEDLQHVTSLQSLSLLCLPNLTSLPDSLGKLCSLQKLGIRDCPKLICLPTSIQSLSALKSLSICGCPELEKRCKRETGEDWPKISHIQNLHVFS >RHN63763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53889147:53892663:-1 gene:gene26507 transcript:rna26507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S49, ClpP/crotonase-like domain-containing protein MPLTRGVAYDPRISAVYLQIDNLNCGWAKADEIRRQILNFRKSEIYAPPSAYVHLFGFTVQGTFVRGVYDYLGIEPQVERIGKYKSGGDRLTRKTMSEDHREMLTALLDNIYSNWLDKIVPFLKYRKYSGVRKETVGISSGKEQIAVIRASGTISRVGGKGIIAEEFINNIHRVKASNKFKAAVIRIDSPGGDALASDLMWREIRLLAAKKPIIASMSDVAASGGYYMAMGEEGCAWIII >RHN66602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14834739:14835349:-1 gene:gene14629 transcript:rna14629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galacturan 1,4-alpha-galacturonidase MVGNILFVLGLLAVCISESQARVTNVFNVLNYGAIADGKTDNKEAFLKAWSDACNSNGKVTLLIPKGTYMVKQVIFSGPCKGSTNFKIQGTLKAPIDPFFATDKWINFQYVNNLVVGGPGTLDGQGSSAWSVNDCKNNPNCPRLPIVSSFLKSYSIDRSIDFNKSVYVFTVSC >RHN75621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43817524:43818680:-1 gene:gene11854 transcript:rna11854 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGEFGYRIIKKHLAYRVIMLEILNGNKKNTRRFYQCFQLCMNIVS >RHN73365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15689821:15694599:-1 gene:gene9167 transcript:rna9167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MDTTIVEGRSISKPPYFDGTTTNCTEWKERIKIFIQSVDFDLWLVIKNGPNIPKIMIDGIASEKSEDEFNGEDKKNVELEAKAKNILCCALNPDDLKRISSCQTAKEMWEELDREVTTSNVNTTPPVSQQTPAVALYDTPNSEFLEETSNDPRKRFLKLCVPIHKHALNGNWPAAKRILDEDDKLKNAAIANGCPTLLHIAAGANRIHFVKELLSILDDNDIALQDMKGNTAFCFAAAAGNIEIVDLLLDRNSQLPVIRDGKGYTPIQYAALQGRCKTTWHLYEKTIHCFEDDDWDLLFFACIHNGIYDLALKMVRDRNALAFARDVNEETALHILAQNGLPLNSGCHCPEHDHNPIMTNPGMKSHIVFQLVKFLWTTILEKHYSSKVELNKIINEPSQVIFDAAEVGNFGFLSELISVYPSLIWDVDSKNRTILHIAVLHRYVAIYNVIHQIGHIKGIIVTFEDDDRNTLLHLAAKLAPQVQLELVSGSAFQMCLELLWFEKVKKIMLPGQIKMRNSEGFTAQELFSIEHETLRENAESWMKKTAESCMLISTVIATGVFAAATTLPGGTDDSGKPHYLNKTSFLVFAISDAFAFISSATAILIFLSILVSRYGEYDFYKSLPLKLISGLITLAISATSMMVALSTNFFIIYFHGSTLVPSFISIFSFLPIPLYIGLQFSLFSDIINSTYYWRTLSNHGKNMIYVLEK >RHN47621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41047530:41051529:1 gene:gene42242 transcript:rna42242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 34 MASQQQQVREWSGINTFAPATQTKLLELLGKLKQEDVNSLTILVMGKGGVGKSSTVNSIIGERVVAISPFQSEGPRPVMVSRARAGFTLNIIDTPGLIEGGYINDMALDIIKRFLLDKTIDVLLYVDRLDAYRVDNLDKLVAKAITDSFGKGIWNKAIVALTHAQFSPPDALAYDEFFSKRSESLLQIIKSGASLKKDDAQASAIPVVLIENSGRCNKNETDEKVLPNGIAWIPHLVHTITEIALNKSESIFVDKNLIEGPNPNQRGKLWIPVLFALEFLLIMKPIEGLIKNDVANEKKPSWETRDVGVKKETREVGQKDMRKERRGGAVRR >RHN51629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21231069:21235674:-1 gene:gene36107 transcript:rna36107 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEDVGRTRCGKEALHAPVRKERVLNAPPRRRGRGRDQREEPDGSSSQSQIDIEMPSSQSASGSQPPSVGVVQEGYDGGPSNTSLLPSFGQHIAAKIWNGGVRISFIYFIRFRMC >RHN82330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52860373:52861450:-1 gene:gene6489 transcript:rna6489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MYAMFRLEQFIMSNFYHSLLTDPEINFYNRGSPIFRDLINLQLSMFYFHHWDHVMEVLQHCPKLQILLILKLSEDKINWKYPNFVPECISSHLISCTINYEGLEDELQFAKYILQNARLLGVMQITGTFLFKQKPSLQPLQELYSCPRISSECKLSIG >RHN75924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46176165:46176419:-1 gene:gene12185 transcript:rna12185 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFAGDSAPGKCPIAKAFCILPGLLPLTKCNISCSVIDIISEGIFGIVGLLDDLIITIIFFLHVAALYRSILCLRHGRNFRFE >RHN71481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:434680:438424:-1 gene:gene7087 transcript:rna7087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP phosphoribosyltransferase MSFYSMMSQIGLTPVLQVVKYRSSSNCCCSSSSSISETQVLNGLPSKGRMSSDTLDLLKDCQLSVKQVNPRQYVAQIPQLSNLEVWFQRPKDIVRKLLSGDLDLGIVGLDIVTEFGQMSIIFILYVLQFTFMFYRRDNYPFLCLSFFVRAMTILSLSTRLWSMVIIPQYGIFENVNSLEELAKMPQWTKEKPLRVATGFTYLGPKFMKDNGIKHVAFSTADGALEAAPAMGIADAILDLVSSGTTLKENNLKEIEGGTVLESQAALVASRKSMIGRKGVLETTHEMLERLEAHLRAMGQFTVTANMRGSSAEEVAERVLSQPSLAGLQGPTVSPVFLKRDGKVSADYYAIVICVPKKALYKSIQQLRAIGGSGVLISPLTYIFDEETPRWRQLLSKLGL >RHN57625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40629478:40632335:-1 gene:gene33201 transcript:rna33201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MDLNFYLKYSKWVSTVASIWIQCTSGSLYTFSIYSQTLKSTQHYDQSTLDIVSVSKDIGANIGVLSGLIYDFLATRTRTGPWVVHLFGSAQCFLGYFLMWAAVSGVLPPVPVPVMCLFMFVAAHAQSYFNTSNVVTGVRNFPNYGGTIVGILKGFLGLSGAILIQVYRTVFNNNPMSYLLMLSLLPPINTLILMWFVRIHNTRREGESEKKYLNIFSLMALVIAAYLMIVIILENILTLQLSIRIFTFIVLMVLLASLLCIAFKAHEKNSSNSASKSFLAEGSNLIAREDSSNNLLPADDTNSQRTLQQGGNLNLFQAVKTLNFWILFVSMACGMGSGLATVNNMSQIGESLGYSTLETGSLVALWSIWNFLGRFGAGYVSDYFLHTRGWARPFFMVITLMTMSIGHVVIAYGLPGALYVGSILVGICYGSQWSLMPTITSEIFGVGHMGSIFNTITIASPVGSYIFSVRVLGYIYDKEASGTEGNKCAGTHCFKFSFLIMASAAILGSLTALCLFLRTRHFYGQVVLRRIQNVM >RHN62899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46615661:46615897:-1 gene:gene25532 transcript:rna25532 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSGSDPSSEAKGDMKVNQKPAWLERLMGETFFGGCGVHQNQRKNEKNILCLHCCLTICPHCLPSHPSHPLLQVLVT >RHN48624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48920292:48930658:1 gene:gene43363 transcript:rna43363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA glycosylase, helix-turn-helix, base-excision DNA repair, demeter MEFGETEKKEVNSQIPWLPTTPYKPIAQRPVPIYTPGERNQLNSHINGEFASFESSSGGGVNHRPESVAVTLNIGHDNARTRVPISFDNPSSSNSFAELLAQAEAPSSAAYCNSPYGYLGDQFVPGVLNLNPRFNQSYAFLGQHNLYQQQLFNNFICDNYQDPQGTPHLAYYNKTNSQVALPPENNITSNDNQVVETQINMEQRDQRDDESNASATMCNSSHNKLYDPVVDLTSVSTPSKENKNHNKEIHHVIDLNEIPQTKPKRRKHRPKVIKESKPKKTPKPATPKPDQSKENSTQKRKYARKKESNATPAEVTGQCTEPLMSESAKKTCRRSLHFDIPEQPTDGNSACREENATRHFGGEISIEVQETHVLNNHMTLQEDAQASSSSRKLSSSGSQEFGSKKKPSATTKQADNGSINLLGAQYNQMQAYQSKYWLQFPNVQKKKRSEKGKFSNIPNTSSMNAAQDVQLPTCSEENARSHPDASTSNVWTTASASEYETAQLLTMLKVTEIATHDKSRSLEYNLFSGQSRPTKKRSRVTTRAHDYTSLSKITNYDAKLTNIVNRGSSERQTFEDAERPQTGIDALVAEMRASLTKKKRSKKRSTPISSTYSCLNEMQHHLPLHNSLGVACGESWKNIPTVDRLTQQFSHLSIYREARELVLYGQNALVPYNRQNEKRKGRVHENGTIIPYEGMFDPIKKQRPRPKVDLDEETNKVWKLLMLDINSHGVDGTDEDKAKWWENERNVFRGRAESFIARMHLVQGDRRFSRWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLIARFPLKSSSMFDTCHEESASMIVNTPEVQIVEPEEYAKLDEIILNQSVQELSSMTKDIIEHSEERETVDSNSIDSCGTTGSLDSLKDVSNCKLSEPAQRNIMEHGTMEFVNPLTGKGQENSCHGGIRKESNVLFSPNCSIVTSQLSGDFSIDQNPEKIGSFSDSNTEVEDRLSTAEYNFSNRTSFSKLLGMANSTQLHEVNSQRSNPTENLRDLYGQSVAMRHDNLEENLEKSNVTQSSLEAIMKQFNGHNLKMTPNSEVHEVNFYNPLNVEASSSGSSKNTNENNKSSGTPTESESQAAITHSHSMLSQVPLQQHSDHQQHKVFHISGQSKDLMEKSKESDFGDHNYALRNENSKLDSAPVKKLKGKERGKEKKDNFNWDSLRIQAQATAGKREKTESNMDSLDWEAVRRANVKEIADAIKERGMNNMLAERIQSFLNLLVEKHGAIDLEWLRDVPPDQAKEFLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQKTLYELHYQLITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEQKEQKNLVITTGNNATDQNPSTTVNQLPLSLPGLEQKNIEITTGTDATDQNSSVVINQLPLSLSENKNQTEELQQTVAIRQLEMNSEINNCQPIIEEPTTPEPECSHVSENDIEDFFYEESNEIPTINLDIEEFTLNLQNYMQENMELQEGEMSKALVALNQEAAYIPTTKLKNVSRLRTEHSVYELPDSHPLLEGWEKREPDDPGKYLLAIWTPGETANSIQPPDRRCSAQDCGQLCNEEECFSCNSFREANSQIVRGTILIPCRTAMRGSFPLNGTYFQVNEVFADHESSLNPISVPRSLIWNLDRRTVHFGTSVTSIFKGLATPEIQQCFWRGFVCVRGFERSTRAPRPLMARLHFPASRLAKNKKDSAAAAANSQGSNSNPNAEQQQPDFLASIPNLRQNGGT >RHN54339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8842941:8845164:1 gene:gene29355 transcript:rna29355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiosulfate sulfurtransferase MAAVSANLLPRCLAFFLLLVFVLCSSGAKVVTIDVHAAKNLIQTGHIYLDVRTVEEFEKGHVDATKIINIPYLLDTPKGRVKNLNFVKQVSSSCDKEDCLVVGCQSGKRSFSATSELLADGFKNVHNMGGGYMEWVSNKLPVIQQ >RHN40769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20686333:20689142:1 gene:gene46970 transcript:rna46970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose synthase MGTTCLFGRTRLHYHGSNRSQNGFTLTPPPPPPKPPDRSFAQIPVKFQVIRNFTIHQSPPEPLDPNHIAVNTTILPSYVETAVCPPSVWKNVCVNGIEISTRLSNVSVYLNFKEVFVEGMSNDMFVLGCHMFMLYPNRPITQPTPSFMSSWSIPSAQHHRKNEYEQVILVVSLGIGSYGHIALEYGYTMNAFKNTEAYGYGVVLSNVFIIKPPDISHKAVNLNATCHSWRFVVCGEVVILSRLYALNTQGGLCDDHDLTSAYSFYKVKSAPICRYLNGFEPLFLHKQNGGPVITITISMYGLISYVSHNWLSLYQLHTLSFDNIFPCPKFCAPHSKEFFMMFQKKKLNREVHLQEYEALDCYGSIVIFPSNKAITVGGLVVVDFDVNGLVILVETLTNAKRICCENHWPNSGSCLKLQVQKLCLFHDVRFHPNAKYMSIIQSMKLKLLIERVINVMASRREIRGIVLPFQTIFPTLDVFIKYCFFSGIIGQPLVQIDQLKDFYIIEGAESVERAYSHLALKRYASEGIHINYLGLDYIVANPPPPPEPPDEVVIQTSLLVQHLHEQACLSLLLLLTVTRNLTAAPPPPEPPDLSNMATHFSMELLSYACVSKYGFVTLELKIMFGIWSLLFKKRCIMAAQCYRLSHAHWIEHEEKQNVLVSRSIVKGNQWEPGEQLEPHMTVQSSPFKQWDPGGCSLICGQGLCDFSGYLKVTTTTTIQHYYFGFNLEDKVDFKGDGNVMSLRVRRGIMGNRNMDPYINMRKAKEISMKYV >RHN51288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15832361:15834547:-1 gene:gene35704 transcript:rna35704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDFSQNTIAIGLLSAIFLFIILFNHSRSIKTKQPPMVAGAWPIIGHLPLLSKSQATHHLLGAMADKYGPIFTIKLGTATTLVINNWETAKECYTTNDTAVSYRPNLVAFKHMTYNHAMLGFAPYGPFWREMRKIVTLSFLSNHRIDLLTHVRVSEVQTSIKELFSIWKNKRDENGYLLVEMKKWFHELAFNTALRMVAGKRYFGESVMVKEEEANRCLNALRDYMRLIGVFPIADAIPFLRWFDFGGHEKSMKENFKELDTVVTEWLNEHKNKREDGGDDKSKGDHDFIDVMLSTIDGTNIHGFDSDTIIKATTMALVLGATDTSSVTHIWALCLLLNNPHALEKVKEEIDRHIGKERLCITESDINKLVYLQAVVKETLRLYPASPLSGIREFREDCNIGGYHVKKGTRLFTNLWKIQTDPSVWPDPLEFKPGRFLTTHKDVDVKGHHFEFLPFGSGRRICPGISFGLRTAYLTLANFLHSFEVLKTSSEPIDMTAVVETTNIKVTPLEVLIKPRLPFNYYETM >RHN79793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32247344:32248631:1 gene:gene3631 transcript:rna3631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFCNTMLLSMLRRRYGGAVSIIPSNNKFLPPGRSVSTCMLSKVLSNTNNNNNTNTIPYDDDSGSRVILPDYLCFNKYISAVTWFENNLSDGYASISEYNAVLSSLLRMGYHPTVLSFAQQLEESHNNHLQRVLPDIHTWTILIKAHAFDGNMFSAMSFFHKIINNGHRPTAEETLNILLHGFCVRHQIHKAMLFYNYIVLKNENGAGFKWNYHSYLILITGLCRIGETQSAIQLLRKALQIEIDTESLHAFTVMRCYNTIIFRLACKDRLINQAYDLYSEMKLHGNNVSPNSHTYHHLIFGYCIIGQFKQAIRLFKEFKASINIRPCLLTIAVTEREVKSAKSVVAVMIKGGLRPNVASYHSVIDRLYKGQSRRRVMNKIAQKVDTLVRPKIFPDGYYDYM >RHN51409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17319716:17320573:-1 gene:gene35839 transcript:rna35839 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSAPRSSSNMQEAAPAYEIKGITMSLEEWELKIQSKSPVDFTSLAHHRCDTLNYYEVQGLVTASIYDREAAKLEEAEKVLLHPELEGKSRSEMGFKPFEGTEIRSSIMGIPVHISEDIIAFVLRRPAKGTYRYGIKNVKTSPWNETVNQSIFNNKEKGVYVNLGMEKKMMLKIQNDNLLPKGRGSDEPSLEHKIFLHLFITGEPTNVPRYIFRHMIQQLRESQLKKICWVPYGRLISEEAFSYLLPALGVAQKEGLALLTQ >RHN44113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1813069:1820625:1 gene:gene38172 transcript:rna38172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative apoptosis-antagonizing transcription factor, AATF leucine zipper-containing MGSSSAKKSKNMQKSDSDLDEYDDDDDMSYDEVNDDSELEEEKEEDGEDEGEEDDGQEENGWRDDEMEQLEKEYKDLHHQEQELNTLKNLKHHKDEDLLKGQAVKSQKALWYKILELRFLLQKPFSNSNRLPQESIKESFCESDETVRGAYEDLITSSKETLDSILELQEALIAKNPSVAQASNGSERSSKDLEISNILDDNPDQEWSQISRMHNSMATFRDKSINKWQRMTQVTTGAAAIKGKLHAFNQDISHQVAAYMRDPSRMIKQMQLRRSVIKIFGSVAEVNDNSNESETHADGDPELLDDSEFYQQLLKEFFETIDPSSSEKAFYALKRMQTKKRKIVDRRASKSRKIRYNVHEKIVNFMAPRPANLPPMAPMLFDDLFGLKTQRSSAAAS >RHN62964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47085961:47091662:1 gene:gene25605 transcript:rna25605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MAKPKQHKKPPQKKPQNPQNKKQGKQDDPSQFRSQVDALGLRIVEVTADGNCFFRALADQLEGNEEEHQKYRSMVVKHILDNREMFEPFIEDEVPFDDYCQTMENDGTWAGNMELQAASLVTHSNICIHRNMFPRWYIRNFDDSQVHMVHLSYHDGEHYNSVRLKDDPCDGPARSIVIKADADLSAPSHLPKDRASKPYAQAGMKTFQPGLVKVVMAGSGCENREKVEQILKQVNGDVDAAIEFLIAEQGAEECSSNSECIPSQASTTGCDENESHEKHKENMVEESSHSTNDEVSNSSTKANDNITLQQNDKIPRNRVCPCGSKKKYKACCGTSSGKQSAKFLVNQAADSRRNKKDTKKGISAKAGVSCEYDSVTRDMGALCI >RHN58257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:334911:337531:-1 gene:gene20149 transcript:rna20149 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAAAAATMGLGLGMGGMTVTSHFSPSSRLLSFQPNNLINPQHKRRTTCSISINHKTNTLINNESNNVLLSGSTRTVTTLFATALLCVKAFVNILPPPDLCASIATSSASLCFAVMKNTRSGTVNTPLTVVAAGLKKWLDIYSGVLLVRVLLSWFPNIPWERQPLSAIRDLCDPYLSLFRNIVPPVFDTLDISPLLAFAVLGSLAGLLTVSV >RHN74763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36510908:36516701:-1 gene:gene10876 transcript:rna10876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal peptidase I MPFMNYIAQWKSVAKEALDRSAIIAKFLCYLHFTGNYLCSPTHAYGPSMLPTLNIAGDVVLVEHVSPRIGKVGHGDLVLVKSPLNPNRNLTKRVVAMEGDTVTYFDPLNSEDSRIAVVPKGHVWIQGDNVYASRDSRHFGPVPYGLIRGKVFFRVWPPSSFGRLDN >RHN70498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51823653:51828733:1 gene:gene19080 transcript:rna19080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MKGNQSLLILLFEFLFFFLGGESISSSATKSGNNNQVYIVYMGAANSTNAHVLNTVLRRNEKALVHNYKHGFSGFAARLSKNEAASIAQQPGVVSVFPDPILKLHTTHSWDFLKLQTHVKIDSTLSNSSSQSSSSDIVIGMLDSGIWPEATSFSDNGMDPIPSGWKGICMTSNDFNSSNCNRKIIGARYYPNLEGDDRVAATTRDTVGHGTHTASTAAGNAVSGASYYGLAEGIAKGGSPESRLAIYKVCSNIGCSGSAILAAFDDAISDGVDVLSLSLGRGPSSQPDLKTDVIAIGAFHAMEHGIVVVCSAGNSGPELSTVVNDAPWILTVAATTIDRDFQSNVVLGNNKVVKGQAINFSPLSKSADYPLITGKSAKTTTADLTEASQCHPSSLDKKKVEGNIVICDGVDGDYSTDEKIRTVQEAGGLGLVHITDQDGAVANIYADFPATVVRSKDVVTLLKYVNSTSNPVATILPTVTVIDYKPAPMVAIFSSRGPSALSKNILKPDIAAPGVTILAAWIGNDDENVPKGKKPLPYKLETGTSMSCPHVSGLAGSIKSRNPTWSASAIRSAIMTSATQINNMKAPITTDLGSVATPYDYGAGDITTIESFQPGLVYETSTIDYLNYLCYIGYNTTTIKVISKTVPDTFNCPKESTPDHISNINYPSIAISNFTGKETVNVSRTVTNVGEEDEVAYSAIVNAPSGVKVQLIPEKLQFTKSNKKQSYQAIFSTTLTSLKEDLFGSITWSNGKYSVRSPFVLTM >RHN51123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14162390:14162743:1 gene:gene35504 transcript:rna35504 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFHNISLRPPWSIFIITQKSFSSQTKAIGKLTKLSKTFMFTPKANSKQKHVKKTQERETWYAVTRQLVRRVSLLAVASCDRKLYGNRPVSSQKPNFSSTQTPNLIGNLTYDYSTT >RHN57738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41398271:41398798:1 gene:gene33321 transcript:rna33321 gene_biotype:protein_coding transcript_biotype:protein_coding MPCISDIEHKMKKKMPQSICIFPTRTKPEVAVLRTEDLATSSAPTKESMHNRSITLDASDTKEMAEFPPVDIGTRGTVASLIMQEIEYFSRIESNSQDRSQRNKSHQITDVGSSLSTNSRSTIVSTVESTKKKRVSSKLLPSMCSMVDVSENCRPNRTSVFGYKNLRSDKKKFQF >RHN39719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9917969:9922094:-1 gene:gene45773 transcript:rna45773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol 4-kinase MSSAGVTILSPVSREKLLSPTNGLCNPLHLSSNLHDFIFVYLSYSGSLSPMRVLPSDTIESVKLKIQRNEGVTNKQKLVCNGRELSRSNSLLKDYGVTEGNVLHLVIRLADLQTISVRTCSGKEYSFQVERCKDVWYVKKQIAEKEKEFADPEQQEVVCNGELLDDQKLIDDICSNYNDAVIHLFVRTKYADVSTGLDELSIEAKELNDSKNSDAKEADYVRKYDSEEDARKEYEAIERIMSRKAPGGGLVLEPVIVNPKVELASEVWDMINTTYDGLDSGNSPIRSAEGTGGAYFMLDSTGQKYVSVFKPMDEEPMAVNNPRGLPLSLDGQGLKKGTRVGQGAFREVAAYILDHPMSGRRSLFGDEKGFAGVPPTVMAKCLHKAFNHPEGLTAKFGSLQMFVENNGSCEDMGPGAFPVKEVHKITVLDMRLANADRHAGNILLGKEKKNGQAVLIPIDHGYCLPTSFEDCTFEWLYWPQARQPYSPEIIDYIKSLDAEEDIALLKFHGWDLPLECARTLRISTMLLKKGVGKGMTPFAIGSLMCRESLNKESVIEGIFKAALDSVLPGTSEATFMDAVSEIMDQHLDEITSNYNS >RHN38477.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000010.1:91573:92269:1 gene:gene50670 transcript:rna50670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVKIVIFVYSMIIFLSLSLVAIEAGRGYRCTTDSDCPPNMCPPGMEPKCVRYVCKCLPIGWLT >RHN50688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9462133:9472892:-1 gene:gene35009 transcript:rna35009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-reducing end alpha-L-arabinofuranosidase MKLFMLVFIILGILLSFSQLQILSFSNNVISVHLKAMYLLCIILMAFDPTEGQTAKLVINASRSSGMQIPDTFMGVFFEEINHAGAGGLWAELVNNRGFEAEGEKVPSSLHPWEVIGDKPAIVVSIDQTSVFQRNRNALRMEVHCDHSSSCLPDGVGISNPGFWGMNIEKGKKYKVVFYVRSTEGIDLKVSFVGTNGGKLASSEISGVGVNASTWRRVERVLEATETNHYSSLQITATGKGTVWLDQVSAMPLDTYKGHGFRMDLFQMVAELKPRVFRFPGGCYVEGNVLKNAFQWKQTVGPWENRRGHYGDIWDYWTDDGFGFFEGLQLAEDLNALPIWVFNNGISHSEQVNVSAISPFVQDALDGIEFAIGSPTSRWGSIRASMGHPQPFNLKYLAVGNEDCQNNLIPIYQGNYPVFYEAIKRVYPDIQIITNCDASKQPLTHPADLYDYHHYSLTANDMFHKAEDFDHASRTGPKAFVSEYALIKEDAGNGTLLAAVAEAGFLIGLEKNSDVVSMVNYAPLFVNTNDRKWTPDAIVFDSHQVYGIPSYWLIKLFKESSGATFLNSTLQTDSPTLAASAISWKSSVDGTSILRIKVANLDKKAVIIEISIEGLESSVSFSKLTKTVLTSSNPMDENSFSEPNKVVPKESLIQNAGKIINVHIDPVSVTSFDFNM >RHN69838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46686481:46702770:-1 gene:gene18359 transcript:rna18359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cadmium-transporting ATPase MATTSSLLQLSQLHFLSPQITLRNRFSFSTRSISRFSTSNVTLRSTRIRASKEESAIAERVNDVEWSGNGVASRAGSNGAAGGYVNGAVNGSSVKYGNGNGVASTVVVDVEASKVNEDGRKRRLEEIGKEDAWFKQTEEQKVEVAVAPGGRWSRFKTYSTIQRTLEIWGFVVTFIFKAWLDNQKFSYKGEMTEEKKKLRRKTLAKWLKESILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSESALAIVEEELGASVGDIFDQFDYEPIAAASLGQVHRARLKGQEVVVKVQRPGLKDLFDIDLKNLRVIAEYLQKIDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAANAELFASNFKNMSYVKVPSIYWDYTTPQILTMEYVPGIKINKIQALDQLGVDRARLGRYAVESFLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLETFYGVYEKDPDRVLQSMIQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRKEKEEATGELGFKKPLSKEEKMMKKKQRLAAIGEDLLSISSDQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLRFREAGVEVVLKDFTKRWDRQSQAFYNLFRQADRVDKLASIIQRLEQGDLKLRVRTLESERAFQRVATVQKTIGNAVAAGSLINLATILYLNSIRVPAIAAYVFCAFFGFQVLLGIVKVKKLDETERLITGTA >RHN67029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23024174:23024411:-1 gene:gene15160 transcript:rna15160 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLKAAETLKIPASMTFLKAAETLKISTTFLKATKNPGQYDLLKGC >RHN41193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28024017:28028376:1 gene:gene47486 transcript:rna47486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MVFTLIIVYEICILGKRGVTIGIRAGRSVRSIRESCRVLNIRMAGRNDAALAAALQAVAQAVGQQPNVNAGANAEARMLETFMKKNPPTFKGRYDPDGAQTWLKEIERIFRVMQCTEDQKVRFGTHQLAEEADDWWVALLPTLGQEGAVVTWAIFRREFLRRYFPEDVRGKKEIEFLELKQGNMSVTEYAAKFVELSKFYPHYTAENAEFSRCIKFENGLRPDIKRAIGYQQLRVFQDLVNSCRIYEEDTKAHYKVVNERKGKGQQSRPKPYSAPADKGKQKMVDVRRPKRKDAAEIVCFNCGEKGHKSNACSEEIKKCVRCGKKGHVVADCNRTDIVCFNCNGEGHISSQCTQPKRAPTTGRVFALTGTQTENEDRLIRGTCYINNTPLVAIIDTGATHCFIAFDCVSALGLDLSDMNGEMVVETPAKGSVTTSLVCLKCPLSMFGRDFEMDLVCLPLSGMDVILGMNWLEYNHVLINCFSKSVHFSSVEEESGAEFLSTKQLKQLERDGILMFSLMATLSIENQAVIDRLPVVCEFPEVFPDEIPDVPPEREVEFSIDLVPGTKPVSMAPYRMSASELSELKKQLEDLLEKKFVRPSVSPWGAPVLLVKKKDGSMRLCIDYRQLNKVTIKNRYPLPRIDDLMDQLVGARVFSKIDLRSGYHQIKVKDEDMQKTAFRTRYGHYEYKVMPFGVTNAPGVFMEYMNRIFHAFLDRFVVVFIDDILIYSKTEEEHAEHLKIVLQVLKEKKLYAKLSKCEFWLKEVSFLGHVISGDGIAVDPSKVEAVSQWETPKSVTEIRSFLGLAGYYRRFIEGFSKLALPLMQLTCKGKTFVWDVHCEKSFGELKKRLTTAPVLILPKSDEPFVVYCDASKLGLGGVLMQEGKVVAYASRQLRVHEKNYPTHDLELAAVVFVLKIWRHYLYGSRFEVFSDHKSLKYLFDQKELNMRQRRWLELLKDYDFGLNYHPGKANVVADALSRKTLHVSAMMVREFELLEQFRDMSLVCEWSPQSVKLGMLKIDSEFLKSIKEAQKVDVKFVDLLVARDQTEDSDFKIDDQGVLRFRGRICIPDNEEIKKMILEESHRSSLSIHPGATKMYHDLKKIFWWSGLKRDVAQFVYSCLVCQKSKVEHQKPAGMMVPLDVPEWKWDSISMDFVTSLPNTPRGSDAIWVIVDRLTKSAHFLPINISFPVAQLAEIYIKEIVKLHGVPSSIVSDRDPRFTSRFWKSLQEALGSKLRLSSAYHPQTDGQSERTIQSLEDLLRICVLEQGGTWDSHLPLIEFTYNNSYHSSIGMAPFEALYGRRCRTPLCWFESGERVVLGPEIVQQTTEKVQMIQEKMKASQSRQKSYHDKRRKDLEFQEGDHVFLRVTPMTGVGRALKSKKLTPKFIGPYQILERVGTVAYRVGLPPHLVNLHNVFHVSQLRKYVPDPSHVIPKRRCAN >RHN79384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28285591:28286124:-1 gene:gene3170 transcript:rna3170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MTPSTYLSLLLTISMIFISHAISPTSSPNLYKSVCKETNKQDPHYEQPCLKLLEPYPQITSAKDYLTFSRLFLRIVAIENATKAQHQVKEMKKKYPSSQTIKGCALNYDKVVDELQIALDEYSETISLDVAYASDALEECEHSLVNEKIVNTSSLSTLNSEMRFIIAIISFASDHLQ >RHN52482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35878139:35884365:1 gene:gene37166 transcript:rna37166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amylase MALNMTQIGSLVGTPIPIESTSTEPTTASMNAAAVWKTPATNLRCQVTRSEVIENGLSPPMSPCRSPVLSGTGIRPDLTSAAQAFTTEVENEYVSGGKTKKEKSGVPVYVMMPLDSVTMGNGVNRKKAVNAAMAALKSAGVEGVMMDVWWGLVEREKPGEYNWGGYMELMEMAKKHGLKVQAVMSFHQCGGNVGDSVTIPLPKWAVEEMEKDPDLAYTDQWGRRNFEYLSLGCDTLPVLKGRSPVQCYADFMRAFRDNFKHLLGDTIVEIQVGMGPAGELRYPSYPEQNGTWKFPGIGAFQCYDKYMLSSLQAAADAANKSEWGSTGPTDAGEYNNWPEDTNFFRKEGGGWDSEYGEFFLTWYSQMLLNHGERILTSAKSIFDNTGVKISVKVAGIHWHYGTRSHAPELTAGYYNTRNRDGYLPIAQMFARHDAIFNFTCIEMRDHEQPQDAQCLPEKLVNQVALATQKAQVHLAGENALPRYDEHAHEQILKASALNIEGSSDETEMCAFTYLRMNQDLFQPDNWRKFVAFVKKMKEGKSANKCWEQVEREAEHFVHVTQPLVQEAVAMMH >RHN56250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29283197:29284498:-1 gene:gene31621 transcript:rna31621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MGGAPSFNPCSVTFFSFHPIIVVTVTTTLILIASAEDHNPKENGAIPSLEDDNGATTSSPPPPVSSSESSNDRERSSDAFKPSTAVIVGVLTTTFFLVLLLLLYARHCNRADMPVASNPNPNGESNLHKRKNSGIERAVVESLPVFKFGSLSGKKNGLECAVCLNGFEDPDVLRLLPKCKHAFHMECVDMWLDEHSSCPLCRYKVNPDDIVLPQRQNTEEELLSSNIERGNNVNDNGPQHENENVGPQQVSSMEKRDSGLSQINALENNEVSSSRRSFDSNNSRNARSEGVIGEHRLDHQIILSPTYNSHSRVHQRSINSKSCDMLYLTFDGIISEGSSSQLNITHRGRRTMVERNRDVLDDEMENGFGGGRGERNLRTVSDMAGMSKFLSREIGRERERGGGREEQERQREGVASRWLAWISGSKSKGATSE >RHN80796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40918474:40926133:1 gene:gene4762 transcript:rna4762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H oxidase (H(2)O(2)-forming) MEIDQENKHESWSEPESTGSRSSGVGLSGPLASKKQRNRSKNKSASFKDDGEMVEITLNVRDDSVSVQNIRGGDTETAFLASQLEKRPSSLSAKLRQVSQELKRMTSFKAFDKVDRSKSGAARALQGLKFMTKNVGSEGWSQVEKRFDELAVEGKLPKTRFSQCIGMNESKEFAGELFDALARRRGIVAASITKEELREFWDQITDQSFDSRLQTFFGMVDKNADGRITEEEVKEIIALSASANKLSKLQERAEEYAALIMEELDPNNLGYIELYNLEMLLLQAPAQSAHITTDSRVLSQMLSQKLVPTKERNPIKRAFRELAYFMEDNWKRIWIIALWLSICAALFTWKFIQYKRRAVFHVMGYCVTTAKGGAETLKFNMALILLPVCRNTITWLRSRTRLGMVVPFDDNINFHKVIAFGIAIGVGLHAISHLTCDFPRLLHATDEEYEPMKQFFGDERPNNYWWFVKGTEGWTGVVMVVLMAIAFILAQPWFRRNRLNLPKPLKKLTGFNAFWYSHHLFVIVYVLFIIHGYFLYLSKKWYKKTTWMYLAVPMILYGCERLLRAFRSGYKSVRILKVAVYPGNVLALHASKPQGFKYTSGQYIYVNCSDISPFEWHPFSITSAPGDDYISVHIRTLGDWTSQLKGIFAKACQPANDDQSGLLRADMLPGKSSLPRMPRLRIDGPYGAPAQDYKNYEVLLLVGLGIGATPLISILKDVLNNIKQQEEDLEEGGEVESGVKNNKKRPFATKRAYFYWVTREQGSFEWFKGVMNEVAENDKEGVIELHNYCTSVYEEGDARSALITMLQSLHHAKNGVDVVSETRVKTHFARPNWRNVYKHVALKHPEKKVGVFYCGAHGLVGELRKLSLDFSRKTGTKFDFHKENF >RHN42872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41573079:41574097:-1 gene:gene49370 transcript:rna49370 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQNRNHNNYGWSHMHEIYEPAYYPGHHHQKRRVTVYEEPMVEADQSYYLEVRRETEIETDRRGLRYGDQNVSTTYESVDEEADAFIQHEHRRTALAKLMSSMRGT >RHN75041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38903151:38905149:-1 gene:gene11189 transcript:rna11189 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNDNNSSCESSFCKDEKVEAMNLLEECWYFDNLLNIKPKMLRCHSDPYPSTRLISSELLKDSSASKPSNSDFVHPKKLQRAPSMPPIRVKEEEKDDKKGRKLVHQTSDSAMHKAGSKHHCGKMKGLDHRSDSNRRKSKLLRTPSLPPSIGREEKFQETDSRTGRSRKQPSAPPNNDNLPPRLTSKSCSIPRSRPAKNIEVESLNKEGILEMRRKVLNQKTIRRSLSDLEYEEVQGFKDLGFSFEKEALSPSLANIIPGLQEKNRDESEEDKAARGPYLSEAWLVQSCATPPVPNWGNKMSADDMKKHIKFWARAVASTKC >RHN80612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39439577:39449116:-1 gene:gene4557 transcript:rna4557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flagellar calcium-binding protein calflagin MSMEVVTDAASLDVELLQLPEFSTLSLKANLNFVEKLFEQWLSLPESNRLVTSLLNDAKSGAPLNVPGNCSSPNAATNSLPSMFPAGTAPPLSPRSSSGSPRIVKQRAGPSNLGSPLKVVSEPIKEVIPQFFFQHGRPPPNDLKEQCLFRTDQLFHDHSDGLQVHEFKSITKEVCKLPSFFSTSLFRKIDNGAGVVTREAFIDYWINGNLLTMDLATQIFTILKQPQLNYLTQDDFKPVLRELLASHPGLEFLQSTPEFQERYAETVVYRIYYYINRTGNGRMTLRELKRGNIIDAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDANGVLTRNELQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIGPEDDSYVTLRDLKGSKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDVSNGSAEVWDESLEAPF >RHN76256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48925779:48928937:-1 gene:gene12549 transcript:rna12549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding, P-loop containing nucleoside triphosphate hydrolase MGASGKWFKSLLSNKKSDQEKKCSSTKKKWKIWKTSSSSSSSSTINKTVSDSSITDTAAAVAVVVRAAPKDFILIRQEWAAIRIQALFRAFLARRALRALRAVVRLQAIFRGRQVRKQAAVTLRCMQALVRVQARVRARNVRKSPEGKAVQQLLDDHRNHADSAKLVEQGWCEIPGTADEVKAKLRMRQEGAIKRDRAMAYSLSTQSRISASPNSKSTKSVSLFKHHHNLDNKSLGNNLLERWMANKPCPWESPISSRKSEELVPTFQSRRNGVTTRISALKICQQTPSSSTLSSEYMNDDSILSTSCTSGSPSMPEATEEKDAHLPSYMNLTESTKAKLKTYRSSSQSSKRLVMDDCMSHNTSTTFLNGDNSINSSGSEPSVNLWKDHCATPLRASYYQKRYGRR >RHN50321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6145954:6150883:1 gene:gene34593 transcript:rna34593 gene_biotype:protein_coding transcript_biotype:protein_coding MVNECGNQWECSSLFGRRPFLHFIIGGGFNRLVMARRLCEGSHVMVGAPVALCF >RHN54537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10312366:10317538:1 gene:gene29601 transcript:rna29601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MATMGGETLKAHLGMVVVQLFNGGYYVITKVALNSGVNQLVFCFYRDLIALLILSPIAFFKERQTRPPITKQLFMSFFFLGLIGIFGSQVLFLYGLGYTNPTYASALQPSIPVFTFLLAVIMGVERVNLQSFEGLTKVGGTVICVSGAIFMALYRGPSLIGYNEPVIIPHNEIIVSGQPEPFGFGWLITGLLNLGSENFELGVMFLIGNCTCLAAFLAIQAPVLKKYPAYLSVTAFSFFFGVVLMAIVSLFTTNLSSDWILTQSEILAVVYAGSITSAFNYAVMSWSNKILGPTLVALYIPLQPGFAAILSQIFLGSPIYLGSIIGGSLIIVGLYTVTWASFKQRQATVGTTSHDSWISEPLIIHEKSSHHRGNIFSGHES >RHN58325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:794258:798399:-1 gene:gene20227 transcript:rna20227 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NIP3 MDNEEIPSVPSTPATPGTPGAPLFGGFRSERTGNGRKNSLLKNLKCFSVEDWTLEDGALPKVTCSLPPPPVPLAKKVGAEFIGTYILMFAGIATAIVNQKIHNSETLIGCAGATGLAVMIIILSTGHISGAHLNPAVTISFAALKHFPWKNVPLYIAAQVLASICASFTLKGVFHPFMSGGVTVPSVEYGQAFALEFIISFNLMFVVTAVATDTRAVGELAGIAVGATVMLNILIAGPATGASMNPVRTLGPAIAANNYKGIWLYLIAPILGALGGAGAYTAVKLPDEEFNSEVKASSAPGSFRR >RHN75248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40597742:40601450:-1 gene:gene11421 transcript:rna11421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MDSQQLYSYSMSNAGLPYMSSFPSLPNGLLGSLKVGFGNSPDSPFSSHFDSDTLSAFSDSHEQHNSGEILSGISPSCNSSLETNHYTQRSFSSINSLKDSLQLYSARNSFLPSNQKIRHALLELETALMAPDDNEVTTSNSSLGESIKETASGPRYRSWSNEHQGSQYIQSQPSHVTSSSRQSNEAVHVEKRRKLEEDSSLQGFPSGDLKQLLIACAKAMAENNTELFDRLIETARNAVSINGEPIQRLGAYMVEGLVARTEASGNSIYHALKCREPEGEELLTYMQLLFEICPYLKFGYMAANGAIAEACRNEDHIHIIDFQIAQGTQWMTLLQALAARPGGAPHVRITGIDDPVSKYARGKGLEVVGERLSLMSKKFGIPVEFHGIPVFGPDVTRDMLDIRHGEALAVNFPLQLHHTADESVDVNNPRDGLLRLVKSLSPKVVTLVEQESNTNTTPFFNRFIETLDYYLAIFESIDVTLSRNSKERINVEQHCLARDIVNVIACEGKERVERHELFGKWKSRLTMAGFRQCPLSSYVNSVIRSLLRCYSEHYTLVEKDGAMLLGWKSRNLISASAWH >RHN53759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3920612:3925885:-1 gene:gene28703 transcript:rna28703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ternary complex factor MIP1, leucine-zipper MLVTLQVLDTNLDTNDPTGFVASDRNSKFSRGGGVLRSIGKDQDEFLASPLSARASRIQTPLHDNHNCINKNASSNHRASLEQDVEQLQLHLQKEKSMRILLERAMGRASSTLSPGHRHFASQTKDLITEIELLEEEVTSREQHVLAMYRNIFEQCVSRPPSEQSSSVASPAHSKQESRKHPSIISSAFCSSKNFPLRPLQALISNNDLKNRIFGSSHAPLSSGKDKVYFGRTCPDSTTKVHEKFSSIEKAPVLRALKDHLHQCPSRLSEEMMKCMATMYCWLRSAKSVNAENSRSPILSRSSTNAIQPRHSIVEDQDCSCKSAVEISWIATRKRHSSHASYAMDNYRILVEQLERVNISQMECDRKIVFWINVHNALVMHAHLAYGIPQSSLRRLALFHKAAYNIGGHIISANTIEQAIFCFRTPRLGRWLESVVSAALRKKSGEERQLINSKFGIIDSQPLVCFALCTGALSDPMLKVYTASNLREELDAAKREFLQANVVVKKSSKVILPKLVERFSREASINIDNILGWMMENVDKKLHDSIQKCIDRKSNKKPSQIIEWRPYSSKFRYMFSKDLIDKL >RHN64359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58464092:58466695:-1 gene:gene27170 transcript:rna27170 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQQKLDALKGLVGVLNSMDDGESETQNGSPSTPLPGRNRSNKAKYNNTGKQNIKGLSNQTGFTEGNANGAINFGDLRIASGICNLAVGTGDARRRQTQAQCCPNGNVPPPAPAPAPAHAPAQSSEEFTYSNAGKQNIKGLTNQTGYVKGNANGVINFGTLTSSARQA >RHN48175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45577394:45578335:1 gene:gene42862 transcript:rna42862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Clp ATPase MTSNLGAEHLISGLSGKCTMQVARDRVMQEVARLKMKDVASRLAERGIALAVYGARPIRRWLEKNVVSELAMMYIKEKIDENTTVYIDVGPKGSDLSYRVEKNGEIVNAETGVKSDILIQIPT >RHN80530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38854337:38856047:1 gene:gene4468 transcript:rna4468 gene_biotype:protein_coding transcript_biotype:protein_coding MCVPSERRKKICSLSLIMVYGTSVIDSRYFVIFYLRFELFVMYCLQHVMCGLFLTSFGTIIQYYKEG >RHN47506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40206432:40206638:-1 gene:gene42117 transcript:rna42117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MALSRALFLFAFIATIFSTMAVAKDFVVGDEKGWTTLFDYQTWTANKVFRLGDTLSKLISICIFFHYL >RHN74652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35520779:35522435:1 gene:gene10757 transcript:rna10757 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MANEVILLDTWASMFGMRARIALAEKSVKYEYKEEDLRNKSPLLLQMNPIHNKIPVLIHNGKSICESAIIVQYIDEVWNDKASFMPSDPYERAQARFWVDYSDKKVYDTWKKMWLSKGEEYEEGKMELISIFKTLEGALSDKLFYGGDTFGFLDIGLIPFYSWFYAFETYGNFKMETECPKLMAWTKRCMEKDSVSKSLPDQKKVYDFVVSIKKALGLD >RHN48098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44975815:44980309:-1 gene:gene42773 transcript:rna42773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MRDENLKKTTKLSWPKTLVKKWFNIKTKSQDFQADDVICQVVDEEYGSNYSKMEACSIKKSITERSSRTYIDRMQRGKSYLGEAQVTDVCNYRIFVATWNVAGKSPPSYLSLEDWLHISPHADIYVLGFQEIVPLNAVNVLGTEDNGPAKKWLALIRKTLNNLPGTSGGYNTPSPIPAPIVELDADFEGSMRQKATSFFHRKSFQAMSRSMRMDNEMSLPQTGLGRRLSVCDRMISGHRKSDYDTNCRWGSSDDENGAGDSPTDYSPISYSDCFPTDDSDRPRGNSRYCLVASKQMVGVFLTVWVKSDIRDDVHNMKVSCVGRGLMGYLGNKGSISISMSLRQTSFCFVCSHLTSGQRDGDELRRNSDVMEILRKTRFPHILDTTDANSPQTILEHDRIIWLGDLNYRIALSYRGAKALVEMHDWKTLLKNDQLCIEKRQGRVFTGWSEGKIYFPPTYKYSNNSDSYAGDDRRSKQKRRTPAWCDRILWYGSGLQQLSYVRGESRFSDHRPVCSIFLAEVESINSNQIKKGSTCSSSRIEIEELLPHSHGYNFHFY >RHN43878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49162588:49165639:1 gene:gene50512 transcript:rna50512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EEIG1/EHBP1 domain-containing protein MSILSSHSPFITATSSSFNIPMADAKNNPNAQILEELEALSETLYKSHTSTTARRTASLVLPRTTPVPSIEDHNDNHATEVYSESSNKPRSRRMSLSPWRSRPKLEDGISKTETKEVVVNTSTTNLGENEKKGIWKWKPMRALSHIGMQKLSCLFSVEVVAAQDLPSSMNGLRLAVCVRKKETKDGAVKTMPSRVSQGAADFEETLFIKCHAYYTNNNHEKKFEPRPFSIYLFAVDAQELDFGRSYVDLSELIRESVEKSQQGARVRQWDTSFKLSGKAKGGELVVKLGFQIVEKDGGVDIYNNTNNNSPMQNSKSSKLSSLSSSFARKQSKSSFSVPSPRMTSRNDAWTPSHSHEGGSAIQGMDDLNLDDPNPVHDSSSSVQKVDDHIEQVEDFDLPDFEVVDKGIEVQEKEEDEGEESDKTIEEKPVADEVVKEVVHDHVHHARLSELDSIAQQIKALESMMGDDGINNSMKIEEETESLDADEETVTREFLQMLEEDQDSKGYLFNQPEIPPLQLEGHDDSPEDGGESEVYLSDLGKGLGCVVQTRDGGYLASMNPLDVVVARKDTPKLAMQMSKPFVLASHESVSGFDLFQKLAGIGLDELGCQILSSLMPIDELIGKTAEQIAFEGIASAVIQGRNKEGASSSAARIVSALKSMSNIISSGRRERISTGLWNVDENPVTSEKLLAISMQKIESMAVEALKIQADVAEEEAPFDVSALSSKKGESGKDLLASAIPLEDWIRDQSLSYNKGTAPASSNGEPERVTLILVVQLRDPMRRYEEVGGPTMVLIHATRAGTKGAKEEERRFKVTSMHVGGFKVRSFTNKNAWDNEKQRLTAMQWLVAYGLGKAGKKGKKTLTKGQDLLWSISSRIVADMWLKTMRNPDVKLVK >RHN64555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59995289:60001397:-1 gene:gene27380 transcript:rna27380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative von Willebrand factor, type A MAEEFCRAVDDGMKLSKRIYFGKDRAVAPPKVPTMSKSSTSLLPKAPMVYAVIHDPGIVDNPDIASYQPHVYGRCDPPALIPLQMNAIEMEIDCYLDTAFVTVSGTWRVHCVTGSSTTDCRLAIPIGDEGSILGVEVNALRKSYSTRLVVMDECKENQSATPAQNGGFLKSNIFTLTIPQIDGGSNLSIKMRWSQKVVCSNGEYSLNVPFTFPEFINPAGKRMSKREKIRLNVDVVAGSELLFKTTSHPLQQVRRHAGSMGFVCDNEVLSWSKDDFSFSYAVSSSHINGGVLLESASVHDFDQREMFYLYLSPGNIQKKKVFKKDIIFIIDISGSMQEKLMDDTKNALLSALSKLNSNDMFTIIAFNGESHLYSKSMELASNDAVERAREWINLNFVAGGGTNISHPLNTAIEILSGAQSSVPIIFLVTDGTVEDERQICTMVKNHMINGESICPRIYTFGIGPFCNHYFLRMLATIGRGQYDAALDVDMIESRMLTLFEKASSLILANIKMDMLDEIDQVEVYPSHIPDLSSEGPLILSGRYKGNFPETLKVKGILADFSNFEIDLKIQKDKGIPVQRVSARDQIQHLTAQAWLSENKQLEQKVAKLSLQTGFISEYTCMIILENDHLKKIKESPGEKTVSKKKHSRYEANVQGQRMILLPNLGVGFGNLKATAENTPPGYEAKIEMAEIFKAASNCFDTVCGYCCCMCCIQCFSRMNNQCLTALNQLCIGLGCLACLNCCSHICCSGNDG >RHN55386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17845962:17846625:1 gene:gene30559 transcript:rna30559 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHCYFSLVTLISFFIHGHLFKCTWEHAHFTKKTPHMLLSLSLSLSHVFYVHLSHTTPSYYNISHTSTIISTYDLNHSSTSFSFGVYSEVFFAMHIPLDIQDRNCDE >RHN68769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38382243:38385819:-1 gene:gene17163 transcript:rna17163 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLTGSPKGSWEPIMTVKTNTQSYWINWRVLLCAIWVLLSIIFSSLLLWKYEKRSRNVSARNGSGREKQEENSAILYEDETWKPCLKGIHPAWLLAFRVFAFIVLLVLLIVNVVVDGGEILLYYTQWTFASITFYFGLGSILSMHGCYQHHKKSSGDNKVDNVDGDAEQGIFDVHILPQSSNASDQEKNLGASEEVIVRQHAGTWGYIFQITFQINAGAALLTDCVFWFVFVPFLTIKDYNLNFLVIIMHSINAIFLIGDTALNCLPFPWFRMGYFCLWTVAYVIFQWIVHACKYLWWPYPFLDLSSSYAPLWYFAVALLHIPCYGIFTLGMKLKHYVLSTRYPDSYQCVR >RHN70285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50253943:50260937:1 gene:gene18850 transcript:rna18850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WEB family protein MEDVQDKLPSDSSTKIAEETPLAEHVEDMLPSESSSKVTQETHMAEHVEDKLPSESSSKVTQETHMAEHVEDKLPSESSSKVTEETHMAEHVEDKLPSESSSKISEETPLAEHVEDNLHSECSTKVTETQLMEPSEENTEVVNPLHNQSSSELPIPLSNGELESGSHLTVNELPELSLLPNVSNGQTIIQDEDVSVDNSASVPNDTVDAAETSDLLNLVEDSKPGATEDISDQHELQVDVTNVAADNEIRLSASSSETKDLLNDLNEVKMSSGAVDSPPQIKQVDVKRGLIDTTPPFESVKEAVSKFGGIVDWKAHRIQTVERRNLVEQELDKANEEIPEYRKQAETAEQTKNQVLKELDSTKRLIEELKLNLERAQTEEQQARQDSELAKLRVEEMEQGIADESSVAAKAQLEVAKARYTAAITDLAAVKEELDALRKEYASLVTDRDEAIKKAEEAVTASKEVEKSVEDLTIELIATKESLETAHAAHLEAEEQRIGTVMARDQDSLNWEKELKQAEEELQRINEQMLSAKDLKSKLEAASGLLLDLKAKLTVYMESKLKQEGDDELSQGGQEEPEKKTHTDIQAAVESARKELEEVKLNIEKANAEVSCLKLAATSLKSELEQEKSSLASIRQREGMASIAVASLEAELDKTRSEIALVQMKEKEAKEQMTELPKKLQLTAEEANQANLLAQAAREELQKVKAEAEQAKAGVSTLESRLLAAQKEIEAAKASEKLAIAAIKALQESEANRSKNEVDPSSGVTLSLDEYYELSKRAHEAEERANTRIEAANSEVEVAKESELKSFEKLDEVNREIAARRESLKMAMEKAEKAKEGKLGVEQELRRWRAENEQRRKAGESGQGVLNQNKSPRASFEGSKEANNFDRSQYATNPAQYLSSPKTYMHAEKDEGGSSPESKHGKKKKKSLFPRVMMFFARRKTHSNKSG >RHN66219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9837358:9838016:-1 gene:gene14162 transcript:rna14162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycyl endopeptidase MKFFQQSLLALGKIQISSKDHFHSPSFITRQKGRVYRNTWVFFGIILESAVPTINMRWIGDVAKQSHLCLTSFSWLLRLSIQEPIDCDPGSCGCHHGNHISVFAYIKNNGIALVEDYPFVSETQPCYAKNILHKPRVFINDVTVLNKRGLLGEDELKKAISMRPVMVILKVGYEFFEFKGGIFRTETKDPKWGYHAV >RHN65476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2516355:2519823:1 gene:gene13319 transcript:rna13319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSQGNNNRNDVETTNTQRQRLVAGTLTCPPLELPTLLFDLLPEILCRLPVKLLVQLRCLCKFFNSLISDPKFAKKHLRMSTKRRYIMLTNYNPSTRRDDEFVMYDSPIPLPFSTSAVLTQTQLHIPSTLTNGNHGVRLICSCDGVFCGQLNNDSYFLWNPSITKFKLLPPLENHEWTSLSFGYDHFIDNYKVIVVSDENEVRVNTLGTDYWRKIEDIHCYTKYGPGIFVCGTVNWVSWDVIISLDLEKESCQELCPPDFGNENNWWNLGVLRDCLCVFAGSDEYWDVWIMKEYGNKEFWTKLYTIPTIVYAEFLQDQGLVVDQDLLVNAALYISEDDQLLVKCYQLDNNHGATLAYIKFVVYDSKTGTLNIPEFQNNYEHRDAIFYIESLISP >RHN53013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41180389:41184463:-1 gene:gene37757 transcript:rna37757 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S6 MPPYDCMLLFKPNVKKEALIGLVSRIGKHVCKRNGVVTEVKSFGTIQLGYGVKKRDGRFYQGQMMQVSMMATPEINKELHYLNKEDKLLRWLLVKQRDTKIGLEFMGDEGRFEPNRFSVINKDDGEDSDTDGDDDEYEVNEEENKVN >RHN45419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20480944:20482003:1 gene:gene39751 transcript:rna39751 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAQKSKEVNNKGRHSNIPITKQRQDIAKTKHQKPNSTKCRPSWVLALKSLVEVQMENSDTRQITMEEGIFGEEQYNEEITKEQMYEFFNNTEIGVSVVCVYIRYLYEKFVRDTDVPRKFSFLSPHRISLVLIEAEQEFVKAYMVKEFLKYKDEHKLFILPFYIHKPIGHWVLFVINPISEIIYYMDPLLNDYMNYPKMKSMFDTVLKVFRAARNAQVSKNKFNNISWVRVQV >RHN46280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30362313:30365495:-1 gene:gene40751 transcript:rna40751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MASNKEEVKVFGLVESPFVTRVEIALKLKGVEYIYEKEKFGNLSDTLKKYNPVYKKVPVFIHNDKPIAESLVILEYIDETWKQNPILPSDPYQRALVRFWSNLIDDKCLSGVRKACFTLDEKEREKSIEETKEALQFLENELKDKFFGGEEIGFVDIAAVLIAFWIPIIQEAFGLKLFTSEEFPKLYNWSQEFNNHPIVKEKLPPRETLLAFYKARYESHFGSK >RHN41716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32312115:32313524:-1 gene:gene48068 transcript:rna48068 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEGDFPVTIMENLQKQKQNVMDALSLKESSINHAFYDTSPPLQLSKPKSTSLSLPNSINSSPLFTSKRKSKGSVVESPGQASNLTLKHQYLLKEIKLRKSKSCGEGRASLSPFDEFDHWLIKPSMVEHDYTNIKHESFSENEAIKEHHTNDSDLETNAEEGFKCSVLCMFLPGFGKAKSAKTKKEGSEMEGTISRTVSLEKFECGSWASSALFNDIETDNTSSYFDLPLELIKGSSYNDVHAPVTSAFVFEKDLKGVLKNGSSRTNARKSDTSPYHVRFSTSSTSHYSPSPASCNTPSLRNTKVDFNAFLEAQST >RHN57561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40047428:40048015:1 gene:gene33128 transcript:rna33128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGYSMPSLEAMLTFQVIWPSISPSAKDLVRKMLNSDPKQRISAYEVLNHPWIKEDGEAPDTPLDNAVIASCLSEEEIMGLKQMFKGMDTDNSGTITIEELK >RHN58531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2553411:2558407:-1 gene:gene20452 transcript:rna20452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D alpha 1 MAQIHLHGTLHATIYEVDKLNAGGGGNFLSMIKQNIEETVGLGKGVTKLYATIDLEKARVGRTRIIENEHTNPKWYESFHIYCAHMASDIIFTVKDDNPVGATLIGRAYVPAEEVLNGEEIDRWVEILDTEKNPIQEGSKIHVKLQYFDVTKDRSWARGIQSAKFPGVPYTFFSQRKGCKVSLYQDSHVPDNFIPKIPLSGGKTYQPHRCWEDIFDAITNAKHMIYITGWSVYTEISLVRDSRRPKAGGDTTIGELLKKKSSEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETANFFEGTDVHCVLCPRNPDDGGSIIQDLQVSTMFTHHQKIVVVDSELPGGGSNKRRIVSFVGGLDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFPGAAITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRKQGGKDLLVSLRELEDSIIPPSPVTFPDDHETWNVQLFRSIDGGAAFGFPDTPEDAARAGLISGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFAWSGEDIKPEDIGALHLIPKELSLKIMSKIEAGEKFTVYVVVPMWPEGVPESASVQAILDWQRRTMEMMYKDIVLALRAKGIEEDPRNYLTFFCLGNREVKKQGEYEPSEQPEPDSDYERAQQARRFMIYVHTKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPYHLAARQPARGQVHGFRMALWYEHLGMLHDSFLHPESQECIRKVNQIADKYWDLYSNDSLEHDLPGHLLRYPIGVASEGDVTQLPGFEFFPDTKARILGGKADYLPPILTT >RHN51628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21229830:21231064:-1 gene:gene36106 transcript:rna36106 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGWFWNVIRASGLYLLLETNYGQVDHGLLIAFSERWHSETSSFHLPVGEMTITLDDVSCLLHIPVGGNLLFHESLSIHQGTQYLVNYLGLEFEESAAQTKRLRSAHITYDTLLSIYTSYLTEAKSYANQPEEEDSMEWYRTRCIRAFLLYLVGCTLFSDKAGNSCCVVYLKYFDDLTTVNQ >RHN48042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44482319:44487675:1 gene:gene42712 transcript:rna42712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative U6 snRNA-associated Sm-like protein LSm2 MLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDRYPHMLSVRNCFIRGSVVRYVQLPPEGVDIELLHDATRREARGG >RHN54700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11404986:11407741:-1 gene:gene29774 transcript:rna29774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, kelch-type beta propeller MKRTRESIASSMKRTRESIASSTTRHRSKPAKELGSFADLSSLIITNILLQLPIKDVSICKCVCKTWNALISEPYFATLYSQHASLGFLLRTRNLRLVSRTLHLLEYQPKKFRRAFDIDVVNFNPKFKLPLRNANDTYQPTPYKPEDDKFSVVNSCNGLLCLSEPYTVNHLVVCNPIIGEFIRLPEAIGIANTRKPICAALGFQPKTNEYKVIRMWKRCDGWCYKSDVMVVEMHTLGTATWRNVEVDPMFSFTRLGSPTCVNGALHWINYDDKNKTRSILCFNFESEKFQSFPSPPHPHHKRLSITMVELKGFLYICESTVNSCVVWLMKKYGIGESWTRVFCSDNFNGIPLCFGLCRPVKHFENGGGALLIQNSYSCDSFIYYEPETRVFKVYSVDGAVSPWFELFPHSPGLISLKDVVKGGNIEVQNVYSRCAKVRVPEENESLSKIRRI >RHN45505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21634390:21634906:-1 gene:gene39856 transcript:rna39856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MCGDNMGDNRSRYVKLTKDKEQAPFQDITPGELNQPIDIQLNTRRCLECGQVLPEAYQPPADEDWTTGICGCVEDTDSCKISLFFRHQNLLIYLEY >RHN52285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33493941:33495508:1 gene:gene36942 transcript:rna36942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative retrotransposon gag domain-containing protein MRRSKSLGEFIPEIKRFLHKKKREAQNNTAMAERTLKEYATPSTEEPQAIIVYPTVAGNNFEIKPALLNLVQQNQFSGSPTEDPNLHISSFLRLSGTIKENQEAVRLHIFPFSLRDRASAWFHSVEVGSITSWDDMRRAFLARFFPPSKTAKLRDQITRFNQKDGESLYEAWESFKEMLRLCPHHGLEKWLIVHTFYNGLTYTTKMSVDAAAGGALMNKNYTEAYALIEDMAQNHYQWTNERAVTTPTPCKKEAGIYEVSEYNHLAAKVEALT >RHN66259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10145138:10146505:1 gene:gene14206 transcript:rna14206 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVDWSELPKELLNLISQCIDNELDLIHFRSICSTWRSSSIPNHHSNISSFKFPKYIPPPYSTKNDDNDIIHFIKNSNSPFDSFSKRSLFLVKAPPHQTVVLRPWLMQICPSSLPNKRGKIMLTYSHPPIRHESAKQLQETLIERADVLDFNKLSVLHLGTRYISNQYGKCPETALAVTCHGKNPLLLGTLSHFSHLPVIFRGCNEQWKLFSNLSTVRGDICLFKGRFYVVEMSGRTVKIGPDLTMVLVAQPLYNLLGDSLIRKMLVESEGELLLLDIYQSLYQFRIDFFKLDEKEKKWVKLMDYDKKLEKWKLKNFGDMVFFLGSGCSFSASASDLCLTKGNCVIFIDYYVLSRDDLTHGYRVFHLERNQLSRVSEYPEYFNLFLPPKWILKI >RHN71321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58224415:58229430:1 gene:gene19988 transcript:rna19988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGNACFVAARDKTVQSGPTNENSLRNIRNSPTWSFRWDHRGRVAGEDTSINWFSDGNSRNGGSETKNESGRVLENGSPAQNCQLNTCQKSPISEGTGANVRDSTSDLFISRSVSIDVSMQQANGLGESSLASWPSPTKPPLRSTSLSASPLSSQSHMLPPSFTPSRCTGQSPGHQPLWQTSDIQRQAYKLPGSFSLSEERPVLSWSNGSGMHSRGGSSDGWSTVPPGFPELMGTPQRQRWSLDSESFGSNRERLVRSSSWFSASPVDLRTCNFCSKVLKEKSPWSTQNIYSNNDLSVVAVLICGHVYHAECLENMTSDINKYDPACPVCSFGEKQTMKLAKKVLKAEMDLKARNKRPKNQVEDGDIEYYIENNDSVVIDSFKVREPKGKGKGKGKGKGKGPQMDSSSHGKISFGKPFLRRHFTFGSKGSRSMLDSHPTKKKGFFWTKSSKA >RHN60809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30544623:30548691:1 gene:gene23191 transcript:rna23191 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRGGFNGAGRMVCGGRSRFGFRSCGGYLLQSSELWGFQFLVGKITQFSLNLISVEIPETPCESDAECPYYSPSLYARCIDGFYPKAGPHFAKSC >RHN46752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34565040:34568577:1 gene:gene41275 transcript:rna41275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MGNCGTREESAVVSNAQVQHLPAGARNQTSLSGTGERKNSSLRHHLTDSASDLSETCSTPRWNNANNNNTLLYTHVIAFTLYELETITKSFRADYILGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKEGLQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKATVPLTWATRMMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSVDKTRPGKEQSLVDWARPKLNDKRKLLQIIDPRLENQYSVRAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQSSSVGADEVSLSGSNSGSSGPFAMNKISDYRMRHKFSNNVGPGATCRSPNPNCSPGGAPAALRVR >RHN64966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63346282:63347011:-1 gene:gene27850 transcript:rna27850 gene_biotype:protein_coding transcript_biotype:protein_coding MRHIQDKTNKSNFIIPFLQTYSRMSKQMRNNQKRNVQSSTLARTVKRGHRT >RHN63078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48197175:48204264:-1 gene:gene25735 transcript:rna25735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysosomal Pro-Xaa carboxypeptidase MATKIFTTTLISLIIIISSSHQLLALNHTPKFFRKFPFPSSAKSSQSQPQLDIHYETKFFQQRLNHFSFFKTPMFPQRYLINTENWVVGSGPIFLYCGNEGDIVWFAQNTGFIWEIAPKFGAMVVFPEHRYYGESVPFGSKEEAYKNASTLGYLNAQQALFDFAVLVTDLKRNYSANACPVVLFGGSYGGMLAAWMRLKYPHIAIGALASSAPILQFEDIVPPETFYDIVSNGFKRESSSCFNYIKQSWDVIESKGQTSDGLELLTKTFRFCQKLKSTEDLRDWLESAYSYLAMVNYPYPSEFLMPLPGHPIKEVCRRIDKGPAGTSILERIFEGVNVNYNYKGPAGTSILERIYEGVNVYYNYTGEAKCFELDDDPHGLSGWNFQACTEMVMPMSSSRKSSMFPPYEYNYSSFQEDCLKNFGVKPRPKQITTVFGGHNIHLALRKFGSNIIFSNGLLDPWSGGSVLQNISESIVSLVTEEGAHHIDLRASTENDPDWLVEQRATEVKLIQGWISDYYQNYKVVFDM >RHN50667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9219844:9220630:-1 gene:gene34984 transcript:rna34984 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSTDQIDTAFKYIDSTLKHGNTLSMDLFMKCVRSCVKQGRLDMLLAYYGLEFMARWIVKGERARPLVLLSVDEGLAVSALMTAGGTYNSELLGAAWAVLDRSLRKKKLPNPESYLAKIYALASLGHLQKAVGTLHDYENAYGFITCYNELTMVYYVTCNYVINFFEFGAHISDMLLVFQFCHVTFCHSMANIVGCILYMSLT >RHN73813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20173195:20180922:-1 gene:gene9683 transcript:rna9683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MYPCNVDDYDAYKSLICISRSAPIPCDPVVSNTEEEVRAGGKQKKRSLSESSEQPAPTRKMPKRSASATSKNLKEKSFSKSDKSDLIETKKDQVVEEEFLAVRMTAGQENGRPNRRITDFILHDESGAAKQLEMLEINDLFITGLILPLEASTYKKKEQGVRCEGFGRIESWDISGYEEGAPVIWISTDIADYDCQKPAASYKKYYDQFFEKAHACIEVYKKLTKSAGGDPDISLDELLAGLARSMSGSKYFSGAASLKEFIISQGDFIYKQLIGLDMTLEANDKGFTEIPALSALRDESKKQANFANAQVMPSNATLRIGSGTGNEEKKDQMDSVDEEDEDAKLARLLQEEEYWKSKKQRKNPRSSSSSNKFYIKINEDEIANDYPLPAYYKTSLQETDEFIVFDNDYDVYYTEDLPRSMLHNWVLYNSDSRLISLELLPMKPCSEIDTIFGSGVMTSDDGSGFNLDTEAGQSSSVSGEQATNGIPIYLSAIKEWMIEFGSTMVFISIRTDMAWYRLGKPSKQYSPWYDTILKTARVAISIITLLKEQSRVSRLSFGDVIKKVSEFSQDNKSYISSDALAVETYVVVHGQIILQLFAEFPEEKIRKCPFVAGLTSKMEARHHTKWLMKKKKVLPRSEPNLNPRAAMAPVVSKRKAMRATTTKLINKIWGEYYSNHLPEDSKEVIASEVKDDDDEAEEQEQEQEQEENEDEDAEVETVLLEGMQKPHSVSKQTKAFSDDGEIRWEGVPEGKTSSGLFLYKQAIIHGEVVSVGISVSVAVDASDELPDIYYVEYMFESNGGKKMFHGRMMQHGCQTVLGNAANEREVFLTNECRDLGLQDVKQINVVSIQKTPWGHQHRKDNIIADKIDRERAEERKKKGLPTEYYCKSLYWPERGAFFSLPFDTLGLGSGVCQSCKLQEAEKEKDVFKVNSSKSSFVLEGTEYSLNDYVYVSPFEFEEKIEQGTHKGGRNVGLRAYVICQVLEIIAKKETKQAEIKSTDIKVRRFFRPEDVSSEKAYCSDVQEVYYNDETCTISVQSIEGKCEVRKKIDVPEGCAPGIFHNVFFCELLYDPATGALKKLPAHIKVKYSTGHTADAAARKKKGKCKEGDNISKPDLERKTLNEKRLATLDIFAGCGGLSEGLHQSGVSSTKWAIEYEEPAGNAFKANHPEALVFINNCNVILRAIMEKCGDIDDCISTTEAAELSSKLDEKDLSNLPLPGQVDFINGGPPCQGFSGMNRFNTSTWSKVQCEMILAFLSFADYFRPRYFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAFGVSQSRKRAFIWAASPEDVLPEWPEPMHVFSAPELKITLSENVQYAAVRSTANGAPLRAITVRDTIGELPAVGNGASRTNMEYQSDPVSWFQEKIRGNMAVLTDHISKEMNELNLIRCQKIPKRPGCDWRDLPDEKIKLSSGQLVDLIPWCLPNTAKRHNQWKGLFGRLDWQGNFPTSITDPQPMGKVGMCFHPDQDRILTVRECARSQGFPDCYQFYGNIIHKHRQIGNAVPPPLAFALGTKLKEAVDSKSAK >RHN44975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10408498:10411267:1 gene:gene39165 transcript:rna39165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLGVEVFCPIAGCGARLASIEDFENHYYARHTASCSVCSRVYPTLRLLSLHVSEAHDSFFQAKVARGYDMYECLVEGCGLKFKSYKSRQHHLVDKHKFPTSFEFFKKALPSKKHRIKSQRKKPSQKEDTSGMMEVENAAMDDLVSAVSRLNTSDTAPSSISFGRRNTKGMSFVPRAVQRGKGSNSGSSVTKK >RHN67441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27266459:27269574:1 gene:gene15630 transcript:rna15630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein TqsA MEMVPYSDPKTATTPAWQDMFRSASSLKPKPSSTKPPNAPSDTPHAPFIIPHAPSSAQSTADPDGKNTFSGDPQVRLALYIAMAHMGLVFAIFILYTVSKLLEQYLRPLQWAVLCSIPLRGIQQTIVSFWAEPLTLGLTETLLAVPVAVFRVFVGTLVEIRETLFRVIMRKPKPPNPHPSRRRSGFSKLLRLLVSFGIFIIAYEKLGGFGSSSLLGLGFVFSSKNVDSTMHTLSSLRTNSFRRSAISAFFTRRVVRKLNTIVAIGLIVVMIVGFLTGVIFFSYKIGVEGKDAVVSLKLHVEESNYSERIGVKKWMEENDVARMVDSYTTKFYDTVSEQIDGLAVQYNMTEIVTGIKHFVISTPANSSTPSKALVQPSPYTEKFLSLKSRVKNREWSQIYEELDSLFRELVITREDLVEKAKGFAFKGIDVAQRIFASSTTVLGSSTKFMLSIANSIVSGAAEVFNFVSQTMVFIWVLYILITSESGGVTEQVMHMLPISISTRVRCVDVLDKAISGVLLATAEIAFFQGCLTWLLFRLCKIHFLYMSTVLAFISPLLPIFPSWLATIPAALQLVMEGRYILGVFLAVTHLFLMDYGASEILEDVPGNSAYLTGLSIIGGMTLFPSALEGAIMGPLITTVMIALKDLYAEFVLEEPKDRAKKKTS >RHN61931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39445969:39450310:1 gene:gene24445 transcript:rna24445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MRNLIRSISKPQQDKPSSESFELFHIVFYSTAIVLVLLSSLSLSASPLSNLLSSINKIIQIRIFSSVLMTSSLKNNYYDVFVTFRGEDTRFNFTDHLFAALQRKGIFAFRDDTKLQKGESIAPELIRAIEGSQVFIAVLSKNYASSTWCLRELEYILHYSQVFGRRVLPVFYDVDPSEVRHQKGIYGEAFSKHEQTFQHDSHVVQRWREALTQVGNISGWDLRDKPQYEEIKKIVDEILNILGHNYSSLPKELVGMNSHIDKVANLLLLDSIDDVRVVGICGMGGIGKTTLATALYGQISHQFDARCFIDDLSKIYRHDGQVGAQKQILHQTLGVEPFQLCNLYHTTDLMRRRLRRLRVLIIVDNVDKVGQLDKLGVNREWLGAGSRIIIISGDEHILKEYGVDVVYRVPLLNWTNSLQLFSLKAFKLYHIISDYEELTYDILNYANGLPLAITVLGSSLFSRSISEWRSELTKLKVSPHKDIMDVLQLSLIGLMEMEKEIFLHIACFFNGREEDYVKNVLNYCGFHADIGLRVLVDNSLIHISDESKIEMHGLFEVLGKNIVHEISRKWSRLWLHEQFYNVVSNNMEINVEAVVLYGPGNEKGILMAEAFLELLILKKVKVSGSLNYLSNKLRYLEWDEYPFLYLPSSSQLDELSELILVGSSITQLWKDKKYLPNLRNLDLSCSKNLATMPHFAEFPNLKRLNLEGCVSLVQINSSIGLLRELVFLNLKNCKNLICIPNEISGLTSLKYFTICGCSNTFKNSKAHGYFSSCLLPSLPSVSCLSEIDISFCNLSQIPDALGSLTWLERLNLRGNNFVTLPSLRDHSRLEYLNLEHCKQLTSLPELPLPAAIKQDKHKRAGMFIFNCPELGEREQCINMTLSWMIHFIQGKQDSSASFHQIDIVIPGTEIPKWFNNRRMGRSISIDPSPIVYDDNIIGIACCAVFSVELFDPTKTRYEWGPIIRLGFKSSNAANSNYVVIPVTLYRHLITVKSNHMWLIYFDRELFFSFLRSIDNTLWELDHIKMEASVMNGQGLHLEVKNCGFRWVFKQDQQPFDSPNNDVPGKEESHEFRPVLWAIEDEAQNRKQDQQPFDSPNNDVPGKEESHKFRPAILWAIEDEAQNRKHKYNKSSD >RHN76221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48713290:48719594:1 gene:gene12513 transcript:rna12513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rab-GTPase-TBC domain-containing protein MNNNSNNNKDTSTIGILDSRFNQTLRNVQGLLKGRSIPGKILLTQRVDPIDNSGLYSPTYQRSSSYSDTGTSDRATETVEEEVHSGSKPFGITNNSKLKTSTSHAENPTEEIRKSSIGGRTTDSARVMKFTKVLSGTMVILDKLRELAWSGVPDYMRPTVWRLLLGYAPTNSDRREGVLRRKRLEYLDCVSQYYDIPDTERSDDEISMLRQIAVDCPRTVPDVAFFQQPQVQKSLERILYAWAIRHPASGYVQGINDLVTPFFVVFLSEYLEGSINNWTMSDLSSDKISNVEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDDPVSSHMENQGLEFLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDALPDFLVYIFASFLLTWSDEVQKLDFQELVMFLQHLPTQNWTDQELEMVLSRAFMWHSMFNNSPSHLAA >RHN39928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11757571:11763061:-1 gene:gene46012 transcript:rna46012 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein xylosyltransferase MASSQSFHQAYNKNKRWVSTSALFSFIFLLLFALSTFIIHWIDLSKVTSTTIFKTIEVLNNIKQQPQFPLNCNNETSNSTCPFSYPTTFHLNDDSPSSSCPNYFKWIHEDLKPWEKTGITREMVESGKNMSHFRLVVVNGKAYIDKFAKSYQTRDVFTIWGILQLLRLYPGKIPDLELMFQCGDKNVVDKKKFQGPENVSPPPIFHYCGDEDTLDIVFPDWTFWGWAELHIRPWETTLRNIQEGNKNVKWKDRTPYAFWKGNPAVSPIRKELEKCNASEEHDWNARIYDIQWQKERSKNFQDTKLENQCTYRYKIYAEGATWSVSEKYIIACDSMTMFIEPKYYDFFTRSLIPLQHYWPISAKNMCEEIKFAVDWGNAHLDKAQKIGEGGTNYIIDNLKMKFVYDYMFHLLNSYAKLLRFKPKIPEGAVEVCSESMACSLRGARKHFMVEGMVISPSDTPPCTMPSPYTTQTLHQFLQEKENLIGQVKTRAMNKEL >RHN41848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33564883:33566716:-1 gene:gene48211 transcript:rna48211 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSFSFIFKFSSLLSHYSFFMCWNSDCFYSKKSLPPLSCSSSTSLSDIQTKFFAVATLSVAATLSCRRPSPMLSIPTSPSPLSSVASYHPGKQKEQHNFST >RHN78817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18674165:18675008:-1 gene:gene2472 transcript:rna2472 gene_biotype:protein_coding transcript_biotype:protein_coding MTANTTLEQRFIDLQNANLTLPKYLAIPKIQKVASDLRNRKDFEKHYSPKLYKLQWAAKFLENFRCNPKDLYQDIADNINELKGLFADDVLTSVSCEGFDNLEEKLSWMLFVDGCSLLRILDIFVIEPENIMFDKLYLVFGDVLLLENQLPFELLKLFQVIEPALIRNMIYFLQKFCFQYKSKYFYPEDNISMKPIHLLDLLRQILLPRFKRKNQVSDYNYMFKYSSKLVMVIKRKLVMNFLFSVFMLVILILIFQVV >RHN72903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11690879:11691254:1 gene:gene8669 transcript:rna8669 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNATQKEVNAALRAEKEILLEMMERQKNVFCQACRDSRLKQLRLENELLKEKLSKLDPSYMGNEELSLELKLGLPQRSTDGTYL >RHN62662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45039221:45054423:-1 gene:gene25259 transcript:rna25259 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISSLSTPHLTFPKQNLSTTTFPLKRPSLLHFPSQPLCLCLNSISDDNHNSTNNNDADGNNRRWDSVLQEFVTGAIKQFDSYLNLLRGGSAAAKEGSDVHDDDWDWNRWRHYFDQVDDQERLLIILKSQLRHAVYVEDYEEAAKLKVAIAAAANNDSVGKVKTLLKRAIKEERYNDAAFLRDKAGAGLVGWWAGISKDVNDPHGLIIRITPEHGRYVARSYSPRQLATSAAGVPLFEFFLTMDKKGDFKSQAVYLKRKGSYHGSPTTSSKPLDASGRSSSMESTDDRSELFVVSTEDPESGDDRNDGSDPAEGMPGFQNVLKDMIPGVKVKIFKVITPEKVDKDLMSKVIEELFEEEESEDEDENGEDDGDEDDGDENDGDEEDDSEDEDKENNTEILDLEDIKLETDQEGDDGIEINGDLGTFAREEQNEIAVKVVIGGLVQKLSSNLSPRDLLRVPAKLEIKERRSFSFTVENEVNQLDGPDKGKSSSDKSIKFQGRRRVDNVISDLAKFIGKDKVPAKVLKEVGELISLTLSQAQNHQPLSGSTIFNRIEIPTSFDPLNGLYIGAYGVYSSEVIQMRRRYGQWQEDGRAKETSDLEFYEYVEALKITGDPYVPAGQVAFRAKVGKGYQLPHKGIIPEEFGVIARYKGEGRLAEPGFQNPRWVDGELVILDGKHIKAGPVVGFVYWAPEYHFLVFFNRLRLQQ >RHN65857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5862818:5863153:1 gene:gene13749 transcript:rna13749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MKIFHILLLFTFFSFIVSYDSINDFCVADLKVPNTNSGYPCKPVENITSDDFVFHGLVAGNTNNSFKLGFTAATVTNFPGLNGLGISAVRVDIDEGGLSPMHTHPGIFLRR >RHN66252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10039898:10042972:-1 gene:gene14197 transcript:rna14197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA N-acyltransferase MSSKKVCIRGATFRDVLDWNSNNNLVTRPNIGTLWKKLIHVADDCSGCIVAYLLSKEEEEGNGHFCIASLFVHHTYRKRRIATDLITATQNTMEQTSQCTSGRATLQLLVCLKRWVTHFTR >RHN58064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43471727:43473819:1 gene:gene33670 transcript:rna33670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase, cytoplasmic isozyme 1 MSAFVGKYADELIKNAKYIATPGKGILAADESTGTIGKRLASINVENIEANRQALRELLFTSPNALQYLSGVILFEETLYQNSSEGKPFVEILQENNVIPGIKVDKGVIELAGTNGETTTQGFDSLGARCQQYYKAGARFAKWRAVLKIGPNEPSELSIQQNAQGLARYAIICQENGLVPIVEPEILTDGSHDIAKCAAVTETVLAAVYKALNDQHVLLEGTLLKPNMVTPGSDSPKVTPEVIGEYTVTALRRTVPAAVPGVVFLSGGQSEEQATLNLDAMNKLDVVKPWTLSFSFGRALQQSTLKTWAGKKENVGKAQEVFLARCKANSEATLGKYGGGSGTGLASESLYVKDYKY >RHN78235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13012350:13021471:1 gene:gene1769 transcript:rna1769 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESNTGFHYGDMNSSLNWHAISFESGGVVSSLPEMVPMGNYFGLNNDTAGMMMYSGNSGVVNRNSSVLSQPGIASGCSLLVDSVPGLKHDAGLAAEWSVDEQYKLEEGLLKHADEPSIMRYVKIAASLRDKTVRDVALRCRWMTRKRRKSEEHMVKKINNRKDKPMVSSPKQCMQPVQTPSVTTYSCVSQHVDRSQRIPYDNGICDPVKRLLEQNAQAFSQINSNLSTFKLQDNIDLFSQTRHNISTILDDMRAMPGIMSQMLPLPVSIDEDLASSILHNKTQEISDSIKIAYSKWTSVERLAFFVWKSIVLVLHGIEEPHHNQYHTIPEFLIHL >RHN75618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43799731:43799938:1 gene:gene11851 transcript:rna11851 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVTTVHSFVEQLKVKCLSMTTHADNITSKMPQLCEIDRIIQYTRQPPDRIVDYIST >RHN43089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43072698:43086063:1 gene:gene49613 transcript:rna49613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyltransferase A, auxiliary subunit, leucine-rich repeat domain, L MDSELKSAKSAYRNAKEEGNHREEARWANVIGDILKNRGEYVKALKWLRIDYEISLKYLSENHLVTTCQSLGEIYLRLEQFSDALIFQKKHLDLARDNEDLVEQQRASTQLGRTYHELFSRSEHDHNSIRNAKKYFKSAMDLAVSLKENPPNNKSSFLKEYIDAYNNIGMLEMELDNLHDAENILRRGLKICDEEEISEHDDGRSRLHHNLGNVYMELRRWNDAMKNMKRDILICHKIGHCQGEAKGYINLGEVHYRTQKYEEARSCYEKALGLAKSLEDEDALVRQINQNIETVKEAVKVMDEIKKEEQKLKKLKRDIASARGTLHERKHLLQENGALERLVEKARMIAAWEKYCEFAKERKKVANDLCDRQRLGDSYLDVGESYQKLRKFNKAIKWYEKSWKMYKTIGNLEGQALVKINIGNVLDSTHNWKEACDAYKESYRIAVEADLPVVQLSALENMHYSNMIRFDDEDETRRLKLLIDELKKSNEEIEANNVPDDCCSETDTEADDCMSNSGPDDFCLPKTTYRSKTLTPEDELDDDTTPLMSTYQSIKGSSRKITGHKESHTNSTKQVDQSPRSLTKLKSVHQAVSGRKRVRVILSDDDEDDEVECSSRKDRDYPLEDLPNYDTIKSKISPSKIQVLPEYGSKCAINVEESSSSFKGSSPNTGTKTSRHSRSLSNDIVAEPYFPSGSKCDTDVSGKQNGVAHPMMHHSLHDRYIACRIGNDIVYVEEALCAAGDQFDIDSLKAVVACKFYLQFPAEKKSEGLLPIIQHIKCAGRDLESLETVENIMEHLQNNMVEAFIDGWIQKRLIKMYVDCCKGLSVEPKIKVLKKLYNLQVSDDEIIASDCDLQDLSITPLIDALHSQMAFSMLDISHNCLGNGTMEKLRKVFTTSGQSYGDLTLDLHCNRFGPTTLFQICECSVLFARLEVLNISGNRLTDACGSYLSTMLKNCTALCSLNVENCSITSKTIQKIADALDSGSVLSHLCIGYNHPVTGNAVVNLLSKLSTLKRFSELNMSGLKLGRPVVDSLCQLAGTLTLSGLILGGTGIGNEGAMKVTEPLLKGTEEFVKLDISYCGLTSDYILSINVNSFCSITDLNLEGNPIMLEGCNTLFSLLINSQCCLKVLVLKKCQLGLAGVVHIIEALADNSGLEELNLADNSVSTELSALQCAASSKSCSQNQEQKLDTMKVDDNQEVFCSPNTLDDQLEVADSEDNQVRAEAAASGIDDSCASSCQRNSSPDCHFTQQISSAIAKAKNLQSLDLSNNNFSAQAAETLYGSWTTLRPLSSHRHITKHIIHFSTKEKKCCSVKPCCKKV >RHN73471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16775447:16775683:-1 gene:gene9286 transcript:rna9286 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHKERNEQVEKEENTRGSEKIVDNKINDRCKRELENDTVTKRIIRDNIISNGETAQAPNDVLAFSRSVHKVDSSLE >RHN45525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22116324:22120252:1 gene:gene39882 transcript:rna39882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MMFLFSNLQSLKLLSFWMLLSASAFTTTLSETSQASALLKWKASLDNHSQTLLSSWSGNNSCNWLGISCKEDSISVSKVNLTNMGLKGTLESLNFSSLPNIQTLNISHNSLNGSIPSHIGMLSKLTHLDLSDNLFSGTIPYEITHLISLQTLYLDTNVFSGSIPEEIGELRNLRELSISYANLTGTIPTSIGNLTLLSHLYLGGNNLYGDIPNELWNLNNLTFLRVELNKFNGSVLAQEIVKLHKIETLDLGGNSLSINGPILQEILKLGNLKYLSFFQCNVRGSIPFSIGKLANLSYLNLAHNPISGHLPMEIGKLRKLEYLYIFDNNLSGSIPVEIGELVKMKELRFNDNNLSGSIPREIGMLRNVVQMDLNNNSLSGEIPPTIGNLSNIQQLSFSLNNLNGKLPMGMNMLLSLENLQIFDNDFIGQLPHNICIGGNLKFLGALNNHFTGRVPKSLKNCSSIIRLRLDQNQLTGNITQDFSVYPNLNYIDLSENNFYGHLSSNWGKCQNLTSFIISHNNISGHIPPEIGRASNLGILDLSSNHLTGKIPKELSNLSLSKLLISNNHLSGNIPVEISSLDELEILDLAENDLSGFITKQLANLPKVWNLNLSHNKLIGNIPVELGQFKILQSLDLSGNFLNGTIPSMLTQLKYLETLNISHNNLSGFIPSSFDQMFSLTSVDISYNQLEGPLPNIRAFSSATIEVLRNNNGLCGNISGLEPCLTPRSKSPDRKIKKVLLIVLPLVLGTLMLATCFKFLYHLYHTSTIGENQVGGNIIVPQNVFTIWNFDGKMVYENILEATQDFDDKYLIGVGGQGSVYKAELHTGQVVAVKKLHPVSNEENLSPKSFTNEIQALTEIRHRNIVNLYGFCSHSQLSFLVYEFVEKGSLEKILKDDEEAIAFNWKKRVNVIKDVANALCYMHHDCSPPIVHRDISSKNILLDSECVAHVSDFGTAKLLDPNLTSSTSFACTFGYAAPELAYTTKVTEKCDVYSFGVLALEILFGKHPGDVVPLWTIVTSTLDTMPLMDKLDQRLPRPLNPIVKNLVSIAMIAFTCLTESSQSRPTMEHVAKELAMSKWSRSNS >RHN58145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44066518:44067937:-1 gene:gene33757 transcript:rna33757 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CHR3 MGSVEIPTKVLTNTSSQLKMPVVGMGSAPDFTCKKDTKDAIIEAIKQGYRHFDTAAAYGSEQALGEALKEAIELGLVTRQDLFVTSKLWVTENHPHLVIPALQKSLKTLQLDYLDLYLIHWPLSSQPGKFTFPIDVADLLPFDVKGVWESMEEGLKLGLTKAIGVSNFSVKKLENLLSVATILPAVNQVEMNLAWQQKKLREFCNANGIVLTAFSPLRKGASRGPNEVMENDMLKEIADAHGKSVAQISLRWLYEQGVTFVPKSYDKERMNQNLCIFDWSLTKEDHEKIDQIKQNRLIPGPTKPGLNDLYDD >RHN55538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19332182:19333440:1 gene:gene30737 transcript:rna30737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIIQFSIYYMQRRKLNMVEILKFSHALIIFLFLSALVTNANIFFCSTDEDCTWNLCRQPWVQKCRLHMCSSILSHV >RHN62344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42783030:42784665:-1 gene:gene24907 transcript:rna24907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator FHA-SMAD family MEEQQDSPTLTLQILAGPRNGETHQFEPGSTVKIGRVIRGNNLPIKDPGISTKHLTIHFDSGNWILTDLDSSNGTVLDNVPVPPNTPFHLSDGSTIKIGEVTSILVNFINPQSKPTETMVEDKPMKGKKGNSGKSVKFRVPVQSIDEDGMLNGDDEDGIVDRPEPMKVTRNMRSRKIVTDSTNVNLDAVEPKNARATRNSKNKKNAVEICDSSNGNLDDVKEKVEEVKKNVRVTRNSKNKKNVAEICDSSNGDLEGVKEKVEEVKKNVRVTRNLRNKINKMGVSELSIGDLDGVKEKVEEPRSVRMTRNVKNKGVVIGEDLSLVDGVENVEKKKTRGCAKGKRKLREEIVGDGDGKENCDDAEEKEKLEEECVGDKEKEKLPEERVGDGEDKEKEKLSEECVGDEEDKEKEKLQEECVGDEEDKEKKKSQECVGDGVGKEIYDAKEKENLNGDENWPDLEKMNLGEWFDFLEVYLPKQIHDETEEIIDSMRQKAERLREYVIMYQNQKAGTATEC >RHN78339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14254436:14256081:-1 gene:gene1881 transcript:rna1881 gene_biotype:protein_coding transcript_biotype:protein_coding MLAITFNTEFTLSGAPAMAKHVVLPAVLCIRMLSCGNVTFIPVNMNVIVGTTIGTL >RHN52360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34307330:34311978:1 gene:gene37025 transcript:rna37025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAMQLPSSSSSSSLSNDFIYDVFISFRGIDTRSGFTGHLYKALCDKGIRTFIDDKELQRGDEITPSLLKSIEHSRIAIIVFSENYATSSFCLDELVHIINYFKEKGRLVLPVFYGVEPSHVRHQNNKYGEALTEFEEMFQNNKENMDRLQKWKIALNQVGNLSGFHFKKDAYEYEFIKKIVTEISKKINRGLLEVADHPIVGLESRLLHVMSLLDVGCDDGACMIGICGSGGLGKTTLTRALYNLIADQFDGLCFLHSVRENSIKYGLEHLQKQLLSKTLGEEFNFGHVSEGIPIIKDRLHQKKVLLILDDVDKPKQLKVLVGEPGWLGPGSRVIITTRDRHLLSCHGITRIYDLDGLNDKEALELFIKMAFKSNIIDSSYDYILNRAVKYTSGLPLAIEVVGSNLFGKSIEEWESTLDKYERTPPEDIQNIFKVSFDALDKEEKSVFLDIVCCFKGCPLAYVEKILHFHYGYCIKSHIGVLVEKSLIKTYIEYDWRRRPTNVIVTLHDLIEHTGKEIVQQESPEEPGERSRLWCQDDIVHVLKENIGTSKIEMIYLNFPTKNSEIDWNGKAFKKMTKLKTLIIENGQFSKGPKHLPSTLRVLKWNRYPSESMSSSVFNKTFEKMKILKIDNCEYLTNISDVSFLPNLEKISFKNCKSLVRIHDSIGFLSQLQILNAADCNKLLSFPPLKLKSLRKLKLSGCTSLKKFPEILGKMENIKKIILRKTGIEELPFSFNNLIGLTDLTIEGCGKLSLPSSILMMLNLLEVSIFGYSQLLPKQNDNLSSTLSSNVNVLRLNASNHEFLTIALMWFSNVETLYLSGSTIKILPESLKNCLSIKCIDLDGCETLEEIKGIPPNLITLSALRCKSLTSSSKSMLISQELHLAGSIECCFPSSPSERTPEWFEYQRRESISFSFRNNFPSLVFLFSSRVNHPSYYSRDYIVNPFDSIRVHLIINENVYNCGIGLEPGHTYLFPFNVQDWYLEEYHKFKSMLDDALLKNEWIHAEVRFCNWGKEYVVESGIHVIKHLTNMDDFQFTDSFLCKNRISDEYLKSSRSDMKLLSDEDISATLPRYRALRRNMLTLLLRYQRYYSVPNPYYKKIDSQTCSMM >RHN58588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3038879:3039563:-1 gene:gene20512 transcript:rna20512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC-2 type transporter MLCIITHVNRNHSILSQVIIELPYIFVQATSYGVIVYAMIGFEWTLEKFFWYIFFMYFTLCYFTFYGMMAVAVTPNHHVASIVASAFYAIWNLFSGFIIPRPRIPVWWRWYYWACPVAWTLYGLVASQFGDINNIMESENKSVQEFIRSYFDFKHDFIGVCAVVVVGTAVLFACIFAVSIKLFNFQRR >RHN71331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58308083:58313058:-1 gene:gene20000 transcript:rna20000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SH3 domain, AH domain-containing protein MEAIRKQASKLREQVARQQQAVLKQFGAGGYGGSDNMVTDEVELQQHQKLEKLYISTRAGKHYQRDIVRGVEGYIVTGSKQVEIGTKLSEDSRKYGSENTCTSGSTLSRAALNYAHARAQMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQDAEAQAIEVSKRQAKVRETPGNAENTMKLEAAETKLQDLKSNMAILGKEAAAAMTAVEAQQQRLTLQRLIAMVEAERAYHQRVLQILDHLEGEMISERQRIEAPPTPSVDNSMPPPPPYEEVNGVYASQEHNGITDSMGYFLGEVLFPYHAESEVELNLSVGDYIVIRKVSNNGWAEGECKGKAGWFPFGYVERRDQVLASKVAEVF >RHN50704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9648612:9649118:-1 gene:gene35026 transcript:rna35026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MATQNPTTLKFSILLTILLACKVRDTIAFGFGPFGRVTVTIINEVIAPDLKTITVHCKSQDDDLGFHTLLFGGSYAFSFKPKFLTRNTLFFCGFTWPENPYRHYLDIYDYKHDNCENCTWHINKSGGCLNDHKCGFWKDVELIDEYNNSKWTQTKELDEIGHANPPTF >RHN68686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37811150:37816133:-1 gene:gene17069 transcript:rna17069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acylglycerol lipase MGGVTSSMAAKLAFFPPNPPSYKLIKEEATGLLLMEPFPHRENVEVLKFPNRRGIEIVAMYVRHPMAKTTVLYSHGNAADIGQMYELFVELSIHLRVNLIGYDYSGYGQSSGKPSEHNTYADIEAVYKCLEENYGAKQEDIILYGQSVGSGPTLDLAARLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVKCPVLVIHGTADEVVDCSHGKHLWELCQQKYEPLWLKDGNHCNLELYPEYLRHLRKFISTVEKSPSQRLSFRRSVDRVEQSRGSTDCSEKPRKSTDHRDKPPRSTDKSEKLKYHEFKFNNPEKLEKLRVQFDQTERSRRSVEYNDKSRSIEFQEKSRRSVDVQFERPRKSIDWLDRIRAS >RHN55225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16272476:16275046:-1 gene:gene30370 transcript:rna30370 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVEHVSLGWFPTSCSQISSHLIFYHSTNPRFIFTFRIQVIVLSVQLLRELALPSLCRLGRSDT >RHN74323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31830307:31830660:1 gene:gene10364 transcript:rna10364 gene_biotype:protein_coding transcript_biotype:protein_coding MGWNPDGYIVSLHNFLNCAWKNIKHFVIWILIWIFLYCHTFFNHDIKLKWLSDWLNWFIIVEKSPTESENEFNSGKHLSTNKPVCRVELDLTKSLRWYQSLSKIHWFTYNQFTRIML >RHN59969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15485206:15485535:1 gene:gene22144 transcript:rna22144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MRFQSLVLILVVLFASAATNQADFVDVNDPHVIEVATFAVTEYNNQHTEAKLVFEKVISGVSNVVDDGTRYSLTLSANNGSSSNNYDTIVLEKSSKNFSLIAFAPISHA >RHN58246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:238352:242342:-1 gene:gene20135 transcript:rna20135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L34Ae MDFLKIKKFRKSQKAGVVKDLVDKAVSEPEEPKPSTGDPDQCKSENADSCADAEDDDDFITNEVKRRLKELRRNSFMVLIPEEDSCLEEGEDEEEEGETVPNEWRDVEAEGQQWWRGFDAVFEKYCERMLFFDRMNVQHLGEIGKGSQNTSTPSPRSTSKKLASPLRCLSLKKFEGPDDETEHLQEPENIPYLDIETAYVGQICLTWEALHCQYSHMSYKISWQHENPTCYSRSAQEFQQFQVLLQRFIENEPFEQGPRPEIYARSRNTLPKLLQVPNIRGSDHEITDESDIRVLAPDLIRIIENSILTFRLFLKRDKKKSSVINLFGNQNQLATPLQQVQSTLEKKVVKLKELRKKGWRKNSWPQKHEDVQLLLGLIDAKILSRVLRMTRMTREQLFWCEEKMKKLDLSNNRLERDPCPILFPC >RHN48820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50494394:50494726:-1 gene:gene43575 transcript:rna43575 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNSAYLPFHSLSPTPTLCQIPSLTQHTHTIFTFRLGSFFIIFIKTLLLVFLRDLYTGCTNKNKELYSV >RHN49181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53111828:53116290:1 gene:gene43986 transcript:rna43986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide chain release factor 2, double-stranded RNA-binding domain-containing protein MSYYFLRRRSLRITTTTFSSFLSKLVGNLSDSPSPTLFSCRHLSHALPFFSSTWLSRDAYSHGLLSPTQARFWASQPAAAEHSTSDGLTIQGILAKNWPILDENDSDWKSHASAIAQSIHLIKRRLQWKKLKVRLDMLSAQLNKEDLWDDPVRAGKINREHGSLLGKMKEVNGLERELLDDIEMIKLAREENDSELELESMKALLNMRVNAKEKELEALLADEQDSRSCYIEVQAGAGGTESMDWASMIMQMYKSWAQRRGYKVTVMEEMPGEIAGIKRATIKVDGEFAFGYAKAEIGVHRLVRISPFDSNKRRHTSFAAVAVIPNLGDESSSVQINESDLRIERFRASGAGGQHVNTTESAVKITHIPTGVTATCQNERSQHQNKASAMAVLQSRLNQIEMARQAQLNAQHTQSLTDITWGSQIRSYVLHPYRMVKDLRTNYEVSDPDSVLEGDLDGFIMSYLSASLDKNEDDA >RHN69489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43828751:43830455:1 gene:gene17968 transcript:rna17968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H-quinone oxidoreductase subunit M MATSCSYMVSTKLSILGWTGGRRKEVRNRTPFFISAQQQSDVKETDGVKVGEEKEIPQNQQINPKGTKPRPVEPQVIVKDQGMTREYGGQWLSCATRHVRIYAAYIDPETSAFDQTQMDKLTLILDPTDEFVWNPDTCNLVYSYFQELVDHYEGAPLNEYTLRLIGSDIEHYIRKLLYDGVIKYNMNARVLNFSMGKPRIMFNNNDIQPEDAST >RHN47273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38422666:38423022:-1 gene:gene41850 transcript:rna41850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MMNMKVVCALMLMVVLMVEVATIAEAQNCDPNELLPCAGAIIFNSTPSAECCSKLREQTPCFCEYIRDPDYSQYVNSPRAREVASACNVVIPNNC >RHN73703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19087641:19088752:-1 gene:gene9552 transcript:rna9552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MQTNLTLTTLFLYFFISTFSTSILAHDEAQTQDLVHSSCIHSRYPKLCLRTLSNYTGPTKSPLDIAQAALHISLAHAKRVSKYLRSSKSEAHGSNSSLSKRQQAGLSDCKEQIADSVDELGRSLEELQHLRPETFRLQMSNALTWVSAALTDGDTCLDGNVRADVKKRVSDVARVTSNALYLINRVGQSWNGKHKVKDSSRSKN >RHN62625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44769811:44771005:1 gene:gene25220 transcript:rna25220 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTCPYQGPPPVAAPPQYYAALHPKENQVSLKDCKAEEVMYMEKGVGVLLLPLTQK >RHN57784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41719524:41724444:1 gene:gene33371 transcript:rna33371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium/calmodulin-dependent protein kinase CAMK-CDPK family MGGCLSKKESKPDHRHVGVSGIHNHNHNHNHNHNHNHNHKNHEPYVNQSKTPSQQPYQSPNKHAPRSPKPIVQRPNTNAILGKQFEDVKQIYTLGKELGRGQFGVTYLCTENSTGLKYACKSISKRKLVSKSDKEDIKREIQIMQHMSGQPNIVEFKGAYEDKNSVHVVMELCAGGELFDRIIAKGKYSERAAASICRQIVNVVHICHFMGVMHRDLKPENFLLSSKDDKATIKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRKYGKETDIWSAGVILYILLCGVPPFWAETEKGIFDAILQGHIDFESRPWPSISNSAKDLVSRMLMQDPKKRITASQVLDHPWLKEGGNASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENLSSEEIQGLKAMFTNMDTDKSGTITYEELRAGLQRLGSKLTEAEVRQLMEAADVDGNGTIDYIEFITATMHRHRLERDEHLYKAFQYFDKDNSGFITRDELETAMKEYGMGDEETIREIISEVDTDNDGRINYEEFCTMMRSGVQQQGKLF >RHN45641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24059353:24061400:1 gene:gene40022 transcript:rna40022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MLGKIMASEIAEVKPIQFFKIILAQNLLQGNLRMPRKFVEKYGKGLPKAICLKTPNGAKWRLNLVKSDGKIWFEKGWKQFAEHHSIGHGHLLLFRYEKTSKFEVQIFGKSALEIDYSFKRVESKKFSNGQGNKPPNGENCRAAQKRKANSSSEFHRQCEIASSSCVKFGKSQKLAVQQVDRMSNGKQVITTAKKVTTLERAQSFKICNPSFVVVMGASYVERRFLLNIPCLFGKTHFDLNKKREDIQLQALNGRVWSARYSTRNRTSDNGIRFELTSGWEEFAKDNNLKVGDVCKFELISSTILTFIVHVFRETDNDKKKCSTSQSRMN >RHN49968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2757719:2759862:-1 gene:gene34212 transcript:rna34212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MKMEIGELWSQLGSIMASIMFVYAMFDKFFPPNLRVYFLKYTNKFTNYMYPYIHIKFHELSGERLKQSETYKIIQTYLSDNSSQRARRLKAEVVKDSQNPLVLSMDDNEEIIDEFNGVKVWWTANYTTSKSQSFSYYPTSDEKRFLTLTFHKKHREVITTSYIQHVLDEGKSIMSKNRQLKLYTNNPSSNWWGYRSKKWNHTTFEHPARFGTLAMEPEKKQEILNDLLKFKKGKEYYAKVGKAWKRGYLLYGPPGTGKSTMISAIANYMNYDVYDLELTTVKDNNELKRLLIETSSKSIIVIEDIDCSLDLTGQRKKKKKKDDDENDEMKDPIKKAEEEEKNESKVTLSGLLNFIDGIWSACGSERIIIFTTNFVDKLDPALIRRGRMDKHIEMSYCSYQAFKVLARNYLDVETHDDLFPIIEKLLGETNMTPADVAENLMPKSITEDFESCLKNLIQSLEIAKKKDEEEAKKKIEDEEAKLKAQKEKQELIQEEEKVKVDEKLEEKVKENGVKENGVIH >RHN62920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46758762:46759380:-1 gene:gene25557 transcript:rna25557 gene_biotype:protein_coding transcript_biotype:protein_coding MCIMHHTGTIHRITATRQRNPNGSTSSYIISIWNLISSLDLLILNQTRKSNPGYCVQGITRYNLVTRLLAPRRSRKCFTPNKVAISFKVVEFVLV >RHN62149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41162261:41166645:-1 gene:gene24693 transcript:rna24693 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFEALYGRRCRTPLCWFESGESVVLGPDLVHETTEKVKLIREKMKASQSRQKSYHDERRKALEFQEGDHVFLRVTPLTGVGRALKSRKLTPKFIGPYQISKRVGTVAYRVGLPPHLSNMHDVFHVSQLRKYVADPSHVIPKDDVQVRDNLTVETMPLRIDDRKVKSLRGKEIPLVRVVWGGATGESLTWELESKMRESYPELFV >RHN69779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46210966:46212701:1 gene:gene18293 transcript:rna18293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MGIIWVEFCLISAHGLQHSTSLWKRHWYAVGWIDDNSKYCTKIDDSGNANPVWRTNFAVPVDDSMPNLQDLTLNVEVYSIDPIFFKEKLHGSTTVGLKNFLDKQMKNSEMSMPKQDGVRSYQLWKRKSSKPKGFIDILIHISDDEKEPGSHTGSKEGKGLLDYGDNTHRTAEEGLRQAYPQKQPPDSIHQAEDHKNTNVLDSYSVPFTPTIYSDQYLGEPSYHAAAGPSYQPHRTVTPPPSPPSNVGYIPTFLPRSYGLPPSFTNIPPSMEEPGQTVPPDVVLEISTEALAAGTAIFGDDFLSGFDVMRS >RHN62451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43524376:43530854:1 gene:gene25030 transcript:rna25030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSCGCFGASTLKKKRSPPHTPNEIDGYPLENIMHFSEKDLRLATDDYHPSKKIGRGGFGTVYQGTLKNGRQVAIKSLSAASKQGVREFLTEIKTISHVKHPNLVELVGCCAQEPNRTLVYEYVENNSLDRALLGNRSTNIKLDWGKRSNICTGTARGLAFLHEEAVPHIVHRDIKASNILLDRHFNPKIGDFGLAKLFPDDITHISTRIAGTTGYLAPEYAMGGQLTMKADVYSFGVLILEVISGQSSARTNWGGSNKFLLEWAWQLHEEERLLELVDPDMVEFPKEEVIRYMKVAFFCTQAAASRRPSMSQVVDMLSKKIRLNEKQITAPGFFQTSGESSLKKSSFESTSHQFSSAPVSFTQVTPR >RHN45936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26996151:27006444:-1 gene:gene40366 transcript:rna40366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MAEASIKKSKRKNRTVKPHDAELDRFDSLPWNSSIPQPDENDDNDASFSLFTGSNELEGGFLSLEEIDEAEYGLNIPDPENHDRKHNSKPDKKSNKQKQDGACSGGETMNDESIKSEVKKKKKKKKNKDAKENQKVELSNTGVNADVKDSVDEENIDETEYYAWNELRLHPRLMKAIHKLGFKEPTPIQKACVPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEREKAESISGVNGEEAAEKYATTGLLRSLIIAPTRELALQVAKHLKAVAKHINVRVTAIVGGILPEKQERLLKARPEIVVATPGRLWELMSSGEKHLIELHSLSFFVLDEADRMVQSGHFKELQSIIDMLPMSNISSEDNSKDAQNCVTVSSIQKKKRQTLVFSATVALSADFRKKLKRGSIQKKQLSTDGLDSIETLSERAGMRPNAAIIDLTNPSILAAKIEESFIECTEDDKDAHLYYILTVHGQGRTIVFCTSIAALRHISSILRILGVNVWTLHAQMQQRARLKAMDRFRENDNGILVATDVAARGLDIPGVRTVVHYQLPHSAEVYVHRSGRTARASAEGCSIALISPKETSKFASLCKSFSKDNFQRFPVENSYMPEVLKRLSLARQIDKITRKDSQEKAEKSWFDRNASSVDLVTENYDSEEEQVNKCRQKKASSKQLKKLQMELSMLISRPLQSKTFSHRYLAGAGVTPLLQEQLQQLARQKISDRQGAGFGKKGRLVVIGQDCVDALHALRSAGEEVRMDTKDSTRGQRNMVNAKRKRKEEKTRLRDQRKKKKKNQNEDDE >RHN62403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43177183:43179432:1 gene:gene24976 transcript:rna24976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S5 MAASPSSALNSLSSLSFRSSSSSSCFSLLPTTHKLTFPLTKTPFTLSTISFTVKAIPTSDEFDITIPEEDLPFNPPEIPEGFIPPPSIDDGPDEDEDEIAAAYEELYGVAYSGVSVLGNDINVMDKKARKDTGFGSGVKKEKIRDGLEERVIQVRRVTKVVKGGKQMRFRAIVVVGDKQGRVGVGVGKAKEVVSAVQKSAINARRNLIRVPMTKYLTFPHRADGDYGASKVMLRPASPGTGVIAGGSVRIVLEMAGVENALGKQLGSDNALNNARATIAAVQKMKQYSEVAEERGIPMEELWK >RHN61963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39637330:39637665:1 gene:gene24480 transcript:rna24480 gene_biotype:protein_coding transcript_biotype:protein_coding MKITPFFITHSLSSPLSLLVVEPQRASMSYLFGSLKNNNKDGVIWEELMKMVGSELWRRFHKKMQNNFGE >RHN44554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6121702:6122128:1 gene:gene38686 transcript:rna38686 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEIILLMFVALFALFNHTNGQTKICEAGVELPGLCSQGCFEVVKQKYGVQPVSCSCKDTPVKLALCSCNYICASLKGKEGFVCTSN >RHN75904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46046844:46053462:1 gene:gene12163 transcript:rna12163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MRTHRTQTHSGEYRSSSSLLLILTITCFLLLSFSIPTNSEVITLTSDTFSDKIKEKDTAWFVKFCVPWCKYCKNLGSLWDDVGKAMENENEIEIGEVDCGTDKAVCSKVDIHSYPTFKVFYDGEEVAKYQGKRDIESLKAFVLDEAEKAAANAQLDNDKEI >RHN73572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17755241:17755672:-1 gene:gene9397 transcript:rna9397 gene_biotype:protein_coding transcript_biotype:protein_coding MERSKTNYNLCIFMAEPRLLEECSKWKEAKQITTYASSWQSQGKPQQSYASS >RHN51624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21165102:21166809:-1 gene:gene36102 transcript:rna36102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEILQVKKRGQSDSQQLTVPFVPDELIAEILSFLNVKTIMQLKCVSKSWNSLINDSTFVQKHLKKSSQNPHIILTPPTLKYPISSVESFPVSRLLENPSITVFGDNFHDLNDTCQVIGSCNGLFCLIFHSLHRKYTKYWFCLWNPATRTISEELGTFRCYNTSSETFKFGFGCDISTGTYKLVAYRAEEDDANHSGSWRSQVRIFSLSDNCWRNIESFPLIPIGCIQINRNNNGVHLSGKINWLVIRNYFCASYHYECMNYVEQFVIVSLDLSTETYTQFLLPFGFDEVPHFQPTLHVLMDCLCFSHDFKGTEFVIWQMKKFGVQESWTLLFRIDYFNLEMYNLTINYDTDFDAEFIESCTPPLLPLYLSKNDDTLILANYEDDRAIIYNLRDKRVERVKISSKLCWFSAMDYAESLISTHWKSATPTPSTLSVDRIVAGIGDIRHD >RHN49855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1734598:1734897:1 gene:gene34079 transcript:rna34079 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMVKVMWFGRKRTSGKIWSNQAVLMRDIDIQRHLMMLIIRLLLMKEIGETREREGMMKRKVTIEMTRQH >RHN75894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45980081:45982209:-1 gene:gene12153 transcript:rna12153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, ribonuclease H-like domain, PRP8 domain IV core MSVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSRPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERVMIGLDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLKEHIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTVHKTFEGNFTTKPINGAIFIFNPRTGQLFLKVIRTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFECITKNALENEPEEEL >RHN38986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3310811:3316907:-1 gene:gene44969 transcript:rna44969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MVISSPSWGRSLGEDTRKTFVSHLYAALTNAAIRTFRDDKELRKGNKLEPEIKRAIEGSRISIVVLSPYYAGSSWCLNELVHILHCSHTYGQVVMPVFYHVDPSHVRKLEGNFGTIFELHAIHREHELLSKWKTVLTEVSNLSGWDLNNISNEGELVKQIVEDTLAKLDISLLSITEYPVGLDSRVQQITKFIDHQSTEVCMIGIWGMGGSGKTTTAKAIYNQIRSRFKGRASFIESIREVCDNNNRGVIPLQQQLLLDLLKIKQEIHSIASGITKIEKRLRGQTVFVILDDVTTSEQLKNLCADPKLFGSGSVLIITTRDGRLLKSLSGDHIFTMTEMDEDQSLELFCWHAFQKPYPRYSFSELTKNVVGYCGGLPLALEVLGSYLSKRTTREWRSALSKLEKIPNNEVQQILRISYDGLQDYTQKDIFLDICCFLIGKNRADVTEILNACGLHADIGISILIERSLLKVEKNNKLGMHDLLRDMGRAIAGESSIKDMRLWFHDDVLHVLSKKTGTYTIVGMILKYQRTGRIIFGTDSLQEMQKLRLLKLDGVHLMGEYGLISKQLRWVDWQRSAFKFIPNDFDLENLVVFELKHSNLRQVWQETKILDKLKILNVSHNKYLKITPDFSKLPNLEKLIMKDCPSLSEVHQSIGDLKSLVLINLRDCTSLANLPREIYQLKSVKTLIISGCSKIDKLEEDILQMESLTTLIAANTGVKQVPFSIVRSKSIAYISLCGYKGLSSDVFPSLIWSWMSPTRNSLSRISPFAGNSLSLVSLHAESNNMDYQSPMLTVLSKLRCVWFQCQSENQLTQELRRFIDDLYDVNFTELETTSHGHQITNLSLKSIVIGMGSSQIVMDTLDKSLAQGLATNSSDSFLPGDNYPYWLAYKCEGPSVHFEVPEDSGSCMKGIALCVVYSLTPQNLPIECITSVLIINYTKLTIQIYKRDTIMSFNDEDWEGVVSNLKVDDNVEIFVAIGHGLTVKETAVYLIYGQPAAMEIEPIPEVEVQPSLDVKTESSPGAEAQSSPDVKRRRLSITVEVKPLPKPYEILTRLVKRVLLKCLCMD >RHN67272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25411692:25415517:1 gene:gene15449 transcript:rna15449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LOG family protein MMQFSTFGSSDFVLIKPTHHQNRLINCSKLLFSSTQHKNNSVGFNLSKHKANHRSIFVSKSKQESFEFDERKSPNEVKEEIKQCYELINRLGRGIVYLGSSRMGSSHSHYVQAQELAKEIANLLDSTTWSGAGPGLMDAVTQGALLAGKPVGGFKIGREAGEWTASNFHPYLPSENYLTCRFFSARKHGLVDAVVRNNSFDKTAVVALPGGIGTLDELFEMLALIQLERIGSKLPVPFLLMNYDSFYSKLLDFLDVCEDRGTVSKGEVASLWKVCNSNSEALAYLADFYCISSGDISQKKETKLQSTHDLPS >RHN39433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7096740:7099378:1 gene:gene45455 transcript:rna45455 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFGFRVLSVIGIALLLIFEQRISTPVCNNHVEEAEAEADELKVMMVADLLLLGSEAGYVNRFFRDHYMSKFFRVL >RHN80996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42482243:42485230:1 gene:gene4984 transcript:rna4984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, ARF/SAR type, P-loop containing nucleoside triphosphate hydrolase MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >RHN75796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45131475:45135338:1 gene:gene12047 transcript:rna12047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MAQLPPKIPNMSPSWPDFSTQQKNIMPTSISTNNIVTTNNYQNQNPSWVDEFLDFSSARRGAHRRSVSDSVTFLETPMLDGKHDGNNNDNEFDKFDDEQFLSMFSDEISGVNNMPPTLSSSNPSSPSDQNFVNNDNEKEKKENDLGEMKKEEDEKQLKYEPDEVESQCKQENSQPQSNNNNVTSSNDRITDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSVLSPRVAFLDHQRLLLNVDNSALKQRIAALAQDKLFKDAHQEALKREIERLRQVYHHQNLKKMENANGSPLPSPSQKPICDSQTENEQLLNGNTCASVASKERVEETVVSALT >RHN38771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1890299:1895217:1 gene:gene44737 transcript:rna44737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MKKKKHEKLMQLIRLCLLTLLVTISSYRTTTNIRKMGTTGTTKPNLDSILSERKEFDETKAGVKGLVDAGLKKIPSLFHHQPDKYEKANNMSHAIPVIDLKDIDNKDPSIHQGIVDNIKEACETWGFFQVVNHGIPLSVLEELKDGVKRFYEQDTEVKKELYTRNSNRSFVYNSNFDIYSSPALNWRDSFMCYLAPPDTLKPQEFPVVCRDILLQYGKYMMNLGTLLFELLSEALGLNPNHLKDMDCAEGLIALCHYYPPCPEPELTVGTTKHSDNDFLTVLLQDHVGGLQVLYDDKWIDITPVPGALIVNVGDLLQLITNDRFKSVEHRVVANEVGPRISVACFFCTGIRSSSKLYGPIKELLSEDNPPKYRETTVSDYVAYFEKKGLDGTSALTHYKI >RHN73009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12566437:12567072:-1 gene:gene8778 transcript:rna8778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, protein accelerated cell death 6 MGNIYVCVSEMLSTILENKPTWVHSRDKHERLPLHYAASIGYLEGVELLIDKCKCCTIQRDKLCYFPIHVASYGGHVEVVKKLLEYCPDPTEMLDTSHKRNILHVASKYGKYEVVQYILQSQIPGLDKMINQKDNKGDTPLHLAARSCHPTTVYYLVNQSKERVKLDLVNQNNETALDIVTTLFELDKSSLRQANSSIQVQTCKFITEFLV >RHN75937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46284275:46288201:-1 gene:gene12200 transcript:rna12200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IXa family MQLLWWIRLLLLIMIQLLLYLNISTCKLSSKDLLNFKGLLEAGPQQLGCDIVKHPCQMQWPCLDCSKQNEPGRVKENIDKNNDTPILAKEAQVKKPGGGRVAVIAGGAGAALTIIVIAVIICICLRRCKRFKKQPSDSASSVPSQAVEMGRINNSQYVNAFSPHYMQNTRLLTILEIEQATGKFNQSNIIGEGRFGFVYKGLLQDGSFVAIKRRLFALTRDFIPEVKQIAQIHHIHLVKLIGYYDDSYQQLLVYEYLPNGNVGNHLYDSEGLPIGKLNLQRRVSIALGASKGLEHLHSLVPPLLHTNFSTRNVLLDENYTAKVSDYGFFKLQTEIDQAGSSSNVDYFHDPELSLSQNYSEESDVYSFGVFLLELISGCEAYNRNMSNPYESLVFEAKYSSDLEKFIDKALGEQEKHGARRIMKLALLCVDVILRRPSMAHIVQELERIQRDIAPLYSENNEEIGVVKLGSELFKQAS >RHN44705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7495563:7498267:-1 gene:gene38855 transcript:rna38855 gene_biotype:protein_coding transcript_biotype:protein_coding MERQWSRATGDDFIIWKARNNMIIRNQDAEVERRDKKGKTDGAELVECQNYYGISEVKEGINQTSANMQFLYEYSSLPFVSTCMKG >RHN40170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13829974:13830946:1 gene:gene46284 transcript:rna46284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MALKQLSLIFMLFSLGVDATIFTLQNKCRNAIWPGILTGAGKPQLLDGGFQLKPGHAINITAPKGWFGRFWGRIGCTFDISGKGKCITGDCGGKLKCVGAGGEPPASLAEFTLDSPEGDFYDVSLVDGYNLPVSIVPFGGSGQCTAVKCRSDLNRNCPAGLELRNIKGRVVGCKSACMAFNRPEYCCTGDFNSPKKCEPSSYSKVFKDSCPMAYSYAYDDETSTFACKGADYLISFC >RHN51213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15105241:15107010:1 gene:gene35607 transcript:rna35607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MSTLSNSNPETKQRNLADYHPNIWGEYFIQYASESMELDQNIVTQIDTLKSHVRNMLVAKSEKPFEKVKLIDSICRLGLSYHFEKEIDEVLQHIHKSYVENGEIILEDNLFSLAVLFRVLRQHGFYVSPNVFTKFKDEQGNFNETLIMDVEGMLSLYEASHLIVHGEDILEEALAFTSTHLEFIATESSHSLAAQVKYALRQALHKSLPRLEARRYISIYEQDPSHDEILLTFSKLDFNLLQSLHQKEFGNISKWWKELDFSSKLPYARDRIVECCFWTLTVYFEPQYSRARKMLPKINVMLSLIDDTYDSYGTIDELERFTEAIERWDVIVSDDLPDYMKLLYKSFWNVYEEIEQAMIEEGREYILNYYKKEV >RHN77480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7150412:7155927:1 gene:gene943 transcript:rna943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MSWRIFLSSSKQTLTKLKSISNSQFSKTLFKQLPISSSIITHRTLHFHSHESSTSLIDPSLKTHLNDTTIHQNDDEEITNEFLSRFAWIMRKKVKEVYPECDKSTVDAMLLVIVERVVSEMEKGSGSDENVAFSRFDSVDFSEDLWRTVWEVSNKVLVDMNKERKKEKMKGFLQCDEVKEMCRFAGEVGIRGDLLRELRFKWAREKMEEHEFYEDLEKMKKETQIVDEEIKNESEENVDVDAGVRVDGSVEEKKVVGLPKRKGKIRFKIYGLDLSDPKWEQVADKIHEAGEVIWPKEVKPITGKCKQVTEKILSLKEKDGDDSLLTLLAEWVELLQPTRVDWINLLERLKSQNYPLYFKVAEMVLTEDSFQANISDYSRLIDMYAKENRIDDTERMLKKMNENGLQPDASIANVMVHMYSKIGNLERAEEAFKILSSLGFQPDTRVYNSMIMAYINAGEPTKGETLMRQMETRDIKPTKEIYMSLLRYYSQRGDIDRASRTSSSLQFAGHPQTMETCTLLIEAAAGADDLDKVIFNFDHMVKLGHKPDDRCTAAMIRAYEKTNLLDKALDLLMTLEKDGFEPGIVTYSVLLDWMAKMQLVDEAEQILNKIALLGEAPPFRVQVSLCDMYARTKMEKKALQTLGVLIARKEELRPNEFERIISGLIDGGFLRDAERMHGIMEAQGFKPSQQLNLALKSGRLPLSMR >RHN78751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18046255:18055876:-1 gene:gene2399 transcript:rna2399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cadmium-transporting ATPase MDTASSQLIYRGIDPLLRSSHSNRHNNLPLRRRRSNRISAVATDPKPAPVTTVNGSSSRSPPAKPVNGVSGRIGDVSKEIKRVRAQMEEDEQLATLMRGLRGQSLNDSLFAEDDVQLRLVEVDESSEFLPLVYDPASITAYWGKRPRSVATRIVQLLSVAGGFLSRVAWDVVNKKVKENEVARAIEIREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFADDVAMALIEEELGQPWQNVYSELSTSPIAAASLGQVYKGRLKENGDLVAVKVQRPFVLETVTIDLFIIRNLGLALRKFPQVSIDVVGLVDEWAARFFEELDYVNEGENGDRFAEMMKKDLPQVVIPRTYSKYTSRRVLTTAWIDGEKLSQSKESNVGELVNVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYPAIVKDFVKLGFIPDGVNLDPILPVLAKVFDQALEGGGAKNINFQELASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNPDFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKSGVFDAERFIDVMQAFENFITAAKSGGGEDLKGSMAELGIMTNRSEYLLPGFQSVTPQQPQPVETRAALAFLLSDKGNFFREFLLDEIVKGIDAVTREQMVRIMSLLGVQNASPIFAMVPTIGRFKPAALIPTITEEDKVILNNVQKVLEFLTAGSTLSSTSSQALNVPQIIQELLPVLPGISAKVLPDVLSRLSSRVLARLIRDAFL >RHN77391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6536606:6540128:1 gene:gene838 transcript:rna838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-PERK-2 family MKKKLKQEIKHCMDELGCSIVVMNGSQPKVLRLNLGGHSNELQTPFFSAPSSPGIEIGKLKGRRLKHSTPVGSPEETGSSVTRSIGVDSVSSSDSMTSPFLVYKENPLYEGHGSQKRTNKPTNEPKNFNFKPPLYCNLERDSPPPSRKLPTSSLASDKNTEFWIHQNHINNEKLQRAENKPIQRTKSPNSKTLLENFLHCDQEKRTNELEFNKAESRSYVTSSSIRESPIPLGRNSSVPPPLCSQCQNIAPVFGNPPRRFSYREIAEATDMFSDLNFLAEGGFGVVHKGILKDGQVVAVKQLKFSGSQADLDFCREVRLLSCAQHRNVVLLIGFCTEESVRILVYEYICNGTLDLCLHGRDSITLDWNSRLKIAIGVARGLRYLHEDCRVGCIVHRDIRPKNILLTHDFEPLVADFGLARWQSEWNINTEDRVMGTSGYIAPEYLDAGILTCKVDVYAFGIVLLELMTGRKISELEQFNGHSYLSEWFHPLHMLDPNHILQNVGSLNPWLDSEGSLEFNLQLKAMAQAASLCLCLDPDSRPPISKILRVLEGGNPVRSLGLDINSVGNISGHLSGLSSHTPPKGTISHSRMLSH >RHN56028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26967109:26967842:1 gene:gene31346 transcript:rna31346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MSFKHKSDEILFYLRHKKRDMVKTLKFVYDMILFIFLYLVAKNVAESIECRTVADCPKLISSKFVIKCIEKRCVAQFFD >RHN41656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31829858:31830289:1 gene:gene47999 transcript:rna47999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myc-type, basic helix-loop-helix (bHLH) domain-containing protein MEECLCHTNNTFDEEFLRDILYQTPQDQFSVPIATTGLFNNSSTNVSHSTQHAEEKQANSLPMPTTSILSLDKSVTLLPPTEQHHDSLPLSSSMASQGSNSKKPRSASETLDHIMSERNRRQLLTRKIIELSAFIPGLKKVSL >RHN65166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64657664:64659573:1 gene:gene28074 transcript:rna28074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor EF1B, gamma chain, S-crystallin MALVNPSFREINKNAYKARIAAEYASVQLQLTPNFEMGVSNKTPQFLDMNPLGKVPVLETPDGPVFESNAIARYVARQNNNNTLYGSSLIQYAEEIAITGLKRALNALNTHLASNTYLVGHSVTLADIITTCNLYVGFTVSLSRASPQKGGQEGARKAERRSRRRGTQAKPKNPLDLLPPSKMILDDWKRLYSNTKNNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMI >RHN65374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1579301:1582663:1 gene:gene13204 transcript:rna13204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L14P MATVKKGKPDLRKKVLPVVIVRQRKPWRQKDRVFMYFKDNDGIIVNPKGEMKDYAITGPIGNECAELWPMIASAANAIV >RHN43867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49090946:49092199:-1 gene:gene50501 transcript:rna50501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MVLLWMAEGFLDISQGEKVAEEVVYDCFAELLSRSLIQQLSDDTHGEKFVMHDLVNDLATFISGKCCSRLECGHISENVRHLSYNQEEYDIFMKFKNFYNFKSLRSFLPIYFRPTYLWRAENYLSLKVVDDLIPTLKRLRMLSLSAYRNITKLPDSIGNLVHLRYPDLSFTRIKSLPDTTCNLYNLETLILVDCCNLTELPVNMGNLINLRHLDIIGTDIKEFPIEIGGLENLQTLTVFVVGKRQAGLGIKELKKFSHLQGKLIMKNLHNVIDAKEAHYANLKSKEQIEDLELLWGKHSEDSLKVKVVLDMLQPPMNLKSLKIDFYGGTSFPNWLGDFSFSNMASLCISN >RHN56289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29542291:29542953:-1 gene:gene31661 transcript:rna31661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MFSTHYKVIKSYLTCIMQRGRNMTIKTLKFVYVIILFFSLFLVAKNEPEPKFIECVTDADCLNSQSKMYALICEKNRCIYEFLKSMHYNLS >RHN69475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43682780:43683752:-1 gene:gene17951 transcript:rna17951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding pseudobarrel domain-containing protein MYIQPGNPYFYAKHYRPNELYILKNVVKDFCLCFTKHISLVCCHCKDVRGNEIAACHHILAQINTTHIEKRGEIRKWKDGRVFELGWADFCRKSKITENDRCLCEVVLHGGKSIEMLRVHVIRNE >RHN69176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41536622:41538496:1 gene:gene17610 transcript:rna17610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MKLLFVLYLMSLFVSPISCFRLVNRKPLAQKSFNVVNYGAKGDSNTDDSNAFLKAWQEVCGSTQGTPTLIIPGGKKFMLQPSSFQGPCKSSTVKVMMMGTIIAPQSSGKWKWDSRDDDAWISFSHIKGLIISGEGTFDGQGASWWKNYNDHGRPTALQFLGCDNLKLGPLRHIDSPKNHISIVDCNGALISHLHIIAPENSPNTDGIDISRSTNIIIEHSTISTGDDCIAINSGSKFINITAINCGPGHGISVGSLGKDGKYATVEEVHVSHSIFTGTENGARIKTWTAGTGYARKITYEDITLIKVNNPIIIDQHYDALESVSDSVRKGVKVSDVTFRGFRGTANEKDAIELNCARIGCTNIVLENINIAGLGGEKPSASCNNVQGSCSSCNPNVPCFH >RHN42212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36382089:36386993:-1 gene:gene48627 transcript:rna48627 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLFSLYETRALIKDYRSLPAPISIGANFQLIYQTIRTVRRNTFEFKRGRWGDCLEATLVFEGERKFSIYWTSDLASITEYEFEKLNVVFKV >RHN56995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35576933:35577379:-1 gene:gene32474 transcript:rna32474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MVDSATQFTFLRQPVYTALKNEFAIQTKNILTPLGDPKFVFQGVMDLCFRVPIGSTLPVLPVVTLMFDGAELRVTGERLLYKVSNVAKSNSWIYCFTFGNSDLLGIEAFIIGHHHQRNVWMEYDLANSRIGFSDTNCDVARQQLGLIS >RHN80433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38131112:38136534:1 gene:gene4361 transcript:rna4361 gene_biotype:protein_coding transcript_biotype:protein_coding MTISTPQLVRFPLLMDDSDTTNYSACTIPWRLPSDDPKVPTPTELSWINLFCSGIPSYKKRAASDTSVPDAANKAEIYAQRYAKILEDMKEDPASHGNPLDILLLCRLREELLKELGFRDIFKKVKNEENAKAISLFENVVRLNDAIEDEGKRLENLVRGIFAGNVFDLGSPQLAEAFSRDGGMSFSATCENLQPRPWIIDDLDTLKMRWSKKSWKKASVIIFVDNSGADIILGILPFARELLRRGNQVILAANDLPSINDVTYSELIEIISQDEEGRLLGVSTSNLLIANSGSDLPAIDLTKVSQELACLATDVDLVILEGMGRGIETNLYAQFRCDSMKIAMVKNTGVAKFLKSRMYDCVIKYDAV >RHN46211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29692478:29694124:-1 gene:gene40662 transcript:rna40662 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKNKEKVSLKLLVNKETNKVLFAEAGKDFVDALFSFLTLPLGTIARLVQKDSKIGPVTIGCLNTLYQSVADLDKEVLWTEESRGLLLQPKSSSEHYCNTLKLNIDDTQPTAYFVCTNLETCIYRYFTTSINRNCACGVSMTRSISLEPFHKGFVNDFATFVVTDNLNVVPTASHYTSLDLVQNSGIKSMSSLKEMTVNVTKEKVLDLLKCSLLSNSPLTDIFVTKMKPSLERSLFLPSGYIHCSNFQITLNLVIRKSDKKILYAQGDQDFVDLLLSFLTFPLGALRCILGENSSLGSIDTLYNSIVNLEENKFLLSKEAKNRLVSPYGYLQFRSMKLGLSRPEDTGRYYCYYPQVSCKQSINNDPCFISEEFWRDIKKSREVKLVYTESDTASRKGFVKGPAMYLVTDDLILAPSSPISALHLINRLETPLTDVKEKVVTVGIQDCLNIFKASLISTSALTIGLSHLLTDVKEEK >RHN51789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25190585:25193383:1 gene:gene36312 transcript:rna36312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MRLQCSNVAADNESLKKFAEWILDLGDGKLGSDTDGEAMIDIPDDLCIQHSGNHVADIVSFTYPSLMDNLQNADFFLDRAILAPTLDLVEKVNDYIMSMIPGEGKEYFSCDTICKVDEDVGIDRRWITTEFLNGIKCSGIPNHKLYFKVGVPVMLLRNMDVESGLCNGARLTIIGLGKNVVSAQVLNGSHRGEHVFIPRMNLIPSDANVAITFQRRQFPLVVCFAMTINKSQGQTLSNVGLYLPRPVFSHG >RHN58906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5845115:5848387:-1 gene:gene20862 transcript:rna20862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MQCLVPITCVTTLRVLFNETSLVCNFINTPFFTCLLSFLLSCVVENQSNPTTMRSITLVSTFSFLLYFIYMSFQISVASAKCLEDQQSLLLQIKNNLTFEADSFNKLEQWNQSIPCCNWSGVTCDNEGQVIGLDLRNEVSGGFDNSSGLFSIQKLTKIRMLYLDGISIPSQGYEWSSLLLPFRDLQELGMSSCGLSGPLDSSLSKLENLSVIILGDNNFSSPVPQTFANFKNLTTLSLVDCGLTGTFPQNIFQIETLSVIDLSFNYNLHGSFPDYSLSESLHSIIVSYTNFSGALPSSIGKLRHLSKLDLSSCQFNGTLPNSLSNLTHLSYLDLSNNSFTGPMPPFGMVKNLIHLDLSDNSLSGEIPLSSNFEGLENLEIIDLSYNSIDGRIPTDLFSLLSIQEIHLSFNHFNTVDEFTIISPSSLNTLDLSSNHLSGPFPTSIFQLGSLKELDLSSNKFNGSLLLDKILELGNLTELNLSYNNISINGNVANVDQSSIPCFFLLELASCNLKFFPSFLKNQNQLSVLDLSNNQIQGIVPNWIWKMQGLEILNISHNFLTDLEGPLPNLTNDWMSLDLHNNKLQGSIPAFLEYVQYLDCSMNKFSVIPQDIGNSLPSLRFLSLSNNNLHGSIPESLCNLSLQVLDISFNNISGTISPCLIRMTSSTLLVLNLRMNNINGPIPDMFPTSCVASTLNFHGNLLQGPIPKSLSHCTSLKVLDIGSNQIVGGFPCFLKHIPTLSVLVLRNNRLHGSIECSLSLAKKPWKRIQILDMAFNNFSGKLPEFFFTTWERMMNNKDDGESDFIYIGDRELTSYSYYQDSMTVSIKGQQIELVKILKIFTAIDLSSNHFEGPLPNVLMDFKALYVLNFSNNALSGEIPSTIGNLKQLESLDLSNNSLVGKIPVQIASLSFLSFLNLSINHLVGKIPTGTQLQSFEASSFEGNDGLYGPPLTETPNDGPDKPHPQPACERFACSIDWNFLSVELGFVFGLGIIVGPLLFWKKWRVSYWKLVDKILCLIFQRMHFEYATDRGQTYRILRW >RHN75630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43893883:43896693:-1 gene:gene11863 transcript:rna11863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MINTRKPWFCLSLLVLIFFLHFHHSLAALTTITANQSLSGDQTLVSEGRRIFELGFFKPDSSFDHPTDTFLPGGKIKLDEKTKQPQYLTSWKNWQDPSTGLFSLELDPKGTNSYLIRWNKSEEYWTSGPWNGQNFSLVPEMRLNYIYNFSFVSNENESYFTYSLYNSSIISRLVMDISGQIKQITWLDSTQQWYLFWSQPRVQCDVYAFCGAFGSCYQNSMPYCSCLRGFEPKSVSEWNLGDNSGGCVRKTSLQCEGSNPSYRDNDAFLAIPNIASPKYAQSVGLGNAAECELTCLKNCSCTAYAYDSNGCSIWVGDLINLQQLTSDDSSRKTLYVKLAASELRDASKNSNQARLIIGGIVGGVVGIGILLALLLFVMLRRRKRMLATGKLLEGFMVEFGYKDLHNATKNFTEKLGGSGFGSVFKGALADSSMVAVKKLEGTSQGEKQFRTKVSIIGTMQHVNLVRLRGFCSKGTKRLLVYDYMPNRSLDFHLFGNNSSEVLGWKMRYQIALGIARGLIYLHEKCEECIIHCDIKPENILLDADFCPKVADFGVAKLIGRDFRRILTNMEGSRGYLSPEWISRAAITAKSDVYSYGMMLFEVVSGKRNSDPSADDQNTFFPTLAATVVNQGGSILTLLDHRLEGNADIEEVTEMIKVASWCVQENETQRPTMRQAVQILEGTLNVNLPPIPRFNQVFVDN >RHN74434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33205684:33206127:-1 gene:gene10507 transcript:rna10507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative costunolide synthase MILICIAIFLVSLAFLWQWRNMNKVKRLPPGPVGLPILGSLLKLGANPHLDLHKLSQKYGPIMHLRLGLVPTIVVSSPQAAELFLKTHDLLFASRPPSEAGKLMFYNQKDVSFSVYGSYWRNMHKMCTLELLSHSKINSFRSMRKRA >RHN66034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7794083:7811390:1 gene:gene13944 transcript:rna13944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MASDDDLKTWVSDKLMSLLGYSQRTVVQYMIGISKQAASPADLVGKLVDCGISTTDSRAFAEEIFSRVPRRSSGLNQYQKQEREAAMLARKQATYTILKDDDDIDDDHTVGGDDKSSITTASTSRKPDNHKKRFRKKTEVQDDQEDEVISRKERERQVKRRTSPDEESGSESEEERLKDQREKDELVQHMRERDAAATRKLTEQKLTRKEEEEAIRRSNAAEQDDLRSLRKYSRQEYLKKREEKKLEELRDDIEDEQYLFDGVKLSEVEQRELRHKKELYELIKKRSEEADNVNEYRMPDAYDQEGGVNQEKRFSVAMQRYRDTNAEEKMNPFAEQEAWEEHQIGKATLKYGSKNKKLASDDYQFVFEDQIDFIKASVMDGDKFDNDEMADSIEKSKAKSAAEALQEERKKLPIYTYRDELLQAVHDHQVLVIVGETGSGKTTQIPQYLHEAGYTKHGMIACTQPRRVAAMSVAARVAHELGVKLGHEVGYSIRFEDCTSDKTILKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFALVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEIHFTKAPEADYLDAAIVTALQIHVTQPPGDILIFLTGQEEIETAEEILKHRTRGLGTKIAELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFVKMKSYNPKTGMESLLVSPISKASAMQRAGRSGRTGPGKCFRLYTAYNFQNDLDDNTVPEIQRTNLTNVVLTLKSLGIHDLLHFEFMDPPPAEALLKALELLFALSALNKLGELTKVGRRMAEFPLDPMLSKMIVVSEKYKCSEDIISIAAMLSIGNSIFYRPKDKQVHADNARLNFHTGNVGDHMALLKVYNSWKETNYSTQWCYENYIQVRSMKRARDIRDQLAGLLERVEIELTTNPNDLDAIKKSITSGFFPHSSKLQKNGSYRTLKHGQTVHIHPSSGLAQVLPKWVVYHELVLTTKEYMRQITELKAEWLLEIAPHYYQLKDVEDTGSKKMPRGEGRARITDN >RHN57664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40891201:40894047:1 gene:gene33242 transcript:rna33242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein 50S-L18Ae/60S-L20/60S-L18A MVNAKFHQYQVVGRALPTEKDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVETMYNEMASRHRVRFPCIQIIKTATIPANLCKRESTKQFHNSKIKFPLVYKKIRPPTRSLKTTYKAKKPNLFM >RHN77136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4357704:4357937:-1 gene:gene560 transcript:rna560 gene_biotype:protein_coding transcript_biotype:protein_coding METKYVKIWTTYKIGMKTRKLFPGAVVASSGMVVTGEGCPFLIFLNGFCREDGGDSFYGDGFSKMKMVEQCNDRRRH >RHN42518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38979621:38988025:-1 gene:gene48966 transcript:rna48966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MTVKNSPTVPRNSNPKSTQKHKFNKENKPPKPPSSHHNPLKRKLDDTLTTSSDSGVKVIVRMKPVRSENDEADSIVKKVSSNSLSINGQDFTFDSIAHIDATQLDIFELIGVPLVENCLAGFNSSVFAYGQTGSGKTYTMWGPPNALAVENSSIDQQGGLAPRVFERLFARITEEQTKHSDKQLKYQCHCSFLEIYNEHITDLLDPNKRNLQIREDVKSGIYVENLTEEHVFTMKDVNQLLIKGLLNRTIGATSVNSESSRSHTVFTCVVESRCKSIEDRKSRFKTSKINLVDLAGSERQKLTGAAGYRLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSKLTFLLQESLGGNAKLTLLCAISPAQRSETFSTLRFAQRVKAIKNKAVVNEVMHDDVNQLREVIRELKDELHRVKTKGYNPSGGHSAALIRRSLCLLQPRLHYPLSLPHVDEDGDEEMEIVEDGVEDHARILGDTSRDSAGFSDTKRCIDFPSATMNCDNPATLVVKCATDPTLKSPPPSVSPTISSSRKSLKTLSKLSPSQNNLHCESDLGMMSGLVTKTVNQKSMSNALSSLKAQNFLTKTENLTASIRHGLETIDNHHSSAAFGRSSLRLSLRPEDSKLTFPVDKVNVGVQTFVDNNAGEEDSVMLNCNNCKIRMQLDVSKIDNNSNMQLVPVDCPESADKPKKQVLKAAEKVLAGSIRREMALEELCAKQTSEIMQLNHLLQQYKHERECNAVIGQTREGKIQRLQSLMDGVLPTEEFMSEELVSLTHEHELLKENYEHHPEVLKMKIELKRVQDKLQEYQNFYEFGEREVLMEEICNLRNQLHFYMDHSPARKEYPLLQLPHSSEPRLVANLTANPDSTEASAEANDNPESTEESAKVKLEQERSEWTEAESRWISLSEELRAEVEANKSLAEKRKRELDAERKCSKELKDAMQIAIEGHARILEQYADLEEKHVQLLERHRKIHDGIDDIKKAASRTAVRSAESKLITTLAAEISALKAEKEEERRILVDENRGLQDQLKDTSAAVQAAGELLVRLKEAEEGVITAQKRAMDAEQEAAKAYKQIDKLKKKYEIEISTLNDLLAKSRLPMEEAIQPTCNGFVMPTDYDTKVANNVSQFEPSYNEEDGELAKLKEQSWFSGSDICNI >RHN74209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30208270:30211236:-1 gene:gene10228 transcript:rna10228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative naringenin-chalcone synthase MMKVSEIRKAQRAEGPATILAIGTANPPNCVEQSTYPDFYFRVTNSEHKIKLKEKFQRICDKTMIKRRYMYLTEEILKENPNLCTYMAPSLDTRQDMVVVEAPRLGKEAAVKAIKEWGQPKSKITHLIFCTISGVDMPGADYQLTKLLGLRPCVKRYMMYQQGCFAGGTVLRLAKDLAENNKGSRVLVVCSEVTAITFCGPSDTHLDNLVGQALFGDGAAAVIVGSDPIQEIEKPLFELVWTAQTIAPNSEGAICGQLREFGLSFHLCKDVPDIVSKNIDKALFEAFQPLGISDYNSIFWIAHSGGPAILDKIEEKLALKPEKMSATRKMLSEYGNMSSACVLFILDEMRNKSAQDGLKTTGEGLEWGVLLSFGPGLTIETIVLHSVAI >RHN56707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33042960:33043658:1 gene:gene32145 transcript:rna32145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MCRYFSYSSSRFRCHRRRDSRFASRDAANTNLPPPFFNFSQLIKNFKSHGLNLKDLVVLSGGHTIGFSKCTNFRNRIYNDTNIDKKFAANLQKTCPQIGGDNNLAPFDSTPNKVDTSFYKALLYKRGLLHSD >RHN43879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49166659:49169224:-1 gene:gene50513 transcript:rna50513 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNYYCMHTSPIHVLHISSSFKPSKPLRTRIRTRATFDDIQKTPLVVVQDNNPKREVEECVKLLKNAAKTRKVPAEEILSALSLIEKAKIDPSAFLDTLGGKESPGRTWMLIFTAKKKLDGGGYFPLTAVQRFDAAAKRIENGVFLGPIGQLTFEGRLSWKNRILSFIFENLRIKVGPLKPLQISLGQKEDREPSTKDPFFIWFYVDEEIAVARGRSGGTAFWCRCRQVDI >RHN56232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29082288:29083554:-1 gene:gene31600 transcript:rna31600 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFKFETQVQIVVATMAIHNFIRRKAEIDIDFNVYEDESTIIHHDDSSSNLDQSHVLNVVSSSEMDRVRNIIRNEIIEHRQNN >RHN50222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5281528:5283999:-1 gene:gene34489 transcript:rna34489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MQLFKMLELKFLKDDNELHGGDHISKSLLRAIEESKISLIVFSKNYVNSRWCLDELKKIMKCYRTIGLKVVPVFYHLEPSKVRHQTGDFGKLFQSFLNKISNEEQLVQSWREALRHAANIKGFEILKSRSRNKHNYHFTSGSGTVSTNQIK >RHN69537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44199136:44200667:1 gene:gene18018 transcript:rna18018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stearoyl-[acyl-carrier-protein] 9-desaturase MVISICTQGLPLARIPIQCYLNSSSKITLRSQKTHSMPPEKIEVFKSLEKWALQSVLPLIKPVEESWRPHDLLPDSTLSSDEFIDQVKALRDRTNELSDDYLVALAGSMITEEALPTYQTWINKLDGVRDESGSSLSPWAIWSRSWTAEENRHGYLLKTYLYLSGRVDMHMIEKTIHYLIGAGMDWATENNPYMGFVYTSFQERATFVSHCNLARLAKERGDLILARICGTIAADEKRHENAYVRIVEKLLEVDPTETMMAISNMMCNKITMPAHLMHDGQDPYLFDHFSVVAQRIGMYTTNEYADILEFLVGRWKLEKLDGLTSEGQRAQEFVCELAPRIRRIQARVDERTSKINHKFTWIFNKEVSL >RHN40644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18776076:18776201:-1 gene:gene46827 transcript:rna46827 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPSPKSVFMYSVVYSFLSVYWVRVHQSLYLYVILCIIWF >RHN62289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42347295:42348396:1 gene:gene24847 transcript:rna24847 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLLTPTPTTAATALSVRPWNSSSLYSSKNVFFTTPRVNCIGNQSQKQVSNHDQVPPTSDDHSLHRRALMGFSGAVVLGLSWSDEQSARAAARRPPPPPPKEKKDPNLSAAQAKVLASKKRKEAMKAEVARLREQGKAVNINKEPPPPPPVVAAPPASE >RHN46007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27682343:27688888:-1 gene:gene40441 transcript:rna40441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pantoate--beta-alanine ligase (AMP-forming) MAQKEPIIIRDKTQMRNWSRTMRSQSKLIALVPTMGYLHQGHLSLITQAHKHANLIVVSIYVNPGQFSPNEDLSTYPSDFQGDLKKLINVPGGVDVVFNPKNLYDYGGSDGDGDDGGEKGDGVVSCVEKSGFGHESWVRVERLEKGLCGMSRPIFFRGVATIVTKLFNIVEPDFAVFGKKDYQQWRVIQRMVRDLDFSIKVIGCEITRENDGLAMSSRNVHLSLEEREKALSISKSLTTAKSAAEDGQVDCEKLRNLVIQCITEAGGRIDYAEIVDQQSLEKVKFIKGPVVFCIAAFFGKVRLIDNMEINL >RHN57001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35625909:35627951:-1 gene:gene32483 transcript:rna32483 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LB8 MGFTEKQESLVNSSWESFKQNLSGYSVLFYTIILEKAPAAKGMFSFLKDTTGVQDSPQLQAHAAKVFEMVRDSAVQLRATGEVILGDATLGAIHIQKGVVDPHFVVVKEALLKTIKEAAGGNWSEELSTAWEVAYDGLAASIKKSMS >RHN65084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64090682:64091171:-1 gene:gene27981 transcript:rna27981 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTDSTLCFLVPSFEFSHHITTITTYLTLSSMLDSSSHHG >RHN82543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54638663:54642485:-1 gene:gene6714 transcript:rna6714 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAKPVSIQVWNPNGKYRVVSTKSMPGTRWINLLIQQDCRLEICTEKKTILSVEDIIALIGDKCDGVIGQLTEDWGEELFSALSKAGGKAFSNMAVGYNNVDVNAANKHGVAVGNTPGVLTETTAELAASLTLAAARRIVEADEFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYFDLYQSTRLEKFVTAYGAFLKANGETPVTWKRAASMDEVLQEADIISLHPILDKTTYHLVNKERLAKMKKEAILINCSRGPVIDEVALVEHLKENPMFRVGLDVFEDEPYMKPGLAELKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPVWFDANRVEPFLNENAQPPAACPSIVNAKALSLPVSKL >RHN51343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16518840:16519902:-1 gene:gene35768 transcript:rna35768 gene_biotype:protein_coding transcript_biotype:protein_coding MIKESHSFKWSNYNIYLKVRKTQERGGEGVELCYLYLLFKLSPKSDRVQSLEQCSESNSSGYKKIREKDTKQLSWFLPQSGSSPVPLHFQGDFHYNPI >RHN58886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5719425:5720117:-1 gene:gene20841 transcript:rna20841 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQESKSIPLKILVDKQRSKVVFVEATKDFVDTLFSFLSLPLGTIVRLLATINSKNDQQKQLPESSPFLENIKNLYRTIQNLDSNDVWNNTVCKQMLLHPRNPCEALCMKLFLNVDDTEPSSKFFVCDSCNTFTTFQNLHCTCGKPTNRQPKNLDSEGHGNSTSVDTINGVFVRENGSMFLVSDDLKIVPSSSVTYLQLLMELGYSDLTQLEEVTHNIGKQEVMLCVV >RHN70330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50689463:50690226:1 gene:gene18900 transcript:rna18900 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLAMQMRITLPNILSWRSVQDFQNYVLSLKFKTSDLSLKFLPETNTSSLLLQTFPLRCNSDGGDAMRSFLHTTFKPFNPFWVGFFINFNLVSPVLSYILMISSPCWICLELAICWRLKADGGFVGGSVSHGGFVVGGGVTPPSSASPGHFYGGVLSPLYFVLVFLSCSVWIQISPPFLSYPTLLFLVVVVSGGGAMGGFVLCNTPFLVEVFVCPDR >RHN56301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29635326:29641523:-1 gene:gene31680 transcript:rna31680 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQWRLAFGSQVRNTDLQGMHQCNCSLLKQSKHSDVNSF >RHN63721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53523235:53528445:1 gene:gene26458 transcript:rna26458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein adipocyte-associated 1 MSRNAEAPEAQIDVGDLNLNCNVHDTFVAASSVLFVLYLALKAKKNINSLCNGGSYIIASYYALLWIVTLLNLAWSFLQAWQCSPGKELAWNALTLFTTSGMLYLEISLMAFLLNVNYMNGMEAQALVHTSIVSGIIVLVDTLLKAIYVFGFGVPLFNRNVGSTHTIKWGLWTVHKLLLAAAYGFILFEHFSKWREKLPPRPTFYNYVVVMFIFSAITLFACGLAGIGAGLGNWLYDFTVLCYHSLYLPFLYATFLADFFQEEDFLLDNAYYSEMKDAGFFDAEWE >RHN77815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9575039:9575331:-1 gene:gene1308 transcript:rna1308 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTEESRVLPYIIIYKRLQYSNNSSLKALINRREPDCHQLWRKR >RHN48483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47837561:47837866:1 gene:gene43203 transcript:rna43203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L5 MVSEKKLANPMREIKVQKLVLNISVGESGDRLTRAAKVFTPLLTGSVFFFFLIHFYIFFVLYFEKYIKMNLFVAINDFGDKDITSTSGSACAYNIIYETLT >RHN39646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9045078:9054311:1 gene:gene45688 transcript:rna45688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MLKDLSQKPLISILVLIFSTVIVLSTPPEDPIKCSNSQNTTCTITNSYGMFPDRTICQASQVFYPTSEQELVSMVASASREKIKVKVATRYSHSVPKLVCPEDSNGILISTKYLNKVVKIDVEEKTITLESGVTLKQIINEASKNGLALPYTPYWYGLTIGGMIGTGAHGSTLSGKGSAVHDYVVEIRIVRPSDSDDGYAKVEILNEQNNEDFNAAKVSLGVLGVISQVTLKLEPIFKRSITYVAKSDSDLGDQVVSFGHEHEFADISWYPSQHKAMYRVDDRVPINTSGNGLYDFIPFRPTPSIALAAIRITEDLDEFTGNVDGKCRVAKLTTNILFKSAYGLTNNGIIFTGYPVIGFHNRLQSSGSCLDSHQDAKITTCAWDSRIKGEFFQQSTFRVSLSKVKNFIEDIQKLVQLVPKGLCGIEQYNGILMRYVTASSAYLGNQEDALDFDITYYRSKDPMAPRLYEDILEEIEQIGIFKYGGLPHWGKNRNVAFEGVFKKYKDIEKFLKVKEKYDSQGLFSSTWTDQVLGIKEGVTILKNGCALEGLCICSQDSHCNPSKGYFCRPGKIYKDARVCSRV >RHN76141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47969076:47973013:1 gene:gene12422 transcript:rna12422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-acylglycerol-3-phosphate O-acyltransferase MAFPATIVILPVGIIFILSGLIINVIQAIFFVFVRPISRYCYRRINNVLTESLWLELIWLIDWWAGVKVELYADSETFQLMGKENALLICNHRSDIDWLIGWVLAQRTGCLGSTIAIMKKEFKYLPVIGWSMWFAEYLFLERNWAKDESSLKSGFKLLEHKPVPFWVALFVEGTRFTHTKLLAAQEFAISRGIPVPKNVLIPRTKGFVTAVKETRKYIPAIYDCTFTVPRGETSPTLLRIFKGIPSKVKVQIKRHEIEELPETEDGIAQWCKDAFVAKDALLEKYSTTEIFSELELHQFRRPKRSIFVMACWSSFLCFLLVKFFQWTKLLSTWHGIFFAVLFMVIVTAVMEILIHATQAESSKPTNLPIQDPIKQGLLDSDIIKHAPIV >RHN72873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11417945:11425968:-1 gene:gene8636 transcript:rna8636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Arf GTPase activating protein MSSVNNLRMDLGRPASGRRRLKDLLHQKDNRVCSDCNAPDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVTLDDWSDDEVDAMIEVGGNASANSIYEAYIPEGYTKPGPDASHEQRAKFIRSKYELQEFLKPSLRIVSGKSNLSSSSNSFMDSFKSTNSERMEGMVEFIGMLKVKVIKGTDLAVRDIKSSDPYVVLNLGTQTVQTSVMRSNLNPVWNEEHMLSVPEHYGQLKLKVFDHDTFSADDIMGEADIDLQSLITSAMAFGDAGMFGDMQIGKWLKSDDNALIEDSAVKIIDGKVKQMMTLKLQNVECGEIELELEWISLDH >RHN82050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50884998:50886726:1 gene:gene6167 transcript:rna6167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MALVERGMVLSIMMVAMQISYAAVYKVGDSAGWTTLGNIDYKKWAATKNFQLGDTIIFEYSAKFHNVMRVTHAMYKSCNASSPIATFTTGNDTIKITNHGHHFFFCGVPGHCQAGQKVDINVLKVSVAASPAPSSSPSALASPAEATVPASNVPAPSPSNAAPQKFIALKMMLAFLAMQFLAVNF >RHN44151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2008319:2009010:1 gene:gene38229 transcript:rna38229 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVVLWNRLRICSIDTRRYNEEHTPRSHVITTRPTQMGFNSSKVV >RHN42717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40428426:40434619:1 gene:gene49200 transcript:rna49200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MSFPNESMPDSPQRAKIGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYANNSVKASIERYKKACSDSSGAKSASESNVQYYQQEAAKLRVQISNLQNHNRQMMGESLSNMNGKDLRNLESKLEKGISRIRSKKNEMLFAELEYMQKREVELHNSNQVLRAKISESEQRSNHNVNVLPGGTSFECMQPQQQFDSRGYFQVNELQPNDQYARQDQMSLQFV >RHN63223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49487362:49488599:1 gene:gene25899 transcript:rna25899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MKGRCVDSPNLIILFHTHLKACVPPQYQSYVLSTTQKTSLIVMAPRKDGPTKETMNRGAWTQEEDQKLAQCIQIHGAKKWKTVANNSGLNRCGKSCRLRWLNYLRPNIKRGNISDEEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLCKKASHIEEKPETSTAQETIAQDNVVGDNAMLENKDSISGSLDSDVIFNVNEFLDFPTEEPYVFDWVNKFLEIETNN >RHN56894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34535618:34545176:1 gene:gene32358 transcript:rna32358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdopterin-synthase adenylyltransferase MERSKCLVLIGGGALLGSLSTFFLLKLLQTQKRGLRQQCNENATAELNGVDRCAIAGKKSGKVVSDDLLKDEIVSEQLTRNIQFFGFESQQKVSASYVVVIGLGGVGSHAASMLLRSGIGKLLLVDFDQVSLSSLNRHAVATRADVGISKAQCLKEHFSSIFPECQIDAKVMLYDSSTEEEILSGHPDFVLDCIDNIDTKVALLAACVRRGLKVLSATGAGARADPTRIRIADLRESTNDPLSRSVRHRLRKDHGIDGGIPVVFSLEKPKVKLLPFKGSSGEEENPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVLTDLAGLHVQTEPVVNFDMDHYHILHQRLIEHEETLYGTSMHVQVDAEEVKYIAKELWHGRSAREQVAKDVGRAMWRSVNELMLVRWDSTKPASISNLILLKFNEVDEHESRTLDDVKEKEPEFYSRVIAVLKRAENDFGL >RHN61298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34634574:34638168:1 gene:gene23746 transcript:rna23746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MAIGATTKILVIGGTGYVGKFIVEASIKAGYPTFALIRASTLSNPHKSSIIQYFNALGVNIVLGDIYDHQSLVKVIKQVDIVISSVNHEHISDQYKILAAIKEVGNIKRFFPSEFGNDVDRNHGVNEGKLVFDTKAKFRRAIEDEGIPHTYVVANFLTRHFLPTKSQLNDTTFPLDTVIILGDGNTKAIFNTEESVAAFTIRTIDDPRTLNKILYLRPSTNTLSYNDLVSLWEKKTNNNLKRIYIPEKQVLKMIQESPYPVNMGLAICLAAYVNGDHTNYEIDPSTGVEASELYPDVKYITLDQYFEENHDRTPFYLNWLLSLNKEQHFN >RHN49873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1850752:1851293:-1 gene:gene34098 transcript:rna34098 gene_biotype:protein_coding transcript_biotype:protein_coding MDILVTASWKSLWMEWCISLVKRALMGFVDHMLLLDTRLYFDEICVCHLEKNFRVFINSRVRT >RHN59069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7284017:7291555:1 gene:gene21056 transcript:rna21056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MSCFTSSSKIQQEIESRSTTGPNKMRYNVFLSFCAQDMGYFLSRLHTALSSQAGIVVFEDKRFQHGEQVESALNVIQDCKIVIVVFSKNYANSSSCIQELEKITKCCRDSELIVLPVFYAAVNPTYGSLEGGMFGGDTFHDFLNRISMEEISKEEDKLMTWVAAITKANNYLGSSDLIHKPIYRYEHINIKGYIKDIVEHVSCVINKNRVFSVTSCTPSVKSGVQDVIQLLKRSKSPILLGIWGMTGIGKSTIAKAIYDQIGLYFEHKCFLENIGGIWEQSNDHQVSLQEKILFYIDGPAEIKVALSTIESGTEMLKQSLQHKRVLLVLDNVDKLEQLNALCRSRKWFGAGSKIIITTTDRHLLKEHGVDHIYRVKELDESESLELLNQGVFGQATAPQEDFGELSRQVVAYSGGLPLGVKELGKFLHGKNVREWKSVLKSLQRFSIPALQLLEALEKSFSDLSDEEKHIFLDIACFFDNMNQNDVLQTLNRSTQSAALQIRRLEDKSFLTIDENNKLGMHVLLQAMARDIIKKESRNKTDKPKMYDVFLSFRGEDNRAKFVSHLYSSLQNAGIHVFKDDDEIQRGDHISISLLRAIGQSRISIVVLSTNYANSRWCMLELEKIMEIGRNRGLVVVPVFYEVAPSEVRHQEGPFGKAFDDLISTISVDESTKTNWKSELFDIGGIAGFVLIDSRNESADIKNIVEHVTGLLGRTELFVAEHPVGLESRVEVATKLLNIKNSEDVLILGIWGMGGMGKTTLAKAIHNQIGSKFEGRSFLLNIREVWDTDTNQVSLQQQILHDVYKALTFKIRDIESGKNILKERLAQKRILLVLDDVNELDQLKALCGSRKWFGPGSRIIITTRNIHLLRLYEVYQVYTIEEMDESESLKLFSWHAFKQPSPIEYFAKHSTDVIAYSGRLPLALEVLGSYLSDCEITKWHKVLEKLKCIPHDQVQEKLKVSFDGLKDFTEKQIFLDIACFFIGMDQNDAIQILNGCGFFADIGIKVLVERALVTVDNNNKLRMHDLLRDMGRQIIYEEAPADPEKRSRLWRHGEVFDILEKCKGTKSVKGLALEFPRKDCLETKAFKKMNKLRLLRLAGVKLKGDFKYLSRDLKWLYWHGFQESYAPAEFQQESLVVVELKYSKLKQIWNKSQILQNLKILNLSHSLDLTETPDFTYLPNLEKLVLKNCPSLSTVSHSIGSLHKILLINLTDCIGLRKLPRSIYTLKSLETLILSGCTMIEKLEEDLEQMESLITLIADKTSITKVPFSIVRLKNIGYISFCGFEGFSRDVFPSLIRSWIAPSNNVISLIQTSMSMSSLGTSKDLQKLRILGVECDSDLQLTQDIERFLDVLRATRDPNSEASASSTRSETSDLYASPLIDDGLGQVCISKSKNHLKSLIVQMGTKFHVSNVSEDSLLQTVDGTWDSFLLPCDYKSEWLTFNCKGCSIKFDVPKMKSNLKSMMLSVVYYSSPEIITSEGCHGLLIINYTKKTIQAYKRDTLTSFDDEDWHTITSNVEPGNKVEVMVVFGEGFIVEKTTLSLLYDDPVNKEMERCQVVDEEDVIVSDNDDIKVCVSGGDNESINRFGEGMVHMQMTRPTDGLYADVGLVLLVPDDDLDQPEEEVEEEIRAAEVEGDQELEVSLPESQPELKAAASETIGPALGAQILDALKELRDDFVRLEQTVTAVEVKLEELEDAIAQILRRSSSKSISILRFLSTLLFLLLFCWFVFL >RHN56451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30977898:30978765:-1 gene:gene31856 transcript:rna31856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thylakoid soluble phosphoprotein TSP9 MASITMLPMVPTTGRVFAATGAKGTTGGGSSKQEKGFWDWIVGGLTKEDQFYETDPILKKVEEKNNSRGTTSRGTTSGKGTTSGGKNSVVVPQKKKGGFGGFFNKD >RHN49418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54676164:54676966:1 gene:gene44245 transcript:rna44245 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGPVYDVWMVLYFRAYCVVAADMATDAEVSVMKSIRILRFFFTILAELIETDIEQVVKCLRNQI >RHN79541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30000094:30005954:-1 gene:gene3345 transcript:rna3345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lipopolysaccharide-transporting ATPase MPISYSLSSSFILPNSNLHPIQFQTKFRTKNNHHFPNLKIRSTSLRTNNNTIQFLKPYLTSQQKPILYGWLCSAISVYSLSNLLSKFSAVTTATTTVDVRQGLALGGLVLVRLIATYAQHALLWEASLNAVYEVRVHVFDRVMQRELTFFEANDAISSGDIAYRITAEASDLAATLYALLNTIVPSSLQFSAMIMHMLAISPELSLISAMVIPCMVLVVTFLGQELRKISKKSHISIAALSAYLNEMLPAYLFVKANNAESLESVRFKRLALMDFSAMLNKKRMKAVIPQVIQAIYFGVLSILCAGSIVISRGSFDRCSLVSFVTSLLFLIEPIQDVGKAYNEWREGEPAIERLFAMTRFKNKVVEKPDAVDLDHVTGDLKFCDVSFKYNDGLPHILKGLNLHVRPGEIVAIVGPSGGGKTTLAKLLLRLYDPISGSVLIDNQDIQNIRLQSLRRHVGVVSQDITLFSGTVAENIGYRDLTTKIDMEKVKHVAQTAYADEFIRKLPEGYNTNIGPRGSTLSGGQKQRLAIARAFYQNSSILILDEATSALDSKSELLVRQAVERLMENRTVLVISHRLETVMMAKRIFLLDNGKLEELPRSTMLNGHMDSLLSSGHIV >RHN41683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32036751:32037677:1 gene:gene48033 transcript:rna48033 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDWNMLAADCVVISCCCQCLVLQILVLVLRKMVRKTREYGKKIFCQRKGNYRGVQREMGSYKDVVLRIQEDYALKDDDVHNCGCCMVEVEKVMEELCEKGEFGFGSFWGRKEPWGFPQVVNDHYDDSFVRYQIIDLGPT >RHN45451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20939882:20940355:-1 gene:gene39794 transcript:rna39794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c-type biogenesis protein CcmB MLLGKRGVTLNTNHEFFSIPPAPFARNEKEDGTLEFYYFNAFCLPKILLLQLVGHRVIQISCVLYGFPMLQLSYQFGRSRMDLLNILLGCLVFTLLCGIHSRLSLGITSNSGWNSSQNPTTSPTSLPPTVSRTSIESEWFHVLSSIGFQKDDIRVLI >RHN73159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13932891:13944768:-1 gene:gene8946 transcript:rna8946 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSDLRKIGLEGFALIDKFYGPTRRISMQDRASGVSKDGTVYFVDISNLPQGKITPKSLG >RHN47878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43233381:43237296:-1 gene:gene42527 transcript:rna42527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactinol--sucrose galactosyltransferase MVYMHAGTNPFEVINQAVKAVEKHMQTFHHREKKRLPSFLDMFGWCTWDAFYTDVTAEGVEEGLKSLSEGGTPPRFLIIDDGWQQIESKAKDPDCVVQEGAQFATMLTGIKENAKFQKNKNGEHNEPTSGLKHLVDGVKKHHNVKNVYVWHALAGYWGGVKPAATGMEHYDTALAYPVQSPGVLGNQPDIVMDSLSVHGLGLVHPKKVFNFYDELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRSYHHALEASIARNFSDNGCIACMCHNTDGLYSAKQTAVVRASDDFYPRDPASHTIHISSVAYNSLFLGEFMQPDWDMFHSLHPAAEYHAAARAIGGCPIYVSDKPGNHNFNLLRKLVLPDGSVLRAQLPGRPTRDSLFVDPARDRTSLLKIWNMNKCTGVVGVFNCQGAGWCKVEKKTRIHDTSPGTLTSSVCASDVDLINQVAGAEWHGETIVYAYRSSEVIRLPKGASIPVTLKVLEFELFHFCPIQEIAPGISFAAIGLMDMFNTGGAIEEVEIYRTSDKQELFDGEVTTSLSSNRTTTATIALKVRGSGKFGVYSSQRPLKFAVDGTKTDFNYNSENGLTTFSIPIPQEDMYKWSIEIQV >RHN68875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39293090:39297003:-1 gene:gene17290 transcript:rna17290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyanohydrin beta-glucosyltransferase MDSYSPSTHIQQKPHAVFVPFPVQGHVNPFMQLAKLFRCKGFHITFVNTEFNHKRLIKSLGAEFVKGLPDFQFETIPDGLPESDKDATQDIIPLCEASKNNFYAPLKELVKNLNSSTHHFPVTCIIADGISSFAGRVAKDLGIQELVFWTASASGLLGYLQYDELVNRGIIPFKDETFIDDGTLDTSLDWISGMKDIRIKDLPSFVRVTDLSDILFNFVVSETQNCLRSSTIIINTFEELEGETLDTLRANNPNIYSIGPIHMLGRHFPEKENGFKASGSSLWKSDPECIKWLSKWEPCSVLYINYGSITVMTNHHLKEFAWGIANSKLPFLWILRPDVAMGEETSTLPQEFLDEVKDKGYITSWCSQDEVLAHPSVGGFLTHCGWNSTLETISYGVPTICWPFFAEQQTNCRYLCKSWKIGMEINHDVKRDDITEIVMEMMKREKGKEMRHKCLEWKKKAAYTTDLGGYSYNNFHKLIRDVLHQNAI >RHN66091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8483183:8483507:-1 gene:gene14006 transcript:rna14006 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSCFLLRLLLLVLRVLARLPAGLRACLLACAFCLLACWLARVLACVRVLLAVSLILSSSPSSVQIRFSLFFPTVIGA >RHN60975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31994643:31997616:-1 gene:gene23381 transcript:rna23381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MVAKMLYIAFIIITSMYIVQARIPGVYSGGQWQDAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCANDKEWCHSGSPSIFITATNFCPPNFAQASDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVAYRRVPCRKRGGIRFTVNGFRYFNLVLISNVAGAGDIVRAYVKGTRTGWMPLSRNWGQNWQSNAVLVGQALSFRVSSSDRRSSTSWNIAPPSWQFGQTFTGKNFRV >RHN61418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35455745:35456023:-1 gene:gene23884 transcript:rna23884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLNVIRRASFTASQAALKSAEVPKGYVAVYVGEKQKRFVVPISYLNQPLFQELLHQAEEEFGYDHPMGGLTIPCSEDVFQHITSCLNGL >RHN57888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42427328:42428020:-1 gene:gene33479 transcript:rna33479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MINKYYIYADYIARRKDFPWKLRLNIACGIARGLAFIYKKLEEGEVNSIPHGNLKLSNILLDDKNEALISEHGLSKFFEPDRGTFFSSHGYTAPEKSLTEKGDVYSFGVILLELLTGQSIEVSRIDLVRWVRSMVREEWTGEVFDKEVRENDHQGAFSLLNIALMCVSRSQENRPNFGEILETIEGVMNAHDQQQMELSASKCCSNGSNQECCSLHQIIPDTWDSPGSNY >RHN63823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54267016:54271915:-1 gene:gene26576 transcript:rna26576 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTQEVAEGLLKLKGCLIGLIDGDDESDLLLRTNLLKLRTCLIEFNTTNVSHIALL >RHN52607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37055375:37056175:-1 gene:gene37301 transcript:rna37301 gene_biotype:protein_coding transcript_biotype:protein_coding MIWTIVDMSKPSRGCSDDCRSFDSVSLPQGVEESLSHSFQETNVDKTGLDASDNETSSSVELVNAIDSIFEAEEQIEILQKIVPDWPCKKVVSSGDTMYCIKNASDLDSVRSRLLSHVTKEDEC >RHN70659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53041997:53048976:-1 gene:gene19268 transcript:rna19268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) chromatin regulator PHD family MEASSGMVAGSHNRNELVRIRHDSADSGPKPLKNLNGQVCHICGEDVGTTPTGDVFVACNECGYPVCRDCYEYERKEGNKSCPQCKTRYKRLRGSPRVDGDDEEDDVDDIENEFNYRQGNNNNNKSRRQWDDSDRSASSSRREYQQPPLLTNGQTMSGEIPTPDNQSVRTTSGPLGPSEKAHSLPYIDPRQPVPVRIVDPSKDLNSYGLGNVDWKERVEGWKLKHEKNMVQMTGRYADGKSGGGDIEGTGSNGEELQMVDDARQPMSRIVPISSSQLTPYRVVIVFRLIVLGFFLQYRVTHPVKDAYPLWLTSVICEIWFAFSWILDQFPKWSPINRETYLERLAIRYDRDGEPSQLAPVDVFVSTVDPLKEPPIVTANTVLSILAVDYPVDKVSCYVSDDGSAMLSFEALSETAEFAKMWVPFCKKHSIEPRAPEFYFLQKIDYLKDKVQPSFVKERRAMKRQYEEFKVRINAYVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGQDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLEPNIIVKSCWGSRKKGKGGNKKYGDKKRGVKRTESTIPIFNMEDIEEGVEGYDDERSLLMSQKSLEKRFGQSPVFIAATFMEQGGLPPSTNSTTLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISVYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEIFLSRHCPLWYGYNGRMRPLMRLAYINTIIYPFTSIPLLAYCVLPAFCLLTNKFIIPEISNFASMWFILLFTSIFTTSILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKANDEDGDFAELYVFKWTSLLIPPTTVLIVNLIGIVAGVSFAINSGYQSWGPLFGKLFFAIWVIAHLYPFLKGLLGKSNRTPTIVIVWAVLLASIFSLLWVRIDPFISDPNKSSSNSQCGINC >RHN74775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36613123:36615360:-1 gene:gene10888 transcript:rna10888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQFIKFVSVIILLISLFFVVVNGGFARYCTNDSECESYCFDPIYAMCLINKCICDYT >RHN72655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9465641:9468516:-1 gene:gene8399 transcript:rna8399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b561 and DOMON domain-containing protein MVKNLRFMFLISILMFVTTTLAQTTTQTCKSQNFTNNAIFTSCRDLPQLTSYLHWTYDQTTGKLDIAFRHKGITDTNRWVAWAINPNNDLASSMNGAQALVAILQSSGTPKAYTSSIANSRTQLAESNISYPHSGLIATHENNEVTIYASITLPVGTPSLVHLWQDGAMSGSTPQMHDMTSANTQSKESLDLRSGASEQGSGGGSLSRRRNTHGVLNAISWGILMPLGAVIARYLKVFKSADPAWFYLHVTCQSAAYIVGVAGWGTGLKLGSDSAGVTYSTHRTLGIVIFCLGTLQVFALLLRPKKDHKIRFYWNLYHWGVGYATIIISIINIFKGFEALEVSAADRYDNWKHAYTGIIAALGGVAVLLEAYTWIIVIKRKKSENKLQGMNGTNGNGYGSRV >RHN47402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39450931:39451929:-1 gene:gene41996 transcript:rna41996 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTIRPSQVRSSSLAGVLPLPRFLLNRLIWFDLLISVVTSFVQISLCVRSGRISSEKRSTSRCSPAVVVSESEGGEEEGGFYVVMVFYGVF >RHN59640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12572897:12574929:1 gene:gene21707 transcript:rna21707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpA MVTIRADEISKIIRERIQQYNTEVKIVNTGTVLQVGDGIARIYGLDEVMAGELVEFEEGTVGIALNLESKNVGVVLMGDGLLIQEGSSVKATGIIAQIPVSEGYLGRVVNALAKPIDGRGEISASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQQGQNVICVYVAIGQKASSVAQVVTTLQERGAMEYTIIVAETANSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDPSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSSQLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFASDLDKATQNQLARGRRLRELLKQSQSAPLTVEEQIITVYTGTNGYLDSLEIYLVRKFLVELRAYLKTNKPKFNEIISSTKTFTGEAEALLKEAIQEQMELFLLQEQVEKIN >RHN58343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:987540:992070:-1 gene:gene20248 transcript:rna20248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ACT domain-containing protein MAMAISAWRLGFHFSATTDNSLRVLEKPIFRVPFLNASSGFISTKPCYFLHKRRLLSSGTTIIPRAAAPATDVQNGNQGETDTVPTPIVIIDQDLDPDATVVEITFGDRLGALLDTMRALKDLGLNVVKANVFLDSSGKHNKFSITKADTGRKVEDPELLEAIRLTIINNLIQYHPESSSQLAMGAAFGLLPPKEQVDVDIATHINISDDGPDRSLFYVETADRPGLLVDLVKSITDIDIAVESGEFDTEGLLAKAKFHVSYKGKAISKPLQQVLANSLRYFLRRPATEESSF >RHN47529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40318918:40319210:-1 gene:gene42142 transcript:rna42142 gene_biotype:protein_coding transcript_biotype:protein_coding MCMFIVRLNFMKGKSDDERDCYDEYCKFVGPHFQGEDRLNSIMKQSEELIDAMI >RHN63891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54825797:54827176:1 gene:gene26646 transcript:rna26646 gene_biotype:protein_coding transcript_biotype:protein_coding MKPELSPISCFFFFFGQEYSYHVISPFLVYSQTHKAKVNKYFMSNLQIHEIASMKKSTGQHSCKQTISQNRFMPQPQTN >RHN57719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41290089:41292120:-1 gene:gene33302 transcript:rna33302 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCIPMDQLNLATFHTIPCCQCASPLELNTANMCVKCLRSKTNITEGLLKKLRLTHCTECECYLQPPTWIKLIPQSKQFLAFCLKKLEQNMKFKRVRLVHAETLPSEPDSKIIKIKVFVQKEVINGTILEQSYVVEYVQYNRICEDCTRVNANANQWSAVVQLRQHVSHMRSIFDLEQAILKHGVGRNVVRIKKMKQGIDFFFDRESCAKKFVDFVKAQVPVKICNGKELVSRDKKSNDYNYKYTFSVEICPVCREDLIFLPPKVASSLGNIGPIVVCTRVTNTIALFDPITSGRCFLVGDVYWRAPFKSLLTSKELVEYVVLDVEEVHSEVTVDGKKFGLANVEVARVKDFGKNDTRFNIKTHLGYLLKFGDHAFGYDLCGVNSGDSEIELAEYIGGGAILIKKRYEEKRHKKRGKRQSCSKDLEGIYDKMLGLSLGGEELPYDLLEEQLSGLSLHLNDEESEAKSKKVRRITD >RHN63147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48851880:48852670:-1 gene:gene25814 transcript:rna25814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MKFLVYMIISLLFLSQYSNGSNLIIQSCKEASKNDPNLSYDFCVKSLKEAASKDKTQPTNLEDLVNMSINLTKSNGTNIMSKISKNLKNQSYDDYVKGCLQDCLDLYDDSLSSLDKAMVAFNKSKDLNTANVEVSAAMDDSVTCEDQFKERKEKNETSPLTEENHVYFQLNAMSLSFITMIDQHN >RHN76544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51123581:51126905:1 gene:gene12887 transcript:rna12887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MNLNADAVLFDHHENGVLGFNSIIRFNVNVGLSCSQTLICDVGGGTNGSMDTLSVSEGKVGKFSCGLVKNEEEKSVIQCSDGGAMSDQGLVFELNDGVQVEQQRENVGKVALSNEVQGVEDDVTEHEQENGAKNVALSTVVEDGGVLKAADSFVATKYDPEDSRVSDVATYQLQDGVPYVEGMNVKAEESLKQPDFMSVDQVNVIQDKTTYINISGAGIPHNSQYDCRGIDLVVDLNSNKNPQEDGVPTKSVFSDVNYRVSDLVWGKVRGHPWWPGQIYDPSVASEKARMHFKENCYLIAYFGDQTFAWNDVSVIKPFHKHFTEMKKQNDLENFRHAVDCALEEASRRVEFGLSCPCMTGEVSPKLETQLTANAAASFEPTQLVNFVKSLAQSPLTEFDRLEFVSSRAQLSAFYRSKGYSQLPEFAMLDRLFESDMEILPIREKQQCDDQINEQVLMKTHEVFSQKSQHISQNMKQTGKKKKLLSDLMSEKNSWNLKGECMPEKKADDNSISRCARKQKAAHDTNEYFHHSQIADDKSTSLCGTKRKAAYDTSDDDFHSYQISGNNSTSRRGRKRKLAYNAFDDCSNNYQTGNHIQLQNVSVDEMQSQLCLAAKDPAGESFSSDMIYFFSEFEKFTGRNDSVFLEHGLSLEQEHGGETGVVTSIEAAATSSMSTPTPMELCNDSYWTDRIIQSISEEETLLKNQNERDELMPAAEISPGLGLMHQETNGNLGSEPSNHVEHVNDSSPTSLTLKFTNLDSVPTTTDLNKIFGRFGTLIESKTKLLEKTNRAKVVFERYCDAETAFSSAGKYSIFGPSLQSYRLKILPRTPEKSTGKRGRKSKKEKSSVDADAATV >RHN61974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39694314:39696866:1 gene:gene24492 transcript:rna24492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MDIPVFMIIVTYILVNSLKLSIATDSLGLSQSISNNTLVSQNGRYELGFFTPGNSNKTYLGIWYKNIPVQNFVWVANRNNPINSTLNSNYILKLNSTGNLVLTENRFIVWYTTTNQKLVHNPVAVLLDSGNLVVRNEGETNQEEYLWQSFDYPSDTLLKGMKFGRNLRNGFDWKLTSWKSPEDPSIGDVSWGLILNDYPEYYMMKGNEKFFRVGPWNGLHFSALPEQESNSFIHYEFVSNNDEIFFSYSLKNNSVISKIVIDQGKQHRYVWNEQEHKWKIYITMPKDLCDTYGLCGPYGNCMMTQQQVCQCFNGFSPKSPQAWIASDWSQGCVCDKHLSCNHNHTNKDGFVKFQGLKVPDTTHTWLNVSMTLDECRRKCLTTCSCMAYTNSNISGEGSGCVMWFNDLIDIRQFQEGGQDLYIQMLGSELVNTEEPGHRRKRNRKTAIVAITVILICGVLLLCIYFICRVQRKILGKKPDRSEGHVDDLDLPLFDLPTISTATNGFFDNKKIGKGGFGTVHKGKLANDQEIAVKRLSNFSGQGMTKFINEVKLIAKLQHRNLLKLLGCCIQGEEPMLIYEYMANGSLDSFIFDNTKSKLLSWPQRFNIICGIARGLVYLHQDSRLRIIHRDLKASNVLLDDNLNPKYQILEQLELSEETKSKETGRE >RHN52452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35442331:35444700:-1 gene:gene37133 transcript:rna37133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MKRSKRKKGYVAFKLDLEKAFDNVNWEFLKLCLHDFGFPDITVKLIMHCVSSANYSLLWNGNKMPPFKPTHGLRQGDPLSPYLFILCMEKLSVAIHDAVLKGEWDLIHITNDGPRISHLLFADDVLLFAKAKSSQLQYITTLFDSFSRASGLKINISKSRAYYSSGTPNGKINSLTAISGIQSTTSFGKYLGFPMLQGRPRRSDFNFMVEKMQNRLASWKNRLLNRTGRLTLATSVLSSIPSYYMQINWLPQNICDSIDQTVRNFIWKGSNNKGIHLVNWKTVTTPKSIGGLGVRSARDANVCLLGKLVWDMVQSTNKLWVNLLANKYSSGPAMLEDSVKSSISPSWFSIIRAKDILKPGYTWRAGAGTSSFWFSNWSSHGYLGSLVPIIDIHDIHLTVKEVFTSVGQHTDALYTNLPQGIADMINNSNMRFNANIVDALIWNHNKNGVYTTKSGYSWLCSLSESSGNGTSWSWIWHLKVPEKYKLLIWLACHNAAPTLSLLHHRNMTPTAICSRCGEEDESFLHCVRDCKHSAAIWHRMGFISDAFFSTNCVQHWIKNQSSGPRSTTFLTGLWWSWRHRNMMCISNETWPLTRISYRINDSVNIIETCFKRNNIIPPDRMVKWNQNNNNCSILNVDGSCLGTPIRAGFGGIIRNNGGAYLAGFSGFIPDSTDVLLAELTALRQGLLMAVSMGIEELACYSDSLLSINLITGRVSSLHVYAVIIQDIKDLLYVHNFSVHHCLREGNQCADYLAKLGASSNEECLFHATPPHELLDLIRFDAIGTLFPRQ >RHN65273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:767324:767659:1 gene:gene13095 transcript:rna13095 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRLILIFLSATLAGFFVLRNFRSQRKIDDDDEDAVSNAKISDSSNPSSNQNSKVRAALESGFWTFLDMASGRYLWRHMVSSS >RHN72453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7814391:7815662:-1 gene:gene8165 transcript:rna8165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MASFVTLFSSLTLTIVLLSFSCSSQQFFSPIEKDSLTNLYSTSLDIGTEPTHQFNLVIDIGGPILWYDCNKTYTSSTYKPISCQSKLCPNDAGCISCNGHFKPGCSNNTCGANIINPLVDAIFSGDTGSDFLFIPKSKIQIPDFITGCTDSNAFTAASATDNFPLKNLPKTSKGILGLARTPLSLPKQLSLAPQKILNKFVLCLPSSNKLGSFFMGGVPSNFAKFKLTTIPLIINPFSTAPIFSQGDASYEYFIDVKSIKVGGEIVSFKSSILSIDNKGNGGTKLSTVKNFTVLHSSIFKPLVRDFTKKASDNKIKKVASVAPFETCFDLSTMRRTNTGLDVPTIDLVLQGGVKWTIFGGNSMALVSKNVACLGFVDGGNEPRTAVVIGGHQLEDNLLEFDLVSSKLGFSSLLQQDASCSRSE >RHN70412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51284942:51285985:1 gene:gene18991 transcript:rna18991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium permeable stress-gated cation channel 1 transmembrane domain-containing protein MNLAALLTSAGINIIVCVVLFSLYSILRKQPSNVNVYFGRRLATRSSTNVDISLERFVPSPTWVMKACDTTQDELLNIGGLDAVAFNRMIIFSIRVFSVAAIICNILVLPVNYYADHRMHTDIPFESLEAFTIENVKEGSRWYAQCLIFCMEVFII >RHN48861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50737337:50739290:-1 gene:gene43624 transcript:rna43624 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVQPVKIEKWYVVNFSARYDVQGLVRVLIKCGGMKGIVRLAPPVVRVEKMLEPVQSKLPGAPKFLLCLLPERKNTDLYALYLFNKSDVIITRKINHVHSRRLNLTTKLDNLILQSLDK >RHN40448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16386307:16393320:-1 gene:gene46600 transcript:rna46600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MEKEPLLEKKQYYEDCPGCKVEQTKELNQGLSMINLVIIWMIVLSATLPASSLFPFLYFMVRDFNVAKEEADISYYAGYVGSSFMLGRCLTSVLWGMVSDRYGRKPVIIMGIIAVVIFNTLFGLSTGYWMAIITRFLLGSLNGVLGPVKAYASELFREEHQAIGLSTVSAAWGVGLIIGPAIGGYLAQPVEKYPQIFPKDSFWDKFPYFLPCFIISVLAFTVVIACIWIPETLHNHNGNKESTDDAEALENGSNKEKTVRKNENLFMNWPLMSSIIVYCVFSLHDIAYQEVFSLWATSPPKLGGLNFTTDDVGNVLSISGVALCIYQLFIYPSVEKACGPIGLGRITGIFSIPLLQSYPFIAMLSGITLYIVISIASVLKNIMSVTITTGLFLIQNRVVEQHQRGAANGLSMTGMSLFKAIGPAAGGTILTWSQKRMDASFLPGTQMVFFFLNLVEGLGIILLFKPFLGEMKKRNSDLLH >RHN45307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16194665:16195666:1 gene:gene39572 transcript:rna39572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative U3 small nucleolar ribonucleoprotein complex, subunit Mpp10 MTNFKCFFKIFAYVQKSVSLILRWIGLFGDFGIGFGVREWFLKNHFCLGLSCDRGLECDYVIEDMSFQTNVTALAVEEIAPVAVSDAAMLAPEESMMMNVVSEWC >RHN65157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64588791:64592858:-1 gene:gene28063 transcript:rna28063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Tudor domain-containing protein MQGGSRREEEEEEEEEEELNIGELASNLSTYKQQLHQVRELLNDEPTNSEYVDMERELSEVIALTEELLSTAKQNEISTATQSPTPTYNKLDLHTHFDHQHQFPVGTRVQAVYSDDGDWYDATVEAYTPNGYYVSYDTWGNKEEVDPANIRPIQEGTVDPLVEAERVAEATKQAIKRKIAQAASVDFQSRSLPAKLRIEPDDPEDVKVTKRKKIHAFKSKMRMEQLEVTQNKRQNAWQQFQTTKGKAKKIGFFSGRKRESIFKSPDDPQGKVGVTGSGKGLTEFQRREKHFHLKDGTIENDD >RHN67690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29370625:29374606:1 gene:gene15905 transcript:rna15905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S21 MNSVVRRLSGLFRQSGCVTQPFNAQHHQLQQLQPCRGIRVQVYNGNLEGALALMQRKMTSSGIERMIKKEQRFHIKNSEKRVLARKSLERRLRSEDLARKLKSIMIKKVRGL >RHN80810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41002232:41004701:1 gene:gene4777 transcript:rna4777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L18e MGIDLKAGGKNKKTKRTAPKSNDIYLKLLVKLYRFLVRRTGSNFNAVILKRLFMSKVNKPPLSLSRLIKYTNGKEGKIAVVVGAITDDVRVNEVPAIKVTALRFTERARARIESAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGRAPGVPQSHTKPYVRGKSRKIEKARGKRKSRGFRV >RHN65915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6455295:6455751:1 gene:gene13811 transcript:rna13811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PC-Esterase MDRMEAFRSGLTTWANWVNAEVDTNKTKVLFQGISPMHYNGAEWHEPGVTNCGKETTPINGSTSSLGLPPASYVLQNVLQKITKPVQLLNITALSELRKDGHPSIHNNFHRMDCLIGV >RHN80738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40499241:40499507:1 gene:gene4698 transcript:rna4698 gene_biotype:protein_coding transcript_biotype:protein_coding MACCGYGGPPLNYDSRVFCGETKVLNGTIVTAKGCNNSSVYEAGTELILPRPKIDMLHHKFSLEITSTLICQKICHSNPDYFLLFIKV >RHN81679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48041442:48046960:-1 gene:gene5754 transcript:rna5754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MASSKLMPSSDPPSSPSSKPHFTTANFLDDQISLADAVATPRTVDDVWREIVAGDAISGDRECKEEISDEMMTLEDFLVKAGAVEDEEEGEDVKMTIPLSETLSGSGMFSLDSSFQGIENVDGSVIGFGNGNVNVNGVEMVEGGGRGKRGRPVMEQLDKAAQQRQRRMIKNRESAARSRERKQAYQVELESLAVKLEEENDKLMKEKAERKKERFKQLMEKVIPVVEQRRPPRLLRRVRSLQW >RHN71423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58911744:58912842:-1 gene:gene20105 transcript:rna20105 gene_biotype:protein_coding transcript_biotype:protein_coding MCALQKRSTWNLVPFLHSSYWWIFTIRVDPMLNWVVSRLKWWPNDILECLVSDGRVSRD >RHN82579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54929816:54930952:-1 gene:gene6752 transcript:rna6752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNLQLLISLSSSSETPTNSLHPPSLPFHLVEEILCRLPVKHLIQLRCICKSWNSLISHDLNFAKKQLRLSTFNHDFQHLNISCTNSSSELLQFDFSISSIFTSASTTNQSPPFTCRRDYDLEVSTCDGILCVGIKGCLPFLYNPSIKKSKTLPPFKITTTTEHRPFSTLYTLVYHGVTNKYKIIALTLYLNNKSEVNVHTLGTDYWRKIDDFPCHSLACISPGIFESYQKLLEPLGSCVSFGALRGCLSVVSNSDMFSDVWIMNEYGNENSWTILLRVPHMRDFGFIGYQRVLYISEDKQVLMEFISSGKFSLVIYDSINNTFKIPTIIQNNNNDKMAPPQVYVKSLISTFF >RHN50335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6285288:6286990:1 gene:gene34608 transcript:rna34608 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQKMSYNAGQAKGQTEEKASTMTDKASNAAQSAKESVQEAGQQAQAKAQGAVDAVKNATGMNNN >RHN74848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37142013:37144350:1 gene:gene10974 transcript:rna10974 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPVMDEPQVIVNAQPEVAITAVIEIATVAHITNDATVEISYKAPEIENVIGEQRQVYYCVEDGFEKNRDIKIVEDIG >RHN78334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14209292:14212419:1 gene:gene1876 transcript:rna1876 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFRKCREAVQTLGRRPSLTKDPRQLQFEADVNRLFLYSSYNRLGKSADESDVEKIIEEASKTSFTDQVTQVQENVHSQIKTFSASMDEILLPNDKMVNDPLGLSQQESTLPRRSGLSLAVGRTGSSPNNSAGPQTRPLSQAEVSQKLKDQLGFTLDVKPSQISHKDAGRGLFLDGVADVGSVVAFYPGVVYSPAYYRYIPGYPKVAAQNPYLITRYDANVINAQPWGSGGDKRELWNGRYIEEVKPDMKGAEKGSDRIWKALSKPLEGKQGDSGEVIERRNPLALAHFANHPSKGVLPNVMICPYDFPLTENNIRVYVPNIMFGDAEVKMRRFGSFWFKSGVSKNNMSDVPTLKSLVLVATRAIQDEELLLNYRLSNTKRRPEWYAPVDEEEDRRRWS >RHN63290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50111031:50115370:-1 gene:gene25974 transcript:rna25974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SNARE associated golgi family protein MKDNTDDCGGGGGGGGWKKEVVSDVTITIEADDDNKGDYIKLIPGSDECLPLTAVEMVEECSLPSRRSVVWYWVKMVLLFLSLGFLAVAVLKWVGPYLIDKEVIPIINWETETFSPPVLTILLFASVAIFPTILLPSTPSMWVAGVTLGYGFGFLLIITAAAIGVSLPFIIGSIFHHKIEGWLEKYPKKASILKSAGAGNWFHQFRAVALIRISPFPYMVFNYCAVATNVKYGPYIIGSLVGMVPEIFVAIYTGILIKTLADASNERQSLSAQQIILNALGFCLTVVTTVIITVYAKRRLKELQEEDKMLLLQ >RHN67348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26336413:26336978:-1 gene:gene15528 transcript:rna15528 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGFNEFHHAGYEGTHTVLECEPDYWSYFSLIATIKRLGYPMISQLWYYDPYMLYELIRLKSDKCCRRMQAIAEMNGRVHLYVIHSVGAPEIHNLNPLDEANDFLVPNVGVVLEEIVEEGQNVGGAGLNLPMIEYPVGINGEMAMGEAMVEDQGSVEYMVEKEGSAEIMM >RHN59955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15329705:15332845:1 gene:gene22127 transcript:rna22127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSQVWLLILLIYGLLFLNYVNSNTLAIECLASDHEALVDFKSGLEDSHNRLSSWKNTNCCQWRGIYCDNITGAVISIDLHNPHPPSFDYPPSRYGMWNLSGELRPSLLKLKSLRHLDLSFNTFGEIPIPNFLGSLVNLQYLNLSTAGFAGLIPPHLGNLSRLQSLDLTDYSLHVENLQWVAGLVSLKYLVMNGVDLSLVAETNWVSSLSQFPFLIELHLHFCQLFGHIPSPPSHNFTSLAVLDLSINSFVSKIPDWLTNISTLQQIDIGNSGLYGQIPLGLRDLPKLQYLNLWDNQNLTANCSQLFMRGWEKTQVLALSFNKLHGALPSSFGNLSSLTHLDLSYNSIEGVIPSSIGQLCNLNYLDLSDNNMAGTLPEFLQGIDSCPSKKPLPSLEFFEMTNNQLHGKIPDWLVQLENLARLSLAHNQLEGPIPVSLGSLKNINSLELEDNKLNGTLPDSLGQLSKLSQLDLSFNKLTGMVTEDHFSKLIKLKRLIMSSNLFTLNVSDNWLPPFQVSFLHMSSCPLGTSFPPLLKSQRELQYLDLSNASIFGFIPNWFWDISSQLIRFNMSHNELQGRLPNSMSMALRAPVMIDLSFNLFDGPLPVITSGFQMLDLSHNHFSGAIPWNISQHMSSGQFLSLSHNQLHGEIPLSLGEMSYVTVIDLSGNNLTGRISPNLANCSFLDVLDLGNNNLFGTIPVSLGKLKRLRSLHLNDNHFSGDLPSSLRNFSRLETMDLGYNILSGIIPTWFGEGFPFLRILVLRSNAFFGELPPELSKLRSLQVLDLAKNEFTGSIPASLGDLRAIAQVEKKNKYLLYGKFEEHYYEESLDVSTKDQMLTYTTTLSLVTSIDLSDNNFTGNIPNEITKLSGLVVLNLSRNHITGQIHETMSNLLQLSSLDLSNNQLSGPIPSSLSSLSFLGSLDLSNNNLSGVIPYTGHMTTFEAITFSGNPSLCGPPLPVRCSSDGDSSHDSDKGHSNNDLLDKWFYLSLGLGFASGILVPFIILTMKSSWGDVYFDFVDQVIQKLLKFTHKQGINHGQRRKIRQRQ >RHN53446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1698978:1703369:-1 gene:gene28353 transcript:rna28353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative groEL-like equatorial domain-containing protein MNFVPYETLLHNNMNTNGFVVPFVMQQAGTTCATVLIRAIFTEGCKSVAAE >RHN52788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38865410:38874642:1 gene:gene37500 transcript:rna37500 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKTRAMFEGLVKDGSLKWLLGKKSYFAEEFEEMENSPSAGKNFVRELSPVANLVVRRCAKILKTSSSDLQEGFNLEASDSLKNPSRYARNLLEYCCFKALSLKAQISGHLLDKTFRRLTYDMMLAWEVPAATSQPLTNVDEEVSVGLEAFCRIAPAVPIIANVIICENLFEVLSSSTGGRLQFPIYDKYLGGIEKAIKKMKSNSDSSLLSAIRPTRGEKILEIDGTVTTQPVLEHVGISTWPGRLILTDHALYFEELRVVSYDKPKRYDLADDLNQVVKPELTGPWGTRLFDKAVFYSSTSLSEPAVLEFPELKGHARRDYWLAIIREILCVHKYISKFGIKGVARDEALWKAVLGILRLQAIQDISSLGPVPNDSLLMFNLCDQLPGGDLILETLVNMSNSSESNHGHDSKPESGMYSISVLDTVSNLGFVFGTSSNSSVESRIAVGEITVGETTLLERVVKESKNNYKKVVSAQATVDGVKVDGIDTNLAVMKELLYPLDELRKCLQSLLNWDDPLKSSGFCLFFGYIIWRGWVGYAAALVLVFISAFMIISRCFNQGRSVAEVKVIAPPPMNTMEQLLAVQNAVSQAEQFIQDGNIFLLKFRGLLLSIFPQATEKMAFGLLSAGLVLAFLPTKYIVLLVFLNTFTMFSPPRKASTERWERRFREWWFSIPAAPVKLERDKEDKKKK >RHN76537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51068924:51078189:1 gene:gene12880 transcript:rna12880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperone DnaJ MAVISLSLLPPPPSSTHSCFCAGGIHLRSHNNFLSIHSSPFPSTFSNSNTKFSSGTRPKRFHTVFAASSDYYSTLGVPKSATGKEIKAAYRRLARQYHPDVNKEPGATDKFKEISNAYEVLSDDKKRALYDQYGEAGVKSSVGGGSSAYATNPFDLFETFFGPNMGGFSTMDPSGFGTRRRSTVTKGEDIRYDFSLEFSEAIFGTEKEFELFHLETCDACTGTGAKLGSKMRVCSTCGGRGQVMRTEQTPFGLFSQVSVCPNCGGDGEVISESCRKCSGEGRIRVKKNIKVKVPPGVSAGSILRVTGEGDAGPRGGPPGDLYVYLNVLEIPGIQRDDVNLRSTISISYLEAILGSVVKVKTVEGTSELQIPAGTQPGDVLVLARKGVPKLNRPSIRGDHLFTVKVTIPKRISSKEREVLEELASLGNPSSHSKSRPRTQPSAGSKESPAVQMAESPTATVTEEPEKSEDEDDLWNKLKNVAGSVANGALKWFKDNL >RHN79195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25539956:25543314:1 gene:gene2930 transcript:rna2930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3,9-dihydroxypterocarpan 6A-monooxygenase MISHSHHIFLSKISSMAEMKDYIQLFLLWLLSTIAVRAIIITRKKKSHLTLPCPLSLPIIGHLHLISKLPHQSFHKLSTHYGPIVKIFLGSKLCVVTSSPEIAKEFLKTNETYFSNRFRSAAVDYLSYGSKGFLFATYGEYWKFMKKMCMSELLGGRTLDQLRPLRLQETTRFLRLLHRKGEVGEVVDVGGELLTLTNSIIARMAMSKTCSENKSDDVEEIRKMVTDTAELAGKFNVSDFIWFCKNLDFQGMKKRLKGIMHRFDTMMERVIREHQEEMKKRKEKGDGTAHVRDLLDILLETLENESTEIKLTRENVKAFILDIFMAGTDTSAITIEWALAEMINNPHMMERARQEIDAVTENTRLIEESDLPKLPYLHAIIKETLRIHPTVPILGRESSESCLVYGYEIPAKTILFVNLWSMGRDPKLWENPLEFMPERFMNEENKFDVRGQNFQLMPFGTGRRVCPGASLALQVVPINLAAMIQCFDWKIDGDGKVNMEEKPAMTLPRAHPLMCVPIPRFNIIPSNVYIGN >RHN68083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32695632:32697850:1 gene:gene16380 transcript:rna16380 gene_biotype:protein_coding transcript_biotype:protein_coding MELDIGITHSDLTLAESWFAADTSSGYLEDAINGWDIWCKQHNLPTYSQDQKEPLFPTFSSKAAQLLQDHKKFSTMNLSSSQNHTHSAAEKHDSPHRSCASRELKENGASISRGQWKKIAYPFELVKPGGVEGETTIKDINHQMMMSPSKPIPHPVAVEDYGTHSCISNRGYGISGKEVAALTRIQTRGRGSITIIRTKG >RHN80164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35757742:35758077:-1 gene:gene4054 transcript:rna4054 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTYVYIWNLEFGIWYHAYGEGNMSQMAKWVANGGQALVGPGLRQGMEETGQVGEQYNYLHQNSYLPHLLIWFYICTCHNQYVHYGSNLNLVAELYDIHHSRDLKVTYN >RHN79916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33489610:33494906:1 gene:gene3773 transcript:rna3773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Arf GTPase activating protein MAASRRLRDLQSQPGNKICVDCSQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDAWSEIQIKKMEAGGNDNLNAFLAKYSIPKETDIVTKYNTNAASVYRDRIQALSEGRSWRDPPVVKENLGSKGRPPMGQSRRINDSGWDDWDREGGSGGDVRSKSTGDFRNLNGGGAPARSRSTEDIYTRSQLEASAAGKEGFFAKKMAENESRPEGLPPSQGGKYVGFGSSPMPSQRSNPQQNDYFSVVSQGIGKLSLVAASAANAVQTGTKEITSKVKEGGYDQKVNETVTVVTQKTSEIGQRTWGLMKGVMALASQKVEEYTKENSNSTTNNWQRNESDRNGYYQDFNQGNKGGNSSTGREQSSSGQYKTQSSNSWDDWGQKDSWKGEPANKGSTPYSSGGQSGTHNPSSWDDWDHKDSRKEEPAKSSAPHNNDVGWAGWDNAKDDGFDNFYEGASNKKAAGHNGKSDDAWTGGGFL >RHN63506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51837801:51839665:1 gene:gene26210 transcript:rna26210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MLPSISVTPNSVMVFDTNLRENYPSQSQSMHLQENYLKPRQKDELNPFSAHSCHGNFLQDFQHIEQFHHMHGSSSSNQVFGDQTQNFDLFGNAECANTDFDVYDGKSFAENNSGSEHAHNLIDNFQYDGYSLNIPRRNQLDLMVENHSYFSFNNPSETKSLSYVVPEDEVSSIAPTNYYQRAGLNINNSLLSPTTRRAFKAKKKTIIVKGQWTVEEDRLLSQMVEQYGIRKWSHIALKLPGRIGKQCRERWHNHLRPDIKKDVWTDEEDKILIQAHTEIGNKWAEIAKRLPGRTENAIKNHWNATKRRQYSKRTCRSKYPRGTLLQEYIKSLNLDQNPPRDFRKRSSAKAKKKITCSTSKAATQIPQPHIANQFFPNDRSVPNYEFNDFSLDDNLFEEGCGIDSLLDDMSSVPTMDEKECDKEGMQVVNLDEHQLEKEVEVKKELDLVEMISQANQNIN >RHN47545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40486844:40498504:1 gene:gene42158 transcript:rna42158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TFIIB, brf1, TBP-binding domain-containing protein MVYCDHCVKNVRAELHEECYLVCTSCGKVLEDQILTEEPTFTKNSAGQSKISGNLVKAVEELDASRKRTLYRASKEMEYLSLSLGVSEGDVVRQARAFYEIALAKNFTRGRKSEQVRAACLYLAFRQNKKPYFLIEFSNNLRINVYELGGVYLQLCEVLRLDNHPIVKKPIDPSLYLHKYTSNLLGHRNGVVSATALNIIAQMNRDWLQTGRKPGGLFAAALYTSANAHGHKVSKRDILRLFHICEQTMNKRLIEYEMTDSSNLTVEELNAMAKENEKNPVVMPNSKFNGSTSTPLVCEHKEMEVPHFALGLCETCYKDFDKVSGGFGGGLDPPAFQRAEQERVKKTNSKENADVVKASNSACKGQKEDFPASVLERDDTSTEAQDESGNFSDIDDQEVDAFLFNEEEKSYRKIIWENQNREYLEEQAAKEAAAAAQKKIYEANLENCPVESRELYESTTASVAKTRKEKQRRAQQAKKSGPAQSAVEAACQMVKRKGLSNKVNMDNFAKLFEDKPADERNPKKVRFDLASDNHDDELGSADYFEDGDNDWYNNENMDESYFPEEDGYNYDEGYY >RHN79242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26490122:26490739:-1 gene:gene2997 transcript:rna2997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSSTMSTTSGNPITNASSVSSNTRITWTPDLHDKFVESVNRLGGAEKALPKAILMLMQLDGLTIFQVKSHLKKYRMAKYMAEPAQGNFVKHSYSPVFLQCTT >RHN70713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53382639:53385470:1 gene:gene19325 transcript:rna19325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MMMMMLKLRPYDKRLLAKQALVAVIKDIPFETTSPPSNLIWTPDAVIELLRFISRYSFQSIGCQNNPFRHRSVPLSNFKHPHTNPYLTNLSLRKSHEFLHWIHSHFNFLHTQSTTREMAILIAKSHNTNALWTFLKHFSIRLHTNDHNTIVTTPTVTCLIKLLGEQGLAKEALLTFYRMRQFGCKPDVQAYNALINAMCSVGNFTKARHLLQQMELPGFHSPPDVFTYTVLISSYCRYGVKISGCRKAVRRRLYEANRLFRIMVFKGIVPDVVAYNALIDGCCKTYRVGRALELFDDMKKRGCVPNRVTYDSFIRYYSVVNEIDKAVEFLREMQRSNYDGENGIVVGSCSSYTPIIHALCEVGRVADAWSFLVELIDNGSVPREYTYKLVCDGLRLKGEDELLSGEVHRRIKCGILERYKRTMKVKPVMTRKGYPELEVLV >RHN81671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47974409:47977554:-1 gene:gene5745 transcript:rna5745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSCPMVSAPTQHGLGQAQNEWVQVQQGSGYFPMMSGFVPVSSSSNSPRMYSSSAALLGSSSWVGHKRVREDGLLKSDDSVGGSSQQDMRNIADFRLPSSQGNSSSVAEATTSSTSNTTQSSEAASTTNEEETGERRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGNRAKLNFPENVPASATRPTFPVNTSTSSVSPATHYSPAPPQIQPQQQQFPQFQTSSDMLRDYYQYSQLLKGSGDFQGLEQWFYESQMAAIHSSSSMLSPSPSLSLSSSSSPTAFSPSSQLSSVSLPLFPGQQMEFFRPPEDNSRGGGYTGGSHFPPSTWSDTGGYHPPPPPPSSS >RHN58838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5357358:5366731:1 gene:gene20792 transcript:rna20792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative siRNA-mediated silencing protein NRDE-2 MDQKPPYPALETTAPPSSDEAKPSLFPVFPVTNSSLQITTSSLPQWLSNSSFTTDISVINNDVASLLNRETVQSPPQDDDENSDENRPKEKSYAILESSESDGDGMEREKKRKKKKRKRDRSDEKSGFGSRKSRVRAWADSEANTVKDYFIDSHGDRDNLAFGCIYRMDIARHKPYNPLNMSGRHVKGLYWWNQSGSLGERDGDIDALDDKMKSAGRYWSGKYMALERHKSFKRLRLVAPKLSPHTTQDEFIPLSDVGTSQGAVDSESDSKISSSLEESWEDEMLNKTREFNKLTRENPHDEIVWLHFAEFQDKVAGMQRQKGARLQILEKKISILEKAVELNPENENLLLCLLKAYQTRDSSDVLIGRWEKILLQHSGSYKLWSEFLHVVQRNFSKFKVSMVRKMYAYAIEALSASGSKHSRQALQADDSSLDPAIVQQELRLVDIFLSLCRFEWQAGYREVATALFQAEIEFSLFCPPLLLTEQSKQRLFEHFWNSHGARVGEEGALGWSTWLEKEEETRQRVVKEELSHENEGGGWSGWSEPLSKDKEGTANFENETDNDLVMEDNQDEDEYKDVEPEDDTENLLKLLGIDINAGDGGEVNDTLTWIKWSEEESSRDCDQWMPIRRKLDTTTSTSEALETEEDEQLSRIILYEDVSEYLFTLNTKEARLYLVSQFIDFYGGKTSQLFSTNSPTWTENTLSLEDLPDSMLEKLKCIHNVLTKAQSIPTSFTLDFLLGSSMRNADMMKFVRNAVLLCLTVFPRNHVLEEAVLICEELFVTKMNSSNRGVTPCRALAKSLLKSDRQDVLLCGVYARREADYGNIDLARKVFDMALLSVEGLPPEEIQSNAPLLHLWYAEVELANNTNGGRESSYRAIHILSCLGNGTKYTPFKSQASSLQLLRARQGFKEKLRTVLSSWFRGIINDQSVALVCSASLFEELTSGCDAGIEVLDQAFTMVLPERRSHSYQLEFLFNYYIRMLQRHQKQSGLMKVWESVSQGLQLYPYSPELLKGVVEVGHFHTTSNKLRRILDERCYKKPSVVVWLFALSYEMSRGGSIHRIRGLFERAVSNDMLCSSVVLWRCYIGYELNIAHDPSAARRIFFRAIHACPWSKRLWLDGFLKLNSILTGKELSDLQEVMRDKELNLRTDIYEILLQES >RHN77858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9991240:9991674:-1 gene:gene1359 transcript:rna1359 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEHCKKHAIFYYVSSAWDAQPHARRMRHRVKVLYKFPNVGVHKVVHGAHGPYALFLLFSILHFLFILDMIFSLLETLIFPADLHAEDSPQEYLRILLRITLVIPSFLRIRIPQEHPRVTFILLGNYLRNVFTNIHGREFLPL >RHN77683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8625462:8627117:1 gene:gene1164 transcript:rna1164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentacotripeptide-repeat region of PROPR MHRHGHRATLALRYSISTCKCQRNLTITTTTTEGSSMQMQMQIVKALRSGDRIKASHLLLHFANTTPHSLSANHFLPIFNYCLQSPDPLFVMDVFRLMEFKQITINNKCSSLIMRALSKGVYKKEAFSIMDFLSETPQFYPLLPIYNGILRSCTKTHNLIQATKCLDLMEKKMIGKNEVTYTALLQLAVLQKNLPAVHIIWHEYIKLYSMSTIALRQFFKSTINRQTPLA >RHN64273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57819719:57826969:1 gene:gene27075 transcript:rna27075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MEDSSEQNWNNREFSLLSISDLSSDASSVSPGIASFKPDRLQIQPESQQIPLNFDLQTAQIFKLGAVKSVCIMEGSDVAKTASYSTGVTIEFKNEEECEAFHSAVQQWIKENNVQGNLPNGTLTTSKSKFDEKIEPSSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRADFTGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPKLAQRITVIKGRVEDVELPEKADILISEPMGTLLVNERMLESYVIARDRFLTPTGKMFPGVGRIHMAPFTDEYLFIEIANKALFWQQQNYYGVDLTPLHGTAFQGYFSQPVVDAFDPRLLIAPPMFHVLDFGKMKEEELYEIDIPLKFIASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCVLSQPIYVMAGQEITGRLHLIAHNAQSYTIYLTLSAKMWGPGAEQGGILQTSSCKLDLKEPYYRMSQPQAYPLAQDQQPQPLVQTQDIHIQSQELDEPEIMQQLSPNSCAQIDSLMQKI >RHN66613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14951356:14952128:-1 gene:gene14640 transcript:rna14640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MDDNCEMSKKIPMAAERNNTRKRKKDEIKKDEKPNMTFSKQKLGIFNKAIELSILCESETALIVISPDENKLYECGYPNYDAVIQRFLTGHTDENEKKNQQDDDIVETLRLRYEAMQGKLNEEQEKLEKLKATEAQKSNSDFPYDWWNKSIDDMDLTSLEDITTSLDKLKINLSVASQAKKFNLHPIQENVC >RHN72073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4788335:4790389:-1 gene:gene7745 transcript:rna7745 gene_biotype:protein_coding transcript_biotype:protein_coding MYMGTAKVNYISFAYMDKATLLAEVIRQVKHLKKNADEASKGYSIPTDDDEVKVEPYENGGSFLYKASISCDYRPELLSDLRQTLDKLQLQLALSSVLERASTSMDYSLRTPRPCKQMQQTSTMSCNHEFCSC >RHN45753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25283848:25287535:-1 gene:gene40146 transcript:rna40146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S8e/ribosomal biogenesis NSA2 MGISRDSMHKRRATGGKKKSWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNFSWGSEAVTRKTRLLDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVEVGRKKKTAAKKDSAEEAEVVAEEVKKSSHVQRKLEQRQKDRQLDSHIEEQFGGGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKSAA >RHN48611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48818314:48818906:-1 gene:gene43350 transcript:rna43350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MNDRVEPFNATSFNEWADLGTAPALPESQKLYNKLLSLGIKIVFLTGRANKQKNITAKNLRLAGYHTREKLICKDTSIYHGKTAVTYKSSERKKLEEEGYKIIGNIGDHLEPTQAIGLLSSLIQCTTLHPSGH >RHN68157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33241711:33244064:-1 gene:gene16467 transcript:rna16467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTKTLKFICIMILFLSLFLVAESFATGMPCKTDKECPNTSTHKYKCINDDCFCFYIYWPLGNSLV >RHN82360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53160061:53162024:-1 gene:gene6522 transcript:rna6522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I MTLPAHVVTNGDVSLDSGHNRLLQLGYKQELKRDLSVVSNFALSFSIISVLTGITTLYNTGLNYGGPVSMQYGWFLASAFTMLVALSMAEICSAFPTSGGLYYWSAKLAGSKWAPFASWITGWFNIIGLWAGTTSVDFSLAQLIQVIILLSTGGKNGGGYVASKYVIIAIHGAILLLHGIINSLPISLLSFLGQLSAIWNVFGVFVLMILIPSVATERASNKFVFTHFNAENGDGINSRPCMFLLGLLMSQYTLSGYDASAHMVNIFYTFLTFNVVNCKRVNIGRHLFFVPLLYHPHVAGTFL >RHN50028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3248967:3253139:1 gene:gene34276 transcript:rna34276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldose 1-epimerase MTKIFMLLCLLFLAFSGFVNGSMNKKEKNHDDIKLFELKKGDLTLKVTNWGATLVSLVLPDKNGKLGDIVLGYDTPKAYTNDTSYFGATVGRVANRIGGAQFTLNGTHYKLIANEGNNTLHGGTRGFSDVLWKVERYVREGDQPLIKFSYHSFDGEQGFPGDLKVTVSYILGKNSLTIIMQAKALNKPTPVNLVNHAYWNLGNHNSGNILDEVVQIFGSKFTPIDKNLIPTGKFSSVKGTPYDFLKPQIVGTRINQLPKTNGYDINYVLNKGKLEKKEGLKVAAIVMDKKSGRVMKLSTNAPGLQFYSANFVKNDKGKGGFVYQPRSALCLESQAFPDSVNHPKFPSTIVTKEKPYKHVMLLKFSTNVPHAFSQF >RHN55139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15464800:15469986:-1 gene:gene30273 transcript:rna30273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MPGRRSKSEKKDTADADGEKQLRRDPYEVLGVSRNSTDQEIKSAYRKLALKFHPDKNANDPKAADLFKEATFSYNLLSDPDKRRQYDSSGFEAVESDSQELELDLSSLGAVNTMFAALFSKLGVPIKTTVSATILEEALNGSVTIRPLPLGQFVSKRVEKQCAHFYSVTITEEEARAGFVCRVQSSDKSKFKLLYFDQEENGGLSLALQEDSTKNGKVTSAGMYFLGFPVYRLDQTMNTIAASKDPDTSFFKKLDGFQPCELTELKAGTHIFAVYGDNFFKSANYTIEVLCAAPFSEEKENLRNVETQILSKRAEISKFESEYREVLAQFTEMTSRYAHEMQTIDELLKQRNEIHASYTVVPLKRSNSSKSRSKTSLKESKEDGETREKRNTRERPRKKKWYNLHLRVDKRKAC >RHN56841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34125064:34128356:-1 gene:gene32300 transcript:rna32300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MLLTTFFFLFTLSSTTVTAHYSSSSSEPPPSQQSSPSFTEWRSARATFYAQVDPRDTVGGACGYGDLTKAGYGMSTVGLSEALFERGQICGACFELRCVDDLRWCIPGTSIIVTATNFCAPNYGFTVEGGGHCNPPNKHFVLPIDAFEKIAIWKAGNMPLQYRRIKCRREGGVRFTVTGSGIFISVLISNVAGHGDIVAVKVKGSRTGWLSMGRNWGQNWHINALLQNQPLSFEVTSSDGKTVTAYNVAPKDWSFGQTFEGKQFDY >RHN80639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39694010:39700747:-1 gene:gene4586 transcript:rna4586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminopyrimidine aminohydrolase MRMRWFLPNPIKTLPIINTIRSSISFRTFVPFNSRSFHRNRIQMAAIHNHSEAGLAKRFWIKFNRESIFSMYTPFVISLASGNLKIDSFRHYIAQDVHFLRAFVQAYESAEDCSDDDDDKLGLSQLRKNVLEELKMHDSLVKEWGLDLAKEHSINSATVKYTDFLLATASGKIEGLKSSGKLATPFEKTKIAAYTLGAMTPCMRLYAFLGKKFKELPDLEESTHPYSKWIDNYSSDGFQASALRTEELLDKLSVSLTGEELDVIEKLYYQAMKLEIDFFTAQPLFQPTIAPLTKGHNLEEDRLVIFSDFDLTCTVVDSSAILAEIAIVTAPKSDNQPEDQTARMLSSDLRNTWGSLSKQYTEEYEQCIESIMPANKLENFDYKQLSTALEQLSKFENSANNRVVESGVLKGINIEDVKRAGERLILQDGCTDFFKRVVKNKNLNANVHVLSYCWCGDLIRSAFSSADLNEMDVHANEFSYDGSVSTGDIVKKVESPIDKVQAFRNILENCNDDKKKLTVYIGDSVGDLLCLLEADVGIVIGSSSSLRTIGTQFGVSFVPLFSGLVKKQKEYNEESSSNWKGLSGILYTVSSWAEVHAFVLGC >RHN62366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42972967:42973343:1 gene:gene24934 transcript:rna24934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDTNIILLSLQSLPLTICSTLLFLFLLFRLHRSRMASKTPPPPPEASGAWPLIGHLHLLGGSQPPHVTLGNLADKYGPILHRSFRCSQNLSCKQL >RHN75200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40125099:40128917:-1 gene:gene11370 transcript:rna11370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major sperm protein (MSP) MAIAAGHQKPTSDASLFRLCWSSGNVQNQTQGNAQKSPKTVSSVARSLLLPPRRRLRLDPSNHLYFPYEPGKQVRSAVRLKNTSKSHVAFKFQTTAPKSCYMRPPGGILAPGESVIAAVFKFVEQPENNEKLSNQKNKVKFKIMSLKVKLGVDYVPELFDEQRDLVTVERILGVVFLDPERPSPALEKLKRQLAEADAAVEARKKPAAETGPRVAAEGLVIDEWKERREKYLARQQVQAVDTV >RHN81819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49154232:49157156:1 gene:gene5909 transcript:rna5909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein phosphatase inhibitor MDRRRSTNRPVALSSPSVTGTTTITIESSVPSSSSSQQEQQQPEVLFLPLNRKKKKVSWKDGTVDNEFMQKKSSKKCCIFHKEKPFDEDDSDEDDVPHDSDKHPHDHSDNGFCCKNHDEAGPSS >RHN55454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18538808:18539420:1 gene:gene30635 transcript:rna30635 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQVIILQIQQRRSVIHGKFQWHVSILNTCRCAQSQILLACNGLKPIDQTILKKQGNNCLLFNGNPLAYNNTARFFYASAKSIFAPISSVTTTPCFSKK >RHN47495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40159619:40161372:-1 gene:gene42106 transcript:rna42106 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MALSRVLFLFALIATIFSTMAVAKDFVVGDERGWKLGVDYQYWAANKVFRVGDTLTFNYVGGKDNVVRVNGSDFQSCSIPWRAPVLTSGHDTILLTTYGRRWYISGAAHHCNLGQKLFINVQPPQFGWSPVPSPSASPSPSPVPTPEAAPPSNAPWAASVQTSEITSSPVPSPSPTPAHEAAPSSNAPWAAGAQTSEITWSPVPSPTPSPEVAPPSNAPWTAARRSLLPKKLFKMIHRNLIAV >RHN75399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42012861:42013589:-1 gene:gene11592 transcript:rna11592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MDPFVHVDKGAVLKNKLPGLRDCLVTLKAGSLHNEILKSIKRSQNTIFNFECKVALTSVHPSLFLECALSEEEKSALDKDQLEKLRLNPHEGVKTKFLFKFVRLCDAFHEKVLVFSQFHAPLQLIIDQLNSAFKLTEGKEVLVMSGEDPPKVKQSVIHSFNDENCQTKVLLASTKACSEGISLVGASRVVLLDVVWNPSVERQAISRAYRIGQKRMVYTYHLLAEGTTEEEKYGKQAEKDRF >RHN46495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32275982:32276680:-1 gene:gene40986 transcript:rna40986 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKIITSGLGELYCVFFLHYGWTLSKKAKIKEISYFPLGLFHLDFIFIRNIPIVRKLDFRLNATASLFCFTISKGRNTISPVIDCSKNFLATACNPHSLIIKPCRALNVICDKCQKVVFQMIIYDTFVICFTSYSGKAERKVIIDLLRLIHLTLPICTGIECQNIKQGPKRTKVGKSYFAWRAEILPDEIFRQARQRRVKWRAVPESWKNMTRTLCHGKQKFRQAKKDGFI >RHN43305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44812846:44813797:-1 gene:gene49855 transcript:rna49855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MSLIKISLLSLCLISAQAARFDIVNQCAYTVWPAATPSGGGRQLDRGQSWPLDAVVVVKLVIAVVPSVAAYPLSSTSNACNKVLNCRDSNCPDAYHHPNDVKTNTCPGGTNYRVVFCP >RHN72461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7871126:7871892:1 gene:gene8174 transcript:rna8174 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPKSDMKMNAIRSGIVVLGALAFGYLSFRIGFKPYLEKAQVQQQQQQNEVQECRDSDSDPSSDFKESISFPERG >RHN62741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45573281:45574612:1 gene:gene25346 transcript:rna25346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MVDNNNNNVNLPPGFRFYPTDEELVVHFLHRKASLLPCHPDVIPDLDLYPFDPWQLQGRALEEGNQWYYYSRRTQNRMTNNGYWMPMGMEEQVVTSSSNKRVGMKKYYVFHIGEAPNGNQTNWIMQEYRLSDSASSSTRSSSKRKSQPKSWVICRVYERDEDDQDGDGTELSCLDEVFLSLDDLDEVSLPN >RHN72345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6959447:6967251:1 gene:gene8047 transcript:rna8047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MESKSQPILPPMDPPEDLSSVWDLSYLLDFDDDIPQLPPLPNPNPTPEENERIRKRDPRLTCSNFLAGQVPCACPELDALLEDNGLPGKKRARTARAAASARCQVPGCEVDISELKGYHRRHRVCLRCANAATVVLDGDVKRYCQQCGKFHVLSDFDEGKRSCRRKLERHNTRRRRKAVDSAVGVDNEVQTVTQNDDSNCDGELGIDYSNLSRENIEKRALQDHEEEPVVNGSSTPETQNINGDSVVSFVASAETQANIGKDVSDPSKSPSYCDNKSDYSSMCQTGRVSFKLYDWNPAEFPRRLRLQIFQWLASMPVELEGYIRPGCTILTIFIAMPNIMWINLLKDPMYYVRDLAAPRNMLSGRGTALIHLNDMIFRVMKDGISVTKVEVNMQAPRLHYIHPTCFEAGKPMEFFACGSNLLQPKFRLLVSFYGKYLKCEYCAPSPHNSAEDNISCAFDNQLYKICVPHIEENLLGPAFIEVENESGLSNFIPVLIGDKEICTELKILQQKLDASLLSKQFRSASGSSICSSCEAFVHIHTSSSDLLVDIAWLLKDPTSENFDRMVSASQIQRYCYLLDFLICNDSTIILGKILPNLISITKSMKSNISDVDMDQLLKGMCNARDAICRKGGGIVLNSKMEGFKPAQCSSQNAKLSVVEVNSQGIQFRADAELGVLSSLASDEKNQKIPLLKRDIIMNMEELPKRCDHQNLTRGFLRSRPTTFVLVSLVVCLAVCVSVFHHGRVNELAVSIRRCLFNH >RHN53624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2919492:2919806:1 gene:gene28551 transcript:rna28551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKRKKGSITPPRATIQRCSNKSESQQLCPYFDNLPFHLTTEILLKLPIKSLLICRCVCKIWNTLISEPKFAKLQFERAPISFMIRKLDNIEVSRNLYLLECEPE >RHN55679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21057985:21059226:1 gene:gene30899 transcript:rna30899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAVSPISVLPDELLMEILSRVDSSNHLDLRCVCNLWKSLIRDPQFMKNHILRSITGFSSLSYKIEGHFIAFKSHIVYNPPLVPNVEEDVDVDVDVDVDGADSGEGEGEGEGEGDDDDDDDEDDDEEDEEVLRWKALIKLSNLERKEEQLKKRKNMDKIDEKTMIIRMAKLDIFLVVVRYMKSFMLNYLKSLEDRDILNENLESLRVEMQTMEDRLMCLKIFIKFYLRAISISMFSLVQPLWNFRLGD >RHN78626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16851099:16879499:-1 gene:gene2253 transcript:rna2253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEILSSVVGKVADYTVVSVGRQASYLIFYKANFKMLAVHVKDLEVARERIIHSVEEERRNGKEIERDVVNWLDMVNEVIEKANQLQRDPRRANVRCSTWSFPNLILCHELSRKATKVAKDIVQVQGKGMFDRVGYLPTLEGVASSSSTRGGENYETRKSFKEDILKALTDLNSCNIGVYGLGGVGKTTMVEEVAKTAIQNKLFDKVVITHVSKHQDFKTIQGEIADLLSLQFVEETIAGRAHRLRQRIKMEKSIIVILDDIWSILDLKKVGIPFGKEHNGCKLLMTSRNQDVLLQMDVPKDFTFKLELMRENETWSLFQFMAGDVVKDNNVKDVAIQVAQKCAGLPLRVVTIARAMKNKWDVQSWKDALRKLQSNDHTEMDKLTNSALELSYNALESNETRDLFLLFALLPIKEIEYVLKVAVGLDILKHINTMDDARNKLYTIIKSLEATCLLLEVKTSRCIQMHDFVRNFCISKAHTKKRMFLRKPQEEWSTKDFLERCTQIVLFRCPMNGLPQTIDCPNIKLFFLLSENRSLEIPDTFFEGMRSLKVLDLMNFNLPSLPSSFQFLTELQTLCLNLCILENIDAIEALQNLKILDLSSSSIIKLPSEIGRLTKLRMLDLSNSGIEVVPPNIISSLTKLEELYMGNTSFNWEDVNPTGQSENASIVELQKLPNLIALELQIRKTWMLPRDLQLMFEKLERYKIAIGDVWEWSQIEDGTSKTLMLKLGTNIHLEHGIKALVKGVENLYLDEVDGIQNVLYQLNGVGFPLLKHLHIQNNVNMKHIVDSKERNQFHVSFPILETLVLHNLKNLEHICDGPLLITSFENLSAIKVKKCSQLKYLFSFTMAKGLSHLSNIEVCDCNSMKEIVLKDNNLSANNDEKIEFLQLRSLTLEHLETLDNFFSYYLTHSGNMQKYQGLEPYVSTPFFGAQVAFCNLETLKLSSLRNLNKIWDDSHYSMYNLTTLIVEKCGALKYLFSSTVVGSFKNLQHLEISNCPLMEEIIAKEEISDALKEDNFFKLEKIILKDMDNLKTIWYRQFETVKMLEVNNCKQIVVVFPSSMQKTYNMLEILVVTNCAFVEEIFELTFNGNTSVEDTSQLKEFTIGELPKLKKIWSRDPQGIPNFGNLIHVELNNCSRLEYLLPLSIATRCSHLKELGIKNCASMKEIVAKEKENSVFADPIFEFNKLSRLMFYNLGKLKGFYAGNYTLVCPSLRDIHVFNCAKLNVYRTLSTSSSKSNHQDGKLLDLIQQPLFIVEEVIPNLKELGIDQKEANIILQAQNSNALFSKMTLLVLSDFKNEEATFPYWFLQNVRTLEVLSVECSCFKKIFQDEEQISEETHTKIKALVLTKLPKLQQLCKEGSRIDPVLEFLEEFIVNGCSSLTNLFPSSVTLNNLEYLKIENCNGLKYLITSFTARSLDKLTTLEVKDCNSLEEVIKGEENVGITFITLETLKLECLPSLNKFCSSNGFLKFPLLKEVIVRECPRMKKFSEGNISTPILRKIKIAENDEEWHWKENLNDTINNMFEDKVAFGYFKHLKLSEYPELKESWYGKLEHNVFRSLKYLVVHNCDFLSEVLFQPNLLEVLTNLEELDIKDCNSLEAVFDLKDEFAKEIVVKNSSQLKKLKLSNVPKLKHVWKEDPHDTMRFQNLSEVSVEECTSLISIFPLTVARDMMQLQSLRVSNCGIEEIVAKEEGTNEIVNFVFSHLTFIRLELLPKLKAFFVGVHSLQCKSLKTIYLFGCPKIELFKTELRHQESSRSDVLNISTYQPLFVIEEVLTNVERLALNNKDLGILQSQYSGVQFNNVKHIDVCQFYTEEDAFPYWFLKNVPSLESLLVQWSIFTEIFQGEQLISTEKETQISPRLKLLKLWQLHKLQYICKEGFKMDPILHFIEIIIVHQCSSLIKLVPSSVTFTYLTYLEVANCNGLINLITYSTAKSLVKLTTMKIKMCNLLEDIVNGKEDETDEIEFQSLQFLELNSLPRLHQLCSCPCPIKFPLLEVVVVKECARMELFSSGVTNTPNLQIVQIEESNEENDEQNHWEGDLNRSVNKLFDDKVAFGSFKHLKLSEYPELKELWYGKLEHNVFRSLKCLVVHKCEFLSEVLFRPNLLEVLTNLEELDIKDCNSLEAVFDLKDEFAKEIVVKNSSQLKKLKLSNVPKLKHVWKEDPRDTMRFQNLSEVSVEECTSLISIFPLTVARDMMQLQSLRVSNCGIEEIVAKEEGTNEIVNFVFSHLTFIRLELLPKLKAFFVGVHSLQCKSLKTIYLFGCPKIELFKTELRHQESSRSEVLNISTYQPLFVIEEVLTNVERLALNNKDFGILQSQYSGVQFNNVKHIDVCEFYTEEATFPYWFLKNVPSLESLLVQWSLFTEIFQGEQLISTEKETQISPRLKQLELGQLHRLQYICKEGFKMDPILHFIESINVNHCSSLIKLVPSSVTFTYLTYLEVTSCNGLINLITYSTAKSLVKLTTMKIKMCNLLEDIVNGKEDETKEIEFCSLQSLELISLPRVCRFCSCPCPITFPLLEVVVVKECPRMELLSLGVTNTPNLQIVQIEESNEENHWEGDLNRSVKKLFDDKVAFREFKYLALSDHSELEDIWYGRLDHNVFCNLKHLVVERCDFLSQVLFPSNVVQVLHGLEELEVRNCDSLEVVFDVRDLKTKEILIKQRTRLKSLTLSGLPNLKHIWNEDPYEIVNFENLCKVKVSMCQSLSYIFPFSLCQDLRLLEILEVVSCRVEVIIAMEERSMESNFCFPQLNTLVLRLLSNLKSFYPRKYTLECPSLKILNVYRCQALKMFSFNHLDFQQPNPVDETRDVQFQQALFSIKKLSLNLKELAINGTDVLGILNQENIYNEVQILRLQCLDETPATFLNEYAQRVFPNLETFQVRNSSFETLFPNPGDLNLQTSKQIRNLWLFELENLKHIWQEVFPLDHPMLQYLEDLSVRNCPCLISLVPSSTSFTNLINLTVDNCKEMIYLITSSTAKSLIQLTTLKIKNCEKMLDVVKIDEEKAEENIIFENLEYLKFISLSSLRSFCYEKQAFIFPSLLRFVVKGCPQMKIFSSGVTVAPYLTRIETDEGKMRWKGDLNTTIEELFIEKEVPV >RHN55885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25129685:25130365:-1 gene:gene31164 transcript:rna31164 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNKQLLIISVLLLFVVETTVGETIYLPSPQTSTQNLQSYPPSLPPQIPPFFPTPILPSLPPLVPKSHPPSRVPPSFQHPTPPSPPILPSLPQPSPKPPSRVPPSFPTLIPPYPLTLPPVVPPPNFSSPFPPKINHGAGEGGNAESQGHGRDGTGDKGGGGGVGGGIGGGDINGGRQAGRARGNIASSVGEWVGIIVGGLDIVMLIVVVVQAIRKARNVPEGGE >RHN53924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5376766:5383548:-1 gene:gene28890 transcript:rna28890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MGKKQHSKDRMFLTKTEWATEWGGYKSKENRTVFKRLPFYCCALTFTPFEFPVCTPDGSVFDVMNITPYIIKYGKHPVTGAPLKQQDLIPLTFHKNSEGEYHCPVLNKVFTEFTHIVAVKTTGNVFCYEAVKELNIKTKNWKELLTDEAFTRDDLVTIQNPNALDQKVLLDFDHVKQNLKIDDEELQKMSSDPAYNINMSGDIKQMLKELGTEQGKETALHGGGGGKAQKERAAALAAILAARSQVKEDSKSADGAPQALSIVDAASAAVHGRSAAAAKASSSDKTAARIAMHVAGDRAPVNAKMVKSRYTTGAASRSFTSTSYDPVTKNDYEYVKVEKNPKKKGYVQLHTTHGDLNIELHCDIAPRACENFITLCERGYYNGVAFHRSIRNFMIQGGDPTGTGRGGESIWGKPFKDELNSKLVHSGRGVVSMANSGPHTNGSQFFILYKSANHLNFKHTVFGGVVGGLTTLATMEKVPVDDSDRPLEEIKITGVTTFVNPYTEPDEEEEQANAKEKNANDEENDKVGSWYSNPGAGTSESGGTGVGGGVGKYLKARNAPPAEPAAVDTGTAVVGKKRKAVVSGEFKDFSGW >RHN46360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30990337:30996376:-1 gene:gene40842 transcript:rna40842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MSAIKRDRNGNPITTPTPNPTPSPPTPTPIKITIIDNNLPHDTHDTYSITFDSTFTIQSLLTSTPSVVDTWLLETLRLHPPFPVLVGLDVEWRPNFKRGQSNPTAVLQLCINNRCLVFQIMHSPFIPVSLLNFLADSNNKFVGVGIKEDVDKLMKDYNITVSNYVDLRNLAAEVMDDHEMLKTGIKSLTQKVIGKTLVKPKKVSMSRWDYPWLNVDQCWLIAKDDMTHI >RHN75528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43029584:43032230:1 gene:gene11749 transcript:rna11749 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMKGDLLSRSRKLVKGLAKAEPVWLKAMEQAPPATFPRPEGKLQTITFPEDVYVKSFYKKYPESKYHDPIKFSAIDPAPSRLFALRVLELKEHGISENEAMEVADMEYIAEKKAKKKAYARLKQIARLQGKKLLPNPFPCPVKEIQAEERKFVRDRFFNPSIRELVKQKKEESLQRFGGNNW >RHN60992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32120486:32121108:1 gene:gene23398 transcript:rna23398 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVVNLKNGDMHEDQLYDDSVPEHQQNEDEDDQMSDEDHYEDMLCEVSNDGRLNEDHRSVKFNHGWNDYLHEGQCNQVSIEGQCNQVSIEGQWNENLHEEHHGWNDDDFVKDLWNALPDETFEQFYSCLPISSLKRVCHMMYI >RHN44586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6484156:6485860:-1 gene:gene38723 transcript:rna38723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MESLFCLSGSCSFCTKIHSLEVLKLVSLKSLTVVCKEVFEVRQSLTPFGMFSCLKEFDIIGCNLIEKLFTPQLILQLQNLETITVISCDSMKEIFAESNSDDNDRSIISLPKLTELTLEYLPQLKIVCKGSIRCGSSSSPLSIYDCPSLERQPTFYNF >RHN59763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12873304:12874230:1 gene:gene21878 transcript:rna21878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MTNRLRFSLTISNSTFPFLPRLFDRFPNLTSLHFTHFCGDLNSLLYQIYTCALKLKSLIFSNQSTIPTEGLRVLSKYITTVTSLTFSNIDFLHKNDMVMILICFPFLEELDHSNPKNNGNFAANSMLLELPKLRRVNLSDHHYANGSLLLHLYKNCVFLQEIVMLNTYYLTDNEITSAICERPGLRSISITRMTFGNLNIFIESLVNLTGLTSLDLSYSFIPDELLSYVAEKALPIRKLFLQGYFGYTYFGIFNLLSKCQFIQYLDLQNAKFMNDWNVIELSLFLGDLLSINISKCDSPHKFILVFSP >RHN48152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45427527:45434383:-1 gene:gene42839 transcript:rna42839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MKQSQRWQRTLILCLLSLSVVTPIVFVSRRLQVLTRDGRREFLDDLSGVKYRTDPLKLNSIEQEDSEELEEPKQVVYKENDVGTTLSDGSENSNDSEESKIEGTRNNLLEKNVSEFDHDEAQQKGLSSTDETQDLEPQQKILASKDDTQDQEAWHKGLSSTDRDQKKFNITVTDNQIIQTRSQRKTDENIKLKEKQSGLAVNRHHQISRHQSRRVTNQTVMEIKDQIIRARAYLGFAPPNSNSHLVKELKLRIREMERAVGEATKDSDLSRSALQKMRHMEASLSKANRAYPDCTAMAAKLRAMNHNAEEQVRSQQHEVTHLAHLAARTTPKGLHCLSMQLTADYFALSLEERKLPNENKIHDTELYHYAVFSDNVLACGVVVNSTVSNAKEQEKLVFHIVTNSLNFPAISMWFLLNPSGKATVHVQNIDNFEWLSKYNTFKKQNSSDPRYTSELNYLRFYLPNIFPTLNKILLFDHDVVVQQDLSTLWNINMNRKVIAGVGTCQEGETSFRRMDMFINFSDPYIVKRFDVNACTWAFGMNLFDLQQWRQHNLTGVYHKYLQRGSNMPLFNTGSLPLGWLTFYNKIMILDRRWHILGLGYDSGIDTNKIEQAAVIHYDGIRKPWMDIGLGRYKSYWSKFMKFDHPLLRRCNIQA >RHN52184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32434206:32437934:1 gene:gene36823 transcript:rna36823 gene_biotype:protein_coding transcript_biotype:protein_coding MEWQKYYMDIMFVPCGFLILVLYHFLLWKITRSQPMNTTFGRDADGRRHWVPAIMKDIDNKNVVAVQTLRNLIMGTNLMSTTSILLCAGLGAIISSTYSVKKPIKESVFGAHGDFVVVLKYAIVLTMLSFSFVCLTLSTAFINQVNMLICIPQNVKSMVTPEYLTQHLGKAMLLNIIGNRLFYTAITLQLWIFGPLLPFLSSMLMVCILYNLDYVDGARPRSSQEMDFIRNDP >RHN71763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2495457:2495963:1 gene:gene7397 transcript:rna7397 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSQLCLLGLTLIMGSHVAHAQDSPADYVNAHNKARSAITTIKIPNIVWDNDIAAFAQNYANQRKDCKQIPSGSGGRYGEYLGENIAVSTGYISGAEAVKLWVDEEPYFNHYANSCIDGHECHHYTQVVWEKSLRVGCGKVKCDNGGSFVTCNYDPPGNIAGQLPY >RHN42606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39655541:39656950:-1 gene:gene49063 transcript:rna49063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pheophorbide a oxygenase MGVSNSTFQEKKILDVGQANWHKACFVPTKADALVIGFRKWLKKYAGDQVEWRGKYNGALPPTPSREQLMDRYWSHVVNCKSCNLAYKSLSVVEVMLQIISVASIGIVATMKQGLVSTTTRNSMVVLAVLSFALWRLLAHFIYKNFCYHDYNHASSNCFPPYPILIVDEDFNSFVSKK >RHN56367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30353200:30359670:-1 gene:gene31753 transcript:rna31753 gene_biotype:protein_coding transcript_biotype:protein_coding MEQENQHPMIIVHDNEEQQEEVEDLSLKITFPHVKDNNNTTVVVTSSFVSNGHSNGTSTIVTDLDEFSLQKDEELEKKRNVGECNSDKEQDCVPVGLGLVANFVGEAIKDDAIFGSESSDIFLQNKNSVFFDKQQGNEVNGLDRVHNGDATNHEESKLDEQVENSAIQTSLRGEVHGINRVQNDDITNHEESKLDEQVEVHRHHGVQNGDATNHDNKVPSSSELSALHNSSDKQATPAVNSNEEIIQELNSIKETDQQEKEYDVELVIAKQETHDLYCPNCKSCITKRVILKKRKRNNQILDNKGKRDRLDSVVDNDVVNPDSTTHEANQGNYEKVTSEITSMDPPPAPVTAAAAAADDDGDDHPEKEVEVFRCLSCFSIFIPSGKGFNLFRNFGGASKDETSQNSSNIPSSSPNWFISLFTSNKRKTATEPGDTSQEYSTTDPADQNQSTITSPLLSSSDIGHPEGTLGDADLIKNVKPTSDVNHGRERMNSTISSNGVQSVVQDFIDFSEKEQSLTRKLRTDNRGKNKTSVDTTNTNTVEVTSSTNFSNGTVSEYKSVKSVTTTSSETFVNSGATAKGAILNHYQEKPEFIVPTSTTIASLIVEKLPKDVNQMPEIVKNNDYDSSLRQDGAQSPVQSFDSTSSAIDGIFPSKTDITLIDTVRKDINGKINPSVINENKGDVIVVVDEEANESTTLQTEGNVPRDGAIVTESPTQVDIGEQPRNEVGEPKKWEIVKSIVYGGLVESITSLGIVSSAASSGATPLNIITLGFANLIGGLFILGHNLKELKDSHSRGQQLQTNVQDRYQELLGNRSNFVFHAVIAVFSFLIFGSVPLIIYGILINKNYYDEVKLAIVAATSVACIILLTVGKVYTRRPPKSYIKTVLYYVTMALAASGLSYIAGKLFKDLLEKFNHSESGFAINMPISDTSMETTWMSS >RHN75863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45642865:45648403:-1 gene:gene12119 transcript:rna12119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoenolpyruvate carboxylase MATRNIEKMASIDAQLRLLAPKKVSDDDKLVEYDALLLDRFLDILQDLHGEDIRQTVQDCYELSAEYEGEHRPEKLEELGNMLTGLDAGDSIVIAKSFSHMLNLANLAEEVQIAYRRRIKLLKKGDFADENSAITESDIEETFKKLVTELKKTPLEVFDALKSQTVDLVLTAHPTQSIRRSLLQKHGRIRNNLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTAPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGVNERVPYNAPVIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCNDELRVRAEELHESSKRDAKHYIEFWKQIPPNEPYRVILGGVRDKLYNTRERARQLLANENSDIPEETTFTNVEQFLEPLELCYRSLCACGDRAIADGSLLDFLRQVSTFGLSLVRLDIRQESERHTDVMDAITKHLQIGSYREWSEERRQEWLLSELSGKRPLFGHDLPKTEEISDVLETFHVISELPSDSFGAYIISMATSPSDVLAVELLQRECHVKQPLRVVPLFEKLADLESAPAAVARLFSIDWYRNRINGKQEVMIGYSDSGKDAGRLSAAWALYKAQEELINVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALLDEMAVIATKEYRSIVFQEPRFVEYFRCATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGEAFKHAIEKDPKNLQMLQDMYNQWPFFRVTIDLVEMVFAKGDPGIASLYDKLLVTPELCPFGERLRSKYEETKSFLLKVAGHKDILEGDPYLKQRLRLRDSYITTLNVLQAYTLKQIRDPDYHVKMRPHLSKEYMESSKPAAELVKLNPKSEYAPGLEDTLILTMKGIAAGMQNTG >RHN51633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21314897:21317421:1 gene:gene36111 transcript:rna36111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MAKYNIIFLFLLFFVWTSIIFLMCDAIANSEESCKLHIVYMGSLPKEVPYSPTSHHLNLLKQVIDGNNIDTHLVRSYSRSFNGFAAILNDQQREKLAGMRGVVSVFPSQEFHLQTTRSWDFLGIPQSIKRDKVVESDLVIGVIDSGIWPESESFNDKGLGPIPKKWRGVCAGGTNFSCNNKIIGARFYDDKDKSARDVLGHGSHTASTAGGSQVNDVSFYGLAKGTARGGVPSSRIAVYKVCISSVKCISDSILAAFDDAIADGVDIITISAGPPRAPDFLQDVIAIGSFHAMEKGILTTHSVGNDGPTPSSVLSGAPWLVSVAATTIDRQFIDKLVLGNGKTLIGKSINTFPSNGTKFPIVYSCPARGNASHEMYDCMDKNMVNGKIVLCGKGGDEIFADQNGAFGSIIKATKNNLDAPPVTPKPSIYLGSNEFVHVQSYTNSTKYPVAEILKSEIFHDNNAPRIVDFSSRGPNPVIPEIMKPDISAPGVDILAAWSPLGLPSVDYGNSDKRRVKYNIESGTSMSCPHVAGVAAYVKSFHPNWSPAAIKSAIMTTANLVKGPYDDLAGEFAYGSGNINPQQALNPGLVYDITKEDYVQMLCNYGYDTNQIKQISGDDSSCHDASKRSLVKDINYPAMVFLVHRHFNVKIHRTVTNVGFHNSTYKATLIHHNPKVKISVEPKILSFRSLNEKQSFVVTVFGEAKSNQTVCSSSLIWSDETHNVKSPIIVQRI >RHN52231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32880175:32885012:1 gene:gene36880 transcript:rna36880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-galactosidase MTLQYSSLGWSFKLSMMKGLVLCLLVLLNNANSSFARLLLNRTKEVSMFREQLKRTILDNGVGQTPPMGWNSWNHFQCDISEALIKETADAMVSTGLADLGYQYINIDDCWAELNRDSKGYMVAKSSTFPSGMKALADYVHSKGLKLGIYSDAGNQTCSKRMPGSLGHEEQDAKTFASWGIDYLKYDNCENNDVTPKERYPRMGEALVQSGRPIFYSLCEWGAEDPSIWGKSVGNSWRTTSDIEDKWDSMISCADQNNKWASYAGPGGWNDPDMLEVGNGGMTTEEYRAHFSIWALVKAPLLIGCDIRALDDITKELLRNSEVIAVNQDKLGIQGKKVKSNDDLEVWAGPLSDNRLAVVLWNRSSSKAIVTASWSDLGLKPGTSIDARDLWEHSTQSKVSGDISAELDSHACKMYILTPN >RHN73235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14581123:14584169:1 gene:gene9029 transcript:rna9029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MFKTFLNRNAFAISKTSTALQYSLRFLTTAITSDSNSFAVSYLIHKFGFTPESALKASKQLYFKTSQKPDSVINFFKNHGFNDSDIQNIIKREPWLLSCDTHKRILPKFQFLLSKGASTSDILRIVVGNPRFMKSSLKNRVIPNYNLITQFLKSNQKAMSSVILCPSLICCNYMISNINLMIDNGVCNTSIYRILSTRPNAIFRVPRKVGETMNELKNMGFDPTRYNFGDALLARLCLSKSTWNDRIDTFKKWGWSEETVMEAIRKQPKCMLVSDEKINRVLSFWVNELGWDSSYLAKGPGMFCYCLEKRIIPRAMVVFYLLTKGLRSEFASLTGPFYASEKFFMERYVLFYEEDASHLLKLYHQMMKMADKKVQQNHKSWQIPFVKRLIVEK >RHN60239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24162875:24163282:1 gene:gene22508 transcript:rna22508 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNIIVEIICLILLFCEISPLLLGNVALRMSNLQVIESRLLCLLSCVAIPH >RHN78520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15936685:15938549:1 gene:gene2097 transcript:rna2097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRIB domain-containing protein MSSVKGLLKGLRYISNIFDEKEDEIQIGFPTDVKHVAHIGSDDPSANAPSWMNEYKSTNPPGGAEKPTQPTEERKSKSSKPKEKSSKIRHLIPKSRHESIDNETNTTTKKNTRRQQRSSDPTADSSTHDSSAGSRHRRHRRGSDSVPPDAPQPGTKTHRRKPKNSEDGGSVRKPNSRKSSKGDSLTDISLSDFGSGSLAETENGPN >RHN69982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47750424:47753258:-1 gene:gene18518 transcript:rna18518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MLEEEIVRNRSHSIDGIPSQLDPEYFDDDGRPKRTGTIWTTSSHIITAVIGSGVLSLAWATAQLGWIGGPLAMILFSLITLYTSSMLAECYRCGDPVYGKRSYTFVDAVRSILGGRQYTVCGIVQYMYLYGSAIGYSIAAPISMMEIKKSRCLHLSGGKDPCHISSNPYMIGFGVIEIFVSQIPEFHNTWWLSVIAAIMSFGYSTIGVFLAISQTAENGTFKGTLTGGSTENVSTTTEVWGIFQALGDIAFAYSYSQILIEIQDTIKSPPSEIKTMKNAAALSVAVTTAFYLLCGCMGYAAFGEQAPGNLLTGFSMYNPAWLIDFANAAVVIHLVGAYQVYVQPVFAFVEKGAAKRWPQTKVEHKIPIPGFRPYNLNLFRLVWRTAFMILTTFVAMLIPFFNDVLGFLGAVGFWPLTVYYPVEMYILQRKIPKWSPKWILLQIISVICFIVSGAAALGSTASIIEDLKHYKPFSSEY >RHN81297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44641249:44642034:1 gene:gene5313 transcript:rna5313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-hydroxy-3-methylbut-2-enyl diphosphate reductase MGDQPVTKIATLTAMIKTLSDQMATLTTTTAQMAALTTTTLTIKVDDIINKNNQNRKGGPIWVPHDGDNRIIEDSSSYEEKIATATKKGADVQIAYEVIEQFPNHKIGITNEIIHNTIVNKCLEEMKVENIPIAEGKKQFEFVNKGDVVMLPAFGAVVDEMLTLSEKNVQIVDTTCEWVSTVWNIVEKHKREDYTSIIHGKYAQKKTVATMSFAEKYVIMKNMADAKMMDLECIQHATTFLQWDKDNCYILQIKSSLHFIQ >RHN67881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31157987:31158625:-1 gene:gene16126 transcript:rna16126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MTQELIMATVDNPSNAVEWILAEMLIQPELFEKATEELDNIVGKDRLVQESDIPKLNFLKACAREAFRLHPITDFNVPHVSTNDTMVGNYFIPKGSHVLLGRRGLGRNRNVWAEPYKFKPERHLKNDGSAIALTEPELKFISFSTGRRGCPAVMLGSTMTIMLLARLLHGFTWSAHPNISRINHFDSNGVISLDEPLTVAAKPRLAAELYEF >RHN57863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42223997:42224653:1 gene:gene33452 transcript:rna33452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MIVFKTIGSTCGGNRNNNIAYGLERTMGELIHNPETMLKAKKELRETIGSCKLIEESDIDRLPYLQAVIKESLRLHPPAPLLLPRKARVDVQIAVYTIPKGAQIRINEWAIGRTNIWDDADLFSPERFLGSEIDIKGRHFNLTPFGSGRRICPGSPLAIRMMHSMLGSLINSFNWKLENDMEPKKMNLDKSLRAIPVELIKQSILSIINLINVSSCMC >RHN81541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46852126:46853649:1 gene:gene5602 transcript:rna5602 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLSDSWANMGQKEDFVNSDSNQQFQLVVPKKKKKKLKQHFETSKGFKVGASSRSPR >RHN45968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27388606:27389775:1 gene:gene40398 transcript:rna40398 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKRERGVLKFVHPGRHIEIHREPIIASEVLRRNPRHSITRPDVFEFPWIVVKPESILVPGSVFLIVPNHTIYNLVKAKGQSNNDSHFHQQHGARPNWFGVNHVKPNMTQVSARWPQSNCSMGQDLISSEADYVNPNMSQVSARWAQPTCSMSQDPMFCEADYMNPNMTQVSCSMGKDPISSEVDYVNPNMTQVSACWAQPTCSMDQDPMSIKADYVNPPNMTHVSTRWIQPTCSMDQDSISAEADYVNSNKIQASTRFSKLNKQYSPNNRVECSKLKYDDWEENDEVCTKVNGYEDTSKKSMECESLDVLNLEFTPYQAVHYYQNHYKGGIDLEKGKNDVNLKFVANEQVGKLKSCLRRPNSIRKSLNLKVSFNISMKKGEYTHGV >RHN70449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51489140:51491891:-1 gene:gene19028 transcript:rna19028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain, type 1 protein MQASTGARIQVIPLHPPPGDTSTERTLKIDGTPDQIESAKQLVNQILTGENRLRNSGNSGGYTQQGYQSRPPSSWAPPAAPVQQAGYGYGQPGSYSGPSPQYNTPQPPYTGYPPQQPGGYSANWDQSTAPSHQQSTHASGYDYYNQQPQQQQNPGGPSQPADGSAYSYSQPPSSGYSQPGQGYGQESYGAYNAQQQSGYGQPQTYDQQQGYGSAPSYGSGSNPTQEGHTSNYGSQADTSQTSQPTTVAQQGYATNQQGTPQPGYAVAPTSQATYGSQPQSGYGTGYGAPPSQKPSANPPVYGQSQSPSTAAGYGQSAYPSSQAPPSGYAQPELGTQRAPVQPGYGPQSYGAPQGGQPSYGQTPASYGNSSYGAGYAQAPAYASDGATAQAVPQGGVAKVSPKS >RHN39006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3482976:3484231:1 gene:gene44990 transcript:rna44990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MLYIGTEPRTKDFSKAIYTIDIAQNDIGFGLQKSSEEQVRRSIPDILSQFSQAVQPIKPTLGSITDSQRTGKSVFDSQYRSYWMLTL >RHN43507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46383384:46387053:-1 gene:gene50099 transcript:rna50099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amylase MAISSQSFSPSFISTPNDLTRLPSTFTHFRKTQTRFAVSSRLNSSKSSDAGGSISPENGGEVPYELHHDLSPQRRRLGSPVFVTLPVKSVGLEGKIWRPKAMMLSLKALAAAGVEGVVVEIWWGVVERNQPRVYDWRGYRELVMMACMCGLKVRAVLAFHQHGTDGDDPNGIPLPLWVLDEIQKDPDLAFSDRFGRRNIEYISLGCDILPVLRGRSPIQAYADFMRDFRDTFRPYLGFIITGVQIGMGPGGELRYPSLSSQKLNMAWSRELGEFQCYDKYMLASLNASARNMGKREWGNGGPFGTGSLTKNPERSEFFRNEGGSWNMPYGKFFLEWYSDMLLLHGERICREAETIFRGTEVHISAKLAAIHWHYVTQSHPSELTAGYYNTFNRDGYLPILRIFSKYGFSMCCSCFEMQDVVMNKINPDCSPEGFLRQLLLAARLCDISLEGQNFSTDLDDDGFTQVLKMSKFYSDGIERRPFSFNFVRMDKNMFEPRNWDRFTRFVRRMSAGNMFRARLNSVGNLQLKTTVAAEVGLLYQLYQYS >RHN39622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8798952:8803006:1 gene:gene45662 transcript:rna45662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase Group-Pl-3 family MDLVGPTMTRLVGDYILGPRIGSGSFAVVWRSRHRNSGLEVAIKEIDKTQLSSKVRDNLIKEISILSTIHHPNIIQLFEAIQTNDRIYLVLEYCGGGDLSAYIQRYGRVSESVARHFMRQLAAGLQVLQEKNLIHRDLKPQNLLLATTSATPLMKIGDFGFARSLTPLQLADTLCGSPYYMAPEIIQSQKYDAKADLWSVGAILYQLVVGKPPFDGNSQLQLFQNILASTELYFPPTILKELHPDCVDLCRSLLRRDPVRAEVEK >RHN53630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2931407:2932330:1 gene:gene28557 transcript:rna28557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MVQLSTLTTEKRIDQILWSLTNSDCLSKNNYSYQMIEQPGTIYLRNLIDIHKKNLLNYEFNTSCLAERRIFLAHYQTITYSETPCGANRLDFPSHGKPFLLRLALSPPRGILVIGLIGTGRSYLVKYLATNSYVPFITVSLNKFMDNYHKCFDINDLFDDDDDDNDLFYAREGTIYSLLPRNEDSGYNLDRHDSDYLDRNYDSHLEFLSMDYPIPEDIIPETDRFFLTLQFELAKAMSPCIIWIPNIHDLDGNESNDLSLGLLVNSLSRDSEKSSTRNILVIASTHIPQKVDPALIASNKLNTCIKI >RHN45814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25881526:25882971:1 gene:gene40227 transcript:rna40227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MGFMSLGAGHSSLRPPSCPTLSDCIKPTGVQLSILYLGLGFFAIGSGCLRPCNIAFGADQFDTKTAKGKAQLESFCNWWYFLFTVALLIALTGVVYIQTNVSWFIGFIIPTGCFTVSLTIFLLGQCTYIKLKPKGSVLSDLVKVIVASIRKHHIDIKKDSELSFYDPQLSSNESEDSRNVKLAHTNRFRYLDKAAVITNQNEIDSNGNSIDNWRLCSLQQVEELKAILSTLPIWLAGIGCFISMGQANSYGILQGLQIDRSIGTKFIIPPAWMGLVPMIFLSSWIVLYEKIYIPFTKTATSNGKRLSIGQRITIGIIFSIVGMVVSGLIEVRRRDNALKHGTFQSPTRIWWLIPQFGLSGLVEAFAAIPMMELLTSYWPDSMKTLGGAVFFLSLSIASWLSNLLIKIIVALTKENGGPQWLGGNDLNKNRLEHYYYTIAAFGVLNLLYFVFFARRFLSSDVLQRQTRSEARDSDLSTFSEL >RHN55100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15160915:15161178:1 gene:gene30228 transcript:rna30228 gene_biotype:protein_coding transcript_biotype:protein_coding MFHIYKQVRHILNNHRKLREVIDLEMTRNSYSIQSIFIFANLASRCVRTERNEWHSMVDCVKDIQMTIYASSKGGLGMAMNCLRLIK >RHN58945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6116572:6118280:-1 gene:gene20906 transcript:rna20906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1 component, dimerization MYKGNVARLNGSAFGLPLENLWHDAGGTWKTDGLEYRKKVSSSKEKKSGEDVSKAAVAAVSSEEKEVSKENELEKWDAEFIKVDNVTLFELVLAANYLDIKNLLELTCKTVGEIMHGKTSEQIRQTYKIVNDFSPEEEEEIRKENQWAFE >RHN41771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32806375:32808337:1 gene:gene48129 transcript:rna48129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 1, Glycosyltransferase subfamily 4-like protein MHTSLEHQEEMALNNKSKKPNSPSNFYFFTTLLFIVLFTIPALFLLHTPTTSSICTTFTSHQSKTWSGDLTLAEFAWNKLSFFQQKKPLSPLKIAVFSRKWPIGTTPGGMERHAYTLHTALAQRGHKVHIFTSPQDEKTSIKTQQGYPFIHFHEGESDKWRYNKALEQYQEENKKEPFDVIHSESVALPHYVARNLSNLVVSWHGIALESLQSSIFQDLARRPDEPISPLFNNSLQGIVPKVLNEIRFFKSYAHHVAISDSCGEMLRDFYQIPTRRVHVIVNGVDEDEFGEDAELGRKFRTKIGIPSNASLVLGVAGRLVKDKGHPLLHEAYSKLITKHPNVYLIIAGSGPWGNRYKDLGNQVLVLGSMSPSMLKAFYNAIDIFVNPTLRPQGLDLTLMEAMMSGKPLLASRFPSIKGTLLVDDEYGYMFSPNVESLLEALQAVVKDGPQRLARRGKACREYAASMFTAKKMALAYERLFLCIKDSTFCIYP >RHN80208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36119089:36120268:-1 gene:gene4107 transcript:rna4107 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASVFSMKGSSKVRSLGRYSSKQVRQQRARLYIIWRCTVLLLCWND >RHN60302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24932053:24932838:1 gene:gene22594 transcript:rna22594 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTIFNYRCSLIYLTSNCSFSGSTSLTWGTGCCPGLQDFTHY >RHN46616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33292423:33292930:1 gene:gene41127 transcript:rna41127 gene_biotype:protein_coding transcript_biotype:protein_coding MRENEGKKCYRRRETEEKSEPPLLSAARARYAPVVPLLLENPVRIWCWCDDSRWF >RHN81806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49026090:49026668:1 gene:gene5896 transcript:rna5896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MDNQFTFMRTLPSPFLIHLHRTTNPNFIRRFNWSFVSISKPMFNLYSSSFTSSQSAAEEDHNDGNNDTNGTSSFRNFKLNQSTFLASLMPKTEIGVDRFLHSYPHYDGRGVLIAIFGTFFP >RHN74503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34020302:34026948:-1 gene:gene10588 transcript:rna10588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase-like ATPase domain-containing protein MATPKEHVEEIRRTKFSIGGNPNPLTEDLHHAVKNLSAELYAKDVHFLMELIQNAEDNHYNEGVEPTLEFVITSDDITATGAPATLLIFNNEKGFSPKNIESICSVGRSTKKGNRSSGYIGEKGIGFKSVFLVSAQPYIFSNGYQIRFNEMPCPHCSLGYIVPEWVEEKPTLVDIKQIYDGAGKALPTTTIVLPLKPDKVNPVKQQLSSVHPEVLLFLTKIRHLSVREVNENPGQSMVTAVSISSEINFVTRKNMNAESYTLYLSAEENSNNEKECSYYMWKQKFPVRSESVVERRTDVEEWVVTLALPNQERLHRGKSSPGVYAFLPTEMVTNFPFIIQADFVLASSRETILLDNKWNQGILECVPIAFMDAFKTLVVGSDQAPVSSLARMFKFLPIESSPFEKFNYVRDKIKEKLVNENIVPIETYKEQKHFYKPGEVSRLLPEFWNILSKARGEGVYLLNLSSHDGRKILSSSFDKSEYDNVLNFLGVKSVTVDWYAKCIQSSNLVDGVSEDLYLQLLLFIAKNWSSIFEGTDIINIPLIKYVASDGTLSSFSFLECTQHYAGAKRVVITDSSQSNACSWLVNWNKEFACAGNRFFMPESTQKAILRLAQKQTLMEWLANDVYVTNLSQTCHCICSLFIPLFVNDTPLTKENAFLLLDWIRNLKSRGVNLPERFLKCIKDGSWLKVTVIGYRPPSKSFLIRSPLGKFLQSGSVLVDIPLIDESFYGDRINKYEEELKTVGVMSSCEEACSFIGRELMSRASSVTLSKNHVLLMLNFIQYLRKSLLPLDKFVNSIRDGPWLKTSLGLRSPVGSVLHDSGWQVASQISNIPFIDQSYFGEEIYDYKEELKLLGVIVGISGNYQIVIEHLKSPSYLASLTAEAVLLLMKCIKFMDDPSEVLSSLKGTSCLKTNMGFKIPSECFLYDQVWGCIFDVFNGLPVIDHKFYGDNIFSYKNELKQIGVVVDFEDAIKKFASLFKQKASQTSFTQENVMSFLSCCRQLEGTVYRFPSDFSSIIHNQKWLYTKVGCYACPRECILYGPEWKFISSITCLPFIDDSVNFYGMAIHGYKDELKSTGVVTEYKHGVRFVPECLNFPSDPTTITPESVFSLLECIRKHKLSIDDEFRKRLSRNWLKTHAGYRPPEMCLLFNSKWSSFFNQTDGPFVDANFYGPTIASFQKEFNAIGVTTDLEKGCSLLAGHLEILSNSETIVKIYRYLSEYNWKPEDKAAKKIWIPNRAEGGQWVRSEECIIHDPDKLFGLKFYVLEDIYDRKLFPFFTFAMDVRAKPSLDDYVDLWNEWESSLEELSYDKCWKFWMFILKHLGTNTEKKLSERLSKLPVTTGSKEIFLLDKKDVFIPDNLHLKKLFEQEKIFVWYPQQDFGPSSISKLYDIYRKIGARNISESLCKEESSLRNDGVEMVQVDHNDILFNLKGLIKLILGFLACSSLKMEPDKRHEAVQGLLNMSFLEAKVPVTVSYSLLLSSGDIVTKKDDKMVRWERQSSKFFIQKLDEPQRNALKYATYVSETISEGVLSENHDFVPALSELITLGFVLKFKNEDIEFLMESNNLQIFCEDEKLLSSAFPSY >RHN73208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14387224:14388856:-1 gene:gene8999 transcript:rna8999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MSLSHYTSIHACSLCSPTQCISSQPKYIFGIFSSFLIKPPTFTLCFQLFNSTPTSLSLMDAKPKPSSEPLKYQTWFLKVSIHCEGCRKKVKKVLKRIDGVFTATIDSQQQKVTVTGNVGVETLLRKLVKAGKYAEIWPENLDGKGKSSGKEKKKKKDQNEPTEVQSLQNKGTESVTKCENEGKNKNKKSKTDAGELPEKSPAGNHVPPVSGGGGSDNNKKKKKKKKKDECGGNGNGSDGLTTVAKSGPAHSGFQFQNLGQTMAHQVNLSPTRQQSILYPSETGYYPSMVYMSSAYNRLYPTGRVGDPSYYVPSSPYTCPTSFVDQYGSYQVQSGPLVPFELFSDENASGCSIM >RHN53314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:896361:897844:-1 gene:gene28207 transcript:rna28207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylmalonyl-CoA epimerase MAAAEGVSLNHIARESTDVKRLSKFYQEMFGFEEVETPDFGELKIIWLRLPSSSLLIHLIQHSNGELAPSSSIPVKDPSHIRLGHHLCFSISNLHSFHNTLKDKGIETFETTNGNIKRVFFYDPDGNELEVFASIEDSS >RHN48642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49133131:49133621:1 gene:gene43381 transcript:rna43381 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVYQRLKIFWSSKPTARCKEISNMLPKASIVRMFLDSHNLDSIIAKLFNARQYIISKIGICVDFWLLHHMVQSIINNRNNTASK >RHN63892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54832694:54833781:1 gene:gene26647 transcript:rna26647 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLHKTSLTIRSQTIIHSFNFMALPQQQIVNYPTFKLVLVGDGGTGQGRLLVYE >RHN79041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21807046:21812306:1 gene:gene2733 transcript:rna2733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoesterase metallo-dependent phosphatase METCGSSWLWKRFLLSSLFLFVFSTIGSSSHQTSSAIRFKLAQAADAERQVMMRAGAPFKVALFADLHFGEDAWTDWGPLQDVNSINVMNTVLHHETPDFVIYLGDVITANNIMIENASLYWDQATSPARNRGIPWASVFGNHDDAPFQWPLDWFSAPGIPPIHCPQTSASCSGEDECSFRGTGRLELMKNEIKYNASFSSYGPRKLWPSVSNYVLQVSSPDDPQSPVAFLYFLDSGGGSYPEVISSGQAEWFLKKSEEINADSRVPEIIFWHIPSKAYKAVAPKFGIRKPCVGSINKEKVAAQEGEMGIMDLLVKRTSVKAVFVGHDHGLDWCCPYKKLWLCYARHTGYGGYGNWPRGARILEITHNPFSLRSWIRMEDGNVHSEVVLS >RHN65758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5068494:5068930:-1 gene:gene13645 transcript:rna13645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative repetitive proline-rich cell wall protein MAKSLFLSLLVVFLAALMLVPLGLADAEYSKPQTYKPPIHKPPIYKPPYDKKSVTSCTKNPNRPCYPPPIHF >RHN39280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5532212:5538816:-1 gene:gene45290 transcript:rna45290 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHSSLKITNDDSKEILSGISYKIGISTMINSFTVRKAGPEESIFI >RHN62824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46063993:46068066:-1 gene:gene25440 transcript:rna25440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fatty acid hydroxylase MVANKFVVDLNKPLVCQVGHLGEDYDKWVHQPIMGKEGPRFFHSNILEVFTRTAWWVIPIIWIPIASCFIYSSFRLGLPVPHLPLFVLLGIFVWTLTEYLLHRFLFHVQTKSYWGNTMHYLLHGCHHKHPMDSLRLVFPPAGAALIAYMIWSSVKLVFPPSIAPAVFGGILLGYVMYDCTHYYLHHGQPKSDVPKNLKKYHLNHHYRVQSLGFGITSPLWDKVFGTVPPPSKVDAKRR >RHN40573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17764078:17764440:1 gene:gene46736 transcript:rna46736 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKSSSFDCSCLCYRFGKNMKRTGLGSCYIQAFVYFLLAICEMIPPPIESIKLTENYTL >RHN67472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27506544:27508492:1 gene:gene15665 transcript:rna15665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MASSSMEVDNVLSEQKVLPPKPKFEPLKPHEMPGAAVQFRKVSVPPHRYTPLKKVWMDIYTPVFEQMKIDIRMNLKGRKVELKTRHDTPDISNLQKCADFVHAFMLGFDVIDAIAILRLDELYVESFEIKDVKTLRGDHLSRAIGRLSGKGGKTKFTIENASKTRIVIADTKIHILGSFANIKIARDSLCYLIMGSPAGKVYSKLRAVTSRMAERF >RHN71542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:883331:900025:1 gene:gene7154 transcript:rna7154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein MFEGLVHQLLLGYLGRYFKDIQKQQLKIRLEEVLLENVELILEAFDYLQLPFALNQGRVGKLSIKIPWKKPWDPIIIILEDVFISASKRDDHEWNADAVERREFAGKKAKLAAAELGKLSRRVSGGLAGQSFISHVTAKILDSIQVDIRNFHVLYSDAQNDLGNIMFGLKFSNLTMKQNLVGASVAQVKKIVEVKGLEMYSSLFHSSTMDLVIMNTMGNSYSASTIGSEGKQYNSILAPFDVTLILSANRSQKLDDNAAQYSVTAELSGLVISLDEVQLQQMFLVWDYVCTCRLREKYGRFRPWHCPLPTKQEGWQILWWHYAQEAVLSDVRKKLKKTSWKYFGDRLSYRRKYMNLYKIKLDFLQQEQPVDDDVLQDLEQMEKETDLDDILNYRSAAEFEMQEYLSRYSTPSNGRIVSDISTEKSGNDEHNVKSRGWLNWLSRGMLGAGGTDDSSQFSGVVSYDVKDISEATEFHPLVSSSVDVATKHELCIFESNIEIHQISVTLFSKKHCKGIAEIIFEGGVVESKLYKEHGIIISKFNSGKLVEPNSKETFVQIRQPNIETNVLNFVDHSCYFQVNFSSNRDVGNSVKVSSLCFNVIPS >RHN42782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40947535:40957841:-1 gene:gene49269 transcript:rna49269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RKF3 family METIFVVLVIFVIATNSISAAPSLFTKNSSCPVDMNYVLRVPWNTSTCLNFQPLQSQNKAQTTLCCQTLLSLFGIALAKNLKKNSLFQLPNLPTSTSCLQDFQTKLSSLSLNNDLVSSCFDPLQFVITPNICARVQSKQDWISRVGPAPLFNTSCKPDLSVSTNCDACVNQGLKVQEKLNQIDGNSSHSKDCFYFTIIYIVGVVNEFGPESNGAMSCILELPLNSQVGSRKKSYHALVFGLIGASIGFIVIMFSLFAYYFWHTRRIKRVSTENFLDLADPSEQRSGPRLRPKTGSIWFKFDDLVKATDNFSLQNYIGRGGFGIVYKGILPDDTTVAVKCMEESDFQGDDEFYTEVEIIGNLKHRNLVPLRGCCVVDDDHNQEHKNRYLVYDYMPNGNLEDHIFPSLDNENEQKLLTWPQRKNIILDVASGLVYLHFGVKPAIYHRDIKATNILLGADMRARVADFGLAKESSESRSQLNTRVAGTYGYLAPEYALYGQLTGKSDVYSFGVVVLEIMCGRKALEVSSSGTPNFLITDWVWSLMKSGNLAEAFDASILVDDGKSNSRNIMERFLLVGILSSHVIADSRPTILDALKMLEGDIEVQPIPDRPMTLEHHMFTNGDSVKQRISLFFLFLCVYSIKLTPPHGISTTTTCKNRNKTYLTFVSITAFLSFQTMKSSLVLFLVFLILVTTDASNSTTCPVSMKFVSTVPWNKSLCLNFQPLTSKTETQETLCCKTLLSLFGIALAENLNKNSLFNFHNITTSTSCLHNYQTSLTSISLPNNLVSSCFDPSQFVATPDTCAQIQTKQDWLNKVDSTDLTVLDNVCEPDLTDSDHCHVCKNQGFKVQQMLTKFDGNSSHSQDCFYFTILYIAGIVNKLGPESTGVLACILILLVPHEYSEKEHHHRALVIGLVVASLVFVSFLLGLLYFWYVWWVKKKNNENLLPHNGGSQEPSFSLRVRPKSGLIWFNFKDLVKVTDNFSAQNFIGRGGSGSVYKGVLPDETIVAVKRIEESDYQGDVEFYREVGIVSSLKHRNLVPLRGCCVVGEDENPEYFGKYLVYDYMPNGSLKDHLFPEMDNQNEKQSLTWSQRKNIILDVANALVYLHFGVKPPVYHRDIKATNILLDAGMRARVADFGLAKQDYSQNMSQPNTRVAGTRGYLAPEYALYGQLTEKSDVYSFGVVVLEIMCGKKALQLSSSGEPNFLITDWVWSLMKSGNTMKALDASILLDGNSTRSIMERFLMVGILCCHVVVALRPTILDALKMLEGDIEVPSIPDKPTTVGNRMFANGDSTYGLLKV >RHN48700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49560499:49560825:1 gene:gene43443 transcript:rna43443 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVEVEMVEDSFEGLEVADDSFEDHIMEIAEDSMEGVEGEIVEDSLEVVAFEELGDEVQSNNIEDCPFPHFDLNKFPEDDYENDSYEEKEKKQLLMTFCRIYLDRFY >RHN52213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32750400:32752093:1 gene:gene36860 transcript:rna36860 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVATLLLVLNFCMYVIVIGIGAWAMNRAIDHGFIIGPELNLPAHFSPIFFPMGNASTGFFVTISLLAGVIGAASTISGVKHICSWTAESLPSAASIATLAWTLTLLAMGFAWKEIELQIRNARLKTMEAFIIILSVTQLLYIVAIHGAAAYNIYI >RHN77529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7457653:7463386:1 gene:gene993 transcript:rna993 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TIF3C1 MTSRFFYQGGDQSDTDDEPTDIDDEPSDTEPAPTDPNGKSKYLAGGNADDSDDDDGQKRVVKSAKDKRFDEMASTVDQIKNAIKINDWVSLQESFDKINKQLEKVMRVIESQKIPNLYIKALVMLEDFLAQASANKDAKKKMSPSNAKAFNSMKQKLKKNNKQYEDLIIKCRESPESEGEKDEDDEDSDEYESDDEMIEPDQLRKPEPVSDSETSELGNDRPGDDGDAPWDQKLSKKDRLLEKMFMKKPSEITWDTVNKKFKEILEARGRKGTGRFEQVEQLTFLTKVAKTPAQKLQILFSVVSAQFDVNPGLSGHMPISVWKKCVQNMLVILDILVQHPNIKVDDSVELDENETKKGDDYNGPINVWGNLVAFLEKIDAEFFKSLQCIDPHTREYVERLRDEPQFVVLAQNVQEYLESIGDFKASSKVALKRVELIYYKPHEVYEATRKLAEMTVEGDNGEMSEEPKGFEDTRIPAPFVVTLELVARKPTFPENSRTLMDVLVSLIYKYGDERTKARAMLCDIYHHALLDEFAVARDLLLMSHLQENVHHMDISTQILFNRAMSQLGLCAFRAGLVSEAHGCLSELYSGGRVKELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINLELLESVHLTSAMLLEVPNMAANVHDAKRKIISKNFRRLLEVSEKQTFTGPPETVRDHVMAATRVLINGDFQKAFDIIASLDVWKFVKNRDAVLEMLKDKIKEEALRTYLFTFSSSYDSLSVVQLTNFFDLSLPRVHSIVSRMMVNEELHASWDQPTGCIIFRNVEHSRVQALAFQLTEKLSILAESNERATEARLGGGGLDLPPRRRDGQDYAAAAAGGGSGTSSGGRWQDLSYSQTRQGSGRTGYGGGRALSFSQAGGSGGYSRGRGTGGGGYQNSGRTQGGSALRGPHGDTSTRMVSLRGVRA >RHN43451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45983425:45987831:1 gene:gene50031 transcript:rna50031 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSALNLPVLSLCKSPKFIKPSTTFLQRDFHLISIRTIRESNSLRKRAVIVCGLPLGVDPWAPSIDSQSIASQLFAFSLFPYIGFLYFITKSKTSPNLTLFGFYFLLAFVGATIPAGIYAKVHYGTSLSNVDWLHGGAESLLTLTNLFIVLGLREGIRKAENSEKNTESKEEKKPGMKICLRIRVTFRVMRRTKKNHL >RHN53536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2354194:2355715:1 gene:gene28452 transcript:rna28452 gene_biotype:protein_coding transcript_biotype:protein_coding MENPDPPIEKQNTYAPQHVAFHLANATNNEGLIDESSSLSKSLIVGSMNECKMRVLSFIWNERVVKGHVVNLVPRFRTRMIMAESPSGGTVAWHYGNIDESDFKGAEEYLPTLSNTPFADLLADQYSSQMEREGYVKEDDRIQLRPNLVNLPLEQYGGPIPEGPWALQMSQEFLSGVLIENPQALHMSEILSGVSVENPRALQMSQSFFSGVSMAQRPHRLDSQQQAVQKKHQLQQNQHSLLQQPNPPFQRSLLSANQLSHLKRVGQNSNMPLGNR >RHN75745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44723702:44724082:1 gene:gene11987 transcript:rna11987 gene_biotype:protein_coding transcript_biotype:protein_coding MQELALPLPDQVDEAINYIKRLETNIKLAKEKKESLMGNKRSRGDCSSSSGAKGIIKLPKIEIHEMGPTLQIIVTCGVDEHFIFCEIMRILHEENVEVISSNTSLTGHSLLHTVHAQVYIYIHTWF >RHN68137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33155921:33157568:1 gene:gene16439 transcript:rna16439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MASSSFPEKELKRDSPNWLELPRDITINILQGVDTVEIVTNACLVCPLSWNICKDPLMWRTIHMITHYNSPKLLREDLPVFQRSCGQLEDIDIHCFANDDLLKFIAENASHLRCMWLADCRGISDKGFIEVAKKFPLLEKHNISFSESLSKDSFEVIGRSCPVLKSLTYSRCFYSICDDEAIAVGKTMTKLRHIKIYENLLTNDGLLAILDGSPLLESLDLSGCLNFDLSEHLVKWCHEKIKDLRFPFNYIDYYFYDDGSYLDNEENYHVEDC >RHN38491.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:52806:53135:-1 gene:gene50596 transcript:rna50596 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVPGSSSNMQQQQEALAYEIKGRTMSLEEWELKIQSESPVDFNSLAAHNCDIGSFYEAQGLGSYFNFLNGPTYQTLVRHFWVRASIYDRDAAKVEEDEKVLLNP >RHN46648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33702437:33703841:-1 gene:gene41166 transcript:rna41166 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSKSEQPQKTILQIKQDDKFFCRLLTKESSISNPSFRVAVTVPFVWESQPGTPKYTFSEKNLPPLTPPPSYYSCKKTPIKKNSRSNIFLALFPKLNLKKNIMSSSSSSPSNSPSSPYYSSASCSSSDSSSSSSKVVTRRRRFLSYGSSIDFTREEDEDATSPTSTLCFGLSRSTSTKAHSGFLGFSKR >RHN53827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4506566:4516598:1 gene:gene28779 transcript:rna28779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase La MADSVELPSRLAILPFRNKVLLPGAIIRIRCTSPTSVKLVEQELWQREEKGMIGILPVRDTAASAETKQLGSTVSDSIDQTSKVHGGSSDSNAKVQNDVVHWHNRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFNVQELNTRGTYHTARISSLEMTKTEMEQVEHDPDFIMLSRQFKATAMELISVLEQKQSTGGRTKVLLETVPVHKLADIFVASFEISFEEQLSMLDSVDAKVRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMKAIKEELGDNDDEEDDLAALERKMQSAGMPQNVWKLSLRELRRLKKMQPQQPGYSSSRAYLELLADLPWQKASKELELDLRAAQERLDNDHYGLEKVKQRIIEYLAVRKVLKPDARGPVLCFVGPPGVGKTSLASSIAAALDRKFVRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKKVAVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRAQPIPPPLLDRMEVIELPGYTPEEKLKIAMKHLIPRVLDQHGLSSEFLQIPKAMVQLVIQRYTREAGVRSLERSLAALARAAAVRVAEQEQVVPLSKGVEGLTTPLLENRLVDSAEVEMEVIPMGVNNRDISNTFRITSPLVVDEAMVEKVLGPPKFDGRETAERVVTPGISVGLVWTSVGGEVQFVEASTMVGKGELHLTGQLGDVIKESAQIALTWVRARATDLKLAAAESISLLEGRDIHIHFPAGAVPKDGPSAGVTLVTALVSLFSQRKVRSDTAMTGEMTLRGLVLPVGGIKDKILAAHRYGIKRVILPERNLKDLVEVPPSVLANLEILLAKRMEDVLEHAFDSGCPWRQHSKL >RHN41668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31935849:31942705:-1 gene:gene48013 transcript:rna48013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-binding, CRM domain-containing protein MVLVALSSPLPGRGNLFSSLPPNNPSSSSSSATPRPPIPIPKYPPPRKSHPPPPPNPNPALKHHRNSKYYKPITHPTTIPSSDDRSVIIGESGVSYLLPGAPFEFQFSYSETPKVKPIAIREPAFLPFEPPTMPRPWTGKAPLKKSKKNIPLFDSFNPPPEGMKGVKKVEMPGPFPVGKFPEEGVSREEILGEPLKKWEVQMLVKPMMADNRQVNLGRDGLTHNMLELIHSHWKRRRVCKIRCKGVPTVDMDNVCRHIEEKAGGKIIHRAGGVVYLFRGRNYNYRTRPQYPVMLWKPAAPVYPKLIQDAPEGLTKAEADELRMKGKSLLPICKLAKNGVYTTLVKDVRDAFEGSHLVKIDCKGLDPSDYKKLGAKLKDLVPCVLLSFDDEQVLIWRGKGWKSMYPQAPVFPPAEATITRDLENKGEVDDNQSKHISEMVSISPKMLSLWRSAIESNKALLLEEFNIGPDALLEKVEEFEGISHAIEHSYPAFTSSSDDAFERSNVYLQDGTENNYSSDGVDDVDDYDNNSDDEDDYDYYNDEDDEFNDMVDTSAEPGSLPVDLLVDKLKLRQKE >RHN73749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19576216:19576845:1 gene:gene9610 transcript:rna9610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MSCPHAAGVVGYVKSFHPDWSPAAIKSAIMTTTTPVKGTYDDLVGEFAYGSGNINPKQAIEPGLVYDITKQDYVQMLCNYGYSAEKIKQISGDNSSCHGTSERSLVKDINYPAIVVPILKHLHVKVHRTVTNVGFPNSTYKATLIHRNPEIMISVEREVLSFKSLNEKQSFVVNVVGGEKLNQTLFSSSLVWSDGTHNVKSPIIVHISL >RHN40610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18167549:18168005:1 gene:gene46780 transcript:rna46780 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWRQRNARTITTMHLGEQVRNSCKKKRSFYCSCTFHCSHTLLVQDKLKLLMLKVEVEADKLKVNIQKMGQWQFCNSYQQQWCLHKNEQLQILKAESWL >RHN49173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53031404:53034731:-1 gene:gene43976 transcript:rna43976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CALMODULIN-BINDING PROTEIN60 MASKRASHVGSTSSYDKDLHVPSTSTKRIKHGNTEEQQKEIQHLLFPTTSAARNTFAFYFEPLLRKVMQEEIQHKLHHYLIPSCGLTLNELANTYERRRRLQLCFMNKMPETIFTMSEIKAEGDEPLQIELRDAGNQQRIVREEGSSMKIQLCVLYGDFEKEDWTAEEFNTQIVPPRVGKGQLLKGNKIITLRNGVADINIEIEFTDISKRRTGQFRLGVKIVQSNSIGACIREGRSEPFKVLDVRGKAYEKRDRPSLNDKVWRLRGIRRDGTLDKQLALDGIHTIKDFLQLLITNEDSLRNKIDKTGGKLWKKIIAHAKHCDVDDDGCYIYYVTEQPISSLVFNSILKVVEVTFHNQQNSRSIQSLNPQEKRLVEEVKQHAYKNLNDLKPLPIDTTIHGLVETSSSVQTAQYGGQDQARQPFDFPIAQQGLLSAYSTSFDLPFPCQPEMWPTTSISQSGLVGSEFCDQMAALGEMSSPYFSGEEVSRVGLFGSYTLEQVNANPLSFVYDDGAGSSNHYSSTNFVVQTSSKLKLKKYWQKIRSTLKWFMLYVARKRAKLFYDLY >RHN42235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36570943:36573958:1 gene:gene48651 transcript:rna48651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoserine phosphatase MPESFITDSLSSYPHPDYAEIVVVRHGQTIWNAAKKVQGHLDVELNEVGREQARAVADKLSRGPKISAIYSSDLQRAFETAQIIASKCGGLEVVKDFDLRERHKGDLQGLPHHEIEKTNPISYKAMMSDNEDEEIPGGGESITQLLERCKSAFLRIGKKYKGERVVVVSHGASIEILYKWACVNGYEGKIHNASISIFHLYDDEKWTLNMWANVSHLSQN >RHN65604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3693173:3693673:1 gene:gene13459 transcript:rna13459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTLCVSKSWKTLISDPAFVKLHLKRSASRNPLFTLITHHITEILGKSPYGSDDEWDLDYCIVPCFRHCLIENPSFSLSVDDYHHLNDKGYSRIVGSCNGLICLAGDSSPMGFKSTGSAYGTQPRERHLKILGIFVILGHV >RHN63019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47665992:47666987:1 gene:gene25667 transcript:rna25667 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAVNLVPTQISKPSDVLVASAEGDSVDEAEIAATQKSGDTSTLSLHNSFDILNEERELPSGEAKLADKDTCHISNDDIFGDSEIVDKIFKEGVSLTKPAHSKAHGTLENAPGKSNNMQDATSVHLDNEAPTITDKISGSAKGMNGAPDIMQAASSTPNICVASTVISSTARPEKFAGSAQVTSGKTDYKHVAGYVQDAYETHIIISPITTTDEILGPDKGRFTQHAITKNNSEACRKSEKILRKFWADDLDIDQESDNTLEPESNAERYLPEGDIEEGSLFTPFMSRRQKKSNKKHANKLNDTVVQGTSSEHIQTRSKKGVIKSNPKYM >RHN77533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7520350:7521350:-1 gene:gene998 transcript:rna998 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLLLPFFVVSLCPIIICEDEYREGVDDEDEEDEFVVEILIPVRRNLSCFCVSSFTE >RHN41134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27326683:27329003:1 gene:gene47424 transcript:rna47424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MIMVALTSWLPKLHPPPCTPHQLVIDECIKANNSQMSVLFLGLGFLTIGSAGIRPCSIPFGVDQFDPTTNEGKKGINSFFTWYYTSLTLILLFTQTAMVYIQDSVSWKLGFGIPVVCMGCAIILFFIGTRVYVHVKPEGSIFSGIVVVLVAAYKKRNVKLPSEEDSYAVFYDPPLNGTTVSSKLSLTQQFRALNKAALIVEGELNSDGSIVNKWKLASIQQVEEVKCLARTFPIWAAGILSLTSIAQQGTFTVLQALKMDRHLGPKFQIPAGSMGVISLITIALWLPLYEKYLVPTLRKMTNKKEGITLLQRIGVGMLFSILSMIVAGFVEKIRRDSAKSNPSPLEIAPMSVLWLAPQLILMGLCEAFNILGQIEFFNMQFPDHMRSIGNSLFACSFAGASYVSSILVNIIHHTTRTHDHPDWLVNDINKGKIDYFYYLIAMIGTLNFVFFIYVARRYQYKGVVNLDKPSDVELETHAK >RHN72464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7885504:7886232:1 gene:gene8177 transcript:rna8177 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSVICNRCGDHEESFLHCVRDCRFSTIIWHKIGFTSPSFFSSSSALDWLKEGVGCHRSTIFLAGLWWTWRHRNLMCLNNETWSVYRLSSTINSTIEIICRCLHNDASTSPPTRLVRWNNDNHVCTILNVDGSCIGDPIRTGFGGVI >RHN77045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3491337:3496436:1 gene:gene452 transcript:rna452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L53 MLKFLSRVKVEFNALDPRTASCMEFLAQCNSRKAKESNPACEVEVKRGNVEHPPQITVTFVNGVEEAFDATSTPVHRITRMILEKGQLLETEQMFREAGEQWPVIIPDEELSQHAPGTKPRKAEEKKQ >RHN50805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10670531:10671189:1 gene:gene35140 transcript:rna35140 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSKLQILMICFLIAFYCFFCLFSVSFSFRFFEGFGLVPPPLVIIFPPFLINFLECGGIGWRSLEVPT >RHN49047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52068034:52072795:-1 gene:gene43834 transcript:rna43834 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKS >RHN40968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25161417:25162273:-1 gene:gene47222 transcript:rna47222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynein ATPase MLEGKAVIGETDMLQTMQQDALDLACKALDFYDVTEAIDIARFIKKEFDRVHGPGWQCIVGTDFGSFVTHCSGCFIYFCIGSMAILLFKGSAGPQAEENQFSAIEATKA >RHN68859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39155607:39167778:1 gene:gene17270 transcript:rna17270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSSRGGRDRSRRDYLARYEDNKGNGGLGRGGSGSGNSSNPPSRHLWVGNLSHNIVEDELAHPFIRFGPLEKVAFQPGRSYAFINFEVDEDAIDAMRSLQGFLLAGNPLRIEFAKADKPSTVMRDEDYSRDERRPALRGSPFSQREFRGRHGSPEPHYSDKFKLSDKNPEPSEVLWVGFPAQLKVDESILGRAFAPFGEIEKISTFPGRSYAFVRYSSVASACIALDALQGKLFGNPRVHICFAKSESGSSSSGKSSFNGPRSPSYKSSGRGGSSENFRPERSFAGEQNISSPNMFSNWDSRDSGAYDFNNRVSSWAGGANTYEQRNVGEKGTPLGVSQEFYEHINSPSRERHFHQGDFPQKYPQRGAFFEDLQGLPEDAPYLHVAKKLKSGSSPPERELPEYAFSELERQKHVLPRLLPDFPHHEPFDKSFDAGNFPHGQTFNHPPSSPLVRFDRHEGRKPYDNFQMGPGALQSNFVEKKRFTPEPDNSSSSEWKWEGTIAKGGTPICRARCFPVGKVLDIALPEFLDCTARTSLDMLSKHYYQAVGVWVVFFVPGSDADIEFYNEFMHYLEEKKRAAVSKVDDKTTLFLVPPSDFSEKVLKVPGKLSISGVILRLEYPGLNQGPMHIERDMKNENLSSYNENILHPNSSFPSVRISTNPPSISELGNSGISNLSYLGNKFAAAPLASDSSRSAVNLHESHDERSRDYPSIQPRTSVPNWSSHNPQNLISNRTLPSHLFSGAVEPIEERRPIMNVNSNQHSSGNSGIPYGGNSMSSYPEGRNFDPSTPVGSLQPEQLAQLAASLLEQQRQLGSSMSTSSLSDPRQNRFNESEASSRQLYAAENNHASSEFSTSQFGHISHLQKQQQMANMPHLSQMVQREQQREVSGNQQMADNSLQEDGDADPQTRLQATLKLAATLLKQIHQEKGN >RHN58503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2314908:2315652:1 gene:gene20422 transcript:rna20422 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKEKAISYMRCVVLDQYTIALFVAESNLLSINACNYRSLLYGPCIRMLLHNICCFCLYFIFPI >RHN59185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8270936:8276112:-1 gene:gene21180 transcript:rna21180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MANHYEDFTHDVFLSFRGGTRYSFTDHLYRSLLRQGINVFRDDQNLKIGHEIGPSLLQAIEASRISIVVLCKEYASSTWCLDELVKIVDCYENNGKSVFVIFYKMEPSDVRYQKNSYEDAIRKHEKRFGRESEKVKAWKLALNRVCALSGLHCKDDVYESEFIEKIVRDISTKLPTVPLQIKHLVGLNTRFKQVKSIIDINSSERICMLGIYGAGGIGKTQFALHIYNKIRHQFEAASFLANVREKSNESIGGLENLQRTLLNEIGEATQVFGSSFRGSSEIKHRLSHKRVLLILDDVDSVKQLESLAGGHDWFNSGSIIIITTRDIDILHKHDVKIKPYKLEELNHHESTELFCWYAFNMSRPVENFEKISSHAISYAKGIPLALRVIGSNLKGKSIEEWDIELQKYRKVPDAEIQGVMEISYKGLSDLDQKIFLDIACFFKGERWDYAKRILDACDFYPVIRAFNSKCLITVDENGLLQMHDLIQDMGREIVRKESTSNPGERSRLWSHKDVLDVLKGNLGSTKVEGMMLHPPKQEKVDYWAYSAFKKMKNLRILIVRNTLFSSGPSYLPNNLRLLDWKCYPSKDFPLNFYPYRIVDFKLPHSSMILKKPFQIFEDLTLINLSHSQSITQVPDLSGAKNLRVFTLDKCHKLVRFDISIGFMPNMVYLSASECTELKSFVPKIYLPSLQVLSFNYCKKFEYFPQVMQKMDKPLKIHMISTAIKEFPKSILNLTGLEYIDMSICKGLKDLSSSFLLLPRLVTLKIDGCSQLGQSFQRFNERHSVANKYSNLEALHFSEANLSDEDVNAIIENFPKLAYLKVSHNGFVSLPNCIRGSMHLKSLDVSFCRNLTEVSELPLSIQKIDARHCKSLTLDASSVLWSKVSQEIQRIQVVMPMPKRDIPEWFDCVSSQEIPLLWARHKFPIVAIALVFQAVKKTDDVSKFFDDINLLIGVKGWHTVGLHLFIDGQEFCGMGCQYFIVGEDHVLLCDLRVLFSDEEWQDLDANLGDDWKAIQVQYDSDLVLINWGVYVYKQETSMDDIQFIPPNHNSFSYMASSCLVPKGSPGKQMKRVLESFNPRDMFHEHLPVFESEAGPVGSLKLLLRSLRNAKAEVVEETSSSSYGVSLKQDHEDSVEDVIQVLEMFKENISEYFADSSPEDLQIATGFLERILRARVELMKENGLDIGMPIILGYTDASGATHRRFWGIMEIKLRDPFYKPVLKRQNQLAWGLGTSELSVIIVELKCQPVGTEEASSSSLEESLEEGNYNPDLEELMRRIEQDAMSLNKSYGKMKASIVQTDESISEKYLLETLIFRRLMILGKLTMFGSVTKFKITPYGKIRAEDDPFRILRISFWVSTLVIQALIIWSFYFFVLVCLFFVLVCLYLHRIPIIRKILGWGWLLVKHIFFACKNLCRGTGEIIRRIKEKEL >RHN41999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34654983:34657870:1 gene:gene48381 transcript:rna48381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MSSSRGGAEVRASHILIKHEGSRRKASWKDPEGYVIKNTTRESAVAILTSMKEDILTGKAKFEDLASRYSDCSSAKRGGDLGSFGRGQMQKPFEDATYALQVGEISDIVDSDSGSHIIKRTN >RHN64279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57860996:57866961:1 gene:gene27081 transcript:rna27081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 peptidase MAIITHLTGKRPHHWLSVDHNRPSPNSPTFKRQKLSTTMSNNTPPPLTTNATVFRISRYPKTKPPLRREIHAPCSSRPRKFDLSTNLKLTESSNLMASDTTDELMEKYEHAKHAALATISFREKGKVKDNIKEVVVLKDSNVEDVEEFLSVVKDHKLKENGLVCLDDKVEVVNGGIQQQSTSSMVDAAKMLDNLSLSTKNDLSGVCAYKKLLEAVDKRGDTLGRLKFEIQLNEKRKSAFDLLRPKKELVEEVPREPFVPLTKEEEVEVTRAFSANQNKVLVNHEKSNIEISGKMFRCLRPGEWLNDEVINLYLELLKERERREPQKFLKCHFFNTFFYKKLINSKNVYDYKSVRRWTTQKKLGYGLHECDKIFVPIHQGTHWCLAVINKKEKKFQYLDSLKGIDTEVLEVLARYFVDEVKDKTGEDVDISSWETEFVEDLPEQMNGDDCGLFMVKYADFYSRNLRLCFNQEHMPYFRLRTAKEILRLKAD >RHN60079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:18733208:18733780:1 gene:gene22286 transcript:rna22286 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAMKEEMNTPAVPVVEEEQPCGDDRVVPPEIALAAKAADSAKG >RHN47095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37086778:37095529:-1 gene:gene41651 transcript:rna41651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger CCCH domain-containing protein ZFN MEYDAIPQEAMWQMSLRPSETMESGPYPEHPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIATARMKGEFPERLGQPECQYYLKTGTCKFGATCRFHHPKDKAGVAGRVALNILGYPLRPNESECAYYLRTGQCKFGNTCKFHHPQPSNMVLPMRGSPVYPTVQSPTTAGQQSYAAGITNWSTSSYIPSPRWQGPSSYAPLILPQGVVSVPGWSTYNGQMGSDSPQQTMRNDQTYGTSHQGDPENAGLQGVYSQYRSGSVPVGFYALQRENIFPERPDQPECQFYMKTGDCKFGAVCRFHHPRERTIPAPDCVLSPLGLPLRPGEPLCVFYSRYGICKFGPSCKFDHPMGIFTYNVSASPLAEAAGRRLLGSSSGTAALSLSSEGLVESGSVKPRRLSLSETRPNPSGDDDIDDEG >RHN51588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20446191:20448759:1 gene:gene36053 transcript:rna36053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase II, Rpb4 MKILEENAGALTNFEVLDFLRAKGASKDPSRVLAKVAMSEYKVYDYLVKTPAGSQTRESVKEYFTAIKQHDLSEAEVLNVLNIRPASEVEIYHIIEDCEERFPDEEVTEIVEKVGNTLPAPPDKATPEEITKGDEETETQKHDEISQDQTEDGEQMDTS >RHN72092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4922736:4927142:1 gene:gene7765 transcript:rna7765 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKLNINAPLMSVRRNSATSPSLTESKRKILEKRHTLASYKSEMTLDQVTEPVAVPFNWEHIPGKCKGNNGSEVLQIPPKNPSFTPIPRLPPGKSVKSATQPMVKESNVANRFTSSNKSKSFTGNMVKTDCDREREKEKETEKKIVSRRSNVKEVENDDAYSDAVESLSHLTESFSLNCSVSGVSGLDNLEAKKFGTFSTDQQTRDFMMNRFLPAAKAMTIQPSQYSSKKPSVLVEQQPRDVNKLVQNAKKPLVTDIIPYTGECQEEESEDEGDVYDNDNSDSVLGKGCGLLPNLHVRNSLPSLNSVAGMKVKNQVTLPSSACEVVKPNKRSSIRSFSPIPAVKKAWEAIHRNKSSTAAASPETQEGKKRWSSESNRFAYSGELLPGRLSPFRRSRAAAAGISPCRSRQQSPFRGTKLLGDSNEAETNKSCNLKFHSSGLGKIQGVPNQGAKRSSYSGSLAMEKTLYIDSSSTVKLSSSNLSSIDIKKRIDTMTAELDKRRGKERNSSIETSQNIKHVEALDLEEKVTLDYEILSSLGGNSTTLSGMLHHIDKEYEDEVMKNDEVINCELVSVQPVQKTFSEDSDTNNKQIVLANGSPLQPPLPKSPSESWLWRALPINSLKNSFMHSNQGTQSHPKRNDSNISSSNVKWETIVKTSNLQHGHVRYSQELQAGKSQHSKY >RHN64481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59443352:59443804:1 gene:gene27300 transcript:rna27300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MDNGDSSFLLDKSDHYYFISGKDLNCVNGEKFNLVVLSPHHHHYHEHHGPSLSPAVAPVHPPTSPSPWNAPTPDAHGTAVPTPSARDMTTLTSSGVHNGNAPAIAPASNDHGHNSPAPSPARSDSTRLTGSVGVIVMVVLVLGSFTFFHG >RHN72805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10843054:10848624:-1 gene:gene8564 transcript:rna8564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator ABTB family MANSAEPSSSLSNNTSSSHLSNGSISHNICPNGSDHARNLEVISLNKLSSNLEQLLIDSDYDYGDADIIVEGIPVRIHRCILGSRSKFFHEIFKRSKDKGLSKNEGRLKYCLSDLLPYGKVGYEAFLIFLSYVYSGKLKPSPMEVSTCVDNVCAHDACGPAINFAVELMYASSIFQIPELVSLFQRRLLNFVGKALVEDVISILMASFHCQLNQLAAQCVDRVARSDLDQISIEKELPHELSEKVKLLRRDLHQNDENDAPVVDTLSLKRITRIHKALDSDDVELVKLLLNESDITLDEAGALHYAVAHCDPKVVSEVLGLGLANVNLRNSRGYTVLHIAAMRKEPSIIVSLLTKGACASDLTFDGQSAVSICRRLTRPKDYHTKTEQGKETNKDRICIDVLEREMRRNPLATDPSVSSHTVADDLHMKLLYLENRVAFARLFFPLEAKLAMDIARAETTSEFAGLSASKGSNGNLREVDLNETPIMQNKRLISRMEALMKTVEMGRRYFPHCSEVLDKFMEDDLPDLFYLEKGTQEEQRVKRTRFVELKDDVNKAFSKDKAEFSRSGISSSSSSSSLRESVYYKARKM >RHN75494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42712749:42713934:1 gene:gene11712 transcript:rna11712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MAKPHELKPLYATLLNKCFSSKNINSLKIIHARTIRLGISHDDFIRTKLVSCYASCSKLHQANILFSFTNRKPTFLYNSLIRAYLSLNLFKETLSIFREMRLSYKPFDCHTLPLVLKSCAGLSALRLGKQVHGAVLVNGFALDLKNSNALINMYAKCGELEFARKVFDGMCERNEVTWSTMMAGYGMHGRFEEVFEMFNRMVEVGERMDNVSFTVVLTACSHGGFVEKGREIFEMMKVRFGVKPDVRHYTCMVDMLGRVGLVEEAEKLTLKMDVRPDEALVGALFGACKTHGRVDVAERVAERFYGTELSVVASSI >RHN53381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1324038:1329389:1 gene:gene28283 transcript:rna28283 gene_biotype:protein_coding transcript_biotype:protein_coding MWPAIICGLIIYKLFKCFFYDDDVLDIEASDSTVLFSVANRLQKLFGGKVFVGLRIPDADSASPQTIDLVLLTKRELLVISVKNFSGILTVQGDGSWACEKPGKHKVDRYPEPLEEVRKQASILESYLEQRGVVLPKGFLICKVILPNPKLCTIPASDFPSEVITHEQLVQLKPGTKSVLSSWVKNTFLSGKNDMQESSNQNLEFSLSTAPIWDRLELKGSKYVLGEFLEFKGKEGDVEALRHIRRSKVGQMIIQKTSMFGLAPSTLQVLYTFRDYRTEGASAPEWNEVTVKSSTEIIFQVENASKIKKFKLSSVCSMHLSA >RHN67633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28842570:28844142:1 gene:gene15840 transcript:rna15840 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESCHKSQASEEAKHEDSNENQQEQLNTNPYRVNISITNSFMNFMLDDIWSCIVVLVVFWLIASVTLVVGVHGSVNLQLGPYSSSLIEINSVLIQSIKVEQNNKPKPGLMLYGFDLPPPLDVKINWTEMYDANIPAKSQEEWIFYLNKGSQLNVFYNVKSLVAPLFLVIAKGTLFYFIFYITIYVHSSLKKCMFIL >RHN78679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17423975:17424604:1 gene:gene2316 transcript:rna2316 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSTEGWKSVQTTQQPIKLPSIAVKDLSQGERPPPKPPPPNHFSVIAPISTDLTSIRPPLKPLWFYCRLVSSTGSDFSFIVVMFDCIFLCFSCVMFFYFCSCLCFVMVAQKLFVKMPQWCWTFWTRVMCAYLKVAANNLYLFSTKQMDSKFVVSLTNFETTYSRYVLAKVKEMILNWIDKKTVDLLFSFVNDHLRKKLVWVIGFRYFQ >RHN71960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3957667:3958450:-1 gene:gene7622 transcript:rna7622 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGRRAMTQSHRRERQSAHIPKRGRGRRGDGSGSSQATQPEESQVVDPSQPVDQIGVEYLNYQDQVHHDVTDGGYD >RHN62001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39979903:39983594:1 gene:gene24521 transcript:rna24521 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPNPSENPKSDAKPSDPLRDQPPPESPEPETAAEGEEEEEEGECGFCLFMKGGGCKDTFINWEDCVKEAEDKNEDLVEKCAEVTAKLKQCMDEHSDYYEPILRAEKHAEEQVTIELEKEKQDLAAAAAENQQEAPSNQKDRELESTSISTPSKQAEKEQPAPEAPKAENKEEEGDCGFCLFIKGDSCRDTLVEWENCVKEAKEKKEDLAEKCSGVTTRLLQCMDSNSDYCKPIIKTEKRVEEQAPSHSNQIDRELEAPKAENGEEEEGECGFCVFMKGGGCRDTFVDWENCMDEAEKNKEDVVEKCSQVTDLLKQCMDSHSDYYGPILMAEKHIEEQAAIDLEKEKLDSVTSNK >RHN39642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9029861:9033896:1 gene:gene45684 transcript:rna45684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding, P-loop containing nucleoside triphosphate hydrolase MGKKGSSSWLTAVKRAFRSPTKDSEKRSGRRREDCDQEEDEEKKREKRRWIFRKPVNHETVNNTQQSTPTKLKHDVVTATSVASRTDQDEKHALAVAMATAEAARATAQAAVEVARLTKSSNHAREHYAAVVIQTSFRGYLARRALRALKGLVKLQALVRGHNVRKQAKMTLRCMQALVRVQARVLDQRIRSTSHEGSRKSTFSDTASVWDSRYLQDISDRKSLSREGSSIADDWDDRPHTVEEVKAMLQQRKEAAMKREKSLSQAFSQQIWRNGRTSSIGNEDELEERPKWLDRWMATKPWENRGRASTDQRDSIKTVEVDTSQPYSYLGANYRRSHPNYQYNPHHQPQRHSIASPLHRSHQNGSVHNQSTTTPSPAKSRPIQVRSSSPRCVREDRSYHHTSQTPSLRSNYHYNGNLYQNSRGGTSNGSTNSIASTTASATLPNYMQATESAKARIRSQSAPRQRPSTPERDRSGSVKKRLSFPAPDPYNVGGVGYGNYGHSLRSPSFKSVNVAASHYGLEQNSNYSSCCTESLGGEVSPSSTGDLRRWLR >RHN75444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42377491:42378430:1 gene:gene11655 transcript:rna11655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucoside 2''-O-glucosyltransferase MCKGINLTEFDLMIPPKGYPISSFNLYSHEAKFLALKRNFEFGSGVIFYDRLFIGLSLSDAIWFKGCREIEGSYVDYLEQEFGKPVLLSGPDGSLVYCALGSEWKLSQDQFHELLLGLELTCYPFLAILKPPVGFETVEDALPEGFKERVKEKGIVDSGWIQQQLILEHSSVGCFVTHCGAGSLTEGLINNCQMVELKAGVEVKKGKEDGLFTKESVCEAVKIVMDDENEIGREVRNNHDKLRKLLLSHDLESSCVGVFCEKLQELTRRFSN >RHN71545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:927820:928899:-1 gene:gene7157 transcript:rna7157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MNMCNIVQGLKGLKPTLLMILVKIAFACANVLYKLAVNDGMNLRIVVAYRFIFATLFIAPLAFILERKKRTKLTWTILFQSFLCGLFGGSFVQNFYLESLALTSATFASAMANLVPAVTFIMVVSLG >RHN39040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3684112:3685566:-1 gene:gene45031 transcript:rna45031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MTTSFNLYFPALHEQVSCEYKDHTDLIQFPGCLPICSQDLPPEFFHDRSSVRYSFFLLHSKNLSLAHGFLVNSFSKMEASTGRALQEEHNKTTKLVYMVGPIIQSGSNCSDESNGSICLKWLENQTPNSVLYVCFGSGGTFSQQQTNELALGLELSGQKFLWVLREPSKSKDVDYKIASIGNDDDDLLKYLPHGFLERTKEQGLVVPLWAPQTKILSHNSTGGFLTHCGWNSTLESIVSGVPMVTWPLFGDQRMNAILIVEGLKVGLKIKFNENDIAEREEIAKVVKDLMLGEERSEIRQRIEELKDEAACALAGDGSFTRALFEFGTRVENFSL >RHN76296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49222238:49222474:-1 gene:gene12597 transcript:rna12597 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQLFDFVSKNSKDIKAHVHGWRDGEEFENVMLHIIKKPNIGKGNTVDPKIISVDCKLGTISIDANKSFIEAIPAPL >RHN42425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38262115:38265920:1 gene:gene48864 transcript:rna48864 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative remorin MEYERIEKPQTGIISPSKLRMKLLGPRKKDGSNSNSSRTSPSRIEDPEFVNSLLSSNDYNLDYEVTSPSLEALSSKPSRDAVLDLRLKEAMPGENGDTGHVKMQHFHKVDTGSSSTIHPVRTTEDENLDYDSQASSSSFEFDKGERPVSNHVSRSLLRPIPSKWNDAEKWIMNRQHIQTRKNSIQSQAIRLPTSMARVIPESSNFDHKLPTSKVTETKRVDYCQPTSHSHMAFEKFSFVPSDAHSVSGQAHGKLSVVESFPQSRDLMEVNEADSSCTTSIDDQTAFPGIRSVAMRDMGTEMTPLPSQEPSQTTTPVGSATPIRSPTSSMPSTPRRGAPAPTPLGYTTDEDPQFRNENSRKQLSEEEMKIKTRREIAALGMQLGKMNIAAWASKDDEESKKAGRDADTQEQERIEFEKRAALWEEAEKSKHTARLKREEIQIQAWESQQKAKLEAEMRRTEAKVEQMRAQTHAKMVKKIAMARQRSDEKRAQAEARKNREAERTAAQAEYIRQTGKMPYSNYIMCCGWL >RHN69381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43012960:43016709:-1 gene:gene17841 transcript:rna17841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MDPFHIPAYANFSCELRIIQARNVESVKSTKNLFARLYLPTGNNKRIQLNSKSVSTKSVPFWDESFNLDCSCPQEFLENLNQQSLEVELRQRKMKMWGSQLIGKCEIPWKVILESKNMELKKWLKMDLVSGSDFKEGMFITPEVEVEIKIKVASVAEMEKQNNRRLNNWNECGCKNGHDHRAWCCAEECDIFAFGALEAF >RHN54347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8901411:8904423:-1 gene:gene29366 transcript:rna29366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate peroxidase MAKYAVDAEYVKEIEEVRRDLRSFITNKKCAPLMLRLAWHDAGTYDAKTRTGGPNGSIRNQQELNHAANKGLKTAVELCEEVKVKHPKVSYADLYQLAGVVAVEVTGGPTIQFVPGRKDSLESPQEGRLPDAKQGASHLREIFYRMGLTDKDIVALSGGHTLGKAHKDRSNFEGQWTRDPLKFDNSYFVELMKLESNDLLKLPTDKALVDDPAFRKYVELYAKDENAFFRDYATSHKKLSELGFNPSCSYRSQLAKAALGMVIASTVVVLGYLLELNKKIN >RHN77151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4461117:4461266:-1 gene:gene576 transcript:rna576 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTFPSPFMLQPLMKCLYFCGFSKLLTYGHTMLDGASIL >RHN47569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40692303:40694124:-1 gene:gene42186 transcript:rna42186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRPPCCEKVGIKKGPWTPEEDIILVSYIQEHGPGNWRTVPTNTGLSRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKLNKFHAALDPSNSTTAYLSKSFSDRSLDVSNNHTSSLKINTQNSHQSSSSTYASSTENISRLLEGWMRSSPNSQIKGNYQYGHQEFQSINDDIIDDNNNDFENNGKLLVKSASVPADLNLEIHHHHHHHKITSKGELLQDGGVSHDQEFDSILSFENLNNGAAWDKSTCDSMPEKCSASSSSSHHKNIKNLCAAPPPLSFLEKWLLDENVGHVEEMMELSPMF >RHN71022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55850206:55855310:-1 gene:gene19663 transcript:rna19663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-1-phosphate adenylyltransferase MDSAIASTYASPLSTNLSKISIEGVGRRTRNSVFLGETTKGSLSTTRFLTIQSPKSFNPKNGAEHNVLTSGINDHQDSTTFQEGPYFETPKADPKSVASIILGGGAGTRLFPLTSKRAKPAVPIGGCYRLIDIPMSNCINSGIRKIFILTQYNSFSLNRHLSRAYNFGNVSTFGEGFVEVLAATQTSGEAGKKWFQGTADAVRQFIWVFEDAKNKNVEHILILSGDHLYRMNYMDFVQKHIDTNADITVSCLPMDDSRASDYGLMKIDETGRIIQFAEKPKGSDLKAMRVDTTVLGLSPEEAKKNPYIASMGVYVFRTETLLELLKLNGSTCNDFGSEIIPSAVSGHNVQAFLFNDYWEDIGTIESFFDANLALTEHAPKFQFYDPKTPFFTSPRFLPPTKVEKCKIVDAIISHGCFLRECSVQHSIVGIRSRLESGVELQDTMMMGADYYQTESEIASLLAEGKVPVGVGENTKIRNCIIDKNAKIGRNAIITNADGVEEADRTKEGFYIRSGITVILKNATIQDGTVI >RHN65319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1180073:1186731:-1 gene:gene13144 transcript:rna13144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase MGSLDAPISAENGTAPAAGHGKSPLTDGGMRPPPSDSVPEYELVKRRRSSVLPLEVGTRVMCRWRDGKYHPVKVIERRRVHGCGPNDYEYYVHYTEFNRRLDEWVKLDQLDLESVEAVVDEKVEEKGASGLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPSEYNDCLKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDERGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILTTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKLIWTPYKEQS >RHN68766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38367197:38370870:1 gene:gene17159 transcript:rna17159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthranilate phosphoribosyltransferase MAQSIFSSTTFFPSSSFPHRRHLLPPNLRTSSPIRRITSIVNATVSPNVVTPVQSVTQLIESLINGVDLSEDEAESCVHLLVNEPDEALISAVLVLLRAKGETVEEVSGLAKAMMRHATKVEGLVDVVDIVGTGGDGANTVNISTGSSILAAACGVKVAKQGSRSSSSACGSADVLEELGVVIDLGPEGVKKCVNEVGIGFMMSPKYHPAMKIVRPVRKKLKVRTVFNILGPMLNPAQAPYAVVGVYTEDLVFKMAKALNKFGMKRALVVHSEGLDEISPLGPGLVLDVTPGGIDKFSFDPLDFGIPRCNLESLKGGDPRYNAEVLKRILGGERGPIADAFVLNAAAALMVSGFVRNLAEGVSLARETQQSGKALKTLNLWKDISNKIKDDAAI >RHN60540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27930106:27930432:1 gene:gene22861 transcript:rna22861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MRLKLPSNVQFFLWELCNYLVLVYVVLKRRSMIVSDACPIYDGTNEDFLHYLFLYSCASEVWHRCLPHFLVPSANVDLKDWLKESINKHDIIINYYLEDLVFEEYIFV >RHN51436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17761463:17766725:-1 gene:gene35869 transcript:rna35869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein METPLLIKSFTSENDYLPLKNLKDVKYVLWNETLKIWKIAIPVALSLLFQNLIGSSNFIYAGHIGDIQLSSYSVYQSVIITIYFSILYGMSNALATLCGQAYGAGKFQNAGIYLQRSWIVLFTTCILLLPILLYATPILKLLGQEKEIADLAGKYAILLIPYMFSFAVNLPLVKFLQAQSKVNVIMYIAMVTLLIQNGLLYIFITVFDWGVIGLAMASNISGWIFSIALVIYAIGWCKEGWNGLSWMAFRELWEFTKLSLGSSVMICLEQWYTACIILLAGHLDNPVIAVGSFSICLNIQGWNMMLLLGVSSAVSVRVSNTLGMSHPRAAKYSFLVAISQSLLLGIIFMTVIFLCKEKFAFIFTNSDDIIHAASELAYLLGMTMVINSISQTISGVVIGCGWQVMVGYINLACYYIVGLPIGIFLGFNQHLGVKGLWGGTMCGNILQILVLIVIIYKTNWTKEVEQTANRMRIWSSNNLQNDVI >RHN78065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11602081:11608098:1 gene:gene1580 transcript:rna1580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, CRAL/TRIO domain-containing protein MADATTDFALTKLKKSVENLGSSTQGYGDPTLMRFLIARSMDSDKAAKMFVQWQKWRATMVPNDGFISDSEVPDELETRKIFLQGLSKDKYPVMIVQASRHFPSKDQIQFKKFIVHLLDKTIASAFKGREVGNEKLIGVLDLQGISYKNVDARGLITGFQFLQSYYPECLAKCYILHMPWFFVSVWRFVSGFLDKATQEKIVIISNEEEKKLFVSEVGEDILPEEYGGRAKLVPIQEVEVKPPENGRTT >RHN53319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:925760:929219:1 gene:gene28214 transcript:rna28214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MAIEMAMTTMSHTHNRITTHPQLLTTLSSSTTLSHLKQIHAQILHSNTTPENTNTLLSKLALSICTLSSSSSSLHYALSVFSQIPNPHTHFSNQLLRHLSRSSFPEKTIFLYHNLRAINAFALDRFSFPSLLKAVSKVSAFNHGLEIHGLASKLGFVDDPFIQTGLIAMYASCRRIMDARLLFDKMCHPDAVAWNMIIDGYCQNGHYDDALRLFEDMRSSDMKPDSVILCTVLSACGHAGNLSYGRTIHEFVKDNGYAIDSHLQTALINMYANCGAMDLARKIYDGLSSKHLIVSTAMLSGYAKLGMVKDARFIFDQMIERDLVCWSAMISGYAESDQPQEALKLFDEMLQKRSVPDQITMLSVISACSHVGALAQANWIHTYVDRSGFGRALSVNNALIDMYAKCGNLVKAREVFENMPRKNVISWSSMINAFAMHGNADSAIKLFRRMKEVNIEPNGVTFIGVLYACGHAGLVEEGEKLFSSMINEHGISPTREHYGCMVDLYCRANFLRKAIELIETMPFAPNVIIWGSLMSACQVHGEAELGEFAAKRLLELEPDHDGALVVLSNIYAKEKRWNDVGLIRKSMSYKGISKEKASSRIEINNQVHMFMMADRYHKQSDEIYEKLDEVVSKLKLVGYKPSTSGILIDLEEEDKKELVLWHSEKLAVCYGLISRRNESCIRIVKNLRICEDCHSFMKLVSKVYQIEIVVRDRTRFHHCSGGICSCRDYW >RHN64346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58373447:58374595:-1 gene:gene27154 transcript:rna27154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MEKKTQPKVYLPHELITQILVRLPVKALIQLKWVSKSWFSLISDPHCANLHFQLTTSTAQTPPRILAIMEDSPHEVYSIDFESSHYYASLVNLTKSCLIPQSHNFPSVEIKGSCRGFIFFHCFSSLYLWNPSTGLHIQIPLSHFDSKLKKYHSNHLYGFGYDHSTDDYLVVSISYHPYDYNDSSHLEFFSLRNNIWKQIEIEGTHLAYMNSTLDPRSKRGVLFNGAIHCLLGGDFQNGTTEIWVMKESCWTKTLVLPPGYFYPIYTTKNNDIIGKNGFHSLVKYNDQGQQFGFHGNWDGPYQAVMYIESLLSLPDNDQV >RHN42260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36795327:36817106:-1 gene:gene48680 transcript:rna48680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MEGVEVERPLKLHMLPFLSPGHMIPLGDIAALFASHGQQVTIITTPSNAHFFTKSLSSVDPFFLRLHTIDFPSQQVDLPDGVESLSSTTGPATMAKICKGAMLLHEPIREFVEKDQPDYIIADCVYPWINDLANKPHISTIAFTGFSLFTISLIESLRINRSYFDQNSSLSSFVDPNFPHSITFCSTPPKQLIAFEERMLETIRKSKGLIVNNFAELDGEDCIKHYEKTMGYKAWHLGPACLIRKTFQDKSVRGNESVVSVHECLSWLDSKEDNSVLYICFGSISYFSDKQLYEIASGIENLGHEFVWVVPEKKGKEDESEEQKEKWLPKGFEERNILNKKGFIIKGWAPQAMILSHTVVGAFMTHCGWNSIVEAISAGIPMITWPVHGEQFYNEKLITVVQRIGVEVGATEWSLHGFQEKDKVVSRHSIEKAMRRLMDDGDEAKEIRRRAQEFGRKATQAVQEAMEGVEVERPLKLHMLPFLSPGHMIPLGDIAALFASHGQQVTIITTPSNAHFFTKSLSSVDPFFLRLHTIDFPSQQVDLSDGVESLSSTDDPATMAKICKGAMLLHEPIREFVEKDEPDYIIADCVYPWINDLTNKPHISTIAFTGYSLFTVSLIESLRINRSYPGKNSSSSSFVVPDFPHSITFCSTPPKIFIAYEERMLETIRKSKGLIINSFAELDGEDCIKYHEKTMGYKAWHLGPASLIRKTFEEKSMRGNESVVSAQECLSWLNSKEENSVLYICFGSISYFSDKQLYEIASGIENSGHEFVWVVPEKKGKEDESEEEKEKWLPKGFEERNIGNKKGFIIRGWAPQVMILSHTVVGAFMTHCGWNSTAEAVSAGIPMITWPVRGEQFYNEKLISVVRGIGVEVGASEWALHGFQEKEKVVSRHSIEKAVRRLMDDGDEAKEIRRRAQEFGRKAAQAQFFPLKKVLSAMENGVGVERPLKLHMLPFLSPGHMIPLGDIAALFASHGQQVTIITTPSNAHFFDKSIASVDPFFLRLHIVDFPSQQVDLPDGVESLSSTTGPATMAKICKGANLLHEPIREFVEKDQPDYIIADCVYPWINDLTNKPHISTIAFTGFSLFTISLIESLRINRSYFDKNSSLSSFVDSNFPHSITFCATTPKQLIAFEERMLETIRKSKGLIVNNFAELDGEDCIKHYEKTMGYKAWHLGPACLIRKTFQEKSVRGNESVVSVHECLSWLNSKEENSVLYICFGSISHFSDKQLYEIASGIENSGYKFVWVVPEKNGKEDESEEQKEKWLPKGFEERNILNKKGFIIKGWAPQAMILSHTVVGAFMTHCGWNSIVEAISAGIPMITWPVHGEQFYNEKLITVVQGIGVEVGATEWSLHGFQEKEKVVSRHSIEKAVRRLMDNGDEAKEIRRRAQEFGRKATQAVQEGGSSNNNLLTLIGDLKRSRDRKLE >RHN60295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24755020:24758076:1 gene:gene22583 transcript:rna22583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate lyase 1 MASSLFMPSSIMEEEARFESEVAEVQAWWNTERFRLTQRPYSAKDVVSLRGNLRQTYASNELSKKLWRLLKTHQANRTASRTFGALDPVQVTQMAKHLDTIYVSGWQCSSTHTTTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREERMSMSREDRARTPFIDYLRPIIADGDTGFGGTTATVKLCKLFVERGAAGIHIEDQSSVTKKCGHMAGKVLVAVSEHINRLVAARLQFDVMGVETVLVARTDAVAANLIQSNIDTRDHQFILGVTNPSLKGKSLATVMAQGFASGKSGAELQALEDQWLSNAQLKTFSEAVTDAIKSQNVNEDEKRRKLNEWMHFSSYEKCLSIEEGREISERLGVRNLFWDWDLPRTREGFYRFKGSVTAAIVRGCAFAPHADLIWMETGSPNVVECTQFSEGMRSKYPQMLLGYNLSPSFNWDASGMSDIEMRDFIPKIAQLGYVWQFITVGGLHSNALITSTFARDFANRGMLAYVERIQREERNNGVDTLAHQKWAGANYYDRYLKTIQGGVASTAAMGKGVTEDQFKETWTRPGTVGIDRDNGSMVVAKARM >RHN40662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19083079:19084662:1 gene:gene46848 transcript:rna46848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myrcene synthase MAFPIFASPPVTFIKTLSPQNLSLSQATCNFNFSRPIQCKASYKVLNDQNQTISRRPSKFQPSIWTDDYIQSLSSEYKEEIYGDQRKVLREKVKIMLCKIENELDQLEFIDVLQRIGVSYHFNNEIRDILDNIYNKQTSKLKKNLHATALKFRLLRQHGYDISTDCFVCFQNEVCNFKKGQFVDVHGMLSMYEASFHSFEDETILDEARDFTTNFLKGYLKQNRGNHISLLISHALELPLNWTMSRFEARWFINIYEKQENKSHVLLQFAKLDFNILQSKYLEELKCASR >RHN44482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5225721:5231397:1 gene:gene38605 transcript:rna38605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAETLKFIYIVILLVSLCLVVIDGFRTYKECKSASDCYTIYRRAFYGSMRCVKGFCKHLKDVKLI >RHN59073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7303514:7305830:1 gene:gene21060 transcript:rna21060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MMVSYPSNGGLKRGMFGGAFHDFVERISKDEDKFMGWVAEISKAYKYSGPKYLLVKDLYSEEIQHIKNVVDRVICVLNKRINLSCAFYTESLKSSLQDVIQQLKQSKCPLLLGIWGMTGIGKSAIAEAIYDQISPYFEDKCFLDNVRVVWETNNGPVSLQAKLLLDVDKATKTKIPTIELGKEILKERFRHKRVLLVLDDVNKLEQLNALCGSREWFGTGSKIIITTRDRHLLKEHGVDHIYRVKELDNTESLEVLNRGAFSQATITPEDFVELCREVISYSGGLPLALQNLRTILHGKEARQWMDMLRSLERLSIPFPQLLEALEKSFHDLSDEEKHIFLDIACFFKGMDQNVVLHALNRQIQCASFQISLLEDKSLVAIDKNNKLEIHVLLQAMARDIIKRESSNMSNQPKMYDVILSFRGDDSRAKFISHLYSSLQNAGIYAFRDAEIQRGDHISISVESNWMV >RHN74254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31140554:31141021:1 gene:gene10287 transcript:rna10287 gene_biotype:protein_coding transcript_biotype:protein_coding METITNDTHKLIKVKPLFLPGIDKGMRNNKFLRLILLPNSILMLDSDSSLDSIVAKLEFVAGGVLVIFVEERVEISFLFRVQQQENEADLMGSATFFLFPGFYWTHIDEVVFEDKEEHREEDGGLGVCGLL >RHN41008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25685592:25686386:1 gene:gene47277 transcript:rna47277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling &Metalloenzymes JmjC family MGAPNGNLTLKFATLLSGLVQDNGGPFEIKAKLFPNEVPPITEDTSETASALWDIFRREDTAKLEAYLRKYSKEFRHTYCSPVKEVVHPIHDQCFYLTFEHKKLKEEFGVVPWTFEQKLGEAVFIPAGCPHQVRNLKVKKMIIHVVDQVVQDFEAFIRCSSLD >RHN57735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41381633:41381869:1 gene:gene33318 transcript:rna33318 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVGMREKRRSRWIEQDLEDGGDEDGDGGVSTSSPEFSLVWSLSPVVMMEESEKMSFRFSGGLGRRSCDRRWWGKV >RHN56133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28056387:28057381:1 gene:gene31482 transcript:rna31482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MPSFLKFVYAIILFVSLFLAATNVNATYDAYDECQTEFDCPKNIDCVYPKSMKCIDKKCICVGARMIIPRVL >RHN46901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35655369:35657395:1 gene:gene41436 transcript:rna41436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MANYRNQNQKQFSKEKKTKIDSPCNLPEDIIKHIFTFLPIKDAIVIASTVSPMYKSSLRHNRQFLFGKDFHFRYTQRYQAATIDRLFNSHKGEKIKSFMLHIDPVGIEALVRKWLQICTKKDLEDLELHFYQPGFTVDFNVFNALSKLKTLKLVKCAIQCPNVPNGLQFLQTLSLCNLHMTKDMFDVLIKHCKMLKSIDLINCSTIKKLNLIARENKYFKKLKIVDCRDLKEIEIDSPTLHSIFYHGKFSIVRIIQGMQLYEAFFYFIPSQNYMKFDHLEALVKDLSHVSVLTTTPLLIESVAARIRNGIYQEAQYWFENLIELQLFMKGGMSCNPYDITMFAKNCPSLEKLFIDLNDYQFDLAIYWQLYHKHHIDNCNHRFTQLKVVVIRNFEYMSAELQLVKQVLQRATILERLILIPPKIINGRLKFKIEDAPKYEKLFCSWRASTRVLVELHENYVEEAFLNPTHSKFWL >RHN76657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:200202:203181:-1 gene:gene20 transcript:rna20 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MANHTVVLSIFVSLVFTSLITLACDAIESGDESSKLYIVYMGSLPKGASYSPTSHHVSLLQHVMDESDIENRLVRSYKRSFNGFAVILNDQEREKLIRMRGVISVFQNQDFHLQTTRSWDFVGLPLSFKRYQTIESDLVVGVMDTGIWPGSKSFNDKGLGPIPKKWRGVCAGGSDFNCNKKIIGARFYGNGDVSARDESGHGTHTTSIVGGREVKGVSFYGYAKGIARGGVPSSRIAAYKVCTKSGLCSPVGILAAFDDAIADGVDVITISICAPRFYDFLNDPIAIGSFHAMEKGILTVQAAGNSGPTRSSVCSVSPWLFSVAGTTIDRQFIAKLILGNGKTYIGKSINTTPSNGTKFPIALCDTQACSPDGIIFSPEKCNSKDKKRVKGKLVLCGSPLGQKLTSVSSAIGSILNVSYLGFETAFVTKKPTLTLESKNFLRVQHYTNSTKYPIAEILKSEIFHDIKAPKVVTFSSRGPNPFVPEIMKPDISAPGVEILAAYSPLTSPSSDIGDKRKFKYNILSGTSMACPHAAGVVAYVKSFHPDWSPASIKSAIMTTATTMKSTYDDMAGEFAYGSGNINPQQAVHPGLVYDITKQDYVKMLCNYGYGSDKIKQISGDNSSCHEDPERSLVKDINYPAMVIPAHKHFNVKVHRTVTNVGFPNSTYKATLSHHDPKIKISVEPKFLSFKSLNEKQSFVIIVVGRVKSNQTVFSSSLVWSDGIHNVRSPIIVQILSL >RHN39160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4576790:4582145:1 gene:gene45160 transcript:rna45160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MKQKLIGMLLPLHFLSVLFGVLTTLVLVQAQDQSGFISIDCGLPQKSSYSETSTGISYISDAKFIDSGVSKRILPTSNTVLQQLEYVRSFPSGVKNCYKIDVTNGTKYLIRASFYYGNYDDLNEPPQFDLHFGPNVWDTVKFTNLSRMTIKEIIYTPSLDYIQPCLVNTGKGTPFISAIELRTLDNKAYVTYAAKSSVLSYFFRFDLGSITNLEYRYKDDVLDRIWYAFEWNEMKRISTKDDILIQNIYKPPAVVMSTAVTPVNASAPIQFSFDAVNVNDQYYIYLHITEFENLAANESRSFNITVNGILMYGPEIPVYRSVDSIFSTIPLTGATKYIFTLSKTDNSTLPPILNAVEVYKVKNFSQSETQQDDVDTMRNIKKAYGVARNWQGDPCGPVNYMWEGLNCSLDGNNIPRITSLNLSSSGLTGEISSSISKLTMLQYLDLSNNSLNGSLPDFLMQLRSLKVLNLGKNNLTGLVPSGLLERSKTGSLSLSVDDDNLDPCMTESCKKKNIAVPLVASFSALAVILLISLGFWLFRKQKRQKAVVTPSNSKKRSSMKSKHQKFSYTEIVNITDNFKTIIGEGGFGKVYFGTLQDQTQVAVKRLSPSSMQGYNEFQSEAQLLMIVHHRNLVSLLGYCDETEIKALIYEYMAKGNLQQHLLVENSNILNWNERLNIAVDAAQGLDYLHNGCKPPIMHRDLKPSNILLDENLNAKIADFGLSKAFGNDDDSHISTRPAGTFGYVDPFQIPGNTNKKNDIYSFGIILFVLITGKKALVRESGESIHILQWVIPIVKRGDIQNIVDKKLQGEFNISSAWKVVEIAMSCISQTVSERPDISQILAELKECLSLDMVQSNNGSMRARDELVSIALHVSETTILAR >RHN66019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7706148:7712356:1 gene:gene13929 transcript:rna13929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MRLYHALFLFLASLQVALSQDIEHGSLLVNGAQAKAETGDNFICATIDWWPHDKCDYGHCSWGYSSVVNLDLSHPFLAKAIQALKPLRIRLGGSLQDQVVYDIGNLKSPCHPLQKMNGQGWLFGFSKGCLHMKRWDELNQFFNQTGAIVTFGLNALRGKHQISHHVWEGVWDPTNAYDFIKYTVSKGYKIDAWELGNELSGKGIGASVGVTQYGKDLIKLKRILDVLYEKSRFKPSLVAPGGFYEKEWYDKLLQVSGSGIINVLTHHLYNLGPGSDGHLEGKILDPNRLSKVETIFSNLSETIQKHGPWTSAWVGEAGGAYNSGGRCVSNTFLNSFWYLDQLGIASTYNTKVYCRQTLIGGNYGLLNTSTLAPNPDYYSALLWHRLMGKKVLGVSSDVSSPFLRTYAHCSKDRAGVTLLLINLSNQTHFILNVKNPVTVKANEVAKNIHEEKNSFFHNLKKAFSWIGTKGSEVTFREEYHLTPKDNNLKSQTMVLNGIPLKLTNEGDIPTMDPVHNNVRSPIYIVPLSIAFIVYPNFDAPACARY >RHN49692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:341049:341522:1 gene:gene33897 transcript:rna33897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MQKWLQIGEEKKMTEACKVFDKFLFSCIASKREELKQNCNKNEINVESDDAHHVDLLTTLIREEKNKDSESESIIDKFLRDAAFNLFVAGRDTITSALTWLFYLVATHPLVEAKIVRRALPMCVRFRRLFNLAENKSNTVSVMCGLGWEEGGAAWQW >RHN79164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24812049:24814093:-1 gene:gene2893 transcript:rna2893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MVFSGKQHGWEKTKDYTLQILTGRWFMMFSSFMIMSVSGASYMFGLYSREMKSVLGYDQSTLTLLSFYKDLGSCIGILSGLLNEITPPWVVLTIGGVLNFFGYFMIWLAVTRKISKPQIWNMCLYTFIGANSHCSTNTGVVVTSVRNFPGSRGIVIGLLSGYLGLSGAIITQLYYAFYGNDSKSLILLMAWLPTVVTFVFTPVIKHHMRVEQPNDSKAFYNFLYMTLILAGYLMIMIIVQKCFNFTKSEYYVTSILMLLLLILPLFVVIVEEQRIWKNKKEHINGEDSSPKPLNIITNMPQTRHARRESTQNEKQVSAFWGNILFPPSRGEDHTIFQAILSLDMMTLFVSTICGLGGTLTVVNNLSQIGLSLGYPSHSITTFVSLMAIWIYLGKVAQGVISEFIITKLKLPRPLILTSILTVSCFGHLLIAFNIPNGLYVASIIIGFCFGANLPVLFSIISELFGLKYYSTLYNVGLIASPIGSYLLSVRVAGHLYDKEAIKQMAALGLMRKPGEELNCNGSQCYKLAFIIITVVSLFGALVSLTLVIRTREFYKGDIYKKFKEEANTVENELVVTQNKVGPVSNDG >RHN52342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34163221:34164158:1 gene:gene37006 transcript:rna37006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ccc1 family protein MAEIQHHHAATLARSESKVYSMDVEKKGEEENDEKDYTQRAQWLRAAVLGANDGLLSTASLMMGVGAVTKDVKTMILTGIAGLVAGACSMAIGEFVSVYSQYDIEFAQMKRQGNISQKDKLPNPYYAAFASAIAFAVGAFVPLLGAAFVKDYKVRLGVVVGVVSLALFGFGLLSAVLGKAPLVKSSLRVLIGGWLAMSLTFGLTKLVNHVVV >RHN50887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11491114:11493857:1 gene:gene35236 transcript:rna35236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose/H+ symporter, plant, major facilitator superfamily domain-containing protein MSSTMDATPPTKNNNDKASSLELDSVQPVSSPLRKMVVVASIAAGIQFGWALQLSLLTPYVQTLGVPHVWSSFIWLCGPISGLLVQPIVGYYSDRSTSRFGRRRPFIFSGALAVAIAVFLIGYAADLGHSFGDDLSKKTRPRAVTFFVIGFWILDVANNMLQGPCRAFLADLAAGDEKKMRTANGFFSFFMAVGNILGYAAGSYSKLHKIFPFTETEACNVFCANLKSCFFFSILLLIILATFALIYVEDTPKTKPEVKDADETPVTCFGELFGAFKELKRPMWILMLVTAVNWIAWFPFFLFDTDWMGREVYGGVSGEKAYDTGVRVGSLGLMLNAVVLGLMSLAVEPLGKLVGGIKRLWGIVNFILAICLAMTVLITKIAEHERQTSGGATIGHPSDGIKVGAMLFFAILGIPMAITFSVPFALASIYSSASGAGQGLSIGVLNLAIVVPQMFVSALSGPWDAIFGGGNLPAFVVGAVAAAVSAVLAMVLLPSVKPADEAKVSLAPGFH >RHN72502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8159148:8165965:1 gene:gene8222 transcript:rna8222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MSTVYVLEPPTKGKVIVTTTRGPIDIELWPKEAPKAVRNFVQLCLEGYYDNTIFHRIIKGFLVQCGDPTGTGTGGESIYGDVFGDEFHSRIKFKHRGLVAMANSGTPNSNGSQFFVTLDRCDWLDRKHTIFGKVTGDTLYNLLRLGDAETDKNDRPLDPPKILSVEVLWNPFEDIVPRTLQKPQTKATRDGDTEGKNEKKKGVKNLSLLSFGEEAEEEEKELAVVKKKIVSSHDVLNDPRLLKDENANNELSSSDSKIKKDRQLSVRDALNSKKEEPQKDSEADKLAHLNSSDDDEADFDTRMRMQILKKRKELDVLPPKPKLKNGRSRSSPENRTLSRARSNSVSGDEDQPRVEKLSMKKKGIGSEARAERMANADTDMQLLNEAERGRQLQKQKKRKLQGREEEVLAKLEKFKTKVSAKETPTTVESKHANDEELTDWSSVTLKFSPGVGKDHMSRKEDPNDYVVHDPLLEKGKEKFNKMQAKQKRREREWAGRSLT >RHN52576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36744733:36747657:-1 gene:gene37269 transcript:rna37269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L37 MAMNHVRSVRSILSSTNEAIGVAYQRTFATGKAKKGKGGASADGPKESSLSKEVKASTVVGGNILKEGTDPKILPDSEYPDWLWHLLDKRPALSELRRKEIDTLPYEDLKRYVKLDNRARIKENNSLKAKN >RHN59184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8266704:8271057:1 gene:gene21179 transcript:rna21179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MAKSKNNAKKLSYISVPSQIINSISSSSIQSLLDDQTPKKSSTKIASNFFFTTLTKWKNPSRLFFLFTLFFLGGFALFMIGFNLKTPFSKSPCATNVEKSLISNGFGSKSELKVVSMNKNEILNGGVLVKSELGVVQNPSISNGFDSKSELGVVSVNKDEVLNDGSLSNSLPLITNVMLQAKVPSGVDLEDEEKGEGVEKGEFWKQPDGLGYKPCLDFSKDYRRESDGIVKNRKRYLMVVVSGGMNQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFADIFDFEHFKNVLANDVRVVSSLPSTHLMTKPVEGSPPLHVTPSWIRSRYLKRFNREGVLLLRSLDSRLSKDLPSDLQKLRCKVAFNALRFAKPVQELGNKIAERMQSKGPYLALHLRMEKDVWVRTGCLPGLSPEFDEIVKNERIQRPELLKGRSNMTYHERKMAGLCPLTAMDVTRLLKALGAQKDARIYWAGGQPLGGKEALHPLIHEFPHLYNKEDLALPGELEPFAKKASLMAAIDYIVSEKSDVFMPSHGGNMGHALQGHRAYAGHKKYITPNKRQMHPYFLNPSLPEAEFNSIVKELHQNSLGQPELRTSKVGRDVTKYPVPECMCNDSHNDS >RHN77024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3315655:3317626:-1 gene:gene431 transcript:rna431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MAIRMDEKVETLELELQQVREENNTLRFMLEVMSIKCTKLESHLQDIKKEEHRGIISSNHQIESIPNIDSSKRARLEFPTAQKPLQVFVRTQPNDESLIIKDGYQWRKYGQKVTKDNASPRAYFRCSMAPSCPAKKKVQKCIHDRSILVATYDGEHSHGVPNESFKPSSSTPKGSSISNKLPTRLSDKEAISTRICENVMQQFGVERHIKIEEYASSLIKDPDFTAALAEAVARTITDQEHKRQGLDLNLNLSEE >RHN45531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22370977:22371441:-1 gene:gene39891 transcript:rna39891 gene_biotype:protein_coding transcript_biotype:protein_coding MIISSRIIFIYIFYMFRNFPVITQLCNLKLFFEFPLITFRILFF >RHN65229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:385572:385979:-1 gene:gene13048 transcript:rna13048 gene_biotype:protein_coding transcript_biotype:protein_coding MESFVIRVPCHDFSNMSDKCEIGPWGLIDPTIILCQALGDDVIIYIPFCSADSYIAWVFKLLGGMYSGKRHDSSSVKHLHFTQWDPGGWSPVHWKSQHTWKLFIKTRTRGRVLQRWRRLMQEDFISNLVVFIISL >RHN47552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40532259:40535985:-1 gene:gene42166 transcript:rna42166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA(adenine(34)) deaminase MTSQETHIFMELAIQQAKLAMGALEVPVGCVIVEDGKVIASGRNRTTETRNATRHAEMEAIDVLLEQWQKNGLSMTEVAKKFSNCSLYVTCEPCIMCASALSNLGIKEVFYGCSNDKFGGCGSILSLHLSDAVSPNKGFKCAGGIMAEEAVLLLRTFYEQGNPNAPKPHRPLAHQTTT >RHN69398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43134040:43134457:-1 gene:gene17863 transcript:rna17863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MLVIRDLANIGTWPWSAIGLVFLYEQLNLTSDSNAGSVGGYMSLLMGWVIAHLRHVVPRSRYQDYERDNPFVGRWRPQMGFRHADHFRGLLDSMEHYHVTWTPYEH >RHN55369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17709402:17710669:1 gene:gene30539 transcript:rna30539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, leucine-rich repeat domain, L MFMVLKESEVEELSRAEVLELFRWDAFKTNEMDRSYEDISKRAVLYSNGLPLAVEIIVSDLYGKTILEWKSALDTYEKIPYENIQEILRVSYHGLKEFVKEIFLDIACFFKGYRLSDILNILCSGRDFDPDYAIQVLVDKSLIKIDDRHVRLHDMIEDMGREIVRLESPAKPGERSRLWFYKDILNVFKENKGSDKTEIIMLHLVKDKEVQWDGNALKKMENLKILVIEKARFSIGPNHLPKSLRVLKWRDYPESSLPVHFDPKKLVILDLSMSCITFNNQVIIVKIQGFEGIKADVNP >RHN39403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6828250:6832859:-1 gene:gene45424 transcript:rna45424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MDARTQYNPRTVEEVFRDFKGRRAGLIKALTTDVEEFYTQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPVLGINFARDGMQEKDWLSLVAVHSDSWLIAIAYYFGARFGFDKSDRKRLFNMINDLPSIYEVVTGAAKKQVKEKSSVSNHSGSKSKSSSKARAPEPQVKQTKPLELPKDDEVEELDEEDEDEHGETLCGACGEHYGTDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNNKRARP >RHN47864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43128327:43129448:1 gene:gene42511 transcript:rna42511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MRGKCPRRKVKKRILSHKSDRSTRLPIKGDDTVFLPDELVTEVLSFSDVKFLMQMRCVCKSIISDPIFVKLHLKRSARNPHLTLYFERSILSRDFGALPFPVSCLIIENPSISLPSDPRYSLKDNDYEYVVGSCNGLLCLLGYKSGGGKMWFRLLNPAMHKMSPKLGYFRGGLISLKYKFKFAFGYDNSSETYKVVMLGLDNVQNITSAQVLNLRDDVWKPIQNFPAVLLPFWHTHPGVNDGVYLNGTFNWLALRSEFRPHLYDWKNTDVKDFVIVSFDLGTETYTQPMPPCGFDEMSPVEPSICILMDCLCFSNDYKKTDFVIWKMEEFGVEESWTQLIKVSYENLQSIRRGSVDLVLSRNIRELNLAKPHN >RHN64232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57461174:57468875:-1 gene:gene27023 transcript:rna27023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kelch-type beta propeller MFGFSRRRTKFGRFKVQQLSDGTRSPVSVRHPKRIPNSILNGEGEEDGIGDAVTGSSGHSHEIDNEIVSSTDISSCSSGGSENWVLLSISGDKPAPRFNHAAAVIGNKIIVVGGESSTGLLDDVQVLNFETFSWTTASSKLYLSPSSLPLKIPACKGHSLVSYGKKALLIGGKTDPGSDRISVWAFDTESECWSLMEAKGDIPVARNGHSVVRASSYLILFGGEDAKRRKLNDLHMFDLKSLTWLPLHYTGTAPSPRLNHVAALYDDKVLYIFGGSSKSKTLNDLYSLDFETMAWSRVKVRGFHPSPRAGCCGVLCGTKWYITGGGSKKKRHGETLIFDIVKNEWSVAITSPPSSITTNKGFSLVLVQYKEKDYLVAFGGSKKEPSNQVEVLELDKNESALRRRSTPSKGPASILLEKHSSSTRLASQLNHCSQRLVDSVARQNLASAVEHGSGRKSLSESVDPNYPPSNVSLRKQFDRDEEYNADVKMDKNSDGSSLPQVADHRTNENDRRKLMNISGAKVNIEGQVLLSGMSNQQNQVFESDALESEVVSFPENDKSGSLSSTNIYQYYESKVAALIRKNGMLEGQLAASMASREAAEKGLSSVHKSRQEMEKKLADALKEMELLREKLAGLELAQEEANNLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVIAGERARAFQLQYEVFHLKQRLQSMENRTSTTPRKPFHVQ >RHN67121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24093971:24102508:-1 gene:gene15268 transcript:rna15268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MLEHVRSLTTLKPTINLSILESKLHRCQWVNQFKQILSQMILTGYITDTYAASRLVNFSTHSNFIPFQYSLKIFNHLHNPNTFIWNTIMRSHLELHNSPQQALNFYKLFLFQNTSPDHYTYPILLRSCTARVSEPEGKQIHDHVVKFGFDSDVYVRNTLINLYAVCGNMVSAHKVFKESVVLDLVSWNTLLAGYVNLGDVVEAECVYDKMPVRNTIASNSMIVLFGKEGCIAKARSLFDRIEGKDMVSWSAMISCYEQNGMCEEALVLFVDMNANGVMVDEVVVVSAISACTSLSAVRMGRSVHGLAAKIGIQDYVSLQNALIHLYSNCGEILDAQKIFSGGVLLDLVSWNSMISGYLMCGYVEDAKKLFDSMVEKDVVSWSAMISGYAQHGCFSEAVALFQEMQLLGIRPDETAIVSVISACTHMAALDLGKWIHAYISKNEFNVNVILGTTLVDMYMKCGCVENALEVFYAMEEKGVSTWNALILGLAMNGLVEKSLNVFADMKKTKTLPNEITFMGVLGACRHMGLVDEGRRYFSSMTQEHKIEPNVKHYGCMVDLLGRAGLLKEAEELIESMPMAPDVATWGALLGACRKHHNNEMGERLGRKLIQLQPDHDGFHVLLSNIYASKGNWGDVLEIRGIMAQHGVVKMPGCSMIEANGIVHEFLAGDKTHPQIKDIEHMLNEVAAKLKIEGYAPITSEVSLDIDEEEKETALFSHSEKLAVAFGLITIPLPAPIRIIKNLRICNDCHTVVKLISKAFDREIVVRDRHRFHHFKHGSCSCMDFW >RHN74618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35229221:35229887:-1 gene:gene10719 transcript:rna10719 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLFSFVPLFLIVFAFSSKLDPLQLQHHITTIDDSDSFSVRT >RHN53524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2266821:2267705:-1 gene:gene28439 transcript:rna28439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exosome complex RNA-binding protein 1/RRP40/RRP4 MKRVGALLYVRVAKANPGMNPELSCTDGCGKAGEFGALKEGYMFECSTGLSRMVLSTPTCPVLDTFGKKLSFEIAVGLNGRVSVNASSPSTTIIIANALINSEALSGVQQKIMAEKLVERVQ >RHN73162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13970867:13974214:1 gene:gene8949 transcript:rna8949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MGGTRYNGGHDQSKRFALVGVSSILLVAMVATVADAQQGQPNVQILCESTQYQQTCHQSLAKAPAETAGVKDLIKAAFSATSEELLKHINSSSLIQELGQDKMTKQAMEVCNEVLDYAVDGIHKSVGAVDKFDINKIHEYSYDLKVWLTGTLSHQQTCLDGFANTTTKAGETMARALNTSIQLSSNAIDMVDAVYDLTNAKRRLLSLDNGYPLWVSEGQRRLLAEATVKPNVVVAQDGSGQFKTLTDAIKTVPANNAQNFVIYVKEGVYNETVNVPKDMAFVTIIGDGPAKTKFTGSLNYADGLLPYNTATFGVNGENFMAKDISIENTAGPEKHQAVALRVTADKAIFYNCQIDGYQATLFAESQRQFYRDCSISGTIDMIYGDAFAVFQNCKLIVRKPLEEQQCFVAADGRTKSDSSSGFVFQSCHFTGEPEVAKIDPKIAYLGRPWKSYSKVVIMDSNIDDIFDPEGYMPWMGSAFKDTCTFYEYNNKGPGADTSKRVKWPGVKSISSTEAAAFYPGKFFEIANATDRDTWIVKSGVPYSLAALDATSNQGATPGQGTVTGTGAGAEGPAPAEGPASAGKSSGLVNKGNIWLQVVLLVGILSLSSSFQFSR >RHN45037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11033274:11033642:-1 gene:gene39233 transcript:rna39233 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLLRWSFKVEDIVGCTHLLVEVEYAQREVELNTPVMVRQ >RHN62921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46761164:46763570:-1 gene:gene25558 transcript:rna25558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MRFSVVYFVLLLVLLVKAATCFTFFTREQKLSEFKHKLEQQQASPLASPPISSQTKMSNRVLYPSEYGADPTGSEESSDAILKVVEDAFKLQKGLQLVAGVNDLGGVIIDLQGGDYKISKPITLLPGGNIVVKGGTLRASDTFPGDRHLVEMWSSNSKKLQTKEYMQGGNFSGIYAQNNGIYYEDVTFRDILFDSRYRGGGLFIVDSARTRINNCFFLHFTTEGILVQQGHETFISSCFLGQHSTVGGDHGEKDYSGVGIDLASNDNAITDVAIFSAATGIVLRGQANILSGVHCYNKAAGFGGIGILVKLAGNSLTRIDNCYMDYTGIVTEDPVQVHVTNCLFLGDANILIKAAEGQILGLNIVDNMFNGDPNKKVPIVSLEGQFSNVDQVVIDRNNVNGMGLRSTVGKLTVSGNGTKWEADFSSVLVFPNRISHVQYSFYAQGEPKFVAHSVTNVSDNVVVVESEKEAKGLVHFSVEQ >RHN77110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4181231:4185581:-1 gene:gene531 transcript:rna531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MKNFAVQLLLLLISFLLNSRNGIEASTCSNSIDPIKYRIPKEQPYRTSYHFQPPQNWMNDPNAPMYYKGVYHLFYQHNPLAATFGDIIVWAHSVSYDLINWIHLNIALEPSGPYDINSCWSGSATILPGEKPAILYTGIDHYKNEVQNLAIPKNLTDAFLREWEKHPQNPVMTPPTGVEEDNFRDPSTAWHGKDGKWRVIVGAQNGDEGKTILYQSEDFVNWTVNPNPFFATDNTGVCECPDFFPVYINSTNGVDTSVDNSSVRHVLKISYLRKQHDYYFLGKYVSDKENFVPDVEFTGTSKDLRFDYGKFYASKSFFDYAKNRRILWGWVNESDSTQDDIEKGWAGLQTIPRKVWLDKSGKRLMQWPIEEIENLRHKQISITGEKLEGGSTLEISGINVSQVDVEVLFELPELESGDWLEDPSEVDPQLLCSKQHASRSGKIGPFGLLALASKDLTEETAVSFQIFRASNRYLCLMCSDQSRSSLRNDLDKTTYGTIFDIDPNLKTISLRTLIDKSIIESFGDGGKAVITSRVYPLLAIEKDAHLYVFNNGSQSVVISKLNAWSMKQAEIGHEGNINCA >RHN80295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36938419:36938772:1 gene:gene4201 transcript:rna4201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSEDGSREGTKDDGGYVKYRGVRDSNRKGQNKVWLGTFNTAEEAARAYDRDAFNMRGFLAILNFPNQYNMFAGAASDAASGSGSSSSRIANAGNGRVFDFEYLDDNLLEDLLDDNLF >RHN70018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48064188:48069430:-1 gene:gene18557 transcript:rna18557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protoporphyrin ferrochelatase MNFPTPTHATSSPSTSSSSYTLNHASPNFNRPPLSPQAICTSQKKSLCSGAHVEASVNSNPVKNYIAASFSSLRSEAKPLVSKQSLKKRMFSVGALVARTDQDVSDATLTSDDKIGVLLLNLGGPETLEDVQPFLFNLFADPDIIRLPRIFSFLQKPLAQFVSVLRAPKSREGYASIGGGSPLRRITDAQAEDLKKSLLEKNVPAEVYVGMRYWHPFTEEAIEQIKKDGVTKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVLPSWYQREGYIKAMSNLIEKELKSFDCPKEVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELETRKIRNPFTLAYQSRVGPVEWLKPYTDETIVELGKKGVKSMLAVPISFVSEHIETLEEIDVEYKELALESGIENWGRVPALGCEPSFISDLADAVIESLPYAGAMAVSSLEARQSLVPLGSVQELLAAYDSQNRELPSPVIVWEWGLTKSAETWNGRAAMLAVLLLLFFEVTTPDSVFHQWGILSPSLR >RHN57643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40716481:40718134:-1 gene:gene33221 transcript:rna33221 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNFWALRRELTQNNGSSPHVQPDSQTPNNHQSTNGYITIPQTMMQRPQNHHLMDVNNQSTNGFTHPIQHDGQTHSNHQSTNGYLTTPQIMLQRPQNHLMGVNNFNQERYQRNNTADVGTMSMRNFSFSANDTTSFITATRYGRELPLGSGLTSSPQQHMSSTNTTLLSSYHPNLARNSTSNTVGSQGFANWSRNHSRPIIQNQRNSRYLPYGEGSNRRNNYFLSNDSNLRPTGHTNRFHSVNDGSIPSSTPTPFCPINNNDLNVLLSSGNANWDSTRDTSTSHRVFDIRDNEARNRSTPPPFCPINRNDFNPHADVDMNRFHVNYGSIPITTPPFCPINTEDMSMFLSSGNDNLDSAHETSTSQRRPMTPPNNCFETIQTEKKELLLFKDTDPTSPVPEAADANQNNDERLDLSLHL >RHN66500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13067558:13071174:-1 gene:gene14502 transcript:rna14502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MGKDAKAKESKGKGKQAASGSDENASKGKGKGGKAADGLGTCTYVKARHILCEKQGKINEAYKKLQDGWLDNGDKVPPAEFAKVAQEYSECPSGKKGGDLGWFPRGKMAGPFQEVAFNTPVGVTSAPFKSTHGYHIILSEGRKN >RHN52227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32827408:32830888:1 gene:gene36875 transcript:rna36875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MSLVFDITFLYMFLFILSLNIVLCIEIEIKDFHPQERDALLLIRDSLNSSSINLHRNWTGPPCIENNLSIWFGIACSNWHVVHITIQGVNLSGYLPSTFLQNITFLRQIDFRNNALFGLLPNLTGKIPETSLLQRFPKSSFDDNSDLCGKPLDKSCSAESPAPLPFAIAPTSSMETNKTRIHVWIIALIAVVAALCIFLMIIAFLFCKRKARGNEERINDSARYVFGAWAKKMVSIVGNSEDSEKLGQLEFSNKKFQVFDMDDLLRASAEVLGGGDFGVTYKATLETGNVVAVKRLGYMNDLSKKEFIQQMQLLGEIKHENVAEIISFFHSEDQKLVIYELVSDGTLSELLHEGRGIGRIPLDWTTRLAIIKDIAKGLDFLHQFLSSHKVPHANLKSSNVLIHQDNQGYHSKLTDYGFLPLLSSSMKNAEKLSISKSPEFVKRKKLTHKTDVYCFGIIMLEIITGKIPGHILGNEVEETSNDLSDWVRTVVNNDWSTDIFDLEILAEKDGHDAMLNLTEIALECTDMMPEKRPKMSLVLKRIEEIEQMMKDNE >RHN64104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56598192:56600286:-1 gene:gene26886 transcript:rna26886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CHIT5B MANILNLKHLLTLALILLALATKSSTSSSSSITRVKGIYWLENPFFPPTTVDTSLFTHIFYSFLTPNNITYKLEISSSQILSLNTFTKTFKTKSPPAATLFSIGGAGSNSSLLAFIASDPPACAAFINSTIDVARTFGFDGIDLDWEFPKNTKEMNDLGEMLFQWRKAISDEGATTGRPPLLLTAAVYFAVNFSIYGEPRMYPVNSINENLDWVNVMSYELRGPRSNKTGAPSGTFDPKSNVSVVSGLLSWIHSGVVPEKLVMGMPLYGKSWKLRDPNVHGIGAPSVGSGPGVNGLMAYFQVLDFNRQKSAKVEYDVDTASVYSYSGSTWIGYDNPFTVSIKVGFAQALKLRGYFFWVAGLDTLDWKIATQASKAWKLV >RHN39116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4209539:4211249:-1 gene:gene45112 transcript:rna45112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MEAQDYFVGGYYGAGENQFSPEKRHGDQKPPCEPFAIDDLLDFSNADVIMSDGFFDNNVAGNSTDSSNVTAVDSCNSSGSGGDNRFGGTIVPYGFSGDVQLTGELCVPYDDMAELEWLSNFVEDSYSAEEELKTLQLLSGAGAVKPQTPESSSSTDTLPSFSTDETARNASFLRPETPLPGKARSKRSRAAPGDWSTRLLHLPDAPPKNYPIVKKREDPNVECSGRKCLHCGTDKTPQWRTGPMGPKTLCNACGVRFKSGRLVPEYRPAASPTFVSAKHSNSHRKVLELRRQKEMQRSSQHHHHHQHQQLLSHSSIFGVSSNGGDDFINYHHHCGPEFRHVI >RHN46326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30776916:30778846:1 gene:gene40806 transcript:rna40806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MPSPQSNALSSTEQLLPDDLIVEILVFLDVQTLLPLKCLSKSLHSLISDPKFIKKHLKNSSQYTHLILTDELYDYSMSNVKTLPVRRLLENRSFNSSGDSCRGCTDSNDMEVIGSCNGLLCLLYKRWHWTFNLRYWFYIWNPATGTSSPTFGTRYDSLLHESLSNVSSFSFGCDILTGTYKVLEFRIEQDKDNHVPLRSQNYFNRCYDYETITHVDHFVIISLDLSTETTIQLLLPSKFDEVPCFQPTLQVLSDHICFSHDFKKTEFVIWKMNEFGVQESWTQLFRIGYFNLEMHNLPMDDYLNTTLLMPLSLSRNEDTLILLFPLTRTKVGDRWIYYYYGDNEAIIYDHKENRAQRISTDDNPCRFSYVESLVSTSRHWKSVSPSPSTLETIDVTWKYMVENRGIPARG >RHN48401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47244270:47248480:1 gene:gene43114 transcript:rna43114 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFEPPPPPFFISSTCQGLQHTSHSVSFILNRLLSSGMPDLNHQHAGSQLKQGEICRRERSLTTKRRQNHAGEGDAGDREGGG >RHN62848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46180851:46188981:1 gene:gene25470 transcript:rna25470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Two pore domain potassium channel, EF-hand domain pair MEKEPLLPSYFSPRKKLSPLPSQLFPLPENDEMVLPVTPSEFKDRLIFGPSPRDPSPLSDALTLSRNSPSSSSHDFDSSQQSQQQQLSSWLIDPNYPWRKTNLHRSRTAPAMAVISDFNHHASDKRPQFGSQSIVHQAVILLVLYLALGVVIYWFNRHNFTATETHPIVDALYFCIVTMCTIGYGDITPNSTATKIFSILFVLIGFGFIDILLSGMVSYVLDLQENHLLRTVKGRGAKDGRSYIVDVKKGRMRIRMKVALALGVVVLCIGLGVTVMHFVERLGWVDSFYLSVMSVTTVGYGDHAFKTLHGRIFAAIWLLVSTLAVARAFLYLAEARVDKRHRRMAKWILGQDMTVAEFLAADMDNNGFVSKSEYVIYKLKEMGKVTEKDIMQISEKFDRLDTGNCGKITLGDLMEGHS >RHN58362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1101327:1104873:-1 gene:gene20267 transcript:rna20267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MAATTAVGVIGGNGSSWMQFGRKEKKQNKMNKVRVCCSKSKSKSKSSSVMDPYKTLRIQPGASESDVRKAFRQLALQYHPDVCKGRDCGVQFHLINEAYDIVMSNLRENVIETYETTTTYNENNDESFRGMNDPDWGYWEEWMGWEGAGIRDYSSHINPYI >RHN63987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55720190:55722293:-1 gene:gene26759 transcript:rna26759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamyl-alcohol dehydrogenase MGSQPQFEHPNKAFGWAARDQSGLLSPFNFSRRETNEKDVSLKILFCGICHTDLHMIKNEWGISTYPLVPGHEIVGIVTKVGSKVEKFKVGDKVGVGFMIDSCRSCQNCAENLESYCPRFTATCGAKYRDGTTTYGGYSDSMVVDEHFVVHIPDGLPLEATAPLLCAGITVYSPLRYFGLDKPGMHIGVVGLGGLGHMAVKFAKAFGANVTVISTSPNKEKEARECLGADSFLISRDQDKMQAAVNTLDGIIDTVSAEHPLSPLIGLLKSHGKLVMVGAPAKPLELPVFTLLSGRKIVAGSNIGGMKETQDMLDFAAKHNVKPTIEVIPMDYVNTAMERLLKADVKYRFVIDIGNTLKPSS >RHN75176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39980977:39982494:-1 gene:gene11344 transcript:rna11344 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSNNKCLMLVLCLFVFVSSCVMCINVDTQTCTNRKSRCFLKKLPCPAQCPSRSPANPKDKVCYLDCDSPVCKTQCKSRKPNCNGRGSACLDPRFVGADGIVFYFHGRRNEHFSLVSDVNLQINARFIGLRPQRRPRDYTWIQALGVLFDSHNFSIEATPSSSWDDEVDHLKLSYDGKELVIPGGHLSTWQSEENQLRVERTSNENSVIVTIPEVAEISVNVVPVTKEDSRIHNYQIPDDDCFSHLEVQFKFYGLSSKVEGVLGRTYQPDFQNAAKPGVAMPVVGGEEKYRTTSLVSGDCLACIFSSAKDSVQEGMEIEYGMMDCTGGASSGNGIVCRR >RHN61319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34756478:34757494:-1 gene:gene23771 transcript:rna23771 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYAINMNDVSLFEDSSFLFLETINLYCVNELNHFELINIVYLFIYF >RHN56264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29345901:29346365:-1 gene:gene31636 transcript:rna31636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasaponin III rhamnosyltransferase MLPWSAFGHLIPFFKLSIALAKAGVHVSYISTPKKIQRLRKIPSSLSHLIDFVEIPLPSLNKDLLPEGAEATMDIPLDKIQYLEQAYDKLKNSVKQLVSNWLPNWIICDYNPHWIVDIAQEFHINLIYYYVVSAATLAFLGPPSNMNGRFSQTV >RHN60755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30201770:30203847:1 gene:gene23113 transcript:rna23113 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKWSILVALFILLISMEAAIAQGQGNGNGNGNNGNGKGNGNGNGNNGNGKGNDKEEGKEKGKEKEKAPKKKKAPKEESSNYQQLQTLPSGQERAFCKANNTCHFATLVCPAECKTRKPKKNKKDKGCFIDCSSKCEATCKFRRANCDGYGSLCYDPRFVGGDGVMFYFHGAKGGNFAIVSDDEFQINAHFIGTRPQGRTRDYTWVQALSLMFDTHTLVIAANRVTQWNDNVDSLTVKWDGESVIIPTDDDAEWKTNGDEREVVVERTDDANSVRVTVSGLLEMDIRVRPIGEKENKAHNYQLPSDDAFAHLETQFKFKNPTDSIEGVLGQTYRPSYVSPVKRGVAMPMMGGEDKYQTPSLFSTTCKLCRFQRPSTSQGLIAQY >RHN67434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27215363:27220838:1 gene:gene15623 transcript:rna15623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WEB family protein MVAKIRQTATGSPSPSLKPEVGEIDTSPPFQSVKDAVSLFGEGAFSGERPIHKKPKAYSAERVLAKETQLHVAEKELNKLKEQVKNAETTKAQALVELERAQRTVDDLTQKLKLITESRESAVKATEAAKSQAKQKYGESDGVNGAWKEELENAVQRYASIMTELDAAKQDLRKTRQEYDSSSDARVSAVKRTEEAENAMKENTERVSELSKEISAVKESIEQTKLAYVESQQQQALVLTEKDALRQSYKATLEQSKKKLLALKKEFNPEITKSLEAQLAETMNEIAALHTELENKRSSDLDSVKTVTSELDGAKESLQKVVDEENTLRSLVETLKVELENVKKEHSELKEKESELESTVGNLHVKLRKSKSELEACSADESKVRGASEEMILTLSRLTSETEEARREVEDMKNKTDELKKEAEATKLALEEAEKKLKEATEEAEAAKAAEASAIEQITVLTERTSARRASTSSESGAAMTISTEEFESLKRKVEESDKLADMKVDAAKAQVEAVKASENEVLKKLEATQKEIEDMKTATQEALKKAEMAEAAKRAVEGELRRWREREQKKAAEAAARILAETQMSSQSSPQHYRIQKQNVPPPRTTLEVKKLDKEKISVSKKINISGIFQRKKSNIVEDGSASYLPGENPV >RHN54740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11751951:11754619:-1 gene:gene29818 transcript:rna29818 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRVMVTFTPTVEHCSMATIIGLCLRVKLLRSLPPRYKVDIRVAPGSHATEAAVNKQLNDKERVAAALENPNLVDMVDECLAPSFD >RHN76880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2075337:2080629:-1 gene:gene265 transcript:rna265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MDKENGVNVRVTRARARALKEPSRNEQAVVVGLKDVTNISAKSHKRTHTSNFQKKEGSKKRKTNVASEDDVSLQVWTIKEDAKKELAKDSSTSTMTKKESLQVQPSVENSLLSMQDTLNSPNTEINLICEKLSASVGLGIVDIDSKLRDSPIWTSYAPDIYTNIHVRECERRPLANYMETLQQDITPGMRGILVDWLVEVADEFKLVPDTLYLAVNLIDRFLSQRLITKRRLQLLGITCMLISSKYEEICAPGVEDFCVITDNTYSRQEVLKMEKEVLNLLHFQLAVPTIKTFLRRFIQVAQTSSKVAQADLEFLANYLAELALVEYSFLQFQPSKIAASSVLLARWTLNQSEHPWNPTLEHYTNYKASELKTTVLELIDLQLNTKRCRLNAVREKYQHQKFNSVANLSPKPVEYLF >RHN53986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5974835:5979386:-1 gene:gene28961 transcript:rna28961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,4-beta-D-xylan synthase MKLSTLQQSYINRRTNSFRGLDSDNGAGAVKSPATIFWLVLHGVCCLISLVLGFRFSRLVFFFLFSTSSTNIYTVPFTSGTGAGITVPVETQQNVLTNGSSVVASRVVVGRHGIRIRPWPHPDPVEVMKAHGIISRVQNEQRLLFGVKNPRKVIVVTPTYVRTFQAMHLTGVMHSLMLVPYDLIWIVVEAGGVTNETASIIGKSGLKIIHVGFNQKMPSLWEDRHKVESLMRLHALRIVRKERLDGIVMFADDSNMHNMELFDEIQSVKWIGAVSVGILLHSVDAAEISSLVQKEGDEDTMPMPVQGPACNGTDKLVGWHTFNSLRYTGRHAVYIDDRAPVLPTKFEWSGFVLNSRLLWKDVDDKPEWIKDLDALDGDGEKIESPLSLLKSTSVVEPLGSCGRHVLLWWLRVEARTDSKFPARWVIDPPLDITVPSKRTPWPDSPPELPSNENEKVFAAGAEVHSNTHTTKTKTPRSRRSRSKRKHDTKVIGVQVSTHSEQTEI >RHN45357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17644431:17644853:-1 gene:gene39641 transcript:rna39641 gene_biotype:protein_coding transcript_biotype:protein_coding MLETQISQVAQKVATSSQTLEVFPGQTEANPKGLINAIQLRDCKHLEDTIMKTKTIEGEIESEKQQGEKVIGESDKPIVSPPYKLKIHVPQRLAKPNFIVIESFSTPCVIESETIEKTMCDLGKNLRLMSLSLWERLGIG >RHN52926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40425143:40428731:-1 gene:gene37663 transcript:rna37663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PRONE domain-containing protein MVRAFNHQFSMQKSRSFHFRKMFEIPGKHIQGLFDKDHDEGSDHSDHKVHSKSFESARYTSDIPLETQPIGVSLNINNDHIQEAVARMPPKPPPTESDLMKERFAKLLLGEDMSGAGNGVSSALALSNAITNLAASVFGEQSKLEPMSQERKTRWRKEIEWLLSVTDHIVEFAPSQQLAKDGSTMEIMTTRQRSDLLMNIPALRKLDAMLLDILDNFRDQNEFWYVSKSDEEAEGNTVTQRKSDKWWLPIVKVPPTGLSDVAVKWIQFEKDNVNQVLKAAMAINAQVLSEMEIPDNYIESLPKNGRESLGESIYKCITVEYFDPGQFLSTMDMTTEHKVLDLKNRIEASIVIWKRKMNKDGKSSWSSAISMEKRELFEERAETILLMIKQEFPGLPQSSLDISKIQYNKDVGQAILESYSRVIESLAYTVLSRIDDVLYVDSMTKNPSLAASSRRFSLDSLPMSDQTSPNSEDSGIGRMSSSDTPPSMTLSDFMGWTKGDLKRTNSTSDLEDLKEKDEKVLNKLGTPKKNYYLDKLEYLNAIRSPIARH >RHN64919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62966387:62973072:1 gene:gene27797 transcript:rna27797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative XS domain-containing protein MDYSSEEESDISESEIEEYSDKPYKELKDGKYKVKNLNGTLRCPYCAGKKKQEFKYKDLMQHATGVSKGSANRNAKQKANHLALAKYLETDLVNEADQIPPPALAEAVNQPVPQVENYVWPWTGIIVNIKSNFNDSGYWLKEFAKYRPLDVHVFFMDGDTQAVVDFNNDWNGFMNASEFEKSFETKHHGKKDWNSKDMQASSDIYGWVAREDDYNCGGPIGEYLRNKGRLRTVSDIVQEASESRNSIVENLANEIDITNENLNKMQYKYNEKTMSLSRMLEEKDRLHNAFVEESRSMQRKAREEVRRILEEQEKLRNELDEKMRKLDTWSRDLNKREVLTDQERQKLEEDKKKKDSRNESLLLASKEQKIADENVFRLVEEQKREKEEALNKILQLEKQLDAKQKLEMEIEELKGKLQVMKHLGDQDDEAIKKKMEEMSTELADKIESLEDMESMNQTLIVKERQSNDELQEARKELIKGLNEMLTGINKTNIGTKRMGDLDEKVFVQVCKKRFVSQEEAGMKAMELCSVWQENVKNSAWHPFKVVRVNDTHESVINEEDEKLKKLKQEWGDEIYSAVETALKEVNEYNPSGGYSVHELWNFKEQRKATLKEVITYIVEHMKQLKRKRG >RHN78099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11879617:11882837:1 gene:gene1616 transcript:rna1616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Extensin domain-containing protein MIGIILQSLITRNISKVTCKAGSKIVKAYGKTKNNGKYAITVKDFDYVKYGSTVCKATLYAPPKGSPFNIPTKLNQGTKLYLKSKDKYEVVLKAKPFAYASKKHFKECEKPKPSPTPFYNSPPPPVHYYSPPYYYKSPPPPVKAAPTPYYYKSPPPPAPVYKYNSPPPPVHYYSPPYTYKSPPPPVKTAPTPYYYKSPPPPAPVYKYNSPPPPVHYYSPPYTYKSPPPPVKTAPTPYYYKSPPPPAPVYKYNSPPPPVHYYSPPYTYKSPPPPVKVAPTPYYYKSPPPPAPVYKYNSPPPPVHYYSPPYYYKSPPPPSPSPPPPYYYKSPPPPKEISHPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPAPPSPSPPPPYYYKSPPPPSPAPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPQHPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYHYQSPPPPSPISHPPYYYKSPPPPSPSPPPPYHYVSPPPPVKSPPPSVYVYASPPPPIYH >RHN50776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10417989:10418794:-1 gene:gene35104 transcript:rna35104 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLILATPLQRINFVIGYEEKAEEIYMLNDWICLEGTSICNSRGCT >RHN39619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8749560:8757553:-1 gene:gene45659 transcript:rna45659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MELMQEVKDYSQEELEEDEEEIMTKTTREEEESSSKQQLQHCTFSSPPSNFSLSSSSPSTTHHHNHNHNHNHNHDVTNVSHQQNQWLGMNHHHHHSPESHNSAASKGGLGLLHQHQHQDATTSNFTNLKQIDFMELSLGNNNNNKNKDVVINDPVPQEQEQQPSTHEKEHMFDKAVTPSDVGKLNRLVIPKQHAEKYFPLDSTSNEKGLLLNFEDRNGKLWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFQRGVGELFRHRLFIDWRRRSNHNHHTIDPSSATLFTPFFLPNQQQYSIRWGGANSRFYSLPYPTPQRLQHQQQNHHDPLHNQMNFNHNMFPFHQYGGGNVNHQYNFHHHDPSSVFYMRSLAPSSMSMPQGSLSTRSQQQEGGGGSNVSNMIIDSVPVSHYHHHHGNLTSNATTSTTTNGAAKRLRLFGVNMECGGSSTSDDNLASPSSIVPSLQHLKVPHHEETLSSSTSTRFEEDKRREEASMIFGLDTSLQYHNYQQQ >RHN71049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56084994:56085351:-1 gene:gene19692 transcript:rna19692 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGQVIEVHSVEAWNEQILKGNDSNKLVCCGHYKVSQHSLSSLTNAHIILFKRSNIIMLNPSHIFYSIKLFIYLSPSSFKQVLKGNHIDRL >RHN79267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26863470:26865984:-1 gene:gene3035 transcript:rna3035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MKYQLLSIIFTIIALTKVNSFYFNFPTFQQSDRSTKLLLSKFANIYKDAIQVTPDNRGEIENYSGRAFYIKPYTLWNKNKLSSFNTTFVLNISPQTSPGGEGIAFILASDTSLPENSAGEWLGIVNASTNGTSRAGILAVEFDTRQSSTKDGPHNHVGININSIASIVQASLDNTKVNLSSGIHVTVRIQYFNDVISVFASMGDYLLDSMETILVSPPLNLSSYLRQEVYVGFSASTSNYTELNCVTRWEFNGVDIGSKGKLLWIIWIIIPLVILIGGFVFLFLYCRRKRSDEVQEDAYPRIEDQIQHSSMAPKKYQLKELVKATNGFSHQNKLGQGGFGTVYKGILGNNKEIAVKRVSKDSRQGKQEFIAEVTTIGSLHHKNLVKLIGWCYEKKELLIVYEFMPNGSLDKYLFNQSRELELHYSKVLDWKTRNGVIRDVAQALDYLHDGCEKKVLHRDIKASNIMLDFDYVAKLGDFGLARTIQKRNETHHSTKEIAGTPGYMAPETFLTGRATVETDVYAFGVLVLEVICGKRPGNVYAQDDYKNSIVYWVWELYGNGKIVSVVDKRISGKGDDEDERVKFEEEVEIVLILGLACCHPNPNKRPSMKTVLMVLNGEASPPMVPIERPAFVWPAMPSSFKEGEDSSLINGTLTPFTELSGR >RHN45443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20908134:20908593:-1 gene:gene39784 transcript:rna39784 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTNLSTQLVQDQPALQEFIPSHPEALGRNGEENGKADLSFLREEIQNLGFLCFFSQPKTLQVQVFKLMFYLKHRVDYMILYLFLH >RHN44600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6661513:6662034:-1 gene:gene38738 transcript:rna38738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MIDIISCRQLESLFCSSGSCSFCTNIHKLEVLELQRLESLTVVYKVVDQSLSRSGIFSCLKYFNISKCNLIETLLTPPLVQGLRNLEEMSVCYCKSMKEIFSVSNCDDEDSTSSIALPKLTKLLLWDLPQLKIVCKGSIRCGTSLPKLVINLCPRLDKQHPTIEIQDVQIRNF >RHN65307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1083240:1086775:1 gene:gene13132 transcript:rna13132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fluoride ion transporter CrcB MEHGVGVNKLQLHLGASNSVSSILPLTSEQMSPLLSNEREDSEDIQQEPSKELPKLLDNASYLIHLAVFGILGVLTRYTLDKLFGPSVGHVTSDQTILYLDLPSNMVGSFLMGWFGVVFKEDISNVSKYLAVALTTGYLGSLTTFSGWNQKMLELSVTGNWLFVVLGFLIGLFLVAFSIIFGIETAKGFRWLLTRLNVTSGSETSRDKTKAKVEAFKHHLVVMLVFLLILGLLWGVSGVLMIAEFKNGENAYLWIACIVGPLGVWIRWLLSRLNGHGLGSRGLLSWMRFGTLTANVSAACIMAALATTKIYVNTRDCDTIVKGIQFGLFGCLSTVSTFVAEFNEMRESEHPWRAYVYTIITICSSFFLGILIYSVPIWRMDEI >RHN53602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2787887:2796842:-1 gene:gene28526 transcript:rna28526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cu(2+)-exporting ATPase MLFYCFWVTGCYTICEAIEDAGFDAALLTDVTNENTIQVCRIQIKGMTCTSCSTAVESALKALSGVVGAQVALATEEAQVHYNPNIITHSQILEAVDEAGFEATLISSSEDLSKIDLHVEGDLTNNDMIKLVEDSLRSLPGVLELHTNLEFNKISLSYKADITGPRDFINVIVETSNGNLKAKIFPSEGGRRDAHRKKEIKSYYKSFLWSLVFTVPVFLTSMVFMYIPGIKNLLDSKIVKMLTIGEVIRWVLATPVQFIFGWRFYTGAYKSLRRGSANMDVLIALGTNAAYFYSVYSVLRAATSKVFEGTDFFETSAMLISFILLGKYLEVLAKGKTSNAIAKLMNLTPDTAILLSLDGEGNVVGEEEIDSRLVQKNDVIKIIPGAKVASDGLVVWGQSHVNESMITGEARPVSKRKDDTVIGGTLNENGVLHVKATKVGSESALSQIVRLVESAQMAKAPVQKFADRISKYFVPLVILISFSTWLAWFLAGKYNAYPKSWIPSSMDSFELALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTIGKPVIVNTKLLTKMVLREFYEIVAAAEVNSEHPLAKAVVEYAKKFKDEENPSWPEARDFISITGHGVKATVRNKEIMVGNKGLMVDHNIAIPAIAEDLLAEAENMAQTGILVSINGEVAGVLAISDPLKPGAQEVISILKSMKIRSIMVTGDNWGTANSIAREVGIEDVIAEAKPDQKADKVKNLQASGYTVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTLFRIRLNYVWALGYNLLGIPIAAGVLFPSTGFRLPPWIAGAAMAASSVSVVCCSLLLKYYKRPKKLNSLDIGAILIETSSDPLIIHDD >RHN78281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13588780:13589256:1 gene:gene1816 transcript:rna1816 gene_biotype:protein_coding transcript_biotype:protein_coding MEKILEVAKQTERNRTCMVKVGVTKTMIMVIKKKFKQGNTIGLEEALKITRLLWNEATINNRVKLLVGKNMDFMNLLTWILKIYIDNNNFEMVNEVMPVLKLTIDVVDSNLLRNLNIEFFITFSKQAIKSVLHVLIETCPFGWKPNEDHGSGRSNQTH >RHN66957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22169621:22172005:1 gene:gene15082 transcript:rna15082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MTILPSQMSLLLLLLLSITIFHKTMCNNPTVVRCNENDRETLLTFNQGITDSLGWISTWSTEKDCCGWEGVHCDNITGRVTKIDLKPNFEDEIIDYLLKGEMNLCILELEFLSYLDLSHNYFGEIRIPTIKHNITHSSKLVYLDLSYSLDTINNLHWLYPLSSLKYLTLSWIDLHKETNWPQIVNTLPSLLELQMSHCNLNNFPSVEHLNLSSIVTLDLSYNNFTSHIPDGFFNLTKDLTSLDLSYSNIHGEIPSSLLNLQNLRHLDLSNNQLQGSVPDGIGKLAHIQHLDLSENQLQGFILSTLGNLPSLNYLSIGSNNFSGNILNLYFSKLSSLNHLDLSNSSFVFQFDLDWVPPFQLSYLSLRNTNHGPNFPSWIYTQKLLHVLDLSSSGISFVDRNKFSSLIEGIYDELYLSNNLIAEDISNLTLNCSALFLDHNSFTGGLPNISPIVEFVDLSYNSFSGSIPHSWKNLKELTVLNLWSNRLSGEVPLYCSGWKQLRVMNLGENEFYGTIPIMMSQNLEVVILRDNRFEGTIPPQLFNLSDLFHLDLAHNKLSGSLPHSVYNLTHMVTFHLSLWYSTTIDLFIKGQDYVYHVSPDRRTIDLSSNSLSGEVTLQLFRLVQIQTLNLSHNNLTGTIPKLIGDMKNMESLDLSNNKFYGEIPQSMSFLTFLDYLNLSYNSFDGKIPIGTQLQSFNASSYNGNPKLCGAPLNNCTIKEENPTTATPSTKNEDYDSMKDSLYLGMGVGFAVGFWGICGSIFLIRKCRHAYFRFIDRVAGNLYVTLVVKLNSFRRN >RHN39949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11879331:11879747:-1 gene:gene46033 transcript:rna46033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MESFNGFYDVVTIMIGFTLLFTTSLCSEISQPPSGGPFNDVASAPKPLSPYEKYLTNCASKLKPAECGKQIFSGVFVGNQIISDYCCHSLVNDVGKSCHYDLTRNALQWPAYAKNKTEILKRNDKVWNDCIAVHPILP >RHN58366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1147929:1149188:1 gene:gene20272 transcript:rna20272 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELAAFSFGPKGYLSNKNKHVSALAFNPKAYYLIIRFPYSGTWKIFYRLVLLALFVASFPLISSSFVSRNPSLDDSASNMKILPQQQLNGFVNMDQLLTLLFNDLTNEGLVKKSNKHKAVFLGDQELEGVHQFQSFIDQYNMDYISLNDMEKQSSILDGTVDFVFTSNFTASSQFIDRTLKTNGIAAVVILNAAAFHKPSNYKVAYMRRFQKVVMAMKKITTSPVKLGSQRKLLGYATEAKRAALQKLEDVLLEPPRAASGKSRVYLKRIKYLPDLMGDTLESYPRRVFIDVGLPQKDGGSGTDWFSKNYPTRNKNFEMYKIETVVESSPTAQVEMSDWLMKNVKDEEYVVMKAEAEVVEEMMRSKSIMLVDELFLECKPQGLNLKRGTRGKRAYWECLALYGKLRDEGVAVHQWWG >RHN77301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5880324:5883429:1 gene:gene743 transcript:rna743 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIRENEEWTDEKHSMYIKSIEASFVNDLYDSKQPGASFSSKGRPNESTTGQFKVLRGGCWQKLNFERENPQMSKVNPHNDFIASPWIQHYRSSIKQESAVAPSPTSQQVVTSSQRKKISSKAGHLHMYESQVYHENMICSDTEVSDQNFVDEEVKCEKKNTSNAKRRKSLDF >RHN70681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53166666:53173061:-1 gene:gene19291 transcript:rna19291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-deoxy-D-xylulose-5-phosphate synthase MMGTVCARYPFVIPFHSQTLTRRLDCSISQFPLSRITYSSSSRILIHRVCSRPDIDDFYWEKVPTPILDTVQNPLCLKNLSQQELKQLAAEIRLELSSILSGTQILLNPSMAVVDLTVAIHHVFHAPVDKILWDVGDQTYAHKILTGRRSLMKTIRKKNGLSGFTSRFESEYDAFGAGHGCNSISAGLGMAVARDIKGRRERVVAVISNWTTMSGQVYEAMSNAGYLDSNLVVILNDSRHSLLPKIEDGSKTSVNALSSTLSRLQSSKSFRKFREAAKGVTKRIGRGMHELAAKVDEYARGMMGPPGSTLFEELGLYYIGPVDGHNIEDLISVLQEVASLDSMGPVLIHVITNENQVEEHNKKSYMTDKQQDESVSFDLLDNAGRLQTYGDCFVESLVAEAEKDKDIVVVHAGITTEPSLKLFMEKFPDRIFNVGIAEQHAVTFASGLSCGGLKPFCIIPSSFLQRAYDQVVHDVDQQKVPVRFVITSAGLVGSDGPLQCGAFDITFMSCLPNMIVMAPSDEAELVHMVATAAHINDQPVCFRYPRGALVGKDEAILDGIPIEIGKGRILVEGKDVALLGYGSMVQNCLKAYSLLANLGIEVTVADARFCKPLDIELLRQLCKHHSFLITVEEGSIGGFGSHVAQFIALDGLLDRRIKWRPIVLPDSYIEHASPNQQLNQAGLTGHHIAATALSLLGRTREALSFMCL >RHN40149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13631075:13634607:-1 gene:gene46259 transcript:rna46259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-hydroxy-tetrahydrodipicolinate synthase MRSKLSPYINVISLNLSTECHLIKSHLDPLTIPIIPPPYSFSVCLLFIPSLLPPFSLTLQLRIFNRVGSKFSSANCSELHPTMAMLRGLSSRIFRSLTTTNLTYRTSYRKSQLATALPSFDRPMSTSGVKERTSMEELRSLRMVTAVKTPYLPNGQFDLDTYDNLVNMQIEKGVEGILVAGTTGEGYLMTWDEQIMLIAHTVNCFGDKVKVIGNAGSNCTSEAITATEQGFAVGMDAAMHINPYYGKTSSDGLIAHYNSVLSIGPVIIYNVPSRSSHDIPPSVVEKLAENPNLVGIKECIGNDRVKMYTGKGLHVWTGNDEESHDARWECGATGLHSVAGNLIPGLMRKLMFEGKNPTLNAKLKPLFDWLFHVPAPIALNTALAQLGVIKPIFRLPHVPIPVEQRREFVNLVKEIGREHFVGEKDVQVLDDDDFIVVARY >RHN51550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19665855:19666817:-1 gene:gene36007 transcript:rna36007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S3 MSKKRKFVADGVFFAELNEVLTRELAEDGYAGVEVRVTPMRTEIIIRATRTQAVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKQNYMISSGQPVKDYVDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPKTPLPDIVTIHTPKDEEEYTRPAAVVAPLPVPIAV >RHN41828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33400675:33401422:1 gene:gene48190 transcript:rna48190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane transport protein MSFLEQLKVASAPIIQVLLISAVGAYMATEHGNNLLAADFRKSLNKIVFTAFTPALIFASFAKSVSLDDMISWWFMPVNIGLTFLFGGILGWIIVKLLKPNMKVEGLIIASCSSGTDACFDAFDNCYRVNWQIGLDL >RHN56286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29522612:29528227:-1 gene:gene31658 transcript:rna31658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Galactose-binding domain-containing protein MNFDYFISASTDFVLLDDTTFFNIIKHPDLTVTSEEKVLNAILMFGMNANELFAWEVVDQLIINSKPELLFGERLQLVSDLLSFVRFPLLQHSLLDKLQNSHIIRHIPVLQSLVQEAINFAKHGLGRPENENNVRFQHRRSSYRELQYICDGDDHGVLYFAGTSYGEHQWVNPLLAEAKKITITASSPHSRYTDPKVLVSRTYQGTCFAGPRLENGHNCSWWMIDLGQDHQLMCNYYTMRQDGSKAFPRCWNIQGSADGKSWRDLRVHENDRTVCKPGQFASWPVVGPNALLPFRYFRVVLTGPTTDATNPWNFCICYLELYGYFL >RHN72271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6307731:6309683:-1 gene:gene7965 transcript:rna7965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like jelly roll MKILYFLVSILALASSLAFAYDPSPLQDFCVAIKDPKDGVFVNGKFCKDPALVKAEDFFKHVEAGNASNALGSQVTPVTVDQLFGLNTLGISLARIDFVPRGLNPPHIHPRGTEILIVLEGTLYVGFVTSNQDNNRLFTKVLNKGDVFVFPIGLIHFQLNVGYGNAVAIAGLSSQNPGVITVANALFKSNPLISDEVLTKAFQVDKSIIDYLQKQSWYDNN >RHN78665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17313491:17314312:1 gene:gene2299 transcript:rna2299 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKSSSGFGFSSPYQLEMEPPMMQNHNLSSCLSCFSFGFGVSLGLTKGTFQCIWNQSIPHFVFCADKNEIYVAKLNEIEPKDDESLDYVYLIYLTKGSKKGNKIFDSDLQQLVGKLNVSTIFTLCSNNYIMMETHFLLFGDIQIHDKEMHTSKKVGKVLRTCSYGLSENNLLGKNVPTNFEVGAIVVKEHVPFNCLEKVGGWGSKFLNKLPSECYGGKNEDYSNSMNIVIPGEIHGGPKSRDGGPGPSGLIDRWKSGGCSKKMSMETARHLI >RHN62976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47230874:47231301:1 gene:gene25619 transcript:rna25619 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKFGFSAFLFLHIRINRTAKPCFDQPVTRRNRTIKWTEMGSNL >RHN38690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1333682:1334236:-1 gene:gene44651 transcript:rna44651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MDLKALHVLNFSNNAFSGEIPSTIGNLKQLESLDLSNNSLFGKIPVQIVCMSFLSYLNLSFNHLVGMIPTGTQLQSFPASSFEGNDGLYGPPLTEKPDGKRQDLDPQPTCRGLACSVDWNFLSVELGFIFGLGIIIVPIMSWKQWRVRYWQVVDKILCWIFSRMNLEYATDRGQTYTVLRWRYH >RHN39432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7080220:7089230:-1 gene:gene45454 transcript:rna45454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MGSGGRPLFDFDLNEPPTEDNDEKDSVFCFQPQKTQPSTNSHSSDLLVASTAAQGIMNNHAFSHASTVSGFQPFIRSKSASVPGADSDLKNAGDSGAKSSSKFIKDEDVKVKESHISGLTKAQSTEREEGEWSDDEGFADANGGNNPPQQSHAPEEQTTSVAVNGSSAVASDSKSSNIKSSNSNSLNDEKNSRASIGLESDSSEQKNNGIPNSESNVKSEASIDAQEEPGLVPKQKEVKGIEASHAIRAANIPGKRKIDQQKEKMLGKKRTRQTMFLNLEDVKQAGPIKTSTPRRQAFTSPVISRTVKEVRTIPAQVERAGIAKDPNLVDSSSGEGVSQIETHEPKSDCNGDNSIQFGRSRRINSEAEPPIEANLPPIPRQGSWKQQTDLRQQKNAFVSNRKSGQSGQSSNDVRLGNKKYPSIKKQAPVSFQSQDSSVERLIREVTSEKFWHHPGETDLKCVPGKFESVEEYVRVFEPLLFEECRAQLYSTWEESTETVSRDTHIMVRVKANESRERGWYDVKLLPAHEFKWSFKEGDVAILSTPRPGSVRSKQNNSSLGHDSGESEITGRVVGTVRRHIPIDTRDPPGAILHYYVGDSYDPSRGDDDHIVRKLQTGSIWYLTVLGSLATTQREYVALHAFRRLNMQMQSAILQPSPEHFPKYEQQTPAMPECFTPNFTEYLRRTFNEPQLAAIQWAAMHTAAGTSSVATKRQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKHVAPESYKQANELNSDNAPTGSIDEVLQNMDQNLLRTLPKLVPKPRMLVCAPSNAATDELLSRVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTEQLLVKTREEVMGWMQQLRNREAQYTQQLHCLHRELNATAAAVRSQGSVGVDPDLLMARDQNRDVLLQNLASVVEGRDKVLVEMSRLAVLEGRFRPGSGFNLEEARASLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVGVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLSDSESVIKLPDEAYYKDPLLRPYIFYDIRHGRESHRGGSVSYQNIHEAQFCLRLYEHIQKTVKSLGLPKISVGIITPYKLQLKCLQREFEEVLNSEEGKDIYINTVDAFQGQERDVIIMSCVRASTHGVGFVADIRRMNVALTRARRALWVMGNANALIQSEDWAALIADARSRNCYMDMDSIPKDFLVTKGPVYTPLPGKPPSNMRGIRSGGPRYNRSMEMHTESRVGAPSEDDERMNGASASSRNGNHRPSRYLTENSLDDSRDAWQHGNQKRQGSTGTMAKRDV >RHN63467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51501338:51509406:-1 gene:gene26166 transcript:rna26166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferric-chelate reductase (NADH) MDKKNPSTRRNSMIKNPFHYIHIIFTNSNIKVLFPSWISLWILKPTQVWTKKWKHVEQSANNTIFGYYGLNFAVYTFPIIAIAIIGLLFLDLKAGYQRSRNGRSSSSSIVFSNPLVVNSVVGILSSIEILVVFLFIVFLAWTYYARISNDFKKLMQDKSLKLNIWQLKSLRIATRFGLLAEACLALLLLPILRGLAIFRIFGIQFEASVKYHTWIGTAMILFATIHGASTLFVWGVSHHIQSEILKWQKTGRIYLAGEITLVAGLVIFVTSLPQIRRRKFEIFYYTHHLYIVFLVFFLFHAGDKHFYMVFPGVFLFSLDKLLRIIQSSPKTCMVSARIFPCEAVELILPKDPRLKYNPTSVIYLKIPTISHLQWHSFSIISSSKADDNNMSVMIKCQGWWTTTLYDLIQTELNKGADKRKGIPVAIEGPYEPASLDYLKHDSVIMVAGGSGITPFLSILTEIDSITNKNKFPSRIQLVHVIKRAQDVCLLHSITHLLLNRSSEKFHLKLKLFVTQETQLSMGITELLNEFMKVKTLQLDSVSSNYYAAYGPESPVWMAAITGGCSISFLIFLICFNHTIVPSEKHSKLSKEKTPSWIVDLLLIAAFVIALSCSALIAIVLRWRRLKKGIPKKGLTQTSQMEIKPFDPSSAEPKNALEEHEVHFGGRPNFKDILGKFKNETCGSDIGVLVCGPESMKESVASACQQESECFKLGVKRTEPCFTFHSLNFTL >RHN70399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51184572:51185608:1 gene:gene18975 transcript:rna18975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKTLKIFYAMLLFLFRLLIVKEVSGKRNLPECSTDDHCKQVSSKFYRHICHNNRCKIVMFMPPNV >RHN71446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:229244:232586:-1 gene:gene7050 transcript:rna7050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative STAR protein, homodimerization region MGEWIPQSGNNNGSYFQFPPHAPASAPPPPHPPHGHGHGLPPSPIRFSDRERYLAELLAERQKLGPFLQVLPQSTRLLTQEIRRISSAGSGFIMEHDHPDSSTTPFRPPLPQHPITRPMDFDWPHREDNGNIQRMGSFQASPVGWHGPQGIPTTPIVKRVIRLDVPVDKYPNQYNFVGRILGPRGNSLKRVEAMTECRVYIRGCGSVKDSIKEEKLKDKPGYEHLKEPLHLLVEAEFPEDIINSRLDHAVAVLENLLKPVDESLDHYKKQQLRELAMINGTLREESPSMSPSMSPSMSPFNSNGMKRAKTGR >RHN75650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44096209:44097357:1 gene:gene11884 transcript:rna11884 gene_biotype:protein_coding transcript_biotype:protein_coding MCATRGALVVATSVGLVETLKDQGYCKLNNKIMRSMAQHVENQMRSLSQANMCPNSSSTISKKHSDENKKKAEESLRTVMFLSIWGPNC >RHN80363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37459859:37461269:-1 gene:gene4278 transcript:rna4278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycolipid transfer protein MAIVKSDIGGNISRLESKYLSNPAKFNCLYSLVQVEVETKTAKASSSCTTGLLWLTRAMDFLVAVFRNLIEHADWSMSQACTDSYYKTLKKWHGWLASSTVTEEIHGGGDINADIEQFCTSFSPFLEENHKFLVKFLLAY >RHN64706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61165761:61169925:1 gene:gene27554 transcript:rna27554 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSQSKLDDEEAVKICKDRKRFIKEAVEHRTQFANGHIAYIQSLKRVSAALLDYFEENEALEFSFDSFVTPPAKNKASPAVISISKHSSPTTIEFGPNTTTLKVNYLRPSGNPPISVEERPPSPEMVRVEMYSPMYQYGMDGFFGMQSQPMNASIFAYSPNNRPVIPPASPQSSQWDSFWNPFTSLDYFGYPNGSSLEQIVMDDENRGLRKVREEEGIPDLEQEEMDDEQEGCVVKRNVAEERTKIDVNSSKEEVMVEDVDKHKEEEKEKGTDAETETAQEISDSKINGGECFQVSKSQSSGHMESSHKEMAIDTEEAKEKTPGFTVYVNRRPENMAEVIKDLESQFTVVCNAANDVSALLEAKKAQYLSPSNELSASKLLNPVALFRSSPSKIITNFSKTRDEVYDDPSEEQCVFSVSHQSTLDRLYAWEKKLYQEVKSGTRVRLAYEKKCLQLRNHDIKGEEPSSVDKTRAAIRDLHTQITVSIHSVEAISRRIETLRDEELHSQLLELLQGLAKMWKVMAECHQTQKQTLDEAKILIAGIDARKQSSMSITDPYRLARSASNLETELRNWRNTFESWITSQKSYIHALTGWLLRCMRCEPDASKLICSPRRSSSTHPLFGLCIQWSRRLDALEETAVLDSIDFFAAELGSFYAQQLREDSAQNATVGSNVNMEMVEVAKVGEEVMEVAVKVLCGGMSGAMSSMSEFAIDSAKGYNELVKQWENAKLQQTCEAAK >RHN51890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27755558:27756977:1 gene:gene36449 transcript:rna36449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAGIPCYFYGSIIFLSLFLLAAFFEKGYMIPCATSDDCLKNMCRPPLTPRCIEHNCKCK >RHN49271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53707033:53707589:1 gene:gene44085 transcript:rna44085 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLRMLKKIPNKESKRDYQESVGLRHGWTVVGVGGGERVNGDDLVGGGCFMMKMREGELVLLWMVSVLYAMLKNYGDLCVISVLLLVVMVEA >RHN44635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7051572:7052695:-1 gene:gene38778 transcript:rna38778 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTFSKITYYHVQRMLISNIHGIYDYSGFFSNLLMRLMLEVA >RHN53937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5495078:5500178:-1 gene:gene28907 transcript:rna28907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative formin, FH2 domain-containing protein MKPHNSSFNIIITIIFIFFFSSLSVNTAKRFNESDHHHTRRILHQPLFPASSAPPPSPTTVTPPPPQPSSDNIPFFHEYPDAPPSNQNQAAPLTSNATTANPTATQPAKGTKKVAIAISVGIVTLGMLSALAFFLYKHRAKNAADTQKLVSTTTQRNNSLQDSSNSSAPVVASNFLYIGTVEPSRRSTTVNDQNQTEKPNRSPYHKLNSVKRSDRYRPSPELQPMPPLSKPPNGNIPPAMSSEEDSDEESNETAFHSPQNSSVSQEDGFYTPYSVSRQSSLSNGSPAKKESNSTVTPLPKSKRTSPKSKSALSASSPDIRHVIIPSIKQTPLPQRQQSEKQLTSSGGIGHSRKPKFSAPPPPPNLVHLQSTTNTVSHVSKTSLNHPPPPPPPPPPPPPLMTRKSVSPTQAVSASISRLSEGPGSVGSVTKGSEPVSDHVDESLNLRSSSERLVEEANETEGGKPKLKALHWDKVRATSDRATVWDQIKSSSFQLNEDMMESLFGCNNGTNSAPKPKEQGVRKSVLPSVDHENKVLDPKKSQNIAILLRALNVTRDEVSEALLDGSPEGLGAELLETLVKMAPTKEEEIKLKNYDGDLSKLGSAERFLKAVLDIPFAFKRVEAMLYRANFDSEINYLKKSFQTLEAASEELRNSRLFFKLLEAVLRTGNRMNVGTNRGDAKAFKLDTLLKLADIKGTDGKTTLLHFVVQEIIRSEGAETASTNGSIPNQMDSKFNEEEFKKNGLHVVAGLSKDLGNVKKAAGMDSDVLSSYVTKLETGLEKVRSVLQYEKPDMRGNFFNSTTLFLKYAEDEIVRIKSHEREALFLVKEVTEYFHGNAAKEEAHPLRIFMIVRDFLNILDLVCKEVGRMHDRIVGGASRSFRIASNAPLPVLNRYNGRQDRSSDEESSSP >RHN71817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2797410:2802079:1 gene:gene7454 transcript:rna7454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nitric oxide synthase-interacting protein, zinc-finger MPQRHSKNNNDLAFFTYDEKRKLGYGTQKERLGKDSIKPFDACSLCLKSLIDPMSCQKGHLFCKECILECLLSQKKDIQRRLVAHSAQQKQEKEEEEEKLMLQKAKELDAFDQQNHGAVPQYSDRNYNRDKNGFHGANSVKVTSYEEEALRTMKAFWLPSATPEASVKVEAPSTSTICPEGKENLKLKTLFPVQFTEDTSEQKKSNALDRSYICPSCKTTLTNTMSLVALSSCGHVFCKKCSDRFMAVDKVCLVCNKACKERHLVNLKKGGTGFAGHDDNLEAKDFKHLGSGSGLGLVRPAMKT >RHN75378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41744597:41744968:1 gene:gene11567 transcript:rna11567 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLVVVLSPSLLLWVALSKLLLSSEVFQLCLVLGPVGFVAVSSLGLGGYRRDLLRWFGFVNPKGYGSINPKGSVVTYALIHPLIWVNALKLV >RHN73869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20836361:20839875:1 gene:gene9754 transcript:rna9754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MGRKQTKSTPRKVIDVEPEPEPDPDRISCLPGHVIDQILTYLPIREAVRTSVLSKKWRNKWYTLPNLVFDRQCLSAAAYEDTLVIQMKFLKIVNSVLLHHSGPINIFKFSMCAPIDESLVSDVDQWILYLSRKSIKELVLDVCTEELYKIPWCLFSCQSLHHLKLHFCCLKPPTLFKGFRSLKSLDLNHVAVAQDAFENLISGCPLLEKLKLTEVGGFAQIDICAPNLKFLEIHGEYEGRSRGHSSNMLKFFDHRPHIQCLVIRSCFLKYLTAGVLPKKLPTPCMDLSHLSLSINFDDLKEISAALCLLRSSPNLRKLEIFKEIEVHTVPLTPAAYCWEKIFSAPAMPIRVRHVTIDGIFGNKLELDFIKFLLLYSPVLEKMTVKPVENFIPELMRGLIRFKRASGEAEVILEDFT >RHN40786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21150424:21152286:1 gene:gene46995 transcript:rna46995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MAGRNDAAIAAALEAVAQAVGHQPNAGANAESRMLKTFMRNHPPTFKGRYDPDGAQTWLKEIERVFRVMQCTEVQKVRFGTHQLAEEADDWWVSLLPTLEQDGAAVTWAVFRRDFLSRYFPEDVRGKKEIEFLELKQGNMSVTEYAAKFMELAKFYPHYTPETAEFSKCIKFENGLHAEIKREIGYQQIRVFSELVNSCRIYEEDTKAHYKIVNERKTKGQQSRPKPYSAPADKGKQRMIEDRRPKKKDVPAEIVCFNCGEKIHKSNVCPEEVKGCFRCGKKGHALAECKHNDIVCFNCNEDGHIGSQCKQPKKAPTTGRVFALTGTQTETEERLIRGTCYIDNTPLVAIIDTGATHCFIAFDCASTLGLVMSDMNGEMVVETPAKGSVTTSLVCLKCPLSMFGRDFEMDLVCLPLSGMDVILGMNWLEYNHVHINCFSKSVYFSSVEEERGVEFLSTKQLKQLEHDGIVMFSLMASLSIENQAVIDKLQVVCDFPDVFPDEIPDVHLEREVEFSIDLVPGMKLVSMAPYRMSASELAELKKQLENLLEKKFVRPSVSPWGAPVLLVKKKDGSMRLCIDYCQLNKVTIKNRYPLPRIDDLMDQLMGTRVFSKIDLRSGYH >RHN67103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23920708:23921938:1 gene:gene15248 transcript:rna15248 gene_biotype:protein_coding transcript_biotype:protein_coding MRALQFEGGSANRVTKGHWLVWHTTIWVLWAKRNDLIFKGLNCVAEDVIEEIKVLS >RHN68489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35923771:35924922:-1 gene:gene16851 transcript:rna16851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MIATSLICLGGPSWDVGLGRRDSITASRSDANNSIPAPFFNLSTLKTNFANQGLSVEDLVALSGAHTIGLARCVQFRAHIYNDSNVDPLFRKSLQNKCPRSGNDNVLEPFDYQTPTHFDNLYFKNLLAKKTLLHSDHELFNIGSSTNNLVRKYATNNAEFFKAFAEGMVKMSSIKPLTGSNGQIRINCRKTN >RHN77163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4553512:4559151:1 gene:gene589 transcript:rna589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar-processing enzyme MDFSQFSTILFLTVILTIFAAVSGSRDLPGDYIRLPSQSQASRFFHEPENDDNDQGTRWAILLAGSNGYWNYRHQADVCHAYQLLRKGGLKEENIIVFMYDDIASNVENPRPGVIINKPDGGDVYEGVPKDYTGAEVHADNFYAALLGNKSALTGGSGKVVDSGPNDHIFVYYTDHGGPGVLGMPVGPYLYASDLNEVLKKKHASGSYKSLVFYLEACESGSIFEGLLPEDINIYATTASNAVESSWGTYCPGEYPPPPPEYSTCLGDLYSIAWMEDSDIHNLRTESLHQQYKLVKDRTINGYYGSHVMEYGDVGLSNNHLFLYLGTNPANDNISFVDESSLKLRSPSTAVNQRDADLIHFWDKFRKAPEGSLRKNEAQKEVLEAMSHRMHVDNSVKLIGKLLFGIEKGTELLDNVRPAGSPLVDNWDCLKTMVKTFETHCGSLSQYGMKHMRSFANICNAGIQTEQMAEASAQACASIPANPWSSLQRGFSA >RHN55677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21026203:21026693:-1 gene:gene30897 transcript:rna30897 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIVEDSSKGASVTKVSCEDSHILGTLIFLKTSLTISNDKTKSWFIP >RHN42551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39252196:39253078:1 gene:gene49003 transcript:rna49003 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQHQYNIHKAFLFCNYILLSASSSCIFLTLSLNLFPSICGIFFILLHAFTIAGAVSASASSSITTLTRWYSAHMVVTVLTAIFQGSVSVLIFTRTEDFLVELKSYVREEDGSVILKLCGGLTILIFLLEWVVLILAFFLKCYSYHEGGDGAMRSGKVQNQEDLKDWPLSLQL >RHN73764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19761975:19763110:-1 gene:gene9626 transcript:rna9626 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRSSSSSLCNVFKACFSSGNNDEYWEGSGSGRRMFASDEDRGCWVAEPGIDRKASDFIARYYATRVTDSQSQFAS >RHN81017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42638236:42640867:-1 gene:gene5006 transcript:rna5006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MEKKDMGLSVKSEDVVDSSSSLLNNGYQFSSVFDFCEVERSSSLGFMELLGGHDYSPLLDVPQLSTMSTVKASSDTTGKECSEVLNQQQPATPNSCSISSASSEAVNDNKTLVDQAEEDEEEEKQKTNKQLKTKKTNLKRQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTTASCNVKKRVERSYTDPSIVVTTYEGQHTHPSPTMSRSAFAGVQIPQPAGVVSGGFSTTNFGSVLQGNYLSQYHQQPYQHQQLLVNTLSSLSHPYNNSSSFKNSPFTTQERVQLCNPGTTAFLRDNGLLQDVVPSHMLKEK >RHN77265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5524439:5527696:1 gene:gene703 transcript:rna703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative feruloyl esterase MELMFIIWSMFLCVLVVTPHCGKATKDIFILAGQSNMAGRGGVLNGKWDGNIPPECKPNPSILKLNTKLKWEEAHEPLHADIDVGKTCGIGPGLAFANEVVRMSGGECVVGLVPCAVGGTRIEEWRNGSHLYNELVRRSIESVKDGDGVIRAVLWYQGESDTVREEDAERYKYRMENLIENLRLDLQLPSLLVIQVALASGEGKFIEKVRHAQLGIKLPNVKCVDAKGLHLKTDKLHLTTMSEVHLGIKLAHAYFASNNHHFNYTQVS >RHN68156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33238056:33239149:-1 gene:gene16465 transcript:rna16465 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKFGSHMQTLVKIGKMDRLEQEVHELRGEVTTLRAEVEKLTSLVSSLMATKDPPLVQQRPQLLCQPTCMKRPRQQGSQPLIPQNQVRKASQCDPIPVKYADLLPILLKKNLVQTLPPWYRHDLNCVFHQGGRQVMTLSSAIL >RHN79937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33650917:33659500:-1 gene:gene3795 transcript:rna3795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MNYHVPDFDIQMDDEDEYPIHHSKKPFTQQDDEIMELLWQNGQVVMQSQNHRQFRKPLAPATTTTASRVIPEHREIRSTDADNYMNQHLFMQEDEMASWLFDSMNEVEDPPFNRHDFSSETIFHSSAGSAGQKHGGGVIQAQATVRESEFVRQSRPAVVAASRPPIHPARKPEMVVNRTHNFTHFTNTNQSNTRSEPGASSSSMIAAGRESTVVDSCDTPIMTAKTYAASRLSETVRSATAETGCVSVSTTGKAATTTLDMTVTSSPGCSSGSAEPVHREPELDRKRKGREPEESEFQSEDVDFECREEKKQHRGSTSAKRSRAAEVHNLSERKRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGIQQFMPAMGMGMGMGLGMEMGMGMNRPVMPFPNMLPNSPLQTAATVAGPFGPRFAMPPFHVPHVPAAAPDSSRMQAENQSDNHLNSLGALPPDQSRIPNPNFADPYQQYLGPHQVQQLMQAMNQQNVNRPGTSGSQENPEKH >RHN64815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62131785:62134793:-1 gene:gene27679 transcript:rna27679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pleckstrin-like, plant MHGLLNFHPVQLICFQNNLVFLGYHHINMASEFNLSPSDAHPDSMDFLSLAWCNFAVQALKPEPQHGSLVLLDNPMKQFEPSSPMALPTSARMDDADFRSIPPLKSNDLKSWIWMQQAMHPELNYNSSLRKKWMPWKQILPLKSMSIKKWFKEVKLKKKEEQRLQRAEVHAAMSIAGVAAALAAIASENSKKESNEDREKAIASAAALVAAQCAKVAESMGAKKEDLRSVIGSAMNGTSASDILTLTAAAATSLKGAATLKVRSGSRNRLNGGAPILPIEDNYDLDFDFEKGRLILAQGAELYVESPEGKYMPRTVSIILNSEAKVVLMMRKHNLLKSKKECIVMNMHAELYKGSETEDDTCYLIVLATRKGTFKLDMVDDLRRYKTWATTINHMLKISTSFAKYELQFY >RHN70355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50833225:50838902:1 gene:gene18925 transcript:rna18925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ClpA/B family, UVR domain, P-loop containing nucleoside triphosphate hydrolase MSRVLAQSINVPGLVAGRRHVNNNNGSVRSRPRSVRMMYATQTATMRLSGFSGLRPLNSLDSMFRPRQDFHSKVLTQIGTNRARGGRGSRCVTRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIGAKVLKSMGINLKDSRVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPTNIRTQVIRMVGEGADNVGATVGSGSSNSKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKQVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVSETIQILKGLRERYEIHHKLRYTDDALVAAAELSYQYISDRFLPDKAIDLIDEAGSRVRLQHAQLPEEARGLEKEVRQIVKEKDEAVRNQEFEKAGELRDKEMDLKAQISGLIQKNKEMSKAESEAGDVGALVTEVDIQHIVAAWTGIPVDKVSVDESDRLLKMEETLHKRVIGQHEAVEAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKTLASYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFERLKTKEIELSVTERFRERVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDADSDGNVIVLNGSSGAPNSLPESLPV >RHN38572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:379001:381346:-1 gene:gene44527 transcript:rna44527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MLDYEWGNPSNIMLTGNEDNSGAAATDQAHRQIFDHYASQAMLSDNYLNGPGGGPTIDINSGDFTHHHNQFSPHNQQHHNIHQSFFDPRAFHGGSSTASSYPPPQPQPPPSMLSLDPLPGHGHSPGFLLVPKSEDVNRPIDFVGSRLGLNLGGRTYFSSEDDFVTRLYRRSRPPEPGSTGSSNSPRCQAEGCNADLSQAKHYHRRHKVCEFHSKAATVVAAGLTQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKTQHPNTQDIHKSHNTLDSSATRSPPESGTQSTSSVTVAVSPPDYFRQRSYQTPSPSTTSSSMFFSTG >RHN81358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45184733:45195962:1 gene:gene5380 transcript:rna5380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligomeric Golgi complex subunit 1 protein MRVPSSPAAGTPHADDHRISTSGYRDAESLFRTKPIAEIRNTESSTRKQIDDKKEELRQLVGNRYRDLIDSADSIVNMKSSCTAISANITAVHDRIRSLSQSESQSRTKLHSQSRAWTYGIACRVKYLVDTPENIWGCLDEGMFLEAASRYSRAKHVHQRLFLDSDEGKVKILASFPLLQHQWQIVESFRAQISQRSRDRLLDRGLRIDAYADALAAVAVIDEFQPEKVLDLFLESRKSWISQVLGNAGAGDDSSFVVSVLCDVLGIIQVTVGQVGESFLQVLNDMPLFYKVILGSPPASQLFGGIPNPEEEVKLWKSFRDKLESVMVMLDKRYIADTCFAWLKECVNKISGRNLIDAIGSGQELASAEKSIRETMESKQVLQGSLEWLKSVFGSEIELPWSRIRELVLEDDSDLWDEIFEGAFLGRMKAIIDLRFRELTGTVDVVNTISAVVGSFTKQNDVQLYLTRPYTAGGVWFLESNAKKTGVASGFKVHPEESEFQSCLNAYFGPEVSRIRDAVDVSCQSILEDLLSFLESPKASQRLRDLAPYLQSKCYQSLSSILIALQKELDSLYVSMESGDKDVPTAVTVEKSLFIGRLLFAFQNHSRHIPLILGSPRFWSSGNASTVGKLPSLVKHSRFGSDSAICDSPGRQTNLNSKRQNSSATAALFGAREDASHELEELNKTIGDLCIRAYNLWILWMSDELAAIVSQDLKQDDALTLSTPGRGWEDIAVKQDQSDENQSEMKISLPSMPSLYIISFIFRACEEVHRVGGHVLDKKILHKLASRLLEKVVGIFEAFLSTEVGGAHQVTEKGVLQLLLDVKFVIDVLSGGDSNLVGELSSNPKAKSSLRRKQGQSLTISAIRERSNQLLNRLSQRLDPIDWLTYEPYLWENERQSYLRHAVLFGFFVQLNRMYTDTVQKLPTNSESNTLRCSTVPRFKYLPISAPALSSRGPKKTFTPSSNEISSRSSWNSITNGDLSQKINLDDSSSLGVAAPFLKSFMQVGSRFGESTFKLGSMLTDGQVGIFKDRSAAAMSTFGDILPAQAAGLLSSFTAPRSDS >RHN42023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34826465:34827586:-1 gene:gene48410 transcript:rna48410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MEVHTLYTKSFLLPLFFLLLTSFISHSKACNVIDKEALLQFKNKITSDPSQLLNSWTLSTDCCKGWNGVTCDSTTGRVVSLTLSGTVDDGIDLPFDTYLSGTLSPYLGNLTNLKILSLVGLMQLNGPIPVEFNKLAKLEKLFLNDNKLSGDLPLEIGSLVSLLELGLSGNNISGIIPSSIGSLKLLTSLDLKKNNLSGGVPESIGNLKNLGFLDLSGNKIGGKIPESIGGLKKLNTLDMMQNKIEGNVPVSIGGLSSLTFLRLSDNLLSGVLPYEIGNLKNLKNLNLQNNMLNGNLPASIGNLNGLRELSLGNNKFSGKIPTTFGSLKDLQNVDFSGNRLRGRIPKSMAKMSKSSFLGNRRLCGLPLPPCKPF >RHN43512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46418442:46419046:1 gene:gene50104 transcript:rna50104 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCQMLPCFWYHNRYIFISCLVLEKNYPCAQLHATWAIGICAMFGGDQFKASVSADGNVKTLCDTAVAALGRICEFHRDSTGPTGVKRWLYFLPLKHDFKEARYAHGLFSKLVQRSDEHLFGSYHENLPKIILVVKEILSGHNRLGTEEATNQMIDFIDQHDGMEIGTEEASSTIRSSSY >RHN51437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17789053:17789496:1 gene:gene35871 transcript:rna35871 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLKFELDPYIFIRNMLTSISRTLFNTFKYSLRSFLSVTFGEIFCSYLNVTFKVQCNIKCCFTNIVLSYLLRREIYMK >RHN52018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30431980:30432960:1 gene:gene36629 transcript:rna36629 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKRHAAPATFAKPVQKVSASPIKHPAMVNLGSPDEDVTSKEGNTRDEAEIAAISKIGTSSTSSLHNTFDILNDDRELPLEEARQAVLVANHIPNGEFIEKPEMFDNGITTNVDLTQMVQSKLNVDWEKELQTDTLITKLTTLAQCSNPGVLIGPSAASVSASLAKCSSFDYHFFTGEYISRSSMTENTEFQALINAPKHNTLSLQHHVSLSSPDPCCLIANSMHSDSTQVADNQAINIHSDRVDHYFAPSIDNTPISNAPCSKAGKLLPTHVNTKSANNSDMPSATLEQAVVVVITVYDEILGSDKGKISAPSTVTSSCCYNCL >RHN38759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1830073:1840129:-1 gene:gene44725 transcript:rna44725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin regulator PHD family MASSDEEGEIVPDSVDGYWFENDKAEFVSLSSLTLLWSVNDVTCNSEAKVFLRGTTDNGLQKIHKQIIGWRFELSFEQPEISVLLREKYWMTLLKPSKCFENTIRSVLVTVYWLHCVKWKPEESRASILVKVLKEFSSFDITPSENDVLCHMALISEAVKRDTDLTKSKYLLNFIGKTCSNGDFHADVHTTKKLKVIVESEENPEQENDIVESEEEDPEEENDIVESEEDPEQENDIVESEEEDLEKENDMVESAEEDPEEENDMVESAEEDPEEENDMVESAEEDPEEENDKSDGEGVLNLDEEQDIGYDTVCAICDNGGEILPCEGRCLRSFHATLEDGRDSLCASLGYTRTEVNAFPNFYCENCKHKKHQCFACGKLGSSDESSNPEVFPCVTANCGHYYHPECVARLLYPGIDIGQEEMRKRIIIEKTFVCPLHICSLCRKGENRNVHDLQFAMCRRCPKAYHRKCLPKEISFTYDYYTGIEMRAWDNLLDKRILMYCMNHKIVLELGTPARDHLIFPNKEVKRKIISTESLHREKDAIPLKKFFEDLLPNKTLKPKMTIKERVGLQMGGSSKVMEKICSKQDTHMSTGPVYFDRARKYLKVETMSGSNRSLPNYENKVPLKNVNLSCNPRLDEARYQQKRSVGRIEETSWKKPPVKKVKTSLEDRKADMEKRILALMEEATSTLNMEKFKKDNHAVNTSSSLTETVFRKTLTLGKVEGSVKAVQIALQKLDEGCGIEEAKAICEPEIIRQLFTWQKQLKIYLAPFLHGRRYTSFGRHFTKIDKLKEIVDRLHWYVQSGDTVLDFCCGANDFSCLMKSKLEQTGKLCSFKNYDLFQAKNDFNFEKRDWMSVQAEELPHGSNLIIGLNPPFGVRGSLANKFIDKALTFKPKLLILIVPKVTRRLDRKKAGYNLIWADEEICSGKSFYLPGSVDTRDKQLEDWNLKPPPLYLWSRPDWTAWHMQIARMHRHIKYDAYNNNKGKKVTNYLMEENHDCYQNYPGLHAPNNFLSIFDGVPDDNGDIITENGATRFP >RHN61293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34567100:34568015:1 gene:gene23741 transcript:rna23741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAPKGEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKEGGSAAGEKKKKRSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >RHN39484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7449530:7450321:-1 gene:gene45509 transcript:rna45509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNNFSRLDPDIIQTYILPRLDGAALMALSCVCSDLRHLICNNEELWRNICTSMWPCLLHPTVSHIITTFPGGYRSFFSDAFPSIHHYNQSHCLYRPINKLIHAIDISLHGEPKPLFTKIRVQPMNKFTIYSNGKIFLRDYSSLETQIPVKKERWDRTEYLHENLRLSWVVIDTIQNRAAGLFHSSCKPLWVNQMLTKIEVGYSTMMLGQKWQVNVTCRWKGGGDRLYVRIVVFGIEHMTGELGRQAGINLVNAIQNGERIIFD >RHN41486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30379075:30382933:-1 gene:gene47817 transcript:rna47817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription repressor PLATZ family MAFENQDTVREIKPKNRRIMGAGGPDDEENRWPPWLKPLLKERFFVQCKLHADSHKSECNMYCLDCMNGALCSLCLNYHKDHRAIQIRRSSYHDVIRVNEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSMNFQKKNKSARASMISDSEDSHSSSCSHGRLKNIKVQSFTPSTPPPTVNYRSAKRRKGIPHRAPMGGLVLQY >RHN69892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47147485:47147802:-1 gene:gene18423 transcript:rna18423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAVDRISDLPDEILCHILSFLPTILAFTTTVLSMRWTPLFYLLTVLCFNFNDETVKNDNSFNHFCRFIDTLMLSPRGSNQPIKTFNLNCLYSYRKLNSPSNVSAW >RHN72783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10672423:10678715:1 gene:gene8539 transcript:rna8539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VIII-1 family MGERTLVFLLFLFSYLLVVVVTKTSNDDYLALSTLKYEWKNVPPSWEDSEDPCGDHWEGIECSNSRVITISLSSMDLSGQLSSEIGSLSELQILVLSYNKDLTGPLPAEIGNLKKLTNLQLINCGFTGPIPDTIGNLQRLVFLSLNSNRFSGRIPPSIGNLSNINWLDLAENQLEGPIPVSNGTTPGLDMLHKTKHFHFGKNKLSGNIPPQLFSSDMSLIHVLFESNQFTGTIPSTLGFVQKLEVVRLDNNILSGPLPININNLTNVRELLVSKNRLSGPLPDLTGMNVLSYLDVSNNSFDRSDFPLWLSTLQSLKTIMMEDTQLQGPIPVSLFSLVQLHTVMLKNNNLNGTLDIGTAISDQLGVLNLQTNFIEDFDPQIDVSKVEIILVNNPVCQETGVKRTYCSIAKNNDTYTTPLNNCVPVECNKNQILSPKCKCAYPYTGTLTLRAPSFSDVRNKTVFAMLEFTLMESFRLHEKPVDSVSLSNPRKNAYQYLDLSLEIFPSGQDSFNRTGISGIGFMLSNQTYKPPAETFGPYYFIADKYEHYLNDSVIEGPVKSSKSSHIGIIAGAAAGGCVLVLLLLLAVVYGFRQKNKAKRAAKKSNLFEQWGPDESNSSIPQLKGARRFTFEEIQNYTKKFAEASYVGSGGYGKVYRGALLNGQLIAVKRAQKESIQGGLEFKTEIELLSRVHHKNLVSLIGFCFEQGEQILVYEYVVNGTLTDALSGKSGIRLDWIRRLKIALGASRGLDYLHEHANPPIIHRDVKSTNILLDERLNAKVSDFGLSKPLGDGAKGYITTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLELITARRPIERGKYIVKVIKNAMDKTKELYGLKEIIDPVIDFKASLSSFEKFIDLAMKCVEDSSSSRPSMNYAFKEIENMLMLTGTNPNAESAPSSSSYNESGNSMHPYENEYFDSSVILPRA >RHN55389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17851183:17853159:-1 gene:gene30562 transcript:rna30562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MTKVIIGSTSGNSYDCEDLDLEPLQRRLQELLRRKRYLLVLDDLWDEEQENWLKLKSVLACGGKGASILVTTRLPKVAEIMGTVPAHKLSMLSDKDCWELFKQRAFGPNEVELTKLVAIGKEILKKCRGVPLAAITLGSLLRFKREEKEWIYVKDSKLWSLQGENSVMQALRLSYLYLPVKLRQCFAFSAIFPKDELISKQLLIELWVANGFISSNESLEAEDIGDEVWNELYWSSFFQDVQTDKLGMVTHFKMHDLVHDLAQSFAEEICCSAYNNGIINMHARIRHFSVYGQHASEDYSSIQLHHVNSLKTYIEWNFNDAGQLSPQILKFNSLRVLRSNKLNILSASIGRLKYLRYLDISHGMFKTLPQSLCRLCNLQVLKLDHCYDLQSLPDSLTHLKSLQQLSLRACYSLSSSPPKIGTLTSLRTLSIYVVGKKRGYLLEELGQLNLKGELHIKHLERVKSVTHAKEANMSSKHLNQLRLSWGRNEESQLQGNVEQILEVLQPHTQQLDSLGLRGYTGTYFPQWMSSPSLKGLTSLEITDCKNCLLLPKLGKLSSLKNLKISNMSHVVYLWEESYNGGVGGLMALETLILEKLPNLIRLSREDGENIFMTLSVLEITECPNLSGLPCLPSLKNLYLYIEGKYNQDLLRSVQKFQS >RHN81794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48925623:48930710:1 gene:gene5883 transcript:rna5883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain, GDP-mannose transporter GONST3, plant MNVNENDSVAGNWYTSIVHNISVYGVAAGYCLSASLLSIINKWAIMKFPYPGALTALQYFTSAAGVLLCGWLKVVEHDKLDLMTMWRFLPAAVIFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAIGESVFLHRPWPSLKTWASLGTIFAGSVLYVATDYQFTFAAYMWAVAYLVSMTIDFVYIKHVVTTIELNTWGLVLYNNIEALLLFPLELLIMGELKKIQHEITDESDWHSFPVVLPVALSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVMINLVIWDKHSTWVGTVGLLICMLGGVMYQQSTSKPKAAIQETTQEDEEQLKLLEMQVNSETNISDTEINKSREGN >RHN75931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46206507:46213866:1 gene:gene12192 transcript:rna12192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-LKB family MADYRSFSFTKMIGCWSCFGLIKKQPRRGRTKRGIKNFLSQGLLTDGETEYDEVSYSGDYTSNTTSGDDSEPQNLRNRSEEILNFRAENGMICRPFPVKETVKLVRSEDENGNKMLNEYIREYKIGSGSYGKVALYQSSIDGQHYAIKSFHKSHLRKLRVAPSETAMTDVLREVLIMKMLEHPNIVNLIEVIDDPESDDFYMVLEYVEGKWVCEGSGRQCAIGEETARKYMRDIVSGLTYLHAHNIVHGDIKPDNLLITRNGTVKIGDFSVSQAFENGNDELRRSPGTPVFTAPECCLGLTYHGKLSDTWAVGVTLYCMILGEYPFLGDTLQDTYDRIVNNPIEIPDDINPQLKNLIEGLLCKDPEQRMTLAEVAEHVWVIGNDGPLGEYSCWCKRKSMVSEDF >RHN80551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39020791:39021766:1 gene:gene4490 transcript:rna4490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MFEYPNLETIGMKLVEKCGVGGLSLALKTLGILLQRKFSENEWVKILETDLRRLPKGDSSNNYSVLRMSYLSLPSNLKHCFAYYSIFPKGYEFENDGLIKLWMTEGLLKGWEIAKNEEELGNKFFNDLVSMSFFQKSAILPFWAGKCYFIVHDLINDLMTSMLGEFRLRIEGVKVQDIPQRTCHIWCCLDLEDELAEEIGNLKLMRYLDLSYTEITSLPNSICMLYNLHTLLLEECFKPIELPSNFRKLINLCHLNLKGTHIKKCQRR >RHN81512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46617195:46619522:-1 gene:gene5569 transcript:rna5569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MEVRSNTTAEENSPVESAASRHRRSSSKKPLASPLPADTTSVSQRLQKELMALMMSGGDLGVSAFPDGENIFTWIGTIEGGKGTPYEGLSYKLSLHFPPNYPFKPPQVKFETTCFHPNVDQFGNICLDILQDKWSSAYDCRTMLLSIQSLLEEPNLESPLNNYAAELWNDKEDFKRMVHKQYLAGEAPAPAS >RHN72025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4458581:4465151:1 gene:gene7692 transcript:rna7692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MKNSDRNLLHSFMQMIFILCLLCFITRVEASLHNKIPGFYVFGDSTVDPGNNNYIKTLFRSNFPPYGKDFSNQVPTGRFTNGKLATDYIASYVGVKKELLPAYLDPKANTNIEELMTGVSFASAGSGFDPLTPAISSVIPIPKQLEYLRELKNKLENVIGKERTENHIKKAVFFCSAGTNDFALNYFTLPMRRKTYTLLGYQQFLIQHVKEFLQGLLAEGAQKIVIAGVPPMGCLPFMITLHSPNAFMQRDCIDKYSSAARDYNLLLQNELQKMQLQLKSSNPNVKLYYIDIYGPLANMVQAHKKYGFEDINSGCCGSGYIEASVLCNKVSNVCPDPSKYMFWDSIHPTEKAYHNLFLAFQPTIDFIVNN >RHN70631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52863283:52864416:-1 gene:gene19238 transcript:rna19238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MLSPNPLSLLCALLLCLPLAILFTITITTTTTTTSSSTMHIPKKSNPNLTTTFLSINTQHNNQSNKPTTIDEYKEEETLFIVANHTKRKATWPRKLAFMFLTTTPLPFASLWESYFNQIPKKLYNIYIHADPTFSYDPPFSGVFSNRIIPSKPTARFSPTLTSAARRLVARALIDDRSNYIFILLSSSCIPLHSFNFTYHTLINSNKSFIEILNNEPSSYDRWAARGEQAMLPTVKIEDFRIGSQFWALTRKHARLVVSDRKIWSKFNKPCIRLDSCYPEENYFSTLINMWDPKGCVHATLTHVDWEGRDDGHPRTYVADEVCPELIWSLRRDRPRYGDDDDNGGWRRRDPFLFARKFSAECLQLLTEIADGVIFKD >RHN70129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48972396:48974080:1 gene:gene18681 transcript:rna18681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, Zinc finger, MYND-type, tetratricopeptide-like helical MRRPMKHPHKKNHNQDFFEDFPDDIVVFILTKLSSTASSPSHFFNTLLTCKRLNRLGFHPMVLSKAGPKVLAVKPKNWSENSHHFLKRCVNVGNVDACYTLGMIRFYCLRNRRSGVSLIAKAAMKLHAPALYSLAVIQFNGSGGTKQDKDLRAGVALSARASLLGHIDALRELGHCLQDGYGVKQNVTEGRRLLVQANVREILLVYRFLELASPSRAGSCEGILSRLSNMAVPLIKENRYNVKVPEVHPVNWFLREWFESGLGILEDGLRLCAHIGCGRAETRPHEFRRCSVCGKVNYCSRACQALDWKLRHKMECSPMEWWLDENGGGGDGFVVGGGGDMNMGDDAVAAD >RHN67249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25165651:25167436:1 gene:gene15420 transcript:rna15420 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCFTTTSKDLAMAIACSLSGAVMLAVGVHLSYVNVEPQRARTLARDKLVLDTLRKKYGYIPPSEVWRMARSNSNKEHN >RHN40673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19207222:19207527:-1 gene:gene46859 transcript:rna46859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MRNVTAGGLAGFCRPQLTITKAVEEHYNLSQSNNDCIKKGTGSLCAYYPNSELQHGWCFTSNVEAEHYFEVLSNPAINNFLKNISYSNGKGFLKMPVEIAT >RHN48784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50201909:50210370:1 gene:gene43537 transcript:rna43537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Digalactosyldiacylglycerol synthase 1 MATQHPPSSAFSFISKGWREVRDSADADLRLMKDRANSFKNLANSFDRELENFFNSAAPAFSVPAMRSPPAAEIEFVKKLQPKLSEIRRAYSSPDFSKKVLEKWTPRAKIRIDLSAIKNAIVSEEGFVDFESGVKGRRLGFWEGLKGEGEGEGESETRDWEPIRVLKTRIKEFEKRSSFDGFKNSEFLEKLKSSLRSMYKEPEESKEVPPLDVPELLAYFVKQSGPFLDQIGVGKDTCDKIVESLYSKRKNQFLLQSLSGEEPSLLENGNINDELDLRIASVLQSTGHRYEGGLWTDQAKHDLSNNERHVAIVTTASLPWMTGTAVNPLFRAAYLSQTKKQKVTLLVPWLCESDQELVYPSNLTFTSPEEQEGYIRNWLEERIGFKADFKISFYPGKFSKERRSIMPAGDTSQFIPSRDADIAILEEPEHLNWYHHGRRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFFVKHINNWVTRAYCDKVLRLSAATQDLPKSVICNVHGVNPKFLKIGEMIAEERELGQKAFTNGAYFLGKMVWAKGYKELIDLLGKHKADLDGFKLDVFGNGEDAHEVQSAARRLDLNLNFQKGRDHADDSLHRYKVFINPSMSDVLCTATAEALAMGKFVVCADHPSNEFFTSFPNCLTYKTPEDFVAKVKEALANEPYPLTPEQRYQLSWEAATQRFMEYSELDQILNKEKDGAKSSRDKGKLIGKSASMPNLSELADGGLAFAHYCLTGNEFLRLCTGGTPGTRVYDKQHCKDLHLLPPQVESPIYGW >RHN67123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24102523:24104954:1 gene:gene15270 transcript:rna15270 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASRRLSTAAEQTARLTKFSLQAPKYVEVEFANGSVFKLSAEFLRINSPAVDGKIRSIGGEKVISGRRHVGIMSAEPVGNYGVRLNFDDLHKTGIYSWDYFYHLGSKKFTLMRNYIKTLKKHGLSRDPRGRK >RHN63603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52623177:52627182:-1 gene:gene26326 transcript:rna26326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Band 7 domain-containing protein MGNLLCCVQVDQSTVAMKEGFGKFEEVLQPGCHCMPWFLGKRIAGHLSLRLQQLDIKCETKTKDNVFVNVVASIQYRALADNANDAFYKLSNTRSQIQAYVFDVIRAYVPKLNLDDTFEQKNEIAKAVEEELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAAKEKAEAEKILQVKRAEGEAESKYLHGVGIARQRQAIVDGLRDSVIGFSVNVPGTSAKDVMDLVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVVSQIRDGLLQGSHE >RHN44652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7288018:7295214:1 gene:gene38796 transcript:rna38796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VIII-1 family MWALRVHGYALAVSFCFIALVAASRKTDPLEVKALKDIKKSLIDPSDKLRNWNKGDPCAANWTGVRCFDLKGDDGYFHIRELYLMTLNLSGTLAPQLGMLSHLVIMNFMWNNLIGTIPKEIGHITSLILLLLSGNKLSGSLPDELGNLKNLNRLQVDENQLSGLVPKSFANLVHVKHLHMNNNSFNGQLPSELSNVSNLIHLLLDNNNFSGYLPPEFSKLQSLAILQLDNNNFSGNGIPSTFENLLSLVKLSLRNCSLEGAIPDFSSIRNLTYLDLSWNQFTGPIPSKKLADNMTTFDLSHNKLNGSIPRGVVYPHLQRLQLENNLLSGSVPATIWQNISFSKKAKLIIDLDNNLLSDIFGDLNPPINVTLRLSGNPVCKKSNIQGIGQFCAHERRDVDESESTNSTDVCPIQSCPVDNFFEYSPSSPVHCFCAAPLRVGYRLKSPSFSYFPPYITSFESYITASLNLSLFQLSIDSYEWEKGPRLRMYFKFFPSYNDSYTFNISEILRIGSIFASWGFPRTDFFGPYELLNVTLLGPYANMIINTESGKGKKGIKVAILIAAAASILAISVIIILNLLLFRRKLKYRHLISSKRMSSDIYIKIDGVKSFTLKELTHATNKFDISTKVGEGGYGNVYKGILSDETVVAVKRAGENSLQGQKEFLTEIELLSRLHHRNLVSLLGYCNEEGEQMLVYEFMPNGTLREWISGKSKKCNDGLSFFMRLRIAMDAAKGILYLHTEANPPVYHRDIKATNILLDSKFTAKVADFGLSRLAPYSDEEGNVPKYISTVVKGTPGYLDPEYMMTHMLTDKSDVYSLGIVFLELLTGMHAITRGKNIVREVNLACRSGIMDSIIDNRMGEYPSECTDKFLALALSCCHDHPEERPSMLDVVRELEDIIALVPETEISLSDVSFDNSGKMAPSSSSSSTITSGFTMTRMDQQNMFSYVSGSDLVSDINPTIVPR >RHN44000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:659610:661306:1 gene:gene38044 transcript:rna38044 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPTNTPVTSTVNNWRVLDASSHEPWGPHGTLLADIAQSVVWKQINDTGKNWRYIYKALTVLEYLVAHGSERVIDEIREHYYQISTLSDFQYIDSSGRDQGNNVRKKSQNLVILVNDKERIVEVRQKAAVNREKFRNNTPGGIL >RHN80824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41074722:41077161:-1 gene:gene4791 transcript:rna4791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSNSNGSDTIKLKKGAWSLHEDAILKEYVRKYGEGNWDDVKKKTELFRCGKSCRLRWLNHLHPDLKKGSITREEEQKIVELHAKIGPKWSLMVQELPGRTDNEIKNFWNIRTRKRTKLGLPLYDQIIKPHDHELNVNRECTLSNKEQADDVSHEVKFKNDIFSRKCILVSNGFEPFHASITQPHFSNMLCNNVANTSPTLTNTDETPFMTNATESYQPQFQGCNSKCYKIVEELPGRRGNEIDNYHNIRTKKRARASLPLYDQIINLCDFELNDINGETCLDGLSNQHQAHVSQQVHKSDTHGSNFEQLSNSCASISNSNTSPTLSSRGAMESDLSPFPECLDFQSSDESQLHSYSLIHKYPLLPLRSEIPHPMILEHSNANQMHSSETRLKDWLMKMERNQFLWRKIN >RHN38448.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000012.1:13543:13743:1 gene:gene50714 transcript:rna50714 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRGRDFLFCHLCGTMLTVPSTDYACCPLCKTKCNIKGTTFYFSLQINLLVFLFCSDYYMYCSRM >RHN42454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38489165:38497030:1 gene:gene48898 transcript:rna48898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-PITSLRE family MAAGRHGSYHENEFKDHESNLEVSRRGYSNSKEYDPVRNGGSGGRDSRDRIRVRQKDVREKEAAVINGSYRSSSSRSDSGSSGSLGPRRCGFSSKSTDREPGELSSESGSDGAIESESGVNRSRSPAPQERKRKFSPIVWDQDDKEVKGSSKVRVSTTPVAALPPLPQLPKPSVLSLSASYDEVQVCSVKNRETRDVELPTASKVSVPSPSGSQSLSPKQTWGNNQETEQPEGDDYVPTRNISSSRWAAGDNSPVDEGEILNDEEMPKRKRRLTPEVLETRVRNKLLSPNDSKIGGFEGARARSSDSEERSVNHGRTSSGDGHPGIESEKDDYMDIDVRGTKSDTSSHSDTESEDDNDRQETPEPPAQPQRTVNMLQGCRSVDEFERLNKIDEGTYGVVYRAKDKKTGEVVALKKVKMEKEKEGFPLTSLREINILLSFHHPYIVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMESMKQPFSQSEVKCLMIQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLSKEPLFNGKTEFDQLDKIFRILGTPNETIWPGFSKLPGVKVNFVKHQYNLLRKKFPATSFTGSPVLSDSGFDLLNKLLTYDPEKRITAEAALNHEWFREVPLPKSKEFMPTFPAQHAQDRRMRRVYKSPDPLEEQRRKELQQGELGTGGIFG >RHN80366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37463745:37464758:1 gene:gene4281 transcript:rna4281 gene_biotype:protein_coding transcript_biotype:protein_coding MSIILDANRETQSLRTQTKQRVRMQEPFLNRLLSMNPSFMKPSYHPIQIEPKLVILFKERRKASTKLLNVSIDITTSLYHLHEFLPIRSKLHRYLET >RHN41905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34005912:34012208:-1 gene:gene48273 transcript:rna48273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligomeric Golgi complex subunit 8, cullin repeat-like-containing MEWENAVSGGSDSALPIPLPLASESQQPYVSELLSFTLDRLHKEPELLRVDAERIRRQMQEVAVGNYRSFIAAADALLAIRHEVSSIDTHLESMINEIPKLTSGCTEFIESAEQILEKRKMNQTMLANHSTLLDLLEIPQLMDTCVRNGNYDEALDLEAFVGKLSTMHPKLPIIQALAAEVRLTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGVFSEYGMRLLFLRCREAWLNGILEDLDQANPYEYLKGMINCHRMHLFDVVNQYRAIFADDTSGSEENYDGGLLFSWAMHQITSHLQTLKVMLPKITEGGSLSNILDQCMYCAMGLGWVGLDFRGLLPPLFEEAVLNLFSKNMSTAVENFQLVLESHRWVPLPAVGFRANSVEESKEDVTPPSYLMEHPPLAVFINGVSAAMNELRPCAPLSLKHVLAQELIKGLQAVSDSLLLYNTTRVLRANESGLFLSLCRAFIEVAYPHCATCFGRCYTGGATLITDAKNVYDGIRRLVEASSARELPKPVNNGEASGIAENGEVPKMDNGETPDAKEPDAKESEAIDTESEATNTDETNKNSTSQTGQEVINLEKTDE >RHN81609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47540758:47544358:1 gene:gene5680 transcript:rna5680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sphingolipid C4-monooxygenase MLTTTSNASGVTVEPSITKQIIQIIIAMFVMDTWQYFVHWYMHQNKFLYCHIHSQHHRLVVPYAIGALYNHPIESLLLDTVGGAISFLVSGMTARTAVIFFCFDVVKTVDDHCGLWLPGNIFHLFFQNNTAYTFIINYKG >RHN71728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2275636:2276336:1 gene:gene7359 transcript:rna7359 gene_biotype:protein_coding transcript_biotype:protein_coding MALRIQHVPIFFTISPITLSTNPKAKPPRISCTNNNNDVSLASEFAEKASIINARAKQAEEAMRKSRNIVFKELCEYLELNEEDAKLKWKKMGEDEKWVLVNGFVTELGQFFHPLSEKATKELLEEYLLQENQPPKASQISYPLFPFDSIIGFP >RHN71981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4140822:4156228:-1 gene:gene7646 transcript:rna7646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycogen phosphorylase MQTISFPLLTNTLSFPNPLTSFPPFSIHFPTALPNNRLRVSASSPSTSSTITVENSTSDNSTAFLIRARNKIGLLQIITRVFKILGLTIDKATVEFEGDYFTKKFFVTDSHGNKIEDDENLERIKRALTEAIGGNGDGGGKVSVSTANRGIVVRRAGLVEGFGERKAKAERMFSLMDGFLKNDPFSLQKDILHHVEYTVARSRFSFDDYEAYQALAHSVRDRLIERWHDTHIYFKKTKSKRLYFLSLEFLMGRSLSNSVINLGIQDQYAEALNQLGFEFEVLAEQEGDASLGNGGLARFSACQMDSLATLDYPAWGYGLRYEYGLFRQIIVDGFQHEQPDYWLNYGNPWEIERIHVTYEVKFYGTVEEVDMNGEKLKVWIPGETVEAVAYDNPIPGYGTRNTINLRLWAAKPSNQFDLEAYNTGDYINSIVNRQRTETISNVLYPDDRSHQGKEMRLKQQYFFVSASLQDIIRRFKEEHTNFDELPEQVALHLNDTHPSLSIAEIMRILVDEEHLEWNKAWKIVCKVFSFTTHTVVAEGLEKIPVDLLGSLLPRHLQILYEINSNFMEELKKRIGLDYNRLSRMSIVEEGAVKSIRMAILSIVCSHTVNGVSKLHANTLKTKTFKDFYELWPEKFQYTTNGVTQRRWIVVSNPSLCVLLSKWLGTEAWIRNADLLTGLRDHVDNTDFRQEWKMVKRLNKMRLAEYIETMSGVKVSLDAMFDVQVKRIHEYKRQLLNIFGIIHRYDCLKNMDKNDRRKVVPRVCIIGGKAAPGYEIAKKIIKLCHAAAEKINNDADIGDLLKLVFIPDYNVSVAELVIPGADLSQHLSTAGHEASGTGSMKFLMNGCLLLATADGSTVEIIEEIGPDNLFLFGAKVQEVAELREKGGTVKVPLQFARVLRMVRDGYFGDKDYFQSLCDTVEVDSDFYLLGSDFGSYLEAQAAADKAFVEPEKWIKMSILSAAGSGRFSSDRTIREYAERTWKIDPCQCPI >RHN44556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6152932:6153580:-1 gene:gene38690 transcript:rna38690 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNSTLSNNSTMNKSSSETASLRNLSSPIPYLFGGIALVLGVIAIALLIIACSFRKQYSSSTSTNDEEKSSNMHVVDMDQVSLEPKIVVIMAGESNPTYLAKPVSSISHVDQ >RHN44733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7882398:7888623:-1 gene:gene38891 transcript:rna38891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation efflux protein MTGKMVETVEQSNEEELSLLSVSDNGDRSWRLNFDSFQLNSQHTEKTPKPSSGIHDCYGVLGQEDNIAEYYQQQVEVLEGFTEMDALAERGFIPGMSKEERDKLARSETFAIRISNIANMVLFTAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESARTLIYTDNTFSLTREQERWVVGIMLSVTLVKFMLMIYCRSFTNEIVKAYAQDHFFDVITNVIGLIAALLANYFDDWMDPVGAIILALYTIRTWSMTVLENVNSLVGRSAAPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPADMPLQEAHDIGESLQEKLELLPEIERAFVHLDYEFSHKPEHAQAHS >RHN60983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32041656:32044155:1 gene:gene23389 transcript:rna23389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MDPNCDEFQQYEKPIEGYMASVTDSSMEDTDFSETIKFITQILMEENVDQRPFYDPLSLQITEKSFHDALSPNGTTSTSCNSNSNSSIDDSHESKPFSPDTPVSDLVNHGFQFNSCASSSVSDGPINFDSSITNMLAENIFSNADSVSQFNRGFEEANKFLPPQPQLLTGLESSNFNMMKSRKNHGREEEEGSSNKQSAISVVDEDELSEMFDKALLNVDREPLQNEQPPSSNEGKVRPKKRDSKNKTIDLRNLLLMCSQAMYANDNRNANDLLKQIRQHSSPFGDASQRVAHYFANGLEARLVGDRAGAQTFYSSPSTKRITAAEFLKAYQVHFTSPPFKKFAYLFGNEMIMKVAAKAETLHIIDFGVLYGFQWPMLIKFLSNREGGPPKLRITGIEFPLPGFRPTERIEETGRRLANYCKRFNVPFEYNALASRKWETIRVEDLKIKSNEVVAVNCVGRFKNLLDESIEVNSPRNVVLHLIRKINPDIFALSIINGSYNSPFFATRFREALFNFSAIYDMLDAVIPKGSEWRRMIEREIMGREVMNVVACEGLERVERPETYKQWQVRNTRAGFKQLPLDSQLMEKFRTKLKQWYHRDFVFDEDSKWMLQGWKGRILYASTCLVPA >RHN65501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2727047:2727310:1 gene:gene13345 transcript:rna13345 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGTYIALVDMNNIRVRIDQLEIKIESMVQTADFALGNEDAVKLAIDEIKKKIETFAEIIESLSVQADRYSRQNYESKDSGGTKHN >RHN79403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28522212:28522367:-1 gene:gene3190 transcript:rna3190 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPDSMYSIASLFGLVCWPLGLGVPYICVAILYRFSFFRGLTPLALCRRE >RHN41920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34096157:34098712:1 gene:gene48295 transcript:rna48295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative procollagen-proline dioxygenase MVKFRHSRLGPRKPSLTASQTLIFTLFVTFTLLILILLTLRIPKLNHINSISHNALRSEDNDNKRWVQIVSWEPRAFLYHNFLTKKECEHLINTAKPSMQKSSVVDNETGKSKDSSVRTSSGTFLDRGGDEIVRNIEKRIADFTFIPVENGESFNVLRYEVGQKYDPHLDYFADDYNTVNGGQRIATMLMYLSDVEEGGETVFPAAKGNISSVPWWNELSDCGKKGLSIKPKMGDALLFWSMKPDGTLDPSSLHGACPVIKGDKWSCTKWMRINEFRA >RHN69436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43389362:43390536:1 gene:gene17910 transcript:rna17910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MFISSNTSRVVFYSHSLNRIVIPFFSSSMEREEGKQSSEKNPYTELEEVSSDFVLAIGLQEQELERTTFTNLATIESESDEDISDSSFSNDDIGDADFSFSQEFETDLQFVEDEGSNIDDDDDYEDDEMELEEDEVDPDELSYEELIELGNFIGEEKRGLPANEISSCLHPYTSKIAESTSGIDRCVICQIEYEEGESLVALHCDHPYHTDCISKWLQIKKVCPICSNEVSTTNKAKNT >RHN71338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58363056:58363525:-1 gene:gene20009 transcript:rna20009 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSNFGFYFSRISAHSSSTSLEKLSSSMVILRTKFSSTALICVVFFYLYFVSLRSLQYFCRKVITVILVRTFNLLNYFGFSQQGSTVDSKCN >RHN79092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22436672:22437064:-1 gene:gene2793 transcript:rna2793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TFIIB MSEYFCSDCKRETEVVFNHSAGDTVCSECGLVLESHSIDETSEWRTFANESNDNDPNRVGSASNPLLKDGGLSTYIAKPNGSSSEFLSPTLNRLQNRASNHDRTLLLAFKNITTMAERSVRFLHFFSFCL >RHN49445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54845757:54847131:1 gene:gene44275 transcript:rna44275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MAKNPCCERMGMRKGPWSAEEDQILISHIQTYGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGKFSKEEEDSILKLHEVLGNKWSAIAARLPGRTDNEVKNFWHTHLKKKVQKSEVYNVNTSYSYTLDEAQASDGYSSVGEKRVISNYGLVSSKDPNTVGSGFYNATSCDTLGENMDHHGSSQISEEIEFWYDVFIKSGQPS >RHN52902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40173837:40174149:1 gene:gene37635 transcript:rna37635 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIIIVGHSSLYLGLLCPTSCAYLPLCHPKLLSLFKPLAHALLAYGYLPLHLTHAMLYSQQRCTQQQQQNYKIMAYV >RHN74641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35394762:35398599:1 gene:gene10745 transcript:rna10745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MTCFPFSFSKTPSSSRQHPDNDEDISSIHDVKIYTFKELRNATDNFSPANKIGEGGFGSVYMGRLKGGKLAAIKVLSAESRQGVKEFLTEINVISAVEHENLVKLYGCCVEKNNRILVYNYLENNSLSRTLLGGGHNSDSIYFDWRTRCRICIGVARGLAFLHEEVRPPIIHRDIKASNILLDKDLTPKISDFGLAKLIPANATHVSTRVAGTLGYLAPEYAIGGRLTRKADIYSFGVLLVEIVSGRCNTNSRLPIEEQFILERTWDLYERKELVGLVDTSLNGEFDAEQACKFLKIGLLCTQESPKSRPSMSTVVKMLTGEMKVDDSMMTKPALISDFMDLKVRHKQESITDMKTSSSYNTSSASEHQDTTIISSAATSTFTTQYDHSIASILKLEFYVTTLPNPHSAKKLLEVHFWTEQKLYFAPKYTSGPHKNCVFFFPDVYIRSGFGGGKSSSVVAKCTVV >RHN75887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45910973:45912986:1 gene:gene12145 transcript:rna12145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MGNKAPLLIMLLILCHGVSMTMGMWEVEDRENGPSTPNKKLFLLQNSKLVVKTDAGEMRVLKSHGGRISERRLHVGFITMEPSSLFVPQYLDSTLIIFVLTGEAKVGFVNEDELAERDLKKGDVYQIPAGSAFYLLNTGEAQKLHIICSIDPSESLRIGIFQSFYIGGGAPLSVLSGFEPRILESAFNVRKLTNNFTTCRHCVSGSKLMKFFTRKNEGPIVHVGRSHASSSSIWTKFLQLKEDEKLNHMKKMMQDQDQEQEEEEEDEVMQKTRWSWRKLLESVFGNEIKNNKCDKVTHKSPHSCNLYDRKPDFQNSYGWSVALDGSDYSPLKSSGIGIYHVNLKPGSMMTPHVNPRATEYGIVIRGSGRIQIVFPNGTNAMDTHIKQGDVFFVPRYFAFCQIASSNEPLDFFGFTTSAQKNKPQFLVGATSLMKSMMGPELAAAFGVSEDAMQNILNAQQESVIVPAPRAAPAL >RHN50316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6103193:6104373:-1 gene:gene34588 transcript:rna34588 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYPLTPGVSQKTYVKSEPLKPTNPKARNISTKQELEEHSRGSHNQMEISRPSLFSCFPFLLLLETLNFQG >RHN55672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20974319:20975971:1 gene:gene30891 transcript:rna30891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MVLGWGRRKNKKNNKGGKPNMEVVVPNQFRCPITLELMKDPVTLSTGITYDRESVERWFNEGNYTCPLTNQVVRNFDMIPNHSLRIMIQDWCVENRQNGVERIPTPRIPISPLDVSELLFRVKESAKGLDQYGCIGLVQKMEKWSNESERNKKCIVENGATSALALAFDAFANDSIEKNDIVLEVILSALNWMFPLQLEAQKSLGSKASLHCMIWFLKHQDVKGKEKAIIALKEILSFGDEKQVEALMEIEGVNELLIEFINKRISPIITKSSLRIIWYLVSSNSNFNEKMKFSFVELGLVSSILHILIDSEKSICEKALTILDSLLSCDFTRETAYENDLTIPLLVKKILRVSPLTTEYSVSSIWKMCKYGNKNYEEKALIEALQVGAFQKLLLVLQVGFNDETKDKATELLKLMNPYRAELEDCIDSDFKNLKRSY >RHN53024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41312112:41317107:-1 gene:gene37769 transcript:rna37769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSLMIREKMKRRSHSENEESEDRLSDLPDCLLVYILSFLRIEYAVRTCILSTRWKHLWKRIPELFLHSSTLFRGKEKQFFTFVSKILTLRDNSAALHILDFECDSDYESELVQKILNYACSHNTQIQQLGISISHDSDLLMPCVSSCQALTSLTLSIYNGCIFPDTFPKYLNMPALTSLDLTGFTFCGDENRCAEPFSAFTKLNSLIISRCMVKDAQILRISSETLDNLYLYKNLFNFDKIELAAPSLCTFTFYGTPKQKICGSGFSSVKEVNIDAHMFSKVDKSPMILFSWLLDLANIESLTVSSSTLQILSLVPDLLEVKLPSLCNLKSVEIKLERLGILPSLLHFMYEANQAMLKKAAAKSRKEASKLRKAFKAGLEPPSIPDGIVDFLLQNSPSAKVNITSDY >RHN70625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52825080:52825663:-1 gene:gene19229 transcript:rna19229 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAGYVFDEKCLWTSLSQVNRCLLTENGDEDNIGLDSIVIESGNIQDCNAEENRLGVSSRLTGYLGGMFSEARMAVVRKARLEGGISKGIASSFKKVKGIVGHCRKPHRRHAWTESPDKNWFCLLEIGWFARKR >RHN57367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38414537:38418027:1 gene:gene32898 transcript:rna32898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LysM family MVLLLLLCIYVRYFRKKNGEEESKFPPEDSMTPSTKDVDKDTNDDNGSKYIWVDKSPEFSYEELANATDNFSLAKKIGQGGFGEVYYGELRGQKIAIKKMKMQATREFLSELKVLTSVHHWNLVHLIGYCVEGFLFLVYEYMENGNLSQHLHNSEKEPMTLSTRMKIALDVARGLEYIHDHSVPVYIHRDIKSDNILLNENFTGKVADFGLTKLTDAANSADNTVHVAGTFGYMPPENAYGRISRKIDVYAFGVVLYELISAKAAVIKIDKTEFEFKSLEIKTNESIDEYKSLVALFDEVMNQTGDCIDDLRKLVDPRLGYNYSIDSISKMAKLAKACINRDPKQRPTMRDVVVSLMELNSSIDNKNSTGSA >RHN71950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3878335:3883407:1 gene:gene7611 transcript:rna7611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative telomerase activating protein Est1 MASNLSLSPGIRSKEVLLEIGNSEKQLWALIHSKGILHSDAQYLYRKIRASYERVLLNSYTYAELQDVEYSLWKLHYKHIDEFRKIVKRNSGDVEINKSGTSQTGVEQRRNNDTFKPFKLFLSEASEFYQNLIVKLRKNSGVSEEALLNKKGWIFTSTEPEIKLKCKYLCHRSLVCMGDLARYKEQCENPDTQNHNWSVAATHYLEATRIWPNSGNPQNQLAVLATYIGDEFLALYHCVRSLAVKEPFPDAWNNLILLFEKNRPSPLKYVSSEVCFEFVKRSGRISEVRKAQLKDDFSNYTEVEGESNNFTDTKLWSLMVRMISFLFITSSFEEFSIALASTIGELDKMLKLEDIELKTMLDSYSQMDLARRGPFRAIQAVCILIFSLKNLMDKPEKEDSEDKNVTQLTQMGLAAAFGVMGRFVERCLEAKSLNHCPLLPSVLVFVEWCSSVLDATEVCCTDQKCGRAISYFFDVFVELLNKLNDNRKETKKLLDSTPLWEDFELRGFVPIASAHFSLDFCSNWEHRENFVSGVELRAERIKQAAMKIASRSNTLQKWITYDEMGRKFCVARSNECHGKKKAELVESSTRREEINQQTNKDTEEQCKRMTEDNPRSAIINAKPSVVEEEEVILFRPLTRYNSAPLSPSTSADEQISQEDRIDQSLPSDDCLRRATSLLMAQNPAQTQTDPWEYHGSSSKFGSDKAFKQQEPSTKESSNALSEGGSEAPIAAGPPSLNAWVLDEGSLSNNRRNGTKGPIEHRLPPIQEIASSSLAGLSINKNENSVISSVSESSNFNASSATYSLPVPSAPLLPDNAAWFTDAQAQPSLPAPRFPETSSPISGYSDWSSTYGPPGYDPRYQVFVNGYPPPGRMTSSEWLRWYRENHKPEKANNYTQPTYMNTPAPQNYDNPYRFDQFDRWGNPLSYNNQYTYIESPGPPPLQPGFLNAGEHKASLYSNCQRPVPFVCSAVTEMRNEPQSLLECLKEKEWRLQRDPNLRGPTFTGNYNS >RHN43205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44011012:44014631:-1 gene:gene49745 transcript:rna49745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-OST1L family MMDKYELVKDLGAGNFGVARLLRNKETKELVAMKYIERGQKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVHYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQDDPRNFRKTIQRIMAVQYKIPDYVHISQDCKHLLSRIFVANPLRRISLKEIKNHPWFLKNLPRELTESAQAAYYQRGNPSFSIQSVDEIMKIVGEAREPPPVSRPVKGFGWEGEEEEEEEVEEEVEEEEDEEDEYDKRVKEVHASGEFQIS >RHN42899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41796671:41797564:1 gene:gene49403 transcript:rna49403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MALPPPLSTLLNFFMILLLASPPTASTPVCHDTCGSIQVKYPFGTGPGCGSPLFNPYITCTSNGTLDQLILKTHTSSYPITSISYTTSTLILTPPYMSTCTTMQTSPNFGIDLTAPFQISSSTFILLHCQVKSSTICDTSFDYLCASLYSCPEVVSLGMPLFSPTNTCCVYSPGNLDGKGELNLRENDCSGYTSVVSLGDNPTDPTHWVYGVALKYTHGVFDDIVTTKCTSCESSGGVCGYAPPGSGFVCVCKSGYNTSLDCSNYDQNQDFLWDSISPPPMYNGTFFLLFLLFHLLF >RHN80404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37752829:37753743:1 gene:gene4330 transcript:rna4330 gene_biotype:protein_coding transcript_biotype:protein_coding MYLDQIQLRFLHFSIALGAEIPIASDPFIMRRRLHDPTNILQKIK >RHN69979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47726567:47730454:1 gene:gene18515 transcript:rna18515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I MGTETSVVPEVTENIAMDSAEKRLNELGYKQELRREMTMFKTLAIAFSTMTLFTGITPLYGSSLLYAGPASLVWGWVVVCFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPKWGPFSSWCCAWLETVGLIAGIGTQAYAGSQTLQSIILLSTGTNKGGGYFAPKWLFLCMYIGLTVIWAALNTFALEVIAFIDIISIWWQVIGGAVIVILLPLVALTKQSATYVFTNFELASDTTGVSSKPYAVILSFLVSQYSLYGYDAAAHLTEETKGADKNGPIAILGSIGIISVFGWAYILALTFSIQDFGYLYDPNNETAGAFVPAQILYDAFHGRYHNSAGAIVLLFIIWGSFFFGGLSITTSAARVVYALSRDKGVPFSFLWRKLHPKHKVPTNAVWLCAAICILLGLPILKVNVVFTAITSIATIGWVGGYAVPIFARMVMPEKNFKPGPFYLGKASRPTCLIAFLWICYTCSVFLLPTLYPITWDTFNYAPVALGVGLGLIMLWWVLDARKWFKGPVRNIDAQNGKV >RHN46874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35469080:35470179:1 gene:gene41409 transcript:rna41409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Digalactosyldiacylglycerol synthase 2 MSLNRVKVVIRLSAATQDYPGSVVCNVHGVNPKFLEIGKKKREQQQNGENAFTKGAYLIGKMIWSKGYKELLQLLNDHQKELSALELDLFGSGEDSNEVQEAAKKLEMTVRVHPARDHADDLFHDFKLFINPSTTDVVCTTTAEALAMGKIVVCADHCSNEFFKQFPNCWTYNNPKEFVALTLKALTEEPGQPTDAQRHDLSWEAATERFLKAVDLDKPSERELLSRSTSNYLSTSLYLQQTVEDASAFVHHVASGFEISRRIFGAIPHSLQPDEQLRKELGFANTSGT >RHN59628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12558304:12558633:1 gene:gene21694 transcript:rna21694 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta MNSLGTSIVNGIYRIVINQILQSPGIYYRSELDHKGISVYTGTIISDWGGRLELEIDRKARIWARVSRKQKISILVLSSAMGSNLSEILENVCYPEIFISFLNEKEEKK >RHN68588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36812522:36821224:-1 gene:gene16958 transcript:rna16958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ligase MVDMQVFCSKMDVEHPVTEWIAEINLPAAQVAVGMGIPLWKIPEIRRFYGMEHGGGNDAWRKTSVLGTPFDFDKAQSTKPKGHCVAVRVTSEDSDDGFKPTGGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAISNMVLGLKEIQIRGEIRTNVDYTIDLLNASDYRDNKIHTGWLDSRIAMHVRAERPMWYLSVVGAALYNASASSAALISDYVGYLEKGQIPPKHISLVRSQVSLNIEGSKYMLDGNSHVIYAEEEAAGTRLLIDGRTCFLQNDHDPSKLIAETPCKLLRYLVVDDSHIDADTPYAEIEVMKMCMPLLSPASGIIHFKKAEGQAMQAGELIAKLDLGDPSAVRKAEPFNGSFPILGPPTAISGKVHQKCAASLNAARMILAGYEQNIDEVVQSLLNCLENPELPFLQWQKILAVMATRLPKDLRNELEAKYKEFESISSSQIIDFPAKLLMGILEAHLSSCLEKEKGALERLIEPLMSLVKSYEGGRESHAHKIVQSLFEEYLSVEELFGDNIQGDVIERLRLQSKKDLFKIVDVVLSHQGVKRKNKLILRLMDKLVYLDPAAYSDQLIRFSKLNHIVYSELVLKASQMLEQTKLSELGSSIARSLSELEFFTEDGENTDTPKRKSYINDRMEDLVSSPLAVEDALVGLFDHSDHALKRRAVETYIRRLYQQYLVKGSLRMQWHKSGLITTWEFIEENFEQKKLGVMVVIKSLQFLPAITSVAFREATKNFHDAFKSGSGDSSNHGNVMHIGLVGINNQTSLLQDSGDEDQDKKRIDMLIKVLREQEVGTIIHAAGVGDISCIIHKDEGFAPMRYSFHWSAEKLYYEEEPLLRHLEPPLSIYLELDKLKGYENIRYTPSQDHQWHLYTVVDTKPLPIQRMFLRTLLKQPIRNEGHSSYQRLDGETSRTQLAMSFTSRSILRSLMGAMEELELNSHNTTIKSEHAHMYLYIIRKQQVDDLVPNSKKINIEVGQEETTVEAILEDLAREIHSSVGVRMHRLGVFVWEVKLWITAYGQANGAWRVIVNNVTGHTCTVHIYREMEDATARKVVYSSVNVKGPLHGASVNKNYQPLRAIDRKRLAARKNSTTYCYDFPLSNRLSDEKCFLQAFQTSLEQSWSIQQTRIQISKGKDLLKVTELKFSEKEGICGTPLVPVERPPGLNDVGMVAWLMDMYTPEFPSGRTILVVSNDVTFKAGSFGPGEDAYFRAVTDLACAKKIPLIYLAASSGARLGVAEEVKACFRVGWFEESNPEHGFRYVYLTPEDYVRIRSSVMAHELKIESGETRWVIDTILGKDVGLGVENLSGSGAIAGAYSRAYKETFTLTYVTGTTVGIGAYLARLGMRCIQRFDQPMILTGFSALNKLLGREVYSSHMQLGGSKIMATNGVVHLTVSDDLEGVSSILKWLSYIPSHVGGALPVVNPLDSPEREVEYLPENSCDPRAAISGILDVNGKWLGGIFDKDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMQIIPADPCQLDSHERVVPQAGQVWFPDSATKTAQAILDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPIFVYIPMMGELRGGAWVVADSRINSGHIETYAERTAKGNALEPEGMIEIKFRTGEMLECMRRLDQQLITLKEKLSEAKSNKDFGTYDSLQQQIRFREKQLLPLYTQIAIKFVELHDTSLKMAAIGAMKEVIDWRDSRSFFYRRLHRRIGEHSLINIVRDAAGDQLTHVSAMNLLKEWYMNSDISKGSEDAWLDDEAFFRWRNDPSNYEDKLKELRIQRLLLQLKNIGDSDLDLQALSQGLATLLSKVNKHLLLSTPSAPNYIKDLHFIICFIMF >RHN65104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64224641:64227039:1 gene:gene28002 transcript:rna28002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acyl carrier protein (ACP) MQSIKKSILSHVNLRRSAERWFLAKDDNVLMHFRCRCSSAGASSDPILDRVIRRVKKYDKINAAKVTETADFQKDLNLDSLDRVELVMALEEEFSIEIPDEKADKLTCCTDVAKYIASEADQKI >RHN63926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55086672:55088659:-1 gene:gene26682 transcript:rna26682 gene_biotype:protein_coding transcript_biotype:protein_coding MHTFILPTSHIQTIVYNTGAKEVPPTKHVWGTVPFICSSTIKNDTSHGPL >RHN80213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36162827:36163048:-1 gene:gene4112 transcript:rna4112 gene_biotype:protein_coding transcript_biotype:protein_coding MPVIHLPIVIKKKCREQEEIEYFLICYVYMGRLSNAWMVPTININGLTNSQMEKEFGIKKKNQFIIIVVESIS >RHN62805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45960061:45962194:1 gene:gene25416 transcript:rna25416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MMPLNSYFTILLIALLFSYTSSSIDTSTHEENFLECLYSYSHNSTSISEVVYTKTNSSYSSILKFTTQNLRFASNTTPKPLFIITPKQISQIQTTIICSQIHNLQIRIRSGGHDFEGRSYVSEVPFIILDLTNFREIEVDVENRTAWVQSGATIGELYYTIYRKNQNLGFPGGECPTIGVGGHISGGGYGTLVRKFGLAADNIIDAHIIDVKGRFLDREAMGEDLFWAIRGGGGASFGVIISWKIKLVQVPSIVTVFNVPKTLEHNATKLIHKWQFLTSRIDENLEITVILQRVNSSIKGKSKSTVQAIFQALFLGGVDKLIHLMQEKFPELGLVREDCVEMSWVESVLYLYGFPKDEPLETLLNRTLAAKDIYKVKSDFVKIPIPEVGLEGIWPMFHEDGAKDAMVICFPYGGIMDNISESEIPFPHRHGNLYQIYYSVHWHQESDEVEKMKMNWIGKLYSYMEPFVSKSPRAAYINYRDLDIGVNNINGYTSYKQASVWGVKYFKNNFKRLIKVKTKVDPLNFFRNEQSIPSLMCSRDIKKSL >RHN55048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14695664:14700015:-1 gene:gene30174 transcript:rna30174 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSSQKLCLHLISSAYQRCRLSEQICRLSVILTRSSSSSPSLRISISDTGIGSSLEEFQNLRVSFTNAADNWDGMLSIKTTSINDSEIHNYQINLKESGSSRITSLTSDSKNGAKFSGSEVCLSSFVNSDLLLAEIHSLLEKVLILNIPNVAIQLVSEDFDVPESRYEKVFLANTCKQLPISASSLELLKFGLGDYVLKHGNNLSIKCNSCFTSWEDLKVGVACCTENRLHTELVMEAVIVISNMSIQNTTCFREYGDKTEVLYFKDFSPCAMSQSSMKALKSIDWKRYGLHLGGIVELDGTALLEWENLPTDTHIDIVLHSYLKQYPALDRSFFSLSWTVKTHFVFTFSLMVS >RHN56362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30326879:30330350:-1 gene:gene31748 transcript:rna31748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MLTKVKRYPTPLLMENLFSHCKTITLYHFLHQHNITSLPLNILSKPLFSVFSMVKIWVEICIISARGVRASHSLWKRQWYAIGWVDPTNKYITKVDASTNTNPLWRTKFSIQVDNSDPNFHDLALNVEVYSRDPFFFTEKLHGSATVLLKEFLAKGLLNDEGLRQGSEEVGSYQLRKKKSGKPSGFVDVSVRVSEDNEEPNSHSGNGGGIELLDNGNKGRFGQGYHQQMDPASFNGPHKQAQTNVPYSHPVPYPTNYSNPYVGGPSYPAASGPSYQPPRTPPPPPTSNVGYPPNFHQSNNGLASSYFNMPSSSGTAPRQRGPPGFAMGAGAGALAAGAVTFATDPLF >RHN52061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31123856:31124977:-1 gene:gene36678 transcript:rna36678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MEKNPQYLPQELIILILLRLPVMSLLRFKCVCKLLFSLISQTHFAISHFEITAAHNPRILFMSNPDLETRLIDFETSLSDYYTSTSLNLNFMRPRSDPRRRPLYCNFIETKCSCRGFIFLHHDSNIYLWNPSTRVHKQIPLSPNSSYLGVNYICYLYGFGYDPSTDDYLVVVVSCDTDFHNFSSQLEFFSLRDNRWKEIEGTPFPYMNTSDYSMVGSVFNGAIHWLAFRHDLSMKVIIAFDLIERKLFDMSLPDDMEHEPIYCDLWVFGEFLSVWTMDSDIVEIWVMKEYKVHSSWTKTLTFSIDDIPTRYFSPICCTKSGDIIGTDGTAGLVRYDENGQFLEYSSYCIEDCGSRVAVYTESLLSLPDDNDQD >RHN57982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42917720:42918642:-1 gene:gene33579 transcript:rna33579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived protein MNTIKHILYACIILHNMIVEDEQHTHVGNFNYDHSNNDVARSEIRNGPQPNLASYLQRRVQIQDRQTHLQLQLQHDLVEHI >RHN52905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40251447:40252029:1 gene:gene37641 transcript:rna37641 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTVSNCNEELLNLQAHRKLLDAANQQNPNPKEQLQGKKKHLLEELKSALLKNPNFLGFGIPKIEIIDETILLDSFPVNRKQSNRRASKKIHKNTLPLTVTEELVAAENSDESERGKKKKYSREVMEATRFVNVPEQCKFWNRIYAALQSSFADDYDTLVVSNNLSRQH >RHN43137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43492663:43492965:1 gene:gene49668 transcript:rna49668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MKTKVKVQWHRRYCLSRDTKKNEYESNDSDLMLDHFKTENDDSITKSTYKLEGKIAKMLYPHQREGLKWLWSLHCQGKGGILADDMGLGKTMQVRKEIII >RHN75615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43767948:43768334:1 gene:gene11847 transcript:rna11847 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMSKVGLVFLLITHIFLVALQAMEDVHYSEAPAPMELKNHREVQLSYHKSDKISTTSKEEGERESKEENAMAPDYRRMGKHHSSSSEMAGGGVIIGGLVSATFAVVFCYIRVTRKKDSDGGGGVAH >RHN77168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4608189:4612234:-1 gene:gene594 transcript:rna594 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFMLMISAELENLTNLQPQGGVDDPNFPYFFKLKCGRCGEVSQKETCVSLNDTVPLPAGRGTTHLVQKCKFCASVSTVTMIPGKGKPLTQETTESGKFSPLMLFDCRGYEPIDFVFGTGWKVESLEGTKFENVDLSSGDFSEYDEKGECPVMISNLRATFDVVK >RHN47458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39918403:39922577:1 gene:gene42064 transcript:rna42064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine-5'-monophosphate dehydrogenase MDFTPPPIEDGYAAEKLFNTGFSYTYDDLIFLPHYIDFAADEVDLSSRLSRNIPLSTPFVASPMDTVSESSMASAMAALGGIAVIHPNNTPSRQAAIVRTAKSRRVPILSEPVFVSPSAVIDSEDYFYASPFILVTDSGNSTGKFLGFVSKTNWSNRNDKGVRVSHYMEAPPGSLPWNSDLAEIEEEMNKRNGNIVALVKDEEVVDLVTKEEVERVRGYPKLVTGGSVGADGEWMVGAAIGTREQDKERLEHLVKAGVNAVVLDSSQGNSIYQLEMIKYVKKVYPELDVIGGNVVTMYQAENLIQAGVDGLRVGMGSGSICTTQEVCAVGRGQGTAVYKVSSIAYKSGVPVIADGGISNSGHIVKALSLGASTVMMGSFLAGSLEAPGAYVYQNGQRVKKYRGMGSLEAMTQGSDQRYLGDTAKLKIAQGVVGAVKDKGSVLKFIPYTMQAVRQGFQDIGANSLQSAHDLLRSRVLRLEVRTGAAQVEGGIHGLVSYEKKYF >RHN39025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3599095:3601359:1 gene:gene45010 transcript:rna45010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ER lumen protein retaining receptor MSGWWNQFSAGVGSLTVWGACLGVFKWGFSNLLPYHLCLFALECGRICVLFYADAVVLLLCWGVAGLSLKFQELNALFLATRLGCSVVMEFDYRTVMDLLYFLLTLMIIWLMRFRLKSSYIKEFDTMWLSFLVVPSAILAVLINPATPHMWIVRVLFAFTMYLETVSVLPQIRYMQNAKMVETFTGYYVFALGVSRFFSLAYWIIHVYESGGRYLFFFGYGYFWMVVLQVLELVQSFILADFCYYYIKSFMQGQLLRKMPV >RHN46465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31945223:31946336:1 gene:gene40953 transcript:rna40953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MLLKLHLPTGILTLIMFWAFNFFNKLQCSHDDRVGSRSEGTGPDRAPFLSRKDDLSRGSSYDSLPEDDEDLNFVAGGSTDGKILGDGETSNNTQRLCAICFDAPRDCFFLPCGHCVACFACGSRIAEAAGICPVCRRNMKKVRRIFTV >RHN63949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55291693:55294760:1 gene:gene26714 transcript:rna26714 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRVNQSSDVELYPGGSMDTGGRVYAIGDVHCSPTKRPRISVPFSFGALEHEQDLKPTIEVLLDECLFEIFRRLPSGKERSSGACVSKRWLMLMSSICKDDIESGVETVSSDDSDEDAEGDGYLTRRLEGRKATDVRLAAIAVGTGYRGGLGKLSIRGNNPVRGVTDRGGLGKLSISSCSWLPFSQITFIVNVSRGCLDCKRMSYVGDARLVPFFVDHQQGFDCNS >RHN47658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41309128:41319520:1 gene:gene42281 transcript:rna42281 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTNPKPLLLSRSVTFSPQPPTPLQFPTTPPFNRFPPPFAQLRFRHSRLSALSGDGSGGTGGDGGSGGWNSGDNEADDGGGTWSFLSWYMALLAKYPVPVKALTSAILNLIGDLICQLVIDKVQTPDLKRTFLFSFLGLVLVGPTLHFWYLYLSQLVTLPGTSGAILRLVLDQFVFSPIFLGVFLSSLVTLEGRPSQAVPKLKQEWFSAVLANWQLWIPFQFLNFRFVPQQFQVLAANVVALVWNVILSFKAHKEVLPK >RHN76697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:496905:505993:-1 gene:gene62 transcript:rna62 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ADP/ATP carrier protein MGRSRLDALVSVFVTVHPHESSALLHSFFCFFFILSAYFVVLPLRDEGAISLGLSNLPELFIGSLLLTVIATPFTSLVFSLPNLSKNKALVFIHRFFSVSLIVFFILWHYSSAGSSTSNLIGSTALTSKDAKLGDQGSLASSVSWDNRGWFYISVRIGLFLWVALLNLITLSSTWARVIDVMDNESGSRLFGFIGAGATLGQLCGSLFATGMAFVGPFLLLFAALLMELAAQTSRGINCDTSHVEEELSPIRESDSNPENEASEIDRTEHTLKGSPKSSALVKHNVWPILEGLWLILSSTYLLHISLFIWLSAVVSSFFYFQKVSVIASTVTSSLGRRKLFAEINSFIAVFILIGQLTLTGRILTVAGVTAAICSTPFVGFLNLAALALWPDWMVVAICETLRKVVTYVVTRPGRELLFVVVSEDEKYKAKICIDVLVQRLGDAAAAGMYKMLFGTQNGSPSTAALYGLPVCLLWIITAFFLGRRQAQLSKENLRLNVQ >RHN71656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1709414:1710906:1 gene:gene7280 transcript:rna7280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MATNPSNTKSSLILNASITFKLSRKNFRAWKRQVTTLLAGIEVMGHIDGTTPIQTETIINNGVSAPNPDYTKWFTLDQLIINLLLSSMTEADSISFASYETARTLWVAIESQFNNTSRSHVMSVTNQIQRCTKGDKSITDYLFSVKSLADELAVIDKSLSDDDITLFVLNGLGAEYNDIAASIRTRQHPFTFEELHSHLLAHDDYLRREAVQVDIQVPTANFAHHTSPNQRSSKDDGILPIPSTGRGNNFSKQSQHHGSNTRGSNYRGRGRGFNSRGNRPPPRCQLCSLLGHIAKYCPQLLQRNNQPVANFASASHSAAPNWVFDTGASHHITSNLNNMQLHSEYDGPEEVQIGDGTGSGHGGDVDARFK >RHN82133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51474568:51476150:1 gene:gene6259 transcript:rna6259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MAVIFSGKYFPLLNLLLIFIIFTNQAKANNNPQNFHYFCDHSNERGNYTTNSTYETNLNTLLSTLISNTQINYGFYNFSFGENINRITAIGLCRGDIKPNDCHDCLNKSKANLTQLCPNKKEAIGWYEDEKCMLRYSDRSIFSLNEIGPAYFAWSLQNATSLDAFDEAVENLLQILKIDAAAGDSSRKFATESEIVGIDNKTIYGLAQCTPDLSGPQCANCLNESITEISRCCKNRIGARIVRPSCNLRFETSFQFYQTIADSPSQQPPSPIPSASPHSSDQGKNNTSSGTEVDMIPLLLLLLCSLLYVLV >RHN39204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4926759:4927190:1 gene:gene45208 transcript:rna45208 gene_biotype:protein_coding transcript_biotype:protein_coding MKSESQTPKNVILLLAKINLPVGASRRRRACTCHSDYYNSLRLTGSALSTFAASEPLAVFDDIPAVFVNTVKTHKQPPPPPATVTTTKLCTFSETCRLKLPHILSNSSICSICSTPRSEFPNWFIQNYLFIKKLNYFIKICIF >RHN59535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11755809:11766592:1 gene:gene21577 transcript:rna21577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MAFWGVEIKPGKPFTHTYDSSKGRLHISMATLGHGTAITKSVLQCNVGNRSPVYLCSLYPGNTESLQLNLELEEDGNVILSVLGPRSIHLCGYYLARGRYGNTMDESESYGEDIANTETEKSDRSDEDDYDDSFINDDGDLAVFSASPISHEEDAEEASSDSGRKSAKGSRRRLRKKYQLVESDDDGGLGKKIFNDFQEIDDEDSLPISSLCKKNKSFVRVLDQEMEDCFDKEAIDAGKKDSEDHENSIIETKLKTDNAFTESQIHREAEPSDQLAVPSTVPDVGDNKKSKKKKKGKEKETSTELDNAAQDEPKMNTAQDLLDQEMHDNIDKETVDAGKKDGEDHENSATETNLATDNAVADSQTYREAGPSDHLVDPCTVPDVGDIKKSKKKKKGKEKETKSSSNGDSTELDNAEQDEPKMNMAQDLLDQEIVDNVDKEAVDDGKKDGEDRENITIETKLKTDNVVADIQTHREAEPSDQLAVPSTVPDVGDMKKSKKKKKGKEKETKSSSNEHSTDLDNAAQDEPKMNMDEDLLTGNEQNQQQPDDKKAETTDKTLPSSQVGQGQDEKPKRKRKERSKEKTLFAADDACISNVVNLPQGNEHSNQDTVNGDVKISDSVALPSSETDSQKKTKRRKKEQINKASHTEGDNGNGEGIIQDYKADKETAESDGLTDKFSEKKEQHPKLTIENSVDNGAQDNPDGNQSEDKKVKKKKKKSKSQGSEVVNSDVPVSAEQSSEMMKEDGNNVEDTKPSQVRTLSNGLVIQELETGKENGKIAAIGKKISINYTGKLKENGVVVESNAGEAPFKFRLGKGEVIEGWDIGLEGMRVGEKRRLVVPPSMTSKKDGESENIPPNSWLVYDFELVKVH >RHN71132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56715329:56718563:1 gene:gene19781 transcript:rna19781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MAVLIMMKLPGTYSPNSLILLRFMLLLVLSYRTNALVKLPPNVTIPALIAFGDSIMDTGNNNNIKTIVKCNFPPYGQDFEGGIPTGRFCNGKNPSDLIVEELGIKELLPAYLDPNLKPSDLSTGVCFASGASGYDPLTPKIVSVISMGDQLKMFKEYIVKLKGVVGENRANFILANTLFLIVAGSDDLANTYFTIRTRQLHYDVPAYADLMVKGASDFIKEIYKLGARRIGVFSAAPIGYLPSQKTLGGGVFRKTNEKYNEAAKLFNSKLSKELDYLHSNLPNSNVIYIDIYSPLLDIILKPQKYGYKVADKGCCGTGKLEVSVLCNPLSATCPDNSEYIFWDSYHPTESVYRKLVAVVLPKYVGRLTA >RHN40566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17640695:17641180:-1 gene:gene46729 transcript:rna46729 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSRKTIKDIAKHSVLITYMQWYLNVNVDKNKPLDVNIASDKPFGVSIASGKSVNLSVANQKSLGMNVTKCQLPNVNVADSFVATMEEYIKEPVEGHSVTDEYVQLKNLIIEDSSFSKVEETDSSCQISMDVATSVSQLEDIPMALVRFPKTRFLKEKG >RHN65088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64115664:64115966:1 gene:gene27985 transcript:rna27985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MSYWKISGKYMEWCIADGQIPDDVLQRAMDYACHVDGVDCSKIQVNQPCYLPNTVKDHASYAFNDYYQKYKHKGGSCYFNYAAITSASDPSKLSLFIQLI >RHN39167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4635645:4636930:1 gene:gene45168 transcript:rna45168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MFAYFHSILFITAVLTSSNSKERGSMKSKHQKFSYSEILNITDNFKTTIGEGGFGKVYFGTLQDQTQVAVKSLSPSSMQGYKEFQSETQLLMIVHHRNLVPLLGYCDEGQIRALIYEYMANGNLQHFLVENSNILSWNERLSIAVDTAHGLDYLHNGCKPPIMHRDLKPSNILLDENLHAKIADFGLSRAFGNDNDSHISTRPAGTFGYADPV >RHN66418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12192754:12192945:1 gene:gene14393 transcript:rna14393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf3 MRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYVRLSPL >RHN76339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49483777:49484724:-1 gene:gene12648 transcript:rna12648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSETKMMKSYVWLQTSDGSIQQVEQDIAMFCPFIRKEILQKGTGSSKNCATCLPQQVSSSMWSLILNYCRFRLAPGRSDKEQKAYDDNFVKIDTKMLCGLACAANSLRLQPVIDLTCQALARIIGKRSPEEIRDMFHVSDDLTEEEKLEPIINVTNDPSIRLLNRLYAKKKKQLLEGPQRIKKNVDVEEDQRSLDDLLSFINGDSKGIETIGKNKKKKKKGQHKKNVKVNVGHNNIKHQSSEVDKLCETSNLHDDSMVQFIDDDDDDDFDEDDGIDLALKAKMNREVEEFARRLNSSREERIKDFSSSSQERKLA >RHN65882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6213523:6215645:1 gene:gene13775 transcript:rna13775 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYFLFKRIHALSDWNHLRRLSCRLSIARFLGLNSDGKEEDGYPLQSRTFKQFGRI >RHN60010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16392596:16394940:1 gene:gene22192 transcript:rna22192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVEVTKLVNVMLIFLTLFVVALSDDSKPFSSLFKSPLVYCLQHSDCQAYECELPFKPDCLMVEYSPQFVALRCGCV >RHN48068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44697377:44708116:1 gene:gene42740 transcript:rna42740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, EF-hand domain pair MTKTDRNAVRVVVAGDRGTGKSSLIAAIASESFPDTVLPVLPPTLLPADYFPDHVPITVIDTSSSLEKQNKRNEELKGADVVVLTYACNDSQSFSRLSSYWFRELQKLEVTVPIIVVGCKLDLRDESQQVSLERLMAQLLQEFKNVATCIECSAATLYQVPEVFYFAQKAVLHPVEPLFDHESQALTDKCVRALRRIFVLCDRDMDDALNDAELSDFQVRCFNAPLELPQIADVKTVVQQDVPEGINSHGLTFPGFLYIHNMFLRKGNPETFWAVLRYFGYDNDLKLKDNFLPVPSKTAPDQSVELTGEAVEFLNGIFRLLDTDKDRALKPSEVDKLFCTAPESPWNDAPYNNVTERTDMDYMSLNGFLSQWALMTLLDPPCTLANLIYIGYSGNPAAALRVTRRRSVDRKKQTTERNVFQCYVFGSKNAGKSALLDALLGRPFSNNYTPTTVEKFAANAIELIGGTRKTLVLREIPESEVSKFLSNQDYLAACDVAIFVYDSSDEYSWKKSRDLLEKVAGQGDLTGYRVPCLLIAAKDDLTPYPRAVQDSVKVTLELGIEAPIHVSMKLGDSNNVYNKIVSAAEHPHLSIPETEIGKKRKQYNRLVQNSLIFASVGTAMAVVGLAACRAYAVKKNSSA >RHN73276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15072541:15076057:1 gene:gene9074 transcript:rna9074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MSNKLFFSQNLVLGVITTKSTTLKFNNHFSFPFSLKCSSITTSQSESITQPFAVSYLINNFDFSTESALKAFNLRQVRFNTPDNPDSVINFFQNHGFSHSDIRIIIKKAPWLLSSQPHKRLLPKFQFFISNGASLSDIVPLLTANPHILQSSLDKRIIPLFQLLNRFLKTNKDTIVYLILNSRSFITPLHLLEANINLMVDFGVCDSAIARLLRTRKSIYCSNDLIKSLEDVKGLGFDPSTAAFGTALVTKHCMSNILWDKKVDVFKKWGWSDEDVIRVFRCQPSLMLASIDKINLVMSFWVNQMGWDPLELTKSPLMFGYSLPKRIIPRASVLQFILMKGLRKKNASLGRPFSYSENMFLNKCVFSFKEESDYLLKLYDEKMKLANTTENNGMPSTKSITS >RHN54135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7198415:7202659:1 gene:gene29125 transcript:rna29125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MFPTFSLWLSFLIAFNFFQNTFTSTLGTETDNLALLKFKESISNDPYGILASWNSSTHFCKWYGITCSPMHQRVAELNLEGYQLHGLISPHVGNLSFLRNLNLAHNSFFGKIPQKLGQLFRLQELVLIDNSLTGEIPTNLTSCSNLEFLYLTGNHLIGKIPIGISSLQKLQVLEISKNNLTGRIPTFIGNLSWLAILSVGDNLLEGDIPREICSLKNLTIMSVFLNRLSNTLPSSCLYNMSSLTFISAAFNNFNGSLPPNMFNTLSNLQYLAIGGNQFSGTIPISISNASSLFNLDLDQNNLVGQVPSLGKLHDLRRLNLELNSLGNNSTKDLEFLKSLTNCSKLLVFSISFNNFGGNLPNSIGNLSTQLRQLHLGCNMISGKIPEELGNLIGLTLLSMELNNFEGIIPTTFGKFEKMQLLVLQGNKFSGEIPPIIGNLSQLYHLSVGDNMLEGNIPSSIGNCKKLQYLDLAQNNLRGTIPLEVFSLSSLSNLLNLSRNSLSGSLPREVGMLKSINKLDVSENLLSGDIPRAIGECIRLEYLFLQGNSFNGTIPSSLASVKSLQYLDLSRNRLYGPIPNVLQNISVLEHLNVSFNMLEGEVPTEGVFGNVSKLAVTGNNKLCGGISTLRLRPCPVKGIKPAKHQKIRIIAGIVSAVSILLTATIILTIYKMRKRNKKQYSDLLNIDPLAKVSYQDLHQGTDGFSARNLVGSGSFGSVYKGNLESEDKVVAVKVMNLQKKGAHKSFIAECNALKNIRHRNLVKILTCCSSTDYKGQEFKALVFEYMNNGSLEQWLHPRSVNVENQRTLDLDQRLNIAVDIAFVLHYLHLECEQSIIHCDLKPSNVLLDDDMVAHVSDFGIARLVSVIDDTSHRETSTIGIKGTIGYAPPEYGMGSEVSTYGDMYSFGMLLLEILTGRRPVDEMFDNGQNLRIFVEISLPNNLIHILDPNLVPRNIEATIEDGNSGNFTPNVEKCVVSLFRIGLACSVESPKERMNIVDVIRDLSIIKNAYLAGVHTRD >RHN49219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53359365:53362173:1 gene:gene44027 transcript:rna44027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MERIEHRTVEVNGIKMHIAEKGKEGPVVLFLHGFPELWYSWRHQIAALGSLGYRAVAPDLRGYGDTDVPSSISSYTCFHVVGDIVSLIDLLGVEQVFLVGHDMGAIIGWYLCMFRPERIKAYVCLSVPFLHRNPKIRTVDGMRAVYGDDYYICRFQEPGEMEAQMAEVGTTYVMKNILTTRKTGPPIFPKGEYGTGFNPDTPDNLPSWLTEDDLAYFVSKFEKTGFTGGLNYYRNFNLNWELTAPWTGVKIKVPVKFITGELDMVYTSFNLKEYIHGGGFKEDVPNLEEVIIQKGVAHFNNQEAEEEISNYIYEFIMKF >RHN75209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40165655:40166049:1 gene:gene11380 transcript:rna11380 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQMNNGKYNKKSLFNCFKAVVDDDNDFKLPKRRKRGATANSVLTYPAIADEEGVVLFSPGAKEECGNQQRKRGRETWHALRMAINDTTLLCFVYIYFILLVVVCFFTFIFS >RHN61350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35012376:35013720:1 gene:gene23805 transcript:rna23805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerol kinase MVGAVSVNPASTILSSLTYSRKLGNRFFLGNKPRTVSMSLKNENRNKKQLEVSTGAAERLYLGLDFGTSGARFAIIDIGGTIQAEAKRPYPLYLNGESHDWVRSWKETLFSLLEDIPLNLRKDIVSISIDGTSATTIIVDSDTGEPLWRPLLYNESCPDALPAVKSIAPPNHTVCSASSTLCKLVSWWNRDGSNKKSALLLHQADWLLWLLHGKLGVSDYNNALKASFNKL >RHN46689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34050999:34058182:1 gene:gene41208 transcript:rna41208 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHTLLILLLFSLILFHGSHASFLKDLRKLIDSTKDSLIPEEKKLDPNKTAGEEKKNDVNIPPVPTPQPLPKVENNNGENQKETNNKITNTPPPVPATAPPPPVLVTAPPPPVPATAPPPLPKKDEGKGQVEEKGKNEGIKLAHSTTNDTCEGLHTCRDDGDMVACISKMDSKNFVVLLQNRGGGTIKVKLRSDLESNLGDIVVDKNKTEKVTIKQIKSESTELTLDAGKGDCVLHVTVVTPVPEAITWACCCIFKKKPRDEIPYQELEMALPESASATVVESAEGWDQGWDDDWDDNVAVKSPVVRHAGSISANGLTSRSSNKDGWEDNWDD >RHN66472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12724485:12732283:1 gene:gene14465 transcript:rna14465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin monooxygenase, FAD/NAD(P)-binding domain-containing protein MVSETNQYQSKNVCVIGAGPAGLVAAREIRKEGHKVIVLEQNHDIGGQWLYDDKNIEGEDPLGRNPFLKVHSSIYNSLRTQSPREVMGFTDFPFSTKKGRDMRRFPGHVEILMYLKDFCEWFGLREMIRFNTRVNYVGMLDDYGVCGNNLKWIVRSKEKNSDKVVEEVFDAVVVATGHYCQPKLPSIKGMDTWKRKQMHSHIYRTPEPFHNEVVVVVGNSFSGQDIAIELVGVAKEVHISSRSLDTITEGFSKVISKHETLHLHPQIDTLEEDGRVIFMDGSCIKADTILYCTGYSYSFPFLDTKGMVVIEDDRVGPLYEHTFHPLLSPSLTFIGIPKKLLGFPFFESQAMWIAQLLSGKKVLPSWEEMMKSIEEFYHEREVAGIPTHDIANFEYCDKYSENVGLPPLQEWRKVLCVSAIINSFVNLETYRDSWDDDEVLQEALQSPYFTQLGLED >RHN44886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9456481:9462668:1 gene:gene39054 transcript:rna39054 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDELVELKFRIYDGSDIGPFSYSPTSTVSMLKERIFAEWPKDKKIIPRAANDIKLINAGKILENNKTVGQCRVPFGELPTGVITMHVVVQPSLAKAKTAPQVSQEQPVQDEQVEQEPVQGMQVGQDWYARIEIEQLRQGAEQLRLGADRQRQGQMLELLLHHFHIQYPPPDPQMSQEQPVQDEQVEQEPVQGMQVRQDWYARIEAERLRQRAEQLRQGAEQQRHRQMLELLLQHFHIQYPPPGPQVSQEQPVQDEQVEQEPVQGMQVGQDWYARIEAEQIRQGAEQQRIGQMLELLLQHHHSQYPPPGLMCVLTVEVVHGKTQQKKNKSTTPSKNVL >RHN72222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5895401:5898227:-1 gene:gene7908 transcript:rna7908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MAILRLNHYLLRKALASRFCGNPLSCIALNLSHYSVLGSDSTASFEAQCKGKFNTENFNDGFGKMGHGFSDESRFVASVDDDLDEEDEVEENGSSDIDESFEFISSFDGNGSNKQRENIARFEIDESEFRHPIVREVCRLISLRSNWNPKFEENLRHLLRSLNPRLVCAVLRSQDDERIALDFFYWADRQWRYRHDAIVYYTMLDILSKTRLCQGARRILRLMTRRGIERSPEAFSYVMVSYSRAGMLRNALRILTLMQKAGVEPDLSICNTAIYVLVKGNKLEKALRFLERMKVAGIEPDIVSYNCLIKGYCDVHRIDDALELIAEMPFKGCPPDKVSYYTVMAFLCKDRKVEEVKRLMENMVQNSNLIPDQVTYNTLIYALSKHGHADDALVFLREAEEKGFHIDKVGYSAVVDSFCKNKNIDKAKSLVIDMYSKGCNPDVVTYTAIIDGFCRVGKIDEAKKMLQQMYKHGCKPNTVTYTVLLNGLCHNGKSLEAREMINVSEEHWWTPNAITYSAVMHGLRREGKLSEACDLTREMIEKGFLPNPVDINLLIQSLCRNQNVVGAKKYLEECLHKGCAVNVVNFTSVIYGFCQIGDLDAALSMLEDMYLSNKHPDAITYTTLFDALGKKSRLDEASELIVKMLGKGIDPTPVTYRAVIHRFCQWGRVDDMMKLLEKMIARQPFKTVYNQVIEKLCYFGNREEAEKLLGKVLRTASKLDAKTCHILIESYLIDGNALSAYKVACQMFRRNLIPDLKLCEKVTKKLVLDGMPAEADDLMLRFVERGIQTE >RHN77167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4599457:4605973:-1 gene:gene593 transcript:rna593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MQSRALASEEGKSKGLSLRSLRLLVVFLALCVVFSVISVYTIKHFGIQSVVTTMSSSFQPCIEEQAGLEQWIKPPSNLIHNMSDKELLWRASLVPKIKNYPFERVPKIAFMFLTKGPLPLAPVWERFLKGHESRYSVYVHPTPSYQAHFPPSSVFYKRQIPSQTIAEWGRMSMCDAERRLLANALLDISNERFILLSESCIPLYKFSLVYNYLMKSKFSFVGAFDDPGPYGRGRYNPNMAPLVDVAKWRKGSQWFEVSRKLAINIVEDTAFYPKFEQYCRPACYVDEHYFPTMLTIQAGSALANRSVTWVDWSRGGAHPATFGRTDITNEFFDRVRGGQKCLYNNRNSTLCSLFARKFAPSALEPLLDMVNSKVLDF >RHN55316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17272593:17272886:1 gene:gene30482 transcript:rna30482 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVLCCCRGSWSVNLSVLAVGSYTMTEKMSLVLFRSIFGLIMMLTVLYCSCSSKIMVVFRNRSFRLEMVMYLLSVVVANGGCGCYSVGGARGAQTR >RHN77778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9369729:9371820:1 gene:gene1267 transcript:rna1267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MSLILTKLAMLLFLMNLGNCLSDSSNRCPFKLECTHNKKILELPTQPVPVKLILTDIDYTDPRIDLSDPGNCLPQLLLDHNFSSIFPFKPYLSGYSVSRNISFFNCSSVVQLRSYNQVYEAQNMTSCPIYVAYSDSDSIIESDLVYCIKLFDRVALFPASSIQSNILSLKWYGTNFDLGCLKCEHKAMKRIAFIILSSAGVIIGLTLLVLMLGAIIRIHRHFKMKGEDHERIENFLKDYKALKPTRFSYADIKRITNKFKDKIGEGAHGAVYKGKLSSQIQVAVKMLNNAEGDGKEFINEVGTMGKIHHLNVVRLLGFCADGFHRALVYDFFPSGSLQKFISPPSNKDSFLGWDKLQQIALGIANGIEYLHQGCDQRILHFDINPHNVLIDDNLTPKITDFGLAKMCSKNQSTISMTAAKGTLGYMAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGRKNSNTTTSEGSPQVLYPDWIHSLVEGGDIQIPIDEEQDDFRIAKKMAIVGLWCIQWQPMHRPSMKTVLQMLQGEGDKLKVPRNPFGPSTSTNTTASIVSKPMSLELEVIKELD >RHN43106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43249715:43261563:1 gene:gene49631 transcript:rna49631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol 4-kinase MVRLLGFARGYADEPREIESKSNLTSDSSENGWLIRFFDSSFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLTGLESYLFQICYMMIHKPSPSLDKFVIDMCSKSLKIALKVHWFLMAELEDSDDNDGISRIQEKCQIAATLMGEWPPLIRPHTEPPSAGGKSQVLNRLLSSKNRLLSLTTSPPKSLSFSSSPGNNLQEDGNPLSPDENRIFKKFMPSPKVRDALLFRKSADKEDGDSEKDGFFKRLLRDSKGDDELGQKIRDAFHFRKSSEKDALDSEKENFFKRFLRDSRDSTRGDEEESEKDGFFQRILRESRSEDEDVSSSSEGFFKKLFRDSKNDSEDKTDSKTVEDEEKDGLFRKFFREKFEDKKDARDRNDNRNVPNSEEKCPKPDEEDEKDGFFRKFFRDKFEDKKDTKDKIEEGTANGEEEEPSELSLFKRLFRVHPEDDKSSPVNENSNNGGLIQSSPGTENFFRKLFKDRDRSIEDSELLGSKRQKEKHPGSPMPQSEKSSTKPPLPINPSQFRKGAYHDSLEFVQSLCDTSYGLVDVFPTEDRKSALQESLREINVHVTEVQNTGGVCFPLGKGMYRVLHMPVDEAVLLNSREKAPYMICIEVLRCEMPSNFKETSSSQKLSQGGIPLANGDAFLQKPPPWAYPLWTAQEVYRNSNDRMSRSTAQAIDQAMTHVSEPKFVSLNLSVETRYNEGVYEASGAEHDSDLEWVRVVLTADPGVRLEDIEDKAPSRKKEHRRVPSTVAIEEVKAAAAKGEAPLGLPLKGAGQDSSDSQLRANGITPKASDALSGELWEAKKDRVRKASIYGKLPGWDLRSIIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLCTSSYTALIETIPDTASLHSIKSRYPNISSLREFFDAKYEENSPSFKLAQRNFVESMAGYSLVCYFLQVKDRHNGNLLLDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGVPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGIRTIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >RHN70460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51578398:51578781:-1 gene:gene19040 transcript:rna19040 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSNYVGNSLFFSQERSQSRYLGNLARPLCDSSEAEEASYLKGLYDQNDPEAVILVFERQPSLHTNSLAFSEYVKALVKVGRLAESEFLKTLLRGEYLAKIAHFHLTVFACDYALLCLTVILRTF >RHN74461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33611868:33614296:1 gene:gene10537 transcript:rna10537 gene_biotype:protein_coding transcript_biotype:protein_coding MFSITPVVKSRQASSPSSFLKNISPFFPVPIGWSMPDIGPTSKSLIHDSLTPGSPKTDIDMWNEGLVFS >RHN74625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35284508:35285598:-1 gene:gene10726 transcript:rna10726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLPLSDSHLNFSTSSLNVLDLSENRLESSMIFNLVFNYSSNLQHLDLYDNLSRGTIPGDFGNIMHSLVSLNLFNGALPLWIGDSLQGSLILSLRSNSFNGSLASNLCYLRELQVLDLSLNSFSGGIPSCVKNFTSMTKDTVSLTVGLDHYLLFTHYGPFMINYEIDLSMVWKGVNQRYKNADRFLKTIDLSSNHLTGEIPTEMKRLFGLIALNLSRNNLSVEIISNIGNFKSLEFLDLSRNRLSGRIPSSLAHIDRLAMLDLSHNQLYGKIPIGTQLQTFNASSFDGNSNLCGDPLDRKCPGEEQSKPQVPTTDVGDDNSIFLEAFYMSMGLGFFTGFVGLVGS >RHN49662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:133748:136943:1 gene:gene33865 transcript:rna33865 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFSVCKTIPLTSFYKTSTKEVSIEPSSIWFTRKTRSIGVIKCSIERSNSSDGKKGVVSNSNYVVPLDDSFSFSNSSSTITRPLAEILRDLNKRIPDTLVKPPIDPSSSASSSIFIPWYHANRMLSFYAPGWCGEIRDVIFSDNGTVTVVYRLTVRGSDGEAYRESTGTISTTDGSISDPVSAAEENAFCKACARFGLGLYLYHDDQTASM >RHN44991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10497097:10499860:1 gene:gene39184 transcript:rna39184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bms1/Tsr1-type G domain-containing protein MIREQKRAAVLKQKRELSGSTTPPRVIVLFALCASVDLESLANDLSSLLSKDSSTVLPGFGIPTVASSEYKIRISVLKAPHGDLLSCMEMVKVADLLVFVASAMSSCEETASQYIDSFGNQCLSVFRSLGLPSTVLFVRDLPTELKQRNEPKKSCSSSLASEFPEDCKCYPADTKDDLQKFLWLFKEQRLKVPHWRTQRSYLMAHKVHVSGAGDFRLCKVEVLEDPLLLNSSTNQDLMGSDETQDVKV >RHN52117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31651883:31652729:1 gene:gene36745 transcript:rna36745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKPTFLTTLSLLLFALATYFPLAFTEQVRDSNGNPIFFSSRFYVKPSIFGAAGGGVKLGETGNSSCPLTVLQDYSEVVNGLPVKFSTDAEIFIDLISTDTSRVDIVFPEKPECAESSKWLLIEDDFPRPWVGIGGIEDYIGKHIIDGKFKIVKHGFGYKLVFCPTFTAPPGLCHDIGRYDDKNGRRLILTEDDPYEVVFEHVAIGTERSVV >RHN41597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31390329:31394220:-1 gene:gene47935 transcript:rna47935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator FHA-SMAD family MTIAQSSPSKLITSILPFQTHSLTKMEEQQDPPTLTLQILAGPRNGETHQFEPGSTVKIGRVIRGNNLPIKDPGISTKHLTIHFDSGNWILTDLDSSNGTVLDNEPVPPNTPFHLCDGSTIKIGEVTSILVNFINPQSKPTETMVEDKPMKGKKGNSGKSVKFRVPVQSIDEDGMLNGDDEDGIVDRPEPTKVTRNTRSRKIVTDSTNVNLDPVEPKNARATRNSKNKKNAVEICDSSNGNLDDVKEKVEEVKKNVRVTRNSKNKKNVAKICDSSNGDLEGVKEKVEEVKKNVRVTRNLRNKINKMGVSELSVGDLDGVKEKVEEPRSVRMTRNVKNKGVVIGEDLSLVDGVENVEKKKTRGCAKGKRKLREEIVGDGDGKENCDDAEEKEKLEEECVGDKEKEKLPEERVGDGEDKEKEKLPEECVGDEEDKEKDKLQEECVGDEEDKEKEKSQECVGDGVGKKIYDAKEKENLNGDENWPDLEKMNLGEWFDFLEVYLPKQIHDETEEIIDSMTQKAERLREYVIMYQNQKAGTATEC >RHN62877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46423387:46427334:1 gene:gene25508 transcript:rna25508 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit RPB7 MFFHIVLERNMQLHPRYFGRNLRDNLVSKLMKDVEGTCSGRHGFVVAVTGIENIGKGIIRDGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQTGDMPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVINDPATV >RHN56402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30577453:30577764:1 gene:gene31798 transcript:rna31798 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQQHPQIGGSCRGFVFLHSDKGFYLWNPSTGVHKQIPRSPMTIGIKLNILNHNILRFFYGFAYEPSTDDYFVVLRSYKCYNDYDRVSRLITLDIFSLRANK >RHN64292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57962758:57963367:-1 gene:gene27095 transcript:rna27095 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHRPVLVRERERYILYVKEREMRCKIRHQSRHKRRRWAACSLPSTVSVLCFGGEIRGLYGRERWWWW >RHN73254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14798325:14799569:-1 gene:gene9051 transcript:rna9051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine permease, plant MESRSQTPPLHHHHQSLTTNPTNNEDTIDIEAIDHQEETQQHQNQPSPFVNNKNHLHANDQKSITNKRYMPLLIINYLLLFVGSISSSLLSKYYFIHKGSSKWVSTWVQCAGFPLLIIPIFLPYLFNLTKRNPFTDFTPKMLTLSIFVGIMLGFNNLLISWGVAYLPVSTSALLLSSQLVFNLILSAIIVKQKITFSNLNCVILLTLSSIILALNSSSERPKGLTKKEYFIGFFCTLGAGLLFALYLPVMEKVYKKVYCYEMVMEMQLIMEIAATVLATVGMAWDGGFKEMKRESEEVFDKGSRVYWVTVMANVVTWQFCFMGTAGMVFLTSSLTGGICMTALLSMNVLGGVIVYRDAFGGLKAVSTMLEEKGRMAKERNDSSMELSTMRRSRGATG >RHN56243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29183554:29186899:-1 gene:gene31611 transcript:rna31611 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LB6 MSFTDKQEALVNSSYEAFKQNLSGYSVFFYTVILEKAPAAKGLFSFLKDSAGVQDSPQLQAHAEKVFGLVRDSASQLRATGGVVLGDAALGAIHIQKGVVDPHFVVVKEALLKTIKEAAGDKWSEELSTAWEVAYDALATEIKKAMS >RHN54030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6361773:6362126:-1 gene:gene29006 transcript:rna29006 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSCGTHTLNISYSDPSAKTTPPSYPTPALTKPSSPTTTLSQPRRRPRSPHQQNSDIPFMSFDIDTVAVSPPPVQGLTVAPLTSPMRSLSSTSSRSTETKYGANSIWCYFKLYVIS >RHN63825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54275733:54282038:1 gene:gene26578 transcript:rna26578 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDKLYKYRYTVSLFSVNKSYSILKFDKHNMPNTYTHKLHTPNPKETYWVWGFFHPVQKRKFYHKINKNKIFIKNGNTLIVY >RHN80733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40483171:40484083:1 gene:gene4693 transcript:rna4693 gene_biotype:protein_coding transcript_biotype:protein_coding MHYANWQKKKRRRERMNIQRHTLQGCKQSNLPPCFYLQQQQNLLSHMLQISARNKTSIHSPKLLKSRYS >RHN80925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41945921:41957733:1 gene:gene4909 transcript:rna4909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-tyrosine phosphatase MSIPKEPEEVMKLRGGSVLGKKTILKSDHFPGCQNKRLRPNIEGAPNYRQADKLHVHGVAIPTIDGIRNVLKHIGAEIEGENKVHVLWISLREEPVVYINGRPFVLRDVERPFSNLEYTGINRERVEQMEARLKEDILNEAARYGNKILVTDELPDGQMVDQWESVSCNSVKTPLEVYQELQVEGYLVDYERVPVTDEKSPKEQDFDILVHKISQADVNTEIIFNCQMGRGRTTTGMVIATLIYLNRIGASGIPRSNSVGTVSQCLTNVPDHMPNSEEAIRRGEYTVIRSLIRVLEGGVDGKRQVDKVIDKCASMQNLREAIATYRNSILRQPDEMKREASLSFFVEYLERYYFLICFTVYIHSEMAALQARSAASHTSFADWMRARPELYSIIRRLLRRDPMGALGYSGLKPSLKKIAESTDDRPSEMGVVAALRNGEVLGSQTVLKSDHCPGCQNPRLPERVEGAPNFREVPGFPVYGVANPTIDGIRSVLRRIGSSKGGRPVLWHNMREEPVIYINGKPFVLREVERPYKNMREYTGIGRERVEKMEARLKEDILREAEQYDNAIMVIHETDDGQIYDAWEQVTSDVIQTPLEVFKSLEADGFPIKYARVPITDGKAPKSSDFDTMAFNIASATKNTAFVFNCQMGRGRTTTGTVIACLVKLRIDSGRPIKILGDNVTQEEVDGGSSSGDEVGGYVTAPNNLQIKTDEKQKHVFGINDILLLWKITAFFDNGVECREALDGIIDRCSALQNIRQAVLEYRKVFNQQHVEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDGFCGEGESKVSFKNWLHQRPEVQAMKWSIRLRPGRFFTVPEKLRAPQESQHGDAVMEAFVKARSGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVFKVDEYSVYSMATPTISGAKEMLKYLGANPKAKASAAPKVILTDLREEAVVYIKGTPFVLRELNKPYDTLKHVGITGPVVEHMEARLKEDIIAEIRQSGGLMPLHREEYNPSTNQSNVVGYWENILAEDVKTTVEVYSALKDEGYDIVYRRIPLTRERDALASDVDAIQCCKDDSAENYLFVSHTGFGGVAYAMAIICIRLGAEANFASKVPQPLLSPQQYVVTEENFPSRASNEAALKMGDYRDILSLTRVLIHGPQSKADVDIVIDRCAGAGHLRDDILYYCKEFEKFTDGDDEERAHLMDMGVKALRRYFFLITFRSYLYCTSPSNMEFAAWMDARPELGHLCNNLRIDK >RHN68523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36202623:36205635:-1 gene:gene16886 transcript:rna16886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cystathionine beta-lyase, pyridoxal phosphate-dependent transferase MQLPCCTHSLFRFIPSYKSLQFSTHHFNFNTPSFSYKPPKFPSLIAPATMSTAVSTDNITPLQVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPDFVKEAAIQAIRDGNNQYARGYGVPDLNIAISERYKKDTGLAVDPEKEITVTSGCTEAIAATVLGLINPGDEVIVFAPFYDSYEATLSMAGAKVKGITLRPPDFALPIEELKSTISKNTRAILLNTPHNPTGKMFTPEELNTIASLCIENDVLVFSDEVYDKLAFDMEHISIASLPGMFERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHAFLTFATSNPMQWAAAVALRAPDSYYTELKRDYMAKRSILVEGLKAVGFKVFPSSGTYFVVVDHTPFGHENDIAFCEYLVKEVGVVAIPTSVFYLNPEEGKNLVRFTFCKDEGTLRAAVDRMKEKLRK >RHN57894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42457165:42462012:1 gene:gene33485 transcript:rna33485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MEVTTTNSGEGTPTDPNRNGSVQNSMARYKLMSPAKLPISRSPCITIPAGYSPTSLLESPVLLSNMKVEPSPTTGSLLQALNCSMASATFPVTSACFNTSTVNGRKSSFFEFKPQDRNMVPADFNNHVSEKSTQMEGSGNGQSFRSSPLNENEIEDQSNELSLSSSPVHMVSSGASAPVNVNSDEPNHKGSTANGPQSSNDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSNRYSAGSVMSTQGERSDNRASSLAVRDDKASNSPEQSVVATNDLSPEGAGFVSTRTNDGVDDDDPFSKQRKMELGNADIIPVVKPIREPRVVVQTMSEIDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPAARSSSHDMAGHATSFRQTRIKLEENDTISLDLGMGISSTAGNRSNGQGKILLSEFGNSSHTHTSNSNFKFVHNTTSAPVYYGVLNNGSNPFGSRENRNDGSSLNHSANPCSQTMGRILMGP >RHN63088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48286816:48289679:-1 gene:gene25746 transcript:rna25746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubulin MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDVCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEATEGEDGEEGEEY >RHN48498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47966579:47970728:-1 gene:gene43218 transcript:rna43218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Translin family MQYMKPALRNGRYTLISSSLSNTLNPNPFIFFLTSPFHTLQTFRSQSPISCFSSSMAFSSAPDPSLEKQFGEFRTHLEQSGTLRDRIRTVVSEIESTTRLMYASILLVHHSRPTPELLEKAKSQIDVLKEKYKQLADILGGYPGQYYRYHGDWKSETQTVVSMLTFVHWLETGKLLEHKEAEEMLGLNGPEFNLDVEDYLVGVCFMSNELPRYVVNQVTAGDYDCPRNVLKFLTELHAAFRMLNLRNDLLRRKFDGMKYDLRKVEEVYYDVKIRGLTPNGESVADQGIKGQS >RHN80762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40694857:40696760:1 gene:gene4727 transcript:rna4727 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFKHGLGLYLLLLVFSHSLLTSPVAYAWFGRRGFWWIPWWRWGDKENDLLHDINIYRKVQNLPILEENYDASCLAIKFAYDLKDKHCEDFHDFHPLPSRNPSIPNFQKSVWKCNITINTTKDWVLMRVCVPGLDEDDLFSNYTRDSHFTEYLNNSKYTTAGVGFGGDWNVLIVSTNTTFLDNNTTFVDISSASYLLDGWLLMMATFWSVFVFLFN >RHN59061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7226691:7232600:1 gene:gene21045 transcript:rna21045 gene_biotype:protein_coding transcript_biotype:protein_coding MCYSYDKQEKSLIPYGEHTFSCPRCDSTIETIKIFSPARDMGDDRDW >RHN43503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46355371:46356292:1 gene:gene50095 transcript:rna50095 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCYITSLCFYVFPSEFLLVLGRSKSSGERLSPWVAVGCFTMGVSILFF >RHN67620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28724114:28724602:1 gene:gene15827 transcript:rna15827 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYGVGPNVKAKDDIVTVCVVIHLMSFVVKSNLITCFLM >RHN49654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:81029:96034:-1 gene:gene33857 transcript:rna33857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MTTKRAYKLQEFVAHASAVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHSSGIDSVNFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCTSVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKSHEGQIQCIDFHPSEFLLATGSADRTVKFWDLETFELIGSAGPETTGVRSLTFSPDGRTLLCGLHESLKVFSWEPIRCHDMVEVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYALNSMNQLNGHSESKSSSGNTTVLNEITAKARLSVSQNPDPLLKETRSLGRLSVSQDSDTLKDGKYLASTGSAPSTPQRINSNSSSKTVSGGSTAVLNSTAQKRSSLKSHTTSSVPLINRSDIIPVIVPRTSTRPESVADSRKEVGVAGTTMPLSLQSKAVDIRKFTNNRDDGDKPPFSHVTEFAASKGSELSGFSDKNNLPASVSSTQDEGGGQKLNRDGCSIEVQKRGRMRSLLTLEKRERSLNFEEPRHRISHGRTPSVHVLPFSGRTHSISTEKATVSASDEDSIADLMEQHDEFISSMQNRSSKLKVVFRRWERNDVTEVISTMAKMGDHAVIADVVSIIMEKIDMVTLDLCTGLLPLLTDLLQSEMDRHLSISVEMLLNLVRVFGSVIYSTLSAKPSVGVDIEAEKRLERCNVCFVELEKVKGFLPSLMRRGGSVAKSAHELNLALQDVS >RHN81808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49038396:49055240:1 gene:gene5898 transcript:rna5898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MDNQFTFMRTLPSPFLIHLHRTTNPKFIRTCNWSFISVSKPMLNLCSSSFTSSQPAGDDNTKDGNNNTNGTASLRNFKLNQSTFLASLMPKTEIGVDRFLHSYPHYDGRGVLIAIFDSGVDPAAAGLQVTSDGKPKILDILDCTGSGDIDTSKVVKADADGCISGASGASLAINTSWKNPSGDWHVGYKLVYELFTETLTSRLKKERKNKWDEKNQEEIAKTVQQLSDFDQQHQKVEDAKLKKAREDLQNKLDLLRKHSESYDDKGPAIDAVVWYDGEVWRVALDTQSLEDDSDCGRLANFVPLTNYRSERKYGVFSKLDACAFVVNVYDDGNILSIVTDSSPHGTHVAGIATAFHPEEPLLNGVAPGAQLISCKIGDSRLGSMETGTGLTRALIAAVEHKCDLINMSYGEPTLLPDYGRFVDLVNDVVNKHRLIFVSSAGNSGPALSTVGAPGGTSSSIIGVGAYVSPAMAAGAHCVVEPPSEGLEYTWSSRGPTTDGDLGVCVSAPGGAIAPVPTWTLQRRMLMNGTSMSSPSACGGIALLISAMKEEGIPVSPYSVRKALENTSVPIGDSPEDKLSAGQGLMQVDKCYEYIQQSRNIPCVWYQINIYQSGKSNPSSRGIYLREANACQQSTEWTVQVDPKFHEDANKLEDLVVFEECIELHSSDSTVVKAPEYLLLTHNGRTFNILVDPTNLCDGLHYYEVYGIDCKAPWRGPLFRIPITITKPVAVINRPPQVSFSEMLFEPGHIERKYIEVPHGASWVEATMNISSFDTPRRFFVDTVQICPLQRPLKWRSVITFSSPAAKNFTFKVVGGQTLELVIAQFWSSGIGSHETTNVDLKIVFHGIKASQEVIVLDGSEAPVRVDAEALLASEKLTPVANLNKIRVPYRPVDSKISALSNDRDKLPSGKQMLALTLTYKVKLDDGAEIKPQIPFLNGRIYDTKFESQFYMISNSNKRVYSSGDAYPNSTKLPKGEYSLQLYVRHEDLQILEKMKHLVLFIERNLEDKDIIRLSFFSKPDGPLMGNGSFKSSTLIPGIKEGFYLGPPPKDKLPKNSLQGSVLVGSISYGKLSFAGQGEHKNPEKHPASYRISYIVPPNKIDEDKGKTSLSSKKTVSERLEEEVRDAKIKVLGGIKQESDEDLLEWNKLSVLLKSEYPKYTPLLAKILEGFVSRSNIKDKSHHHEEIINAANKVIDSIDREELAKFFALKSDLDDEEAQKTKKKMESTRDQLAEALYQKGLALAEIESLKEVDNSPAAAATEDANPDEQSKDDCIHPDLFDENFKELKKWVDVKCTKYGILLVTHEKRSQRIGTALKVLTDIIQDDTEPAKKKLYKLKLSLLEEVGWTHLATYERQWMLVRFPPSLPLF >RHN41078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26791501:26799413:-1 gene:gene47360 transcript:rna47360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MAGKSDSVSIRVPYKNLRNDSSAAEVELVGIDGDGDEPRHRIDLNSPPRGDQLPTKNNASLTHLVLSCTVAAGVQFGWALQLSLLTPYIQTLGIGHAFSSFIWLCGPITGLVVQPCVGIWSDKCTSKFGRRRPFILAGSLMISFAVILIGYSADIGYILGDTKEHCRTFKGTRTRAAVIFILGFWMLDLANNTVQGPARALLADLAGPDQRNVSNAVFCSWMAVGNILGYSSGASGKWNKWFPFLTNRACCEACGNLKAAFLVAVVFLTLCTLVTLYFADEVPLITASKHHQLSDSAPLLDEQNGIEFSKQKPLSVINESNGKLSEDRSEEVVNLKHESFNAGDDHNENLMDGPGAVLVNLLTSLRHLPPAMHSVLVVMALTWLSWFPFFLFDTDWMGREVYHGDPKGTTLEVDLYDQGVREGAFGLLLNSVVLGISSFLIEPMCKLMGARLVWAVSNFVVFVCMAGTAIISLISVHDYTRGIEHAIGASEGIKYASLVVFVLLGFPLAITYSVPFAVTAELTADSGGGQGLAIGVLNLAIVAPQMIISLGSGPWDALFGGGNIPAFVLASICALAGGIVATLKLPNLSSSFKSSGFHFG >RHN80822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41057639:41057884:-1 gene:gene4789 transcript:rna4789 gene_biotype:protein_coding transcript_biotype:protein_coding MGITKFFLDLRTCQLIHMKEATMMFDKELRGAVEDIVVGGCHFFRDLQWRLASLPIRFGELGLYSAVEDSSNAFVAYKAQS >RHN64201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57218228:57220604:-1 gene:gene26988 transcript:rna26988 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQVLYPQDYFITPTPAPFSHRSNRYGYNNRAVTSRYHRKPVTRPGLKKPVAVSSYQPEASAVLKRSSVDDSVTGKSSGLAVEKVTILRRGKSLDSVKIDTYAGSAAFGLSPSPSALPLPSFLMKKQLAVTVDDSATRDLRRLLRLN >RHN65790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5379014:5380318:-1 gene:gene13680 transcript:rna13680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Rcd1-like family MQNGNELTKIVATFIILKIILDDDGLARVCATTENFFGVCRVLNMMLEDLENPPSPRLLRLLISCYSRLSQNYRARVALTTYLPNRLKDANLINFFRDDPKTMRLVLELHENVGVN >RHN79690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31278191:31279903:-1 gene:gene3514 transcript:rna3514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phenazine biosynthesis PhzF protein MTPTNQICLKVNLCGHATLAASHILFSSDLVNNSVIEFVTLSGVLTVKKIPSIDVVGVPNLLNGKAPPVGFYIELDFPAYYPITKLYHDDISIIDEALNGASIIDMKRTEFADDLLVVLTSGKIVLEVQAQFDALAKLSGRGVSVTGIAPPESGFDFYSRFFSPKFGINEVSD >RHN45235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13368813:13372707:-1 gene:gene39469 transcript:rna39469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, ERG MYRIISTPASKFRQFRRLGSKPTITSSTEEEEQASHILLWRYQILDPDSELVAYWNKVFLVTSLLALFIDPLYFFLPTVGGPACLSVDPKLSIVITFLRTFADLFYVLHMVMKFRTAFVAPNSRIIGRGDLVMDPYQIAMRYLKSDFVIDLAATIPLPQIVVWLVIPASRGTKSDHANNNLALFVLIQYVPRLFLIFPLNARIQKTTGVIAKTPWIGAAYNLVLYMLASHVTGATWYLSSIGRQFNCWKTQCEQENKSHTLSCLPSFLDCNSMDSPDRQYWINLTNITSKCDAKSKVNIKYKFGMFADAFLNDVVTTSFKERYFYCLWWGLRNLSSYGQNLDTTTYLWETLFCIVLCIAGLVLFSLLIGNMQTYLQSMTVRLDEWRIRKRDTEEWMRHRQLPPDLQERVRRFVQYKWLATRGVDEEGIMLSLPLDLRREIQHHLCLSLVRRVPFFSQMDDQLLDAICERLVSSLSTQGTYIFQEDDPVDEMLFIIRGTLESSTTNGGRYGFFNSITLRPGDFCGEELLTWALLPNSTLNLPSSTRTVKALTEVEAFALQAEDLKFVASQFKRLHSKKLQHAFRYYSHQWRTWSSCFIQAAWRKHQKRKATRELSIKEGLYYMVDPEIEETSRSGKSFNNFGATVLASRFAANTKKGNDKIQESDPVPQLFKPHEPNFSDDHV >RHN54978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14171438:14174193:1 gene:gene30093 transcript:rna30093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L15 MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYNPIVNIDKIASLIPQDVKEKALKENKAPVIDVTQFGFFKLLGKGVLPQNQPFVVKTKLISKIAEKKIKEAGGAVVLTA >RHN43776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48412176:48419983:-1 gene:gene50398 transcript:rna50398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MGSEGSTVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIFMQSWTGTIIGPPGTVHEGRIYQLKLFCGKDYPDNPPSVRFQTRINMTCVNQESGVVEPNLFPMLASWKRESTMEDILLQLKKEMMSPQNRKLSQPPEGNEDGRIDQKGLVLRCCIV >RHN59087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7393277:7396237:1 gene:gene21075 transcript:rna21075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S4/S9 MVHVAFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARTLLTLDEKNPRRIFEGEALLRRMFKHGLLDETQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGLPGRVKRKNLKAAAKKASGGDGDEEDED >RHN65279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:808901:810206:-1 gene:gene13101 transcript:rna13101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MNFISFPSTCLITNNQYLLPFSFSCRILCLFLKQQWRHIRGKDNKNKHTINDLTDDVLLHILSFLKAKEAVQTCILSKRWINLWKILPTLTLSSSHFRTSDSFEEFVSQILSLRDGSITIRVLDFQRNYCMEHSLLQRIIKYVVSHNVQHLIMDFPCDINHFPSCFFSCQTLTSLNLSGFHILFGHKLIFPNFLNLPALTSLSLKYFAFQRGDDNVCVEPFSTFKMLNSLIIDCCIVMDAQNLCISSTKLVSFTILMCDCDLETYIGTYFELELYAPCLHTFSFTGLYIPKLFGSKSFLYSIKHLNIHLWCYWNLKSAETSPLLLNWLVELANIESLTVSSNTLEVLSLVPDLLNVELSYLCNLKSLKIKTYLSSSIPDGMIDLLIQNSPSAKVDILQDIIR >RHN43417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45687314:45697870:1 gene:gene49988 transcript:rna49988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H oxidase (H(2)O(2)-forming) MNDIPKHERRWASDSVPGKATVSAGTSPGTESSYTGDEFVEVTLDLRDDDTIVLRSVEPAVINIDDSSVAGSGYDTPVSVPRSPSMRRSSSRGFRHFSQELKAEAVAKAKQFSQELRRFSWSHGHASRTLSSSSARAGTSTTAGGGFETALAARALRKQRAQLDRTRTGAHKALRSLKFISSKSNGVDAWNEVQKNFDKLAKDGFLHRVDFGQCIGMKDSKEFALELFDALGRKRRLKVEKINREELFEFWSQITDQSFDSRLQIFFDMVDKNEDGRITEEEVKEIIMLSASANKLSRLQEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLNYSQALSYTSQALSQNLQGLRKKSPIRRMSRRFIYYLQENWKRLWILTLWVCIMIGLFTWKFIQYTRKDAFHIMGYCLPTAKGGAETLKFNMALILLPVCRNTITWLRSTKLSYVIPFDDNINFHKTIAGAIVIGVILHAGDHLACDFPRLVSSSEADYQKYLKGVFGHNRPSYGDIVKGVEGVTGILMVVLMAISFTLATKWFRRNLIKLPEPFSRLTGFNAFWYSHHLFVIVYVLLIIHGVKLYLVHKWYYQTTWMYLTVPLLLYASERTLRLFRSGLYTVRLIKVAIYPGNVLTLQMSKPPQFRYKSGQYMFVQCAAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQELKRVFSEVCEPPVSGRSGLLRADETTKKSLPKLKIDGPYGAPAQDYRKYDVLLLVGLGIGATPFISILKDLLNNIVKMEELADSVSDTSRGSDLSVGSTDSPSLNKTTPKRKKTLKTTNAYFYWVTREQGSFDWFKGVMNEVAELDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDIVSGTRVRTHFARPNWKKVFSKMCSKHYSGRIGVFYCGAPVLAKELNKLCFEFNEKGPTKFEFHKEHF >RHN69518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44107428:44108561:1 gene:gene17997 transcript:rna17997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MRMELHGVCRTLCEARQLQLFDEMPQPNPFSWNTLIEAHINLSHRKKSLELFHAMPHKSHYSWNQLVSTFSKSGDLQQAHNLFNAMPMKNPLVWNSMIHGYSRHGYPRKAILLFKEMIFDPLETMHRDAFVLSTVFGACADLFVLDCGKQVHARVFIDGFEFKHDKVLCSSIVNLYGKCGDLDIAVWVVGFVMDVDDFSLSALVSGYANAGRMSDARRVFDNKVDPCSVLWNSIISGYVSNGEEMEALDLFNQMRRSGVRGEFPAVANILSAASSLLNFELVKQMHDHAFKIGATHDIVVASTLLDAYSKCQHSHEACELFDELKVYDAILLNTMITVYCNCGRIEDAKGIFQTMPNKTLISWNSILVGLTQNACPS >RHN48372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47040258:47040715:1 gene:gene43083 transcript:rna43083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plastoquinol--plastocyanin reductase MDSLNNCSLTGGFKKPRELTWVTGVVLGVLTASFGVTGCSLPWDQIGYWAAKIVTGIPNAIPVIGSLVVELLRGSASVGQSTLTHFYSLHTFVLPLLTPVFMLMHFPMIRKQGISGPLLNKKTKKHKSIIDICN >RHN76958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2706917:2711024:-1 gene:gene354 transcript:rna354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative MEMO1 family protein MVTKVRKPSHAGSWYTDNPKQLSEELEGWLNSCGLTKSSDVRGVIAPHAGYSYSGRAAAYAFGNIDPSNIKRVFLLGPSHHYYTPKCALSTATVYKTPIGDLPIDLEVNEQLKATGKFETMDIRVDEAEHSMEMHLPYLAKVFEGHPVKIVPILVGAVSAENEAMYGQILAQFVDDPTNFFSISSDFCHWGSRFNYMHYDKKHGPIYKSIEALDKMGMDIIETGDPDSFKKYLSEFDNTICGRHPISVFLHMLMNCSSKIKVKFLRYEQSSQCHSTRDSSVSYASAAAKVDDSSSS >RHN53312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:880579:893502:1 gene:gene28203 transcript:rna28203 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSLSLSLSLPPSLSFFTASFFSGCGTNKTQDVNICRSSRNLIFILTKKSVLSFVVFQSQLWSC >RHN68989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40126236:40128111:1 gene:gene17415 transcript:rna17415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MTSTIVSLISILVFCITISKGRKTLNTTHELSTSSLITNINGICKTMVETQGYTCEEHKVTTEDGYILSLQRISARQSDKKADKPPVLIQHGIFCDARIWLFNSPEESLGFILADNGVDVWLVNGRGTKYSTMHTSLTPDDMAYWDWSWDELANYDLPASVQYVYNHTGKKIHYASHSQGTLMAFVNLSQGKLLNMLRSAALLSPIAYMNPNPSKEISLVVGLFLTNVRHTNKITLYLTIILEQYVLNIY >RHN47610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40966862:40979035:-1 gene:gene42230 transcript:rna42230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKSCVKLENELPDHIISYIFSKLALKDLVKTSALSKQWIHEWGLRMDLNFDLYTMSDYNTDQDLSQILPLCQRFHFQSEFATRLDQFMLHYKGAMIRSIRVKFPLCNEHRDVIDRLISKGIAKGAEHIQLLFSFETTDTTISILPYKFSLILLPKNDSVTYLHLQNCLLVKPRYFSRLKNLRTLVLQQVIVKNTLVQTLCSNCKHLVDFTLDDCKITSELIIIIPSLLHLKIVNVGCYYREPINIIASSLLSLEYSCLKHYLEHPLSIKAPKLSKFGFRGIVFSNNNGLSGLKNVTTIVFDSLLSDLSRNILPNLFSECPQLEDVTFKNCLFKSSINNKFTSSKLRQLIILDSVVNDSPSPPSEISIDALNLSSFEYTGYTTRIISFTAPRLSKVFWDTSERENIPHLFDPIASLPHIENLAMIVGSLQVKELAKVLVRFQNLRKLELNIDGACDPTMDYFWLLDIATASQHLQKLTLNIKNLYPEHSHMVGFKRQKNECAGFSHNVLEYVEFRGCVCSINVFELATHLLRSANSLKKMIFRSSHKVYLGGGRWTTYFNACGGHCWLGNNVIYEMLKDEVNEQCQLIIL >RHN53533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2344386:2344637:1 gene:gene28449 transcript:rna28449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MILIFLQVFRKYNEGSVVALLDPLMQEAVKTDVAVKMFDLAFNCAAPVRSDRPDMKTVGEQLWAIRQITSRVLVAQLQGENDW >RHN47936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43693122:43696301:-1 gene:gene42593 transcript:rna42593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rhodanese-like domain-containing protein MAGTAFSTSCNLGRCWLVVKTHNARSMPGKLVSQCKMRKKFTIKAEIKFVTADDAKELVKVDGYNVLDVRDKSQYERAHIKTCYHVPLFVENTDNDPGTFLLRTVHNNFSGLFFGIPFTRPNPDFVQSVKSQIQPETKLLIVCQEGLRSAAAANKLEDAGFQNVACITSGLQTVKPGTFESVGSTELQNAGKAGLVQIQGKISAVLGTVLICAYLFITFFPDQAEKLIQLVPAG >RHN64771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61671731:61673444:1 gene:gene27626 transcript:rna27626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MVSYSLYHLTKHLVQDSNQRMSISFGKIDMQLKLVPGNSAGTVTAYYLSSKGPTWDEIDYEFLGNVSGEPYILHTNVFSQGKGNREQQFYLWFDPAADFHTYSIIWNPQRIIFSVDGTPIREFKNSETIGVPFPKNQPMRIYSSLWNADNWATRGGLVKTDWTKAPFTASYRNFNAQACIWSSGASSCGSTSSASKDSARGSWLSQELDATGQGRLKWVQKNYMIYNYCKDTKRFPQGLPPECNHS >RHN79504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29667546:29670744:1 gene:gene3302 transcript:rna3302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MDLSETSLWWTQQHQQQQPMLLVEPTSWSLHHHQPPWFNSQIHENTPHYNLKNIEDEELDTTTTVVEPEDEKESMFEKPLTPSDVGKLNRLVIPKQYAEKYFPLGGADSAECKGLLLSFEDESGKCWRFRYSYWNSSQSYVLTKGWSRYVKDKRLDAGDVVLFERHRVDSQRLFINWRRRSGDDQSAYVSRGVGHHGKGSDGNGKNEVVNGVGWSRGFYSAHVPYPTHHHQVLPYQHDQCLHAGRGSQSQNQRTKPVGNSSSSTSSSRVLRLFGVNMECQPEHDSSTPQCSYDSNNNMPLTQGTIDTYQYHQPSNSNSHPHVVRHQPYYY >RHN70381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51021659:51022626:-1 gene:gene18952 transcript:rna18952 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYNQNPPAVNVNVSGPPPAQGYPQPGYQGYPQPGYPPAPQPQVFVTQAPPQAANSGAAEAGLLGCCAALCCCCAMEECCFLCV >RHN63479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51606644:51611244:-1 gene:gene26179 transcript:rna26179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactinol--sucrose galactosyltransferase MAPSLTTIKETNLSHANSLPTIKLENSIFLANNNPILTQVPSNITITPPPHKHENSSSPNTTVAGCFVGFNADEPSSRHIVSIGHLNGIRFTSIFRFKLWWSTHWTGTKGNDIENETQMIILENDTVKQRPYVLLLPLIEGSFRACLQPGENNNNVDICMESGSTRVVESTFKTCLYIHANFDPYILMNEAIKVVRDHLGTFKLLEEKTIPDIVDKFGWCTWDAFYLKVDPQGVKEGVKGLVEGGCPPSFVIIDDGWQNFCRDDEDFLNGGDCLSLNCSIPGEQMLGRLISFEENKKFKEYDESLGMGGFVRDLKEEFRGLLKEVYVWHAFCGYWGGIRPNVEGMPESVIMPAKLSPGAERCMTDLAVVKIMEIGVGLVKPEEACRLYDGLHSHLKSVGIDGVKIDVTHVLEMLSEEYGGRVELAKAYYKALTDSVRKHFKGNGVISSMQQCNDFMFLGTETISLGRVGDDFWCTDPAGDPNGTYWLQGCHMVHCAYNSLWMGNFIQPDWDMFQSHHACSEFHAASRAISGGPIYVSDSVGNHNFKLLKKLVLPDGSILRCQHYALPTRDSLFVDPLHDGKTMLKIWNLNKYSGVLGLFNCQGGGWCPVTRRNKSASEFSHSVTCFASPKDIEWSKGNNPICIKGVDVFAVYMFKDEKLKLLKCTETIEVSLEPFSFELMTVSPVMVIPKNSIQFAPIGLVNMLNSGGSIMSLEFDEEKDSVQIGVRGHGEMRVFVSEKPVSCMIDGEAVKFDYDDSMVMLHVPWPCSSRLSVVEYLF >RHN42779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40931040:40938231:-1 gene:gene49266 transcript:rna49266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nonaspanin (TM9SF), major facilitator superfamily domain-containing protein MSFQSRSIFFLFFSLFISSTFASESDHKYQRDDPVNLWVNKVGPYNNPQETYNYYSLPFCHPSSTSAAAHKWGGLGEVLGGNELIDSQIEIKFLRNVEKTVFCQMVLDDAKVKQFKHAIENNYWFEFFMDDLPLWGYVGELHPDKHSDNGKHVLYTHKNIIVKYNNDQIIHVNLTQDVPKPLEAGKHLDLTYSVKWVPTNITFGRRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRPPRYLVIISAVVGTGAQLALLVLLVILLAIIGMLYIGRGAIVTTFIVCYALTSFISGYVSGGMYSRNGGKSWIKSMILTASLFPFMCFGIGFALNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILTIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTSVYVYLYSIYYYYVKTKMSGFFQTSFYFGYTLMFSLGLGILCGAVGFLGSNLFVRRIYRNIKCD >RHN44538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5880015:5883570:-1 gene:gene38667 transcript:rna38667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Smr domain, tetratricopeptide-like helical domain-containing protein MASSTPTPPPNYSSLPSAPRQRRQNHHKRHRHYSNSKSAPLSAAAGSSNAAATGRGNGSGRASTLGSLLEGRQSRLAPEFSGRRSTRFAAKMHSGMPRVTPNKHAHSAAADEALSYLFNAGNNIAAIDNVLIAYESELWEVEDYIYMLKEFGNTGHFLLATKCFDFIIWKQNGRIAKGKLVSTMIGTLGRLGEINHALRLFESARLEGHGNTVYSFSAMISAYGRNGHFSDAVDLFRSMRSWGVYPNLISYNSLIDAGAKGEVDFDVVVKFFDEMLAEGIVPDRLTYNSLLSVCASKGMWETAQKLLSEMDQKGIVRDAFTYNTYLDTLCKGGQIDLARRVLEEMSSRRVWPTVVTYSTMIDGCAKANLLEDALNLYEEMKLRSISVDRVSYNTMVGIYAKLGRFDEAIGQCKEMESCGMKRDVVTYNALLSGYGRYGMYDEVRRLFEEMKAWNIYPNTLTYSTMIDVYTKGGMFQEAMDVYKDFKKAQLEVDVVFYTSIIDSLCKNGLVESSIMLLIAMIEKGIKPNVVTFNSIIDASRQSPTLEYGVHGSSQAVEYPTEQLSSMLIDGAFQNKTGDDRILKMFEQLAAEKAGHREKDRKGRQDQHCILWLFQKMHELNIKPNVVTFSAILNACSRCNSFEDASMLLGALRLFDNQVYGVTHGLLMGYREQVWFQAQTLFDEMRRMDSSTASAFYNALTDMLWQFGQRRGAEMVVTEGRRRNVWKGEWSISCLDLHLMSCGAACAMVHSWLLNMHSTLFEGSELPKIVTILTGWGKHSKVMGDGTLKRAVEALLNGMGSPFRIAEGNLGRYYSPGNLLATWLRQPSIFNLLVLYDVLNHSQAAAPSHA >RHN81197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43989598:43989909:-1 gene:gene5206 transcript:rna5206 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMILKGLRDGKFYGLLEKESLATCMSGGGATTFSIVMTTSSSISTLLSSSPCYFALRPCLVARSLRGEAVRGRQSPEDASSITTPAVRFITENKLYELINK >RHN82522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54447185:54448201:1 gene:gene6693 transcript:rna6693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MINNKVTILLVLMCFIIAVFCSDPDPVMDYCIAKSEDNKFSCKNSSTATVEDFTFSGIKLPGNFKDTGFSSMGVNSNVFPGLNTLGVSFVRADFDAGGVNVPHFHPRATEVAIVLEGKIYSGFVDTKNKIFAKVLEKGEVMVFPRGLVHFQMNVGDVPATIFGSFDSQNPGLMKIPNVVFGSEIKDELLEKAFGLNSKELSKLKKRFSPS >RHN39675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9506658:9507098:-1 gene:gene45721 transcript:rna45721 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCMVWFSSGTLRFMFAMKRIRFVRVLAEGEELWLRDSYVFYSI >RHN54579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10599234:10600148:1 gene:gene29644 transcript:rna29644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSSLTGISVEANSFSGSLPPNMFNTLPNLYFYGIGGNQFSGPIPTSIANAYTLIRFDIGGNHFVGQVPCLGKLQKLWSLSLQDNKLGDNSSKDLEFLKSLANCSQLYSLSVTNNNFGGSLPNLIGNLSPGLSELYIGGNQIYGKIPIELGNLTSLDSLNHGR >RHN52860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39624390:39633618:1 gene:gene37584 transcript:rna37584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Arf GTPase activating protein MGTRFKEDERNERAIRTLLKLEPNRRCINCNSLGPQYVCTNFWTFVCTNCSGIHREFTHRVKSVSMAKFTSQEVTALQEGGNQRAKEIYFKEWDAQRNSFPDSSNVNRLREFIKHVYEDRRFTGDRTSDKPPRGKAGDKDDSYENRRVEAYQGGSKSPPYEDTQERRYGDRSSPGGRSPGYDQESRQYGDYKRSPGRPPVVNDWRREDRRVSDGDYKVESQSPERARDLGSSSPPVVRPVRDILGENVVPLRISGPPKPNSGRAADASSLTQRTASSGSLVSSNESQVDIKLETAKSLIDFDADPEPVAPTVHAQQSSVPQPVLQSGNSSDDNWASFDVASEAKANPSTSNLNPLESALSQLSVPESLPSHASGVQGHVPTAAPSFGSFSAFTPSGASVPSVPPHNNVGQWASVQHQQPTFPAAASQQFPPSVGGAVNSQPSHVPSVPTGQGHPNTPMPHAYHHASKPANEAFNSGRTELPEDLFTVKHQYFPAPVQGWQMGPPQGIPMQYNNVAPVSSFSQPSRSTNPFDVSSKQTPDQAPSFPSMSSLHGALPNVPPSIPMHPPSQSNPLHAWNPPLPNVGTVQSSTLGYPPHAWTPSLPSFQGSLPNVPPSGITHPSNLGYPSHAWTPTPSSSLGPGSYMGQQMATNIPMPRQGVGNFGTEGNMFGLSNPNQQLPDRPSRTPNSNPLPKGGNPFG >RHN47900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43410423:43412334:-1 gene:gene42554 transcript:rna42554 gene_biotype:protein_coding transcript_biotype:protein_coding MEPENIDWDNIDSTFIQDDTYENFDAPKWVDLSAASNEPLVDEDDQEIWFCTHDCKHPKISQDLLKPTTTTTTNSKVKLLRLASFSEILPFKDRNPRENPSAVKDCDKPKRPNCSRNMDEDNENTNPNFTVTNLVGGTNKLKKPLLRSKSNTKRDNLKELNDLKECPVRSNRRSKLKSTFSAQNLLGGREILSQITGFCSELKRLATRKGSTKKGVGNEKGSNSNGVLEELKEKSKGRKERIPLLVVKEGTHLE >RHN49672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:216862:217101:-1 gene:gene33876 transcript:rna33876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MSYSSSSTAWNVEDNKAFERALAVYDKDTPERWYNIAKVVGGKTPEQVKSHYQLLLQDIHLIESGQVPFPSYTNPKHPT >RHN43780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48439471:48447779:1 gene:gene50402 transcript:rna50402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MTTEDNSNNGSPKNDAPKVQQQNLVVPTINYAKPFPDVSKIEVFAGQNFRRWQERISSTLDLHGVASALTNSKPPSSSTQKEVDTWEHANKVCRHTIMTTLSNELFDVYCSYKEAKEIWDSMVLKYTAENAGKKNFVIGNYYRWTMLEEKDIKDQINEYHKLIEELKAENIPLPDEFIAGILIEKLSESWNDYKNQLKHKQKQLPLADLITHIIIENTNRKESRAAKARALASQANLIQNNTNQKRRYDNKPNRKSGHYKNNHVPRVTNPTFTNGNGGHCYVCGKIGHYAPQCRHRKKNENPPEANLAEGDDDIIAAVIAEANIVTDVNKWVVDSGATRHICATKDAFTSYNTVGDGEELVYLGDSRTAAVSGKGKVMLKLTSGKTLALSDVLHVPNIRTNLVSVALLSKGGIKVSFESDKIVMTKDNVFVGKGYCDKGLFVLNIAEVMHN >RHN46765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34688042:34689557:1 gene:gene41289 transcript:rna41289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vestitone reductase MTDRKKDVSFLTNLPGASQKLIFFGADLSIPESFNAAIEGCSGIFHTASPMDMEMNESEETVTKRTIDGALGILKACKNSKTVKRVIYTSSASAVYWQDKDDNVMDESYWSDENILRDLKPFGWSYSISKTMAEKVVLEFGEQLGLDVVTIIPTLVVGSFICPKLPGSIYTSLSLLFGDKNPFGFSHLPMVHVDDIARAHIFLLEHPNPKGRYNCSPFMANIEEIAQHISSKYPEIHIPTLEELKDIKGDKLPHLTSKKLMDAGFEFKHSLEEMLDDTIQCCKDKAYL >RHN70983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55615398:55617282:-1 gene:gene19623 transcript:rna19623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-hydroxybenzoate polyprenyltransferase MKRFTFWPQAFLGLTFNWGALLGWAAVKGNLDPSNVLPLYASGVCWTLVYDTIYAHQDKDDDLKVGVKSTALRFGDSTKEWLTGFGIASLSGLALSGLNAELGWPYYAVLGVASGHI >RHN73253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14790456:14791637:-1 gene:gene9050 transcript:rna9050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine permease, plant MKNDSDIESIDQESGEETTQLDLTTNSSSIDNTMQHLHADQKSTTNKRYIPLLVINYLLLFVGSLSSSLLSKYYFIHKGSSRWVSTWVQSSGFPLLLVPIFSPYYLLNSTKRKPFSDFNPKMLTLSISIGVMLGVNNLFFSWGNSYLPVSTSALLLSSQLVFNLVLSVIIVKQKITFSNLNCVILLTLSSILLALDSSNEKPNGLTKKEYFIGFFCTIGAGLLFALYLPVMEKVYKDVYCYEMVMEMQLIMEIAATVLATIGMGMDGGFSEMKRESEEIFDKGSLVYWVTVMANVVTWQFCFMGTAGMVFLTSSLTGGICMTALLSMNVLGGVLVFRDAFGGVKAVSTVLCMWGFCSYVYGMYVKMLEEKGRMKNQNESSTEMIYMNNHHVFD >RHN47132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37368575:37377002:1 gene:gene41691 transcript:rna41691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A(1) MGFCPCFGDETSEVPLADRDPVLLVSGMGGSILHSKPKKFGFTTRVWVRISLADLEFRKKIWSLYNPETGYTESLDKKSDIVVPDDDHGLYAIDILDPSWFVKCVHLTEVYHFHDMIDMLVGCGYVKGTTLFGYGYDFRQSNRMDKLMDGLKLKLETAYKASGGRKVNIISHSMGGVLILCFMSLYRDVFSKYVNKWIALACPFQGAPGCINDALLTGLEFVEGFESFFFVSRWTFHQLLVECPSIYEMLANPDYKWKKKPEIQVWRKHEKDGNVIVNLESYGPTQSISVFEEALRHNELKYNGKLMPVPFNLAILKWATGTRQVISNAKLPDGVSFYNIYGTSFDTPFDVCYGSEKSPIEDLSEVCQTLPQYSYVDGDGSVPVESATADGLEAVERVGVAAEHRGLLHDKTVFQLIQKWLGAEPVVSKQSKTSKVADTYAINPMVL >RHN55012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14380244:14384563:-1 gene:gene30129 transcript:rna30129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylic ester hydrolase MLRIWFSYLQLLELFVSSLVHLLYGFYIFSSAVAGDLSIVVNEYFQKDKMKNDVVVQENLKIDEKDSKFDNDLPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDERVLVPDLGSLTSIYDRARELFYYLKGGQVDYGEEHSKACGHSQFGRVYEQGHYPEWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFKGYENTSENWVLSLTALSGAFNGTTRTYFDGMQPGDGKTLKPICLLQLCRIGVILYDWLDISWLKNYYNFGFDHFNMSWRKMGIWGLVDCLLGNAGPFASEDWILPDLTIQGSIKINYHLRTFPNTYYFSYATKQTRKIMGVTAPSSIFGVHPLLFIRVLQMSQWTHPSDVPPPYKGYRDEDWQDNDGALNTISMTHPRLPIEHPNHCVEKESDCHPMQPGIWYYKYVEGDHVLFIINRERAGVQFDLIYDSIFERCRKHVFRKKLPTMPNEIHH >RHN70441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51448842:51450708:1 gene:gene19020 transcript:rna19020 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDNILDVIYDDDNLDDDVDMIDVEEGELVEPNLQNVLEQSSAGDINEANQESYSENSKCGASKKKKNNKRKRKGSGPKVKNRQV >RHN56900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34574809:34575360:-1 gene:gene32364 transcript:rna32364 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSFLNSLTQRKKQNNAIKDDHINEDIAIVKAAAWAWHQRGSGSCNEGEANSSNFYVTRSNIHREPRPSRYKLEAMRSMEKEEIHKKEKSLLDAYEVQSISRHLNRLIESNKLVNSTDNIASTSVDDGVKRTKKKKIRKGFFHKHGVVCGRLGDVVDPTSVSRDGRRQLAKSVPSAKGYK >RHN76780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1240235:1240744:-1 gene:gene156 transcript:rna156 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPSKPIFYQLFYYRCYPHSLSNGVIPNPILSSLTTHPTQHPHLCYTYLILMLTLNRPTLRTIQQRRSNCCPIELSFQLKWYFLIAQNTSGSSSFQPPSLNTMAYICFYFSIILYERPKIFKLCNLGYDMISNFHLQGRPTSPSAEVTFHILRLASTQAESILIPTNS >RHN82282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52560578:52563631:1 gene:gene6432 transcript:rna6432 gene_biotype:protein_coding transcript_biotype:protein_coding MCLNNQLPISQCTLIHAHSLKCLDEASLFLSMIYFYEVLVIVGITCFHIIPYHFLLNSSPNFISNDFYYIISSGHAAYICDAQQSVFEIIVLFIQILQVITSYLSLSMKTILNDHINTVEVKNKTACCQFYTPIYLLI >RHN79330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27644222:27648693:-1 gene:gene3107 transcript:rna3107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MNGPVLLFLLLLLHLLTPAPFPPIPPAPFPPILPASFPAATTSHATTSQPLIYSSPGFAMPGFTPPSTHSPSYNTTRSNVRQGFNTSVPSQSSTKLMQDLMQTGNFLALLVDQRPPQQHQQQQQQQNNQNEEVPSGADQRRRQRRQIIEDWEYVDNLVVVTPTPETLEPSHGVSEHIRMAIQTFYPKDRRWAVYSDLTTDDRNDLFKYFSDFSRWEPHHHTLVERNFHRVAARRLSDLLYDERQELKKRKGNYRTQWIGEKSWELLLKYWNEDPHFKNRSKANKVNRTSIVGGQLHAQGSVSTATYARDLRNRLGRVPYPEEIHDVTRFSQNLGRYVDAQASNTQAAYRTNAAEFLKENLEIPSYPEIPFPIKLQLWAKAAEKGRPDGGKERKGRMYGLGPLAGNVVHGDLFYVPPPPESSSPSTELPLEMQAMIQRMNQELQSQKEALAKKEESENELRELLAKQAEEMRKLTRMVTKRMGGMKSRKTSESSSPSSQSSPSVQEDRTYDDDNDDDDDHDDEDEDEDEERDDDHND >RHN75955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46500054:46509764:-1 gene:gene12219 transcript:rna12219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein ENHANCED DISEASE RESISTANCE 2, PH domain, START MNNENETAISNNGSKMEGWLYLIRCNRFGLQFSRKRYFVLHDHLLRSFKSIPNSTDQDPVRSAIVDSCIRVMDNGRESINRKVFFIFTLYNTSDHNDQLKLGASRPEEAARWIQSFNEASLKGAPEAIGCSKRRWQSFSLRGSSSRSQQYSIDWTLSSSDVIAPSTWTIFGCQNGLRLFREAKDRGSCGKKWDDHPAIMAVGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGNVVEHLDGHTDIIHKQLYSDWLPWGIKRRDLLLRRYWRREDDGTYVILYHSVFHKKCPRQKGYVRACLKSGGYVISPANMGKQSVVKHMLAIDWKSWRSYLKSSSAYSMTIQMLGRVAALRELFRTRLGNCTLSDYSSGELTRNRRNSPKEEYASSDTQIQADENNHDNSIGEVEPTQSEHASLVSLNDADDEFYDVMEPSNCDESEKGWMTECSHQKSQDIRHQKLSTAANFVRKLHDLAVHKRGYMDLQEMVREESISCPYGSTLPKDPTCTLPCSLTEADPSTFLIRGENYLEDHQKVKAKGTLMQMVAADWLRSDRREDDLGGRPGSIVQKYAAQGGPEFFFIVNIQVPGSTTYSLALYYMMNTPVEDAPLLDSFIKGDNAFRNSRFKLIPYISEGSWIVKQSVGKKACLVGQALEINYFQGKNYLEIGVDVGSSTIARGVVNLVLGYLNHLVIEMAFLIQGNTREELPEVLLGTCRLNHLDASKAVCLKP >RHN78542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16108874:16109038:1 gene:gene2122 transcript:rna2122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I iron-sulfur center MIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFISVRVYLGPETTRSISLAY >RHN42241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36620311:36630461:1 gene:gene48657 transcript:rna48657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEWSTLQHLDLRHIGRGVRPLQPHAASFHPHQALVAVAIGTYIVEFDALTGSKISALDIGAPAVRMSYSPTSGHTVIAILQDCTIRSCDFDLEQTCVLHSPEKKSEQISSDTEVHMALTPLQPVVFFGFHKRMSVTVVGTVEGGRTPTKIKPDLKKAIVNLACHPRLPVLYVAYAEGLIRAYNIHTYAVHYTLQLDNTIKLIGAGAFAFHPTLEWIFVGDRQGTLLAWDVSTERPSMIGIKQVGSQPIKSVAFLPTLRLLVTLSKDGNLQVWETRVTVNPNRPSTQASFFEPAAIESIDIPRILSQQGGEAVYPLPRIKAIEFHPKSNLAALVFANVTSAETSKNKASYSREGRKQLFAVLQSARGSSASVIKEKLSALGSSGVLADHQLQAQLQEHHLKGHSNITISDIARKAFLYSHFMEGHMKISPISRLPLITVLDTKHHLKDFPVCEPYHLELNFFNKANRVLHYPSRAFYMDGLNLMAHSLSSGSDIIYRKLYNSIPGNVEYRAKYLIHSKKQRLFLVVYEFSGSTNEVVLYWENTDVQTGNSKSSTVKGRDAAFIGSNENQFAILDEDRTGLALYTLPGGTSQEVKDNDKVFEENQPTETNVGSIRGPTPFMFETEVDRIFSTPLDSTLMFASHGNQIGLVKLIEGYRLSTSTANGHYISTKSDGKKSIKLKRNEIVLQVHWQETLRGNVAGILTTHRVLIVSAALDVLAGTSTKFDKGLPLISFEFFFSF >RHN65013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63648204:63648524:-1 gene:gene27903 transcript:rna27903 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALGFNQNQNRNIFIAGSNLYRDRSRLAALTSLHPKLNLVSSAKLKPFANSSSEVCYCCHSCFIYFNDVTNLIKLLVTINRCLSSYSIFGIIEDRIILVLLLVF >RHN81117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43433674:43434048:1 gene:gene5115 transcript:rna5115 gene_biotype:protein_coding transcript_biotype:protein_coding MITKIRQLTREEESLLWLKAHKNPYIILKHPPERYPFDPSKGHSGIMHPVNLVSLAPKNSLSMEEQSVIVTKLHPSCSRFDNINKQNIRLTLINCSQYFRKESYKETLFYIYKGRVWNSGFLIY >RHN61047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32493859:32499224:-1 gene:gene23458 transcript:rna23458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative essential protein Yae1 MDDPFDSSFNLEETHLKEGYDEGYSHGLDAGREEGKQVGLKVGFEVGEELGFYSGCIHIWTSAIQIDPTCFSSRAKTTITQMQELIQKYPLMDPEDLQVQEIMDSLRLKFKMLCSSLHVKLHYNGYPGEANVTQF >RHN82374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53281773:53283996:-1 gene:gene6537 transcript:rna6537 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRSNQPGLFGRPLHAVLGGGKIADILLWKDMKSSAAIVAGFSMVWFLFEVVEYNLVTLLCHILIALMLILFIWYNAAGLITWKLPDIYDFEIPDSTVRFIHKKFNLFLRKFYDISTGKDLRFFFVTIAGLWIMSTIGNFFSTVNLLYTTFLCLVTLPIMYERYEHEVDYLASKGNQDVKRLFNKLDSTVLNRIPRGPVKEKKYR >RHN40650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18825154:18828684:1 gene:gene46833 transcript:rna46833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glyceraldehyde-3-phosphate dehydrogenase (phosphorylating) MNTLKWGLIKCQVYFVPFIKKVTYYGSYSCAILSNPQFSIFSTPWYQTPMAGPSKTTIPNKIPWPTPGTPLILHETREGNQAMISSCCLQKVTESAFGAAIKGGAKVIISAPSKDAPMFVVGVNEKEYKPEFDIVSNASCTTNCLAPLAKVINDRFGIIEGLMTTVQSITASQKTVDGPSAKDRRGGRAASFNIIPISTGATKAVGKVLPALNGKLTGMAFCVPTVDVSAVDLTVRLEKAATYDQIKAAIKEESEGNLKGILGYTEDDVVSTDFIGDTRSSIFDAKAGIALNDNFDKLVSWYDELGYM >RHN53473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1881657:1886659:1 gene:gene28382 transcript:rna28382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MGFWGIEVKPGNPVPYSTHDVEGKLHVTQATLGVGVGPSTEKSILQCSSGRKNPVFLCSLLPNKVETCPLNLKFDEDDLVKFSVIGSRSIHLSGYFVPDEDDTRDDYEYDSLGEDVGTGSEESSEYDSENGYDDHFFDDSDMEMYTSSPVRSSGVVIEEIPDDNQPENGDNPAKQSKKKEQTAHLREKSSKSSQLPVVIRGDDKLVLESEDEDGFPISTSDKAKSESQKAETETKGEQAHKKTGKSNKKAKDVDESASLKRKVQAVDEDEQLQDGKKKKKKNKLKDHGKEESGHVKETSVTVPDEKPEEVKTTTNQNNVSHAKDGDDGKLSNDEVLVEKKNKKKNKKKTKEAEGKAAKNEIATTVESQKLSTSEKKEKEPTEAKPSNVRTYPNGLVIEDISMGKPDGKRADLGKKVSVKYTGKLQKDGKIFDSCAGKAPFKFRLGVGQVIKGWDVGVNGMRVGDKRRLTIPPSMGYGDKRVGSIPQNSWLVFDVELVGVEGR >RHN44645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7210792:7211262:1 gene:gene38789 transcript:rna38789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSSTTKKMTLKSSDNETFEVPEAVALESQTIKHMIEDDCTDNGIPVPNVTGQILAKVIEYCKKHVDAASSDEKPSEDDLKNWDAEFVKVDQDTLFDLILAANYLNIKSLLDLTCQSVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >RHN76442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50275736:50280375:-1 gene:gene12768 transcript:rna12768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerate 3-kinase MATLNVFSQPLHPTTISSSLCSSSSSNSTFSCHFSLLSSHSSPTLSKLSNHVHTHFSKSGNGSSWLQSSSTADSIAHKKGPVYSVFPSSPAQVSSVQDLYEFICSGPLLYKIGLTPEKVAESIDKWLSYGRQLCRLFQLNELFLTEPQKVRLYHYYIPVFLWCESEIAQHQAKFKDKEDIPPLVIGFSAPQGCGKTTLVFALDYLFQMIGRKSATISIDDFYLTAEGQNKLREANPGNALLEYRGNAGSHDLPFSVETLTALTKMSREGTKMKLPRYDKSAFGGRGDRADPSTWPEIEGPLTVVLFEGWMLGFKPLPVEAVKAVDPQLEIVNKNLKAYYDAWDKYIQSWIVIKIKDPSCVYQWRLQAEIAMREAGNPGMSDDEVRDFVSRYLPAYNAYLPTLYSEGPSGSDPQHLLNIEIDEKRNPILGV >RHN54164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7480540:7480809:1 gene:gene29156 transcript:rna29156 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSVQNTMLLFQLSLDNSSELDDGFVFDIHPSLLVDCRKLIIGEKIGEGGYSSVYKGWLVPIVYYELCLIVLLMIRLFHTCEYIIFV >RHN49293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53869865:53870242:-1 gene:gene44108 transcript:rna44108 gene_biotype:protein_coding transcript_biotype:protein_coding MILASVCTCFVVENMSMPGGEELQKEVLTCAIDGMRQRHIYRNRAFWKPTQS >RHN73022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12819096:12819532:1 gene:gene8795 transcript:rna8795 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLWETLAKPVLEFSETLKTELCGAMRAIGVASQKQWNFLWLETDSMLVVQAFKSSILVPWQVRNRWNNVQRY >RHN58633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3467682:3472243:-1 gene:gene20560 transcript:rna20560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysine methyltransferase, S-adenosyl-L-methionine-dependent methyltransferase MDIALFSTSSLFAEEDDDDDIHTKDEENAETHETYVERKHQFPGMELIIREFSFHQLNANLLWPGTFAFAEWLVQHRSCIEGRRTIELGSGTGALAIFLRKSYNLDITTSDYDDQEIMENIAHNCGANDLPVIPHIKHTWGDKFPNSDPDWDLIIASDILLYVKQYPNLIQTISFLLKSYKHGDRTTVSPTGNDETHGDVVLPWPAFLMSWRRRIGKEDESIFFNGCENAGLEVKHIGSRVYCISPIENEKSNKCLMKKVSVQASAGGE >RHN50272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5702106:5704886:1 gene:gene34544 transcript:rna34544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MIHCVFHFLFCIVAILCIGLLCAESIGMNKCVETERIALLKFRDAINLNRDGISSWNGEECCKWEGISCDNFTHHVTSLDLNFFGFGGKLDSSICELKHPTSLNLGHNYLEGKIPKCIGSLDKLIELNLGYNYFVGVIPPSLGNLSNFLINSLTANDLEWLSHLSNLRYIDLSYVNLTLAIDWFSSISKIPSLSELHFNGCGLHQVNLESIPLLNTSISLKYVSLSDNELQSSVLKSFRNMSQLQGLYLDSNQLSGNLSDNIQQLCTTKNDLRNLDLSNNPFNVMSLPDFSCFPFLETLSLGNTNVVSPFPKSFVHLSSLSILDLGFNQLNGSQPLFEITKLVSLKTLYLSHNNLSGPFPRTIGQLSDLNDLRLSSNKLNGVINETHLSNLSELKYFDVTQNSLSFNLSSDWVAPFKLEILHASSCPLGPKFPTWLKHQRGLTYLNISNCGISDSFPKWFGNLSSSLKYLDFSHNKLNGPLPKSLPSLNVNYDDIRVWDFSFNNLNGSVPPFPELYALFLSNNMFTGTLSSFCSSSSQSLIHLDLSSNMLVGPVPDCWEKFQSLRVLNLAENNFSGKVPNSLGALENIESLHLNNNNFSGEIPSLILCQNLKLIDVGDNNLQGSLPIWLGHYLHQLIVLRLRANKFQGSIPTSMCNLSLLQILDLSQNNITGGIPECFSHIVALSNLKSPRNIFHYWSVRVSEDSEVYEIGSFNDKEILTLKGYSREYETNLGYWTTIDLSCNHLTGEIPEGITKLVALAALNLSWNNLTGFIPSNIGHMKSLQSLDLSINHLSGRMPTSFSNLTFLSHMNLSFNNLEGNIPISTQLQTFGPSSYVGNSRLCGPPLTNLCPGDVTRSHDKHVTNEEDEDKLITFGFYVSLVIGFFIGFWGVCGTLVIKTSWRHAYFKFFNNINDWICYHGFWVPSH >RHN80131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35401731:35405099:-1 gene:gene4018 transcript:rna4018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein LONGIFOLIA 1/2 MTSKVKLNNKEEMIDIDHNLDKHIHKQMGCMAGLFQIFDRNHFLSGKRIYNNTKRLPPPSPEKSEKDDGKPVFSSPSPVKEIKSETKQVLPVLELKEGTRSSWKFSREAPRLSLDSRAVVDAKGGLHPREIRTNATANLENDGDKQRRSTSSVIVRLMGLDSLPSDSGAKLQRSASESRVSRDRFSEPKLKSNGYTQRSNVGSGQMNNNNHHRSNAVNVNNNVNVVNSYGNIDNGLWNGRGVEGGRGKQNKGTMMVQKKSFYDSTDFFPEPKHNDLIYGEIEKRLKMRGINQPSQDLDTLKHILEALQLKGLLHSQKHDQSPIVLMKPLRSSSLSRFERFNRTGYDSPPPHSSVRSSPRARRNLSPRFDDRAQVNSRNSSPTRRNVPNVETRRRLSNEGVDSRRVSPVNSPKISSRRNATAQTATGGSPRMRKVIDPKVKMLGVAEDEWSTVSENSFTTSNSLTDTEKYKLEEYKEGRNLLDRCDKLLNSIAEITAANELQPSPVSVLDSSFYKDEWCSPSPITKRCIDYNFKDQSTESEDDMWSAGEGKSEEEAKSEDCDFVYVSEILRASSYLPEECDIFLLLEKQQFRKGKDTSKAPTLQRRLIFDTLQEILNRNQRLPPWKAVSKGEETHHIWSEFRRIREREESESEDLFGVICGVLKKDMAEEMSGWGEWTVEMGDVVLDIERLVFKDLIGETIQDLASFAPQCNKQEALRRKLVF >RHN43362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45180373:45183810:1 gene:gene49922 transcript:rna49922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA reductase MWFIGWKGPSGFSASSTAQQVTHGIDGTSLTAIITGASSGLGLETTRILAFRGVHVVMAVRNVKNCIDIKESILKEIPAAKIDVFELDLSSLASVRKFAADFNSSGLPLNILINNAGLMATPFMLSQDNIELQFATNHLGHFLLTNLLLETMKKTVRECNQEGRIVIVSSEAHRFAYSEGIRFDKINNESEYSSYFAYGQSKLANILHANELSRRLKEEGVQITVNSLHPGTIVTNILRHHGYFNAVANMVGKYFLKNVQQGAATQCYLALHPQVKGISGEYFTDSNKASPTSLAKDTKLAQKLWELSVSLSNTK >RHN75611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43729208:43729900:-1 gene:gene11843 transcript:rna11843 gene_biotype:protein_coding transcript_biotype:protein_coding MLINNLSSSCHIKRSFDNRQSRDQSDTVEVPKSSHSRRISPEQVKSLGYDFIIMDIVSFERPSPMRKVACTKAMHDPAEITPLSKYVLKFGTHPLLNHLASSRNASNMSIHCTN >RHN55465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18622713:18629417:1 gene:gene30647 transcript:rna30647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-dependent channel, 7TM region phosphate MIVSALLTSVGINTALCVLFLTLYSILRKQPSNYEVYVPRLLVEGTSKRRSHFNFERLIPSAGWVAKAWKLSEEELYSSSGLDGVVFMRIITFSVKIFTFAGVIGIFVLLPVNCWGNQLQDFDVANFTSNSLDVFTISNINSGSKWLWVHFSAVYVVTGFICLLLFNEYKLISSRRISYFYSSKPQPHQFAILVNSIPTSSSSISDSVDSFFKELYPSSYLSHVVVRRTSKIRSLVNDANNMYKKVAQSRPDPTKEKIKQGAFSRLFHQRNNHIERYEKQLAEIEENVRLKQSEASLAGEARAAFVFFRTRFAAAAAFHLQQSVNPTQWITELAPEPHDVYWPFFSESFIRIWISKLVVVLVSIVFIILFLVPVVFVQGLTNLSQLKTLLPFLTSILTIKFVSQIVTGYLPSLILQLFLQLVPPTMEFLSTIQGYISHSDIEMSATTKVLWFTVWNVFFATAFSGSILSMASTILVPTSIPGKLAIVVPAQASFFITYVVTSGWTSVSSELFRIFPYIVNLITRLFKTPDDEFELPYMPYHKDVPRVLFFGLLGISYFFLAPLILPFVLAYFCLAYIIYKNQFMNVYAPRYETAGKFWPTVHNSMIFSLVLMHIIAVGIFALKKLSLASTLTLPLPLLTLLFNEYCRKRFLPIFVGYSAESLIKKDREDQNDPTLTEFYHNLVDAYKDPALVPIQYSSNNDSLSSPLISSA >RHN40051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12768333:12769232:-1 gene:gene46143 transcript:rna46143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSTAPIIGDNDVNPVIFREYIGVKSYPDSLNNFPADIIGRHIPEFHFILGFAHETYVDGKGTGIFNASWKIPFFGPDNVDDIKTNHGNVKVVISIGGRDTKYPFHPAHKLEWCDNAVESLKKIFQLYNRTNSCYNLIDGIDINYEYIHPDVSEEDFSYCIGDVIKRLKKDVGIDVVSIAPSHETQKHYKTLYLARTNDINWVNYQFYIDTLKSKDEFVNLFLNLSDEYGSKKLLAGASTDPADAGKGKLSREDFLEGCVDLHSTQSLRGIFIWNANDSASNPNGKPFSLEKKAQEILNN >RHN48172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45569298:45569754:-1 gene:gene42859 transcript:rna42859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein Ist1 MLFREVPPEVNDDIASLCYIGSWYNELPALKMVMSQFSLKYGEEYIDEVNKFKADCGVKEEVIELLLVPKPSIEKRNKLIKQIANRFVFNWDPISVINNASSAYEDPMSHVCFTNSNVGLSLQMSL >RHN58757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4539908:4540153:-1 gene:gene20699 transcript:rna20699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartate transaminase MYAIVKVLSWLTLNDLMITMYFRVKSQLKRLARPMYSNPPVHGARIVANIVGTPALFDEWKAEMEMMAGRIKTVKRVTGQM >RHN55660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20773756:20779225:1 gene:gene30878 transcript:rna30878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MSSSSDDHPWTYDVFISFRGEDTRNTIVSHLYAALQNSGVYTFLDDQKLTKGEVLGPALRKAIEESKIFIVVLSPDYAGSSWCLRELVHIMDCHESYGRIVLPVFYGVEPSEVRKQSGDFGKALKLTATKREDQLLSMWKTALTKVGNLAGWDYNIFRNEGELVELIVEDILRKLDISLLSITEFPIGLESHVQQITKIIDDQSCKVCIIGIWGMGGLGKTTTAKALYNQIHRRFQGRTSFLESIREVCDNNSGGVITLQEQLLLDLLEIKQKIHSIALGKTKIMTRLQRQKVLVVLDDVTKSEQLKALCANPKLLGSGSVLIITTRDLRLLKSFKVDHVYTMTEMDKHQSLELFSCHAFQQPNPRDKFSELSRNVVAYCKGLPLALEVLGCYLSERTEKEWRDALQILEKIPNNDVQQILRISYDGLEDYTKQDIFLDICCFFIGKNRADVTEILNGCGLHADIGISILIERSLVKVEKNNTLGMHDLLRDMGRSIAGESSIKEPAKHSRLWFHDDVNDVLLKKNGTEIVEGLIFELPITHRTRFGTNAFQDMKKLRLLKLDGVDLIGDYGLISKQLRWVDWQRPTFKCIPDDSDLGNLVVFELKHSNIGQVWQEPKLLDKLKILNVSHNKYLKITPDFSKLPNLEKLIMMECPSLIEVHQSIGDLKNIVLINLRDCKSLANLPREIYQLISVKTLILSGCSKIEKLEEDIMQMESLTALIAANTGIKQVPYSIARSKSIGYISLCGYEGLSHDVFPSLIWSWMSPTRNSLSHVFPFAGNSLSLVSLDVESNNMDYQSPMVTVLSKLRCVWFQCHSENQLTQELRRFIDDLYDVNFTELETTSHGHQIKNLFLKSLVIGMGSSQIVTDTLGKSLAQGLATNSSDSFLPGDNYPSWLAYKCEGSSVLFQVPEDSGSCMKGIALCVVYSSTPQNLPIECITSVLIINYTKLTIQIYKDDTIMSFNDEDWEGVLSNLKVGDNVEIFVAIGHGFTVKETAAYLIYGQPTAVEIEPIPEVDAQPSPDAKTEPSPEVEAHSSPYAKRRRLSI >RHN54148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7312610:7313545:-1 gene:gene29139 transcript:rna29139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Coiled-coil domain containing protein MEKKKLAIDEEAEALVDRELWGGLGFIMVKTAVLMRLTFWELTWDVMEPICFYLTSMYFMTGYTFFLKTGKEPSFRGFYEARFSSKQKRLMKLHNFDIEKYNKLSNVCSPNAPPMFDSSTALTSGNSLHH >RHN65058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63921129:63922381:-1 gene:gene27951 transcript:rna27951 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAIVSSVIQTRIPMMLDLIWVLEKVSKPISALDFPLSSGLRRTFSSSSILAASLS >RHN52394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34767560:34768733:1 gene:gene37065 transcript:rna37065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Hemopexin-like domain-containing protein MTLTRIGAAFRSFKHNECYVFVDDKYVVLNYAPGAKKHEILKGPLHIVAGFAMLARTPFEHGIDCAFETQHNEAFIFSGNHCALITYGPHVPHHQHHPARILAGPKKIATMFTCLHGTAFEHGIDAAIRTLDKRVLLFKGNAYALMDYHSNRVLANHYIRTGFKTLVGTVFENGIDAAFKSDKKDEAYIFKNQYYACINIDQGHPIGGHLLGGRVKRIHDDWSNLTGILNP >RHN39214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5030646:5030813:1 gene:gene45218 transcript:rna45218 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAIDERKEISPIFPRLHIKDLEKGGPKSPPTNKMALYEQFGRKKGQKHLPNSL >RHN59163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8064909:8076957:-1 gene:gene21155 transcript:rna21155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterol 3-beta-glucosyltransferase MAELPDNRRRSSSSSSSSSDRSVKVEREFVTGNESTTNEVVDSTDSVSNGVSAINGESSSSTSGIPSTGLSKVTTLPVDISHGDKIESSPSKFKLERSKTERQRHLRPEDAAQIFNNKIPVQEKLRLLNRIATVKDDGTVEFDVPIDVEPDALGAGSKHVNNVIDDSHGATDLDYIPPLNIVMLIVGTRGDVQPFVAIGKRLQDYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIPVQRNQMKEIINSLLPACKEPDIDSGVPFKADAIMANPPAYGHTHVAEALQIPIHIFFTMPWTPTADFPHPLSRVKQQAGYRLSYQIVDSLIWLGIRDMINDLRKKKLKLRPVTYLSGSQGFENDIPHAYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYEPPESLVKWLEDGDKPIYIGFGSLPVQDPKKMTQIIVEALETTGQRGIINKGWGGLGDLTEPKDSIYLLDNVPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHDRGVGPPPIPVDEFSLPKLIDAINFMLDPKVKEHAIELAKAMENEDGVTGAVKAFFKQLPQKKPETNTEPSPSSCFSNIARCFGHS >RHN55525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19210293:19211103:-1 gene:gene30721 transcript:rna30721 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNVRFTLCSNYGYMNSWFPEEFLIGNYVSCVEFLRDLLLTVFLAFCLVLGVTRMGGAFVLFLLLAFACLVQA >RHN51135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14239239:14243153:1 gene:gene35519 transcript:rna35519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative D-xylose 1-dehydrogenase (NADP(+)) MSQTEPIRFGILGCADIARKLSRAILLSSTATISAVGSRTLSKATTFAKLNNFPPTAKIYGSYEEVLDDPNVDAVYVPLPTTLHVKWAVAAAKKGKHVLLEKPVAVSVKEFDEIVKVCEECGVQFMDGTMWMHHPRTEVMKGFLEDGEKFGKVKSIHTCFTFGADPDFLENDIRVKPDLDALGSLGDEGWYCVRAILWAYNYELPKTVLATREPVLNKAGVLLSCGASLYWQDGRVATFYCSFLSNLTMDITAVGTKGTLHVHDFIIPYEEKKASFYAASESSFDDLVTKWGSQPSKHVIENDLPQEALMVTEFSRLVAEIKSKNAKPEKKWPTISRKTQLILDAVKASIDKGFEPVQIQE >RHN59361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9849745:9850488:1 gene:gene21387 transcript:rna21387 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLLYNDRLLISVLKVIEVIKYNLKLHIDCFDFYLANKQRNLRIKRM >RHN56921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34800918:34809857:-1 gene:gene32389 transcript:rna32389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA repair protein Rad4 MPRRGSGSQRKKRPSEDETKSQHTEGSLTEISKGAVGKLLRRANKGGTSKKKTTVEFEPVQNGTQVVEPIVPQKTSEVEHCSRNSIGKDSADEKCGHVSIDEGCIDKKEELDDSDWEDGTVAMDDHPMTIELNVTPDSSVKKQIRRASAEDKELAELVHKVHLLCLLARGRLIDRACDDPLIQASLLSLLPAHLLQLSNVTKLTSKSLCPLISWFHENFHAKNCTNGEKSPHFALASALELREGSPEEIAALSVALFRALNLTARFVSILDVSSLKPGQSFKPMASGSSKGIFGTSTPMVSKQKLDFKSPKKSLSYEREKLCESSLGHSRKSKKRTAPSEMDQSKDPSVPENLNHSVTNSQTSEAQDNLESHITDKSHKLKRKGDLEFEMQMEMALSVTAVGCSKSKVGSGENTDSSNSSCPLKRIKRVIVEESSTSPQLISTAVGSMKVGSPLYWAEVYCSEENLTGKWVHMDAVNLIIDGEDKVEAMVAACKTSLRYVVAFAGHGAKDVTRRYCMKWYKIASQRVNSTWWESVLAPLRNLESGATGGVVHSRTNQISATEANMNDSFVPTRSSIEDVELETRALTEPLPTNQQAYKNHSLYVIEKWLTKYQILHPKGPVLGFCSGHPVYPRTCVQTVMTKERWLREGLQVKPNEHPAKELKRSIKPQKVQDFEADDYDCTTDSKENIKLYGKWQLEPLNLPHAVNGIVPKNERGQVDVWSEKCLPPGTVHLRFRSAYSVAKRLEIDSAPAMVGFEFKNGRAHPVYNGIVVCAEFKDILLEAFAEEDEREKAEEKKRDETEALRRWYQLLSSIVTRQRLNNRYNNSLTPEVSNDVHCVNDKVSNATIFGSSDKSQTPRHHQIDKCDTDLDVSVSIPVKDHEHVFLKEYESFDKETSLLTKRCQCGFSVQVEEL >RHN47366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39191971:39192636:-1 gene:gene41958 transcript:rna41958 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQKTLFTIVLIGVAFSTLFISNVKAIVRFPDNVVKNDLKGVYPNHNGFLQFENVYRTTRLSPGVPDPYHLRSLQSENVHRIARLSPGGPDPHHHNSLRPENVHRIARLSPGGPDPHHHNFLLSENVHHIARLSPGGPDPHHHNSLRPENVHRIARLSPGGPDPHHHNCLQLENVHRISRPSPGGLDPCHNFLQHGNAYHIARFSPRGPNPYHHRFLQP >RHN59454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10912077:10919611:1 gene:gene21485 transcript:rna21485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin 11-oxidase MEFQWLWMYAATLFACYIFVNKVMWNLNEWYYDLKFKNQQNPLPPGDMGWPLVGNLWPFFKYFLSGRAEMFIDNIVLKFGRTGIYKTHLYGSPSIIVIAPDICKKVLIDDVNFKHGYPKATTILIESRILNKSHVRTKQLITSPIIGRNVLVKYLERIEDIVINKLEELSSMKHPVEFLVEMRKASFKFIIHIFLGSCDQGTEKKIGELFNVMSNALFTLMPINAPGFAFKRALKARKKFEKIVQYIIHERRMATKNGEIGENNDLLDNLLEINDERGEKFEDKDIVDLLVAFLFGAHDAIATAVMWSVINLTQNPLCLKKAKIEEGKIDCGWKVWKPKIVPQFGSREQLKRKESNVEPCHGNLSALLGRESFGNYHFCVKSLKCPQLILFFYLLFTIHFFYRL >RHN66538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13547168:13548026:-1 gene:gene14543 transcript:rna14543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNYSFSCIGKSQYMIYFAVLQLDQCGRVITDDTLLSTLAKSASCLSKLISLSLTGACRLTDKGLQLLVSSAMALKSINLSQCSLLTYASLDILADSLGSILKELYLDDCILIDANLILSGLKRLEQLEVLSLAGVPTVSDKFIESYIIARGHNIKELILKDFINLTDASMKVIAEHCPGLHALDLMNL >RHN60240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24164063:24168490:-1 gene:gene22509 transcript:rna22509 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVYPSIQIGWRSITDPLGDVELKTKSCCVLIKFIEYVICSEPVKRILGPFT >RHN64979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63425676:63426642:-1 gene:gene27866 transcript:rna27866 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAPSWADQWGAGGFGAMEDENNNRSQNDTSKKSKNPSALCKAKATASNCVKWLKSLCKRKTTTKQLGVNGRIFST >RHN55778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23603260:23606940:-1 gene:gene31030 transcript:rna31030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MAQNARMAAEAYRTANPHFISKVTRGNNAFIDSTFASRHLNENVSILLRNSDGQEWEDSAEMFRKDSHQMKFKKFHIFKNDNYLCQEDYCAFELIQINPVVLNVIMFRVNDYEN >RHN71401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58745541:58756070:1 gene:gene20080 transcript:rna20080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UTP--glucose-1-phosphate uridylyltransferase MTIHSVVIQKLLSTNAHIGRQVATHHFKDYTYGLRNRMAIIDSDKTLICMRSAINFISSLARHNGRFMFINTNPLFDEIFELMSKKVGCYSPSSNSLWRTGGFLTNSNSPKKFRSRNKKLCFGPTQPPDCIVIVDTESKSSVIDEAFKLHIPIVALVDSAMPLHTFSRIAYPIPVNPSVQFVYLFCNLITKTLLLEKNNNNNNSNIKLLDDDHHLREKQAAKEKSNLAAKLGVTVIPYDAISPLPQDVEQTKNLLDKLVVLKLNHASGTNMGFQNPKSAMDICDGQTFLDLIINQIETLDSKYGSRVPLLIFNKDDTHDSTLKVLEKYSESSIDVRTFKQGKGPELTLSDGHSSKEEVHTFDNGDIFRSLMIGGTLDLLLSQGKEYIFVMKCDNVGTIIDPNILNHLMTNAIDYCMEVTPSHSSNVILTPMNFKLKEIVRNQDKDLKDKCKLIDTTNMWVSLRTIKRLFDTNRLKLQMPPISKEDDYDQTLLQGTDAGQAVQFFDNVIGVSIPESRFVPLDTTSDLLLLQSDLYTSREGVLARNPARTDALNPVIDLGPEYEKIADFRSRFKSIPSIVGLDSLIVRGDVWFGANITLKGHVTIVAKPGLKLEIPDGVVIENKEINDIADIQE >RHN66791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18842570:18847438:-1 gene:gene14875 transcript:rna14875 gene_biotype:protein_coding transcript_biotype:protein_coding MPDKITAENLLHNLVDTLSDKPKKVSFFEEDKSKSVSSEINRLFGREKPVHHLLGGGKSADVLLWRNKTISASFLTGATIVWVLFEWLNYNFISLLCFVLVLGLLAQFLWSNASGFLNSTPSQVPRFVVPEELFVNIATVIGNEVNRGLRFLQDVSCEGNLKTFLIVVVSLWAGSVIGSWCNFLTVIYIGIVAAHTLPVLYERYEDEVDNFVLKVFGQIQNNYRKLDAGVLKGKLKVKKHD >RHN38592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:527409:530853:1 gene:gene44547 transcript:rna44547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine phosphatase superfamily, clade-1 MNPTSSSSSSSSKSETMNPKKQTQYQNIIVMRHGDRIDNFDPLWISTAPRPWDPPLVEEGRVRAFCTARKFRNLFGYPLHRVFVSPFIRCIQTAKEAVIALSAIHDNPEALTGDSLPIDPSKIKVSVEYGLCEMMSRRAIRLEVAPKDGNWGFDISEREAMLPAGTVDKNVARVYKELPKWEEPLSDTRARYEKIVKDLADKHPTENLLLVTHGEGVGVAFSSFKKGTEVYEVDYCGYVELRRPIFKKDQSFTAGEFEVLTNTGQTGVKYSI >RHN79016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21123996:21140124:-1 gene:gene2696 transcript:rna2696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKEIVAEENESSVNAAPIFEFNQLTTLLLWYLEEFNGFYAGNHTLLCPSLRKVDVCKCTKLNLFRTHSTRSSNFQDDKHSVLKQQPLFIAEEVIPNLEMLRMEQADADMLLQTQNTSVIFCKMTWIGFNCYDTDDASFPYWFLENVHTLESLYIGGSRFNKIFQDKGEISEMTHTQIKTLNLNELPKLQHICEEGSQIDPVLEFLEYLLVDGCSSLINLMPSSVTLNHLTRLEIIKCNGLKYLITTPTARSLDKLIVLKIKDCNSLEEVVNGVENVDIAFISLQILILECLPSLIKFCSGECFMKFPLLEKVIVGECPRMKIFSARDTSTPILRKVKIAENDSEWHWKGNLNDTIYNMFEDKVGFGGFKHLKLSEFPELKEFWYGQLEHNAFRSLKHLVVHKCGFLSDVLFQPNLLEVLMNLEELDVEDCNSLEAVFDLKDEFSKEIVVQNSSQLKKLKLSNLPKLRHVWKEDPHNTMRFQNLSDVSVVGCNSLISLFPLSVARDVMQLQNLQVIKCGIQEIVAREDGPDEMVKFVFPHLTFIKLHYLTKLKAFFVGVHSLQCKSLKTIHLFGCPKIELFKAETLRHQESSRNDVLNISTYQPLFEIEEVLANVENLDLNDKDFGMILQSQYSGVQFNNIKHITVCEFYNEETTFPYWFLKNVPNCASLLVQWSSFTEIFQGEETIRTEKETQINPQLKRLELWQLSKLQCICKEGFQMDPVLQFLESIDVSQCSSLTKLVPSSVSFSYLTYLEVTNCNGLINLITHSTATSLVKLTTMKIKMCNWLEDIVNGKEDEINDIVFCSLQTLELISLQRLCRFCSCPCPIKFPLLEVVVVKECPRMKLFSLGVTNTTILQNVQTNEGNHWEGDLNRTIKKMFCDKVAFCKFKYLALSDYPELKDVWYGQLHCNVFCNLKHLLVERCDFLSHVLFPSNVMQVLQTLEELEVKDCDSLEAVFDVKGMKSQEIFIKENTQLKRLTLSTLPKLKHIWNEDPHEIISFGNLHKVDVSMCQSLLYVFPYSLCPDLGHLEMLEISSCGVKEIVAMEETVSMEIQFNFPQLKIMALRLLSNLKSFYQGKHTLDCPSLKTLNVYRCEALRMFSFNNSDLQQPYSVDENQDMLFQQPLFCIEKLSLNLEELAVNGKDMLGILNGYVQENIFHKVKFLRLQCFDETPTILLNDFHTIFPNVETFQVRNSSFETLFTTKGTTSYLSMQTSNQIRKLWLFELDKLKHIWQEDFPLDHPLLQYLEELRVVNCPSLISLVPSSTSFTNLTHLKVDNCKELIYLIKISTAKSLVQLKALNIINCEKMLDVVKIDDDKAEENIVFENLEYLEFTSLSNLRSFCYGKQTFIFPSLLSFIVKGCPQMKIFSCALTVAPCLTSIKVEEENMRWKGDLNTTIEQMFIEKSHFLINILNDETIIYPHNCKDDAQAQETCEK >RHN77233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5271488:5274695:-1 gene:gene666 transcript:rna666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MGTESYTYMGRSFNEFSINDDSTSSAFSDCNSDRSGEFATTSSTSRRLFLACASENSDDLIRQLVSDLHSDSIEEQKQAAMEIRLLAKNKPENRIKIAKAGAIKPLISLVTSQDLQLQEYGVTAILNLSLCDENKELIASSGAIKPLVRALNSGTSTAKENAACALLRLSQVEENKAAIGRSGAIPLLVNLLGSGGIRGKKDASTALYTLCSVKENKMRAVKAGIMKVLVELMADFESNMVDKSAYVLSVLVSVPEAKVALVEEGGVPVLVEIVEVGSQRQKEIAAVILLQICEDSVAVRSMVAREGAIPPLVALTQSGTNRAKQKAEKLIELLRQPRSTRISE >RHN51433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17723406:17727654:1 gene:gene35866 transcript:rna35866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fibrillarin, S-adenosyl-L-methionine-dependent methyltransferase MAPPRGRGGFGGRGGGRGARGGGRGRGGGRGGMKGGSKVVVEPHRHEGIFIAKGKEDALVTKNLVPGEAVYNEKRVSVQNEDGTKVEYRIWNPFRSKLAAAVLGGVDNIWIKPGAKVLYLGAASGTTVSHVSDIVGPTGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILGLNASYYLKAGGHFVISIKANCIDSTVPAEAVFSSEVNKLKADQFKPFEQVTLEPFERDHACVVGGYRMPKKKKDAE >RHN45816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25925671:25927162:-1 gene:gene40229 transcript:rna40229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MRIKIPTKDSLGVVTAFYLTSKAFAHLGGKHDEIDFEFLGNKGGPYTLQTNVFASDEGGREQRHSLWFDPTIDFHTYGILWNQHQIVFYVDETPIRIFKNKSNKGVSFPSNQMHVTVSIWNGEPWASNGKKIDWKQAPFLAQFQMFNIHGCQSHNPRKYDCYSPHLWWNGMKYWELNPQQQREYEDVRRIHLLYDYCSDRGQLHKECQIR >RHN51353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16608614:16610573:-1 gene:gene35779 transcript:rna35779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MICNQSSSFIIAISLLISLLGNGLPSIGCPFNLSCTHDNKILELPAYPVPIKLLIRDINYTSQVLEARFYDDVVELNLVSCTKLSQRVSPLILSDESVYGIQQNSILLSWSGTTIDKGCLECKHKSKKKIILSSAGVIIGSTVLVLLFGSIFQIYRYVKMKGEDHTRIENFLKDYRALKPTRFSYADLKRVTNKFKDKIGEGAHGAVYKGKLSNQILVAVKILNNAEGDGKEFINEVGTMGKIHHLNVVRLLGFCADGFRRALVYDFFPNGSLQKFISHLNNKDDFLGWDKLQQIALGIANGIEYLHQGCDQRILHFDINPHNILLDDNFTPKITDFGLAKMCSKNQSNVSMTTAKGTLGYMAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGRKNTKTTSGDENIQVEYPDWIHNLFEGDIQIPIDEERDFRIPKKLATVGLWCIQWHPLHRPTMKSVIKMLQAEADKLKVPTNPFGPTTKTKTNSNIVAERMNLELEVIEEIE >RHN42501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38871720:38872257:-1 gene:gene48948 transcript:rna48948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSRSSLLSIFASFIYEMRRGNFNNILLYKFICEKMNKVDF >RHN38959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3073100:3074080:-1 gene:gene44939 transcript:rna44939 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNLQIEMDNVGQSFGIKFSASQLYSRATLCKNKSAATQSVSCKKKADASMRFTDATKPPAATKTVSGKKAAGSGSKISHATSSSKPSQSLDSGNPEIQVSIMCSSSLQIKFDNFDHSSEILLSSSNLSSPGALMGSSLFSQSISFKKKADTMHASKPPASTKTVSGKKAAGSGSKNIPRTSSSKPSLSLDSGSFKIRESIIGSSTFQIKFDNFDYCSETLLCSFKLSSSGTLMSSSLFSQSVSFKKKSDSIHASKQPAAAKTATGKKVVGSGSKRSHATSSSKPSLLLDSGNSKIQESIMCSFNLQIKFDNFYQSYETLLSSF >RHN76737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:872233:878179:-1 gene:gene109 transcript:rna109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative presenilin/signal peptide peptidase MKNVERIANLALAALTLAPLVVKINPNLNVILTACITVFVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNTVLTLYFFVLGIVALSATLLPYIKRFLPKPWNDDLIVWHFPYFRFSLEIEFTKSQIIAAIPGTFFCGWYALKKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLVGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADSKRPFSMLGLGDIVIPGIFVALALRFDVSRGKQPQYFKSAFLGYTFGIGLTIFVMNWFQAAQPALLYIVPAVIGFLAAHCIWNGDVKQLLEFDESKTAKSSEEESDAAKSSEKIEPSGEESDAKSSKKDE >RHN40536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17277626:17281535:-1 gene:gene46696 transcript:rna46696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MVLAGKISTEVGVKASSAKFFKLFSSELHHVQNLCERVHETKLHQGDDWHGSDSVKQWTYVIDGKVHTCHEIIEDLDKQNKKITFKLFGGDIDEHYKVFKLILEVIDKAGGNAAVKWTIEYEKINEDIDPPNGYMDYFAKSTRDMDAHLVKA >RHN43540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46661043:46662158:1 gene:gene50139 transcript:rna50139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rossmann-like alpha/beta/alpha sandwich protein MSRSIQEKKLAAGRVVAVAIENNKTSQYAAKWAVDNLLPKDQHLLLVHVRQKASSIPTTTGSHVSVDANNDVGRAYMRQMDNESKELFSSFRVFCNRKNILCKEVLLEDMDVSKAIIEGIREYSIELLVLGAPSRSGLVRRFRTSDVPSLVSKGAPEFCTVYIISKGKIQSVKTATSPLTLKAMPRNALQPPQQPQQSPNRIDVQQQKRNHPLRCMFISILILI >RHN69699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45634320:45636766:-1 gene:gene18205 transcript:rna18205 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLHFKSFEEILGGKYRTDLIVGWFLGETDPFFKLDLNYLLCSFFYPLFKMSLELFMM >RHN59581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12250882:12253008:1 gene:gene21629 transcript:rna21629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MLPSITIYSPQSQVMLFFFSLYLVAIGQGGHKPCVQAFGADQFDEKHPKEHRARSSFFNWWYFTMVAGASATLLILSYIQDNYSWVLGFGIPCVVMIIALIVFLLGTMTYRFNIKDNDNCPFLRIGRVFVAAVRNWRNTLSTTDIEEEHDGLRRRHQSSEQFNFLNKALQTPKGSKDEDKCSLVEVEEAKAVLRLIPIWASTLVYGIIFAQIFTFFTKQGSSMERTIFPGFNIPPASLGMIGGVAIVLFSPIYDRLFVPLARNITGKPSGITMLQRIGTGIFLSIFTVVVAAFVEMKRLKIAQEHGLVDDPNATVPMSIWWLVPQYFLFGVSEVFTIVGVQEFFYDQVPNELRSMGLALYLSIIGVGSFLSGFLISLIEHFTGKDGHETWFCDNINKAHLDYFYWILAGLSVMGFTLFIYFAKSYIYNHKGIIS >RHN62718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45408511:45414227:1 gene:gene25319 transcript:rna25319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSHFGRSGPPDISDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPKDRRTGESRGFAFVRYKYADEASKAVDRLDGRMVDGREITVQFAKYGPNAERIQKGRIIETSPRSKSSRSRSPSKRRHRDDYKEKDYRRRSRSRSYDRHERDRHRGRDRDHRRRSRSRSASPGYKGRGRGRHDDERRSRSPSRSVDSRSPVRRSSIPKRSPSPKRSPSPKRSPSLKRSISPQKSVSPRKSPLRESPDNRSRGGRSLTPRSVSPRGRPGASRSPSPRNSNGDE >RHN78926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20045891:20047939:1 gene:gene2594 transcript:rna2594 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQNCDKYRESKSSQQLEMLKYMLKFNLDDQSAIFEKLHQQMENGNYESETSILSAEEIEEIVQRTVTPLFSPS >RHN59711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12695282:12695527:1 gene:gene21811 transcript:rna21811 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpH MDPLISAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEDKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >RHN51780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24801103:24801540:-1 gene:gene36298 transcript:rna36298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MKFREIDTQEEFEEILHKIKQEPFDCSKKDNCRCDDPADIEYDSTRTWVKYKPNIPKTPKGFKRISVLRDDYSKLDSYYITPTGKQLRSRNEIAAYLKDHPQPNGVSALDFDFSSPKVMQDTIPDIIVKQKDSANKKVKIAKDEV >RHN70582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52475633:52478095:1 gene:gene19176 transcript:rna19176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(A)-specific ribonuclease MTDLPKDLQKGDSIQIREVWNDNLEEEFVLIREIVDKYNYVAMDTEFPGVVLRPVGNFKHINDFNYQTLKDNVDMLKLIQLGLTFSDENGNLPTCGTDSPCIWQFNFREFNVSEDIFAADSIELLRQCGIDFKKNSEQGIDVNRFGELLMSSGIVLNDNVHWVTFHSGYDFGYLLKLLTCRALPDTQAGFFDLIGIYFPIVYDIKHLMKFCNSLHGGLNKLAELLDVERVGVCHQAGSDSLLTACTFRKLRETFFNGETEKYSGVLYGLGVEKTD >RHN53584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2692165:2693230:1 gene:gene28507 transcript:rna28507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate--cysteine ligase MGIGFLGLGFLPKWRQEDIPLVPKVRYDILRNYFNKFGSNGINTLLMTCSIQVNLDFSSEADMINKMRASLALQPLSTALFANSPFKQGVPNGYVSLRSHLLGQDDICRNGMLPFAFHDTFGYVYIYFSNIIIFVSKRVSF >RHN43862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49075347:49078059:-1 gene:gene50496 transcript:rna50496 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEDLDYVLVPVGILVLLIYHVWLLYTIIRHPSSTVIGLNAQSRYQWTLFMMSDPMKNGVLAVQTIRNNIMASTLLATTAITLSSLIGVFASNETETKLVFGNKTSLNSSIKRLFISLCFLVAFLCNMQSIRYYAHVSFLINTPALNGKKDFIEYVAKTLNRGSYSWSLGLRAFYTSIPLVLWIYGPIPMFICSCFTSFILYFLDTTTQITRDLHTKSFREKESTEEEDASA >RHN71296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58033377:58033698:-1 gene:gene19958 transcript:rna19958 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGMISAAVASWWWLLLLQLQGEVRVSPTKGTLLRSSCWEVEIRKGSYGSCSMLPPSIFASSSKFPWFGNFVSRETYPNPLFSLSFILPTFIGQNYL >RHN65361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1477784:1478611:1 gene:gene13190 transcript:rna13190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MENNGRVCLICNRSFFNGKALGGHMKSHYAKLPIHSKTPIKNHVHEYSAELAKHPTHSISTSSPSIVNPRNNSTYNPQSLKGKFSCTLSNFGRNSGFQSYRTNPTGKRSKRKPRQFHMAEDREENTQFNMAEEKEENTQFNMDEEKEDNTQLQSVYSDLDIEAAETLGVILKKEWKQIEDKYYTEKKKASENGNTVFECDICHEVFQSGKDLFGHEKIQNKSDNLAGEIGRSGNINNVVNEKVHKCEYCFEIFESGELLEEHTKVHLYNYYDSDP >RHN46650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33716330:33722069:1 gene:gene41168 transcript:rna41168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative saposin MEGRIGLLFIVVLGFALACDARGLANPWSIIAANSASSELGRIPDVCALCEEYTTKALDYINENKTQSEIIDILHNTCHQLHTFEKKCINLVDYYLPLFFSEMTSVQPGDFCNKVNLCQNIANISLKVQENTCEFCEETVSSLLDKIKDPDTELEIIEILLKVCNSVDKFGSKCKKIVLEYGPLVFENAEKFLEKTDICTALHACKESTVVLEKSFLSDLLSIFYGNNIFIRMVQLLKIALF >RHN40833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:22601077:22601703:-1 gene:gene47059 transcript:rna47059 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWDLVDKLKISTLGAILLLACAFFALVCLCTIIVLKRKGSNNNNNKVLNQEGVIEENESSSTITTTTTTTTTTTTNVTNSSTTTASTEWLEPNCGWISVKRVLMGSMVWSKARKLEESIRWQRERGSPLLGSLQRHGVENGWKSVSHDSASAVWQRPILRGEKCELPSFSGLILYDEKGRLLNDSVNEIQQCMEISEQVINFFLH >RHN78781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18291470:18294891:1 gene:gene2430 transcript:rna2430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MKQSKDPFEAAFEESPPESPIETEPDPDASTENPNSTNSSLPQSTLTHEEEHNHIKTPNSNNTITKHKDEEDDEEEDNMDVELAKFPTAGDPHKMAKMQAILSQFTEEQMSRYESFRRAGFQKANMKRLLTSITGTQKISIPITIAVSGIAKVFVGEVVETARTIMKERKETGPIRPCHLREAHRRLKLEGKIFKRTTSRLFR >RHN52797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38988813:38996649:1 gene:gene37510 transcript:rna37510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MSRKKRVFSIDSIEEVAVDFFNYVLQEKPKIPFFIPVILIACAVEKWVFSFSTWVPLALAVWATIQYGRYQRKLLVEDLDKKWKRIILNNSPITPLEHCEWLNKLLTEIWPNYFNPKLSSRLSAIVEARLKLRKPRFLERVELQEFSLGSCPPSLALQGMRWSTIGDQRVMQLGFDWDTHEMSILLLAKLAKPLMGTARIVINSLHIKGDLIFTPILDGKALLYSFVSAPEVRVGVAFGSGGSQSLPATEWPGVSSWLEKLFTDTLVKTMVEPRRRCFTLPAVDLRKKAVGGIIYVRVISANKLSSSSFKASRRQQSGSTNGSSEDVSDDKDLHTFVEVEIEELTRRTDVRLGSTPRWDAPFNMVLHDNTGTLRFNLYECIPNNVKCDYLGSCEIKLRHVEDDSTIMWAVGPDSGIIAKQAQFCGDEIEMVVPFEGTNSGELKVSIVVKEWQFSDGTHSLNNLRNNSQQSLNGSSNIQLRTGKKLKITVVEGKDLAAAKEKTGKFDPYIKLQYGKVMQKTKTSHTPNPVWNQTIEFDEVGGGEYLKLKVFTEELFGDENIGSAQVNLEGLVDGSVRDVWIPLERVRSGEIRLKIEAIKVDDQEGSTGSGSGNGWIELVLIEGRDLVAADLRGTSDPYVRVHYGNFKKRTKVIYKTLTPQWNQTLEFPDDGSPLMLYVKDHNALLPTSSIGECVVEYQRLPPNQMADKWIPLQGVKRGEIHIQITRKVPEMQKRQSMDSEPSLSKLHQIPTQIKQMMIKFRSQIEDGNLEGLSTTLSELETLEDTQEGYVAQLETEQMLLLSKIKELGQEIINSSPSPSLSRRISESVN >RHN44855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9111354:9111836:-1 gene:gene39021 transcript:rna39021 gene_biotype:protein_coding transcript_biotype:protein_coding MKELNYTAILNIFLILIRLAKQVLKKNLNSLRNRFKGCAYNLLAELLKFLETHSVLDVLGSCHSEFVELLLDARNFGFDKDWLDSVERRAMFPDIQISQDAMQTLLDSKQQVTKEVEVLHLKIEILSQHVEDLKHQLTCSKAVLKNIIQQEAVLSALIGY >RHN54365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9023554:9024009:-1 gene:gene29384 transcript:rna29384 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVTITLCLSVRSLRYTLGTPSWRNVEVDPQLSIWSLNYPTCVNGALHWIRFDFLQMSILCFNLENERFQSFPSPPHVFQNGRIVDGITDRRINMGELRGFLYICDSSSLFDVATWVMKEYGLGLRFTALILPPVLWAYLNIRLVVFVGR >RHN55300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17011580:17015924:-1 gene:gene30465 transcript:rna30465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MGNCLDSSAKVDAAQSSRSTSGTSKTTPSSLTIPSYSDKSNSSSLLPTPRSEGEILSSPNLKAFSFNELKNATRNFRPDSLLGEGGFGHVYKGWIDEHTFTAAKPGSGMVVAVKRLKPEGYQGHKEWLTEVNYLGQLHHPNLVKLIGYCLEGENRLLVYEFMPKGSLENHLFRRGPQPLSWSIRMKVAIGAARGLSFLHNAKSQVIYRDFKASNILLDAEFNSKLSDFGLAKAGPTGDRTHVSTQVVGTQGYAAPEYVATGRLTAKSDVYSFGVVMLELLSGRRAVDKTIAGVDQNLVDWAKPYLGDKRRLFRIMDSKLEGQYPQKGAFMAATLALQCLNREAKARPSMTEVLATLEQIEAPKHASRNSLSEHHRVHAPVRRSPARNRTPSYVTPTASPLPSVRQSPRAH >RHN53087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41943260:41944033:1 gene:gene37843 transcript:rna37843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKVTKFVYITIHVLSLFFITMNVAAIPKCVRTLIVYQKLSVCFLKNHYVGIIYVFVIS >RHN64259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57726092:57728500:-1 gene:gene27059 transcript:rna27059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MGGLVILICMIVSWIFLHRWSQRNKKGPKTWPFLGAAIEQLMNYDRMHDWLVQYFSMSKTVVVPMPFTTYTYIADPVNVEHVLKTNFNNYPKGEVYHSYMEVLLGHGIFNVDGELWKRQRKTASLEFASRNLRDFSTKVFKEYALKLSTILSQSSFLNQQIDMQELLMRMTLDSICKVGFGVEIGTLNPNSPNNSFAQAFDTANIIVTLRFIDPLWKMKKILNLGSEAQLDKSIKIVDDFTYSVIRRRKAEIEDAKKNGQQNQIKNDILSRFIELGENHASDKSLRDVVLNFVIAGRDTTATTLSWAIYMVMTHSHVAEKLYSELKTFEENQAKEENVTLPQCDDKDDLELFNQRVVQFSKLLNKDSLEKLHYLHAVITETLRLYPAVPQDPKGVIEDDVLPDGTKIKAGGMVTYVPYSMGRMEYNWGPDAASFKPERWFKDGVLKNESPFKFTAFQAGPRICLGKDSAYLQMRMVLAIMCRFYKFSLVPDHQVRYRMMTILSMAHGLKVTVEKRS >RHN79227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26246494:26251655:-1 gene:gene2975 transcript:rna2975 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKTPNLFRDWRTLTGDHRVGNLSSRTGIPAAVLENSFVEFYPFSSFGAVNL >RHN66310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10688051:10690727:-1 gene:gene14265 transcript:rna14265 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFKIEVHSVISTFERRSPPSVLAAIGNPPHFLAIFRPIASRVVFVLWGNLSLPNACFESKVQQSSFDRSSNLCIGNVCATSATFSWRVVIITMLPGFPSMKGLTSAIQSL >RHN51084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13842095:13845276:1 gene:gene35459 transcript:rna35459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSLLTVAHQRGSGEFTGYTVKREGDDHEGGSDDGGSSNASQLDFNQMFSGYNGDRELSEMVSALTHVASSGSNQMSSNEWIQRSDFPLISSFGNASSSSSYASSSWSGQKRGRDEESSGSNQYITQAVVPPRLFRTVMVPSQESSSLSSVTEEARTSTTIATSAASAASFEDSGEQRRRYRGVRQRPWGKWAAEIRDPHKAQRVWLGTFDTAEAAARAYDEAALRFRGSRAKLNFPENVRSIMPPPPQQQPQLQSFPATAVPSGTPQRPVQGTSDMIRDYLEYSHILQSSGDFQLQQMQQQQQLQASNLVQQWYYNSQMAALQSHSLLSSSSLSMNSNMLPSTPSFSPSTQLSSSSASFPLFSSQQMGFFRPPENRPPGGSRGGGTELPPSTWSDTSGHPPPPYG >RHN60058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17875788:17881942:1 gene:gene22257 transcript:rna22257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GLTSCR protein region MEEAKALHQQQQQQQQQQQQLLIQEQQRQQQQQHFMLLQQLQKQQQQQQQAAAISRFPSNIDAHLRPIRPPLNLQQNPNPNPNSNPILNLHQNPNSNHQQQQQQKMIRPGNQMELQMAYQDAWRVCHPDFKRPFSSLEDACERLLPYHVVADYEAEEDDRILDSDTTGQMLSRSQQWDNNIAAKIAEFTATFEKQALAFNIISQKRSLGEFRSEERLMIEQALLQEEKRALIELRAELESREKAGREAHEAKLRMAAMYQAEQQARADSQSHAEMMSRAPIRGSALGSQGSDIGIVGHDMGDQDHGEMMNGWGNNAQRDEKEPSEDFLNDEAENGDTGTPDGWREVGEFDLNAR >RHN42901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41802567:41803018:-1 gene:gene49406 transcript:rna49406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MAGCFSFTASHDRCYRFSFSNTGLKSTTTGLGNTTIMHCWVPKSHKPNKPSLLLLHGMGANAMWQWNEFISQLTRRFNIYVPDLLFFGEAHTSKPNRTESFQAQCVAALMEAHCARRMNVVGVSYGGFVGGESGESCVLLCWGLFGR >RHN47880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43246946:43251341:-1 gene:gene42529 transcript:rna42529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGNCCATPAVAGEEITNKKNKKGKKENPFATDYAYNNNTTSKLTVLKDPTGNEIETRYELGRELGRGEFGVTYLCTDKETREELACKSISKKKLRTAIDIEDVRREVEIMRHMPKHNNIVTLKDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPKVSDNAKDLVKKMLNPDPKRRLTAQEVLDHPWLINAKKAPNVSLGETVRARLKQFSVMNKLKKRALRVIAEHLSVEEAAGLKEGFNLMDTTNRGKINIDELRTGLHKLGHQVPDSDLQILMEAGDIDRDGYLDYGEYVAISVHLRKMGNDEHLHKAFDFFDQNQTGYIEIEELRNALSDEIETNSEEVISAIMHDVDTDKDGKISYEEFANMMKAGTDWRKASRQYSRERFNSLSLKLMKDGSLQLNNDR >RHN43619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47275462:47279064:1 gene:gene50226 transcript:rna50226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ceramide glucosyltransferase MKDAMKNGNSLSFLCHDINELEHSYQANLPRVTVITTLYGGPIEFIFVLESTEDPAYHPISRLISEFEDDVDVRIVVAGISTTCSQSIGMVGVEKMHKDTKYVLFSDDDVRIHPGSIGALTREMEKNPEIFIQTGYPLDLPSRSLWSYCIYEYHMPCSMGFDTSGRTFFLWGGCMMASTFCLFLVMHADDFRQDRYGVVSGLKDGGYFDDMTLAAIAGTSLFLNAYSCAITIMLSFAGAHKRLITSPPLALFPHPLATDLNFGRYWNYLRKQTFALESYTTNINWMMNRALSGVHCYVSWALVASFLMAMIHLAAVFRFYSKGYSLEEMAYSAAVWVITSDLPSHMYFCGTSFNVELDKDRRSSTMQHVVFIALLVNNILYPLSAIKSHFSQSINWSGIRYYLKHGKVIKIERTERSKDMSPIFTDLGGKHLYGKERDACQRFIPQFFVQKSSKKPTTQEI >RHN44749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8086537:8086857:1 gene:gene38909 transcript:rna38909 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYKVITFMHFCIISRLRIIFLLSPFLFVNRYRLLPSSSISSSPSPLHLNHKFLLEQLSWFVGQFTSKIPTPSCCDKQKCAEMLILFL >RHN57980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42908059:42915989:-1 gene:gene33577 transcript:rna33577 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQELVSVLRTAFLTEEFDRIEGILVSRYKRLENEIIDLQEKVEMEKKTRFQAEEDLRKREELCEKGKRAQNNYEKLLKEVKKTNLAEKDTIGELRKKNNELELEVCELRKLKEKWVNDSKNAYGVRKSELLEKSMKKNIEALGIPLITNFEIRDEESEYEIDNDTMEPNTLQTNEPPNKRSKNAQGASSNIKIMTQTRGATIDASHSRHRHPITCRERAAVAARHPPIVEVRQENDNIHMSEEIIVTDNVSVPLSNVQEQMATQNEPKRKKFATNASSSDFDKFHFTSLVHQKRYSEFLANKKFVGENNFQLEGSKFSCIHKMIESRGWVELTSFAKDASTTIAKEFFANAYQGPAKNDRMKFTSFVRGKKVPFHDKIINQMFRLENYEKCSFEARKAKGSNVDHQEIRSTLCRPEADWVRNKDGTPAKLRTCDLTPVAKVWAMFVLRTLLPCSNRSDLTILKANLLTAILKGEPVNVGRLLANDIWVTANCASPSSWINHASLIQMLCERERVYPKENEEMVNPFGAITPKWIETHCNL >RHN40885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23763568:23766816:-1 gene:gene47129 transcript:rna47129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polar-amino-acid-transporting ATPase MDMEKEMQDIEAQSTYKTTLQKEASKIFHKRNPPVTLKFHDVVYKINKTKKWKLLERKTKVEEKVILNGVTGMVRPGEILAILGPSGSGKTTLLTALGGRLGGKLSGTITYNGKIFSNSMKKSIGFVTQEDILYPHLTVTETLVFTSLLRLPNSFTEKEKIELAKSVMALLGLTKCKDSIIGKPMLRGVSGGERKRVSIGQEMLINPSLLFLDEPTSGLDSTTAQKIVFNLWELASGGRTFVMTIHQPSSRIYNMFHTVLILSEGVPLYYGKGSEAMEYFSGIGYEPEIAMSPSDFLLDLANGVYTDQSNEDHALNKNELISAYKNYFDAKWKPIILDENPDNGEIQSGFEDSGFGKWSTSWSQQFIVLLKRDLKERKHEPFSVLRISKIVVIALLTGLLWYKSSVSHLQDQIGLLFFINSFWAVVPFYKAIFTFPQEATMLEKERSSGMYRLSSYFMSRMIVDLPMELILPTIYIIIIYFMTGLKPNVINFLYTLFSLLLNVLVSQGLGLAIGAIIMEQKSAITLGSIILLSFVLVVGFYVQHVPKFIAWIKYISITYYIYKLALGSQYDINDTYPCSNGKCLIAEFPLIRQMGELNLQGQLMAVFLLFIMLIGYRLMAYFALMRIGVTKKISI >RHN41948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34277479:34284076:1 gene:gene48324 transcript:rna48324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative checkpoint protein Rad17/Rad24 MAKRNSVIVLSSDDEENAPKTRSLSSTSSKPKPKPKSTSTGSRGRKKARVSSSAPRLSKLYEINLFDDDFNQVFTGSKVFAAAGTQRSTAEELWVDKYKPHSLEELAVHKKKVEEVKTWFEERLKPSKGVYRNNVLVVSGQAGIGKSAAIHVIASHLGAMVCGWNTPTPVIWQEHLYNSGTETKYTSKLDEFVSFVERIRTFGLIPTSFTGESKPSIIYLIDDLPMMHSKAALGRLKDCLNLLVHTTRIPTAILVTDYGNTDSADYNARSVEELKTSLESSGACKIAFNPITVNSIKKILFRICQMEQCDVTADNVDLIAKASGGDIRHAITSLQFFCLNPNQVHSLSQSTRSPHALKEESNKPVELDDGSSLYFGRDETLSLFHALGKFLHNKRETGVAVEYDQNGFLIHERFSRLPLKMDVPEKILCQSHVQPGPVADFLHENVLDFLNDEAVEDAWILSSYLGDADILLTKLRGMVSSYNEAESILQSAAASIAVRGVLFGNSCPLSSRWHAIRRPKLWQVEKELSYQKNKMLRQRIPACNQLSSYHMSVMATEYMPMFKLLGNRVGSGYDELHQESNIDIDKMSLDGEAMEISDDDIEDW >RHN44827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8963724:8966002:-1 gene:gene38993 transcript:rna38993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAKKYEGVAIGIDLGTTYSCVGVWQEQNDRVEIIHNDQGNKTTPSCVAFTNSQRLIGDAAKNQASSNPINTVFDAKRLIGRKYSDSFVQNDLLLWPFKVISGVNDKPTILVNYKGEEKHFVAEEISSVILSQMREIAEAFLESPVKNAVITVPAYFNDSQQRSTKDAGEIAGLNVMRIINEPTAAALAYGLQKRANWLIREIFLSLTLAVFDVKATAGDTYLGGEDFDNRMVNYFVKEFKRKNNEDISRNPEALRRLRTACEKAKRTLSYDTEATIDLDALYQGIDFCSCITRAKFEQLNMDLFEKCMDTVNCCLVDAKMDKGSVDDVVLVGGSSRIPKVRQLLQEFFKGKELCKSINPDEAVAYGAAVQAALLSEDNKNVPNMVLRDVTPLSLGIFIHGNIMSVVIPWNTSVPFKKTQVYSTGEDNISSVQINVYEGERIIASENNLLGLFYLKVPLAPRRLPFTVCFAIDANGLLNVSATEETSGSKNEITITIENGRLSREEIERMIQEADNFKAQDMKFRKKVEARNALEYYLYNVRKVMKDDCVNSKLAPVDKMNINSAMIKGKSLIDNNQQEDAFVFVDFLKELESIFESSMNKINQG >RHN64806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62047818:62050391:-1 gene:gene27665 transcript:rna27665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll a/b binding protein MASSTILPLSNAQFPSFHHTHHSFPTTAKWGWRREQDASMASNRTQSQAFRVLANPNVSSGKDGSKKDVIMVDPVEAKRLAAKQMEIIKAKEKLKKRRQIEAINGAWAMIGLTAGLVIEGQTGKNIITQLQDYFGAIVHFFVK >RHN53475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1894860:1909161:1 gene:gene28384 transcript:rna28384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative palmitoyl-CoA hydrolase MPLFTNSSTCFTAVNSSHRNSTFLSNSHKATTTPRILRFPQNEDFNVSRFDLSHSRSEVKDRTISMALVHDTVGHQEDVASSSSILAYDLVQGALVKWSSVMDRSLPETPTAVFLHGILGCRKNWGTFAKRLAREFPMWQFLLVDLRCHGDSASIKKRDPHTVASAALDVLKLVRELRITPRVLVGHSFGGKVVLSMVDQAAKPLARPVRAWILDATPGKVRAGGDGEDHPAELISLLSTLPKEVSSKKDILKALIQQGFSNDVAQWVVTNLRPTSSSSSCFSWVFDLKGISEMYQSYEETNLWKIVEDVPRGVHINFLKAERSLHRWALEDLQRIHVAEEIASEEGGGVEMHVLEDAGHWVHADNPDGLFRILSSSFQGSRT >RHN45025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10889672:10889803:1 gene:gene39220 transcript:rna39220 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSARLGHGGRVVLGRDDTLLFSIPSFLLPRTQRLAPLAVT >RHN50393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6770417:6770647:-1 gene:gene34671 transcript:rna34671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase MCHFQAVDDFPSDTKHNDIVLKSGLFENRDNFLIFCQKSQFQFDTLRRAKYSSMMNLYHLLLSARSLSFSFKMNTN >RHN57762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41564171:41566416:1 gene:gene33346 transcript:rna33346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MSGKNPYAVAQYGCLLVNHAVKKVVDEVDGNKSVSDWFSKGYMKVERQKNKLYSNRDRVHAKVEAIDPKTEKVRDVVFEWLKKTDIIIQEVENLAVQSKPPSWIEFNNLQENIKALNKKCNFDPFSIIIPSLEHFSLGNNFECFKSTEKASDELLEALQDDNCRIIGLYGRRDSGKTTLVRVMGEKVMFLNIFDEILFVNVTKNPNITAMQDEIADSLNIRFDRNSEAERARKILSTIENMDHPILVIFDDVRARFDLRDVGIPCTSNLCKVLLTARSQKYCDLMHCQREILLDSLSTEEASTLFEKHSGILEEDHSSSFDLLNVAREVAFECDRLPGKIIKEGSSLRSKSLEEWEKSLDNLRHSTDQWQMFLSFRGGDTRYSFTGSLFQALSQGGFKIFMDDKGLHTGDRISHSLRNAIEATRLSIIVLSENYANSTWCLDELVKILECKKWNNQLVWPIFYKVEPSDIRHLRKGYGKDMAQHEKKFGIDSERVQKWKSALLEVSNLSGMTYETGYEYEFIQKIVEDANNIKSRLQIRNI >RHN78183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12531783:12536147:1 gene:gene1712 transcript:rna1712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MNLRNGCSLLLKPRKSPTPFVLATNPNPEKANSLNVCPVSESHVVKVLRQEHDIVSSLEYFKSLSNSGTFKHTHLTYETMIDKLGRNNEMDGVSYLLQQMKLENVPCSQELFQFVIKCYRRSNLGEQGLKMFYRIREFGCEPSVKIYNLVLDALLSQNLFKMINALYNNMKSEGLEPNVFTYNVLLKALCQNGKVDGACKLLVEMSNKGCDPDDVSYTTVISSMCKLGDVDKARELAMKFEPVVPVYNALIHGVCKECRFKEAFDLMNEMVDRGVDPNVISYSTVISCLSDMGNVELSLAVFGRMFVRGCRPNVQTFTSLIKGFFVRGRVGDAVGLWNLMIREGVSPNVVAYNTLIHGLCSDGNMDEAISVWNQMEKDSIRPNVTTYSTIIYGFAKSGDLVSACETWNKMINCGCRPNVVVYTCMVDVLCQMSMFDQAFDLIDNMISDGCPPTVITFNNFIKGLCRAGRVEWAMNVLDQMEKYECLPNIRTYNELLDGLFRANAFREACGLIRELEERKVEFDCVTYNTIMYGFSFNGMHQQVLQLLGKMLVNGIKLDTITVNTTVNAYCKLGKVKTAIKVLDNISAEKEFRADIITHTIILWGICNWLGTEEAVVYLHEMLKRGIFPNIATWNVLVRGFFSKLGHMGPIRILDDIIGSSIM >RHN82102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51271220:51277502:-1 gene:gene6226 transcript:rna6226 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPLSWSAKVRMSFSSTTLTMLTGRLCNLYQTDLAYIEQECIWVEDHSILLILFHNS >RHN42221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36446248:36446604:-1 gene:gene48636 transcript:rna48636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MRLESMVLVLVVLLAFTATKQAIPIGNLSPINNINDPKVIDVANFAVKEYNNRRRKPEEKLRLWKVIKGESQIVADGVNYRLTLSATKVYTSNTYEAIVLEWSLQHLRNLTSFKLIQA >RHN78121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12076480:12077046:1 gene:gene1642 transcript:rna1642 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPMGNAVMPEIKYFPVVFTGGEVQQQWFVDERDGFMNWLRSEFAAANAIIDCLCQHLGVAGESGEYDHVVGAIQQRRINWNQVLLMQQYYSVNEVEYALQQVAWRKQQRFVKPVVKEFRKVKQWQRFEASNVKEGSNSSVEMHSNKANSTVKETRVVDKIEEIKCEGMVGTKDDKISDIAEDKKGK >RHN76604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51600507:51609020:-1 gene:gene12954 transcript:rna12954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MMKEKDERIRRTGELLTYIRTLKMYGWELLFSSWLMATRSLEVKHLATRKYLDAWCVFFWATTPSLFSLSTFGLFALMGHQLDAATVFTCIALFNTLISPLNSFPWVINGLIDAIISSRRLSRFLSCPEHRREVGENSSCSSSFLSKQPDSLQDLAVFIQDACCSWSSGDEEAKNLVLNHVTLSLSKGSFVAVIGEVGSGKSSLIYSILGEMRLDHGSIYSHGSVAYVPQVPWVISGTVRDNILFGKSYNPERYADTINACALDVDISSMVGGDMAYIGEKGVNLSGGQRARLALARVLYHDSDVIMLDDILSAVDVQVAQWILHNAILGPLLKGKTRLLCTHNIQAISSADMTIVLDKGCVKWMGISSDFPTSLYTEFSPLNEMDSTPHNHQQSCSINSSISEEQSLPDRIVMDTLEGEEDVIEVELRKEGKVELGVYKNYAAFTGWFIAVIICLSALLMQASRNANDLWLSYWVDTTTEDGQTSYSMSFYLAILCLFCIMNSIFTLVRAFSFAFGGLQAATKVHNRLLSKLINAPVQFFDQTPGGRILNRLSSDLYTIDDSLPFILNILLANFVGLLGIAIILSYVQVFFLVLLLPFWYIYSRLQFFYRSTSRELRRLDSVSRSPIYTSFTETLDGSSTIRAFKSEDFFFSKFTDHITLYQKTSYTEIVASLWLSLRLQLLAAFIISFIALMAVAGSHGSLPINFGTPGLVGLALSYAAPIVSLLGSFLTSFTETEKEMVSIERALQYMDIPQEEQAGCQYLNPDWPNQGVIEFQHVTLKYMPSLPAALCNISFKIEGGTQVGIIGRTGAGKSSVLTALFRLTPICAGSITVDGMDIQNIPVRELRTHLAIVPQSPFLFEGSLRDNLDPFKTNDDSKIWDALEKCHVKEEVEAAGGLNVLVKEGGMSFSVGQRQLLCLARALLKSSKVLCLDECTASVDIQTASLLQSTISSECKGMTVITIAHRISTVINLDNILILDHGNLAEQGHPQILLKDGTSIFSSFVKASSM >RHN65459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2414395:2417621:-1 gene:gene13300 transcript:rna13300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain-containing protein MDSIQNKVHYCCVSKGSHILYAYSGGDKEVENVTALCLEAVPSFHRWYFETIGKRTYGFLVEDGYVYFTIVDEGLGNLVVLRFLEHVRDEFRKVARKGSRGFLPNANSVYIQEKLVPVIRNLITSLENVSHSGSNWRDETSSTFGIGPSPSPSNLNGQIESVVSTKAPLLGKSSKQEKKKVKDHVIAMRDVELEEHKKSTDRGSRPDSGNLDGISQGGAATSSSLQKDMGSMRTRSGPQNVRKKWWRQVRIVLAIDAAVCIILFVIWLVICRGISCIR >RHN59364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9868217:9872394:-1 gene:gene21390 transcript:rna21390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle-associated membrane-protein-associated protein MSSGELLQIHPQELQFPFELRKQISCSLQLSNKSDNYIAFKVKTTNPKKYCVRPNNGVVLPRSTCDITVTMQGQKEAPPDMQCKDKFLLQSVVASPGATAKDITPEMFNKESGYEVDECKLRVVYVAPPQPPSPVREGSDEESSPRASVSENGHSSAVQFQASKAFNERVEPKDTSFQARTLISKVTEERNSVIEQNKRLQQELDLLRRAAKQSRGGIPLMYVIIVGLVGLILGFLLKRT >RHN71630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1501481:1506244:1 gene:gene7249 transcript:rna7249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SLC26A/SulP transporter MSQVFSDDAVSRAMEEIKSNPSSRGHGGAILPHMHKVSGPPKQTLFQDFKHSFNETFFSDDPFAKFKDQTKKRKFVLGLQSVFPILEWGRGYNLKSFKGDLISGLTIASLCIPQDIAYAKLANLEPQYALYTSFVAPLVYAFMGSSRDIAIGPVAVVSLLLGSLLSEEISDFKSPEYLALAFTSTFFAGVVQMALGVLRLGFLIDFLSHAAIVGFMGGAAITIALQQLKGLLGIKKFTKKTDIVSVMTSVFKAAHHGWNWQTIIIGLSFLVFLFITKYIAKKNKKLFWVSAMSPMICVIASTLSVYITRADKDGVAIVRHIEKGVNPLSINKLIFSGKYFSAAIRIGLISGMVALTEAVAIGRTFAAMKDYSLDGNREMVALGTMNVVGSLTSCYVATGSFSRSAVNYMAGCKTAVSNIVMATVLLLTLLVITPLFKYTPNAVLASIIIAAVMSLIDYEAAILLWKIDKFDFLACMGAFFGVIFKSVEVGLVIAVAISFAKILLQVTRPKTAVLGKLPGTTVYRNILQYPKAAQIPGMLIVRVDSAIYFSNSNYIKDRILKWLTDEEILRTSSEYPSIQHLIVEMSPVTDIDTSGIHSFEDLLKSLKKRDIQLLLANPGPIVIEKLHASKLSDLIGEDKIFLTVGDAVATFGPKGLDL >RHN76213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48603501:48607233:-1 gene:gene12504 transcript:rna12504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PUA-like domain-containing protein MENKNGNDNGCCSLSDCLEELLNFTLNSQSHLLNLSTQFCSTLLKRDPSSSYHSHDSFEGVPSYPLYSRLASALLRCIDSQVFCNTISLDMMNNEFENSSMQQKHNEWHKLIVEKGSEIVNVFKSVSFQIHVQEPFFTQLKDGLKTIEGRCATGKYTRIELGNRILLNKSLVFEVQGVRWHPSFFDMLTTESLGEVLPGIESVEEGVKIYRRFYTEQMEQTNGVLAITVSKLPVQPYTSLASLFSGLSYEGVQGLLGLMHTTGTVPNALPPPRSTLLASFNIPCNLSENALTLGARALAKHGCRSSSGYWGSLVGNDSNKNRLAVDVINNLIAQCCWMNIHTVAPHGVVFEIRVADGYGARWTEDGSKFIGFLEPYMQDGHSKGWKH >RHN78094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11837789:11840499:-1 gene:gene1609 transcript:rna1609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MSFHWCYFTCLFLLVGLGVELSNAQIHRRGTMDVSLPYKKIGYSTKKITVDPKGHHGCFSTIQSAIDSIPSNNRYWTSINIKEGIYREKLKIPYDKPYIIIQGAGMSKTIVEWNDHATTLQSPTFFTMADNIVVRFISFRNSYNNPRNSNPWAPAVAAMVSGDKTYFYNVGFFGFQDTLWDDQGRHYYKNCLIQGAVDFIFGAGQSIYEECSISVIASALGQGIAGFITAQGRTGENDANGFVFKNCNVYGDGTTYLGRPWRAYARVLFYNTNMSDIVVPTGWAPWYFADHENYIQFAEYGNIGAGSKTNKRVKWLKKLDWTTVNIMASDSFVDNEGWLKIASEI >RHN73575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17779011:17779454:1 gene:gene9400 transcript:rna9400 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRISASRISFCSFFGLFSSDLLNLDLSLIMLESGVVVEDCFVGETEFWLLFACMKHLLAVLMSPCSVATMSFFAWYLSLRWAVDHAAPSSAIPVLDHYEWDLDCFSALSLSKGYEHFDISPRSLLGAVESVEFESNVGLNEQGMS >RHN78757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18078368:18081471:-1 gene:gene2406 transcript:rna2406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MEALGSVDDLLDFSSDIGEDDDDDKPKKAFPSLKPECSDPPSLNPLALDDPINSLSEEVAEEELEWLSNKDAFPAVETFVDLSCIQPDLLKHQMTSPMLENSTSSSNSNNSSNSITLLSGYNHMKFPVRARSKSRSKPRLGLADASNLQFPWKQPSTKTSKEKVKQTPTIGRKCHHCGVDDTPQWRAGPNGPKTLCNACGVRYKSGRLVPEYRPANSPTFRSDVHSNSHRKVVEMRKQKGMGTG >RHN77899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10325299:10325664:-1 gene:gene1403 transcript:rna1403 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQKSFDELLDQLRTKCVSSHSAMLKKLLDDMHEAAKDKELNYVPLLDITPFYPEEEYITRAARIHAGHVRRMREKDELLKKKDEQIKYLLEQLYKQAQP >RHN68794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38653760:38655280:-1 gene:gene17193 transcript:rna17193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative double-stranded RNA-binding domain-containing protein MKVYNFSLNVYQYKLQIILNYSSKAHILVYIPLITSFLFVTKVYKSKLQDFVQRCGYVVPAYQSINEGMQHASKFRSNVTMGGITINGQGTYARRKDAEQEIAKIALEYFTEKIKGDVWSFVLEVKKIPETFDSPINYKNSFISPLLEETHSDLHSNLLGLSRAPFCEVKKVERNIKEFKLPKALFYQLSLKSAGKYEPEHGDVIAFTDVRPKRVDDLNTQRCPYNIALVIVPKYDSGEILILSSRCITEFDSRRDNTKKMYAIHLINMTTDVRIWKALNSQTYGEHLNIIIKVLRPSLIVRIILSFFMH >RHN79722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31575996:31580562:-1 gene:gene3552 transcript:rna3552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Protein-PII] uridylyltransferase MGILYDDVVIMRPPEKEGDPTVITVNCPDKTGLGCDLCRIILFFGLNIVRGDVSTDGKWCYIVFWVVGKQKTRWSLLKKRMVEACPTCSSASGISYYRTDLQPPKVPDVFLLKFCCHDRKGLLHDVTAVLCELELIITKVKVSTTPDGKVMDLFFITDTRELLQTKKRKDDTIQQLKDVLEDSVLTIDIELVGPEITACAQASSFLPAAITEDNYNLMLPDSARSGTLRSDYVSITMDNLLSPAHTLFQIMCQDHKGLLYDIMRTLKDYNIKISFGRFTTKPRRKCEMDLFIMQADGKKIVDPNKQNSLASRFRMELLRPLRVAIVSRGPDTELLVANPVELSGNGRPLVFYDITLALKMLDVCIFSAEIGRHVIEDREWEVYRILLDEGEGMSVPRNKIEEGVWKMLMGWD >RHN43224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44154126:44155167:-1 gene:gene49764 transcript:rna49764 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKGEKKLSACGAEMGEHDERDKMDRNGLGAKVPRQSNVVLSDDPVERRLHSRLAAEKRKAANITKDEGTNSCGVLDDEDNEDESRTNAFAKRKAPAPVTLSIPGNKKQK >RHN76377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49747891:49750995:-1 gene:gene12692 transcript:rna12692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MSTPLEHDYIGLANNPSMDKTSSSLNFKETELRLGLPGCESPDRKSVSAAGAGGGVSFFANKDLKSINVCSPLKNLVASVGAKRGFSDAIDESSKKWSFSMNDGSEGGSLFSPRGGNVGKPLAGLETQTNIQKINTNATKNIKEVLHQSVHEKNKQVSGTNEHANAPAAKAQVVGWPPIRSFRKNTMASNLTKNNDEAEGKPEFDCLYVKVSMDGAPYLRKVDLKTYNNYMELSSALEKMFTCFTIGQCNSPGLPGKDGLSESSLRDLLHGSEYVLTYEDKDGDWMLVGDVPWGMFADSCRRLRIMKGSDAIGLAPRAMEKSRSQN >RHN49382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54425544:54429729:-1 gene:gene44204 transcript:rna44204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MVTKTEESELKNLEIQVDNGGGGAWEYLSLVRKLKVRRSDKVLKHGLSILNDSKLRSKLGADEWTLYEQVAVAAMDCQCLDVAQDCTKALRKRFSESKRVGRLEAMLLEAKGSWEKAEKAYSSLLEDNPLDQIIHKRRVAMAKAQGNISGAIEWLNKYLEIFMADHDAWRELAEIYSSLQMYKQAAFCYEEVILAQPTVPLYHLAYADVLYTLGGLENVQTAKKYYASTIDLTGGKNTRALFGICLCTSAIAQLTKGKNKEDKEGSQLQSLAAKALERDYKQRAPDKLPQLTTALKSLTLSS >RHN60818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30609483:30614541:-1 gene:gene23203 transcript:rna23203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MNQRELIRFKICVREFMIGVSELTVEFLKGCRDIVKQSLVNEDSIIVKKFGKIGKRVRKPCEKLLNKLSYFNEYLPEDKDPLHAWFVILFVSLLAFSALYVNFEHDQSAPLEKKVFLHPVSATRIMLPDGRYMAYKEQGVSADRARFSIIAPHTFLSSRLAGIPGVKDSLMEEFGIHLITYDLPGFGESDPHPKRNLESSAVDMSFLADALGVDKFWIIGYSSGSKHAWAALRYIPDRLAGAAMFAPMVNPYDPLMTNEERRRTWNKWTRKRKLMYFLARSFPRLLAFFYQQSFLSGKHGQIDRWLSLSLGKRDKALMEDPIYEDFWQRDVEESIRQGNAKPFVEEAALQVSNWGFSLLDLKLQKRKYKRNVLNWLKAMFTETQEYTGFLGPIHIWQGMDDKVVPPSMTDFVHRVLPGAAVHKLPYEGHFTYIYFCDECHRQIFTTLFGTPQGPLSLDISLEVDPITLEANVELEQQEVVASSGDYATD >RHN40213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14286120:14288902:1 gene:gene46335 transcript:rna46335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L32e MAVPLLTKKIIKKRVKRFIRPQSDRKICVKQSWRRPKGIDSRVRRKFKGVTLMPNIGYGSDKKTRHHLPNGFKKFVVHNVQDLELLMMHNRTYCAEIAHNVSTRKRKDIVERAAQLDVVVTNKLARLRSQEDE >RHN62645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44902684:44903716:1 gene:gene25240 transcript:rna25240 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSKASVPFSFIYISACIKKLSFSKNHFFQELFNPHVTMCGFRKRLSLL >RHN76232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48768166:48772554:1 gene:gene12525 transcript:rna12525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap2/NF-YA family MKCLCEKDSAHSTPHHIFGCPSWGTSSESQVQQTSMSKGLSFKVEALPQECHNSKALSFQFQEQDSSSTQSTGSSQSGQIPFQHCSSTGSTFKRTEENNMGCLIGSSSIGSPNLTVHPPLMDHSQSLAHVALHYADPGYNGLLSASYGQQYKAYSIMSSNSDQLLQGQLMETASVRIPLPSDMAEEPIYVNSKQYHAIMRRRQCRAKLEAHNKLIKDRKPYLHESRHVHALKRARGAGGRFLNAKKLQESKLDSPNHGQNVSTGYTCLNLNGNMSEPKMHDQVENYRDDASDVAYGSNRNEMFQQQQELEFRLCSYPSSQTGRNMQDYTSDKNVGANQRRLSVRM >RHN82204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51923857:51924879:-1 gene:gene6345 transcript:rna6345 gene_biotype:protein_coding transcript_biotype:protein_coding MHKKWALKYRLEQNGDQLLEAPDVNAEIIPNAAIDQPLEVPNVNPEIKNAADDHSPEIIDVPSELIDVNVDMPNAFNKKRSLEAGEDDSDYEPNKRGRNDDVDSSQEIKDTDSDTDSLQVSKSADADTAGGSKAGSLYTNEQSDGLEYAIDKYRKLGLKIGPEMWKRIRSDRDYSTLLKGRTDSSFRNRHRSNIEKGRIPPIKKENEIFEKHGLDIAAHRKMIQEKDKRNEEKVEKENENCEKHGLDIAAYRKINQEENKRNEEKVEKENENSEKYGLDIAAIRKVIQEENKINQSVIAKEVTNSVINKLAPEFDGTIHKAVNKTLGSLFTSLSKVYSEK >RHN78270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13455989:13458011:1 gene:gene1804 transcript:rna1804 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIVGEEKESSVNEAPIFEFNQLSTLLLWKLHNLKGFYAGNHTLACPSLRNINVSRCTKLKLFRTLSTTSSNFRDDRHSLLMQQPLFVAEEVIPNLELLRMVHADADMIFQTQNSSALFRKMTIFGLSCYNSEEPRFPYWFLENVHTIETLYVEWSCFKTIFEDKGEISERTHAQIKNLTLNELLEQNVFHNEPY >RHN53987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5986539:5988768:1 gene:gene28962 transcript:rna28962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MAVELMIGHKNNNLTNKFQENAVQEAASGLQSIEKLIKLLSSSQTCSSNSNPNSMDYKTVADVAVSKFKNVISLLNQNRTRTGHARFRRAPLPSTTATSETRVYHATPLQQIPPLIANNIEVIDKNIIDFSYSSQVSSSFGKSCVSSCSLKRKCGSDNFGNGKCGSSSSQCHCSKKRKMRLKRVVRVAAISLKMADIPTDEYSWRKYGQKPIKGSPHPRGYYKCSSIKGCPARKHVERALDDPSMLVVTYEGDHNHSLSMVEANNLILESS >RHN51983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29505976:29506350:-1 gene:gene36573 transcript:rna36573 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSFQNDVPWRASSSSAKPIPKIHHSPILRVSQNPFSDYAISIMRHPDPIGDGLGDDAIVEAAGPECIIFGQVTPIKLLGLKVWPIKVDLKFLEPIGRELKQQLGKVMDDVEELMSKSFIDR >RHN38618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:758795:762993:1 gene:gene44575 transcript:rna44575 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEGFVEADNAEAIITRIEHKTRKIEALLKQYKPVEALKTALEGTYAMTSDERCKSAHWIVVHRAIMAIKDVDGMLSSLDPEYYDILMKYLYRGLSTGDRPTCDQCLRIHEKLTERAGHGCILRFLTDTVNTV >RHN44409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4399250:4401506:1 gene:gene38528 transcript:rna38528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoflavone-7-O-methyltransferase 6 MASSINNRKPSEIFKAQALLYKNMYAFVDSMSLKWSIEMNIPNIIHNHGKPITLSNLVSILQIPSTKVDNVQRLMRYLAHNGFFEIITNQELENEEEAYALTVASELLVKGTELCLAPMVECVLDPTLSTSFHNLKKWVYEEDLTLFAVNLGCDLWEFLNKNPEYNTLYNDALASDSKMINLAMKDCNLVFEGLESIVDVGGGNGTTGKIICETFPKLTCVVFDRPKVVENLCGSNNLTYVGGDMFISVPKADAVLLKAVLHDWTDKDCIKILKKCKEAVTSDGKRGKVIVIDMVINEKKDENQLTQIKLLMNVTISCVNGKERNEEEWKKLFIEAGFQDYKISPFTGLMSLIEIYP >RHN52525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36380438:36382123:-1 gene:gene37213 transcript:rna37213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MRPVWAVKAMFVVVLASILFRCVCGGNHTVGGASAWDLESNMQDWASTESFNVGDDLVFTYTPLYDVIEVNQQGYNTCTIANAISTHNTGETVIHLTESGTRYFVCGRMGHCQQGLKLEVKVQAQSNNTGTSPPPSSAPRPHSPPSPPNGDSPPSPPHSDSPPSPPDAHAKAPCDCSCADEGRLGVPLITLVIILAFAWSSFFVHLSAIN >RHN62545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44242374:44245629:-1 gene:gene25136 transcript:rna25136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MSKSSLLLVSTIFIAILTSYCCNSFPLSTQKRWIIDDATGERMKLTCAHWVGHATPMLVEGLDKLPIQDIANQIAKSGFNCVRLSYATYMFTRHANDTIRDTLYSLDIPKDVVSAIEKHNPLMLNMTHVQAYEAAIDALGEKGVMVLIDNHVSMAEWCCDNNDQNGFFGDRHFHPDEWLQGLAFIAKHFRGKPNVIAMDLRNELRGGRQNLPDWYKYVSQGASTIHKHNPDLLIVISGLNFDNDLSFLKKKTLDLNFTNKLVYEAHIYSFSGNQDRWNLQPMNWVCSSVIENLNDQAGFLISGNNPVPLFISEFGYDMTGGNAVDNKFMPCFVSYAASVDLDWSLWSFGGSYYFREGSVGAGETYAVMDYDWKNYRDPKFPQKFQLLQKKIQDPTSNLSKSHIMFHPLTGKCAHANGSNNELVLGDCKSHSEWSFEGDGSPIRLMDSAMCLKAEGEGLPATLSEHCLSPQSSWKSVSKTGLHLATSHGNGPLFCLEMESDSSKIVTRKCICIDENDSSCLDNPQSQWFQLISTNFS >RHN77051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3562741:3568134:1 gene:gene460 transcript:rna460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tocopherol O-methyltransferase MVVTTTRISSLLHCTHTFPQHHRDTIITTTTTTLNSRRRKGSLRVSMAAVKEVMVVMEEEEKKKLQLGIAEFYDESSGIWENIWGDHMHHGFYDPDSTVSVSDHRAAQIRMIENSLTFASLSEDQSKWPKSVVDVGCGIGGSSRYLAKKFGANCVGITLSPVQAERANALAAAQGLADKVSFQVADALQQPFPDGQFDLVWSMESGEHMPNKPKFVGELARVAAPGGTIIIVTWCHRDLRPDEESLQQWEKDLLKKICDSFYLPEWCSTADYVKLLETMSLQDIKSADWSPFVAPFWPAVIRSALTWKGFTSILRSGLKTIKGALAMPLMIEGFRKGVIKFAIITCRKPENADGQ >RHN68959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39874078:39877165:-1 gene:gene17384 transcript:rna17384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metallo-dependent phosphatase MVLVLALGDLHVPHRAADLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKTLCPDLHITRGEYDEETKYPETKTLTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTSSNSSAH >RHN54405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9321127:9322046:-1 gene:gene29436 transcript:rna29436 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNYHVRSNSFPSQSHPNSTRIEKELTKMKTWEATSTSTSDSITNGLFLLEDLYISLEDLLNLTSTQKLVSHHHGEKFVEELLDGSMKMLDICGITRDTMLHIKENVQSLHSSLRRRKGDSSIEKSVAEYKFFTKKMKKNVTKLITSLKHMESKFGASSLLKKDQDLVAVITVLREVIKLNLSIFQSVLSFLVGSSSKSKATKWLKVTKLMQKRVTCEENMENFNELQCVEASLRTLTSEGSNVAHERFEALENAIESIENGLENIFRRLVKTRVCLLNIITLS >RHN48745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49876862:49878053:1 gene:gene43495 transcript:rna43495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase MQRHQQPITEMQKGKITDLSKRLDEGLFKAALTKDDYMNLDTLESRLSNFLRQATMHGSSKAHKTKVLPLSTKAPKDIPATTFSTSDHVEPPPKQTLIRQVIVALPSRSPILTESNRLRDEAVDVSQNAIEVDVENVQSPVKNE >RHN41251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28643783:28645180:1 gene:gene47553 transcript:rna47553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MMLMVHYQAGFFCVCLVSLSWSAEKVRKAEGIIFVKLEMFESVDGELFFRAQWYYRAKDTVSHKDHGQLIDPKRVFYSEVQDDNPLDCLVGKLNIARLELNVDFDAKKESIPPCDYYYTFINHTYFSILHLFFKFSSLLISLQICNSENKDIPSETSSIVSSDIEVNGISELNTNIANTKPELKLLDLYSGCGAMSTGLCQGGILSGSKMVTMSFIYLLAFENNHLNTICFRPCFDMILFVVILT >RHN65365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1503018:1508673:-1 gene:gene13194 transcript:rna13194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative QWRF family protein MYMYTVSYLSHTHLSFMEKNARTLSGRSQLTVVPPSRRLVRTQSSGSLASITTPERTSRRFSSGEKLTNSHRSKSTSKIRTENNEGNAKFLDKKKSSKLLQYGVSPDRNGASKRTTLPSAWALSPGRKSLGSPIWSESPPKAVGSNGGNGGGRVGNSVNKVLNYFKQRKVSSMQEEVYHKFKILHNRLLQWRFINARADTSMARVKNAAEINLFSVWLGILMLRKIIIQKRIEVQKVKHTIKLQHILIGQLSLLIEWKKLERKNQESIDKLTKKLLALSTIVPLTHGLKVDTDAISEALNTATKVMDSFEPLIMKYQPQVERILYQVTELTTTSRQEEECLHELVGIVPIITYLLEKEIGIQVHLIQTNMGSDAGN >RHN43995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:611271:615642:1 gene:gene38039 transcript:rna38039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metallo-dependent phosphatase MEFEKQNSNTFCNQIPNFLSSFIDTFVDFSVSGGLFLPPPPSSPPPIPTRLPSPSRLIAIGDLHGDLKKSKEALSIAGLIDSSGNYTGGSATVVQIGDVLDRGGDEIKILYLLEKLKRQAAIHGGNFITMNGNHEIMNAEGDFRFATKNGVEEFKVWLEWFRQGNKMKNLCKGLEETVVDPLENVHVAFRGVREEFHDGFRARVAALRPNGPISKRFFTQNVTVLVVGDSIFVHGGLLKEHVDYGLEKINGEVSDWYKGLFGNRFSPPYCRGRNALVWLRKFSDGNCDCSSLEHVLSTIPGVKRMIMGHTIQKEGINGVCENKAIRIDVGMSKGCGGGLPEVLEIDRYGVRILTSNPLYNQMNKENVDIGKVEEGFGLLLNNQDGRPRQVEVKA >RHN70258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50050457:50053023:-1 gene:gene18819 transcript:rna18819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MDLNMNFEAINRSSSTTTSSSDSCSSETNQNKLDRIKGPWSAEEDRILTRLVEQHGARNWSLISRYIKGRSGKSCRLRWCNQLSPTVEHRPFSSQEDETIIAAHAQYGNRWATIARLLPGRTDNAVKNHWNSTLKRRAGCGGSVTVAGGGNEGGQFTFPLEDDPLTALTLAPPGNGVEDREEMVGDHRFPSPENVPTEFWDVMRGVIAREVREYVSSNFSHNSSFH >RHN56490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31263035:31263571:1 gene:gene31898 transcript:rna31898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ent-isokaurene C2-hydroxylase MKTLQDDIQNEVGNKRMVEEKDLEKLSYLDMVVHETLRLYPVAPLLLPRECRESITIDGYFIMEKTRVIVNAWAIERDSSVWSENYEEFHPERFIDMKMNYEGHKFKSIPFGSGRRRCPGIEMGLITIKMVIAQLVHCFNWELPCNISPSNLNMEEKFRSLYSKGSTLARNTELSLDM >RHN75789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45046133:45047636:1 gene:gene12039 transcript:rna12039 gene_biotype:protein_coding transcript_biotype:protein_coding MQMELKKKPMKDAQAMAEKKSDGLVKDRKGRQLKVTEEKEGPEESDSQVGMELKKKPMKDAQAMAEKKSDGLVKDRKGRQLKVTEEKEGPEESDSQVGMELKKKPMKDAQAKAEEKSDGLVKDRKGRQLKVTEEKEGPEESVSQVGDNSPSVKDYFALVLTGINTVIKELKVNNSSIKETNSTLKELVTVMNKKP >RHN61479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35768230:35772994:1 gene:gene23950 transcript:rna23950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, synaptotagmin-like mitochondrial-lipid-binding domain-containing protein MGFFSTIFGFFGFGVGISIGLVVGYFLFIYFQPTDVEDPKITPIVDQDDETLQKMLPEIPNWIKNPDFDRVDWLNKFIELMWPYLDKAICKTAKNIAKPIIEEQIPKYKIDSVEFQTLTLGTLPPTFQGMKVYVTDEKELIMEPSIKWAGNPNVTIAVKAFGLKATVQVVDLQVFLLPRITLKPLVPSFPCFANIYVALMEKPHVDFGLKLLGADLMSIPGVYRIVQELIKDQVANMYLWPKNLEVQILDMAKAMRRPVGILHVKVLHAMKLKKKDLLGASDPYVKLKLTDDKMPSKKTTVKHKNLNPEWNEEFNLVVKDPETQVLQLNVYDWEQANLLS >RHN70486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51752260:51754565:-1 gene:gene19067 transcript:rna19067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine aminopeptidase, S33, alpha/Beta hydrolase MKRTSHYLKLLTLIPQVHQTQQICKRLCHLVFQTKIQEGTQSSTNHDDSKEVLPRIRLRDGGHLACIERGFPKDKAKYKMKRISHYLKLLTLIPQVRQTQKICKSFCNIKVHEGTHISPNHDDSKEILTRIRLRDGRHLAYIERGVPKDKAKYKIIIVHGFGSTKRMHFPATQGLIEELGIYFVQYDRAGCGESDPNPKRSMKSEALDIQELADQLQIGEQFYVIGISMGSCATWSCLNYFPHRLAGLALVSPIINYNWPSLPRSLIRDDYRRKPVLWTRWLANYCPRLLHRYVTQNWLQSATIAVEKNPAFFSKNDIDILKTLPKFPMFTKDKLRERAVFYALCDDWRLAFGKWEFDPVKIRNPFPHKRSSFHIWQGYEDKVVPFELQRFVSWQLPWIQYHEVPNSGHLILCYKGMCEAILRALLLEP >RHN79452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29064333:29065650:1 gene:gene3245 transcript:rna3245 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLFYHLLVLFFLFFQFTVVSFVVSQLHCEKYLYGHNTKSKSFRHILIKISII >RHN42649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39982669:39982875:1 gene:gene49114 transcript:rna49114 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVIFFSASVWLSFLKFTNKNSMRGLFITGVALFLGLSIPEHFREYTIRALHGPAHTKAGWVSFVVL >RHN73369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15719532:15726256:-1 gene:gene9171 transcript:rna9171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MDTTIIEGRSISKPPYFDGTNCTEWKERTKIFIQSVDYKIWLVIKNGPKIPKKLINGRREVLKSEDEFNDEDIKNMEQEAKAKNILYCAINPDDLERISSCQTSKEMWEELDREVTTSDVSSTSPVPVVQRSASATYNRPNLEFLEETSDDPRKRFLNLCVPIHKHALNGNWPAAKRIIEKENKLKNAAITNGYPTLLHIAAGANHIHFVKELLKMLDDNDITLQDMNGNTAFCFAAAAGNIEIVDLLLDTNSQLPVKRSGNGNTPIQYAALQGRCKMTWHLYDKTTHCFEDADWDSLFFACIYTGIYDLALKMLIGRNALAFARDVNKETALHLLAQNRMPLDSGCHCPEHDHNPIMTNPGMKNHVVFQLVKFLWTTILEKHYSSKEELNKIINEPSQLIFDAAEVGNFGFLSELLSVYPSLIWDVDSKNQTILHIAVLHRHASIFNLVHQIGHIKGIIVTYEDDNDRNTLLHLAAKLAPRSQLELVSGAAFQMCVELVWFEKVKKIMLPAQIKMRNSEGFTAQELFSIEHETLRENAESWMKKTAESCMLISTVIATGVFAAATTLPGGTDDSGKPHYLNKTSFLVFAISDASAFISSATAILIFLSILVSRYGEYDFYKSLPLKLICGLVTLFISVTSMMVALSTNFFIVYYHGLMWVPGFISVFSFLPILLYICLQFSLFSDIIYSTYYWSTLSKPGKNMIYVLEK >RHN59822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13627832:13628218:1 gene:gene21981 transcript:rna21981 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFINEEDKSGDESHGIKEVHSKIKPSTNLGMINLSHFRHRFERQQHLYSVVLLCYIVHALHMLNIMSRWTRNPISDGSHERERRRIDLMRQLVETEKCRDIIRMGPKAFMLLCHKLRGTGIVNDTI >RHN41493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30473757:30474199:1 gene:gene47825 transcript:rna47825 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKVLSIALFVLLGLSMCSATRKLSQEGSGGLPGGGGLPGVGSGEGGGLPGVGSGGGLPGGGGLPGVGSGRGLLGGIVEALPIVGPLLGPILGG >RHN81603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47503969:47506568:-1 gene:gene5674 transcript:rna5674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative D-xylose 1-dehydrogenase (NADP(+)) MSEALIQIGVVGCADIARKVSRAINLSPNATICAVASRNYDKAAAFAAANGYPLTAKVYGNYEALLEDPDVDAVYMPLPTSLHLKWAVLAAQNKKHLLLEKPVALDIAEFDEIVGACEENGVQFMDNTMWVHNPRTAKMAQFFNDPHRFGQLKSVRTCFTFAADSEYLKKDIRVKPDLDAHGSLGDAGWYCIRAILLAANYELPKTVIASREPVLNKDGVILDCGASLYWEDGKVATFHCSFLANLTMDITAIGTKGTLHVHDFIIPYEENEASFHFGTETSFDDLVTCWSKQPVKCTVKTNLPQEALLVTEFARLVGEIKFRNSKPEKKWPIVSRKTQLVLNAVKSSIHRGFEPVQIEE >RHN54341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8848701:8849621:1 gene:gene29358 transcript:rna29358 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRTFIAIRLSFGVVSQVWCSFITFPLYVIVTQMGSRFKKTVISESVRKSLSKWQRRVKEKQSSSYELLNTSTTTSLESLLHGMDNSNHSDLISEIEEGSSSGIKDICHSNFDDVSMIQSNAKIPSYDEDYHDHYHDVKHDLPPPPLS >RHN47988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44069119:44073223:1 gene:gene42653 transcript:rna42653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MAPGGGIFKEVLESHLLTSDYETGKAKSEAKINSTLTKSTSVGLNGKHGTSSKNGVHDLLGCPVCKNLMYPPIYQCPNGHTLCSNCKIEVHNLCPTCHHDLGNIRCLALEKVAESLELPCRYQSLGCNDIFPYYAKLKHEQNCGFRPYNCPYAGSECSVMGDIPNLVDHLKDEHKVDMHDGFTFNHRYVKTNPHEVENATWMLTVFNCYGKHFCLHFEAFQLGTAPVFMAFLRFMGDDNESKKFSYSLEVGAYGRKLIWQGIPRSIRDSHGKVRDSQDGLIIQRNQALYFSGGDKKELKLRITGRIWKED >RHN40923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24425449:24426268:-1 gene:gene47169 transcript:rna47169 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKVLIDPSLEGKTREEMGLEPFTCTEIRSSILGIPVFISQEVIAYVIRRLSEGSFKDGLDNNMNSPWNEVVNKTMFNSKKKGAYSDLSMEKKLLLKIQNENLQPKCGGGDQPSLEHKMFLHYFITKEKANVPRYIFKHMIKELRESQESKKCWIPYGRLISEILHQGEILKALKEVNIFTDAQLGTETGKVINGSTLKKMHLIKKEDYTKLSTDLKESSMVSNLMDDFPSILKQDPRDTPCMVVLNQ >RHN50099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4059626:4060140:1 gene:gene34352 transcript:rna34352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSSSAENETHSNGGYTNRGLKKGPWSSEEDEKLKAYVYSLQAQYPTKKGVRNWNSVSKSAGLSRCGRSCRLRWMNHLDKDVKKGPFSKEEEKLFFELHAKLGGFKWSKMALQRSSCCLVLLAAMLPIKRIDS >RHN51178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14828558:14830269:-1 gene:gene35564 transcript:rna35564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylsterol monooxygenase MPFIIESAWQYLITNFSDFQLACFGTFFLHETVFFLFGLPFIWLERTGWLSNYKIQAKINSPETKERCIIRLLVLHFGVNLPAMIFSYPVFKYMGMHSSLPLPSWRVVLTQIIFYFILEDFLFYWEHRIMHTKWLYKHVHRVHHEYATPFGLTSEYGHPAEILFLGFPTMLGPAITGPHLITLWLYTVLRVLETVEAHCGYHFPWSPSNFLPLYGGADFHDYHHRVLYTKSGNYSSTFTYMDR >RHN78973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20434342:20434727:-1 gene:gene2646 transcript:rna2646 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKIIDDNEENEEDEIDAKRLDESVRKACNIDLSFTQETILKYPEFFDDGGEASNAK >RHN56285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29500723:29518447:-1 gene:gene31657 transcript:rna31657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MTTLRSNWPSRLRQLLSSEGAIGPSIKLDSEPPPKVKAFIEKVIQCPLQDIAIPLSGFRWEYDKGNFHHWRPLLLHFDTYFKTYLSCRNDLTLLDNLEVDSPLPKHDILQILRVMQIILENCPNKSTFDGIEHFKLLLASTDPEILIAALETLSALVKINPSKLHGNAKMVSCGSVNSSLLSLAQGWGSKEEGLGLYSCVMANEKAQNEALSLFPSDVEIGGDQSNYRIGTTLYFELHGPSAQSEELSADTSSPAMRVIHMPDLHLRKEDDLSLLKQCIEQYNIPSELRFSLLSRIRYAHAFRSPRICRLYSRICLLSFIVLVQSGDAHDELVSFFANEPEYTNELIRIVRSEETISGSIRTLAMLALGAQLAAYTSSHERARILSGSSSSFAGGNRMILLNVLQRAILSLKNSSDPSTLAFVEALLQFYLLHVVSTSTSGSSIRGSGMVPTFLPLLEDSDPAHVHLVCFAVKTLQKLMDYSSSAVSLFKELGGIELLSQRLWKEVQRVIELVGENDNMFIAGESSRHSTDQLYSQKRLIKVSLKALGSATYAPANATRSQYSNDNSLPATLCLIFQNVDKFGGDVYYSAVTVMSEIIHKDPTCFSILHDMGLPNAFLSSVGSELLPSSKALTCIPNGLGAICLNAKGLEAVRESSSLRFLVDIFTSKKYVLAMNEAIVPLANAVEELLRHVSSLRSTGVDIIIEIIHKIASFGDENGRGFSGKANEGTAMETDSEVKENEGHGCIAGTSYSAAEGISDDQFIQLCVFHLMVLTHRTMENSETCRLFVEKSGIESLLKLLLRPTIAQSSEGMSIALHSTMVFKGFAQHHSTSLARAFCSSLKEHLKKALAGFSAASEPLLLDPRMTNDGGIFSSLFLVEFLLFLAAAKDNRWVSALLTEFGNGSKDVLEDIGSVHREVLWQIALLENKKQGIEEEGSCSSDSQQAERDASETEEQRINSFRQLLDPLLRRRTSGWSIESQFFDLINMYRDLGRSTGFQHRSISAGPNVRSSSSNQLHHSGSDDNAESVNKKESDKTRSYYTSCCDMVRSLSFHITHLFQELGKVMLLPSRRRDDIVNVSPASKSVASTLASIALDHMNYGGHANQSGTEESISTKCRYYGKVIDFIDSMLMERPDSCNPVLLNCLYGRGVIQSVLTTFEATSQLLFSVNRVPASPMDTDDANAKQDDKEDTNNSWIYGSLASYGKLMDHLVTSSFILSSFTKHLLAQPLTNGDTPFPRDPETFMKVLQSTVLKTVLPVWTHPQFGDCSYEFISSVISIIRHVYSGVEVKNVNGSGGSRITGPPPNETTISTIVEMGFSRSRAEEALRHVGSNSVELVMEWLFSHPEEVQEDDELARALAMSLGNSESDTNDAVPNANENESVQQLEEETVQFPSVDELLSTCTKLLMKEPLAFPVRDLLLMICSQDDGKHRSSVVLFIVDRIKECGLVSSNENYTMLATLFHVLALILNEDTVAREAASKSGLIKIASDLLYQWDSSLDSKEKQQVPKWVTAAFLALDRLLQVDPKLNSEIIEQLKKEVVNNQQASITIDEDRQNKLQSALGLSMKYADIHEQKRLVEIACSCMKNQLPSDTMHAVLLLCSNLTRNHSVALAFLDAGGLSLLLSLPTSSLFSGFDNVAASIVRHILEDPQTLRQAMESEIKHNLLTVPNRHPNGRVNPRNFLSNLASVIARDPAVFMQAAQSVCQVEMVGERPYIVLLKDKDKVKEKEKDKYKSLEKEKVQNGDGKVGVGHTNTAGSGNGHGKIHDSNTKSVKGHRKPSQSFIDVIELLLESICTFIPPLKDDVDPNVLPGTTASSDMDIDVSMNKGKGKAVATGSDGNETSSQEASASLAKIVFILKLLTEILLFYSSSVYVLLRRDAELSSSRVTYQKSPVGISIGGIFYHILHNFLPYSRNSKKDKKVDGDWRQKLATRANQFMVAACVRSTEARKRIFSEISSIINEFVDCHGVTHPGNEILVFVDLINDVLAARTPSGSCISAEASATFIDVGLVKSFTRTLQVLDLDHADSSKVATGIIKALELVSKEHVHSADSNAGKAKPDLQQPGRIDNIGDMSQSMETTSQANHGSRQADQVGPYTGQTYGGSEAVTDDMEHDQDLDGNFAPSNEDDYMHENSEDARDVENGMESVGLQFEIQPHGQENLDEDDDEDDDMSGDEGEDVDEDEDDEEHNDLEHEVHHLPHPDTDQDDHEIDDDEFDDEVMEEDDEEDEEDEDGVILRLEEGINGINVLDHIEVLGRDNNFPNEAFHVMPVEVFGSRRPGRTTSIYNLLGRTGDTATPSRHPLLVDPSSSFPPSTGQSDSLMENNTSGLDNIFRSLRSGRHGNRMNLWTDNTQQSGGSNTSVVPQGLEELLVSQLRQQTPENSPNQDGAEAGSHGNVETSQAQDSGGAMPEIPVESNAIQGVGITTPSIIDNSNDAGIRPAGTGEQTNVSNTHSPAAEMPFEHNDGALRDVEAVSQESGGSGATFGESLRSLDVEIGSADGHDDGGERQVSADRIAGDSQAARSRRANMPPGHFPPVIGRDTPLHSVAEVSENSSRDADQVSPAAEQQVNSDAGSGAIDPAFLDALPEELRAEVLSAQQGQVAQPPNVESQSSGDIDPEFLAALPADIRAEVLAQQQAQRLNQSQELEGQPVEMDTVSIIATFPSDLREEVLLTSSDNILANLTPALVAEANMLRERYAHRYSRTLFGMYPRSRRGETSRRDGIGSGLDAVGGPISSRRSSGTKVVEADGAPLVDTEALHGMVRLFRMVQPLYKGQLQRLLLNLCAHSETRISLVKILMDLLRLDVRRSVSSFGTVEPPYRLYGCQSNVMYSRPQSFDGVPPLLSRRVLETLTYLARNHLYVAKSLLQSRLPHPEIKEPNNTSDARGKAVMVVEDEVNIGESNRGYISIATLLALLNQPLYLRSIAHLEQLLNLLDVIIDSAGSKSSPSDKSLISTPKPSSDPQISAVEAETNAGSGDASNTVNDSSKPTSVDNIIESESQRVLSNLPQSELRLLCSLLAHEGLSDNAYTLVADVVKKLVAIAPTHCQLFVTELAEAVQNLTSSAMAELRVFSEAMKALLSTTSTDGAAILRVLQALSSLVTSLTEDHGDTVNPAALSEVWQINSALEPLWQELSCCISKIESYSESTSEFVTPSSSSASQPAGTMPPLPAGSQNILPFIESFFVVCEKLHPAQPGASHDQSIPVISDVENASTSESPQKVSGPAVKVDEKNMAFVKFSEKHRKLLNAFIRQNPGLLEKSFLLMLKVPRFIDFDNKRAHFRSKIKHQHDHHHSPLRISVRRAYVLEDSYNQLRMRPTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFIGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPDYFKNLKWMLENDISDVLDLTFSIDADEEKLILYERTEVTDYELIPGGRNIKVTEENKHQYVDLVAEHRLTTAIRPQINAFLEGFSELIPRELISIFNDKELELLISGLPDIDLDDLRANTEYSGYSAASPVIQWFWEVVQGLSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSPDHLPSAHTCFNQLDLPEYPSKQHLEERLLLAIHEASEGFGFG >RHN53596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2764700:2766185:-1 gene:gene28520 transcript:rna28520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipid-hydroperoxide glutathione peroxidase MTPETIGEQKSVFDFYVKDAKGGIANLATYKGKVLLIVNVASQCGLTDSNYAELNQLYDKYKDQGFEILAFPCNQFRDQEPETSDKIVEYVCTRFGSKFPIFGKIKVNGFHSAPLYKFLKSGKFGVIFGDDIQWNFAKFLIDKDGQVAARYYPTTSPLSLEVNTMLFLGFTLPS >RHN66626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15309017:15309799:1 gene:gene14660 transcript:rna14660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC transporter, P-loop containing nucleoside triphosphate hydrolase MNKKKKKKKHICDMKDLSGTSKSTLLLIIAGRVKDKDFNPKTISINDHPMSTPSQLRTICGFVSQEDNLLRFLTVKETLLFSAKFRLKEMTPKERELRVENLMQELGLFHVAHSFVGDEENRGVSGGERKRVSIVVDMIHNPPILVLDEPTLGLDSTSALHVIELLSSMAKSKQRIVILSIHQPSYRILQYISKFLILSHGSLVHNGSLESLEERISNLGFQIPMQLNALEFSMEIIQSLEDSTSSSIVDKNETSFPSSM >RHN45172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12592523:12592684:-1 gene:gene39395 transcript:rna39395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP synthase, F1 complex, delta/epsilon subunit MTLNLCVLTPNQTVWDSEVKEIILSTNSGQIGVLKKSRAYCHNFRYRYFENTP >RHN62129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40967337:40969836:1 gene:gene24664 transcript:rna24664 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGHGGDYVLMSNIFAGVGRFKDVERLREMIDKRNAFKLSGYSLV >RHN44721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7732284:7732682:1 gene:gene38876 transcript:rna38876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MFNQEKLMHYQVEPSWSYYMRVRTMEEDQMERIMSIATQSAVVIFSISSCCMCHAMKSLFCGMGVNAMVHEVDEDPKGKEMKRVLMRLLGNSTSLPVVFIGGKLVGSMDRVLAFHINGSLVPLLKDAGALWL >RHN58623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3417304:3417949:-1 gene:gene20550 transcript:rna20550 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTPYTMSLENYNCLSTRNHSILSSYERLGYDPIVCVNEFMSRMKIASLKTLWRKIKREKKRRIFRSSSPVFIYDPNSYLQNFDDGYSTDADNVSRSFSARFAAPCKIFEKNIEVMVDEEIFEINGES >RHN48013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44295466:44300624:-1 gene:gene42681 transcript:rna42681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MGTWYMSRKEIEENSPSRKDGIDLKKETSLRKSYCTFLKDLGIRLKMPQITIATAVLFCQRFFLRQSHAKNDHRIIATVCMFLASKVKESRCHLRDIIFVSNEMIHEKDPAAAQKIKQKEVYEQQKELILLGERVVLATLGFDFDVQEPYGPLIAAIKKFNVANNALAQVAWNFLNDGLKICNQLLEFYEEIGIPLSQGSEAEVAVGGRTKSPASDEQAWKQIPSHPVPQHSSAENSAIQYRGTKNQSTGGSKEMGSDITDRKMDLKTKDSQNSKKLPHIVSRDRDRMVGGNLDLRESPLGYSPKEAVKMIDKDKSKVALEKSRNERGEIIIKKDVMDEDDHIEMKLEDGVELALENEKNKRERRQNWPKTDDEDERQMSMKGQLQKDMNEYIAEEGEVIDMMMLHHC >RHN58990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6430677:6435806:-1 gene:gene20968 transcript:rna20968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MRLFIVRKFTIPSFAMSSGGPATASTNTSPRVAGPTTTRRRVADNNVNNDTEKQQQQSFPDFSELEHQDNDPVSSLLNLNHHAHVHHHLHPITRYFLLRARIFIEHFLLTLSGSVQWFRSGKNVGRKIFAVLIMLVVMSVFFKVSLFIGGGVEMNGKKSIENGQLILQRFKEDWASAQRVVTEESSETHETSMPKRVLERLATPEIWMKPNSDKYYQCVSRPRNRMMKPSKTNGYLLVHANGGLNQMRTGICDMVAVAKIMNATLVLPSLDHDSFWTDPSDFKDIFDWRLFMKVLKEDIDIVEYLPLQYASVKPIVKAPVSWSKASYYRSEILPMLKRHKVVQFTHTDSRLANNGLASSIQKLRCRANYQALKYTVEIEELGKTLVDRLRNNDEPFIALHLRYEKDMLAFTGCNHNLTIEEAEELRVMRYEVKHWKEKEIDSVDRRLQGGCPMSPREAAIFLKAMGYPATTTIYIVAGPIYGGNSMAAFRAEYPNVFTHSTLATEEELEPFKPYQNRLAALDYIVALESDVFVYTYDGNMAKAVQGHRRFEGFRKTINPDRSNFVRLIDHLDEGSLSWENFSLEVKNLHSNRLGAPYLRQVGESPRTEENFFANPFPGCVCNKSQELITSQKLDQRFGIGAQK >RHN71126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56656844:56659789:-1 gene:gene19775 transcript:rna19775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MAVPTKYRLITYSQELVDGQPIFVSSNCLPVKALKYDPAGHSFHAAALKLLGVTKEDNKDADNKKVVEDKEHVYLPSDSYSSKSKKKSGDGDKQQDHYALLGLSHLRYLATEDQIRKSYHKTSLRFHPDKQAAAVLSEQTEAAKEAKKIEIDVHFKAIQEAYAVLVDPVKRRIYDSTDEFDDEIPTDCDPQDFYKVFGPAFMRNGRWSVNQPIPSLGDDKSSIKEVDSFYNFWYSFKSWREFPQADEFDIEQAESRDHKRWMERQNAKLSEKARKEEYARIRTLVDNAYKRDPRILRRKEEAKAEKKRKKESKYMAKRLEEEEAARIAEEEKQRKAEEDKKAAEAALQQKKVKEKEKKLLRKERTRLRTLSRPILSQHILDIAEDDVEELCMSFDIEQLRGLCEKMEGKEVSEQVEALRDALSCKKNVVDEKSNQQNGSVKANGSSSSLAGYIEKKEKPWTKEEIELLRKGIQKFPKGTSRRWEVVSEYIGTGRSVEEIMKATKTVLLQKPDTAKAFDTFLEKRKPAAQTIASPLSTREELEGVSIPATTTENSDAKTTTTIPTPTMTTATIPTPVPTATSSINSEDSQGVSEQEPWSAVQERALVQALKTFPKEANQRWERVAAAVPGKTVIQCKKKFAMMKENFRNKKTAV >RHN82674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55589265:55599783:-1 gene:gene6853 transcript:rna6853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MLDNVDNIIDSTWRLCALVGGWVSGLISKPYTKVVGSAFDGSISRLANERENRYLDYMDQCIYISSSDDELEEIVDPRRIPPIWASERNSDSRRAKSSNASSSNVFNHSQVKPNNQPGPSNGSTSQHQTVNSRISNSNGAGYEKMSSQQAFNRTLPPSFQSSASRALPPSSFAPNNRLSNSSSSQLHDAYKSRHHGVGPSSSGEKGFFRGNDGDRFMNQNGGTRALPPSLMLGKAITPPFASSSEMYRSGAGDERAPETDERLIYEAALQDISQPLKEADLPAGIMSVPLMRHQKIALAWMLQRENRSLHCLGGILADDQGLGKTISTIALILMQRQSQIKWKTDDPRNHKAEALNLDDDDENGSIDVEKEKLKNDEESNDAKPITEPSSSTQAPGRKRPAAGTLVVCPASVLRQWARELDEKVGDEKLSVLIFHGGSRTKDPVELAKYDVVLTTYSLVTNEVPKQPLVEEDDIDEKDGEKFGLSSDFSVNKKRKKLYNGSKKGKKGRKGLDGSSFDCGGALAKVGWFRVILDEAQTIKNHRTQMARACSSLRAKRRWCLSGTPIQNTIDDLYSYFRFLKYDPYAVYKSFYNTIKVPISRNSIQGYKKLQAVLRAIMLRRTKGTLLDGKPIITLPPKTINLEKVDFSYEERAFYKKLEADSRSQFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKEYNSDPVGKDSVEMAKKLPKEMLINLFNSLETTSAICCVCNDPPDDSVISMCGHVFCYQCVSEHLTSDDNMCPAVHCKEQLGEDLVFSKATLRSCLCDDLGGSSSSNSSLVDYSLVQNSEYSSSKIKAVLEVLQSSCKLKTPGLLNTPEGNRDSLPSDDSDIEDFDSDVKVTKHTSKYSECTSGGPLKAIIFSQWTSMLDLVETSMEQSGVKYRRLDGRMTLTARDRAVKDFNTDPEITVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVTRITIKDTVEDRILALQEEKRKMVASAFGEDHAGGSGTRLTVDDLKYLFMV >RHN53353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1154556:1155203:1 gene:gene28253 transcript:rna28253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MQEVKWGENSMIEAERRLLANALLDFSNQRFVLLSEHCIPLFNFSTIYTYLMNSKQTFVEAIDIPSDVGRGRYNRRMRPLIQLSQWRKGAQWFQIDRYLAVCIVSDKPYFSVFKKYCHPGCYSDEHYLPTLVSIKFWKRNSNRTLTWVDWSRGGAHPAKFSSKDVTIDFLERLRFGSTCEYNGKTTNVCHLFARKFGTQALDGLLTFAPKLMQFN >RHN56330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29908513:29909043:-1 gene:gene31714 transcript:rna31714 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAEEENWKENWFLRGYIYVEATDITGHSRFGTVESRFGTKPRQEKEREREGIEGNRNSRSSRDPSHNMVSWLILFPMLLICISMSS >RHN45389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19137685:19138820:1 gene:gene39698 transcript:rna39698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MAKIHGFKLGKRLVWVSRLVFKRTRIRGGYHRFDCPAQSPAMKFIKWGRKITTGAMKLFNRSSYTRLGSSPKFSVPKGQMVVYVGHKEEEINRVMVPVIYFNHPLFSELLKDVEEEYGFNHQGGITIPCRFTEFERIKTWIASGSRNWTS >RHN64185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57132908:57134664:1 gene:gene26972 transcript:rna26972 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQKGSMDSGASRKRMKPGVQEKIGDEAESQIVLVQEEGFETNQVGSEEMELNISLVLEKIENFTQRVSELLESGKTMLKELCNEFEEKLIMIHKEQVEKWQEEIKELRALDASNEEANALLQNARYVLQLTRND >RHN63299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50187573:50191526:1 gene:gene25984 transcript:rna25984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylsterol monooxygenase MIPYQSLHDAEISLGRNLTFAETLWFNYSATKSDYFLFCHNILFLFLIFSLVPLPLVFLEIKRLYPFDEYKIQPKVRLSLHEMLNCYKDVLKMFFLVVGPLQLISYPSIKMIGIRTGLPLPSGFEILSQLLVYFLVEDYTNYWIHRFLHNKWGYEKIHRVHHEYQAPIGFAAPYAHWAEILILGIPSFLGPAMVPGHMITFWLWIALRQVEAIDTHSGYDFPWNPTKYIPFYGGAEHHDYHHYVGGQSQSNFASVFTYCDYIYGTDKGFRYQKKILQKLKEDSTNGAAQNGRSYSEQENYKSE >RHN49825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1486815:1487987:-1 gene:gene34047 transcript:rna34047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAASSVVSTTKTKQNLSDPLGLFSLLSPDLVLEILYGLPVKSLLTLKCVSKPLNSLISDPKFSKDHLRLSQTRHNNLLVCPYFNFKDLEFVFDSRLPSIFNNFTSTISETKLYFPIDNCYNVSLVDSCDGIICFKTTDYYNRHVRLVIWNPCTRNFKVLSLYENPPSLTSKTSYSFGYDHFTNSYRVVVVFCYQLNKSCKTLVKVSTLGNDFWRTIPDFPSQIMGQPERHPGKFVSGTINWVILDRENDSSRVILSLDLGNESYQEILQPNYGLDEPLHNFSLGVYRDYLCVLAHTKSFLDIWVMKDFGNKESWTKLFIVPFAEFLDYYGYSRLGYTRLLYISEEDDQVVLDFYNKIYVYNYKNRISKIPEIHGFTRFTSNVYAESLISP >RHN59945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15187857:15202648:1 gene:gene22117 transcript:rna22117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MVKMTENYKLRCALRHDDDEVRGIAICGNTGKIVTSSDKSIRLWTVQGEHLWKHTYPSPVQCISWIPSNPTLQSGGVSVCMKNVVLVWDLDSRKMVKSLVGHESQVTGIVVDGDDLVSSSIDGTLKRWRNWECMETWAAHEGPIQAVVKLPTGELVTGSSRILESRDSILKMWKGKICLHTFHGHTDTVCGLAAMSDRGILSASHDGSLRFWALNGQETKNMYGHTATVCSVDSLASGLIVSGSEDRSAKIWKGFSCVQSLEHPRCVRDTKFMENGDIVTACSDGLVRIWTVDTNMGDTPDLLVQRFNATIKEKEKVAEKPKVECVVNTDPMRFRPSRNMVDTTNLLVQRFNATIKEKEIRPSRNMVDTTDLLVQRFDATIEEIEKVAEKRKVECVVNTDPMRFRPSRNMVDTTDLLVQRFNATIKEKEKVAEKPKVECVVNTDPMRLVAVDIGGGRTLQYNRSVNVYAAAGRWLIENSEPFQHLLTIKDTILEATGQKDNIFVAPISHKTSYIPPHFKYLPERKTNFDNSIDYAANRANIVDHKEKLLTDSEITTMKSIYGRLESPYDNDPVFQASDIDLLLGMLSSWPPSRISHVIDITRMTVLYHSGANLLMGKIYPCKCPDNDVLMKVIKSNSQTKENLLANIRAVTNLFRSSWCFGWLQAHRIEILSSFSSWHLLGVHDAYSVLILNYAVLLIPSSPSHDGLYHILFAALEILRKNVSFDSKFNALRAVGSMLLLKKGLLENIGRDFVWSIILKASEGCSDSEFHKLQSEIKEVLGI >RHN70099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48739496:48743431:-1 gene:gene18647 transcript:rna18647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MHPPAPANSVPGNTIDPSLIRIYRAWKGNNVFFLGGRFIFGPDVKSIFTTLFLVIAPVAVFCAFVARKFFDDFPNHSGYSILILVILLTIFVLIALILTSGRDPGIVPRNSYPPLPDNYDGSDSNNSEQNPPPHLPRSKEVIVNGIAVRVKYCDTCMLYRPPRCSHCSVCDNCVERFDHHCPWVGQCIGLRNYRFYYMFVFSATMLCLYVHAFCWVYIVRIKNSEEISIWKAMIKTPASIALIIYSFIAVWFVGGLTCFHTYLISTNQSTYENFRYRYDRQVNPYNKGVIENFKEVFCSSIPISKNSFRSKVPREPTESSRRRGVDTLMMPVYNEADEVEKDYKDEEYGKSSDLSDTSVDLGSMLHTERGQRQVASFLRQSLWEQSSRKWETASDVLDEVHEDGESKRITSDSSNEPDGNSTKTPL >RHN66215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9771641:9775705:1 gene:gene14158 transcript:rna14158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MPMRKPDLIMGKDNNNINKSKLRKGLWSPEEDEKLVRYMITKGQGCWSDIARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIIHFHSILGNRWSQIAARLPGRTDNEIKNFWNSTLKKRLKTNNNNNSTPSPNNSDTSDQPIDNVFDVKNNMIMPIHEQELMTLCMDSSSSTSSSSMQSMHMHMHTMVLPDQFDPFSLLSNNHYDMTSFHAHDLPNSCLTQVGNMVDDIGLHSDDHYGILEANKMGLLENDFELPPLESRISIEDKCALIGDDHNVKSHNNHFNNSCFNNTNHIQNSNEDLFGFGNHGQGENLRMGEWDLEGLMQDISYFPPLDFQV >RHN55319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17288711:17289568:1 gene:gene30485 transcript:rna30485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MNQIFFEDKEDFMKLFFGCPKLEYLSIHGIKANGTIVEANAGVPVGGYFKHLSKLTRARISLISVPLIAVYNVKFLSVWIERSLSDKEINSFDKSLPMFENITRLQLSWSPSISVHDWEVVLKMLQNCPKLQTLTIVKANNSTTIDWEYPDHVPECVSSHLTNFKVIGSEACEADFRFATYILRNARLLQVMSIHHSLHANLSSCPRISPTCKLIQ >RHN55557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19498383:19499738:1 gene:gene30758 transcript:rna30758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MPSWSQTTNIDLMVILTMRFYSSFVLLLFCFCRLSLTKTQNHGFNVELIHPISSRSPFYNPKETQIQRISSILNYSINRVRYLNHVFSFSPNKIQDVPLSSFMGAGYVMSYSIGDLGVDTLTLNSNNGTPISFKNIVIGCGHRNQGPLEGYVSGNIGLARGPLSFISQLNSSIGGKFSYCLVPLFSKENVSSKLHFGDKSTVSGLGTVSTPIKEENGYFVSLEAFSVGDHIIKLENSDNRGNSIIDSGTTMTILPKDVYSRLESVVLDMVKLKRVKDPSQQFNLCYQTTSTTLLTKVLIITAHFSGSEVHLNALNTFYPITDEVICFAFVSGGNFSSLAIFGNVVQQNFLVGFDLNKKTISFKPTDCTKH >RHN41185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27969351:27969812:-1 gene:gene47477 transcript:rna47477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MIAIKVIDLQSEAKAKSFDVECNAMRNLRHRNLVKIIFSCSNLDFKSLVMEFMSNGSVDKWLYSNNCCLSFLQRLNIMIDVASALEYLHHGSSIPVVHCDLKPSNVLLDKNMVAHVSDFGIAKLIDEGRSKCHTQTFPTIGYIAPGHFFSFTS >RHN73859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20722543:20723730:-1 gene:gene9742 transcript:rna9742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGICPSKFRHEINSPSPVILPDDLIAEVLAFLDVKSLTQLKCVSKSWYSLISDPFFVKLHLDKSSQKPHLAVFSAQFLTQHGQLTAFSLHRLLENQSTDVSIDDYTNYRMTMDNEYYRMVGCCNGLFCLLRYSKTEGYEEFSLRFWNPAMRSLTDELSSISISCNDNNDFRFSFGYDNLTNKYKVVSFRPSDVRVFTLGENNVWRNFQSFPMIPYLSISLNVGVYVSNSLVWLALRNKVYYAYDQWENLKTTIDQFVIISLDLGTETYTQMLPPRDFDEVPLFMPIVSVLMNCLCFSHCSKENNFVIWQMREFRVEASWTKLFKFNYQNIYPVNGHSDYRHLLPLHFFGDSLILASNGRQVVLYNRRENKVEARNTNAYYWCFAKHYVESLVSTF >RHN51389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17126660:17127886:-1 gene:gene35819 transcript:rna35819 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSDLSSESDCSESDDRDCVLLYSTSENNLGKEIVASSPSKMVRGKGIRLACHEFDTECFSDDVQRYDSAYNDQAKVNFFRSKLSVSSTKREEDIVLAPCPAGEKVCTMCRKGVKEIFHMYGAVLEEFGVKIPFNLFEMDVLRLLNVAPTQIHPNSWALLSFYGTKGVDKGSWVPISAHPGKQLFPAFASNFKRDWKKSFLRVQSSKDSLVSVASVEGELRFPLGWTATPLAVSGYDYQKMIPYEQGVVGFLDRMLHTDIRKLLNKEGDLEDLELYLLPTLPLSGKERRKYLEALKEKHASGEHISSDPAGVILRKGTKKRENVANSELAAGEVDTMPEKIAEGEVMVNEVNDLTVSP >RHN56737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33314359:33320074:1 gene:gene32178 transcript:rna32178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, peptidase, FtsH MAASTRLITQQLLTCSIHQTRMQQVERRQSEFGKVKELLSRNYVSHNKFEGCPKNRLFISQSRYLGNLVRPLCGSSEAEKSTSPKELYDENDPEAVIRAFESNPSLHTNYLAFSEYVKALVKVGRLSESEFLKTLLRAISNSAKKEESSIGGIAALTNVGEPTRDGILETTSAPTDMVAALEVQPSLETSTKLSDMKGVGEAKAELEEIVDYLKDPKHFTRLGGKLPKGILIVGPPGTGKTMLARAIAGEAEVPFFSTSGREFEEMVVGVGAQRVRDLFAAAKKRLPCIIFIDEIDAFGGKLNSNDQMYMKLTLNQMLVELDGLKQNEGIIVIGATKSHKLIDEALLRHGRFDRLVVVRKPDEEGRREILEYHMSKVLKADNVDLMKIAQFTPGFSGAGLANLVNIAALRAAKDGAEAVSTLDLEFALDMIIMGSQRKSVVISEESREKTAFHECGHALVAIYTDGANPIDKATIVPHGGYRGRVYYLPRDKDENRLSRKRMLAKLDVSMGGRVAEVLIFGQSGVNAGACSDIFKATSLARRMVTRYGMSTEVGPVSHDYFDNGRSMSSETRLLIEKEVKNLLERACNNAKTILTTHQKELHVLTKALLKHQTLTGKQIKDLLANGQSRVVKAKAKFGLMICDGMEWNEIE >RHN79512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29765125:29765508:1 gene:gene3312 transcript:rna3312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MSQTQKIPLLCVLILLSVHNVFGVRVNVKNNLEGNLDLTLHCKSADNDLGAQLLHFNANFGWSFGVSIIGNTQYYCSFQWKGGFHYYDIYIARRDYNVCDPCNWYITKSGPCRVLGHGSAVCDSWKK >RHN75105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39432005:39432964:1 gene:gene11264 transcript:rna11264 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCFFLICVLHSTIALTCGSLMVFYSEEVHVLGHGTKTAIKLQGSTPHDQLLIQTSDSFSGLLLFTIGFLVFMVACVKDMEFQSFFAKGCVFLHISMAVWRFYFVGKVEELACDWPRHVVGDLALAISWVFFLVYMWREKYD >RHN39523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7870131:7874707:-1 gene:gene45552 transcript:rna45552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MANEGNKSNDFYAVLGLNKECSDSELRNAYKKLALKWHPDRCSASGNVKFVEEAKKKFQAIQEAYSVLSDSNKRLMYDVGVYDSDDDENGMGDFLNEMVTMMSQTKSNENGEESFEELQQLFDDMFQADIGLNGSTSLNASGCSTSSTFMTFSESSNSNKRNSTQMNFGKAEDSSSFGANYQNFCFGVSQSCKLSLPMNEDTKQWSMGGVYRSDEAPSRRCGEGKRGNSRRRR >RHN51330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16301096:16302615:-1 gene:gene35754 transcript:rna35754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase chromatin remodeling SET family MVRRSRILVDSIRVSGLLEEENICKNKKNLKASSMINQTEEVNNSRVQNDLIAATLMKDHGMYLYHDVKIIGTVPGVCIGDIFRYRSEMCVIGLNGQPQAGIDYLHASMSSNGQAIATSVVVSDGYNDDDQGDSIMYFGHGDMKQDQKLGRGNLAMVTSIQYEIDVRVIRGFRYEGGTSTTSSKVGVYQFMLSRVQGQPKMGSMILKEASMLMRGHLCDNHMYVLSDDISNGKENIGVRLYYEIDSDLYPMQFEYLPKAAFPMLLLPQSMTTRKKMRVIECSECVDGCVSSIMNSNTTPYSKSGILWKGRSLIYECGPFCSCPSHCRNRVTQKGIKYRLEVFRSNETSWGVRSLDPILAGTFICEFTGLVLTREQAEILTMDGEHNSFIIYPNRFLNRSTQEWGDLSMIDANHVHPAYPTLDFALDVSMMRNVASYISHSPTPNVFVQLVLFHHGNWMFPHLMVFAMENIPQMRELSLDHGVEAR >RHN72428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7518429:7519159:1 gene:gene8137 transcript:rna8137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MMAAPRYHHLTRTDQWPPAACINSFRRCKHPIPKYFTLHGLWPSNRALPHPEWCTPPLFDPNEIVGLVSKLSVEWPNLFGADEILWRHQWEKHGSCTPFKEYDYFKLGIELMEEFNLTAILENNAIIPRVAPYRTQDISDAIEYSNLGVKPSLICVGVFLTEIKLCLDPLAQKYKVCPYLSKNCPNKLHLST >RHN39351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6292979:6297003:-1 gene:gene45364 transcript:rna45364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGNQKLKWTNEEEDALIAGIETHGPGKWKAILLDPQFGPLLTSRSNIDLKDKWRNMNVNNISQVPKFPKCKPDSPAPVSSSGAAVDQTVALPSSDVVNNVPPPPPPPLQIEQDVVKNNTPRYDVMIYEALSTLKDTNGSDVIAIASFIEQKHQVPQNFKKSLKARLRMLVGHGKLEKEQNCFKIKEALSVKKSPSPKQKEAKPSPSPKRKMVRPKRQSSDSDDMLKEAAETAAYIIAETENKSYLATEAVKETEKFSRMAEGNDAMLLLAEQVYEQCLRGETIKWA >RHN40727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19998077:19998594:1 gene:gene46921 transcript:rna46921 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVISVLTNKYELTTIPKRPAFYVRNEIFDGESTSKVSDTYSMTGISTSCEVEVKI >RHN69724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45820952:45822788:1 gene:gene18235 transcript:rna18235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MALAALASCSFSITTFHPYYPSNPSHSRSPSTFSPHLQTQSSFSFLAKSPPPNNHFFRFHFRPSILCRCSSYSPNVNTYIGTLVSLFEEIGISFKQTELLLLNAPELNSIPLDSLRDRVFSLYSLGLDRVSINHLVTERLTVLTANEIDPLLSFLRNELQGQLEKSKLKRLLLANETKNLSGFPQKVRLLVDSGIPVDKIVHVLNKVNLSKAICHRSIDEIERIIDFLKPFGGIHLIVKHPVILNCDLHNQLIPRIRVLTALSGGDEDSIGKVLNRFPIILNYSVEHLEEHIKFLRCFADLDDQQIFKIVLVFPAIFTSSRERKLRPRIQFLKECGLDADEIFKLLTKAALFLSISFRSNLAYKLGVLVKIGYKYRTKELAVAIAASTRISCENMQKMVSLFLNYGFSLEDIFAMSKKHPQILQYHHASLEKKMDYMIEEMNRDIQELLDFPAYLGYKLDDRIKHRYEIKKDLRGEQMSINKLLTVSSENFTGKRKKVSSVKSELK >RHN40880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23643298:23644264:1 gene:gene47123 transcript:rna47123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNLKELDVEDCNSLEAVFDLKDEFAKEIVVQNSSQLKKLKLSNLPKLRHVWKEDPHNTMRFQNLSDVSVVGCNSLISFFPLSVARDMMQLQSLLVIKCGIQEIVAREYGPDEMVKFVFPHLTFIKLHTLTKLKAFFVGVHSLRCKSLKTINLFGCPKIELFKAETLRHQESSRNDVLNISTYQPLFVNEDVRVLVNVESLSLNKKDFGMILKSQYSRVQFNNIRHIIVVIPR >RHN40060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12898248:12898951:-1 gene:gene46155 transcript:rna46155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MEEYHIKAMEEVMRGCEFAEQLQQLLLNNNTNNLMKTPTSIFVQHLVNNVINSFNNTIFLMSKGNPSDQIQKEFSSIAPVVRSVDFKENWKKTSATRGCNKRRNITQTWEKVTQTPTDDDGHQWRKYGKKKINNSPYLR >RHN55184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15855181:15857946:1 gene:gene30324 transcript:rna30324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative formin, FH2 domain-containing protein MLYGKCQLPKPIAQDKEENKTCNDHQKEKKHKSISYFRNLIVIVNLCSSSFMSLNMMFLLLSHVLILQTFLIPTFYCQTNSPQNIETLYPIEIPEQATITQPPNPQESPPPSPSSSQGPISSTSSTNSSTNSKIVTAVAATAAGTLVLSALIFFFVNKCCRAKRRNVIVNNNNTSSRPSLEHRNAVPQAKAFERIEGNIKGLIVDEDGLDVVYWRKLQDQNINKDLQKGVVLDSPRNNEGYDHEENQEEKSESIQEMHLLRGKSSTSHMNIFPQEESYTIMKITPPAPPPIVPTTQPFSLNLLPSSPKPPSTSFSSIPQISSPLVSSIPSISGDRKSQKPPPPPPPPPVPDRKISAPPPPPPPPIPNRKSPSPPPPPPPKTGGLKLKSSSKPPPTPIETTSMKNKQGSSSSEVKLKPLHWDKVNTNLDHSMVWDKIDRGSFRVDDDLMEALFGYVAAKPKSNTPKGKESTSPSRDASTNAFILDPRKSQNTAIVLKSLAVSRKEIIDALVDGQGLNADTIEKLSRIAPTEEEQSNILEYEGDTEKLAAAESFLYHILKAVPSAFKRLNAILFRLNYDAEIVEIKEFLQTLELGCKELRNQGVFVKLLEAVLKAGNRMNAGTNRGNAQAFNLVSLRKLSDVKSTDGKTTLLHFVVEEVVRSEGKRAVLNRNHSLSRSSSNRSSSSSSSSGDSKNSATSNEQKQREYTTLGLAIVGGVSSEFSNVKKVALTDYNSFVGSISALSARIVDIRQLVLQCGNNGKGGKFVREMNHFLENAEKELQLVREEQTRIMQLVKRTTEYYQGGASKDGAGEQTLYLFVIVKDFLGMVDQACIEIARNMQKKKTPKTKF >RHN63846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54416896:54418003:-1 gene:gene26600 transcript:rna26600 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSKNNKNEVAKKPRLKIVKLLLAKQKKGGKFWKKYCVSRTAVMPSVVRKVGIMCVSGLCYA >RHN71170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56911824:56914413:-1 gene:gene19820 transcript:rna19820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proline--tRNA ligase MFFGCCSAELIEHYDISGLYILRPSAVEIWETLQAFFDSEIKKMNVENCYFPMFVLDCHLKKEKAHNEGFKQVVRVFKFVWFAPKYLKIIALLNHLLLPNYFINVSHFTDVGFVVAWVTKSGHSDLEAHLALRPSSETIMYPYYSKWIRGHRDLPLKLNQWCNVVRWVVGDPTPFIRSREFLWQEGHSAFATEDEAHAEVFRQVAFIPNTGCGIQGATTHCLRQKFAEKFEVKFEDMKGEKAMVWQNSWGYSTRTIGAMVMVHGDDKGLVLPPKVASTQVIVIPVPYKDQKIRAELDSRDNCSPERKYSDWELKGVPLRIEIGPKDLVNKQVCVVLRDNGAKMDIANADLVEEIKKLLNIIQQNMFDVAKQKRDECIQVIHTWEEFKEALNQRKMILAPWCDEKVEIVCFLIQL >RHN77333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6076088:6080017:-1 gene:gene778 transcript:rna778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative origin recognition complex, subunit 2 MNDNENWEEEEEEEEDLEFSRNYFLAKELTSSVKKSKHKITDIDVVDEQELREASLHIQPKHEKEIAVLIDSYAAVYPEWLLSLRCGFALLMYGFGSKKALIEDFASKTLTEYSVVVINGYLQTINLKQVLIALAELLCDQVKAKRKVSGRQPSSTQSIEDLLTLLYEVEVEDSDSFVCVVIHNIDGPGLRDSETQQYLARLASCTHIRIVASIDHVNAPLFWDKNMAHTQFNWCWHHVPTFSPYKIEGMFYPLILAHGSASQSVKTAAIVLQSLTPNAQSVFKVLAEYQISHPDEGMPISDLYSVCRERFLVSSQVTLNSHLTEFKDHELVKTKRHSDGQDCLHIPLTTEALQKVLLEIS >RHN74729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36181107:36184158:1 gene:gene10837 transcript:rna10837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLCSGQLNNESIYTVKALRLRCFHDESEKFPTGFLQRFINLENLKVSCSSFTEIFSSGSFGTEHSERKMKLRKLVIVELNNLEFICEEKSEMHVLQDIEILAVSKCSRLKSIVPSSVLFGNLEHLEVRYCAGLENIMKSSVVISIQKLRKLCIHDCEKIEEIIASDDESDASELAFMKLKYLKLINLPRLRSFCKGKHSLKFPLLKKLFVVDCPMMETFSHGVLNAPKLRAVHVKEGDEWHWNGDLNTTIRKFVAKPNSKGD >RHN45192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12839227:12843943:1 gene:gene39420 transcript:rna39420 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTKEERRAARAQQVVVQGIAHSSPRPDEHIGGSTAHPKDPPLRTNSKNSRPNFSMVVIPEVVEEHVELEASEPPPYVGSSGTRRSELATFKSGADDPSLWDVEFPFSHTLNEVSNQRDKAKMKKLGINESLKAMRAYSLWTSALANSTERLCLDQR >RHN41435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29996973:29998200:1 gene:gene47753 transcript:rna47753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-binding, CRM domain-containing protein MALMMVERFGVGVAGITHELVDAIHEKWMVDEVVKFKFDSPLSANMKRANQILESKTGGIVVWRLGSSIVLYRGMSYKLPCVESYTKVYNANENAVDNSVDVRSGSSVEVSVKVMVGPAESFNRDSAEYLKDMSEEEESMESIKLNLLLDELGPRFKDWTGREPLTVDADQLPVVVPGYKTPFRLLPYGVKPCLSNKEMTVMRRIARRTALHFALGMQEAL >RHN69882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47087253:47091192:1 gene:gene18411 transcript:rna18411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MQIARWRNILSMKNHIIPPVSHFSAFHSTPCSCHKWNSNPDSRKGQQQPSKDHIRFVTRQKRADAKKALKHLLYSGGSSRFSFEDKKTNWKLDGNSDARSSSYSNKGQPKSGQRFGGKPQKKNKRKIRRQSFCEEGDGQPEQVFHATFGNRSYTWSFCNTNGSSSEHSKYGFEWREHTNKTNRNKWKSASDDEDDGNDKDDGSCRVGSSSDRTILGLPPTGPLKIEDVKIAFRLSALKWHPDKHQGPSQAMAEEKFKLCVNAYKTLCNALSPA >RHN41964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34372057:34377535:1 gene:gene48344 transcript:rna48344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAFRESSSSSSSFFSYGFTYDVFLSFRGLDTRYGFTGNLYKALYDKGIHTFIDDEELQRGHEITPSLLEAIEESRIAIIVLSKNYASSSFCLHELVKILDCIKGKGRLVWPIFYDVDPSDVRKQTGSYGEALAMLGERFNDNNLQIWKNALQQVANLSGWHFKIGDGYEYEFIGKIVEHVSKKMNRVALPVADYPVGLEPQVLEINSLLDIGSDDEVNMIGIHGSGGIGKTTLALAVYNLIADHFEALCFLENVRENSNKHGLQHLQKILLSETLGEKKIKLTSVKQGISIIKHRLQQKKVLLILDDVDKIEQLEALVGGFYWLGSGSRVIITTRDKHLLSSHGVKRTYEVNVLNEKDALRLLTWKAFKTEVFHPSYFDVLKRAVGYASGLPLALIVIGSNLFGKNIQEWESALHRYEIIPNKEIQNILKVSFDALEEDEKSVFLDMACIYIGKEYQLANMENMLYAHFDACMKYHIGVLVEKSLIKISWTGKYIVHDLIGDMAKEIVRLESPDEPGKRSRLWFHEDIIQVLEDNSGTSAIKSIYLMECDDEVELDESAFKNMKNLKTLIIKGGHFSKGPKHLPNSLRVVEWWNYPSEYFPYDFNPKKLAIFELPKSSLMSLKLTDLMKKFLNMKILNFDDAEFLTEIPDTSSLLNLELFSFKRCKNLTTIHESVGFLEKLKVLSAQGCRKLRKFPPIKLISLEELNVSFCTNLESFPEILGKMENMKNLVLEETSFKEMPNSFQNLTHLQTLQLRCCGVFKLPSCILTMPKLVEIIGWVSEGWQFPKSDEAEDKVSSMVPSNVESLRLTFCNLSDEFVPIILTWFVNVKELHLAHNNFTILPECIKECHLLRVLCVDECHYLQEVRGIAPNLKILYARGCKSLTCTEMFMNQELHEAGSTMFYLPRSRIPDWFEHCSSNGSSFFWFRNKFPAIALCLVPSSIFVESTIYPIVIINGNECKLDSRDRFPHLSVEPDHTYIFDLQMIKFEDNLDEALLEDEWNHVEIMYQGENNALVPIESGIHVFKQKCITDDIRFTDP >RHN53327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:995810:1000599:1 gene:gene28223 transcript:rna28223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase TKL-Pl-4 family MDFEGEGVRTPKVELEEGAKSVMNSKMKGAGNQSSKDMIFRADRIDLKNLDAQLEKHLSRVWSRNTNETKRPREEWEVELAKLDLRYVVAHGAYGTVYRGTYDTQDVAVKVLDWGEDGAATAAETAALRASFRQEVAVWHKLDHPNVTKFVGASMGTSNLKIPTKNSSTNNQENLPSRACCVIVEFLPGGTLKQYLIRNRRKKLAYRIVVQLALDLSRGLSYLHSEKIVHRDVKTENMLLDGNRNLKIADFGVARVEALNPSDMTGETGTLGYMAPEVLDGKPYNRTCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVRQNLRPEIPRCCPSALANIMRKCWDANPIKRPEMKDVVIMLEALDTSKGGGMIPEDQSSGCFCFAPQRGP >RHN80527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38851334:38851793:1 gene:gene4465 transcript:rna4465 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAVSFAIDQLLPLLTAKTKLLKGVHKEFADIKYELESIQAFLKDSDRRAAAEGDNTTEGAKMWVKQVREVAFLIEDVIDDYMIHVGQHN >RHN62059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40380918:40383815:-1 gene:gene24589 transcript:rna24589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate kinase MMAEKKPKTKIVCTLGPASRSVPMVEKLLQAGMNVARFNFSHGSYEYHQETLDNLRTAMQNTGILCAVMLDTKGPEIRTGFLKDGKPVQLKQGQEITISTDYDIKGDENMICMSYKKLAYDVKPGSIVLCADGTISFKVLSCDKKAGLVRCCCENSAMLGERKNVNLPGVIVDLPTLTEKDKEDIMVWGVPNNIDMIALSFVRKGSDLVEVRKLLGKHAKNILLMSKVENQEGVANFDEILTNSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICVEAESTINYGDVFKRIMEHSPVPMGPLESLASSAVKMANSAKAALILVLTRGGSTAKLVAKYRAGMPILSVVVPEIKTDTFDWSCSDEVPARHSLIFRGLIPVLSAGSARASHAETTEEALDFAIQYAKTKGLCNNGDSVVALHRVGVASIIKILTVK >RHN58065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43477979:43478975:-1 gene:gene33671 transcript:rna33671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MHPNTSPPSEETNIEISPETPIISKQPKNQHPLRTRSSRKLAILKVDDQQKTKPIVWFAAILCFIFSFTLIFFGIATIICYLTLKPSNPLFDISNASLNVVYFDSKQYFNGDFALQANFSNPNRKVHVKFESLYIQLFYSNRVIASQSIKPFTQKPKETRYETVRFISTLLFMPQEVGVRLQRELQNNRLSCYAKGTFKVKVNMWILHSSFWLHSVCQIEMTGPPNGSLVARQCITTR >RHN56943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35101117:35102215:-1 gene:gene32413 transcript:rna32413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MYMYRCSKYMYMRATDHTMSMDNKGKLNMEMTRGVRKGAWTYEEDKLLKACIQKYGEGKWHLVPQRAGLNRCRKSCRLRWLNYLTPNIKRESFAEDEVDMMLRLHKLLGNRWSLIAARLPGRTANDVKNYWHTHLRKKMVSRTLEEKKEKPKETMKVHEIIKPKPRTFSTHPPSLNWKHNINVTPIVAVSTQHGEVSPNRDNKEITDSNQIGRDIVGVSQPSLGSAPIPCAMLWDSLLNLEEHKSSEKIGSGSLLQEENFISEFPNVDDSFWDFNLCDFDSL >RHN44071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1433621:1434795:1 gene:gene38128 transcript:rna38128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribulose-bisphosphate carboxylase MASSMISSSAMTTVNRASSVQSGAVAPFVGLKSMAGFPVTKVNKDITSITSNGGRVNCMQVWPPIGKKKFETLSYLPPLTREQLAKEVEYLIRKGWVACLEFETEKGFVYRENHSSPGYYDGRYWTMWKLPLFGATDASQVLKELDEVVVAYPNAFVRIIGFDNVRQVQCISFIAHTPEVY >RHN53730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3608235:3611489:-1 gene:gene28669 transcript:rna28669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin monooxygenase, FAD/NAD(P)-binding domain-containing protein MNEHVHTVSIQFDSKPMKFIMSTATPLLTPRHVAVIGAGAGGLVAARELRREGHQVVVFERGEELGGSWVYTSEVESDPLGLDPNRKLIHSSLYNSLRTNLPRESMGFRDYPFRRKEEKGRDSRRFPSHGEVLMYLKDFAADFEISDLVRLKTEVVFAGVGEGGKWTVRSRSVERECVDEIYDAVVVCNGHYFQPRLPNIPGINAWPGKQMHSHNYRTPEPFQDQVVVLIGGAASAVDISRDVATVAKEVHIAARSVEEDKLGKLPGHDNMWLHSMIDSVHEDGAVVFKDGNAVIADFIVHCTGYKYDFPFLETNSVVTVDDNRVGPLYKHVFPPALAPWLSFVGLPWKVAPFPLFELQSKWIAGVLSNRIALPSEEEMTKDIEAFYLSLEESGIPKRHTHNMGTGTADVQWDYNNWLADQCGVPAMEEWRRQMYMATSKNRLLRPETYRDEWDDDDIVQLAEHEFAKYQI >RHN67851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30892692:30899288:1 gene:gene16094 transcript:rna16094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MSTNRMFVFHATPMSQVSTCFKTLLEDETHLWHYRYGHLNFKGLKTLQSKKMVTGLPEIRTPSKLCKDCVMGKQQRKSMPKKSLWRATHKLQLVHSDICWPITPSSNSGKSLHGIKRQLTAAFTPQQNGVAERKNRTIMNMVRSMLSEKHVPKFLWAEAVNWAVHILNRSPTLAVKDKTPEEVWSDIKPAVHYFKVFGCVAHVHIPDAKRKKLDPKSFQCVMLGVSDESKAYRLFYPTTKRIVISKDVIFEENECWDWEKGLENQKSNVLVWGESEEERHDSPQSEEENDNSEGGEEMGSSSSHSSAEALEEGRVRQPPVWMKDYVSGEGLSEEEETQNFVMFTITFDPTTFEEAVKSEKWRIARIMR >RHN76045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47263841:47264500:-1 gene:gene12319 transcript:rna12319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAFIKSLYKLNLPSLHIFLNLIMFDWTPNDAFIILALSFICFLLFLILSQWKVLKCILCNKWKVLKCFLCKAFTSSSSSISNSNSTAPPPINWIEGQFHDESIQGSDLELSIINSLPMYQFKKNEAQEMTINVDCAICLGEFEGGELLKLLPNCNHGFHVSCIDKWFQLHSSCPLCRSRVYRVLVANNEYSVSLNTWLEILGMDDITPERNAHFQSHSL >RHN60259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24323674:24324405:-1 gene:gene22535 transcript:rna22535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MIQQIIISKRRRHGNDNDDVKLSDLPDCILIYIMSFLNTIDAVRTCVLSKRWKHIWKHIPILTLHYSDFSTLKCFHKFVSRVLSLRDNSVLLQSIDFDGNGGCIQSSLLKRFSNYVLSHNAQLNRLGFDVLSLVPDLLKDELSSLHSLKSLKVKLKPLSYGFSMTLKMVKSGKVFKAGITPPSLIPDEILNLLLQNSLLADFDIIDCTR >RHN71773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2543664:2545734:-1 gene:gene7408 transcript:rna7408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxaloacetate decarboxylase MASPRTAEICDTNATHIASGDLRILHPVFQIYGHCRAFSGPIVTVKVFEDNVLARELLETKGEGRVLVIDRGGSMRCALVGGNLVQLAQNTGWSGIIVNGCVRDVDEINLCQVGVRALGSYPLGSNEKGTGEKHVDVYVGGTFIRDGEWLYADSDGIIVSKFELSINLK >RHN70271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50154903:50158896:1 gene:gene18835 transcript:rna18835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isocitrate dehydrogenase (NAD(+)) MASQILRRTFSNPRSFSSATTPIRATLFPGDGIGPEIAESVKQVFQAAEVPIEWEEHYVGTTIDPRTQSFLTWESLESVRKNRVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDNVDLITIRENTEGEYSGLEHQVVRGVVESIKIITRQASLRVAEYAFHYAKAHGRERVSAIHKANIMQKTDGLFLKCCREVANKYPEIVYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNLANPTALLLSSVTMLRHLDLHDKADGIQNAILNTIAEGKYRTADLGGSSKTTEFTKAIIDHL >RHN65797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5491499:5492224:1 gene:gene13687 transcript:rna13687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MIHGFGYDSIADNYKVICLETFEPLFGDDELGIKQSLLLQDESLQPFWQIYSLKSNSWKKLYVNMPHSSTLLVAGYHGNFRVYMNGVCHWLSMPHCHWSVPFSAEACIVSFDLNNETFFVTPIPFYVRLTWTQLTVLNNSIALIFFAMKTNIFHISILGEVGVKESWIKLFTVEKPCAIDKFPMGVGMNGEIVFANDDNKLLLFDLNTNKIVELGLKIRDRLTLGQIKVYKKSLLPIKRIN >RHN58619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3383290:3384451:-1 gene:gene20545 transcript:rna20545 gene_biotype:protein_coding transcript_biotype:protein_coding MLTISLINMLLCIGVILLYSCGVHTPHLFITCQIKYICHKERFNVRQRNGQRKNNRGSLKTWTHVEEPLHHRRRVYKSRK >RHN39000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3430199:3435085:-1 gene:gene44984 transcript:rna44984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MKSFSIFLIFILFVIASTLLVSAKKEADVTELQIGVKYKPKSCEVQAHKGDKVKVHYRGKLTDGTVFDSSFERNNPIDFELGGGQVIKGWDQGLLGMCLGEKRKLKIPAKLGYGEQGSPPTIPGGATLIFDTELVGVNDKSLSEEKSNSEL >RHN63374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50764749:50769244:1 gene:gene26067 transcript:rna26067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator SAP family MDSTSSSSSPGASQFLANLPLRGTFTSTAISSNPDRMRVYVCDHETTPPGQHIKTNQQNILIRALKLKNVSDSSKKRTAEKVLGASAKKLNNQTTPQQEGSNGQTSSRNFQSLTVERLRALLRAKGLPTKGKKEELITRLKDADGQA >RHN51720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23410322:23411048:-1 gene:gene36225 transcript:rna36225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 13-hydroxylupanine O-tigloyltransferase MNHTMSDGIGISIFLNALAEIARGASKPTILPVWCREILCTKDPPKITRVHNEYKQLEPDNKSIFEPYHRSFFFGPSEISAIRALLPQHQAQNSTSFEVLTAFIWRCRTKALQWENQDQEVRLLCIVNARFRRCTFNPPLPKGYYGNAFVFPAAVTTVGKLCNEPIEYALELREKSKGPCFTTLGSFMISDLSKAALKDVDFGWGKALFAGVAKLVLIIFLV >RHN38803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2153007:2154593:1 gene:gene44771 transcript:rna44771 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYHYLIWWYNATQDDVPKCVNKRVTFNPCVSMQVSSLV >RHN41843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33502233:33504498:-1 gene:gene48206 transcript:rna48206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MNTMNDIDYKLKVAAQEGDINLLYTLIEEDPYVLEYIDLIPFVETPLHIAASMGHVQFATEIMRLKPSFAWKLNQQGFSPIHLALQNNQKSMVLRFVDMNKELVRIKGKEGLTPLHLACQSGEIDLLANFLFVCPNSIEDVTVRGETALHIAVKNEHYESLHVLVGWLKTTRQRGAREFEKLVLNYKDEKGNTVLHISALNNDLKALRLLVKTKINLNAKNSENSTALDIAASSEIKGILLSAGAKPSSKVKDVSKLEDKLRSNVTILDKMLIYILRIRKDISEEQRNAFLIVATLIATATYQSALSPPGGVYQGNAGDYNNNVKNNTSLNSKEVGKSVISEGDFFTLSILNTLSLLLSTMTIYLLTPSGLIGGLLFTPIFWFAYCYVYNMRLISPTSTTSTFNLVMVHVFNFLHSSVYWSIFIVYKRLKVNGKDREIKIRNRLGGNKW >RHN73390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15908405:15911044:-1 gene:gene9194 transcript:rna9194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-Os family MSNFHYQFPDSPPSFYTSSSDNFDTQAQRTFDRFGDTVDSSMKWAVAESVVYGVVVIIAVIAIVYAIIECLKKAGTAIPAYTQIPTAEKDHQISSNSLTSASKIEVIIPHDSKAEFPTMERFLSNINREKPIRFTPEKLDEITKSYSTILGSGAFGVVFKGELPNGENVAVKVLNCLDMGMEEQFKAEVSTIGRTYHINLVKLYGFCFDHDTRALVYEYVENGSLDKYLFGSKNRDVELRKFQEIAIGTAKGIAYLHEDCQQRIIHYDIKPENVLLDMKLVPKIADFGLAKLRSRESNIVMNTHFRGTRGYAAPEMWKPYPVTHKCDVYSFGILLFEIVGRRRHFDSSYSESQQWFPKWTWEMFENNELVVMLALCGVEEKDNEIAERMLKVALWCVQYSPNDRPLMSTVVKMLEGEIEISSPPFPFHNLVPVKENLTQEGSTADSDATISSWNTESFSESCSKIKHESFQIEKPT >RHN66532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13481622:13482556:1 gene:gene14536 transcript:rna14536 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNIFHLFVIFLGLSHLVCFKAVPITRSESLIQRAQIHLVLENANNHKDMVINERNWPREEPTVVERMNLELHDYPPSGANGRHTPRVPYP >RHN44934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10093639:10096637:-1 gene:gene39114 transcript:rna39114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAETLKIVYIVILLVFLCLVVVDGISIYVRCASTNECYTTFKFAPRGSMRCLEGYCKHLKNFKAKHSEKRNR >RHN61130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33301813:33304799:-1 gene:gene23563 transcript:rna23563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MAFNNLLFLIAFVLFLSKSNIVTCTKSVEVEPILDISSLNRNSFPQGFIFGAGSSSYQFEGAATEGGRTPSIWDTFTHRYPEKIKDGSNGDVAIDTYHQYKEDVKIMKDMNLDSYRFSISWSRILPNGKLSGGVNQEGINYYNNLINELLANGIQPLVTLFHWDLPQTLEEEYGGFLSPLIVKDFQDYAELCFKEFGDRVKYWVTLNEPWSYSQNGYANGRMAPGRCSSWLNPNCTGGDSAIEPYLVTHYQLLAHAAAVDVYKKKYKESQKGVIGITLVTNWYLPLSDSKSDQKAAERAIDFMYGWFMDPLTSGDYPKSMRALVGSRLPKFNTMQTKLLIGSFDFIGLNYYSSTYASDAPLLSNARPSYLTDSLVNPAFERNGKPIGIKIASEWLYVYPRGIRDLLLYTKEKYNNPSIYITENGINEYEDSTLSVEESLLDTFRIDYHYRHLFYLRSAIREGANVKGYYVWSLFDNFEWSSGYSVRFGMTFVDYKNGLKRYQKLSALWFKNFLKKETKLMFLMRKVMRFIH >RHN66814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19229879:19235089:-1 gene:gene14906 transcript:rna14906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MGIGNGDNFIVSVTNEEVVAAVLPIQEHWLPLSNLDLLLPAVDVGVFFCYKNPMILTFESMVVSLKKALAKVLVSYYAFAGEVVSNSVGEPELLCNNRGVDFVEAVADVELQCLNFYNPDESVEGKFVPKKKHGVLAIQATSLKCGGIVVACTFDHRIADAYSTNMFLVSWAEMAHPVKPIKPTTITITNKPCFRRSLLSPRHPSFIHPSLDDMYIPISKLPPPSATATKPLLSRIYYVTAEELHRLQSLGASAKNGTTKPSKLESFSAYLWKLVAKAVTRDGSKMVIAKMGIVVDGRRRIANNSSDEDRGEALMTHYFGNVLSIPFGGKSVEELVDKPLGWVVDEVREFVSVATTEEHFLGLIDWVEAHRPVPGLAKIYSGGTNEGPAFVVSSGQRFPEDKVDFGWGKVVFASYHFPWGGEAGYVMPMPSPLRNGDWVVYMHLLKEQLDIIESEADHVFMPLTWDYLNQ >RHN81922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49820255:49821879:-1 gene:gene6020 transcript:rna6020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MKKIVLKLEIHEDKIKQKAMKAVSGLSGVESVEVDKDKKMTLVGDTDPVLIVAKLRKLCHAEILSVGPGKEEKKEEPKKDDKKKEDDKKDPPMIINPFMYYSTPTSTYYHQMQPLYCRAISVEEDPNGCVIL >RHN71770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2518967:2525863:-1 gene:gene7405 transcript:rna7405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MSSRNQNRAPSPSNMQKKGGGAEENKRRRIGGERMVVQGRGRAPLGSLNNRSDANDGAGGGATEGSEPSIIEFTKEEVEALLTEKMKKGNPLDTKKKMEQMVDLIKRLKNCVRWFKVVEEGYIKEKEKLQTDLESAEKKCVDTENEMNMKIAELNEAISNLRMTISSLEEKIAKEESDKLEVMECYRKEKEARNEAEQMQVSLSTELERVCNARSAAERQATENENLYNRSKEYNISLQQYNSSLQANLDAASAAQKRLETEKSSIVENLSNVRGHNKALQEQLASHKASQEEATKAKDMLANELKCLRDELKQIRDDRDRQLGQVQALTGEVAKYKEYTGKSSEQLGTLMAKTNALEEVCTSQRQQIDMLQQQLNAEKEKLKMADLSFSETQTVFEDQKRTIGQLQERLAEKELQVIEGETLRKKLHNTILELKGNIRVFCRVRPLLPEDGPAADMVVTFPSSTEALGRGVELAQSGQKYSFTFDKVFNQEASQQDVFTEISQLVQSALDGYKVCIFAYGQTGSGKTYTMVGRPGPPELKGLIPRSLEQIFLVSQSLKDQGWKYTMQASVLEIYNETIRDLLSPTENPGKKYNIIHDANGKNTYVPDLTIVDVCGADEISALLKQAAQSRSVGRTQMNEQSSRSHFVFTLRISGVNENTEQQVQGVLNLIDLAGSERLSKSGATGDRLNETKAINKSLSCLSDVIFALAKKEDHVPFRNSKLTTLLQPCLGGDSKTLMFVNISPDPSSTGESLCSLRFAARVNSCEIGIPRRQTSMKASDSRLSYG >RHN69123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41206197:41208975:1 gene:gene17552 transcript:rna17552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 15-cis-phytoene synthase MSIPFSVAAKPSIIRTNRKPYCRRYDVITSELIMVPKHKTATFPQLSKQGVSVADLHVQEVVEKQSQTNIFDTLCSSNFKPQFEPSFLKDAYEMCRNICSEYAKTFYLGTLLMTEERQKAIWAIYVWCRRTDELVDGPNADYMSSAVLDRWEERLHDIFNGCPYDMLDAALTDTISKFPLDIKPFRDMIEGMRMDTRKSRYKNFEELYLYCYYVAGTVGLMSVPVMGIAPESLIPAQSVYKSALYLGIGNQLTNILRDVGEDALRGRVYLPQDELGEFGLCDNDVFSRKVSERWREFMKQQIARARFYFNSAEEGASHLDKASRWPVWSSLILYRKILDAIEDNDYDNLTKRAYVGRTEKFVSLPAAYTRSLSIPKTESHASFTRHM >RHN59906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14604365:14608955:-1 gene:gene22074 transcript:rna22074 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDAIANYTSQTNWTITSGTLNKTLTFQSSLSSSSDDDEESVSHPLLLHPPSPDSTPCQITINFAEKHEVRQIYVRSTARVYEIYTNDEYLCTVRCGVATRDGEVLRSNEIGEENVRNEDDWVEVKADDANSQTKPNLNLTTAAQDLFEATAEINDANPCISLTLRLLSLQSKGSVCVDEIYVFGDPVDSEIEESHNENLSGSSLMAMFLPSLLQASKTTGLSSLSAVRKEKQFVLKDDLKETPQPSARIIENQLDEEDSIIRPHEVELKEVKGGSVGPSQSYTLSQAAKMESGHAVPSQAAQMDSNCRAIPSKVAEMENNRHAIPSQVPINQGDFSGGNVERALEKLMSRMDRIEEICLGFQEKMVVPMNSIEVRLQRVEQQLETLSMKRQNSELPSCYKISAPDASFIESDTNSCENCLDCTVTGEIESDKKSLHTEVLNVSPQDVSPEDGCPENMSESESTTQLLPGLVVTAPEFPEDDDEEDNASEQEINPSNDIKKKSIDDALSSSLANFLSSSLTSEVTKYTKSLHLEAPEFSNEDADHESSNKTVNNDLLHPTDNEEFSHIQVLASSTNSSDEGEKVSTDSKDKSSEKTALEAEQWEFFCSAQGDQDEVCVDSTLAEPKPKMDFNDNFKDEENGKISGEEESDVFLSNLSNISNEVVDNQTSSGCRAADAAKNTFHDNIMENFLGFLLASPVVDYEIPLLDVKFTSQTSSTADSFLESLLVETPGTSSRDPSVNVSSEDLSIKEQLKGNDSLLIEEHSNLISVDDGELVNPASNTHFAVVEDLSTSITAPVNFEGDFVAEEHKRKRDLDPLQFMDLDR >RHN62195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41595391:41601776:1 gene:gene24745 transcript:rna24745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycoprotein 3-alpha-L-fucosyltransferase MGLVSRTTTTTTQEGLPVSVSTTVPKKKWSNLMPLFVALVVIAEIAFLGRLDMAKNAATVADLFYRSRAVVEGDDFGLEMVGGDKNLELESESCEEWLGREDAVPYSRNFTKEPVFVSGAEQEWKSCSVGCKFGFNGDRKPEAAFGLPQQAGTASVLRSMESAQYYAENNLAMARRWKLLWRGYHIVMTTSLSSDVPVGYFSWAEYDIMAPIKPKTEKALAAAFISNCGARNFRLQALEALEKTNISIDSYGSCHRNRDGRVDKLETLTRYKFSLAFENSNEEDYVTEKFFQSLVAGTIPVVVGPPNIQDFAPSPGSFLYIKELEDVESVAKSMRYLAENPEAYNQSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLASKSREKEEKSPDFKKRPCKCTRGSETVYHIYVRERGTFEMESIYLRSSNLTLESFKTAVLTKFTSLNHVPVWKPERPEILKGGDELKVYKIYPAGLTQRQALYTFKFNGDVDFRSHLESNPCAKFEVIFV >RHN50790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10523569:10528869:-1 gene:gene35119 transcript:rna35119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eukaryotic translation initiation factor 4E (eIF-4E), translation Initiation factor eIF-4e MDFTSSKNNNNASENNTENTHQIVDSSDSYNVKETEERQSRELKAGLHPLKSKFVFWYTRRVPGIRNQSYEDNIKKIVEFSTVEGFWVCYCHLARPSSLPIPTDLHLFKEGIRPLWEDSANCNGGKWIIRFKKAVSGRFWEDLVLALVGDQLDYGDNICGAVLSIRFNEDIVSVWNRNASDHQAVMALRDSIKRHLKLPHGYVMEYKPHDASLRDNSSYRNTWLRG >RHN40117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13428596:13441069:-1 gene:gene46220 transcript:rna46220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MTSETPYCCISHEFFQTATANPNKIAVIHASGVANLSRQNSTSPNFNQDFTTLLQQRVDSTSPPFYHGDRSFTYSQLLDSIRSLSSRLSSILHGAHDPHLITAKSQGNDGVHREEGTVQKSESLKNVKPRAESNVNSIEEYKPKIVGIYMPPSVEYIIAVLSVLRCGEAFLPLDPFWPNERILSVASSSNVDLIIGSQSSFSKSNLDRLDESHWLVKLISCPILRYSIEENLQECSSSTDFACHCSNEKKRSFCYLMYTSGSSGKPKGVCGTEQGLSNRFLWMQGMYPLTGQELLLFKSSISFIDHLQEFLSSILTACVLIIPPFSELKENVYSIIDFLQAYSVNRLTAVPSLIRTILPVLQTHTDLRIESSLKLLVLSGETFPYTLWETLSTILPKTSILNLYGSTEVSGDCTYFDCKRIPLVLKEEMLTSVPIGLPITNCNVVLIGENGAPNEGELYVGGSCIFRGYYDESDIMSEGFVKLPQNYGCENSVDVFQSELYFRTGDLVKQLPSGDFIFLGRKDRIVKVHGQRISLEEVENLLREHPNINDAAVVCRNLQAELVFIEAFIILKDKQQLGELLVPAIRSWMINKLPSVWLPNRFIFTESFPISSSGKVNYELLVSSALLTKSVKDKVGNISCSNLLQLIKKIFHDALLVEKLCNDDDFFIMGGNSLSAAHVAHNLGIDLRFLYYYPSPFKLCMALLHKRGSCSLHNRLDNCLQLDTDIQNNDFSSNLTESSFPLESRMIPKDKVDVLFPFKRLKRGSTDVVTSGGDEPFPWHSLAIFSSSSFSRCNKVLYKGQTSVMDTHQTTWSSNVPRGSRGHMKSFWKVYMESCVDASPMVVSKGSDLYLFIGSHSHKFLCINWEIKLEGRIECTAAIVSDFSQVVVGCYMGKIYFLDFWNGHICWIFQTSGEVKSQPIVDTCRQLIWCGSYDHTLYALDYKNHCCVYKLSCGGSIYGSPAIDEVRGLLYVASTGGRITAVSISGSPFSILWLLELEVPVFGSLAVTKNGTVICCLVDGHVLALDPNGSIVWKKTTGGPIFAGPCIPSVNPHEVLVCCRNGSVYSFKLEKGDLIWEYNVGDPITASAYVDEHLQLEADASHTSDRLICACSSSGGIHILRVNTNFSENTNHLKSEVEEFARLKLPGDIFSSPLMIGGRIFVGCRDDYLYCVALEIPKQHESQNLV >RHN67076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23562654:23564929:-1 gene:gene15216 transcript:rna15216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MENHNKVLMLMVFTFFFCFMAMPTYSKHKTLTTIASNQFMQYSDTLVSGDGLFEAGFFNFRDPLRQYFGIWYKNISPRTIVWVANRNTPVQNSTAMLKLNGQGTLVIVDGSKGVIWSSNSSRIVGKSVLQLLDSGNLVVKDANSSSEDEEFLWESFDYPGDTLLAGMKLKSNLVTGPYRYLTSWRTSEDPAVGEFSYRIDTHGFPQQVIAKGTTIMYRGGSWNGYEFWQRINRVLNYSFVITDKEVTYQYQTWTNFIITRFVLDTYGTPQRFIWSDWTQNWEATATRPIDQCEEYACCGINSNCNINESPICECLEGFTPKFQSKWKSSDWSGGCLRRTKLNCLNGDGFLKYTNMKLPDTSASWYDKSLSLQECKTTCLKNCNCTAYANLDIRDGGSGCLLWFDNILDMRKHRDQGQDIYIRLASSELDHKKNKRNLKLSGIFAGVIAFIIGLAVLVLVTSAYRKKLGHIKKLFHWKQKKENEDDDLATIFDFSTITNATNNFSIRNKLGEGGFGPVYKGIMIDGQEIAVKRLSKTSGQGIEEFKNEVKLMATLQHRNLVKLLGCSIQQDEKMLIYEFMPNRSLDFFIFGLNFHTNPIY >RHN59114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7602896:7603336:-1 gene:gene21104 transcript:rna21104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MAIILKFSILLIILLAFAVARETVANNKVQAPTLPILLRHTTVYIINKVKAPNPTPLTLRCQSKDDDLEEHTIHYKTQVYSFKFTPNFLPIMPTLFFCSFRWHQDRRRHYLDVFNEKHMPCDNCTWVIHANGGCLNGQCIPWKSIH >RHN64994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63507184:63508621:-1 gene:gene27883 transcript:rna27883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative petal formation-expressed MALASIHTSTTLLSSSSIPLRRSVINATINFPKTNNYFSVPKIPTRKHVDGSTTFTESVSLLHDQNNVSNSKISNSNNSTITMQLYAILDSVSDRIEMHNNLSQQRQNWNNLLLNNINMITLTATTLTGVVAASGAIGTPLLALKLSSTLLFSAATSILLIMNKIQPSQLAEEQRNATRLFKHLRSQIENTVALGNQTEQDVKCFMEKVLALDKAYPLPLLGVMLEKFPAKYKPAVWWPAKRKEGNVAERKMKKKNSNNGWSEELEKELREVIEVVKMKDIEDYERLGNIALKVNKSLATAGPLLTGIAAIGSAFVGNNSVAAIVALMAGSLGAVVNAFEHGGQIGMVFEMYRNCGGFLKLLEETVDETLEEKDFEMRENGELFEMKMALMLGRNVSEMRELASKSGSCRMKGIDVDEFANKLF >RHN70370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50948019:50950564:-1 gene:gene18940 transcript:rna18940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin D MDDLLCHEKETCLEVEERDEEWSMNQSHQEFGVSEEEHVGLLLQREIAFGFKKDENVVLEDSFKRARLNAINWILKKREALDFHFETAYLSVTYLDRFLSKHFIDGEKDWAMRLLSIACLSLAAKMEECNVPELSQFQLEDDYVFEGKVIQKMELFVLSSLDWNMGIITPFSFLSYFIKKFSNESPSPIISNTMHPIFTVVMEEFNLMDHKPSVVAAAATLVAFDQKLTIEDVRLKMNSISQHPLLQPDDVFACYSLIQRLYEEKIKREEHKHLCTPNSSVIRSRPIDYAVAMTKRRRLSFIDDEDGGDKKGPHQENPKI >RHN43298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44770583:44775552:-1 gene:gene49846 transcript:rna49846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MANLARFTNKALRTAYSITKHHAQPQLASSERAFATEAAKSITPSPDRVNWDYRGQRKIIPLGQWLPKIAVDAYVAPNVVLAGQVHVWDGASVWPGCVLRGDLNKISIGFCSNVQERCVLHAAWSSPTGLPAETSIERYVTIGAYSLLRSCTIEPEVIIGQHSILMEGSIVETHSILEAGSVVPPGRIIPSGELWAGNPAKFVRTLTHEETLEIPKLAVAINDLSKDHYHEFLPYSTIYLEVEKFKKSLGISV >RHN70055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48375159:48382596:1 gene:gene18596 transcript:rna18596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative micrococcal nuclease MAATAAGNSAWYKAKVKAVPSGDCIVVVSVAANAKLGVLPEKSITLSSLIAPRLARRGGVDEPFAWESREFLRKLLIGKEITFRIDYTVPSINREFGTVFLGDKNVALLVVSQGWAKVREQGQQKGEASPFLAELLRLEEQAKQEGLGRWSKVPGAAEASVRNLPPSALGDASNFDAMGLLAKNKGVPMEALVEQVRDGSTLRIYLLPEFQFVQVFVAGIQAPQMGRRAAPESVVVPEVTVDTTNGDVPAEPRAPLTSAQRLAVSASAAETSADPFGADAKFFTEMRVLNRDVRIVLEGVDKFSNLIGSVYYPDGESAKDLALELVENGFAKYVEWSANMMEDEAKKKLKAAELEAKKTRLRIWTNYVPPTSNSKAIHDQNFTGKVVEVVSGDCVIVADDSIPYGSPQAERRVNLSSIRCPKMGNPRRDEKPAPYAREAKEFLRTRLIGRQVNVQMEYSRKVGPVDGSAVPPGAVDSRVMDFGSVFVLSSGKADGDDAPSPAVPASQQTGLNVAELIIGRGFGTVIRHRDFEERSNFYDALLAAEARAISGRKGIHSAKDPPVMHITDLITASAKKAKDFLPFLHRSRRVPAVVEYVFSGHRFKLLIPKETCSIAFAFSGVRCPGREEPYSDEAIALMRRRIMQRDVEIEVETVDRTGTFLGSLWESRANGAVPLLEAGLAKLQTSFGSDRIPDLHVLEQAEQSAKSKKLKIWENYVEGEVVPSGANVESKQQEVLKVTVTEVLGGGKFYVQTVGDQKIASIQNQLASLNLKDAPVIGAFNPKKGDIVLCYFHADSSWYRAMVVNTPRGPVESSKDAFEVFYIDYGNQEVVPYSQLRPLDPSVSAAPGLAQLCSLAYIKLPNLEEDFGQEAAEYLSELTLSSGKEFRAMVEEKDTTGGKVKGQGTGPIIAVTLVAVDSEISVNAAMLQEGLARMEKRNRWDRTARKQALDNLEMFQGEARTARRGMWQYGDIQSDDEDTAPPQRKAGGGRGK >RHN42584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39510764:39514148:1 gene:gene49040 transcript:rna49040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MGCKSTTNLSLFSTLIFLVLMFGLVTSDINQDKAECTNKLLTLAGCLPFVTNQAKSPTIDCCTGVKEVVDKSKRCLCILIKDHDDPNLGLTINVTLALKLPNDCNSPTNITQCIDILHLKPKSHEAKVFEDFQKSLEKNTSTTVPPASGTTRNGTSTSTIAQDKNGGGWGKRWLLVEVLCGILPFVLVSYLFVV >RHN73793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19909380:19909713:-1 gene:gene9659 transcript:rna9659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRRKNMAQILFYVYALIILFSPSLVVPLKVIIPSSTCDSDYDCLRYEEALNVITCCNNGLCVMFCPDFD >RHN59143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7867213:7867685:1 gene:gene21134 transcript:rna21134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynamin GTPase MAAIQELSELTDSLRHDETSNNSRRPSTFLNVVALGNVGSGKSAELNSLIGHIVLPTGENVATIAPVVVHLQRDTSLSSKSIIVQIDDKSQQVSANYLI >RHN71800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2697983:2701458:-1 gene:gene7436 transcript:rna7436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MMLSTYKRVASCTLLFYIFLCFCSVISQGDPIKQGDFIRDEDGEVLFSDGHNFVMGFFGFQDSSSRYVGIWYYNIPGPEVIWVANRNTPINGNGGSFTITENGNLVILDENKNQLWSTNVSSVRNNMNNTEAFVRDDGNLVLSNDNVVLWESFKHPSDTYVPGMKVPVNGKSFFFTSWKSSTDPSLGNHTLGVDPNGLPPQVVVRDGERKIWRSGYWDGRIFTGVDMTGSFLHGFVLNYDNNGDRYFVYNDNEWKLNGSLVRFQIGWDGYERELVWNENEKRWIEIQKGPHNECELYNYCGSFAACELSVLGSAICSCLQGFELWDEGNLSGGCTRITALKGNQSNGSFGEDGFLERTYMKLPDFAHVVVTNDCEGNCLENTSCTAYAEVIGIGCMLWYGDLVDVQQFERGDGNTLHIRLAHSDLDDESQIFTSFCAGCVLMICIISMYPNTGHGGKNNKIMIVIILTVIAGLICLGILVLLVWRYKTKLKVYLASCCKNSEVPPVVDARKSRETSAEISESVELSLESNRLSAELPFFNFSCMSEATNNFSEENKLGHGRFGPVYKGKLPTGEEIAVKRLSRRSGHGLDEFQNEMRLFAKLEHRNLVKLMGCSIEGDEKLLVYEFMPNKSLDHFLFDPIKQTQLDWARRYEIIEGIARGLLYLHRDSRLRIIHRNLKPSNILLDENMNPKISDFCLAQIFGGNQNEASTTRVVGSHGYMSHEYAMQGLFSVKSDVYSFGVLLLEIVSGRKNTSFGDSEYSSLIGYAWHLWNDQRAMEIVDACIHDLSPNTEALRCIQIGMLCVQDSASHRPNMSDIVSMLESEATTLPLPTQPLDTSIKRSVDRECYKDGVDISNDLAVTTVEGR >RHN54666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11140646:11143205:1 gene:gene29740 transcript:rna29740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MAVNLTQGAIVKMCFTSEELHPVLQFIDLKPVQSQQNSAIEIYQLFLSDGLHYEHGMLAKQKNELVHNGRLQKGSIVKLTQFIYKHVRTRKLLIVVDLEVIMDKCDLIGKPDPAPKEAPALSAASHAGNVQSAAGQLESTAEKAVMAVNLTQGAIMKMCFTSEEIQPVLQVIDLKLLQSQQNSTTKMYQLVLSDGSHYKQGTLSVQKNKLIHSGRLQKGSIVKLNEIICNDVNQNLKIIFFVELDVILDKWDLIGKPVPAPEEALAKSAAYVQLLNASLIGKPVTARKEATEPKFATKEDIEKQAEKIDQFIEISNKRHEDVLASQAHIRNTLAQILARLT >RHN57161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37000742:37001837:-1 gene:gene32665 transcript:rna32665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S49, ClpP/crotonase-like domain-containing protein MSLQFNQKNITFACACDEIFAPPNGHVEFSIDDLSFDRGYDNGLYDNPYINFYRYAEDSSEARDSSEAHTALLHNIYSNWLDKVSSSRGKKREEVQNFINEGVYRLDKLKEEGFISSLVYDDDVITLLKETWEAKEQIAIIRASGTMDSDIVTSNFIEKIGMVKDSKKFKAVIVRIDSVGGDFHASQSTWEAIRSLASKKPVIASMSDAATSAGYYMAMGAGAIVAENLTLTGSIRGTVSQNFNLDNELPSFIPYDDAKVLDNVVRLRLMTLGKLDKMKKVAHGRIWTGKDAASHGLVDAGMPVISYGFAGMPPATPTNFARWPFTRMIFNYFVFRFHKR >RHN56182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28565769:28580825:1 gene:gene31543 transcript:rna31543 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVSTSLPQVESVTVLLPLLPVRFVLLLAPVRPLLSGSPIPLFSDHFERSSKQLSG >RHN68118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33034135:33038300:1 gene:gene16418 transcript:rna16418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MVKTKKIFSLVIFSTFILHSLSISTDTFLYGGCTQEKYTPTYESNLNSLLTSLINSATYTSYNNFTIISSNPNDIVYGVYQCRGDISMPDCSSCIARAVSRTGDICPGVCGGVVQLEGCYVKYDNVKFLGMQDKTIVLKKCGPEVGYDPGTIDAVLGGLAGSGGLFRVGGSGQLKGMAQCVGDLSFVECQDCVTEAIRRLRNDCTSGDYGDMFLGKCYARYSTGGAGGAHAYSKPHGKSDHDGEKTFAIIIGLLAAVAILIIFLAFLRRICEGQGK >RHN82665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55552485:55552931:1 gene:gene6844 transcript:rna6844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glyoxalase/Bleomycin resistance protein/Dihydroxybiphenyl dioxygenase MKETVAFYEKVLEFISIVRPGSFDFGGAWLFGHGIGIHLLLAEDPEKIPRKNEINTKDKHISFQCDESMDAVEKYLKDMKIGLKRAMVEENGIQVDQLFFHDPDGFMIEICNCDSLPVIPLAGGMVTLCPRLNFESMPQQIDQVAKQI >RHN78260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13368845:13369150:1 gene:gene1794 transcript:rna1794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MQVENATKTLEIQEETPAYEIQTPEPPKVCYLKCPLCMGPFMEEMTTRCGHIFCKICIKVTLKARRICPTCGKKATPRGLIRVFLPSSDEGMTLIYNLVYY >RHN54685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11285994:11286242:-1 gene:gene29759 transcript:rna29759 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIHFRECICIRVVGWLREDHVLKQFSHSVTLWVSLDNDHLFFFALEFSCYILMLFYLSQCLFFPTISITSFNLVWYKILV >RHN42173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36089680:36090733:-1 gene:gene48583 transcript:rna48583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cold-regulated 413 protein MGRMLMNYLAMKTDPVVASLIDSDLNELKFAAKKLFNDAAMLGGKGVGMSLLRWIASFAAIYLLILDRTNWRTNMLTSLLVPYIFFSFPESLYNFFRGGVGKWFAFVAVVLRLFFNKHFPDWLELPGSIVLLLTVTPDLFAIKFRNNWIGVAIDLFIGCYLLQEHIRATGGFRNSFTQRHGISNTLGIIFLIVYPIWAMVIR >RHN82786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56425500:56426932:1 gene:gene6973 transcript:rna6973 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTVSKCNQEEEEEELVVNKVQIDDDDDDDAAAVTVTGNNKIAAAQQQQQQQLEGKKKRLLEELESAFTIATPKLNSSLGFSNIEVIDETALLDCIPINNGKPRRNPSKKINKNNTVAPPRTTGTDKKKYSREMMESMRFVNVSQQLKFWKTIYTALQSAFADEYDTLVVAATAHNNRHSLPFHPNKKPILTGVGAANGRCRYVNVFVH >RHN69667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45398325:45401825:-1 gene:gene18166 transcript:rna18166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PUA domain-containing protein MFKKFSSEEVSAQNQVKASVQRRIRQSIADEYPGLEPVLDDILPKKSPLIVAKCQNHLNLVVVNNVPLFFSVRDGPYMPTLRLLHQYPDIMKKLQVDRGAIRFVLAGANIMCPGLTSPGGVLDEEVGAECPVAIMAEGKQHALAIGFTKMSAKDIKAINKGIGVDNLHYLNDGLWKMERFD >RHN81173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43835272:43835845:1 gene:gene5179 transcript:rna5179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MSLLIPTYLLRCLDCISMTILSRLQICTFLGCDGSVLFNSTSNNTAEKDAIPNLTLAGFDVINDIKNAVEEKCAKTVSCADILALAARDAVSTVTIISSSKTVTIILTLIPQISFLQIHLPLSFQLRHVPSDSKTYKSCNLISKTLVSAKRKIQN >RHN77646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8405735:8412904:-1 gene:gene1120 transcript:rna1120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain-containing protein MVTTQNNHSPTSVPSPAPPSAETNNPNFIRKNLPSPWAQVVRGGSGWDTESQSQSPTGIHQSLPSSSSSSSSSLTTVDQPPPSDDSPKAAVVSSSPKAVVVSSPLPAPMEKNSNTIASDGGDGGNAGGSKKPAWNKQPLNGVAVEIGPVMGAESWPALSESAKIPGKLPPESSSSKIAPPAAVDGSPSTSQGPIISHSPQRQGSTSNTKSSSMANNNLPNRPRPMRRISGSNIGPCPAQSSLSNPPTPPPLPPYPVYQLPPAVSYPNMLPSIPDSSPRDHHRNNNWDARPFVGGSSRRGHFGSHPRGDGSYHQNSYSSRREHDRGNYANTRDAHAPQPRMPPRGILRPPPPSTAAFLGPQTIGPFPAPVAYPDFYYFPTVPLDPFRGMPVFPHMPSPATFFPAAESSLSNVIVNQIDYYFSDINLANDEFLKSNMDEEGWVPITLIANFPRVKNLTSNIQLILDSMRNSNVVDVQGDKLRRRNWIDRLTSAQVQADAGSVSPIESRDNSFTADFQTITLDKTTKDEGESSRQSQLSNGSDVAGNIN >RHN57548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39966162:39966602:1 gene:gene33110 transcript:rna33110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Agenet-like domain-containing protein MRPPEKRVDYKVGDKVEVCSEDEGFVGSYFEATIVSCLESGKYVIRYKNLLKDDESELLMETLFPKDLRPIPPHVRNPLKFKLNQKVDVFDNDGWWVGKIASEKILMEKSCYYSVYFDYCHQTIYYPCDQIRVHQELVWGDWIFEA >RHN82221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52090917:52091709:1 gene:gene6366 transcript:rna6366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MKPLFTMLLFCSLLLCSSFLELAIAYEDSSNCSSKCSDRCSKAGVQDRCVKYCEICCNECKCVPSGTYGNKHECPCYRDKVNNKGKPKCP >RHN39988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12073671:12083682:-1 gene:gene46075 transcript:rna46075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative malate dehydrogenase (decarboxylating) MWKFARFVSATKLVRSRRFSTAIPGPCIVHKRGADILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYDRFLDSYRSLEKNTLGQPENVVSLAKWRILNRLHDRNETLYYRVLIDNIKEFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQEVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQRILPVMLDVGTNNQKLLGDRLYLGLRQPRLEGEEYLSIVDEFMEAVHARWPKAIVQFEDFQAKWAFETLERYKKRFCMFNDDIQGTAGVALAGLLGTVRSQGRPLSDFVNQKIVMVGAGSAGLGVLKMAIQAVAKISGCSESAAKSQFFLIDKNGLVTTERNNLDPDAAPFAKNPRDIDGLTEGASIVEVVKKVKPHVLLGLSGVGGIFNEEVLKAMRESVSTKPAIFAMSNPTLNAECTAIDAFNHAGEHIVFASGSPFENVDFGNGNVGHVNQANNMYLFPGIGLGTLLSGSRLITDGMLQAAAECLASYMAEEDVVQGILYPSVNSIRDVTAEVGAAVLRAAVKEDLAEGHGDVGPRELANMSKEETVEYVRHNMWFPVYSPLVHEK >RHN39395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6783694:6786033:1 gene:gene45415 transcript:rna45415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MRGNMSIVAIFIATITFQMAINPPGGVRPIKDDGDKDADNTACYNGYEDLKLCPGNAVLAIVYPDDYADFLFWNTICFIASLSVLLLLMSGIRLSHRFTMWLLSIGMSFTLTTLLVTYRIAILMVTPDPVWADNEVLLSTLLRIWIGLFSFSGFLLTLRIIIWGISDFVKKGECKKATTPMMIAPA >RHN70744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53684268:53690270:-1 gene:gene19358 transcript:rna19358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deoxyribodipyrimidine photo-lyase MAISLYNTTLPFLSLTTTTLNSSKSTLTSILTITNPTTRFQFPNIAMNLCTTKSEPSASSSSMQHVPELDSNEMERVANQTFQRYTSNNTKRSGKGTAIVWFRNDLRVLDNETLYKAWLSSQTLLPVYCIDPRLFATTYHFGFPKTGALRTQFLLECLDDLRKNLMKRGLNLLIQHGKPEDILPSLVKAYGAHTVYAQKETCSEELNVERSVNNRLQQVVVPSNGSAGAATTSNSHPKLQFVWGTTMYHHDDLPFDVPCLPDVYTQFRKTAEAKCVVRSCIKLPTSLGPPPPIEDWGCLPSLEQLGLSSQNVNKGMKFVGGETAALSRIYEYFWKKDLLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRLIHEEVKRYENERQANSSTYWVLFELIWRDYFRFLSVKYGNSLFHIGGPRNVQKNWSQDKKLFESWRDGCTGYPLIDANMKELSTTGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGSGVGNDPREDRYFSIPKQAQTYDPEGEYVAYWLPQLRAISKEKRNFPGNLYIRQIVPLKFGTTSRHNNGDKSLGARRGNDRGNERRWNRR >RHN76074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47493658:47496071:1 gene:gene12350 transcript:rna12350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Actin family MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDVKEKLSYIALDFEQELETSKTSSAVEKSYELPDGQIITIGAERFRCPEVLFQPSMVGMEAVGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >RHN50647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8800400:8800666:-1 gene:gene34957 transcript:rna34957 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDENGGCCDGGRMWWCGVLDDGESSERGRERLDGCGVGWRSEVGDRSGGREDGGGMRMVVVVIQFREKQKRTGKRVCSGFTKLPLI >RHN54079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6778039:6783257:-1 gene:gene29060 transcript:rna29060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative powdery mildew resistance protein, RPW8 MADLLSGGAVGAGMGELLKYALHTIQKGREFGSTLEASIETLDALAPLIKEMNDVNDLSDRPKEEIERLETLLREWEQIVTKSNKLTWKNFLSFPRYQSKLQKQRQKLERYSSVNVQLENKTDLMKLRDKVDEILKRMVNSGQFDGKQIRGLFGAPEEPEFIGMDEPLNKLKFELMKKGASVLVLTGLGGSGKSTLAKKLCWEPQIKGKFGGNIFFVTVSETPNLKNIVKTLFEYCKLPVPDFINDEDAINRLGILLRQVGRYPILLVLDDVWPGSEGLVEKFKFKLPDYKILVTSKVAFKRFGTVCQLDPLLHDPAVSLFHHFAQLNHRSSYMPDKNLVDEIVKACKGSPLALQVIAGSLCKQPFEKWEKMKERLKSQSILESNSYFHDNSTALLCYLQQSLDTLEDINEKECFMDMGLFPEDQRIPITVLIDMWAELHDLDEDGTNAMAIVDDLVTRNLINVIATRKIATETDKYYNNHYVMLHDLLRELAIHQSKVESSVQIKRLIIDLKGDNRPDWWIGPNQQGIISRVYSFIRGMLVKQKQLKVDARILSISTDETFSSDWCDMQPDEAEVLVLNLQSDQYSLPDFTDKMSKLKVLIVTNYGFHRSEVTKFELLGSLSNLKRIRLEKVSVPCLCRMKNLRKLSLHMCNTRDSFESCSIQISDAMPNLVELSIDYCNDLIKLPDGFCNITTLKKISITNCHKLSAMPQDIVKLENLEVLRLCSCSDLKEMSESVAGLGKLSCLDISDCVSLRKLPNDIGGLQKLEKLYMKGCSNLIELPSSVIKFGNLKHKISVLCDEEGAALWEQYPNIPNLKIDMPKVEINLNWLHGTRS >RHN82782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56366386:56367606:-1 gene:gene6969 transcript:rna6969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MPFSNGILEEEVYMQQPPGFEHSDSTLVCKLHKALYGLKQAPRQWFERLTTALIQFGFQASKCDPSLFTYAKQKQVVYLLVYVDDIIISGSSPSLVSALVKQLDSGFSLKQLGLLEYFLGIEVKHLPNNSLLLTQSKYIKDLLAKTHMLECNSINTPMVSSCKLSKIGSDTFSDPSLYRSVVGSLQYATITRPEIAYSVNKVCQFMSNPLESHWVAVKRILRYLKGTLTFGLQLHPAPIHKPLSLHVFCDADWAADPDDRRSTSGAAIFFGPNLISWWSKKQPVVARSSTEAEYRALAQATADALWVQTLLQELTVPFSNPTVYCDNQSAVLLAHNPILHTRTKHMEIDLFFVREKIIAKQLSIVHIPGTDQWADILTKPVSTSKFLLMRFKLNVISHPTEFEGGV >RHN75084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39240636:39249649:1 gene:gene11236 transcript:rna11236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase, Methylthioribulose 1-phosphate dehydratase MAAAIGLNGAKLGTASSQAYLEGKAVKETKALMAELCRHFYSLGWVSGTGGSISIKVHDDSIPKPQQLILMSPSAVQKERMEAEDMYVLSDNGSVLSAPSPKPYPHKPPKCTDCDQLFMKAYEKRDAGAIIHSHGMESCLVTMINPFSKEFRITHMEMIKGIKGHGYYDELVVPIIDNTAHEHQLTESFTKAIEDYPKATAVLVRNHGIFVWGDSWISAKTQSECYHYLFDAAIKLHQMGLDWSTPNHGPIQSARRGLSIAGESNISAKARKDNGDIDPHPRCIVLDIEGTTTPISFVSEVLFPYARDNVGRHLSATYDTAETKADIKLLRSQVESDLEQGIAGAVPIPPDDAGKDEVIAAIVANVDAMIKADRKITALKELQGHIWQTGYANNELEGIVFDDVPEALEKWNALGIKVYIYSSGSRLAQRLIFGKTNYGDLRKFLSGFFDTTVGNKKETQSYVEISQSLGVDKPSDILFVTDVYQEATAAKGAGLEVIISIRPGNGPLPGSHGFKTVKSFSDI >RHN82351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53090803:53091951:1 gene:gene6511 transcript:rna6511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGLQSQLNDVSSDSIPLLVLMHIATCVNYIRSMLLNFLQSIGLSRLQTDQIVDDHFIAAVGSGLAGLIMLSDQLSLNNQFFYKYEDAASADNHRCVFCQSNFENGDHVRKLPCRHVFHRHCLNGWFHRFNFNCPLCRCSLHSDERVALTERRVGSQLISWFTLR >RHN68313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34502775:34507399:-1 gene:gene16649 transcript:rna16649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoinositide phospholipase C MFSKPKKHSVSEAPPEIKEIYHRYSDEGGIMTASHLRSFLIEVQKEEKITEEETQAIIDHRDLNLESFFKFLFGDNNPPLLPSTEVHQDMSLPLSHYYIFTGHNSYLTGNQLSSDCSDAPIIEALQRGVRVIELDIWPNDSKDDVDVLHGMTLTTPVALIKCLLSIKEYAFVASEYPVVITLEDHLTPDLQAKVAQMVTQTFGDILFCPTSETLKEFPSPDSLKKRIIISTKPPKEFLEAKEEKEKEESQKGKPLGDEEAWGKEVPSLRGGTIADYKQNSGVDEDDLKEEEDSDEAEKARQNASDDYRCLIAIHAGKPKGGIVECLKVEPDKVRRLSLSESQLEKAAETYGKEIVRFTQQNILRVYPKGTRITSSNYNPLIGWMHGAQMVAFNMQGYGRSLWLMQGMFKANGGCGFVKKPDFLLQTGPNNEVFDPKAHLPSKTTLKVTVYMGEGWYYDFKHTHFDQFSSPDFYARVGIAGVPFDTVMKKTKSTDNSWLPSWNEVFEFPLSVPELALLRIEVHEYDMSEKDDFGGQTCLPVWELRNGIRAVPLHSREGDKYNNVKLLMRFEFI >RHN43847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48930580:48933887:-1 gene:gene50477 transcript:rna50477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-IX family MGKLGLSYGGSLDFVFGLVFSILVISIRCEDVEVMNILKKTINAPVTFQWTDPDVCKWKHVNCDSRKHVIAIQIGNQNLQGFLPKELVMLTTLQKFECQRNGLTGPFPYLSKSLQRLLIHDNKFSSLPNNFFTGMSNLQEVEIDNNPLPPWQISNSLKDCVALQTFSAESVSIVGTIPDFFGRDGPFPGLVFLALSGNSLEGVLPASLSGSSIENLLVNGQNSNNKLNGTLIVLQNMTSLKQIWVNDNSFTGPIPDLSQLNQLSDVNLRDNQLTGVVPPSLMNLPSLQVVNLTNNRLQGPPPKFRDGVGVDNIIGGGRNEFCTNVPGQPCSPLVNILLSVVEPLGYPLKFAESWQGNDPCANKWIGIVCSGGNISIINFQNMGLSGTISPNFASLSSLTKLLIANNDITGAIPNQLTSMPLLQELDVSNNNLYGRVPSFPKGVVLKIGGNPDIGKDKPITPSASSHGFGKDNDKDEDKNKNSVDGVNVGIVLGVVFVLGIGVIILFMFWKRSRNHTKKGKKPDAITIHSSYKGGENVVKASVVVSGGGNDALSPTCNAYEVSNMVISIQVLRQVTNNFSEEKIVGKGGFGIVYKGELHDGTQIAVKRMQLGMMGEGSNEFTSEIEVLTKVRHKHLVSLLGYCLDENEKLLVYEYMTRGALSKHLFDWKEEGIKPLEWKTRLSIALDVARGIEYLHGLTQQIFIHRDIKPSNILLGEDMRAKVSDFGLVRLAPEGKASFQTRLAGTFGYMAPEYASTGRLTTKADVYSFGVVLMEIITGRKALDGSQPEENIHLVTWFCRMLLNKDSFQSMIDRTIEVDEETYASINTVAELAGHCSAREPYQRPDMSHVVNVLSSLVEVWKPTKQDVDDIYGINFDMTLPEALKRWQAFEGSSTLDLTLSPSTMNTSGGSTQTYQSSNASSHAQM >RHN59976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15656730:15658760:1 gene:gene22151 transcript:rna22151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDSQFFYFFVLVSFFLSMLVALKMGKNLMKKAPAPNLPPGPWKLPIIGHIHHLLTSTPHRKLSDLAKTHGSLMQLQLGEISAIVVSSPEYAREIFKTHDVKFASRPNIVALEMLTYGFKDIGFSPYGNYWRQLRKICTIELLTQSRINSFRPIREEELSNLIKRIDLQQGLPVNITELVLTTMISIISRAAFGDKCKAQEQFASLGNIGSIGGGFEIIELFPSAKWLQLIFNQRPKLKRLHRQLDQILENIVIQHKEAKLKEKEGQTEAEDLVDVLLKFQGGDDSDQDISLTNNNIKAILLDMFGAGGDTSALSVVWAMAELVRDPRVMKKAQDEVREIYNMKGAFDESCMHELKYVKSIVKETLRLHPPGALLLPRECREACEIDGYHIPMKTKLIVNAWAIGRDPRYWTEPERFYPERFIGSSIDYNGNNFEYIPFGAGKRICPGSKFGLMSLELALAMLLYHFDWKLPNGMKCEDLDMTEKFGVTVKRKDDLYLIPIAPPSSMA >RHN46267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30259299:30264040:-1 gene:gene40735 transcript:rna40735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sm-like protein Lsm7 MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFLRDPDDPLKTTDQTRSLGLIVCRGTAVMLVSPTDGTDEIANPFLQPDGA >RHN49624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:56022962:56023436:-1 gene:gene44473 transcript:rna44473 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMSNDMILESGLWYYTRHPNYFGEQLWWWGLVVFGWNLGYGWTFIGALLNTMCLGYVTKLVEQRMLKQDERVEAYRKYQNTTSVWIPCFKFNSSSYPNLPLNKTKNA >RHN44871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9303361:9313611:1 gene:gene39038 transcript:rna39038 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFNPQILVEKLAKLNSSQTSIETLSHWCIFHMNKAKQVVETWAKQFHSSPREKKLAFLFLANDILQNSRRKGSEFVGEFWKVLPDSLRDVIQNGDDNARNQARRLIGIWDERKVFGSRGQILKEEFVGRHAENNNRDVKPMNAKPTNVKPMNVKLRPSAGNALDRIVSGYQYIYGGQTDEDAVLSKCQNAISSLEKVDKEIDHDSNSGKFHGPAVVNELQGHNGILKDCIDQLTAIESSRASLVSHLREALQDQEFKLGQVRSQIQAARVQWEHSNNTCQQLLNGNNIQSLVEQSSKEIQTSMTPASFISGGEQSAPLMYSPQVMFSQNSGHSEEDPRKSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVIGNQMTGSSADYHAEKRTKLENDQSYVPSQNPQQPLPPFSLSEPTQTSNQQSTPNEPPPPPSSSPPPLPPLPPTPQQYPVPQFMQNVGSVNNMAYSYGVMQQPSMATYPAVGVSMNNISPYTPQMNAYQGFQGPDGNYYNQPSSMPMVPISRQ >RHN39327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6062014:6062965:-1 gene:gene45337 transcript:rna45337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MGSKWDIEKFTGSNDFGLWKVKMRAILIQQKCVEALKGEAHMSAHLTSAEKTEMNDKAVSAIILCLGDKMLREVSREATAVSMWNKLDSLYMTKSLAHRQCLKQQLYFYRMVESKPIMEQLTEFNKIIDDLANIDVNLEDKDKALHLLCALPRSFENFKDTMLYGKEGTITLEEVQAALRTKELTKFKELKVDDSGEGLNVSRGRSQNRGKWKGKN >RHN57207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37305952:37306587:1 gene:gene32717 transcript:rna32717 gene_biotype:protein_coding transcript_biotype:protein_coding MARYSTSTNLKHHHQILTMSSNDQCTTYTSLDFNYIIQLNRN >RHN78737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17975703:17976579:1 gene:gene2385 transcript:rna2385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTEILKFIFVIIILFLSISLVSADFDLHNDSYDYLYEFQECEVDNDCPQDPLPMKCINYICVVHNEEPSDNL >RHN40949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24889071:24890606:1 gene:gene47199 transcript:rna47199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC transporter A, ABCA MVQILGKLVILMVPSSAGSSTLVHVEKDVSAKGSELFTRDDSYVSKSKSTFYHNWFGFIIKIHNCQDGDKLSLIKLASIIEVSAKKGTRDLKLQGKLMDQVDWLPDSIGKLSSLILGIMVTAKVIKTSRFIADFFLLIKAVAMVKKTHAYKNKLRALELERSGGITNKKGQKNKDRYGIIMILYSPCISNAYLQFLRGFGTKILFEFVKEMPKSETPIRIEITTLLGSLFFTWVVLQLFPGIHFCIWNEVMRWSLNP >RHN58090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43673199:43673549:1 gene:gene33698 transcript:rna33698 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSSSSQPSSPSITPYDVPSSSNVVTDIPGPSTSHQSEEAPSSSSSRQRAMNEVWLEPVVEALATQVAIDASHYHGRLAAASALAIIFQVTFSSFYFLN >RHN66744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18199190:18201109:-1 gene:gene14818 transcript:rna14818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase chromatin remodeling SET family MLDFLTFIQHLIFLFSLFIYHLINQSIIYLFDFFHFSDRFSVWCIKNIFIAKNTRKYSNSDMYSPNLNPSISRTIVPAPNEPQNYYNNPLAGEASSSKSKQNLKDENKELVSTAMDGDHRQCRETVRRTRLILNSIPKSGLPEEENNRKVMKVLKALMNKLKEVNNSRIRNDLTAATSMNDHRLCLYHDKKIIGPVPGVCIGDVFLYRTEMCVIGLHGQPQAGIDYSHPSMSTNGKPVATSVIVPCGSDDVDQDDFVINSGQGDKKDQKLQGGNLAMVTNMQYEIDVRVIRGVEYDGVPATTSKVFVYDGLYKITKYWWENGESGFRVYKFLLSRVKGQPKIGSMILEEARMLKIGQLCSNSMYVISHDISNGKENIGVSLYNDIDNDQYPMQFEYLPKAAFPQFVLPQSMTTRESRRAKECSESVDGCISSIKNGNTFPYSKSGILLKGRSLIYECGPFCSCPLYCRNRVTQKGLEHRLEVFRSNETSWGVRSLDLILAGEFICEFTGLVLTRQQVEILTMDGEHNSLMIDPSRFFNSRTQEWGDLSRIDANHVPPSYPPLDFALDISMMRNVASYISHSPTPNVFVQLVLFDHDNWRFPHLMVFAMENILPMGELSLDHGKDAGLDEKLTGKLAICN >RHN60852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30983036:30984005:1 gene:gene23239 transcript:rna23239 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRSSTSNSRFSGDDHGTINIAVEQPQNAKRRRNAEDDSLDTLPVYHRTAARRDVSRSRSPPVNKMIHAIPLLVFICLFTLWWFSFPVDVKIKDGRITTIRQMDTPVSDDNARFDITILAVAASSPMPSIHEDLSGEDEMYLPPSSSPN >RHN55240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16365316:16368449:1 gene:gene30386 transcript:rna30386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small multi-drug export MASSSALLLTPPFHFTKPHKKIPLFKHHSLKPKPSLTLHHGSSLLTHSLTKIAPTQFKASSTEYLDAIDEPERLIFYEEKPVKFALWVIFWASMSLAWFAYSKDANAAAGTGAVDSIKASGFGLKIANSLRKLGWPDWVVVFTLATLPVLELRGAIPVGYWLQLDPASLTVLSILGNMVPVPFIILYLKRFASFLASKSPSASRFLDILFKNAKAKAGPVEEFQWLGLMLFVAVPFPGTGAWSGAIIASILDMPFWPAVSANFFGVVFAGMLVNLLVNLGLKYAIITGIILFFVSTFMWTILRNLKKGFSSSN >RHN50042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3486710:3491081:1 gene:gene34290 transcript:rna34290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MEERTEMDINKVDDLMPGFRFHPTDEELVGFYLKRKIQQKSLPIELIKQVDIYKHDPWDLPKLASSGEKEWYFYCPRDRKYRNSSRPNRVTRAGFWKATGTDRPIYSSDGKCIGLKKSLVFYKGRAAKGMKTDWMMHEFRLPCISDSNSPPKKFSDKSFSPNDSWAICRIFKKTNSLSMVQKALSHPWISQLPGSMVSELLTQTTNINQFCSENNISCTTIEQGSSAGTNLQINKHDIQQVSNTINNFSASDFPNYKPINNINTISKSSQIQVPNGDIIDNLMFYTLDANGSTKCTIDDSSILSNTNYIGFEDANNQYNGFSISLPQDMQPNIVNIQDQMQLNQWENLGRTIEFPFNLSPSFDALRTSVTWDSPPCTSEMSTTFVTSKCYT >RHN65684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4357156:4359220:1 gene:gene13556 transcript:rna13556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MLKHYNVLLSHMQGMILLTLSVSVWKNKKLFFIALYALSVGDGGHKPCVQTFAADQFPEGTMEERDAKSSFFNWWYLAIVIGSIFAVFVIGYLMDNVSWAVGLEVLVAMLAGALAVFLLGRKRYRKESLKGSPITTIAQVFVAASRKWRVKDTLSYDDYWYGYDYGSIHPSQSPPIVQTLIRTDQYRFLDKAMIIDEHDISSKTINPWRLCSMTQVEEVKLVIRLIPIWLSCLMFIVVQSQLGTFFIKQTSTLNCSIGPHFKIPPASLQGFVGIVILFAVPIYDKLFVPFARKITGHHSGITVLQRIGVGLFLSIFTMIVSALVEAKRAIIARNHNLINDSNINDIVPMSIWWMLPQYTILGISDAFTIVGLQELFYDQMPETMRSLGAAAHISILGVGSFVSNGVIIVVVDITTRIGGKWLGNDLNRAHLDYFYWVLAVLSALNLCVYLLIAKGFVYKKRHEGEKSMMEGST >RHN42349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37593601:37599232:1 gene:gene48780 transcript:rna48780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MAWCLNQRMLSSVIFLLSVSLCLLTNHSSIAEKLPRFEHHLKPQQQSLNFLVVGDWGRKGNYNQSLVAHQSNNIDAYINGHDHCLEHIIDKESGIPFFTSGGGSKAWRGDIRPWDPKELKLYHDGQGFMSVQITENNADIVFYDVFGKVLHRWNITKEMSAAA >RHN78975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20488245:20496073:-1 gene:gene2650 transcript:rna2650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MGGFATIGSAFDSKSGQIIMASLLLMIVSFYLGTLFGNNTPLYISHLPSPSSFNNNTPSSNGTSRFSNKVALTYRKTPLAIPDTGVDICPLRFNEYIPCHDVSYIATLMSHLDVSRKQELERHCPPLENRLFCLVPPPKDYKIPIRWPLSRDYVWRSNVNHTHLADVKGGQNWVHPKDHLWWFPGGGTHFKNGASEYIQRIGNMITNETGDLRSAGIVQVLDLGCGVASFSAYLLPLDIRTMSFAPKDGHENQIQFALERGIGAMISAMSTKQLPFPSGSFEMIHCSRCRIDFHENDGILLKELDRLLRSNGYFVYSAPPAYRKDKDFPAIWDKLVNLTTAMCWRLIARKVQTAIWIKENNQPSCLLQNAEQKLINVCDVDDESKPSWNIPLKNCIQVRSANAESYKLPPSHERLSVFSEKLNKIGIHRDEFTSDSIFWQDQTRHYWRLMNVKETEIRNVMDMNAFYGGFAVALNNFPVWVMNVVPASMKNTLSGIYDRGLIGTFHDWCEPFSSYPRTYDLLHANYLFSQYTNLGLGCILEDIMLEMDRLARPLGFFIIRDEEHIISRINDLAPKFLWDVESHLLENKDKKMETVLICRKKFWAIL >RHN72465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7888486:7888866:1 gene:gene8178 transcript:rna8178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PC-Esterase MMLEKLRNKRLVFVGDSIGRNQWESLLCMLSSEVPNKESIYEVNGSPITKHEGFLVFKFKDFNCTIEYYRAPFLVLQSRPPKGSAKKIRTTIKLDQMDWNSWKWKDADVLVLNTGHLWNNEKIIKG >RHN49494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55198413:55201361:-1 gene:gene44332 transcript:rna44332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MNCFPCFTSQKSKKSNSRRENGVAPPQENNNLITRTPDIKKPKPADDPNQVDTSNIQAQNFTFRELAIATKNFRQECLMGEGGFGRVYKGTIPATGQVVAVKQLDRNGIQGSKEFLVEVLMLSLLNHENLVKLTGYCADGDQRLLVYEFMSGGSLESCLLERKNDQDPLDWYSRMKIASNTAKGLWYLHDKANPSIIYRDLKSSNILLDKDLNAKLSDYGLAKLAGKDKANIVPTRVMGTYGYSAPEYVRTGNLTLKSDVYSFGVVLLELITGRRAVDTTRSHDEQNLVSWAQPIFRDPKRYGDMADPNLNKNYPEKDLNQVVAIAAMCLQEESAARPLMSDVVTALSFLSTSPPPEAVPAPLPPPNCTSQKSVATADESESEDDNDSHSSDEERSVHEDKKSITATSAKHQEYDDVSDTEGDYYDNENQHDYSSQDAKETKEFYSKSSCKSSTKSRKGTVSSGRRNNSSSDSENDHESSRRKHEVDGSLTQKSSKKSAARDLSQKSSKKSTVTKDLSQKSSKKSSARVVSRSSHSSSDEESHDGGVLLKRGDSRPSHDGNGYSFGLVSSDSEEGSHFDRTSTRGSEEGSFHHFQHSSSRKSDEGSVHSR >RHN68067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32509620:32512314:-1 gene:gene16358 transcript:rna16358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-coumarate--CoA ligase MDNLPKCRANYTILTPLTFLMRASASYANRTSVIHEGTRFTWSQTYDRCRRLASSLRALNIAKNDVVSVLAPNIPAMYEMHFAVPMAGAVLNTINHRLNAANIATILQHSEAKVFFVDYEFVSKAKDALRLLMEEKDQTEQYSSLPLVIVIDDINNPTGIRLGELEYEQMVHHGNPNYLPEEIQDEWSPITLNYTSGTTSEPKGVVYSHRGAYLSTLSLILGWEMGSEPVYLWTLPMFHCNGWTFTWGVAARGGTNICIRNTAASDIYRAINLYNVTHMCCAPIIFNIILGAKPSEKRVIKSPVNILTGGAPPPASLLEKIEPLGFHVTHAYGLTEATGPALVCEWQKKWNVLPKREQSMLKARQGVSVLTLADVDVKNLETMESVVRDGKTMGEIMLKGSGIMMGYFKDKEATAKAFGDGWFRTGDVGVIHKDGYLEIKDRSKDVIISGGENISSVEVENVLYSHPKVLEAAVVAMPHPKWGESPCAFVTLNKNEEVKSDFCCVTEDEIITYCRKNLPHFMVPKMVVFMEDLPKTLTGKIQKFELRAKAKCFVVNDEKKNNKKPNNQVNHNNDQIMALSRL >RHN67562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28281829:28285804:-1 gene:gene15760 transcript:rna15760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-transporting ATPase MEEENVYTKDGTVDYLGNPANRKKTGTWKACPFILGNECCERLAYYGMSTNLVLYFKERLHQHSAVASKNVSNWAGTCYITPLIGAFVADSYLGRYWTIASFSILYVIGMTLLTLSASVSGIKPECQGKDNCHATTLQSAVCFLALYLIALGTGGIKPCVSSYGADQFDDDDEDEKKHKSSFFNWFYFSINIGALIASSLLVWIQDNVGWGWGFGIPAVAMAIAVVSFFSGTKLYRNQKPGGSPLTRIAQVIVASIRKYRVDAPTDKSLLYEIADTESAIKGSRKLDHTNELRFFDKAAVQGESDNLKESINPWRLCTVTQVEELKSILRLLPVWATGIIFATVYGQMSTLFVLQGQTMNTHVGNSSFKIPPASLSIFDTISVIFWVPVYDRIIVPIARKFTGHKNGLTQLQRMGVGLFISIFSMVAAAFLELVRLRTVRRNNYYELEEIPMTIFWQVPQYFLIGCAEVFTFIGQLEFFYEQAPDAMRSLCSALSLLTVAFGQYLSSLLVTIVTNISTKNGSPGWIPDNLNYGHIDYFFWLLAVLSVLNLIVYVLVARLYTYKKTVGTLR >RHN57702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41143423:41146822:1 gene:gene33283 transcript:rna33283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MSPTIAKQIPEAGTANDVKSLGLGWMIAFLFVVSFLGLFSVVPLRKIMIVDFKLTYPSGTATAHLINSFHTSEGAKLAKKQVKALGKFFSFSFLWGFFQWFFAAGDSCGFTNFPTFGLEAYQRKFYFDFSATYVGVGMICPYIINISLLIGGVLSWGVMWPLIGAKKGDWFPADLKESSLHGLQGYRVFIGIAMILGDGLYHFVKVLGTTLIGLYKQLKKKDKGAQSEDPNAPLPPTLSFDDKRRTEMFLKDQIPSWFAIAGYVIIAIISIITIPHIFHQLKWYHIICIYIIAPALAFCNAYGCGLTDWSLASTYGKLAIFIIGAWAGPANGGILASLAACGVMMNIVSTASDLMQDFKTGYMTLASPKSMFVSQVIGTAIGCVVSPCVFWLFYHAFGTLGQPGSAYPAPYALVYRNIAILGVDGFSALPKNCLVLCIIFFIAAIIINFVHDLVGKKYAKYIPVPMAMAIPFYIGSYFAIDMCVGSLILFIWQKLDRAKADAFASAVASGLICGDGIWSLPSSFLALAGVQPPICMKFLSRAANAKVDGFLES >RHN73636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18404587:18406067:-1 gene:gene9473 transcript:rna9473 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPRYIGYTTLAVGAQWIFRPVQGLISPVLCSCDVLLLLLTGTNDRRLSDQQMALLQYQRENLHFMNEEILRLQESLSKYE >RHN39737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10129048:10134082:1 gene:gene45798 transcript:rna45798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trehalose-phosphatase MKSLLPASLNGDNNEESILSSYNSWLENHPSALENFEKVMNIAREKKIVVFLDYDGTLSQIVDDPDKAYMTDAMRAAVREVASYFPTAIISGRSRNKVYDFVKLKNIYYAGSHGMDISTSLGSSKYHDKNHQTKGVDEKGNEVVLYHPAEEFLPTIQEIIKILKDNIRVINGSTIEDNTFCFTVHYRRVKNREDVEVLKEIVESIMKDYPDFLISGGKEIMEIRPNVNWNKGDALMYFLDTLGYNTFDDVLPIYIGDDRTDEDAFKILKQIGGGFPIVVSSIAKETNASYSLRDPADVKTFLTHLAKWKKNLIHKTKQR >RHN41458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30168046:30173811:-1 gene:gene47785 transcript:rna47785 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDIEAGRPFGSRRGGPINGKQDPTQAVAAGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRQHIGQLVKDTSAKLKQASDIDHHADVNASKKIADAKLAKDFQAVLKEFQKAQRLSAERETAYTPFVPQAVLPSSYTASEMDIGTDKTPEQRALLVESRRQEVLFLDNEIAFNEAIIEEREQGIQEIQQQIGEVNEIFKDLAVLVHEQGTMIDDIGSNIENSHAATAQAKSQLAKASKTQRSNSSLACLLLVIFGIVLLILIIVLAA >RHN78886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19570294:19570509:1 gene:gene2552 transcript:rna2552 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSKKAIAVLLICLVIAMASVKKAETLSDCAKECMPVCLKEKGATIDTCSPACEKYCVQITEKATKARRA >RHN46354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30940145:30940738:1 gene:gene40836 transcript:rna40836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MSTYENYNVVFHSETIHTMVTHDPSMVDCWLSTLSQTSRSRRFLVGLDVEWLPNRQRNVENPVAVLQLCIKKKCLVFQILHASFVPQSLVAFLENEDNTFVGVGVEEDVGKLLRDYSLVVANFVELRNLAAERFGEHMRCGGLKALALSVLGKDLEKPRKITMSRWNSFRLTPQQVQYACIDAFVSFEIGRILNARN >RHN47085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37012903:37014051:1 gene:gene41640 transcript:rna41640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase/kelch, beta-propeller, F-box associated interaction MAKSLGEEKVRHIPQDLASLVLSKLPLKSLKRFECAHKTWSLLFENHVFITMFRDNFTSISHSYYDDTSLIIQQLVHKGRSTVSILHLLSSQSFENRLKLDLPTPLQTEHPMFYILYSSTINGTLCLSKGDKTFVLWNPTTDEVNVIPPSPRDSVSPDSAMISFHGFGYNRVRDDYTIIKCLNNPKAWEIYSLRCNTWKKLDVNMPSRSYYRDLLNTNDGICHWLSETDDQLCLVSFDLSSYVFLTTSTPIIMNQIDFEDPNDYGMMALLVMLNGSIALISCYVGKTTFDILILGELGVSESWTKLFTIGPLPSYIEEPIGVGKNGDIFFEKINDGKLVCYDLSTHMFEEISLEEPPSNRITYKKKWFIELDHTLVGFLVFN >RHN58434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1697049:1699282:1 gene:gene20348 transcript:rna20348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MESKENIEATRKLLTSSLETSISISSALDESSSRLQLLNQRCLSMQASLKSISMQLQSISFSDVEHGIDSVLCSVSAVLKVFQCVTQLEHSLSIDASFDLLTYVSNTKKLEESLKLLTANCKLATSWLRSTFEFLQDKTTISTNEFYLLNVNKSLRILQELQTMENGARVDGGILSIAFDKLEIAFHKLLMENSMPLSLGSLTSHVGQQNNAVKQDLNFPSSLTRKLQVIAERLNANNRIQKCQTMYVEIRGTNAHRSLKTLDLSYLKFSITEIEDAHGMENLIDKWGNHLELVVKKLLDVEYKLCHIVFEKIGLEASMSCFAKIAIESGMLTFIEFGKVVTERKNDPFKLLNLLSMFKVLNDLRLKFNQLFRGEACERIRIVTKDLITRIVNGASEIFLLLSEQVKLQRPTCPPSDGSVPKLVSFVTDYCNRLLSDEYKPQLNKVLEIYLSWRNELFEEGIFVGQIYSIIKEVAVNLDDWSKAYEDINLSYFFMMNNHCHFYNLKGTLVGNMMGDSWLRAHEQYKEYYAALYLRNSWGNLQNILVVSSSMTCQDLVKRINAFSLAFDEMYKKQCNWIISDVILRENICKHLVEGIIPIYKVYLKNYILSIDQNDEGVVDKHIIKYTTKSLENEIWSLFQPKMKKHDNSIKHIDLISKIKEFSHQFRLTLAGL >RHN45547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22776358:22778432:1 gene:gene39911 transcript:rna39911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine phosphatase superfamily MDARGKHIIENQHLISYQNVIIMRHGDRLDNIDPLWATTASRPWDPPLAQAGRIRAFQTGKGIEHSLKYAIHQVFVSPFLRCVQTIVELIAALSTINEGLETFIGEDNLVGTSKVKVSIEYGLCEVLNNVAIRPNVAPKDGNISFDISVLEALLPAGTVDNNVERVYNELPQWGESFSEARARYQQTIIKLADKYPTENLLFLTHGEALQMVLSSTRKDDANTKAKLQYCAYVELKRPIFKKDQSLAGGEFNVLPRYSQTGVSYISSTDPSGENINQTSK >RHN56515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31530922:31536695:-1 gene:gene31923 transcript:rna31923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADALLGVVLQNLKSLVQNELATISGIKSKAQKLSTTLDLVNAVLEDAEQKQVINRSIKVWLQQLKDAVYVLDDILDECSIESARLIASSSFKPKNIIFCREIGKRLKEITRRLDDIAESKNKFHLGENGTFRERSIEVAEWRQTSSIIAEPKVFGREDDKEKIIEFLLTQARDSDFLSVYPIVGLGGVGKTTLVQLVYNDARVSSNFNTKIWVCVSETFSVKRILCSIIESITREKYDGFNLDVIQRKVQELLQGKIYLLILDDVWNKNQQLEFGLSQEKWNILKSVLSCGSKGSSILVSTRDEVVATIMGTCHAHPLYVLSDNECWLLFKQYAFGQNREERAELVEIGKEIVKKCDGLPLAAQALGGLMSSRNEEKEWLEIKESELWALPHENYILPALRLSYFHLTPTLKRCFAFCAMFPKDTEFVREELIHLWMANEFILSRENMEVEDVGSMVWNELCQKSFFQDIKMDNGSGDISFKMHDLVHDLAQSVMGQECMYLENSNMTTLSKSTHHISFHYDDVLSFDEGAFRKVESLRTLFQLNHYTKTKHDYSPTNRSLRVLCTSFIQVPSLGSLIHLRYLELRSLEIKMLPDSIYNLQKLEILKIKDCQKLSCLPKGLACLQNLRHLVIKDCHSLFHMFPYIGKLTCLRTLSVYIVSLEKGNSLAELHDLNLGGKLSIKGLNDVCSLSEAQAANLMGKKDLQELCFSWTSNDGFTKTPTISFEQLFEVLQPHSNLKRLIICHYNRLFLPSWISILSNLVALVLWNCEKCVRLPSFGKLQSLKKLALHNMNDLKYLDDDEESQDGIVARIFPSLEVLILEILPNLEGLLKVERGEMFPCLSRLTISFCPKLGLPCLVSLKNLDVLGCNNELLRSISSFCGLNSLTLAGGKRITSFPDGMFKNLTCLQALDVNDFPKVKELPNEPFSLVMEHLIISSCDELESLPKEIWEGLQSLRTLDICRCKELRCLPEGIRHLTSLELLTIRGCPTLEERCKEGTGEDWYKISHIPILKIL >RHN55463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18611616:18617156:-1 gene:gene30645 transcript:rna30645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GOSR2/Membrin/Bos1 MSQVFEGYERQYCDLSANLSRKCTSTSLLSDQEEKLQKFSEIKTGLDDADVLIRKMDLEARSLQPSVKAMLLAKLREYKSDLNNLKKEFKRLTSPTADQAARDDLLETGRADTHLASADQRERLTMSVERLNQSSDRIRESHRTVLETEELGVSILQDLHQQRETLLSSHKRLHGVDNAIDKSKKVLTAMSRRMTRNKWILASLIGALIFAIVIILFYKLSH >RHN65351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1389029:1389502:-1 gene:gene13179 transcript:rna13179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoeugenol synthase MEAKKNKILVFGGTGYIGKYMVKASISLGHPTFVYTHPINSKTPNSKIQLCKEFNSIGVTLGELEHDQIVKVIKQVDIVICTFPYPQVLEQLKIIDAIKVAGNIKVIKIPLTKNVLNDISLG >RHN59789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13154955:13155202:1 gene:gene21941 transcript:rna21941 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKEVMHRHQDIYIETRTRKDESIVNEKAARMIVSILQFWNIK >RHN65780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5271617:5273300:-1 gene:gene13669 transcript:rna13669 gene_biotype:protein_coding transcript_biotype:protein_coding MHVNVHDRARNFQAEKIVIKKNKRPRNGDNAASKGGPHKDKMEKTKKNSIGIYGGSSTSSKRGGGQLKTIQKGSVGHMTKENTPTNLEPHMTTLDIAPKMKTLSNKITGVDNNKNDRIGENGNNLMDPPKPPDPTSDIANSQEDNDTHKLELGSIVKPDLEVSMGSTHDTTMELN >RHN72269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6298442:6301524:1 gene:gene7963 transcript:rna7963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller MQRVRFSSQQAPVHKLGDPQMTLSPKFRLAVVQSNLTSQMPELDHSLREELLIPGLPDDVALNCLLRLPVQSHSSCRAVCKRWHMLLGNKERFFTNRKQMGFKDPWLFVFAYHKCTGKIQWQVLDLTHFSWHTIPAMPCKDKVCPHGFRCVSMPHDGTLYVCGGMVSDVDCPLDLVLKYEITKNRWTVMNRMISARSFFASGVIDGMVYAAGGNSTDLYELDSAEVLDPISGNWRAIANMGTNMASYDAAVLNGKLLVTEGWLWPFYVSPRGQVYDPRTNSWETMAVGLREGWTGSSVVVYGHLFVVSELERMKLKVYNQEADSWEAIDGSPLPEQICKPFAVNACDCQIYVVGRNLLVAVGHISKLNPKESCKEKWNFGVQWHVIEAPKSLSNLTPSSSQVLFA >RHN77340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6139583:6143793:-1 gene:gene786 transcript:rna786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MSGMERLQRMFAGAGGALGGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRRFDSHSKTNEQTVQEMLSLATKYNKAVQEEDELSPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGMMLDTVVF >RHN71052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56101981:56105358:-1 gene:gene19695 transcript:rna19695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative actinidain MKKQQSILNFFHKTMNCCYFPFFILRLLSLLFLLSLLSLVSASNDTSKLFQEWSKQHGKTYPSEEEKRYRFKVFQDNYAFVSQHNEMGNSSYTLSLNAFADLTHHEFKTTRLGFSPSSLLRFKFNHFEDQQFDDNGILQVPSEIDWRKSDAVTPVKDQGSCGACWSFSATGAIEGINKIVTGSLVSLSEQELVDCDRTYNSGCDGGLMDYAYQFIIDNKGIDTEEDYPYQSRQLLCKKDKLKRRVVTIDGYTDVPPNDEKKLLKAVAVQPVSVGICGSARAFQLYSKGIFTGPCSTYLDHAVLIVGYGSENGVDYWIVKNSWGKSWGMNGYIHMLRNTDNSAGLCGINMLASYPTKTSPNPPVPPPPGPIRCNLFTYCSRGETCCCAKKFLGICFSWKCCGKTSAVCCKDERHCCPLDYPICDIGRSQCLKRIANGTTTMPSDKQDTFHQTRDWSSH >RHN82029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50687617:50692556:-1 gene:gene6145 transcript:rna6145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase class IV MSSLRYLFSKGILSHTSDVPAAKVFLEAHPGAYTTSRTHDSGSCLMFWERHMKRLSESIRILSNLAPQLIFKSDNAVSLQPLSPNLPAWKLALQTLVNDSLEKVLPFALKKRVDSEELIITTLVTGNLEKLNACETISEEKMSKFFDVHVHIETYVPPQFGILGNGEHLAVGGYGRNVAAAKYSDWVRIRKTLEKLRPPSVTELLLSYNGNQILEGSATNFFVVCRKDSDSDDGKSPCDYGNKSSFEVQTAPISDGVLPGIIRQLVLEACRSEGISCREVAPSWSKHEIWEEAFITNSLRILQHVDSIQVPIEWQSAHSKTWKDISWTKKEFKVDGPGMITTLIQEKVMEKAILEGYPISNICTR >RHN57885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42412276:42415323:1 gene:gene33476 transcript:rna33476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MESPQSVVSPFKSSILGHGEKYKSDDLTQSSSPLSKDIEVNGKEAVAVSNPEEFIGVVDVYIHQARDIHKICIYHKQDVYAKISLTSDPENSVNTKIINGGGRNPVFNDNLRLSVRTVDSSLKCEIWMLSRVKNYLEDQLLGFALVPLSDVLMKDGKLEKEFSLSSTDLFHSPAGFVQLSLAYNGALPDVMAISAMPNTELDRNGTEKDSKTSESLVRDLDKIEFPNPKIVNEDHLMVSEYFGTDSLATTDTENLSSEKGVQLVESFSVCSVESVQPPKVESPPSSNGVVSSPSVAASSKSRAATKPPNQEQISGTKKKNVDLKHGESDSSNTLLNESFPSPVVSVNIEPEPKMVQQDIVDMYLKSMQQFTESLAKMKLPMDFENGPTSSGNSTSEQKLQSSKSSNSRVFYGSRAFF >RHN58373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1254074:1255766:-1 gene:gene20280 transcript:rna20280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rho GTPase activation protein MHIIILCKLCISLLYRILLMMQAVASRKDENRMSSSAVAACMAPLLLRPLLVGDCEIENDFDVGGDSSLQLLQAAAAANHAQGIVITLLEEYNSIFEEGSSSPGPDMYIDSEDDESESEDDDLSYDDYYDDEQDESIEGSDVDASDELVSETNSETGDSAVNDEYDKDHNISYSSSKSSEVCDHLEVSLPQSEDIKSCENFTSQNKTASANDSTKPTDIIEGLSPDQTTMNRSNCPSTSSCNDAISNRKMHRRRTVLGQNHVSKSSHD >RHN66891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21011224:21011868:1 gene:gene15005 transcript:rna15005 gene_biotype:protein_coding transcript_biotype:protein_coding MEDINKLIEEDPLLALEKLLTGVQSYSIETLLQELKTLIESLLDLEHLVSNQESKEKLISLLHGLNQHQGLLPSDVKEYVQKVQNFFNDNIINHAISQEVLKKHNQLLNSKTDLMNKLLSAKSTQTHVDNETSTVNAQIHEISLEIDELRKWLADLEHQRNDLISVMNQCDDQKKKLKAECSKWAQQSKELLRQRSMPKKLNVQGLWQKKALQI >RHN49239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53499333:53500210:1 gene:gene44050 transcript:rna44050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative coatomer beta subunit (COPB1) protein MLLLNDGDADPHLFTTVIRYVQSCDDHTVQKSLLLYLENIDKTDSTGKLLPEIILIIQLSLAIFNALTNSFAVLLFVFFAGKELLDNAPEIVEKFLESEQDSSCKRNAFLMLISCAQDRAIKYLFRNIDRILDWSEQLQMLVLELIKKVSVNNNKGEKAKYIAIVKYLLSASSNAVVYECAGALVSLTAPTAIEAAASAYCKLLISHSDNNVKLIVLDRLNELKRYNREIMVDMVMDVLRALLTPNHGCV >RHN42619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39757994:39760531:1 gene:gene49077 transcript:rna49077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MATLVEPPYRIKPKGKHYYRISKTLFEVDMKYVPIKAIGRGTYGVVCSSINKDTDEKVAIKKISNIFDNCIDSVRTLREMMLLRNIRHENVIALKDVMMPVHRTSFKDVYLVYELMETDLHQIIKSTQPLSNDHCKYFLFQLLRGLNYIHSAKILHRDLKPSNLLVNSNCDLKICDFGLARTNGVYGEFMTEYVVTRWYRAPELLLSCDSYGTSIDVWSVGCILAEILGRKPIFPGTSSLDQLKLIVSVLGSPHEYDLEFIDTQRERMFIKSFPYTRGVHFSNLFPQADPLAIDLLQKMLVFDPTRRITVSEALQHPYMADLYDPWCNTPAEVPINLDIDENWGEQMIREMMWNEMLHHHPEVAYANA >RHN53220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:190510:191415:1 gene:gene28108 transcript:rna28108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MKEASMDPAAMYMLPLELIIQILLRLPVKSLIRFKCVCKSWLSLISNDTHFALSHFQLTSSTATLTCRIMFITPIPYRHRHCHCLSIDFEASLKDDSSYASSNIYFMYPHDLQFKVSCRGFLLLHGSFDIYIWNPSTGFHKQLPLSRYGSSYRNYFYGFGYEESTDDYLLVSISHDPKLANTKHLEIFSLRDNTWKEIEGIHCPYTNSSTDYPKIIGSLFNGAIHWFSFRHDHLQMDLILAFDLIKRELFEIPLPIDIDYEATNCDVWVFGQFLSIWAMDYDNDTVEIWVMKEYKVHSSWG >RHN71549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:963791:965638:1 gene:gene7161 transcript:rna7161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MKRNPFLFVTSIQSHPFHPFSPSSKPTYKIKYFLHILPSIFLNNLLQPHYHFISSNNMSLTGRPRVIVNGVRRMRTFHYFWCLNCQRTVRIPSTNTIQNYGSFCPYCFHQLRYELDISRPRLLMNDPNNRNIDPPPSTNQLMGSLAFILDPSLRRQDQNNTTPQWGTEHEDDQNQNPQAWITLRFVRPTRPTRPIAPPPPQNMAPQLNDIETPSLDEFFDGVIHNNIRPGPPPASPSAIEALPMVKVTETHLASDPNCPICKDEFEVDVQVRELPCKHFYHSDCILPWLQMHNTCPVCRHELQGVDNHNANYYFFQNEIEQQGFLGFEEFTSSFNWIWNQLASIRPIRAVLDWTRSYFELHARARSRSSAWWRALLIS >RHN79500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29606263:29608513:-1 gene:gene3297 transcript:rna3297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannosyltransferase, DXD MLNDILVGYFIYYILKLQKVPENLCNYSVMAWLLNPFTFTIGTRGNCEPVVSAMLLWIIVCLMKGMVLLLYWIKLIVLLACYSNVQFLALIYPIIYSIPIILVLDPNFFPSGQKPVLRNWSTFQKERPEDRNGLFTPLNFLKSLFTRNRMMFGLVSGLVFLLCTGLFYCLYGWEFLHEALLYHLTRTDPRHNFSIYFYHIYLHYGNDISVVEKLVSFLPQFLVQLVLIFSFARDLPFCLFVQTVSFVAFNKVITAQYFVWFFCLLPLILPWSKMKLKWGGLSCILLWIGAQTHWLLWGYLLEFKGKNVFLQLWAASLLFLAANIFILVMIIRQHNCSSVFKALENTHSKHVTKLE >RHN55589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19817866:19818268:-1 gene:gene30791 transcript:rna30791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MCWHICKVLNLVNKLICHSFQDVYVDKNKKIRLVKRLAKLYKPYVYFKAVFDDTNTKNLRRAVEGYNMENGILEFDPISINWTNYMMNTHIPGLVKYAMK >RHN64280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57868989:57877210:1 gene:gene27082 transcript:rna27082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MGGQSNAAAAAALYDHAGGAVPLHPAPAGTAPDAGDAVMARWLQSAGLQHLASPLANTAIDQRLLPNLLMQGYGAQSAEEKQRLFKLMRSLNFNGESGSELYTPTSQTLGGAAVSDGFYSPDFRGDFGAGLLDLHAMDDTELLPEHVISEPFEPSPFMPGSTKEFEDDFNSVSIKQEGGDAVADVSIFLPVNEKENNTRENNVAKIKVVVRKRPLNKKELAKKEDDIVTVFDKAYLAVHEPKVKVDLTAYVEKHEFCFDAVLDENVTNDEVYRVTVEPIIPTIFERTKATCFAYGQTGSGKTFTMQPLPLRAANDLVRQLHRPVYRNQKFKLWLSYFEIYGGKLFDLLGDRKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEFIERGNAARSTGSTGANEESSRSHAILQLVVKRHNEVKESRRNNDGNETKSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSKTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNPRKDQAPNPVPQSNKEVLSTSSLPDSACAEDVYYQRQEVKTGDMGRKVIENENSLYSSAAAADVDKQPSSFSSTFLFNGREEKGLPSVSADRNRFEVKNSTSQKMNPYSQNDTDEKVQKVSPPRRKGTKEERPERSFNWQKRDANGSDHFTTSSKQQSTENHNTVATGSRQPETESSPDVNISAVLEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDSYVTQLSFVLSRKAASLVSLQARLARFQHRLKEQKILSRKRVPR >RHN73301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15219496:15222055:1 gene:gene9100 transcript:rna9100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MTRKCFVCFMFLEVVCFIVIGVHGDKNLPARKISDGNYHVTMRKLQSFKTSLTRHDSFASTPSSSFAPSPSSQPAEGMNNPRVYHVTSYGADPTGNSDSTEALLAAIADATNGPSEGYLMEGISNLGGAQINLEGGNYMIRRSLKLPVSGVGNLMIHGGTIKASNDFPNDGYIIDLSTSSNENDGKNSPSSSYNFEYITLKDLLLDSNFRGGGISVINSLRTNIDNCYITHFTTNGILVQSGHETYIRNSFLGQHITAGGDKNERNFSGTGINIQGNDNAVTDVVIFSAAIGIMVTGQANTFSGVHCYNKATGFGGTGIYLKLPGLTQTRIVNSYMDYTSIVAEDPVQLHISSSFFLGDANIVLKSMKGVLNGVTIVDNMFSGSNQGVEVIHLDKSNGPFHQIDQVTVDRNVATGMNLKATVAKRSLQGNGTSWNVDFNNILLFPNLIKNVQYSLSSTGSSFPNHAIRNVSDNRVVIETNEAVAANVFVAVDQSMSS >RHN66942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21973206:21974388:1 gene:gene15065 transcript:rna15065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MCNNHTMVRCNEKDRETLLTFKQGINDSLGMISMWSTEKDCCSWEEVHCDNITGRFNCKELINLNLWSNKLSGDVPVYLFSMKQLETMNLGANEFSGTIPIKMSQSLTVVILRANQFEGNIPQQLFNLSNLFHLDLAHNKLSGSLPHCVYNMTQIDTDHVDEWHDTIIDLFTKGQDYVSDVNPDRRTIDLSVNHLIGEVTLELFRLVQVQTLNLSHNNLNGTIPREIGGMKNMESLDLSSNKFYGDIPQSMSLLTFLGYLNLSYNNFDGKIPIGTQLQSFNASSYVGNPKLCGAPLNNCTKKEENPKTAMPSTKNEDDDSIKESLYLGMGVGFAVGFWGICRSLFLIRKWRHAYFRFVDRVRDKIYVTLIVKLNGFKRN >RHN43587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47041308:47042387:1 gene:gene50192 transcript:rna50192 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDVKVVHFKGSRKRLMLESWNFYSSTPDIADMLCLILGSGRTKYDF >RHN38581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:433714:435789:1 gene:gene44536 transcript:rna44536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MGCLDMEYYMPIMVMVLIQFIYAGMNLGIRVTLLEGMSPNVFVVYRSAFATIFLAPIAYFSGRNSASYSLNLRSFSLIFMTSLIGVTLTQNLYFEGLYLSSSSIASAMTNLIPAVTFVIAVLARMEKVNIRSLRTIAKIVGTLICVCGALSIALLKGPKLLNAENILPTKSIMAITSGSDDSWLLGCVYLLGSSVAWSLWLILQVPAYASHPNYLSLSAWMCFMATLQSALVTLFLEPDLNAWKINSLLQFGCALYAGIMGSAFVFCLQAWCITKRGPLFSAVFSPLLTILVTILAVLLLHEEIYIGSLIGAIGVIIGLYVVLWGKAEDVVHVKQKIDPKSMVTQTEEVKILITDLKETLLADESTLQR >RHN70596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52629766:52638886:1 gene:gene19194 transcript:rna19194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neurochondrin MEAQQEPSLNLEDCLKLLKGERDEQRLAGLLLVTKFCKADDHSSLRKVYNAVGFRFLERLLRTGMGKGAISSDGDNNRDAYLSLSVTVLAALCRVPEIASSEDMTSMIPLILEVMSTRSGSSVLEECCEFLYLVSTASENGITRFYESRGIKIAASQLPSMEDGSHLVEISIKLLQSVLSRISLDTIQNDYLSELSVIVAVVARQFAILHNSLKFDALHLLNSILSSTDSSQLLQTLKLRPQDCWVPNVRVGIMAILQNHVAPAERLQALILAESMVSIFGEDWLISQDPTPDNMCLLLVLEQSRVEIAVLLNELAYLKYGAPQDTPASVEAYSLKQRNVVVAYSLVEKIIKLISNVGENDGNLLDEDTLTKLIRQLNETIAVVLEYLEDAKEHGQRKGNDLLASVRIIGSYLAEAPLASKEKVQDLLGYMLSIEGADEQRPFRSVCFLLPLLCQITMEVEGCKALCLCGGLQSVFDCLAKLIRSKHHMLEDDGCVFLACDTIMNLLLKKDEVQLTLDEAAFVDVLKALAYWSENTDDMSSRMMATSICALIFDYTSEEALLNYPDFNHDTLSSLYQLIARCLTSPKQGTNPDMDLSEIISAGFSRWAHRYPHIREAIKV >RHN45600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23555325:23571830:-1 gene:gene39976 transcript:rna39976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative retrotransposon gag domain-containing protein MLAKEVEFWWANVKRKLEAGGVVVSWERFKEELLKKYVPADLRNKKEVGCLQLKQGSMSNAEYAAKFEELSKFCPYINVLACEFHKLV >RHN60831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30739718:30744472:1 gene:gene23216 transcript:rna23216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydro-lyase MASSRIISHSPPNLHRQSSPSDSLKPIPTVNLTFPPKRHRNLCIRASQNDTSHSVELQTSPNGVVSKDPIALPRPLSSNQLHTAVSDGSRLRVAYQGVQGAYSESAARKAYPNCEAVPCEQFDTAFEAVERWLVDRAVLPIENSLGGSIHRNYDLLLRHQLHIVGEVKYAVHHCLMANHGVKLQDLKRVLSHPQALAQCENTLTGFGLVREAVDDTAGAAKHVAHKKLQDAGAVASSAAAEIYGLSILAQDIQDDSDNITRFLVLAREPILPGTDRPFKTSIVFSLEEGPGVLFKALAVFALRQINLSKIESRPLRKQPLRTSDDNNNRYFDYLFYVDFEASMADQNAQNALRHLKEFATFLRVLGSYPMDTSTA >RHN69188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41638601:41638816:1 gene:gene17623 transcript:rna17623 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVHESCPCWQSIQTPSFKKMSSCLSLIIVQLLSKRVRLDVFLSGDQVSTRIPNLPSSSSSRLSSFFKN >RHN67871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31078354:31078554:-1 gene:gene16116 transcript:rna16116 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKRTDGPDGAKSSDDQSNSPPPHQVTEVYRGVGHQEGENDGYGGWDDEFASDPIQIQTFLVGR >RHN73876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20957674:20958870:1 gene:gene9761 transcript:rna9761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MRISPSKSPLRRKGNTPSPVILPDELVAEVLSFLPVNSLMQLKCVSKSWNSLISDPFFVKLHLIKSSRNPLLSLFSTQSSNNSISAVLTPMPMRCIREITNANHDTKYLLAVEEYYMSVGSCNGLICMLHDSSDVFVYRDLSLRFWNPATRSLSDKLDYFCTSVKELNFKFSYGYDNLTNKYKVVAFRPNEVKVFTLGENIWRNIQSFPVDPYYCTHNPYNCGVYLSNSINWFAVRNHENFVYGRWKDLIVSVDEFVIISLDLGMETYTQLRLPQALNEVPYVMPTVSILMNCLCFTHYSKGNHFVIWQMREFGVEDSWIQLFKFRYQHQRCIGVRHGYCNLLPLHVLENDDTLLLVNGEQFIRYNRRENRLVKLPAINECLYLRYAKHYIESLVSTC >RHN53877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4922187:4922968:1 gene:gene28837 transcript:rna28837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MAERKRREKLSQCLITLAALIPGLKKMDKASVIGDAIKHVKELQERLRVLEEQNKNSPIEFVVTLNKPKLNYESWSDDGSKAASANNETLPHVEAKILGKDVLIRIQCQKQKSFLLNILVEIQQLHLFVVNNNVLAVGDSIHDITIIAQVKKNFNLFNIKID >RHN68481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35902580:35904667:-1 gene:gene16843 transcript:rna16843 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTMNSLPRVSTFGNMAFSLTSINVHNVGFLSPVIKSLSQLRTVWVQCRSKIQLTQELRRILGGQYDANFTKLETSHASQFSNHSLRSLLIRMGSCHIVIDTLGKSISQEPTTNNYSDLFLPGGNYPSWLAYTGEGPSAQFQVPEDIDCHMKGIILCTVYSSTSENMGVECLTSILIINYTKCTIQIYKRDTIISFNDEDWKNVASNLGPGNEVEIFVAFEHGLIVKETAVYLVYGQSITMEIEQSITIEVESSTSMELEPLAEVNMQSSPNVKVEASLDVEMDLSHDVKV >RHN49112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52521328:52528549:-1 gene:gene43906 transcript:rna43906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MEKLIICVLILLMNMVTTELRVESAVWQSAHATFYGGSDASGTMGGACGYGNLYTDGYGIKSAALSTALFNDGKSCGGCYQIVCDARQVPQWCLRGTSITITATNFCPPNFALPNDNGGWCNPPRPHFDMSQPAFQTIAKYRAGIVPILYRRVGCKRSGNIRFTINGRDYFELVLISNVGGGGEISKVWIKGSKKNKWEPMSMNWGANWQSLSYLNGQSLSFRIQLKNGKTRTAINVAPSNWRFGQSYKSNVQF >RHN51119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14137695:14138665:-1 gene:gene35499 transcript:rna35499 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPFFAWRNFCSPWRSCSCLVFPTLYNCSPLSRLAKYFAWQDFFAPGEVAFAHFCSFWSLLDVLALNSYTNR >RHN77691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8681651:8686141:-1 gene:gene1172 transcript:rna1172 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQNRFPQNERRKRWGGCLGAFSCFSSQKGGKRIVPASRIPDNNGSASQPNGPQVAGLTNQATGIAPSLLAPPSSPASFTHSALPSTAQSPSCFLSLSANSPGGPSNSMYATGPYAHETQLVSPPVFSNFTTEPSTAPLTPPPELAHLTTPSSPDVPFAHFLTSSANLKNGGKGNYITANDLQTTYSLYPGSPASSLISPISRNSGDCLSTSFPEREFRPQWDSSLYPENGKYQRTGSGRVSGHDTNDVTMASQDTNFFCPATYAQFYLDQNPPFPHNGGRLSVSKDSDAQSTGGNGHQSRPSRSPKQDVEEIEAYRASFGFSADEIITTSQYVEISDVMDDSFTMMPLTSGKSMMEENIEPSLMKEFKAHETQVGLQNLKCLRLDASPVGKQAKNQVPICHGYEDHKSPGYCSNSSGLSTPDNHTLMDDEDIFSKLGSSRICRKYQMGLSCSDAEVDYRRGRSLRERKGI >RHN71369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58554882:58555724:1 gene:gene20045 transcript:rna20045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MSNPNPNPNPNPKVFFDMTVGDEPVGRIVMELYADTTPLTADNFRALCTGEKGLGSSGKPLHYKGSTFHRVIPDFMCQGGDFTAGNGTGGESIYGSKFADENFIKTHTGPGVLSMANAGPGTNGSQFFICTAKTEWLDGKHVVFGEVVEGMEVVKEIEKVGSGSGKTSKPVVIADCGQLSDDNHLNNQQHI >RHN48773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50108404:50108739:-1 gene:gene43526 transcript:rna43526 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSRALSCTHQASMPPQPSPSFCQAAHLPYFLFVEQKGLPSFISTKAPFSDFEKICESQIGAKKPKLVYGVTKKKKKIVPRSLASANCDDQKALIHVRKCTHCDITKTP >RHN38965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3120491:3124862:-1 gene:gene44945 transcript:rna44945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MRPNASAMRQAELLRNDGNNYFKKNRFNAAIDAYTEAITLCPNVPVYFTNRALCHLKRNEWERVEEDSRRAIQLDSHSVKAHYMLGLALLQKQEHTKGIRELQKALDLGRGANPKGYMVEEIWQEFAKAKYKEWERSSSQRSWELQNLKEACKSALKEKHFLGSEMEGFVDDATTSHLKQLEAVERVFNKAADDDIPTEVPDHLCCRITLDIFHDPVITPSGHTYERAVILDHLQKVGEFDPITREPLDPSQLVSNLAIREAVHEYLDTHGWAYKID >RHN46432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31666288:31666680:-1 gene:gene40916 transcript:rna40916 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSQSSVYYVGYIQSRSISIVPELLKKSNEDAYTPRVVSIGPRFKRSEETLLIMEEIKIRCMMYLFHREQLYMSVEEKLNACCEVIWNLNDQIRASYVVDFNLEQHELAKIMLVDGCFLLELLISKGLE >RHN46535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32571757:32574730:-1 gene:gene41031 transcript:rna41031 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVLNKVGSYWFSKKANKELNSVGDDINSLSSSIEGGTKWLVNKLKGKMQKPLTELLKEYDLPIGIFPRDATNYEFNEETRKLVVYIPQVCEVGYRDSSVLRFTTTVSGYLEKGKLADIEGMKTKVLVWVKVTAISSEGPKLNFTAGMKKTRKREAYEVSRDGVIIDKF >RHN61178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33683007:33687192:-1 gene:gene23616 transcript:rna23616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosome biogenesis protein BMS1/TSR1 MNYAENLINLPSLNFFWVLWDFYITAIDIDNNWNVKLLSTPEEDTGNENEAKFHREQPNESNYIDKLKEEIELRKQMNIAELNDLDEDTRLEVEGFRTGTYLRLEVHDVPCEMVEHFDPYHPILVGGVGLGEENVGYMQARLKRHRWHKKVLKTRDPIIVSVGWRRYQTTPVYAIEDLNGRHRMLKYTPEHMHCLAMFWGPLAPPNTGIVAVQTLSNNQATFRITATAVVVEFNHAARIVKKIKLVGYPCKIFKKTALIKDMFTSDLEVARFEGAAIRTVSGIRGQVKKVAKEEIGNQPKRKGGQIKEGIARCTFEDKILMSDIVFLRAWTQVEVPQFYNPLTTALQPRDQTWKGMRTVAELRREHNLPIPVNKDSLYKKIERKPRKFNPLVIPKSLQANLPFESKPKHTPKRKRLSFDDRRQKGVVVEPRERKIHALVQHLQLMKTEKIKKRKHKEGEKRKVLEAERAKEELVSKKRRREERRDKYRTQDKLNKKIRRA >RHN58154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44154981:44155419:-1 gene:gene33767 transcript:rna33767 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHLPSFISFPSYELHTTTTAQKIISNTTTFNPLTHINS >RHN77552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7664379:7666080:-1 gene:gene1018 transcript:rna1018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >RHN72432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7572010:7573221:-1 gene:gene8141 transcript:rna8141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEKSVATKRQKVINHIHDDIALLIVSKLPVKTLKRFGCVCKSWTLLFEDTHFMSIYRNNFIARNHVDHEGTSYLLQHTINDYKILETSMYFLSSERSKNMIKLDYLPSFQKDNQYIEILSSRSINGILCISIFRFDEERLALWNPATEEFKIIPPSNFECVPYRDFEPLNHGFGYDHVRNDYKVIRRATFDYLTYDDRIRLGLKFDDVPWQDISYEPEWEIYSFRNNSWTKFDFDFPLMEIPYNSYEIIQFYMDGMCHWWYKSDCHLFETSLVSFDVSNELFITTPMPRYNDDILDLNWVKRHLVTLINESIALISYCGEMTTFHISILDEIDVKESWTKLFNVGPLSCVARPIGGGKNGNIFLIRNNEELACFDLGTMMIEELGVEGKLCQTVIYKQNLLPI >RHN45385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:18998369:18998762:1 gene:gene39691 transcript:rna39691 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIYNDASTNWRSGFSLCMLRCESQEPKISIPEQLERRDIEHEEWRSNCVQEDV >RHN57600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40446155:40453123:-1 gene:gene33173 transcript:rna33173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FMN-binding split barrel MASSSLLPFASEGICYPTSYGITCNSIKFPIDGRRVHDLTSTRCKSPFFGSSRFFWQSTGHDFVSKIGVAADYSDSIPDSSSYMGKQGYHPLEELKVSNDLPPARLSSAEIARTTIEANKNALLVFPGSVHSEPHEQISWAEFQYLIDDFGDLYFEIFDDVNLLEDRGAHNPVNALIGMDIPMYDNRRPISEYDIFNGGITDEFPFDEDYIEVPEIEESNAPVNWGLSDNSNPVHPIYFSKCLEKAVNVEYDKRMDHPSNGVSILGYLRPAYADEESYIRMIYHTEDDDGYSSDWKDFYSNSINDQRDANLILYKLEIEKIKLHCVYGSQSEISLLEFQDAEPDIIVYSTSAILERINRNGHDALQAFCKKKGLDAEEAHLIGVDHLGVDVRVLSGSEVKTHRFAFKVQANSGYMAEKQIVQLLYPRSRRKRNMQQSLRNPKPPA >RHN82034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50745493:50749216:1 gene:gene6150 transcript:rna6150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, CRAL/TRIO domain-containing protein MGKKLELLKDKETAKVEAVLELIRKQTPLTVKQEKFCNYACVKRFLKVKGDNVKRAAKQLRACLSWRESIVTDQLIADDFSAELSEGLAYVAGHDDESRPVLIFRMKQDYQKLHSQKLFFTRLLAFTMEVAISNMPKNVEQFVMLFDASFYRSASGFMNLLLGALKIVGEYYPGRLSKAFVIDPPSLFAYLWKGVRPFVELSTCTTIVSSLDFEPSMDYNDFSTYPRASSLRFDQSTAKIGSCSSSRFSFQVSHQLDSLKPWYLSLGGDNTSSSKVGPTSPSLTPLNARSLSFASPIARNPLGPPASRKGLFPSTPLPQRVTTAPNRTTAASFLQSPATFFRRDRDNVKVERGRETFLAYVKFYRRAYDEMVYRSKMRPPLGGLVSIVSPHLRRRHTHLSVSQRF >RHN51640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21415632:21416111:1 gene:gene36120 transcript:rna36120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling CW-Zn family MTQDDIYAAQCKHCMKWRVIDTQEEFEEIRHKISQEPFDCSKKANCSCDDPADIEYDSSRTWAIYKPNIPKTPQGFKRTLVLRKDYSKLDSCYITPTGKKLRTRNEIVTYLKDHPQPSGISASEFEFLSPMVMQDTVPEYIVQQKNSANKKAKISKDEV >RHN71368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58547000:58551224:1 gene:gene20044 transcript:rna20044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translocon-associated protein (TRAP), alpha subunit MALINKFWTFSFLLFLIASPFLQVARCQSDEAVENAGEVNDIGIVGDETDDAQDFADGSFPSAPGINTIAVFPKNIAKLVKGGEEAELLVGLKNDGQSSLNVVAIKASVHLPYDHRLLVQNLTVQVFNNGSVPSSVQATFPYIFSVSKFLQPGPFDLVGTIVYEIDQHPYQNTFYNGTIEVVEPGVLFSMESVFLFTLGIALLILLGLWINGQIQNLSKKTKRSSKVEVGTKTTDASMDEWLQGTAYTQSTSSKSKKK >RHN43824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48773309:48777494:-1 gene:gene50454 transcript:rna50454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MELPSSLQHLVGLEELSLCYCRELETIPSSIGSLSKLSKLDLTYCESLETFPSSIFKLKLKKLDLHGCSMLKNFPDILEPAETFVHINLTKTAIKELPSSLEYNLVALQTLCLKLCSDLVSLPNSVVNLNYLSEIDCSGCCSLTEIPNNIGSLSSLRKLSLQESNVVNLPESIANLSNLKSLDLSFCKRLECIPQLPSSLNQLLAYDCPSVGRMMPNSRLELSAISDNDIFIFHFTNSQELDETVCSNIGAEAFLRITRGAYRSLFFCFPGSAVPGRFPYRCTGSLVTMEKDSVDCPNNYRLFGFALCVVLGRVDMVIDNIICKLTFESDGHTHSLPISNFGNNYYCYGKGRDMLFIQDHTFIWTYPLHFRSIDNRVFDAQKFTFEFSEVCEDNCLSYLKSDVMVKESGVCPFYTI >RHN81682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48076474:48079868:1 gene:gene5757 transcript:rna5757 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-disulfide reductase MAASISAASSSVILHSLNSSSTRVGPSSSSSSQLQFPVRLLPIGTRRLSSSSRSRFLPLVQAKKQTFSSFDDLLANSDKPVFVDFYATWCGPCQFMVPVLEEVSARLQDQIQIVKIDTEKYPSIANKYNIEALPTFIIFKDGKPFDRFEGALTADKLIERIETTLNVKQ >RHN67368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26483102:26494373:1 gene:gene15549 transcript:rna15549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MSNGEGGEYICNITHTTEEDILKGYTLEGNWEEVKKLYNSDPNFSTMEINKSRGTALHVAVNDGNEEVVKSLVNSILCHKNEKEALTCKNEKGDTPLHLAASRGFKDICECIIGEGGERKDLIDIDNNNGESPLFLAALSWQKQTFVYLIKFKPGRSDCGGNYSYTKDLIRSNGDSILHCAIRREFFDLALIIIHKYPDLIVIQNKLGFSPLKLLATRPSAFKSGYKMIWWKKILYHCILAGTLNVDETIKYCQLKHKSESQKPCPENYGTCYLFIDKCREYAYPLICFAKPLISFAKQKLQKKQNTYNAANGTKDKGLPSECKLLPENYATCLWFLKFAYIHTLGLSGVGIDEIKKMKQKHKWSGQLLNIFMENHIFEGFVVNPYDSYLGTGAKPIGDVSGTDIVSAFNPNQGDNNNEEDPKILAKLKHEKAILESETAILTAARNGIVEIVEKLIAKIPSSIYDLNLENKNVLLVAVENRRTNVVEALKKRFDKCNKMAIFNDLIQGVDERENTVLHLAATKSDRDWNISGAALQMMWHIKWFQYTKGLVPEHFTVRTNKDDKTAGELFKKSHEELVTKGSEWLKDTSESCSVVAALLAGVSFATSSTVPGGNRSETGEPALEGRPAFDAFALSSVIGLCFSVTALVMFLSILTSRKEAKDFRIDMPRKLLLGLSSLFLSIIAMFVAFCSGHFFLIDQKFKHIVFLIYSVTCFPVTLYAVAQLPLYIDLLRSIVTKVPKTSDKGEEI >RHN57941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42675365:42676415:1 gene:gene33533 transcript:rna33533 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIYEQNYPPLHPKYCTEEILQKRRNTLKKYMPSELVLCPIITFELIFELLFIILCLGHNHIIRVGTGLQYAT >RHN50250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5499604:5506173:1 gene:gene34519 transcript:rna34519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (guanine(26)-N(2))-dimethyltransferase MYYKNGFFLMLLVAAESRTLKLQLNLVRATNPFPIFKSFCTSSNCTEEEKKKIEQTQDLFLQDISKTMATNLDDFTVIKEGEAEILMSKKNKVFFNKAQVNNRDLSIAVLRAFISKRKQEHEEYLSKKAKGAKKASENDTSEMAVEEVDNKTPPEDHKSNGKCELAEETSPGESCTTMEGSVKIDEECGADEEQVDDSEGKRPAELEPPRVLEALSASGLRALRYAREIEGIGQVVALDNDPASVEACRRNIKFNGSVAVSKVESHLADARVYMLENPKKFDVVDLDPYGSPSVFLDSAVQSVADGGILMCTATDMAVLCGSNGEVCYSKYGSYPTRGKYIHEMALRIVLASIESHANRYKRYIVPVLSFQKDFYLRVFVRIYTSASAMKETPLKLSYLYQCTGCDSFHLQPLGRTISKNTSVRHLPGYGPAVPQECTDCGRKFIMGGPIWSAPIHDQEWVASIIEDVNRMKSSYPAYEHISAILNTISEELPDVPLFLSLHNLSSTLKCTSPSAVIFRSAVINAGYRISRTHVCAIGLKTDAPMSVIWDIMRCWVKNHPIKGQPADQPGSIILSKEPVLQVNFARAVASLSKAQAKKVARFLPNPERNWGPKLRAGRTVTSKHISLLGEAALNEALNHEQDNEEEPKSKKPKIEEDNNATS >RHN79045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21915170:21915719:-1 gene:gene2737 transcript:rna2737 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEESHVRTATLWLLPAMADLVVGERDAGGDDGGAHRSATRSMAMT >RHN50275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5744507:5747410:1 gene:gene34547 transcript:rna34547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLCFGYNFLFCLVSFLCFNVLCAESFHTNKCVETERRALLKFRDAIHLNREFVSSWKGEECCKWEGISCDNFTHHVTSLHLIFFGFGGKLDSSICELKHLTSLNLGYNYLEGKIPKCIGSLDKLIELNLGYNYFVGVIPPSLGNLFNLQTLDLGVFNYLTANDLEWLSHLSNLRYLDLSYVNLTLAVDWLSSISKIPSLSELYLYGCGLHQVNPKSIPLLNTSISLKSVGLSDNELQSSILKSFRNMSQLQQLYLNSNQLSGKLSDNIQQLCSAKNDLRNLDLSDNPFNVRPLPDFSCFPLLETLYLRNTNVVDPFPKSLVHLSSLSFLDLSYNQLSVVDIIDDASLPTIQFLDLRFNQLNGSQSLFEITKLVSLKTLYLSHNNLSGPFPHTIGRLSHLKELRLSSNKLNGTINETHLSNLSELKYFDVKQNSLSFNLSSNWVPPFKLEKLHASSCPLGPKFPLWLKHQRWLTDLNISNCGISDSFPKWFWNLSSSLTHLDVSHNKLKGPLPKSLPSSKINDHYIRVWDFSSNNLNGSLPPFPKLEGLFLSNNMFTGSLSSFCTSSSHSLSYLDLSCNLLVGKLSDCWKKFQSLEVLNLANNNLSGKLPNSLGALRQIESLHLNNNKFSGEIPSLILCPNLKLIDVGDNNLQGPLPMWIGHHLHQLIILRLRANKFQGSIPSSMCKLSLLQILDLSQNNITGGIPECFSHIVALSNLKSPRNIFHYWLESYSDGSEIYEIGSINDKEILTLKGYSREYETNLGYWTTIDLSCNHLTGEIPQSITKLVALAGLNLSWNNLTGFIPSNIGHMERLESLDFSRNHISGRMPTSFSNLTFLSYMDLSFNNLEGKIPLCTQLQSFGPSTYAGNNRLCGPPLINLCPDDVISPNRSYDKTVTSEDEDKLITFGFYVSLGLGFLIGFWGVCGTLVIKTSWRHAYFKFFDNMNDWIHVTLEVFVNRLKKRFQVED >RHN63324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50397282:50398499:1 gene:gene26011 transcript:rna26011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEKSVLVAVTNEKVCNYLPHDIVLFILSKLPLKSLKRFQCVCKLWSLLFGNPRFMKMYTDNIIHGNHPYYDDTFLVLHKLLPRTYEYSNHCDFYWLSGDKFENRVKVDWPHPFQEDDTDIYIVGSSVDGILCLKQGFTCTRQVVLWNPTTRESKVIPTSPVENIPPDRTPWLFLHGFGYDHVSGDYKVIQMIDFFAENSVEDEGDLIWEDKSYDPLWEVYSLKNNSWKKLDFDMRNCYFYSSLGGMGVYMDGFFHWWAKSESKNIEECLLSFDFSNEVLLTTPKPSNMDGSFDSRFVVRHLTLLNESITLISTYLKNMSTFRISILGKLGARESWINLFIVGPLPFVNFPIGVGNKNNIVFFSKGDKELVWVDLRTQMIEKLGVKGDKFDCHIGKYKKSFLPIKG >RHN72377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7173928:7175011:1 gene:gene8081 transcript:rna8081 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSILAKMNNTIILVYNVQDHRMYFESGAIQKTCWKPKMKIHIQNNISHRKSNMNMDGVPHNSDGYEASLNSCIQ >RHN54746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11798530:11798937:-1 gene:gene29825 transcript:rna29825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MFAAAFTVPGGKNQDSGIPIFLQGKIFNVFIIADAISLFTSATSVILFIGILTGSFAENDFLKSVPLKLLFALVMLFFSVVSMMVAFCASLAMLLKGHQGVIVTAMSFASIPVIILVPLQLRLFIEIFKSTVLSK >RHN49451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54906494:54908518:-1 gene:gene44284 transcript:rna44284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MTTLNLDSFNFKINSHLEMAIRHVCLHEIGIIFLFQMMITSVKPLSFNYQQGFKYDNVKLEGDASLLYSSIQLTSTSSYEDETYSVGRVTCFEPLQLWEKTSRKLTDFTTQFSFVIFSNKTYFGDGLAFFFADPRLPLYYHIRQGGGLGLVNDYQILNSNGYSFVAVEFDTHQNDWDPPGTHVGINFNSLRSNITKPWFMDIRNKKAYHCKIEYNSSAHDLKVSFTENITNGEPSYSHLSYNVDLRDYLPERVIFGFSAATGYMFEMNKLLSWSFNSSLNQTSPVESPSPVPNIKISIKTDSKAGSIWVGVGVGVGIASSFLILGWFCISMWKRSKGNKEDSIFDLKMDDEFQKGTGPKKFCYNKLVSATNNFAEAEKIGQGGFGGVYKGYLKDIDTNVAIKRISRESKQGIKEYATEVKIIGQLRHRNLVQLIGWCHKKRDFLLIYEFMQNGSLDSHLYRGKSVLTWQMRYNIAMDLASALLYLHEEWEQCVLHRDVKSSNIMLDNNFNAKLGDFGLARLVDHEKGSQSDTTIIAGTMGYIAPEYITTGKATKESDIYSFGIVSLELASGRKPVDLNAKEDQMAIFDWVWELYRLGRLLEVVDTKLGGAFDEEQMERLVVIGLWCANPNYSFRPSVRQVIQVLKLEAPLPILPPPIKSPNPDPDSSASVAYTS >RHN66466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12667592:12675572:1 gene:gene14458 transcript:rna14458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl-phosphate beta-D-mannosyltransferase MKHIVKILSLVIAITALWVGLLQTSIIPQSHTWLLPIYFVVSLGCYGLLMVGVGLMNFPTCPQEALLLQKDIVEAKEYLKQRGVDVSTS >RHN56822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34003819:34008044:1 gene:gene32278 transcript:rna32278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MEQEHGSFERFLTWTSHLGISDSPTTNTDQSQHSLSSLGHSLCVSTFPHSGGRGLGAVRDLKRGEIILRVPKSALMTSESVIMEDKKLCLAVNRHSSLSSVQILTVCLLYEVGKGKTSRWHPYLVHLPQSYDLLAMFGEFEKQALQVDEAMWVTEKAVQKAKSEWKEAHALMEDLMFKPQLLTFKAWVWAAATISSRTLHIPWDEAGCLCPVGDLFNYDAPGEELSGVEDVDHFLSNGDMNVVIDEGQIDFNSQRLTDGGFEEDANAYCFYARTNYKKGDQVLLCYGTYTNLELLEHYGFLLQENPNDKIFIPLEPAMYTSTSWSKESLYIHPNGKPSFALLAALRLWATPHNKRRSIGHLAYSGSQLSADNEIIVMKWLSKTCDAVLKNMPTSIEDDTLLLNALDCSQDFITFMKIVKLMSSRDEVYTFLEAHNITDALSFCDTISSKKTRRSMDRWKLAVLWRLRYKRVLVDCISYCNGILDSFMK >RHN39773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10407019:10413909:-1 gene:gene45835 transcript:rna45835 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEGKKFGGGPRELTGAVDLISHFKLIPHYEFFCKRPLPVSIADTHYLHSVVGDTEVRKGDGMQLDELIQNTSFSRETSARIQPFDLDILKESFQLRETAPIDLPAAEKGIPTIAGKSKSEKDKEKKHKKHKDRDKDKDREHKKHKHRHKDRSKDKDKDKKKDKSGHRDSSADHSKKHHEKKRKHDGDDDANDVHKHKKSKHKSSRIDELGAIKVAG >RHN44252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2925947:2928745:1 gene:gene38348 transcript:rna38348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MVFVWSLVLLVKFLFLYSLFSLLFTACFPEIQPKCHPYESHALLQFKEGFVINNLASDNLLGYPKTAAWNSSTDCCSWDGIKCHEHTDHVIHIDLSSSQLYGTMDANSSLFRLVHLRVLDLSDNNFNYSKIPSKIGMLSQLKFLNLSLSLFSGEIPPQISQLSKLQSLDLGLRDIASPKGSAVNLLQLKLSSLKSIIKNSTKLEILFLSDVTISSTLPDTLTNLTSLKELSLYNSDLYGEFPVGVFHLPNLKVLDLRYNQNLNGSLPEFQSSSLSNLLLDETGFYGTLPVSIGKLRSLISLSIPDCHFFGYIPSSLGNLTQLVQISLKNNKFKGDPSASLVNLTKLSLLNVGLNEFTIETISWVGKLSSIVGLDISSVNIGSDIPLSFANLTKLEVLIARNSNIKGEIPSWIMNLTNLVGLNLRSNCLHEKINLDTFLKLKKLVFLNLSFNKLSLYTGQSSSLMTDSRIQVLQLASCNFVEIPTFIRDLDDLEFLMLSNNNITSLPNWLWKKASLQSLDVSHNSLSGEISPSICDLKSLATLDLSFNNLRDNIPSCLGNFSQSLENLDLNGNKLSGVIPQTYMIENSLQQIDLSNNKLQGQLPRALVNNRRLEFFDVSYNNINDSFPFWMGELPELKVLSLSNNEFHGDIRCPIYMTCTFPKLHIIDLSHNEFSGSFPSEMIQRWNAMKTSNASQLQYEQKLLLYSGSNNSGEYHAAADKFYSFTMSNKGLTRVYEKLQEFYSLIAIDISSNKIGGEIPQVIGDLKGLVLLNLSNNLLIGSIPSSVGKLSNLETLDLSHNSLSGKIPQQLAEITFLEYLNVSFNKLRGPIPQNNQFSTFKGDSFEGNQGLCGDQLLKKCIDPAGPSTSDDDEDDSGSSFFELYWTVVLIGYGGGFVAGVALGNTYFPQVFAWCRDCLSVSVMIFLNKIFKRH >RHN60023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16864018:16865021:1 gene:gene22213 transcript:rna22213 gene_biotype:protein_coding transcript_biotype:protein_coding MVIREPSSDGRPPHRSPSPTYPHTAHSTACPPAHRDTTPSPTIPGVSPSIPGVSFTRVTMSGVNPPLYTHSPRHTTTPISARSPAPSPSIHGVTMSGVNPPLYTHSLRHTTTPISARPPTPSPSINGVSFPRGTVSSVTPPLHTHSPGYNNTHFSARPPTLSSNLLPDVRFLELLGINGGFMPVPEVQQEQEQEQEQEQQQEQQQHCEQEHQSQQQEREAAQQRRVRRRYYEVVPKYKTRYILEIKGDSLEPSKISAKYIREAIQAVYKKLWPMYKDVKKEIGDKVFKEFKIKRNN >RHN76100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47690307:47691065:1 gene:gene12378 transcript:rna12378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MIRAYQVYSSACPFEKLAIIFSNDAVLYVAKETESLHIIDFGVGYGFKWPAFIHRLSKRSGGPPKLRITGIDLPNSLERVKETGLRLASYCKRFNVPFEYNGIAKNWESIKVEDFKIRKNEFVAVNCLFKFENLLDETVVSENPKGDVLDLIRKTNPNIFIHSIVNGGYDEPFFVTRFKEAVFHYSALFDTLDHNNVEREDPIRLMFEEVFWGKDIMNVIACEGCDRVERPETYRHWHSRHIVNGFRSFEIE >RHN59394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10243548:10246205:1 gene:gene21420 transcript:rna21420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein transport protein SecG/Sec61-beta/Sbh MALGGGAPQRGSAAATASMRRRKTAGGGASGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAVLHVVGKLYLREA >RHN46333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30864630:30865770:-1 gene:gene40813 transcript:rna40813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKYVYAMILFISLFLIAMNVHALYVCRSVSDCPENFCVPPLTIQCINYTCICDDPPYGEPEYDNNDDFVTLNREKAKIKNEEMMMRERDMMIEIETYSVADDLDPHL >RHN46811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35029826:35033661:-1 gene:gene41342 transcript:rna41342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MNHQTLLLVVTFASASILIFIIRKVKQTQNSTKLPPGPNPFPIIGNILELGKNPHKALTKLSKTYGPIMTLKLGTLTTIVISSPQLAKQVLQENSQIFSNRVVSDAICALDHHKFSIGTLPTLALWKKLRKICATQVFSTKMLDSTKILRQQKLQELLDYVNEKSNKGEVFDIGEAVFNTVLNSISNTLFSIDLAHSTPDEKSQEFKKIIGSFMEEAGKPNVSDFFPILRPFDPQGVHAKMTSYMKKLCDIFDGIIEKRISSRSSKVDYVVCNDVLDSLLNNNHVGETTLELTRNEMVHLFLDLFFAGIDTTSNTIEWTMAELLRNPGKLDKARKELCQVMGKDEAIEESNISKLPYLQAVVKETLRLHPPAPLSIPRKCDENVNISGFNVPKNAQILVNLWAMGRDPTIWENSNMFKPERFLECDINYKGNNFELIPFGAGKRICPGLPLAHRNVHLIVASLLCNFDWKLADGLKPEDMNMDEQFGLTLRRIQTLRVQATSST >RHN68235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33906148:33910994:1 gene:gene16555 transcript:rna16555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-VIIa-2 family MMMGCCFSAKVKAESPTHNGLNSKVVGREEDVSSGLSRRASSSSVLLSSRTEGEILQSNYLKCFTINEVRAATRNFRPDSMIGEGGFGCVFKGWIDEHTLAPTKPGTGFVIAVKRLNQESSQGHSEWLTEINYLGQLHHPNLVKLIGYCLEDDYRILVYEFVTKGSLDNHLFRRASYFQPLSWKIRMKIALDSAKGLAFLHSDEVEVIYRDFKTSNILIDSNYNAKLSDFGMAKDGPEGGKSHVSTRIMGTPGYAAPEYLATGHLTKKSDVYSFGVVLLEIMSGKRVLDKNRPSGEHDLVAWAKPLLMSKRKISQVMDARIEGQYSSREAIKVAHVAIRCLSAEQKYRPNIDEVVRSLEQLQDSNDKTNGHSSSSSGSKQHGKSVDESLSGEGNSNMSSSAASPLHQ >RHN58486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2145864:2146751:1 gene:gene20404 transcript:rna20404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLENLRKIDLSGNHLTTDKSLLSLCQNCRSLEEILFFQCFKISQVGIASAIRMMPSLASISFNIEKKRRHGPGLTPLPINLDLIDSFVSLKRLKAIDLSNSFISDEFLISVAKGAVECLKKLVLQDCCNFTFSGIFYVLSKCQYVQCLDFRKADFLTDQCINKFSIFLHNLTSINLSGCCQLTNSTFFILTRNCPLLSEIKMERTYIGVEGKEDSNSMLDFFVNHQVKAVYVGDNMLQLLDFNACEGISGECIIEVKKRCYEIRHLNLAYTGIEKFEINFEVSQLKVLNLSGSKN >RHN45106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11860227:11862004:-1 gene:gene39312 transcript:rna39312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVEIHNFIYAMILLVFMFIVVVDSWSWGLTTECVTELDCYKKYRLPAEKKMKCIRGSCYRVRE >RHN56612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32264953:32269717:1 gene:gene32042 transcript:rna32042 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKHHHHHNLHSSLSRRDEPRLSHSSSSSTSTTALEDRITTRHREIQTLLHDNQRLATTHLALKQDLTATQQELRQLSAAAADVKAERDAEVRRIYEKSLKMDAEVRAVAAMKSDLDQVRADVRELAEVRKELVEHLQSVQSELALAREDLKPLPIIKVDIEALRHEIQRGRSAIEFEKKTHANNLEHNRVMDTNMIIMTREVEKLRAELANAEKRARAAMVAAAIPSPGYHANNPEMGFGGITYPQDSYSMHQIQGGVEVHPQYGYGATLHHPYDLQQSQVPR >RHN50210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5161267:5161710:-1 gene:gene34475 transcript:rna34475 gene_biotype:protein_coding transcript_biotype:protein_coding MRENEGKKCYRRRETEEKSEPPLLSAARARYAPVVPLLLENPVRIWCWCGGSRWF >RHN71664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1747380:1752447:-1 gene:gene7288 transcript:rna7288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKMKMKKEEESTVQMECLTSIDSTTLTHSELQALSLSSLSSFDLNSTREIVTPKIDPSTFNHSAGSHRTYSRPHRRCRVAPPLLPTPTLPSDHRIIIDYLKQFIREDPKFDTVELRNPSIPEVKEFPLALPAGEVRKRKRGRKPKVKAHLEEEIVNKNGVVIDFAALSEVEHPFAAEIARRTEGLKEEEELLGFLSDLVGQWGSRRRKRRIVDASDFGDVLPLGWKLLLSLKRKDGRAWIYCRRYISPNGQQFLSCKEVSSYLQSLFGHADAQLQITQRSENILPELRVTTENVSNPAAASVARKEQDQRQIVATNSDASGLSVYNERFKEIALLEMDNLADVQIRDLFECHKCSMTFDEKDAYLQHLLSIHQKTTRRYRLGSSVSDGVIIKDGKFECQFCHKVFLEKRRYNSHVGIHVRNYLRRAEDLPGQPNVLGAEESPVTDETPSRITKMDALIEIAQNSIIEDSVREPYCSSTLNTIPVSEIAVGDLDEDINAESPFGEQKMEKSLTGTNVVSDLNQQGSPRLPMDGTIEEIDANNRVVDAKMVSFLDNMGLLSVNKKNVDAPDTSEGKGDVALTVEGFDRSGSELQGASQSPLLHSSGNHMKPGSKKSENSGCTKTRGDLKLDEDSSNKSDLKIGLDSCKDVPGVSNVHVTAMPTSTENVVQSKVSNPSVSPEQSLDSFSAFSSDKGFPELRLEDIGSLEYDFASVPGSLDVSTELANDIVVQGTCTSSAHSASQEVMLNMDYKNQLTTTCVWCGIEFNHDAVNSEIQSDSVGFMCPVCKAKISGQINVLDSGSPNAGHL >RHN64304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58043156:58043695:1 gene:gene27108 transcript:rna27108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MLRSRDKFNAVMHFWIKQHGWDPFVLLKAPDISGFSLEKRLIPRATVIRYLLSKGLMKKSAHHFL >RHN46062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28184347:28186220:1 gene:gene40502 transcript:rna40502 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAQTLKEKIEELQKSKQTPQNSPPKIQRVAYYRRKIPNLRKYYKPKLVSIGPIHHDNKNLKLGEKYKLKWAAEYIENTPLNPENTALHAENTALNAENFALKAEKLYKKIDEDINNLKGHFSEDVLTLTKKSLEGFGTLEEKLSLMLFVDGCSLLHILENARRNNPGKMNIKVDQLFLVMVDVVLLENQLPYEVLKLLWKNENESELIQSMTDFFKYNHWAQSEKEKDMGPKKNGEGEYSVSIPLPNESPTHLLDHLRKLNLTTPKSKLNQGPGFESSLGHAAVLKLLGRACRPFGSHNVREISLRSCARRIPGLHQKSNEAKSKECSQKKNESKPITYRGIEDLRAVGISLKASFTPKPTDIDFSAGWFSAKLTIPRIPVNNFTAAIFLNLVAYEMCPDFDNDYQICSYVALMDSLIDHPEDVKVLRSKRILSTLWSDEEVANLFNIIGTDLVANIDKYFYVQDKLCEHYFNKYKSWIALGFRTYFNNPWTVIAFLVAFTALALTFIQTWFTVHPASK >RHN52876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39824325:39829673:1 gene:gene37608 transcript:rna37608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MDNLSINGDSFIKIPTIKFKKLFINGGFVDSVSGKTFETVDPRTEEVITKIAEATKDDVDIAVKAAREAFDNGPWPRMPGAERAKIMVKWATLIEQNQEEIAALDTIDGGKLYSWCKTVDVPEAANILRYYAGAADKVHGEVFKTSRNLHMYTLMEPIGVVGHIIPWNFPTIMFFAKAAPCLAAGCTMVLKPAEQTPLSSLFFAHLAKEAGIPDGVLNVLPGFGSTAGAAITSHMDIDAVSFTGSTETGRRVMQAAALSNLKPVSLELGGKSPVLIFDDADVDKAVDLALFGILHNKGEICVAYSRVFVQEGIYDEFEKKVLEKAKNWVVGDPFDPKVQQGPQTSKAQFDKILSYIKHGKNEGATLLTGGKQVGNKGYYIEPTIFTNVKDDMLIAQDEIFGPVMALSKFKTIEEGIKKANNTKYGLAAGIVTKNLDIANTVSRSIRAGIIWINCYFAFDIDCPFGGYKMSGYGRDYGLEALHKYLQVKSVATPIYNSPWL >RHN61059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32603669:32606515:-1 gene:gene23471 transcript:rna23471 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVENTLGNCVMQFDLVLVGVIMGDDFGCKLLCTLLLIINIIPFVLAKKNLIKSMIQIALVTDKYKQTLTFNYVVLCSGDEGSVRRAEVRACFKEASFCFDFMALILGLLELNVEFALIFASDLCMK >RHN79877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33118225:33119819:-1 gene:gene3728 transcript:rna3728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MNSLPKTHLYHHLSLTHRHSSFPKLSSPSLPYHSFSSSPPLLLPSIKASSSPNNNNNPFQKPQNTFSQILKTLNPFTSPLFEPAYVAVALLALFLFRFQQNPATARSPLPSPPPAQSSTATTTTTTATENRPNKENNTTIDDKLIENSNDANALRSLIEENVKARKLSEAIRAVERLMELEPEEFDLLLLKSHLHSHNGEHELAKKGFEFTLQQDPFNSEAYRGLLMANSELKEPMEGFLNRVDEVVKFFEEKKMESEAREFKLLIAQVKVMEEDYSGALKVYEEIVKEEPSDFRPYLCQSVVYSLLRKNDEAQKQFEEFRRIVPENHPYKKYFEDNTKVLSKKLEMGGIEEAKI >RHN69722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45806700:45812184:1 gene:gene18233 transcript:rna18233 gene_biotype:protein_coding transcript_biotype:protein_coding MFEFHSCELRGITDPLFFSTLPYHHSFIHSHSQFSIPSLPNSSFFLSVHSPLLSFFLRPPSIMICSPRSNKPGSNWLDRLRSNKGIPTDDNLDLDTFILNLATHSPQPRPIKPLRHRPPITHDDPPLTTVLAHLFNPGAATITSKKCPRKQTNPKIFIPSSTIISTTTANAPAATGVDAAVDVENRGVEGEDGEEDFKGFTKSEVTVIDTSCPVWKVDKFVFRRNNVWKIRERKQKNKFVAKKKSKSTHELDIHGIGSSKDNTINTGGEKPVKKLKVI >RHN71927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3639131:3645133:-1 gene:gene7580 transcript:rna7580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (cytidine(32)/guanosine(34)-2'-O)-methyltransferase MGKASRDKRDIYYRKAKEEGWRARSAFKLLQIDEEFNIFEGVKRVVDLCAAPGSWSQVLSRKLYLPAKLAPDAKDENLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKANLVVCDGAPDVTGLHDMDEFVQSQLILAGLTIVTHVLKEGGKFIAKIFRGKDTSLLYCQLKLFFPVVTFAKPKSSRNSSIEAFAVCENYSPPEGFNPKDLHRLLEKVGSPSGVDDTDCVSGWLEGPNKVYIPFLACGDLTGYDSDRSYPLPKVAGGTYQSLDPVQPPIAPPYKRALELKKASPQGFRELENLSLDS >RHN41801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33117956:33118493:1 gene:gene48162 transcript:rna48162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diacylglycerol O-acyltransferase MEKVLRGNEVFGESCNWFKSVVALTLCFGAIHFNLALILFAIFFLSFSKALLLFGFLMLLMILPVDKNSLLGQKLSRFICKHVCSYFPITLHLEDAEAFHLNQPYGLY >RHN42593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39568955:39573785:-1 gene:gene49050 transcript:rna49050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MDFQVVVLAGGVSKKLLPLVSHELPNALLPVANRPVLSYVVELLELSNLKDLIVVVEGKDTALNVGAWISGAYADRLHVEVAAVPEDVGTAGAIRAIARHLYAKDILIVSGDLVSDVPIGAVAATHRRHDAVVTALLCNTPVSGPLESVSSGGKDKAKKPGRYDLIGLDPTKQFLLHIATGAEVEKDLRIQKSILRSVGQVEIRADLMDAHLYAFKRSVLLEVLDQNGEFHSLKHDVLPYLVRSQLKSEVLLNGTPQAEENGTEKVISQSNQQMLSQILANASEPTFHLRHELSTNGSDSVRRTHKCCVYIAGSNKYCARLNSLQAYNDINRDVIGEASHLSGYSFSSHNNIIDPTAELGAKTTVGPHCMLGEGSQMGDKCSVKRSVIGRHCRIGANVKVVNSVVMNHVTIGDGCSIQGSVICSNVQLQERATLKDCQVGAGYMVTAGSDCKGEVLTKK >RHN41470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30289329:30292085:1 gene:gene47799 transcript:rna47799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MQILPQFTTDNTLLPVHLENHTKHHSLPNPQCMACLPVTPKTQLLTTHSYSNPPILNHTPKHNFFPTTNTTLHHQISFLCKNLKLQEAISTLSQLPQHTPIGPDIYGELLQGCVYARDLSLGLQIHAHLIKKGSSYSTNEFVESKLVILYAKCNLTRVAVHFFRNVVKNQNLFSYAAIVGLQARNGLYKEALLSYVEMMEKGFCPDNFVVPNGLKACGGLRWIGFGRGIHGFVVKMGNEFDGCVYVATSLVDMYGKCGVLEDAEKVFDEMPNRKRNDVVWNSMIVGYVQNGMNVEAVGLFEKMRFEGGVEPSEVSLSGFFSACANLEAVEEGKQGHALVILMGFELNYVLGSSIMNFYSKVGLIEEVELVFRSMAVLKDEVTWNLMISSYVQFGMFEKALEMCHWMREEENLRFDCVTLSSLLALAADTRDVKLGKKLHGFCIRNEFYSDMAVLSGVLDMYAKCGIMDCARGVFHFAGKKKDIVLWNTMLAACAEKGLSGEALKLFFQMQMESVPPNVVSWNSLIFGFFRNGQVVEAQDMFSEMQLSGVTPNLITWTTMISGLAQNGLGYEASRVFQQMQGAGMRPNSISITSALSACTNMALLNYGRSIHGYVMRNFMSFSLQITTSIIDMYAKCGNLDDAKFVFIICSTKELPVYNAMISAYASHGKSAEALALFQELVKQGIMPDHITFTSVLSACSHGRLLKEGLELFKYMVCELQMKPSEKHYGCLVKLLTNDGQLDEALRIILTMPSPPDAHILGSLLAACGQNHETELANYIAKWLLKVEPNNPGNYVALSNVYAALGKWDEVSNIRGFMKEKGLKKIPGCSWIEVGQELNVFIASDKSHPEKEEIYKILDLLGFEMYYAKSNPHPIILQPS >RHN40782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21043163:21054689:1 gene:gene46989 transcript:rna46989 gene_biotype:protein_coding transcript_biotype:protein_coding MKLERTVKFLISIFQKSISKHQSIKISLIEEDQRPKRKDKLQTPYALYSCS >RHN54261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8112888:8114912:-1 gene:gene29265 transcript:rna29265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MALFKTRNSRRSSSPSYVSTLVTLGFIALCVFGVWMLNSNSSNSMFSSKTQSEEEITSTRTAIDTSDNTNNDFSTSDDSQQTKTKNTEELVQTTTVVPKIEEQKETPTAVYGDNPGHLPDDAIKSDDKNLNNDQNKQQSVVSDSQISEESSLTQKEQVSAIHESKSDNDGKVSESEKVQQSNIESSGENKKEEQDNTKSQDVTESNDVNVAENQEQSTVQQQDVPTFDTQGSKNDEDEANKEQLREDKGEIEEQQNSKLSKTASEKNEGEETVKPKAEKKGGKSKKPWSTQADQSQNEKKRQKGDESGGNEKKLQDNKWSLCNVTAGADYIPCLDNEKAIKKLRSTKHFEHRERHCPEEGPTCLVPLPNGYKTSIKWPNSRDKVWYHNVPHTSLAEVKGHQNWVKVSGEFLTFPGGGTQFIHGALHYIDFLQQAEPDIAWGKRTRVILDVGCGVGSFGGYLFDRDVVAMSLAPKDEHEAQVQFALERGIPAISAVMGSQRLPFPNGVFDLIHCARCRVPWHEEGGKLLLELNRVLRPGGYFAWSATPVYQKLEEDVEIWKGRTTYHISIVYMLLRR >RHN47106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37178997:37181125:-1 gene:gene41662 transcript:rna41662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Seipin family protein MGENQKDSFVLPTPADLLYNSMASVFSPFYSLLTVTSESYHHDGQTKDNAPSQIAYGSIVLLKKLGLCFLSAAYVCMILFFVLILASVVGVGLVRFWVEEPVIVNESLYFDYTDAHPTAVFSFNGGVSAAWGYIKKKHIRVPVGHTFSVSLSLLMPESDFNRELGVFQLTAELLSVNGNVIAKSSHPCMLRFRSSPIRLARTVMMGVPLVLGISAETQKINVEILRHKEQNQRTNAIRVTLHPRAGTSSLPQLYEAEIVINSHLPWAKELIRNWKWTFYVWVSLYVYIVLLMLLLYCYRPLIFLVTQETFSEQRVREVISEEHKDLQVGDLSGDESEVSELLRKWRLSRSKRKTILTHGSVGVPEAIGEASSISMTTTREDVTSLAVEDDVEDSESVCIG >RHN56109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27857543:27857800:-1 gene:gene31448 transcript:rna31448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MELGFVNAYGKHEDESSQRLRYNNGQDKISDLPNHIIGSILSFLPAKEAVSTCVLSKRWKNVWIFVTKLSFQDKHPFHYTKIKKA >RHN68644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37379990:37383119:-1 gene:gene17022 transcript:rna17022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator SWI/SNF-BAF60b family MNNNQAKNFGASASSSSLFGPFGISPQSQQQQWNHLVSRSHPQTQFHGQFQFSEPQLHPQGFAQAHYAQLQSQAALASLQSSQTQPVTPLHNANTNTNVVSTPATGGSKRAGTQRNLLRTPGSSGANQNVPDKTMELTPASRRRMRELPEKQMLEKVATILPESALYTQLLDFEAQMDAALAKRKLGMQEAIRSPPHVQKTLRVYVFNTFSKHTKTDSEEDKTVEESSWSLKIIGRVLEDGNDLLSGILQRSSPSDTKFSDFFKKITICLDQNLYPENHIIVWDSAHSPKQQDGFEVKRKGDKEFTAVIKLDLKYSPEKFMVSAPLSRLLGVEVETRPRIIAALWHYVKSRKLQCADEPSFFICDPYLQRVFGEEKMGFTTAAQKLLEHLSQPKPIYLEHNIKLSGDCPSGTACYDVQVDMPIPMQKEMSAFLASNSIESNKEIETQDEMISANLKKIQEHRRRRAFFLSFSQSPAEFINATIASQSKGPKLVAGDAGRNSEKEQCPEFYNQPWVEDAVIRYLNRKGAGRDAREGN >RHN69887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47115066:47116360:-1 gene:gene18416 transcript:rna18416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I MAAMNSSVLACSYAISGSELNAKLISVPSAASPGVSGIKLPLIKAQQVRIPEAKESRASDGRRNALALLAATLFTTAVSASNSSANAGVIEEYLEKSKANKELNDKKRLATSGANFARAYTVQFGTCKFPENFTGCQDLAKQKKVPFITEDLELECEGKDKYKCGSNVFWKW >RHN64487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59492229:59494201:-1 gene:gene27306 transcript:rna27306 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:UGT91H12 MGSTENENGNLKPLHVAMLPWLAMGHVLPYFELAKILAQNGHTVTFINSPKNIDQIPKPPKTIQPFINLVKSPLPHIEQLQGEESMQNIPKNMIGYLKLAYDGLQDNVTDILKTSKPDWVFYDCAADWLPAIAKSLNIPCAHYSILAALNVCFFNPPRDQAVNMCSPPKWLPFETIVYLKPYEMMRIKESVKNESGGKTVTTADTSKVFTSADMFLIRTSRELEGPWLDYLSHRYKVPVLPVGVLPPSLHIRDDQHDENNPDWVHIKAWLDSKESSSVVYIGFGSESKLDQQDLTELAHGIELSGLPFFWALKDRKDGVSELPQGFEERTKERGIVWKTWVPQIKILAHPSIGGCMSHCGGSSVVEMLHLGHVLVTLPYILDQCLYARLLEEKKVAVEVPRSEQDGSFTRDSVAKTLRLVIVDEEGSTCRKNAKDMGKIFSSKDLHNQYIKDLIAALQKHRVHSDS >RHN70133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49016221:49018354:-1 gene:gene18687 transcript:rna18687 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSCEQSSASASDSNTSDRDQYLRHLNKLSHKISKPIINNKKQPTFEPIQPQTQTQTQNLNNPLQHQPPVYNINKNDFRDVVQKLTGSPAHDRIITPPPIQQPKPQSSRLQRIRPPPLPHITNRPPPLLNHRPNLLQPQNFNFNFNAVNFNHNSNNFPGLGRPQAPLSPLPPFPTVHAAAESPISAYMRDLQNFCSTIDSKGFSGFSPLPLPTVLPPQPEQQQQQENQPPPQQEVVPPPPPPATVAHSTSSFQMPSSPGPFGCLNSQLASYPLLSPGLLFSPNSGNLGFPQLPLSPTVPAPSPRWREI >RHN73399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15974688:15974969:1 gene:gene9203 transcript:rna9203 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSAITPPMCPFDGVMLKELRYSIKVLSIIHFRILYPSYVLSTSQNTRTDNEQSSLRGSEVFATGKLVEDQLNFYTVPNKSAAKIVNDCGDV >RHN67275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25435070:25437988:1 gene:gene15452 transcript:rna15452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MIMDSPQSVVSPFRTSVLGEGEKHKSDVTTQSNGPSSKDIEVNGKETIMSNAEECVGVLDVYIHQARDIQNICIYHKQDVYAKIYLTSNPENSVSTKTINGGGRNPVFNDNLRLNVWDVDSSLKCELWMLSRVKNYLEDQLLGFALVPLSEVLVQNGKLEKEFSLSSTDLFHSPSGFVQLSIAYTGATPDVMAISAMPGKVATHVTQQDSETCESLARDLDKIEFPDPKIVNEDHLMVSEYFGISCEETQCSDSLATSDAENHSSEAGVRLVESFSACSGESVHVEPPKVESPPSSVSTNGVSSPSAHESSESSDAAAASKSPSHEQVSGTKEVKKVDVKDGESDSSSVVPSDLFPKPVVTVNMPEPQMVQQDIVDMYMKSMQQFTESLAKMKLPMDIESEPTTSGNSSTEQKLPQTKNANSRVYYGSRAFF >RHN72607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9099169:9102797:1 gene:gene8349 transcript:rna8349 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAVLGGCLCMILNYETTNIDGWVMKEYGSRDSWCKLFTLVKSCFHSHLTASRPLGYSGDGSKVLLEAIEVLLEVDHQKLFWYDLKSEQVIYVEGVPNWNDTVICVESLVPTSFPVDNCRKENRTTKRRTIRMVSCPKDLN >RHN69130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41244575:41246699:-1 gene:gene17559 transcript:rna17559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MMVSNNSVTALLFLLLAGFVSSDLTEDRKDCADKLVTLASCLPYVGGSANTPTIDCCTNLKQVLNNTKKCICILIKDSNDPKLGFPMNATLAVQLPNACHIPSNISECVDLLHLSPKSPEAKVFEGLGNSTKTNSSTPISSGSAEKGSSSSSEEKSGGGLGRRWLVAEVVCAILPFLFISHFFILT >RHN51855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26905582:26907725:-1 gene:gene36402 transcript:rna36402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MHKCSKTNPKKYLICICQTTSESEFSKTLVPSIKSHYKTPTTILSNHTSISLSLSLSLCISLLCFLTLFQLYSNPFFLPKERHKKKKPNTMSETNPNQSNMILTNPLIPKPKKNQTFSFYHHLTHTFKEMNSISKIAFPMILTCLLLYCRSMISMLFLGHLNDLALAGGSLAIGFANITGYSILSGLAVGMEPICGQAFGAKKFTLLGLCLQRTILLLLLVSIPISFSWLYMKKMLLFFNQDEEIATMAQTYILYSIPDLIAQSFIHPLRIYLRTQSITLPLTLCATFSILLHIPINYFLVIYLNLGIKGVALSGVWTNFNLVASLIFYIYYSGTHKKTWAGFSLESFREWLPLLNLAIPSCVSVCLEWWWYEFMTIFCGYLSNPRSSVASMGVLIQITSLMYIFPYSLSNSVSTRVGNMIGAQKPSKAKLSAISGLSFSFICGVFAFIFTLCVRNMWASMFTKDKEIIALTSMVLPIIGLCELGNCPQTTGCGVLRGTARPKVGANINLGCFYLVGMPVSVWLAFYGGYDFQGLWLGLLAAQGSCALTMLIVLYKTDWKFEALRARKLTGSEKENENKEIDEEKLHISENNENSLPFFDVFDDSDEDDEEERLCLV >RHN58120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43875260:43875951:1 gene:gene33729 transcript:rna33729 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLDPNRERLNIVCVCCQSLSILAFYIFILFLTLLFLFSSQIKGTEAWLQKKVNNNNNWYAFKSCLQPQNFCSDLHSETPNDFFRKFYTENFSPIQYRCCKIRNITYTNPDCDFWSNDPNISCFDCQSCKADLLHVINSDWDDFYIFFVLSFWLLAMHGALSHFPCIR >RHN52894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40077594:40078173:1 gene:gene37626 transcript:rna37626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Caspase-like domain-containing protein MQPKYVKKLCQLIEISQPGDTLIFYFSGHGNYDEEGHIHLVAADGSALYGYDFQASLDSMADRVKATFIIDSCYGGEFMVLAHHKVVLYASSKQDEESTGGSLGSLFTNVFVNCVKQNLQTTHQQLINQIQKKHSNHGGRPVANLIATPETRNSIIFQ >RHN66121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8741379:8746191:-1 gene:gene14039 transcript:rna14039 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SUMT1 MALLHKIPSFTPIHHFPINKNHPISSISCTTSSTSPFTEKHSLQRYQRDQWVYQKTTSCNHIPCDDLRGSIREDDIALQLPELKKLVKVLKEKRESEGKCSEGECVKGDVFLVGTGPGDPELLTVKAVRVIKSADLLLYDRLVSNDVLDLVGDHAKLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFLQQQGIHVKVIPGITAASGIAAELGIPLTHRGIANSVRFLTGHSRKGGTDPLFVSENAADPDSTLVVYMGLSTFPSLSQKLMHHGLSPQTPAVAIERGTTLQQRTVFAELKDLPEKIASTGLESPTLLIIGKVVELSPFWPMPTKQESSLMQT >RHN57608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40495024:40496295:1 gene:gene33184 transcript:rna33184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MTSAVQTVCGQAYGAKKHAAMCITLQREIILHFGAAVTLTFLYWFSGDFLKAMGQTESIAAQGQMFARGLIPQLYAFAFSCPIQRSSQAQNIVNHQTYMAVGVFLLHMLFSWLVVYVLGYSLLGAALTLSFSWWILVFFNGLYILFSPTCKETWIGFTVKAFIGIWPYLKLTVASAAMLWPAI >RHN66107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8576146:8581608:-1 gene:gene14022 transcript:rna14022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase NAK family MWKFKPFAHKEQNGLEGRSIDVGNLKLHVHKLIAEGGFSCVYLARDAVHMSKQYALKHIICNDEESLGLVKKEISVMKLLIGHPNVVTLHANAIYDMGRTKEAFLVMEFCEKSLVSVLESRGAGYFEERQVLLIFRDVCNAVFAMHCQSPPIAHRDLKAENLLLGSDGLWKLCDFGSISTNHKRFEKPEEMGIEEDNIRKYTTPAYRPPEMWDLFLKEVINEKVDIWALGCLLFRICYFKSAFDGESKLQVLNGNYRIPDLPKFNSTLTDLIRDMLQARPDDRPDITQASALLDWPFISINLGCNQSLTLGTLLWWQVWFRVNEQLPIDLQKSLPDRPPESPASNNHEGASMSTNKSSAMPRRNPPPPPSVAEPKTTPQPSLASRGGESGGQLGAFWSTLHAKDSRVPEEKGKPVYDEERSSHHRVRPDNDQLPKNVSTNKVTNSQTQTVKSSIHGKLHKPEAVSSKDFEINFFPDKGHANEKQMSNLEKKNNNFQDQSFNTFVAEFDTAKLSSGHGNKSTREEALESEVEKLREQLKEANLEKSEITAKYEKLTAICRSQRQELQDLKQTLAAKTPSPSREGFRTSPGVASSASSDRSEWKTPSSEPKSSWQPFSEESEPFKSLSADNASKSVRSRNGQQSKPATQPAADFDSWGFGADTFSAARAGSGSPQMPRPGEGSTAHVFREAKGFESKSTSQPAGWAGF >RHN49878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1900959:1901195:1 gene:gene34104 transcript:rna34104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II cytochrome b559, alpha subunit MVMEESHMRRRVRTTTLWLSSAMADLVVGEPDAGGDDGGVCITIPSLFIAGWLFVSTGLAYDVFESPRPNEYFTESRQ >RHN81530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46749614:46751856:1 gene:gene5590 transcript:rna5590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding protein MGRKGSWFSAVKKLFISDSKKDQKHLHKSNSKLTCFGHPQHHHHHHHHHYEDAEWKSGGVSPITVVPVPSLPPKEDVKPKKTDAENEQDKQAFSLILATAVATGAAVAAAKTAAQAAAEAALEAARITSLRPCYIGKTNEEIAAIKIQTAFRGYLARRTLRGLRGLARLKALVKGQSVQRQAATTLQCMQTLSRLQSQVSARKIRMSEENQSFQRQLQQKREKELDKLQAAPIGEKWDYSSQSKEQIQARLLNRQIAAMRREKALAYASTHQQTWRNSSKATDATIMDPNNPHWGWNWLDRWMASRPWEGQNTKDQKNHRSGKGVASHTMSVGEISKLYALRDQNQDDKKSPTSQKANNPNQASRVAVPSTSTRGKAKTSSSPRVGSWGGDGDSKFTFNKNSESNRRHSIAVAPVKEDESLVNTPAKFSKVKSNVQSPSVKKQLSFTASSSGSRRHSIPTKMGMNSNKNVAATIPEVKVKNGGSK >RHN62852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46228146:46237108:1 gene:gene25476 transcript:rna25476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative starch synthase MASKLATCFVCCNLSSGFNNCNNNYNQPTRRVMCSPFPSSCKIRHLRILSSQQQKRQYHKKTSSSSSSSQPSSIDGGRTIDPKSNHDHSPHNSTPSALNVNGAEQAEQLSGGQLEDLLSMIKNAEKNILLINQARVRALEDLQKVLAEKKALQAEVNDLEMRLAETDARIEVADQEKTHVELMEGQLEKLRNELAEKGSTEESNAELHDLHNDRIHSLTEELNSVREENASLKNAIESFKIQLNDVKNNDERLVVLEKERLYLESTLKDLESKLSISPEDVSELSTLRVECKHLSDKVENLQLLLDKATEQANQAVIVLEENQDLQRKVDKLETSLEEANVYKLSSDKLLKYNELMQQKIKLLENSLQKSDEDINSYIQLYQQSVNEFQDTLDILKKESKRKTLDEPVEDMPWEFWSQLLLLIDGWTLEKKIAVDDAKLLREKVWKKDKSISDVYMACKGQNEDGAISAFLGLTSSATSPGLYVIHIAAEMAPVAKVGGLGDVVCGLSKALQKKGHLVEIVLPKYDCMQYDRIGDLRALDVVIESYFDGQLFKNKIWVGTVEGLPVYFIEPHHPDKFFWRGDFYGERDDFRRFSYFSRVALEFLLQAGKKPDIIHCHDWQTAFVAPLYWDIYAPKGLNSARICFTCHNFEYQGTAAASELESCGLDSHHLNRPDRMQDNSAHDRVNSVKGGVVYSNIVTTVSPTYAQEVRTAEGGKGLHSTLSTHSKKFIGVLNGIDTDIWNPATDPFLEVQYSANDLQGKAENKEALRKNLGLSSADVKRPLVGCITRLVPQKGVHLIRHAIYLTLELGGQFVLLGSSPVPHIQREFEGIANHFKNHDNIRLILKYDESLSHTIYAASDMFIIPSIFEPCGLTQMISMRYGAVPIARKTGGLNDSVFDIDDDTIPSQFRNGFTFLNADEKGIDGALVRAINLFRDDPESWKQLVQKDMNIDFSWDSSAAQYEELYLKSVTRGRAVKRT >RHN60970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31965213:31968265:1 gene:gene23376 transcript:rna23376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-VIIa-2 family MGISIVSAMGVCLGGKVKAESPINSGLSSKGVIVNTEDHSIPCCKISHDIISSSSEVSAASVSVPQTLPRVGEMLQSSNLKSFTLTELQNATRNFRVDSVLGDGDFGSVFKGWIDEHSSSAAKPGTGIAVAVKRLHQDCFKGHNKFMAEVNYLGQLSHPHLVKLIGYCLEDENSLLIYEFMPRGSLENHLFIRGSYFQPLSWSLRLKVALGAAKGLTFLRNAETKGKYRDFNTSNVLLDSNYNAKLSNFGHSKGGSMVDKSHVSTKLTYGYAAPEYLASGNHTAKSDVYSFGVVLLEILSGRRVVDKNRPPSQHNLVEWAKPYLTNKRKILRVLDSRLEGQYELEDAYKVATLSLRCLSIEAKLRPNMDEVVTDLEKLQVQDVNGCNQNRSRRRSADYVTHSRTTAAYPQRSASMFCT >RHN71053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56111602:56112285:1 gene:gene19696 transcript:rna19696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MKSGMEVEERKKLKKPQQAISRKGCMKGKGGPENPSCPYKGVRQRTWGKWVAEIREPNRGSRLWLGTFETSLEAALAYDAAALKLYGSRAKLNLPEISTSVKSEGQQSSPDTQIVQMEDPYHPQGNHNTGNNTCLGFNTNPYPTVSMAYQPLNNNNNNSTLSFPFDTNSNPIDQTYKDFFPPLDDSIWPEDAMSIDFPINYASSRMITEENLADGSVWDSLQTPWCM >RHN68188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33553774:33554986:1 gene:gene16503 transcript:rna16503 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLDSHDSPSIFFIGNITNAASKKTAKGASLTLAIVSTERTSYNIYFLIMSSALYCISSFLACINL >RHN59677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12634685:12634960:1 gene:gene21760 transcript:rna21760 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps15 MIKNSFIPVISQKKKEEENPGSVEFQVFNFHNKILRLTSHLELHPKDYLSQRGLHIILGKRQRLLSYLSKKNKIRYKKLINQLGIRDSQIR >RHN60375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25979513:25980796:1 gene:gene22681 transcript:rna22681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MGKMMVTKDWLVFLQVLLFSATFDDTVKNFATRIVAKKEHNEYFVKKEELSLEAVKQYKVKCTDELAKIEVIKDYIFELGENVGQTIIFVRTRNSAKMLHKSLVDFGYEVTSIQGALQTEERDTIIKEFKDGLTQVLISTDVLSRGFDQDQVNLVINYDLPLKYTRGQEPEPDCEVYLHRVGRAGRFGRKGAVFNLICHESEQMVMSKIEEHFGIHVEEVREKSVGDYKNALKKAGLLY >RHN52298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33595548:33604279:1 gene:gene36957 transcript:rna36957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAMQSPSRVFLNFRGSDTRNNFTGNLYKALVDKGINTFIDENDLQRGDEITSSLVKAIEESGIFIPIFSANYASSSFCLDELVHIIHCYNTKSCLVLPVFYDVEPTHIRHQSGSYGEHLTKHKEGFQNNEKNMERLRQWKMALTQAANLSGYHYSPHESECKFIEKIVEGISNKINHVFLNVAKYPVGLQSRIEQVKLLLDMGSENEVRMVGIFGTGGMGKSTLAKAVFNSIADQFEGVCFLHNVRENSTLKNLKHLQKKLLSKIVKFDGQIEDVSEGIPIIKERLSRKKILLILDDVDKLEQLDALAGGLDWFGLGSRVIITTRDKRLLAYHVNTSTHAVEGLNETEALELLSRNAFKNDKVPSSYEDILNRVVTYASGLPLAIVTIGANLIGRKVEDWERILDEYENIPDKDIQRILQVSYDALKEKDQSVFLDIACCFKGCKWTKVKKILHAHYGHPIEHHVGVLAEKSLIGHWEYDTHVTLHDLIEDMGKEVVRQESPKKPGERSRLWFRDDIVNVLRDNTGTGNIEMIYLKYAFTARETEWDGMACEKMTNLKTLIIKDGNFSRGPGYLPSSLRYWKWISSPLKSLSCISSKFNYMKVMTLDGSQYLTHIPDVSGLPNLEKCSFRGCDSLIKIHSSIGHLNKLEILDTFGCSELEHFPPLQLPSLKKFEITDCVSLKNFPELLCEMTNIKDIEIYDTSIEELPYSFQNFSKLQRLTISGGNLQGKLRFPKYNDKMNSIVISNVEHLNLAGNSLSDECLPILLKWFVNVTFLDLSCNYNFTILPECLGECHRLKHLNLKFCKALVEIRGIPPNLEMLFAVMCYSLSSSSIRMLMSQKLHESGCTHILFPNTTDRIPDWFEHQSRGDTISFWFDKELPSISFTFILISQGDYMLPIVKFFVNGYEKEISCDELTREFGELVDDDTVLENHTTLLHIKLEQDNELGERLLKNEWIHVEFKLQDYYWHAERRLFRNTQMGIHVWKEKSNMEGGVRFIDPSLSQFMQRLVEVGVSEKGEEEEGFSDTEEDVILKNPNNRKTWGTFLSLGASKSNTDGDEIEILSSAQDERKMWGTFLGLGPS >RHN60919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31597088:31597429:-1 gene:gene23314 transcript:rna23314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MLQERKTNSKKGIYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHLLFAVMNDEELGKLLVGVTIAHGGILLTKKAEKAASAKEPKSPKKVGKSPKKGLDL >RHN39827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10870463:10872151:-1 gene:gene45901 transcript:rna45901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MAETDQGRPLAPVRVHPRSDDEEKALKTMNRRRKIKLCGCVTAILLLLLVIVIVILAFTVFKVKDPKVTTNEIQLTNFGVNLVQIPTPQVKINMTMVVNMSIKNDNIASIKLGNSTTTVYYRGITVADAVIPPGLVKAKKTTRLNVTIEVMADRLVSSPNLLGDVVQGEMVMNTYSIIPGRVKILFIKKHVEMKMNCTMTINISKRGIENMTCTHKVKL >RHN52477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35750546:35756526:1 gene:gene37161 transcript:rna37161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MERLQKWKMALTQTSNFSGHHFNPGNGYEYKYIKKIVKYVSNKINHVPLYVADYPVGLKSRVLKVTSCVDVGSNGEVQMLGIYGTGGIGKTTLARAVYNSIADQFDGLCFLHDVRENSSKYGLEHLQGKLLSKLVELDVELGDVNEGIPIIKQRLHRKKVLLILDDVHELKQLQVLAGEIDWFGPGSKVIITTRDKQLLASHGIERTYEIDKLNENEALELLRWKALKYNKVDSNFNGVLRCAVTYAPGEPGRRSRLWFCKDIIDVLEANKGSSEIEIIYLEFPSSEEEVIDWKGDELKKMQNLKTLIVKNGTFSKGPNYLPNSLRVLEWPKYPSRIIPSDFCPKKLSICKLKESDLSSFELRGTVKGFVNMRELNLDKCQYLTRIHDVSNLPNLEIFSFQYCKNLIEIHKSVGFLNKLEILNAMGCSKLLSFPPLMSTSLQYLELSYCESRKSFPEILREMNITGLTFLSTSIEKLPVSFQNLTGLRRLSIEGNGMLRLPSIICSMPNLSVVYVRGCIWPKVDDKLSSMVTSSAEHMHLRNCILSDEFLPIIVMWSANVSKLDLSGNNFTILPECIKDCRFLTDLILDDCKCLREIRGIPPNLKHLSAKYCKSLISSARNMLLNQELHEAGGTIFCFSGFVRIPEWFDHQNMGHTISFWFRNKLPSMALCFSTKSVAKGFHMSTKRPILVIDGNNYSLSSFHGYDIMLTHHTYLYATELKSRPVNNLNKIILKNEWNHAEVIFEHSNVEPLTEIGIHFFKHENNMDDIQFTNP >RHN79719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31546734:31548433:-1 gene:gene3549 transcript:rna3549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MNVKTYKYKYQAAMHGGNLRKGTWLQEEDEQLISFVTRLGERRWDSLAKVAGLRRSGKSCRLRWLNYLRPNLKHGPFNVEEERLIVQLQQQWGNKWSKIARRLPGRTDNEIKNYWRTHLQKRVQVQQGEFMYEVENLARDFKNKSIDVVSNSEGETKESSIDSCPLSDWGMRNSPYHESRISDWIEELQNGFGDKEMELGQEFNSINGSIYDYNPQQEYDTWDYSGFLWDL >RHN52406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34937020:34937936:-1 gene:gene37078 transcript:rna37078 gene_biotype:protein_coding transcript_biotype:protein_coding MMILLLITIDKFLWTFFMSVKQGVVDVFKILGFDVFFGSAYLKFA >RHN58761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4581666:4588156:-1 gene:gene20703 transcript:rna20703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MSYPTSSSSYDLQRRRTLLLDLNLTPFENDLALTKKYDVFLSFRGEDTRASFISHLTSSLQNAGILIFKDDQSLQRGDHISPSLVHAIESSKISVIVFSKNYADSKWCLQELWQIMVRHRTTGQVVLPVFYDVDPSEVRHQTGEFGKSFLNLLNRISHEEKWMALEWRNELRVAAGLAGFVVLNSRNESEVIKDIVENVTRLLDKTDLFVADNPVGIDSRVQDMIQLLDTQQTNDVLLLGMWGMGGIGKTTVAKAIYNKIGRNFEGRSFIANIREVWGKDCGQVNLQEQLMYDIFKETTTKIQNVESGISILNGRLCHKRVLLVLDDVNKLDQLNALCGSCKWFAPGSRIIITTRDKHILRGNRVDKIYIMKEMDESESLELFSWHAFKQARPSKDFSEISTNVVQYSGRLPLALEVLGSYLFDREVTEWICVLEKLKRIPNDQVHQKLKISYDGLNDDTEKSIFLDIACFFIGMDRNDVIHILNGSGFFAEIGISVLVERSLVTVDDKNKLGMHDLLRDMGREIIREKSPMEPEERSRLWFHDDVLDVLSEHTGTKAVEGLTLKMPCHSAQRFSTKTFENMKKLRLLQLSGVQLDGDFKYISRNLKWLHWNGFPLRCIPSNFYQRNIVSIELENSNAKLVWKEIQRMEQLKILNLSHSHHLTQTPDFSYLPNLEKLVLEDCPRLSQVSHSIGHLKKVVLINLKDCISLCSLPRNIYTLKTLNTLILSGCLMIDKLEEDLEQMESLTTLIANNTGITKVPFSLVRSKSIGFISLCGYEGFSRDVFPSIIWSWMSPNNLSPAFQTASHMSSLVSLEASTCIFHDLSSISIVLPKLQSLWLTCGSELQLSQDATRIVNALSVASSMELESTATTSQVPDVNSLIECRSQVKVSTTPNSMKSLLFQMGMNSLITNILKERILKNLTIDEHGRFSLPCDNYPDWLAFNSEGSSVIFEVPQVEGRSLKTIMCIVYSSSPYDITSDGLENVLVINHTKTTIQLYKREALSSFENEEWQRVVTNMEPGDKVEIVVVFGNSFIVMKTAVYLIYDEPVVEILEQCHTPDKNVLVDIGDENECAAMRISRQVEPTDDFEQKQKRRKID >RHN50723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9795778:9796259:-1 gene:gene35046 transcript:rna35046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MLLYILMEEFEEITHKIKQEPFDCSKKANLSCDDPADIEYDSSQTWVKYKPNNPKTPEGFKRTLELRNDYSKLDSYYITPTGEKLRSHSEIAAYLEDHPQPSGVSASDFDFSSPKVMQETILEFIEQQ >RHN53391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1416908:1417063:1 gene:gene28293 transcript:rna28293 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYIVVSRVTSKEELMILITDETTKISILHLLLFMRKYSVIFDSIIINIM >RHN49037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51988639:51991011:1 gene:gene43821 transcript:rna43821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MMEKKGLNMEDYANIGSFPSYSFPSVFDLSEERSFMELLGVQQNMNNYSDSLLDLPVVVKEPPLESDGNGKEYSEVLNSQQQPATPNSSSISSASSEAINDEHNKTVDQTNNQLNKQLKAKKTNQKKPREARIAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTSVSCNVKKHVERSLSDPTIVVTTYEGKHTHPNPIMSRSSAVRAGSLLPPPAECTTNFASDQNYDISQYYNQQRQQVLFNTLSSLGFPSKNMNATFSQDRPLCNPRVQDNGLLQDVVPSHMFKEE >RHN60189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22885102:22887700:-1 gene:gene22442 transcript:rna22442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative equilibrative nucleoside transporter MLVCWILGNGVLFTWSSMLTIIDYYLILFPNYHPSRVLTLVYQPFAFGTMAILAYHEAKLNTRKRNLSGYILFFLSSMAVLILDLASSGKGGLGTFIGICIVSGVFGIADALAQGGMIGDISLMHPDFMQSFLAGEAASGALTSVLRLITKAIFENSKDGLRKGAIMFFAISVLFELLCAILYAFVFPKLPIVKYYRSKAASEGSKTVAADLAAAGIQTSLGESTGESKQFERKGMKQLLWENKDYALDLFLIYILTLAIYPGFLSEDTGKHSLGTWYALVLIAMYNAWDLVGRYIPLIKILNMESRKWITVSVCARFVLIPAFYVTAKYGTQGWMIMLTSFLGLTNGYLTVCVLTSAPKGYKGPEQNALGNILVLFILGGIFAGVTADWLWLIGKGW >RHN74175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29850959:29853032:1 gene:gene10182 transcript:rna10182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-oxo-beta-amyrin 30-oxidase MTPTFLKSCNDLISNWEETLSSSGASEIDIWPSLQSLTSDVIARSSFGSSYEEGRKVFQLQIEQGELIMKNLMKSLIPLWRFLPTADHRKINENLSGLGLIHFKLLGVAGC >RHN46135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29062784:29066780:1 gene:gene40578 transcript:rna40578 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MELTKFRSFHSFKVFLFGLCVIILFPELVVCRTRHYTFNIEYKNVTRLCHTRTILSVNGKFPGPRLVAREGDRVLVKVVNHISNNVTIHWHGIRQKTTGWSDGPAYVTQCPIQTNQTYTYNFTITGQRGTLFWHAHISWLRATLYGPIIILPKHNESYPFQKPHKEIPILFGEWFNVDPEAVINQALQTGGGPNVSDAYTINGLPGPFYNCSSKDTFKLKVKPNKTYLLRIINAALNEELFFSIANHTLIVVEADARYTKPFNTNTLLITPGQTTNVLLKTKPDFPNTNFLMIARPYITGLGTFDNSTPAGILHYKQHNSSIKNLRFLKPTLPSLNDTNFVSNFTKKFRSLANSKFPINVPKKVDKKFFFTVGLGTFPCPKNSTCQGPNNNTKFAASVNNFSFVLPSVSIMQAYYFGKSNSNGVYKTDFPETPLNPFNYTGTSPNNTMVNNDTKLVVLNFNTSVELVLQDTSILGAESHPLHLHGYDFFVVGQGFGNYDANKDPAKFNLVDPVERNTVGVPAGGWVAIRFFADNPGVWFMHCHLDIHTSWGLRMAWLVLDGPDSNQKLQPPPSDLPKC >RHN72199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5716086:5718850:-1 gene:gene7885 transcript:rna7885 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVRSNPTLIIFFFLILFITPSQSLSFSSYYRFRNFLSLSHSIFTGVANLRTKRGDIAGAERAKTIANSLEKVNGFGFVKLVWSAWSWKWMLKELPLTEMYGAVSDVNEFLRSLNELTRLESAGERAVWLSRNYQNLLTVTKSLFSKLLKAFGQSEGVRKVVETLRIEVVEGGLIRDCLLLGGNDLKDLIKVAKDLLLQFFPAATDKNPEL >RHN79479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29413210:29413780:1 gene:gene3274 transcript:rna3274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fatty-acyl-CoA-transporting ATPase MYRDYTSSSALESNELTPQKKHETLLEIDNLILKTPSDSTLITDLSLTIKAKDNLLITGPSGSGKTSLLRVMVGLWRTGTGKIIYYVKGGEDAEKSISSDVNTPRDISEDRGKSISRKSGIFFLPQKPYMVLGTLRQQLLYPTWGDDLVPTLDSDKQKSM >RHN82480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54134832:54139107:1 gene:gene6649 transcript:rna6649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MHISVLHANVTSFPFFLFSKTNASTLKHLHLQNCLVYNITNCDFILFKNLRSLTLGSTKVDELFITSLVSNLGLLDELHLIYCEFKSSMPKIVSSSLCYLEVKNCHVVSNNIKKGVNLCSLDCLKLTSLEYFGPGLETFYINTPMLKRIHIPVISDEYVNAFALLSTLTELDILQLDIYSMVKPLVKMTQPLRHLKQLNFIIQWSHWDFLSEMEFDLLWILNILQTFPQLQKLSIMLTYPKIIKKQKVVKDVEICSHDEIRVIELGGCVGNWYEIEFVMNALKYAQKHERIVLSPYRREHDSVDWKSDPPVWSQNGCQRIRQKLQSEDVVGREKVVFV >RHN47499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40174301:40175254:-1 gene:gene42110 transcript:rna42110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MASSRVVLILSISMVLLSSVAIATDHIVGDDKGWTVDFDYTQWAQDKVFRVGDNLVFNYDPARHNVFKVNGTLFQSCTFPPKNEALSTGKDIIQLKTEGRKWYVCGVADHCSARQMKLVITVLAEGAPAPSPPPSSDAHSVVSSLFGVVMAIMVAIAVIFA >RHN42916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41923045:41929524:1 gene:gene49422 transcript:rna49422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYGNNKTLGDAEENLLTCLADLFSQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKEAQAAKTDPEIPTPSEKVANGFKNGHANGPKKEPLITWVHKNFQGILTNETRCLQCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYMEQLGRYKKLSYRVVFPLELKLSNTVEDADIEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSPQEYSTNTDHGYILFYESIGPGNSN >RHN68602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36973212:36974139:1 gene:gene16974 transcript:rna16974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MEERASPPPPPPPHDSNSKPQLPNINRNTFVVQVPKDQIYRVPPPENARLAEQRRSSPPKEKNRMCCWCFVLIFFIAVVILIGVVLGSLFSMVRTPKDPKFSIQRFLLHTKPHTQYKITLQAQNPNSNVDILYKGGDISLSLKRQKIASGAYPTFSLSNQNSTMFDVTLKGSTTKLPKEVEESVKNEQRKVQITFALLIHLQAQMKMGLLHSGSMKYEVSCQVTVDTLARINRQVTVDTMAKNNRVVSQQCQTKRH >RHN60460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27021009:27024774:-1 gene:gene22772 transcript:rna22772 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCHNQTNNNLKEGFREISHNKIRKRNCSSSSSSSLARRYRFKRAILVGKKGGSTTPVPLWKTSTTSSPSMENTTQHLLYSSASGLPSKDKEKEVSVSARKLAASLWEINDLHPSRVKKEFEAEQMRSCKETSNRSREKAKSLSRSGLLRPLMSDPCNSPISERMKGFESDGYRTVSGLSHPLRSGMDAHTSDSLNEKNSGKCAVGVKSRLKEARSSLSTSKKILKVLNQMCHREKQSSTMALTLALGSELDRVCGLLDKLIQDERSNSNQKDIEYMVKRFAEEKAAWKSREKEKIHDAIKNVAEELTVEKKLRKQTERLNKKIAIEMASVKASQLKVCEELEREKRAKEILEQICDELARGIGEDRAQVEEMKKESVKVREEVEKEREMLQLADVLREERVQMKLSEAKYQFEEKNDFVEKLRNELEDFMRTRDEENGDASPECTKFNDLESYFNKVCQRFQNAEYEGQNDSDEDDSDLQSIELNMNNDNRGSEWSYPGEKDAQKDSKRVSTDKESTGRKSYERIQWGSICFNKRNSSFKKRDLDTNIQEGRDHSRPDSSIEFLSRARIQDDEDETTSNRSISSANHVQRNDNQLTLQCTSEEARENSLLVFKGENLKQEAEGRKSKCYLKSLDSDS >RHN68220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33832207:33833358:-1 gene:gene16540 transcript:rna16540 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTQNLRIQKATIVLLIICISFSSSTEEIIITSPKKLDFPVVVPPPEYTEIKCGSCPCGQTCGDQSPPPPPPPPPCQPPTPPPPPPPPPPCPPPPLPPPPAPKCPQNCNPLLPSPPPPPRFVYVPVPGQPKPYWIYYYSGAESRAVNFLVLALGGGLSIAMIFG >RHN59418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10526175:10527733:-1 gene:gene21446 transcript:rna21446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor OFP family MRNNKFKLSDMIPNAWFYKLKEIGKTKNQTSTTPSKKKKQPFSLSSTTSTHSSKPNQPNQCNNPRKSYYFTRELNQNNTKFSTSPKTPQTPSKNKTRKKRTTTLKSKNSSSSSPKNVTSSVSAGCSCRTTLESVWTKSDSPHENFSSSPLDSLTESESPDPEFRTDRVLIPTEPSFDEMVSLTTSSCACNKISNNNNNIDIVIDVDKNSLARKDDKLEGYDYSYDSFSKLELPPIITKPTMKNEHKPIKEESLKIKIINQEQHKKKNKKKKNGSVNSPGVKLRIKSPRIVQFHHVRKSVSSTVTSSGYRRSFSGSLAIVKSSFNPQKDFRESMVEMIVENNIRASKDLEDLLACYLSLNSDEYHDLIIKVFKQIWFDLTETG >RHN56641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32490591:32494308:1 gene:gene32073 transcript:rna32073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MSSIANSDMHRNVADFQPSVWGDYFLRYASESMEHDQSIAAQIETLKNDVRKILDIKNIEKSLATVHLIDSICRLGVSYHFEKEIEEVLQHIHKNYVQNGEIIIFENNLCSLAVLFRLLRQQGLHVSPDVFNKFKDEDGNFSERIIGDVEGLLSLYEATQLMVHGEDILEEALAFTTTHLQSIANQLSNSHAIQVKNSLRQALYKNLPRLEARNYIFIYEQDPSHDKNLLLLAKLDFNMLQRLHQKEFGKVCKWWKELSVRNKLPYARDRLTESCFWALAVYIEPQYSTTRIIMMKQIITMTVIDDTYDAYGTIGELELFTEAIERLDISCLDNLPDYMRFLYGIILDLYGQIEQEMRNNGRVYALNYHIKEFKKYIQAYMTEARWLKNNYKPTLEEYIRISLESSGYVFMITTCYIGMGDIATEDIFKWVSNDPKVINAAIIIGRLMDDIVSNEFEQEREHVTSFLECYMRQYNVSREAAIQEGRNRIADAWKDMNKECFRPTEVPMPFLTRILNLSRFMDVVYKDKDNFTHPAGEMKTFIKALLVEPVPL >RHN51212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15101566:15102090:1 gene:gene35606 transcript:rna35606 gene_biotype:protein_coding transcript_biotype:protein_coding MCESQTTISKGKSVSDACRRMAARHVDVVLLTDANALLFGMLCYLE >RHN40130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13524200:13524340:-1 gene:gene46236 transcript:rna46236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative abieta-7,13-dien-18-ol hydroxylase MGRMPYIWGDDAQEFLPERWLKDGIFQPESSFKFTAFHVSVTTLLG >RHN61815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38567547:38568935:-1 gene:gene24314 transcript:rna24314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucoside 2''-O-glucosyltransferase MDSSSPLHIAMYPWFAMGHQTPFLHLANKLAKKGHKITFFTPKSAQSKLEPFNLYPQLITFITIKVPHVEGLPLNAETTADVPYPLHPHIMTAMDLTQPDIETHLTNLKPQIVFYDFTHWIPSLTKRLDIKAFHYCIISSIMIGYTLAPSRYSKGKDLTEFDLMQPPSGYPGSSIKLHSHEAKAFAAMRKNTYGSNVLFYDRQAIALNEADALGYKTCREIEGPYLDYIQKQFNKPVLTSGPVLPILENSNYVLDENWATWLGRFKTDSVVYCCFGSECVLKPNTFQELMLGLELTGMPFFAALKPPFGFETIEEALPEGFSERVEGRGVVYGGWVQQQLILEHPSVGCFITHCGSGSLSEALVNKCQLVLLPNVGDQILNARMMGNNLKVGVEVEKGEDGFYTKDNVCKAVSIVMNDEDEISKTVRSNHTKIREMLLNKDLESSYIDNFCMKLQEIVEGKN >RHN79024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21295344:21296445:1 gene:gene2707 transcript:rna2707 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVYMFKTIYTHDDLPTWHSRCTIINRTAYFDSLLTEDDFLSPMKVFLLLYYFHFFIMLPFLCYFSYIRFICFIQQVDEDFGMYLKQYDFVDVKLCVDNGNEEKFKVYFLNDAKLTTQFGIMWDQFCKNSNFRIDQTICFKLMISDKEKCHVYKVNPPATSSIASA >RHN82115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51360150:51362356:1 gene:gene6241 transcript:rna6241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MTSCSQDKNEDEDEAEAGNNLKVGDLLQFDFATIRLATSNFSDANKLGQGGFGTVYKGTLSDGHDIAIKRLANNSEQGETEFKNEVLLTGKLQHRNLVKLLGFCLQRKERLLIYEFVPNKSLDYIIFDPIKRANLNWERRFKIIKDIARGLLYLHEDSRLQIVHRDLKTSNILLDEEMNPKITDFGIARLFDANQTHGMTKTVVGTVGYMAPEYIRHGQFSVKSDVFSFGVIILEIVCGQRNTEIRDGENIEDLLGIAWKNWKAGTTSDIVDPILHQGFNKNEKMRCIHVGLLCVQEDIAMRPNMSSVLLMLNSTTFPLPEPSEPPFLMQPKRALSIPLNEQYSVPTKSSDSGSGSQITQGSTSKSSGIDQ >RHN57564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40076658:40081196:1 gene:gene33132 transcript:rna33132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle transport protein, Got1/SFT2 MLSFEMNDRKKIGLGLTGFGIFFSFLGIVFFFDKGLLAMGNVLFVSGVSLTIGLKSTMQFFMKRSNFKGTISFGIGFLILILGWPILGMIIEAYGFIVLFSGFWPTLAVFLQKIPVLGWVIQQPFIRSFFDRYRGKRVPV >RHN40594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17992925:18002457:1 gene:gene46760 transcript:rna46760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MQVFVATSLDLINWLLGLFGSSKKKVHISDHSNKIDESLSLPLHIFFIIVIGLVFFHLYWFGENNHNTNEVEEEELEHPVDESDRDFVWDWQLSPRTSEHGDAAKRHTPCAFCGDLSTTRCARCKVARYCSEECQIGHWRSWHKYECFEMESEEGHAREETPMLVEEKYDNESIPNGSLSSNVVVDDGSSSSSDVNSHISCVECGSPSTTRCARCKSVRYCSTKCLIANWRWHKYNCIAEDVNSAPTERPDRNVGVLKHSNKEEESIPSSKPLYLELHPEGTSNFKSPSEVSQVTTKEYQVHKTQWVKYLEDELVKSRKQILAIQSERDDWKGRANFAREMFQRFKEKTENQLFVLRNENESISNAEKKASNVTHSLHERLNHLQIAAQENFAEKRRLEEHIQMVESECATLKKELQEEHKHAQYLTLESNKSHETAQIAIREVEVVRQELLEERKHVERVKENFIREVTFVESRAIFAEAKLSDLQRKIKLTDHKVLVKTDSLGKPSTACTICLTNEKNMAFGCGHMTCRDCGSQLSKCPICREQITSHIKLFPG >RHN42688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40263425:40264859:-1 gene:gene49166 transcript:rna49166 gene_biotype:protein_coding transcript_biotype:protein_coding MCFWIRRRRMETLGGLGATYILGMDDREQIMIALRKKDQGENIIGLCGPDKIVKHSVKTSRRKAERDQLFQKIVTATVTKKPDISKIQTQIGIAIGLNFDDKIDLSETSCCVCYGNNKRMTTAERALLLCAKIKELQTVLVVLCDLHGRLDLGEIGIPFGEDHNGCKILLTSTSSEALSKHMKVDKVIQLSET >RHN49675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:226729:228794:1 gene:gene33879 transcript:rna33879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-glutamyl-gamma-aminobutyrate hydrolase MLLKSFEPIHGVLLCEGEDIDPSWYEQDNTTCNLSHEELEEIKKLHSSDTNIDKEKDSIELILAKLCLERNIPYLGICRGSQVLNVACGGTLYRDVSKELSKNSHVMHINYDDYDGHRHVIKVVKNTPLFDWFKDSLKNEGMDEILVNSYHHQGVKRLAQRFVPMAFANDGLIEGFYDPYAYNPEEGKFIMGLQFHPERMRKPNSDDFDYPGCPYVYKEFVKAVIAFQKRLNTLTSVPKRMKLNKEIKNKRRIIMRSFSVAKKLYTTGRGKCSSKEWELEAGAKFLESNTALKQIGATVRNAGSYMKQSEKMTKNVMGKMSVEQLSDLLSFYHTMGQICSQVLERKLHDVVNDFN >RHN46770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34728342:34730533:-1 gene:gene41295 transcript:rna41295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylsterol monooxygenase MIGCLIPFLPYYTKQTLDLLFMWLGIRTGLPLPSGWELFWHLIVYVIIEDYVNYWFHRMLHNKWAFDNIHKVHHEYKTPIALAAPYAHWSEILILGFPAFLGPALVPGHITTYWLWFILRQLEAIETHSGYELPWSPTKYIPFYGGPAYHDYHHYVGGRSQGNFASVFTYCDYIYGTIKGYQYKKRMYEKISPTYTFNSQNYKVD >RHN49354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54216710:54221019:-1 gene:gene44174 transcript:rna44174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative double-stranded RNA-binding domain-containing protein MYKNRLQELAQRSCFNLPAYSCIREGPDHAPRFKVTVNFNGETFESPTFCSTLRQAEHAAAEVALNTLATRGPSRTLAARVLDETGVYKNLLQETAHRAGLNLPVYRTIRAGPGHVPNFYCTVEIAGMHFTGDPARTKKQAQKNAAIAAWSALRKLSANQLSSSTSSSFSSESKSNEEQEHVIIARVLASLQSSGSKNFPECDHQHRWQKSTTTSLVSTQPTPGMYPMQYQHCGISNFSPELALYQTWQQEQIMQQMMALTIQPIIPPAPQIYPLMQSVIQPDRYLYFPSKELSSFPVGPNFSIATPRPPFYYSNQIVPQLNTGRSTVTIREIQEEKAEDSQVCNFSNETRVQSPAPENVRQNHVGSRSSSSRNGLVGEQSLKSEWDSHRSMQNPSRITIAHSQASSNRCFRSPAASYSTVRTTGPTSSTGSTVQHREVPMAVAPRLRTGVPQNPGMVRTPTPRFNMAPAVRIRSVVPVCSAPPRRSVAETSKSNEKGVSKPKDK >RHN39339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6214858:6217152:-1 gene:gene45349 transcript:rna45349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MRISHKKYDLFISFRGEDTRTNFTAQLHRALTDSSIESYIDYSLVKGDEVGPALAKAIQDSHMSLVVFSENYATSKWCLDELLHILQCRKHHGQVVIPVFYNIDPSHVRHQKESYEMAFARYDRDLAHSKSQLDKVSEWKAALKLAANISGWDSRKYRDDSQVIDKIVEDVLQKLSLMYPNELKDLVTVDENSEDIELLLKTIPRIGIWGMSGIGKTTIAKQMFAKNFAHYDNVCFLEKVSEDSEKLGPIYVRNQLLRELLKREITASDVHGLHTFIKRRLFRKKVFIVLDDVDNASQLDDLCRVLGDLGPNSRLIITTRDRHTLSGKVDEIYEVKTWRLKDSLKLFSLRAFKQDHPLKGYECFSERAVECAGGVPLALEVLGSHFHSRKPEFWESELNLYENKGESLPDIQKVLKASYNGLSWRQKEMFLDIAFFFKGENKDIVTRILDAFGFNATSGIEILEDKTLITISNNSRIQMHDLLQKLAFDIVREEYNDRGKRSRLRDAKDICDVLGNNKGNDAIEG >RHN81667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47937727:47942294:1 gene:gene5741 transcript:rna5741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MAAKRVYQVWKGSNKFILGGRLVFGPDARSLLITLSLIIVPVIIFCVFVARHLRHAFSSYYSGYAILVVAILFTIHVLVLLCFTSARDPGIIPRNSHPPEEEFRYESSTVAGQQTPSLQFPRTKEVMVNGLPVKVKYCETCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRYFFMFVSSATILCIYVFSFSAFYIKVLMDNNDIGTVWKAIKESPASVILMAYCFISLWFVGGLTGFHLYLIGTNQTTYENFRYRADGRINVFNRGCLNNFLEVFCTEIKPSRNNFRAFVQEEVQRPLTTVISRGREPDDLGGDRRPKVEDDLDIGEDLLKISQRRNIEQLDEDIQNRGSNGAPHNTAEPDSILSSDHRATTIRSDARHSSWERSGNWEIAQDVFANSNVTESRNYVSSKETRQ >RHN39991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12089799:12090922:-1 gene:gene46078 transcript:rna46078 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEFNYIKIHCRDLMQFLDHDVFNFLSSSTITFLWRIIGDNIVLIFYFHFNSSVISLIKKVRNSDRMGCFRGWIRKKGHSRHLLYKRKHRYTLGSSLINSSRQIR >RHN79395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28389257:28390360:1 gene:gene3181 transcript:rna3181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MTHILFDLVTEILCRLPAKFLVQFGCVCKSWNSLISKDLEFAKKYLRMSTTKRKHLVTCTWIRSKDEFSMMSYPLDSLQLHSIFTSEPTLLEYYSPIPPDSYKTVVASCDGLLCMSINNRHAVLYNPSIRKLKKLPSLDIPPHIFGYTTFAFGYDPFIDNYKVVSAFSHYCESDGTWVFKTHVNVYTLGIPSWRRIQDFPSMTPNGKSGIIVSGTVNWFASSNVPGNLSRAIVSLDLGKECYQEISEPNYDGMPVYFTLGMIRDCLCICSHSNSFNDVWLMKEYGSKESWIKLIHLPYFGDHGYYVYRPKIVCISEDDNHVLLFQDDVPKWNWVVYDSKNDTIIRLKTQNDLCRVESKVYVESLISP >RHN54626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10872232:10872930:-1 gene:gene29695 transcript:rna29695 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPEYSEGSTSCCFCFSNKKDVARVNKSSGVSRSDQVEWKKNDEILSDMSTFSVKEQERRLKKALEEEKKVNIEADRVVQWVKQESSRIDDVSAIKSILSDNKEKDEVV >RHN54193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7694051:7702112:1 gene:gene29192 transcript:rna29192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar sorting protein 39/Transforming growth factor beta receptor-associated domain 1 MVHSAYDSFELVPNFNGKIESIESYGPKLLLGCSDGSLRIYSPETEFSDHSKPYTLEKNLVNFAKKPVVSMEVVESRELLLSLSESIAFHRLPSLETIAVITKAKGANVFCWDDRRGFLAFARQKRVGIFRHDGGRGFVEVKEFGVPDMVKSMSWCGENICLGIRREYVILNASNGALSEVFTSGRLAPPLVVPIPSGELLLGKENIGVFVDQNGKLIPEGRICWSEAPLEVVIQKPYAIALLPRFVEIRSLRQPYPLIQTIVLRNVRHLCQSSNSVILALDNSIQGLFPVPLGAQIVQLTASGNFEEALSLCKLLPPEDSSLRAAKEGSIHIRYAHYLFENGSYEEAVEHFLESQVDITYVLSLYPSIILPKTTIVHEPEKLDIDGDASYLSRVSSGVSDDMEPLPTDENAALESKKTNHNMLMALIKYLQKKRGNFIEKATAEGTEEVVLDAVGDNYASYNRFKKANKGRGNISVGSGAREMASILDTALLQALLLTGQSSAALEILRGVNYCDMKICEEILRKGNLNAALLELYKCKSLHRQALELLHKLVDESRSSQSEITQRFKPEDIVEYLKPLCETDPILVLEFSMLVLESCPSQTIELFLSGNIPADMVNSYLKQHSPNMQARYLELMLAMNENAISGNLQNEMVNIYLSDVLDWHADLNAQQNWDEKTHTPTRKKLLSALEGISGYNPEALLKRLPQDALYEERAILLGKMNQHELALSLYVHKLHVPELALSYCDRVYESKHQPSLKNSSNIYLLLMQIYLNPRKTTASFEMRLTNLLSPQNTAISRGSAPSVKSKGGRGSKKIAEIEGAEDTKVSLSSTDSSKSDGDVDEFNEGGSTIMLDEVLDLLSRRWDRINGAQALKLLPRETKLQDLQSFLGPLLRKSSEMYRNCSVIKSLRQSENLQVKDELYCQRKAVVKVTSDSMCSLCRKKIGTSVFAVYPNGSTLVHFVCFKDSQKMKAVTKGSQLRKR >RHN62780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45789729:45790373:1 gene:gene25389 transcript:rna25389 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGRNKKRPVKLSLSEIEAAKFLVQLSSGDFEEDQNSNNNSNSYSVSHNKVDSGGDVVSSSTVLSDSESCFARTNKRYRYVNIDELYRATSPLPPVKARMIKRRK >RHN80248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36501470:36503446:1 gene:gene4150 transcript:rna4150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Band 7 domain, Flotillin family MYRVAKASEYLVITGAGIEDIKLAKKSWVFPGQSCTVFDLSPVNYTFEVQAMSAEKLPFVLPAVFTIGPRVDDQESLLKYAKLISPHDKLSNHVKELVQGIIEGETRVLAASMTMEEVFRGTKEFKQEVFEKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQARVDVSEAKMKGEVGSKSREGQTLQNAAKINAETKVIAMQRAGESDKEGFRVRTEVKVFENQREAEVAESNSELAKKKAAWTKAAQVAEVEAAKAVALREAELQGEVERMNALTTTEKLKADLLSKASVQYETKVQEANWELYKKQKESEAILYEKKAEAEAQKALADATFYARKQAAEAELYAKKKEAEGIVTLGNAQGVYVSTLLNALGNDYTALRDYLMINGGMFQEMAKINAEAVHGLEPKISIWTNGGGITEGSMGMKEVAGVYKMLPPLFKTVHEQTGMLPPAWMGTLSDKSS >RHN80929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41983177:41986993:-1 gene:gene4913 transcript:rna4913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannan endo-1,4-beta-mannosidase MGFKILLLSLLIYFISALVIFQHGNSKRVNVGGGFVQRKGTHFILNGKTHYVNGFNSYWLMIMASDPSTSSKVTSNFQQASRHGLNVGRTWACNDGGYKALQISPGKYDENVFRGLDFVISESKKYGVQLILGLVNNWNALGGKHKYVQWARERGQHVKSDDDFFTHPVVKQYYKNHVKAVLTRNNTINGVLYKDDPTIFAWELINEPRYVNDSGNSIQNWVREMATFVKSIDNKHLLEIGLEGFYAETTPQKQFNLNSVKVGTDFISNNQIPQIDFATIHVYPDEWLQGSNETAKSHFVDEWIEAHIQDSNTILKKPLVIAEFGKSSKSHGYSIAIRDNYFKKVYNAISRSAINGGSCAGGIFWQLLSQGMDTYGDGYEVVFENCPSTGEIIKQQSMKMSHIK >RHN57315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38076777:38079593:1 gene:gene32840 transcript:rna32840 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNGTDLAVIIVHQYDYLSTHLNKEGSTPLKVLAARPSAFKSASNLSWYKRILYHCILVEPLDHEKAMRSNLRKMEAGSDSNKMKLPDNYTTLYEFVSIFGKTLLKKKDEDPEDPSNKSKKKKEEDPSNKSEKYPVGFLPKNYETFLEFVKSAYVHTLGLSGVELKDVKIAKKKHTWSSQLLKVLMKRPYAAFTGAGGQPPDTEIDPNIINVFDHHFKQGIYTCIYFFVSKKYMHFFLVN >RHN70278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50199367:50200459:1 gene:gene18842 transcript:rna18842 gene_biotype:protein_coding transcript_biotype:protein_coding METQQHQNIIEEEKNNHSIQLEQTSSPKHPSSPPSSSSSPSHEFSFTISLHSNSSTTIHDKSKPPSPSLALDLSPADDIFFHGHLLPLHLLSHFPSSPRFSTNSNDSFTLPIRELLQDEKIRKDTSSCNTSHREDNINININNTRGVTKDENKSTNTKASSFSLFGSSKGNHNNKEKHNKKKLVGYDMIQALKKYLKNVQLFRGRREKNGFHGEAYSRSGNLIRKNKPEVRGSRGEYSAPASMRASPTNSGLLLATGGSLSSSSSNNSSMEELQAAIQAAIAHCKNSIAKEDKLS >RHN50179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4871668:4872552:-1 gene:gene34440 transcript:rna34440 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLSCFCRAPKLMASYNYENRKGSGKKLKVHFDLPKDGENPIDHHKKHSSASISSQTSLDSDDNIENLEESSNVGGSSPAWSFHSGTFMQSPTPQSMSPNPNPNFEYDPSRIPSSVFASKPTSPMEWSVQSNESLFSLHLGNYSFSKDQFFAFSSKSGEFPKNSDFIGTSTTLPPVQEVNHNNDKNEVGKERHSMSPDSSYGSIDALDETTNLPLGKDNIKTSKEKIDLVLKDDDHDKTKTSTNVNTNTLDKIHEDHNKAVVVPSEEPKNYATNVSYRSVESDMSNHSFQFPM >RHN60670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29285783:29286490:1 gene:gene23020 transcript:rna23020 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSASPTNKNASKHIDRGRQMERQPRRKTQRSRPKTAAQPHQKHRLKQRRKCDRSNMDEERTIGDARQKGQRRQRRR >RHN60881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31341249:31348604:-1 gene:gene23274 transcript:rna23274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MGIPAFYRFLSDKYPKVVVDAVEEEPVVIDGIQIPVNTSMKNPNNNEYDNLYLDMNGVIHPCFHPENRPSPTSFDQVFESIFAYIDRLFVIVRPRKLLFMAIDGVAPRAKMNQQRSRRFRAAKDASDAAAEESRLRDEFEMEGRKLPPKQESQIFDSNVITPGTKFMAVLSVALQYYIHLRLNNDPGWKNIKVILSDANVPGEGEHKITSYIRLQRNLKGYDPNTQHCLYGLDADLIMLGLATHEIHFSILREVVFTPGQDKCFLCGQMGHMAAECEGKAKRKTDDEKGDAIVAKLPFQFLNIWTLREYLEYEMRIPNSPFEIDFECILDDFIFICFFVGNDFLPHMPTLDIREGAIDSLMTVYKNEFTKLGGYLTNGSKPNLSRVEHFIQAVGSHEDEIFQKRARLHQRQVETIKRRKRQARGDDVGPQVQPESLAAISQFHGSRLASALMPSPFQQSGHNKEVLEKPSKVSRLSSGVTVVAAIVEAENSLEIDVQDNEDELKAKLKRILLDKSDVFNSKGGHEDKIKLGEPGWKERYYEEKFSAKTPEELVAIRKDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKGLSELNINFELGAPFKPFDQLIGVFPAASSHALPETYRKLMTDPSSPIIDFYPIDFEVDMNGKRFAWQGIAKLPFIDETRLLAAVQKIENFLTPEEKRRNAIMFDMLFVNSCHPLSACISTLDNKCRNTSNCERANVKEKINPIESGGMNGYISFCDGEPCPPFFRSPVAGMEDIIDNHVICAIYRLPDAHEHINRPLHGVKFPKKTVAIEDLKPEPVLWHEDSGRRYGESQRKKPSGTYSCRQLNEEAAHRLVVNSLQVKMDANRYHHPMNGPRMPYAAPIDHRQSWPRNNYESHPGYSYESCIGYAAMPPPLSTHPQFVPYNVVPTAQYDYNQPPPVMHTHHHRSNYFARNVHHNPKSHAYERNSHYVIREKYKGS >RHN42024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34829109:34829573:-1 gene:gene48411 transcript:rna48411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MKNHFLSTNITNFIFKSKIYKMKVHTLYTKSFLLPLFFLLLTSLISHSKACNVIDKEALLQFKNKITSDPSQLLNSWTLSTDCCKGWNGVTCDSTTGRVVSLTLSGTVDDGIDLPFDTYLSGTLSPYLGNLTNLKILSQTSCNIERRTRKPPDE >RHN42567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39381037:39399050:-1 gene:gene49020 transcript:rna49020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase-like ATPase domain-containing protein MYPHRFPGGHRPPGQPPPNPNAFYPNYYSPSHNFHLPNSPWPHSFPPPQHNPYAFAPPPQNPPGVVPPPQNPYAHRPQNPPPSTSTAPPNKPSGSAPRPPNQPDSTPRQQNPKQAIDKAENASSKACRELLAAGDSVSAWKVSQKALLTLKVDSLNSLGIKMQQVPTLHRLMITEGKVNAFVHCFVGVRRITSLYDLELAICKNEGVDSFEELGLGPFLRHPLVIHYFSVRSNVAEVCKITSEEIIQFLSEFLDVSKAKAVVGVEEFLEFIAKKRSVESMELLGIRIQNLGMHIAAIKEARKSEQSTLEKCLKTLRSKSGKLGKRPISSSQKKQLDERFSTIAQRVESFSSVEKSFCGKHIRFTSSSSEDEGSDYYTDNDQNDSIIMNSWSNPSSQFGRSLERMSSCPYPSATEEMARLVVKGDKQGGSLSNGSLKNEFTEPPRKKRKSELDLPDPLPFKHFNFKYKKVDPTPTKNGNATEINTMDECLSITDDALQMFVTTWKEACLEHNVGEVFFRMLQFYGVKPKGKRKIRMLFVEYPFLIGLLNAAVSAIKSGMWNSIYDTFQVFNHSELSNSPTKSSEFETIDVGPSLENVPLVSKDSAENTKCISAEDVVGKIGMYFDLDNEVYRNSDWQVKYKIMFLRKFCNCESWLAEQFGVKNFNSLGHGDLLSFLENNVNQLPHELLKLLGGDMCENSTFKACMSTNELVALLSQAICSLWENETVTKQIISMLLMRQFPSIGFEFLESGSLEDLLDTVREHKSNVTSKCVVFSAAMIEEHCDVDSLRDGDNNLSGITTDTSEIGHKTKSSETITAKKAIEMLLKSPMLSDLSKWSHWDLMFAPSLGSLISWLLNDVNSEELLCLVTRDGKVIRIDHSATLKSFLEAAVQGSSFRTAVSLLSLISLVGGKRKVPLSLLKRDACSAFEVMFRNFLEDIEVCDDKNARQSEEALRKTKILTEVSTAKMSDEFGKHLHKVNKAVSILSRFVLDCLGYLPAEFHSFAADVLLSGMRSVFKDAAAAILCECRNMEQHLMLHEIGLSLGITEWINDYHAFISNDTSDHASCLKDAKTEISTGLKHGQGILDNSDVPEVNMVTSLVPCGLNEICSEISQTVDGEKSNDESMTSHLEDSFQNGKDVDSTLVIESIRRDEFGLDPSLSDIDSCMLKKQHARLGRALHCLSQELYSQDSHFILELVQNADDNNYPENVEPTLAFILQDSGIVVLNNERGFSAQNMRALCDVGNSTKKGSSTGYIGKKGIGFKSVFRVTDAPEIHSNGFHVKFDISEGQIGFVLPTLVPPCDIGLLRRMAFTGTDSYGDNPWNTCIMLPFRSHLSDGAVMNNIMTMFSDLHPSLLLFLHRLKCIKLRNLLNDTIIVMKKEISEDGIIKVSHGKERMAWFVVSQKLQTNSIRFDVQTTEISMAFTLQESDDGYSPCLDQQPVFAFLPLRTYGLKFILQGDFVLPSSREEVDGDSPWNQWLLSEYPNLFVKAVREFCELPCFRSEPGKGLSAFMSFIPLVGEVHGFFSTLPRLIISKLRMMNCLLVEGDNKGWASPCKVLRGWTEQVRCLLPDEILLEHLGLRYLDKNVLLSDTLARALGIEEFGPSVLVRVMSSLCYTKNWLISMNMSWLASFLNTLYVLMFDSSGTISINFEIKDDILKRLKKTPFIPLSDGTYSSVDEGTIWLQSNTFNTGFDGEHKIEAFPNLFAKLRTVSPSLLSAASDTSSLNVTSLDNVTRLLQTIGVQQLSAHDVVKLHILPVLSDEAMANKNKMLMIEYICFVMLYLKSTCSDCDREDIISELRYKSLLLTDCGFKCPSKIPIHFCPGFGNPVTPKILADAVNMRWHEVDISYLQHPVNESVSSSLIKWREFFEEIGITDFAQIVQVDKTAVDICDATFKQVMWDRGLISAESIVKDWESPEIVQLGSLLSKSGNQGNCKYFLEVLDTLWDACYSDKARGCFYSKSVGDGHPFKSTFISNLCDIRWVVSTLDDELHYPKDLFHDCEAVRQTLGTFAPYAVPKVKSERLVNDIGLKTRVTLDDILDILKAWRKSSKTSFKTSISQMSKFYTFIWKEMIDPKQKTLEDLMSGPFIFVPDSSVYSHDDDVCGMLVHSNEVYWHDPTGSAQKMQEFDPQCSSIHSRINKSLCNIYPGLRGFFVNECGVQEAPPLHSYIQILLQLSTITLPSQAADKIFQVFLMWADGLESGLLSADDVVYLKDCLSKLEFSVLPTVQDKWVSLHPSFGLVCWCDDKKLKEEFKHSNNLDFIYFGEETEVNKDIVLKKVSFLMKNLGIPAISEVVTREAIYYGLSNCSLKESLINKTLPYAQRYIYKRHNDKYVQLKQSGFSILNNLKVIVVEKLFYRNVIKDCDSVSKERVECSCLLQGNILYIIREADHHSLFMELSTLLLAGIDGDYEIDLVNFLHRITNMAESESLEKMLNSQKVPKLPDEEPVWALSTVSSLVEDEIPLPSDNFQSSNEQLLPLPKRKAGICSNWPPAGWKNAPDFNYARDNGFKTQPAPFSSFSEVKVDNSEGISVPPVCYEQGSVSVDWNVIDDPQASSVSLVLNEEGNLKNQSYRDFEPTSFDHFEFDPVSLGEYMDESRVEAHSSSPACFNSSLPAFSMRDRPQTGTYDSAQANATGRLGEFLAYKYFAGKDGNATVRWVNEVNETGLPYDLIVGEDANKEFIEVKATRFPRKDWFHISIREWQYAIEKGKSFSIAFVAITGDNNARVAVFKDPVKLCQQGGLQLVVMMPKQQMQLPVVS >RHN61064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32640462:32641934:-1 gene:gene23477 transcript:rna23477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ctr copper transporter MDEMSMAPKSSSSNNSTMMMSLKMQGMIHMTFFWGKDSLILFNNWPAGNTSKYVMALFMIFIASMLMELLSYTPFKPGSNRMVAGLVQTLLHVLRVGLAYLIMLALMSFNGGVFLVVVLGHALGFFVCSRAFKEPHHYVAL >RHN41344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29310858:29311247:-1 gene:gene47654 transcript:rna47654 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSIREGIKWKKSVPYTRLISEILYQGRLLQKVQDLGVSSDEELGTKTGKVVNGTMLGFMKIIWKMDVIISKNDLKLSARTTDLLDDFPPISLEDPLVVIAEYIAAYYKETGVPIKRDQIPDKIGEPL >RHN39390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6754743:6758016:1 gene:gene45410 transcript:rna45410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MNNQVDNHGRSTETPTNKEPKKGWRKVLELAVNWLSYKNKHEWLEQMRGNMSVVAVFIATLTFEMAISPPGGVRSVEENNKAQKGNILCANDTRLELCPGEAALAVVYPEHYSDFLYWNTTCFITSLSVLLLLMSGIRLSHRFTMWLLSMGMSFTLTTLLVTYRIAILMVTPDPVYSINEKLLSTVLKIWIGLFSISGLLLTLRILIWTINDYYLNKGEGQSKGNNSTEDSTLLI >RHN54878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13166457:13174417:-1 gene:gene29975 transcript:rna29975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptidase A MRHEALIGLLLISNMLMATRLTLTFSLSRSSRSRIHPLLKRTSCSFFNPKHSHKSLPCPLWSSSFSFCIDSLHKSTSPSFTCSASSMAASALDVEANPLLKEFVFPPFDVVEAKHVRPGIRALLEKLERDLEELERSVEPSWPKLVEPLEKIVDRLAVVWGMVNHLKAVKDNSELRSAIEDVQAEKVKFQLRLGQSKPLYNAFKAIQDSPDWKTLSDARKRIVENQIKEAVLNGVSLEDDKREQFNKIEQELERLSEKFGENVLDATKKFEKLITDKKEIEGLPATALGLAAQSAVSKGHENATAENGPWVITLDAPSYIAVMQHARNRSLREEVYRAYLTRASSGDLDNTKLIEQILKLRLEKAKLLNYNNYAEVSMATKMATVDKAEELLEKLRKASWDAAVQDMEDLKKFSKDQGALEADDLTHWDVSFWSERLRESKYDINEEELRPFFSLPNVMDGLFDLAKTLFGIEIESADGLAPVWNNDVKFFCVKDSSGSPVAYFYFDPYSRPSEKRQGAWMDEVVARSRVLSPDGNSSRLPVAHMVCNQTPPVGSKPSLMTFREVETVFHEFGHALQHMLTREDEGLVAGIRGIEWDAVELPSQFMENWCYHKKTLMGIAKHFETGETLPEEVYQKLVAARTFRAGTQSLRQIKFATVDLELHTKYVPGGQESIYDVDRRVSEKTQVIPPLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDNNKAVIETGHKFRETILALGGGKPPLEVFVQFRGREPTPDALLRHNGLIAA >RHN74269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31312360:31312770:-1 gene:gene10305 transcript:rna10305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MELQGSKYCYKLPPLGQLPSLKELRIAKFDGLLSAGSEFYGNGSSVVTESFGSLETLRIENMSAWEDWQHPNESNKAFAVLKELHINSCPRLKKDLPVNFPSLTLLVIRDCKKLISSLPTTSLALKVLNIDNIVGT >RHN44676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7374271:7375549:-1 gene:gene38823 transcript:rna38823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II MTAILERRDSENLWSRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSATIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAVEAPSING >RHN79499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29594901:29596945:1 gene:gene3296 transcript:rna3296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MSPPQPRCVNPKIWQRCAGAGVIIPKLHSKVYYFPKGHLEHACTSPNDHTINIINRYRPSIPCIISTVDLLADPYTDEVFAKLLLTPVTDGFIQESPRDTDQEDKGDDNVVSFVKILTKSDSNNAIGFSVPRACADLILPKLEFEVPMRSQKIFVTDVQGEVWNYNHTYHGKPKRHVLNNGWTLFVNKKKLVAGDSVVFMKNLAGKVFVGIRRHMKFAAAEAAAVPKEKLTEKTVIKAAELAEKSMAFEVVYYPSPNWNDFVVDAKVVDEAIKINWESGMGVKLSLKNEESLRMRYVQPQGTISNALSNVPNWRMLQVNWDGHENLQNQNHVNPWQVQLISKAPEMYLPFPTKRPRLAEGNNFLEDNSVERLTTVSKELNTGGPACDDLSAHNHSSLNTVGTKFVETHNCNTQIASSGSIMLFGKIIQPVEGNFHDHGTKLEATTTRTNSAQQGLVANK >RHN45310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16482653:16482886:-1 gene:gene39575 transcript:rna39575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase MCHFQAAVDDFPSDTKHKDIVLESGLFENRDNFLIFCQNSQFQFETLRRAKYSSMMNLNHLLLCARSLSFSFKMNTN >RHN79012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21054179:21056022:-1 gene:gene2690 transcript:rna2690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MEILISVVAKIAEYTVVPIGRQASYLIFYKGNFKTLKDHVEDLEAARARMIHSVERERGNGKEIEKDVLNWLEKVNEVIEKANGLQNDPRNANVSCSAWPFPNLILRHQLSRKATKIAKDVVQVQGKGIFDQVGYLPPLDVVAASSTRDREKYDTRESLKEDIVKALADSTSCNIGVYGLGGVGKTTLVEKVAQIAKEHKLFDRVVKTEVTKNPDIKRIQGEIADFLSMRFEEETIVGRAQRLRQRIKMEKSILIILDNIWTLDLKEVGIPVGDGHNGCKLLITSRSQEVLLEMDVPKDFTFKVELMSENESWSLFQFMAGDVVKDRTLKDVAYQVAQKCEGLPLRVVTVACAMKNKRDVHSWKYALKKLQSHDSLDALTYSALELSYDSLDSDEMRDLFLLFSLLPGNYVSYFLKIAMGLDILKHVNTIDDARNKLYTMIESLEATCLLLEVKVDGKIQMHDFVCEFAISIACRDKHVFLMKQRDEEWPTSAFLQRCTQIVVDRYHMHELPQTIDCPNIKFFCLVSGNRSLKIPDDYFEVPNRPSNIVFEFLRFGKYGCNRSFTKFENTLPLEFFNDQVAKTNRAIDSIENA >RHN45288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14418921:14420120:1 gene:gene39534 transcript:rna39534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGTQPPMIRRRHRRSNQPHSVILPEDLNGEILSWLPVKPLMKMKCVSKSWNTLISDPKFVKLHLTRSARSSYSYLVSYEKSKHDGDYSFVPFSITDLFKNGTVTLPKDPYYRLINKDCRRVVGSCNGLVCLLGYDDDQRKETWLRLWNPATRTISDKFGYFRDDMHGLKYWKFEFGYNNSTDTYKVVALNRGSNMTTEVQVLSFGNNIWRKIQSFLAMLLQSYCYDHTKMYTGVHLNCTINWLAVVGNNNLDARFAIISLDLGTETHTQFSPPIDLPGAVSVKVSSVLPGVCALMDSLCFYHEFERTGFVIWKMTKFGDENSWTQFLKFSYDNLQMNFECGHFNIYENGDTMVFVHSQQDRAILYNWRNNRVVKTRVNKKICWFSINNYVESLVSTCCE >RHN40273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14751844:14754967:-1 gene:gene46407 transcript:rna46407 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKPTKKNKSKGCGKSKGMQSQTRVQPEKGEETAVKDEQETVVEDEQETVVEDVPEIVVKKEQETVMKEEQENTFNNLSELISQRVSEAINKSLNSHKQLVSDFDEHIETILSECEHTYDAFLLTQMRYEIYNQAARNVAELYENNFYEKHQHFDFNLDAWTNVGKSYVKRYQKSDEEANFFGNIGERLARDYLSNKYNDKVKGHDIRWLNEVSESHGPYDLALFKEKDILHYFEEVKITDIPEKFWFKMTPNEIDLASKSGDFYSVVFLKVRPDYSVDIFEIKNPLSKQSEMQAILRARKEAKGLQCVL >RHN53607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2825106:2826114:-1 gene:gene28532 transcript:rna28532 gene_biotype:protein_coding transcript_biotype:protein_coding MGELSQLFSAFVSLTPQVKKRSDCIFSNSVVETPVFVEHIKADSWKWLPPRVRGGRGLYNSGWILSIVY >RHN58498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2265428:2265721:-1 gene:gene20417 transcript:rna20417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MNNSFTGTLQLPTFKHGLLDLQISNNKIGGQLQEHIGEVFSTLSYVNLPSSIGEMQKIRTLDLSNNNFSGELTGHFISNLTSLILMRISHNSFHGQD >RHN49348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54156750:54162067:1 gene:gene44168 transcript:rna44168 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHSKNHGGFQGGTSLQTNAEEEVVLKRCWLARYWNLCVRHGIHVEIAEAKSKYWSTFAPNNPVDVVLAAGEKAKVETNLDLEDIEYQRDLNELSGEGNIENMLFVEQGLRELTSLKVEEALAIALAQHRRPNLLKAGFSDDLKLPVEGQCDAFQLSQEEAQDVIFKQAWLTYIWRRAKRHEIEPEIADERLQYWIDHNSKTPTSQDAVDVERGLAEIRKLGIETQLWDESRKELEQETNNSKALSRSDF >RHN61710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37701866:37706027:-1 gene:gene24199 transcript:rna24199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, ARF/SAR type, P-loop containing nucleoside triphosphate hydrolase MGQAFRKLFDSFFGNTEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVIFTVWDVGGQEKLRTLWRHYFNNTDGLIYVVDSLDRERIGKAKQEFQTIINDPFMLNSVILVLANKQDLRGAMTPREVCDGLGLFDLKNRKWHIQGTCALKGDGLYEGLDWLSSTLKEMKAAGYSSLGLGTSSF >RHN77707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8855628:8860510:1 gene:gene1190 transcript:rna1190 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPDGSHADDKTKRLRRLLRRREARNDILKHNRAVSASRTTAMICGNTNVLIDKNDYMIDDDVSEDCRIILIANTGDDDDEDYGSFLTTYNPGIENDSDKVDSDFATFLATYDPGVEIDSDKADLDYATFLVTYDPGVENDSDKMDSDYSTFLATYDPGVEIDSASNHSGASNIDVGNSNDNDEVDAGYQSLLPTYDPPGYISDDVGVDEDDHILVNLGSESLGQNSSDKQNSLFSDPAFEKSNELFLDPTYDPPGYISDDVGVDEGDHRLVNLGSESLGQISCFKQNSLVSDPAFEKNNELILNSATIVDGDKEYMSCKNTTNTPTVEDGGNCSDPDVTILEPYQVCEDTPFVPSKRYDSSYFEELNPKDEVQIAAYDDSQFRRRLLEHLERPYNQQEYESLLLELCEKKKQERHFETRRRVVESYHTEGVTTPYHVMYPDLTKAIAEESKNKRRILFLLRGFFFYMKNVCHMGSFQPWLDESCLELMRKL >RHN68714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37977880:37978865:-1 gene:gene17100 transcript:rna17100 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSRNGEQVEETQKLVRVQMEFWLLDAAQVVVTLVGRHMKELRLGHLQH >RHN41582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31225597:31241999:1 gene:gene47917 transcript:rna47917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MAEQRNRWSWDVTGFEPWKSSSPQKPSSPAADYDDRKPNTSLVRRYSISSASSVPPQHKNTTAVKLQRLKDKVKLAKDDYLQLRQEASELQEYSNAKLDRVTRYLGVLAEKTRKLDQVALETEARISPLINEKKRLFNDLLTSKGSIRVFCRTRPLFEDEGPSVVDFPDDYTIRVNTGDESLANSKKDFEFDKVYGPHVGQAELFSDVQPLVQSALDGYNVSIFAYGQTHSGKTHTMEGSSYDRGLYARCFEELFDLANLDTTSTSQYKFCVTVCELYNEQTRDLLLESGKNMPTLSFGADESFVELVQEKVNNPLEFTTVLKTAFRNRGNDLLKINVSHLIVTIHIFYNNSISGENSYSKLSLVDLAGSEGSITEDDSGERVTDLLHVMKSLSALGDVLSCLTSKKDIIPYENSMLTKLLADSLGGSSKTLTIVNVCPSVSNLSETLMSLNFSARARNSVLSLGNRDTIKKWRDVANDARKELYEKEKEILDLKQEGLGLKQALKDANDQCVLLFNEVQKAWKVSSALQTDLKSEHILLSDKYKIEKEESTQIRNQVAQLLQLEQDQKLQIQQQDSSIHSLQAKIRTLETELSEALGSSKSSSTSEPESAAKSDSRSTGDGTVVAKKLEEELKKRDALIERLHEENEKLFDRLTEKTSVAGSPKVAPSFPPSSPLSRESVNIQPQNMKRNGTSNNPTANSMHALSSPLTAAAAAKNDGTVALVKSGSEIVKTTPAGEYLTTALNDFDPDQYEGHAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFSFIRKMEPKRVMDTMLVSRVRILYIRSLLARSPELQSIKVLPVECFLEKTNTGRSRSSSRGNSPGRSPVQYVDEQIQGFKVNLKPEKKSKFSSVVLKIRGIDQEIWRQQVTGGKLREITEEAKSFAIGNKALAALFVHTPAGELQRQIRSWLAESFDFLSVSGNDASGGSTGQLELLSTAIMDGWMAGLGAALPPHTDALGQLLFEYSKRVYTSQLQHLKDIAGTLATEEAEDAPQVAKLRSALESVDHKRRKILQQMRSDVALLTLENGGSPISNPSTAAEDARLASLISLDGILKQIKDITRQSSVSILSKSKKRALLASLDELKEQMPSLLEIDHPCAESQIANACHMVESIPEEDDRIQDLSHDRNPATDLGTGSEIDVAQWNVLQFNTGTATPFIIKCGANSNSELVIKAEARVQEPKGGEIVRVAPRPSVLENLSLDEMKQIFSELPEALSLLALARTADGTRARYSRLYRTLATKVPSLRDLVNELEKGGALKDVRT >RHN81756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48682576:48684010:-1 gene:gene5841 transcript:rna5841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MKKIVLKLEIHEDKIKQKAMKAVSGLSGVESVEVDKDKKMTLVGDTDPVLVVAKLRKLCHAEILSVGPAKEEKKEEPKKDDKKNEDDKKDPPMIINPFMYYSTPTSTYYHQMQPQYCCAISVEEDPNGCVIL >RHN82663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55529105:55532292:-1 gene:gene6841 transcript:rna6841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Band 7 domain, Flotillin family MYRVAKASEYLVLTGAGIEDIKLAKKSWIFPGQSSTVFDLSPVNYTFEVQAMSAEKLPFVLPAVFTIGPRVDDHESLLKYAKLISPHDKLSNHVKELVQGIIEGETRVLAASMTMEEVFKGTKEFKQEVFEKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQARVDVAEAEMKGEVGSKSREGQTLQNAAKIDAETKVIAMQRAGESNKEGFRVRTEVKVFENQREAEANSELAMKKAAWTKAAQVAQVEAAKSVVLREAELQGEVEKMNALKNVIEKCSKTYIYIYIYSAFQRSPANINCICQAFFTLQRENTAAMFPLPAKSCCILYHCTEKLKADLLSKASVQYETKANWELYKKQKEAEAILYEKKAEAEAQKALADATFYACKQAAEADLYAKKKEAEGIVTLGNAQGAYVSTLLNALGNDYTALRDYLMINGGMFQEMAKINAEAVRGLEPKISIWTNGGDNGGGIAEGSMGMKEVAGVYKMLPPLFKTVHEQTGMLPPAWMGTLSDKSS >RHN46952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35991376:35992545:1 gene:gene41493 transcript:rna41493 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVDWSNLDCYPLSLVLDELEEHIDHVRFGVVCKSCLSIAKLNHKNHQFRIDVPPMLMILSNKLSRKRAKSKRKMKSLYSIPSAKEYPIQLSHPSIIKSKTCLGCSHGWLALVDKNNAITLVNPFKSSIAPISLPHLESLNKVTLSADPITSPSDYVVAAIYNFGSLAFKRASQSFWIRADTNEFSFTNVVFYEGLVFADSEQDFIVSFKLNNPPCDDSIDLNFTYLEKIAITPYFCPEEYYYGNTYFVKSLTGDTWMVKRCLIDPHNLTYKLYVFKMEMDAQSGKVEQIKKLESLENNILFVGIGDSISVSAACFSKFEKDSIYFIYDGDEDDLELQIYNAKDGSYRSQSLPISFKRMLHLWVDPNFNGIDLFIFTLGILIIDPFND >RHN62663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45055740:45059198:-1 gene:gene25260 transcript:rna25260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-ureidopropionase MDKSENRAENEQLKLSKYGDGSICGYNSLQHLLKENLKPHHFQEVNRLLTGLNCGKVLETIALPESATALSMEHGFDLQAFCFHADKEVLREPRVVRVGLIQNSIALPTTAHFIDQKKAIFEKVKPIVDAAGSSGVNILCLQEFWMMPFGFSTREKKWCEFAEPVDGESTEFLRSFALKYNMVIISPILERDMNHGEIIWNTAVVIGNHGNIIGIHRKNHVTRVGDFTESTYYMEGNTGHPVFETEFGKIAINICYGRHHPLNCLTFGLNGAEIVFNPCATVGGLTEAMWPIEARYAAITNSYFVAAINRVGTEIFPNPFTSGDGKPAHTDLGYFYGSSYVSAPDASCTPSLSRNSDGLLITDMDLNLCRQFKDKWCFRMTARYELYAETLAKYVKPDFEPQVIRDPLLHKRSS >RHN66542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13592681:13595985:1 gene:gene14548 transcript:rna14548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAATMIGGAFLSATMQTLFEKLASTEFLDYIKNTKLNVSLLRQLKTTLLTLQAVLDDAEEKQMNNLAVKQWLDDLKDAIFDAEDLLDQISYDSLRCKMEKKQARNKTNQVWNLLSSPFKNIYGEINSHMKIMCESLQLFAQNKDILGLQTKSARVSRRTPSSSVVNESVIVGRKNDKETIMNMLLSQRDTIHNNIGVVAILGMGGLGKTTLAQLVYNDKEVQQYFDLKAWACVSEDFDIMRVTRSLLESVTSRTWDSNNLDILRVELKKNLREKRFLFVLDDMWNDNYNDWGELVSPFSNGKPGSMVIITTRQRKVAEVAHTFPIHELKLLSNEDCWSLLSKHALGSDEFHHSTNTTLEEIGRKIARKCGGLPIAAITIGGLLRSKVDITEWTAILNNDIWNLSNDNILPALHLSYQYLPSHLKRCFAYCSIFPKDYPLDRKKLILLWMAEGFLDCSQGGKKLEDLGDDWFAELLSRSLIQQLSDDARGEKFVMHDLVNDLATFISGKSCCRLECGDIHKNVRHFSYNQEYYDIFIKFEKLYSFKCLRSFLSTYSTMFNYNYLSLKVVDDFLPSQKRLRVLSLSRYKNITKLPDSIGNLVQLRYLDISFTKIKSLPDATYKLYNLQTLILSSCESLVELPVQIGNLVSLRHLDISGTNISELPVEIVGLENLQTLTLFIVGKRHVGLSIKELGKLPNLHRTLTIKNLDNVFDSVEAHDANLKSKEKIEDLELIWGKQSDESQKVKDVLDMLQPPINLKSLNICLYGGTSFPSWLGNSSFSNMVSLRISNCEYCVTLPPIGQLPSLKELEICGMMILDTICSKFYYVQGEVGSNSSFQPFPSLELIKFDNMPNWNEWLPFEGIKFAFPRLKTMELRNCPELRGHLPTNLPCIEEIVIKGCSHLLEKPSTLHWLSSIKEMNINGLGEKTQLSLLDSDSLSMMQHVVIEKYVELSVVPKLILRSTCLTYLRLHSLSSLTAFPPSGLPTSLQILHIKDCENLSFLPSETWSDYTSLVSLYLWSSCDALTSFPLDGFPVLQTLDLSDCRSLDSIYILETPSPQSSSLRHLEIRSHDSIEFVFIL >RHN74975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38378951:38379792:1 gene:gene11119 transcript:rna11119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pollen allergen Ole e 1 family, immunoglobulin-like protein MARSFVVVALIVSAFCFSSVLAARVAPNDVPTTNAAANKDDFFIVVGQIYCDPCGFQFESRLSKPLAGVKVTLECTKGDKNVTFVKESTTDETGTYNIECKGDHEEEVCKVNAVNEKGNCRKIMDNESDMIVLTKNMGVPSLVRFVNALGFMTETVDPQCGKVITELGLDKLDD >RHN71680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1878267:1884365:1 gene:gene7305 transcript:rna7305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquinol-cytochrome c chaperone/UPF0174 MFRTWSKAIIPLSKFRTPMHQTYARVAAAPPPPPPPIIDQKFEPTVNLDKMFWSKPCSLALPLDSPLRVNEPDYQGFKRFIFKLMLFYSKQSKSIRGANVVYRRIVSQVDKPLIYEVFNLEKTFKTTFSLLVLHMWFYLRRVKQEGNDGVEFGQYLYEIYNHDVELRVSKAGVNLLLIKWMKELEKIFYGNIVAYDAAILPEAKPSDFATVIWRNIFSDDGSSTPDEAAWQSVQALARYARREVNCMTLTDKEALLSGNFMFTSLKDEIRSKEGHQL >RHN66359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11395622:11399125:-1 gene:gene14326 transcript:rna14326 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASFSNISTHLFTHHTHTQTKFYHYSHSSLPSYIITARHEVFTLFSSKLNHSSASAPIFMPNHLIHSPVSTSFSAGNGGGFPGSGGGGGGGDDGGGGEEEERDRNREEAMLVLAEVGRSMESFPVDLAVAVKAGRVPGSIVRRFFELEESVVFRWLLKFGGFKERLLADDLFLTKLVMECVVVIFTKAAAELKKRKENFSKEMDFVVANVVTGIVTGFVLVWFPAPTVSLKPPPAISAGIIAKFFYGCPENAFQVALAGTSYTLLQRIGAIVRNGAKLFVVGTGASLVGIGITNALINAQKAVNKAFTVENLPVISTSVAYGIYMVVVSNLRYQVLAGIIEQRILEPLLRQNKLILTGAYFIVRTANTYWGSLLWVDFARWVGVQKIKA >RHN48142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45368155:45368376:-1 gene:gene42828 transcript:rna42828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine cleavage system H-protein/Simiate MFDHAHDHLGDVVYVELPEVGAAVTHGVVFGAVESAKATTTTDINSPVSEKVVEVEMSETQQIDGFTTVFHVM >RHN75544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43170143:43171511:1 gene:gene11768 transcript:rna11768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MEMEWTRGNIIGHGSSATVYLATSRRSTDVSAVKSAETSLPNSNQLQSEQRILSSLSSPYIVTYKGCNFSKENNKHLFNLFMEYMPFGNLSQVTCRNGGRLNEAMIAYYTRQIVEGLEYLHSKDVVHCDIKGSNILVCEKGVKIGDFGCAKMIDEIAPAAGTPMYMAPEVARGEEQGFPCDVWSLGCTIVEMATGFSPWSNVEDSVHVLYRVAYSDEVPMIPCFLSEQAKDFLEKCLRRDSKERWSCSQLLKHQFLDCGVEKIEEFDSCSPTSILEQGFWNCDEGSESFFFDDLGKINFVNCPVGRIKKLALCSRDPCWKWGDENWITTRENDADAGSSISDELDFDELEKSDFSGRISGDYFGYDYSNCKIRDVSYVANSLNFGRGIGRLMPQSTLDFL >RHN75420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42165815:42166498:-1 gene:gene11626 transcript:rna11626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MNLENESTNHYLSSQSNNQNSPSKPNSHKKKTKRGRKKFKETRHPIYKGVRQRNNGNKWVCEILEPNKKKSRIWLGTYPTPEMAAIAHDVAVLALHGTSAMFNFPDSVSLLPVSKSTSPEDIRETATEFANTASSSSFVNNLSVVTKPCLVECKSQEIRVLGGDNIENMNNNDDESKAMFFDEEVLFNMPGFLNSMAEGLLITPPSMKSALDWDNVDCEMDLTLWTE >RHN47793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42558649:42561595:1 gene:gene42435 transcript:rna42435 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSGGRSTLNPNAPLYIPAAFRYVEDFSPEWWQLVTTLKWYHDYWLSQQHDDEGFYDDVDEFDGNDVVDLLPDAFDLDADEDISIEAQLEEFIKSSEAQEESFVEQGAKKFNG >RHN49252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53562776:53565389:-1 gene:gene44064 transcript:rna44064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MSVHLSVIKYHQPHQNIENFFIVALKKKTEMEGIEHRTVEVNGIKMHIAEKGKEGPVVLFLHGFPELWYSWRHQIVALGSLGYRAVAPDLRGYGDTEAPSSISSYTGFHIVGDLVALIDLLGVDQVFLVAHDWGAIIGWYLCMFRPERIKAYVCLSVPLLRRNPKIKTVDAMRAAYGDDYYISRFQEPGKMEAEMAEVGTAYVMKNILTTRQTGPPIFPKGEYGTGFNPDTPDTLPSWLTEEDLAYFVSKFEKTGFTGALNYYRNFNVNWELMAPWNGVKIKVPVKFITGDLDMVYTSLNMKEYIHGGGFKEDVPNLEEVIIQKGVAHFNNQEAAEEISNHIYEFIKKF >RHN64705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61131489:61139610:-1 gene:gene27552 transcript:rna27552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MSSSEGGKKLQKSYFDVVGLCCSSEVPLIENILKPLQGVKEVSVIVPSRTVIVVHDTLLISQLQIVKALNQARLEANIRIYGNENHKKKWPSIYSVASGLLLLLSFLKFVYTPFKYVALAAVVAGIYPIFLKAIVSIRNLRIDINILVIIAVAGTIAMNDYLEAGTIVFLFSISDWLESSASHKSNAVMTSLMSIAPQKAVIAETGEDVDVDEVRVNTILAVKAGEIIPIDGIIVDGDCEVDEKTLTGESFPVAKQKDSTVWAGTINLNGYISVKTTALAEDCVVAKMTKLVEDAQNSKTSTQRLIDKFAKFYTPAVIFISTLVAVVPVVLKVHNEKYWLHFALVVLVSACPCALILSTPVATFCAYTKAATSGLLIKGGHALETLAKIKVMAFDKTGTITKGEFAVTNFQSLSDDIDLNTLIYWVSCIESKSSHPLAEAIVDHGRSLSIVPNPEKVTEFENFPGEGICGKIDERVLYIGNKKIATRAGSETVVPTLEGEAHGGKTIGYIYSGPTPVGIFSLSDTCRSGVQEAIRKLKLLGIKTAMLTGDCQSAAMQAQEQLGHALELVHAELLPEGKVKIITEFKKDGPTAMLGDGLNDAPALASADIGISMGISGSALASETGDIILMSNDLRKIPEAIKLARKARRKVIENIVLSVITKVAILALAIAGHPIVWAAVLADVGTCLLVILNSMLLLPRGHKHGGKSCKSSNQHHVHKNGCGDTNDGSSHHHDHRHHQHQHHSHKRCCSEKAQPQKCASQSCSSKNPSCTSNPSLIGNVNPLKNMENHDHCHQGSCDKSRDGVQKHNIENKFCSDFHDLNLNAEDIGADAVNSHGNCQGHKSHGTKHCHYKNINMDTHDHTSLGSHCHLSPCDKKETQQVTKHCHSNHGCENLKDHGTIHDIQHQKSGCHSDFKKHETDEISIDIITEHVELASMHGCSNLAEKEKDSCKDCPKLPVVCGGCEGPNEREVSPCCKNEGYSKESIESSIMHACISFDKREVGGCCKSYMKECCGRHGHSGAGSFVGLSEIVTE >RHN71487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:489403:492250:-1 gene:gene7093 transcript:rna7093 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L27 MVKFLKPNKAVIVLQGRYAGKKAVIVKNFDDGTRERAYGHCLVAGIKKYPAKVIKKDSAKKTAKKSRVKAFVKLVNYQHLMPTRYTLDVDLKEVVTTDVLQSKDKKVTALKEAKKRFEERFKTGKNRWFFTKLRF >RHN41577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31198376:31201811:-1 gene:gene47911 transcript:rna47911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein Networked (NET), actin-binding (NAB) MLQRAASNAYSWWWASHIRTKQSKWMEQNLQDMEEKVQSVLKLLEEEGDSFAKRAQMYYNRRPEVINFVEESFRAYRSLADRYDHLSTELQNANNTIASVCPERVPYMDEDDDEASPRPPRKMPEGLKPNVPKAPKTPLKDLKSVITTATATRKFSNKKASSSTTPKAPKSGLSRKQAIEEVDKLQKQILALQTVKEFLKSSYDNSIAKYWDTEGQIKELQERVSNLQDELGEGVVNVIDDEEARRLMAEAALKSCQETLSRLQEKQAESLDETKIESKRVREVRDKLSSLMNQLQYDQSNSQKPRPKRGVKEVTGTKDLSEDVETMTKQRQELQLLQEKIKEHFEAGSNSTLTVSEMAEKIDELVTKVISLESAVSSQTALVKNLKDETDELHSLVRNLETEKESLVNDKVKLNEKLREMDEMVNELQELNQVVEDQNNNLQTHFTEAHCSLDNLSDKVPKVIPDEEDMVSKVFQTEEEVFQTEENSSTDDEPKHDVKSQDALSQDKILLNVDDAKSNTAIDSLENEAQKVIPNEEDKVSEIFQTEKNSSIEDEPKHDVKSQDALDQDKILLNDGAESNTAIYSLENEVNVADSQIKEETISVENESPIVLKEREKTHDISNNSIIQSIDAGNHEKDYKEISSETTTTFKEDSEEKATTPEDNALLLEYRNTLMNYEEVKNKLSELEKNHQDALFESSLQVKELKTSNAVKDEEIRLLRQKLSLLHKSLEGNEEIGELPPLQPPENHVIEKMFKLEEPESSSAVEEKFRMGIDELLEENLEFWMKFSTSFTEIQKYETTTKDLLIEVSNLETKWKATEGSTSTKYSLKSDARPLYKHLAEIQNELTLWLENSALLKEELQQRFSSLCEIQEEITTALKVSAEDDDFKFTSYNAAKFQGEVLNMKQENHKVADELQAGFDLVTTLQLDAEKALAKLNEKFGLSNSKRNQTRPSDSKNAVPLRSFIFGVKPKKQKQSIFSMHRKYRVK >RHN77476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7125517:7127370:-1 gene:gene939 transcript:rna939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-hydroxyphenylpyruvate dioxygenase MGNETQTKTGFKLVGFKKFIRTNPKTDRFKVKRFHHVEFWCTDATNTALRFSQGLGMPIVAKSDLSTGNLIHASYLLRSGDLNFLFSAPYSPSISLSSPSSTASIPTFSASTCFSFCASHGLAVRAIAIEVDDAELAFTVSVNHGALPSSPPIVLENGVKLAEVHLFGVDVVLRYVSYNNPNLLFLPGFESLLNESSNSSLDFGIRRLDHANANVPELASAVKYIKQFTGFHEFAEFTTEDVGTSESGLNNVVLASNDETVLLPICEPIYGTKRKSPIETYLEHNEGAGFQHLALASEDIFRTLREMRKKSGVGGFEFMAPPPVTYYRNLKNRVVDVLSDEQIKECEELGILVDRDDQGTILQIFTKPVGDRPTVLIEIIQRVGCMLKDEEEKEYQRGGCGGFGKGNFSELFKSIEEYEKTLETRRTA >RHN39089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4053652:4059283:-1 gene:gene45083 transcript:rna45083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MAFLIHNTNHFFIITFIIFSTFYSCYSSTNDTITSSKSLKDNETITSNNTNFKLGFFSPLNSTNRYLGIWYINETNNIWIANRDQPLKDSNGIVTIHKNGNLVILNKENGSIIWSTSISSPNSINSTAQLVDVGNLILSDINSRSTIWDSFTHPADAAVPTMRIASNKATGKNISFVSRKSENDPSSGHYIGSLERLDAPEVFIWYDKRIHWRTGPWNGTVFLGSPRMLTEYLAGWRFDQDKDGTTYLTYDFAVKAMFGILSLTPNGTLKLVEFLNNKEFLSLTVSQNECDFYGKCGPFGNCDISSVPNICSCFKGFEPKNLVEWSSRNWTNGCVRKEGMNLKCEMVKNGSSVVKQDKFLVHPNTKPPDFAERSDVSRDKCRTDCLANCSCLAYAYDPFIRCMYWSSELIDLQKFPTSGVDLFIRVPAELVEKEKGNKSFLIIAIAGGLGAFILVICAYLLWRKWSARHTGRQPRNLITKEQKEMKLDELPLYDFVKLENATNSFHNSNMLGKGGFGPVYKGILEDGQEVAVKRLSKSSGQGIEEFMNEVAVISKLQHRNLVRLLGCCVERGEQMLVYEFMPNKSLDAFLFDPLQKKNLDWRKRLNIIEGIARGILYLHRDSRLRIIHRDLKASNILLDGEMVPKISDFGLARIVKGGEDDETNTNRVVGTYGYMPPEYAMEGLFSEKSDVYSFGVLLLEIVSGRRNSSFYHNEDSLSLVGFAWKLWLEENIISLIDREVWDASFESSMLRCIHIGLLCVQELPRDRPNISTVVLMLISEITHLPPPGKVAFVHKKNSKSGESSQKSQQSNSNNSVTLSEVQGR >RHN57833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42036469:42039801:1 gene:gene33422 transcript:rna33422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative suppressor of white apricot domain-containing protein MDLEVVGRHAMLFDDDGMAAFVNSPEALVDWNSLSIDRYDVRHLLSGPIPPRLKRRTHHPPQSSAEADLDHQRYLDLPSSSPIHEEQPQEDNDSGAVNSSGYRAVAFSYENSSVSTETKDNDTDSSFRPNFPVPESLLHNLVIIV >RHN53883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5001622:5006221:1 gene:gene28843 transcript:rna28843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MKKLIFILLFSLVCSNGTTFSLSRDYEILLHVKNTQIDDKNKSLNDWLPNTDHNPCNWRGITCDSRNKSVVSIDLTETGIYGDFPSNFCHIPTLQNLSLATNFLGNAISSHSMLPCSHLHFLNISDNLFVGALPDFNSEIFELRVLDATGNNFSGDIPASFGRLPKLNVLNLSNNLFTGDIPVSLGQFPQLKVLILSGNLFTGTIPSFLGNLSELTYFELAHTESMKPGPLPSELGNLTKLEFLYLANINLIGSIPDSIGNLISIKNFDLSQNSLSGKIPETISCMKDLEQIELYNNNLSGEIPQGLTNLPNLFLLDLSQNALTGKLSEEIAAMNLSILHLNDNFLSGEVPESLASNSNLKDLKLFNNSFSGKLPKDLGKNSSIQELDVSTNNFIGELPKFLCQKKKLQRLVTFKNRFSGPMPNEYGECDSLHYVRIENNEFSGSVPPRFWNLPKLNTVIMDHNKFEGSVSSSISRAKGIEKLVLAGNRFSGEFPAGVCEHVELVLIDIGNNRFTGEVPTCITGLKKLQKLKMQENMFTGKIPGNVTSWTELTELNLSHNLLSSSIPPELGKLPDLIYLDLSVNSLTGKIPVELTNLKLNQFDVSDNKLSGEVPSGFNHEVYLSGLMGNPGLCSNVMKTLNPCSKHRRFSVVAIVVLSAILVLIFLSVLWFLKKKSKSFVGKSKRAFMTTAFQRVGFNEEDIVPFLTNENLIGRGGSGQVYKVKVKTGQIVAVKKLWGGGTHKPDTESEFKSEIETLGRIRHANIVKLLFCCSCDDFRILVYEFMENGSLGDVLHEGKFVELDWSKRFGIALGAAKGLAYLHHDCVPAIVHRDVKSNNILLDHDFVPRVADFGLAKTLQHEGNEGAMSRVAGSYGYIAPEYGYTLKVTEKSDVYSYGVVLMELITGKRPNDSCFGENKDIVKWVTEIALSTTHEGGGSGNIGRGYDCVITQIVDPRLNLDTCDYEEVEKVLNVALLCTSAFPISRPSMRKVVELLKDQKWALPKP >RHN77122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4254790:4255731:1 gene:gene546 transcript:rna546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MVPAGCNMVLLYASKYKDIRSVVDISGRFNLAKGKAHHLGKITYNVTEESLMDCLNTIIHLACLSIPEIAGKGVDSSESMDKTIPAEDALEFAKSISNHELRIIEGAGIEYTCHQDELTSFVVQFIKVNNDKENNTSKQTQFGRVDKPFHSRF >RHN66787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18755944:18757668:1 gene:gene14869 transcript:rna14869 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNISYLLGVVASFERPNSLISSTNPIKLLISIAFHIGLAFKYH >RHN76711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:625290:628828:1 gene:gene79 transcript:rna79 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARARCAVNHCFTQDFMMPPTSSKQLEPDFTTSDSTDSDMKWWLHVKTNLAGDTDYTCQHLNTLESKLDAFSTRLHGDNVSIGSDQTVKNFDAVSFVGNAATAAIEQQWNVYPKYMKNSDTRTSKIEASLNSDLYLTPKKKNEGEFWFSDDATSFLISEHCKSTSSDFEPHWLGAEKSQPWWRTTGKDDLASLVAKKSFEYVENCDLPEPNIKPFRKIHTLQPRETDKEENQVSSLNQKLEMCSSDSNGCTSTTLTSGCSFQDSDRTFSSSESKDSDSSCNKNSKVNSESAAKAELLKALCRSQTRAREAEKASQLFAYKQWLHVLQLENLCLQYKSKNQPLLNNLFPYEGKKHRKNRRKVKNSRRGIGKCIFAFAVGLALAGAGLLLGWTIGCMFPSF >RHN77766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9299263:9299496:-1 gene:gene1254 transcript:rna1254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGFIPPTLCNCSSLVALDLSFNYLTGTIPPSLGSLSKLRDLIMWLNQLHGEISQELGNMESLENLILDFNELSGGMI >RHN47372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39220825:39229168:1 gene:gene41964 transcript:rna41964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclear factor related to kappa-B-binding protein MLMAADQRRKQLNGASLVSYGSPEQNRTKRKNFGPPVQSDLNMKSHISVEWDANHQRVVAKREQIGISWRQTRPFARFDHNGHEVLADVLAMPEEIFGLDSLSEVLSYEVWNTQLSDDERNFLKQFLPGDLEPNQVVQELLSGDELHFGNPFLKWGASLCSGDLHPDMIVYHEKHLKSDRRAYFSQLRKYHKDMIGFLIKLKERWETCKNSEKEILPKILRSKNDIKKRKRSNLDEFRDDDHDGNVTVTSKSYSWDEEEKAYSDIQISSMGQGNELQRRVLGEDFNKGKPRNTMASTFMLNVGGIPMKGGKLHKENIHANDGDQYMSYIKITKKQHELVKSLKVSSKSIPASTLNYVLGDLDNFHVQPYKLFIKEEQKNLHEHWLQLVKKHLPASYANWTERLIQKHGMRNSLLLEMKEKSNVLVEDEDILSTGVQAQDEEHGGVNNQSSLEDEDSIVSVPENPSLHNSYHNSDDELHRLDIDLVKDVLSKGDDASHNKTRDSTIMKCQDDPIGEGAPFSSNEDSIARFQENPSLHNSYHSGDDELHHLHIDLEKNILSKGGDSSQYTIEHSMVMNSQDDPISEGALFSYNDTVDRLPENSSVNKSYHSDDEELHPFHIDLEKNILSKGDDASQNKTEHSRIMNSRDDSIGVGSSEGHAWQAVEMSHPYYDLSMIHDYTANGLSLVNSQINQVRQTRMIGPESNLHQENIGKELLHRQSDDGPFGSYQSQDQIGLIQSLIKDKGVGSYHHEQKRAGLNFQASNDIPMGDGHFSSHFKESLQTSLTLDQGQRQAGNIFMPENVSGNIYSDTGSYLIPRQDPLAARNITDWAVSAPRMVAPSHSHINTNNFIGQPWFSSDQQIQGAWNGSGNGNLSSQSLGTGGNSNHNLFSVLSQCNQLRSGSPYESIRHTDQFLSPRTYGVVDAGTHRINAVVPPSSHPLDYFSERDAPGALVPDDMTWMSLPPQNPTLNDQIGSYLRSWNR >RHN39017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3574680:3575298:-1 gene:gene45002 transcript:rna45002 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNFHFFYCTHLLHIYFSFLKLSLYLIFITCFTLLMCIIQ >RHN48403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47250826:47256678:1 gene:gene43116 transcript:rna43116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidate phosphatase MNVVGKFGTLITKGVYSVATPFHPFGGAVDVIVVQQQDGTFRSTPWYVRFGKFQGVLKRAEKIVKINVNGVEANFHMYLDNSGEAYFVKEVDEDDKSVDSNVAIEGLTNSEFTSEDGSVEIDITGHRLDHSISDSGVIQLTGEDHSSVLPELQKVESDVGRRYYDFEDDQPTIEDSADLSEYGENVVDLQGSNPEVILVSVDGHILTAPISELEPTEENLQSQIPQFHLGPGEGTDFYEGNEEFSSGENARVADYVSRPDASTADVPSSIYSSDIGNSASGVQLESCQQEEGPLRHTEETGTEEAASCMNTESVFKSCLDLNELALQDDNDNLQDERSSLVDQNSAEESNENCSNVDENAKESMKRSRNIGELSSIRGATSSDDSNSPNLKIELQGVDKDASVEVDTGSGIRSGTNDVEWNDSNSQETTVLEIISEEDNITAPQTATSNEGDQSHFGLKFDISLCGHELKAGMGFIAAAEVFEAHRISAEEFRVSAPSITKNKNLVVKFRESYLPWEKAAPLVLGVAAFDLDLPVAPEDTIPVGQDDRLKSSVDNPGPSSSGRRWRLWPLAFRKVKTAEHNSGDESSEDIFLDSESDLFGSEPSPTSGRLESPRKQFVRTNVPSNEMIASLNLKDGQNMVTFSFSTRVLGTQQVDAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGKDWNQTGVARLFSAIKENGYQLLFLSARAIVQAYITRNFLVNLKQDGKTLPKGPVVISPDGLFPSLYREVIKRAPHEFKIACLEDIKRLFPSDYNPFYAGFGNRDTDELSYSKIGIPKGKIFIINPKGEVAISHRIDAKSYTSLHTLVNDMFPPTSLLEQEDFNSWNYWRVPIPDID >RHN40578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17800675:17803697:1 gene:gene46741 transcript:rna46741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family METSTQGGLYIPKQHADRCFPQLDMTLQPPMQDLVVKDLQGIEWNFRHIYCDHERAHLLTNGWNTFVNSKNLRPGDSCIFVSGENGEIGIGIRRAMKQHSHICTKLCQQSSQNIQLGALAAVVHAVSIGSLFHLQYHPWIAPFEFMIPLKTYVESIEKDYSIGTRVHMLSEVGGCPRRYGTIVGNEDIDPIRWPGSEWRCIKVQWDSMLNIERVCPWWIEPLGSPKIMLKGIPIFPLPNKTDVPNPSLLGLNNFANENITGSSSKPEYHKVDMDLEGQQYNTGNDKKSIFSIFAFFICLCFFTFVIFVFRPLL >RHN52467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35612215:35612890:-1 gene:gene37150 transcript:rna37150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain-containing protein MRYHIGVLVKKSLVKIINERFVTLHDLIEDMGKEIVRQESPKEPGKRSRLSFHEDIFQVLEENSGTSQIEIIRLDFPLPQAIVEWKGDELKKMKNLKTLIVKTSFFPKPHVHLPDNLRVLEWHSLRDIPSEFLPKNLSICKLRKSCPTSFKVDNSIDKPIVYKSITFF >RHN73484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16889760:16891924:-1 gene:gene9301 transcript:rna9301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane transport protein MIKGKDVYNILESIMPLYVAMILGYGSIKWWKIFTPDQCAGINRFVAMFAVPTLSFIYIYPINPYHMNWRLILADTLQKVVTLACLALWNIFTKRGGLDWSITLFSLTNLPNTLVVGIPLLNAMYGEFTKPLLTQILVLQGVVWYTILLLMYEYRAAKLFISQQFVDTNEGSHKIEIESSLDDVELREIGNNLSVKSHSTPRVPNLTRVEVHSSYTNSPQSNGFEDNIFNIHWTRFKKSRSLTNVSAFMKSKSCTTMGARVSPYPILKSTFSEKIIDDQRKFNFSDGLDMPQEIEASKGVHELIGRSTSSGKVSGDNDHESEERKKELVEIKENVTNEHQMQPGHGTMTKLILFRVWKKLSVNPNLYASVLGIVWALISARWNIKMPSIIHDSITIISHTGLGMSMFSLGMFGLHSSHILFVLSIYAKQTRDTIGVCAMNTRKWCLFIIACGKTQATISMVLKFLVGPASFGATSAAVGIRGVVFKVGIIQAALPQGIVPFVFAKEYNLHAEIFNTA >RHN44141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1953593:1961245:-1 gene:gene38211 transcript:rna38211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAETLNFVYVLLLVISIFLVIIVCDSAYLTNSQPCITEKDCPRVRKYIPRCRKGTCQYSTLR >RHN58898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5803033:5803560:1 gene:gene20854 transcript:rna20854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MRFQSLIVILVVLLASAAMNQALPGGYSPIKDLNDPHVIEIAKFAVTEYDKQKGTDLKFEKVVKGESQVVAGTNYRLTISAIHGSDSKSNSYEAIVYEKPSSEHLKKLISFIPVNA >RHN56219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28910506:28914182:-1 gene:gene31586 transcript:rna31586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target SNARE coiled-coil domain-containing protein MAANSHRAASSYGGAVPFRSSDGLSTRPGAASDEIQLRIDPMDLDDELTGLHTQVRRLKNVAEEIGTEMKYQKDFLEQLQMTMIKAQAGVKNNLRRLNKSIIQSGSNHIVHVICFALICFFVVYFWSKMSRK >RHN44529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5756676:5761717:1 gene:gene38658 transcript:rna38658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol 4-kinase MYPDSNTCLHHEMAAATFKGHPSECFGNKKMERKPSGRRRVFVQTETGCVLGMELERSDNAHTVKRKLQVAFNVPTEESSLICGDTVLKNDLSVVRNDSPLLLTRNFLHRSSSTPCLSPTSRDLQHRDQSGLIEIIGCSDMLSGTKQLVKDIIMAIKGGVEPIPVQSGLGGAYYFRNCYGENVAIVKPTDEEPYAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDHDHFANVPSTALVKVTHTIFNVNDRVNGNMQPNKKQISKIASLQQYIPHDYDASDHGTSSFPVAAVHRIGILDVRILNTDRHAGNLLVRKLDGLGRFDQVELFPIDHGLCLPENLEDPYFEWIHWPQASIPFSDDELKYISHLDPFRDSEMLRMELPMIREACLRVLVLCTLFLKEAAAFGLCLAEIGDMMSREFHFHGEEPSELELICIEAKKLFDREDFSSFETKFGDKDLTVFQLDCEHDQDLEFAANIEEKPTVSLPLKFRTKNGNSRLKLSKLEESVIEEEEEECDAYSNSMGKLVLNISKLSESVKNTTINERSLQNSSVKQRSGSLVGTSSGNTSVNELITSSSFVKLTDMDEEKWNQFLENFQRLLVPAFVNCKERNLGKRQRQRLGTSCQF >RHN80676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40003750:40004790:-1 gene:gene4631 transcript:rna4631 gene_biotype:protein_coding transcript_biotype:protein_coding MTDINFFTDVQLGTETGKVINGATFKHMKLIPAEVYKQLSTDMKDSDAVSTLMKNFPPICKQDPLDVQMNFIKDHFATTGIKLRLEDVPETMYGGALPVAKCRKTKRKALTNDEYLDDASEQPTKKSKKAEKEKTSVKMNEAKKDAEVATSLQKTMEIAREIEVPASSIVREDVGADAQEVVKATEEVQEMVTSEAGSLLMVVAEGVHEDNVGCSEAGILEASRDNHDSPHSVDVINIESSSTSVSLSQPLYHPPQPHMAMMIYL >RHN44542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5911813:5913474:1 gene:gene38671 transcript:rna38671 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFRPILANDSKTNFDELEWVIKIRESLDKELDDDIEFIVSIFNVPKPLLASDPDSYTPQQIAIGPYHFWRQELYEMEIYKIASTKRFQSQLQSLKLEHIVDQLIRLEHKIRSCYHKYLNFNVETLMWMMVVDASFLLEFLQIYTIQDETMILSFSSRMSHLFDYGGRKLGHNAILKDIVMLENQIPLFILRKMLEFKFTSLELADDMLILMFIGLYKEISPFKVMEHDYSDIVVSECAHLLDFLYNMIVPKLDEQSDLVVELENQHNDNVDDEKSYMKYVKKFLCEVCRLFSKLKTILISLFKKLKQCRAIKVFTWLPWAIISNLPGMGIIKQPVEYFLFSKEKETTKAENDITNNSLLIDEIAIPSVLELSKSGVSFVATKGDISTIWFDVKTTTLYLPTIGLDINTEVFMRNLVAYEASTSSGPLVFARYTELMNGIIDTEEDARILREKGVILNHLKSDQEVANLWNGMNKSIKLTRVPFLDKVIEDVNQHYNGNVSIKVWKFMKVYVFSSWQFLTFLAVVFLLFLMSLQVFCSFYRCNARNHVKVIR >RHN68267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34118006:34121817:-1 gene:gene16600 transcript:rna16600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MNTKTSPHVLVPPITIILFIFMMFLQIQTSTTSSLSSPKQKPFILPLKVQTLPHGTISLPKPSSKLSFQHNVTLTVSLTLGSPPQNVTMVLDTGSELSWLHCKKLPNLNSIFNPLLSSSYTPTPCTSPICITRTQDFPVPVQCDPKKLCHATITYADSTYIEGNLATETFFAGGSAQPGTIFGCMDSGFSSNSNEDSKTTGLMGMNRGSLSFVTQMGLPKFSYCISGKDSTGVLLFGDANIKWVGPLKYTPMVKITTPLPYFDRVAYTVRLEGIRVGKKLLQLPKTIFAPDHTGAGQTMVDSGTQFTFLLGPVYSALRQEFVEQTKGVLTLLEDTNFVFQGAMDLCYRIGSGSVLPTLPAVTLVFEGAEMSVSDLLGIEAYIIGHYHQMNVWMEFDLVNSRVGFADTKCELASQRPAMHFTEPRHRRNIRGC >RHN65787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5347317:5352726:1 gene:gene13676 transcript:rna13676 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIIKTITEGFHGMLPTESEWTRHQQQKRWKQDLSWRNNGRILRTNRHTRATTKRKMVKVRETHGELPKAAKKRPLLGFTENKKVNGVSNKELPLVIVVAIRDHDVARGLLYEGSSINILYQDAFEKLSLKRKDLKPYDGTDLHGFNEMSTRMWGYVTLNVTFGEEMDERTV >RHN61448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35542803:35543084:-1 gene:gene23918 transcript:rna23918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRFPSIIKRASFVGNRAVSKVVDVPKGYLAVYVGEKQKRFVIPISYLNQPLFQDLLIQVEEEHGYDHPMGGLTIPCGEDVFQHITSCLNGL >RHN58874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5638914:5640023:1 gene:gene20829 transcript:rna20829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLLCIIPQLKAGFYSEDGINSLLEFSLRSNKLHGSIGCPNNTGDWEMLHIVDIASNNLSGTISGTLLKSWKAMMRDGGVLGPELGHLYFEIVDNFHPMSFQAILPHLNKYLALKLLKLVANISLSIVDQGFADINSLDLDHYQDSIIVVNKGRQMKFVKIEMAFTYVDISNNYLEGPIPDELMELEVLNALNLSHNAFMGHISSSVGNLKNLESIDFSNNFFNGEIPQELSSLSYMGYLNLSFNHLVGRIPLGTQVQTFDADSFEGNEGLCGPPLTSNCSDDGIQGLPPQASESSHSHTESSIDWNFLSVELGFIFGFGVFILPIILWGKWRLWYSKHVDEILYKFISRLDFVYEQHEGKRYRTLRWRY >RHN68036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32297063:32298015:-1 gene:gene16322 transcript:rna16322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase, NLPC/P60 domain, LRAT-like domain-containing protein MFIFFHFFFYFILQLKMEVLSNKIDRKQLKTGDHIYSWRQAYVYAHHGIYIGDEMVIHFTIGSGQQATGIPAILDRFFTSSAPSFDTKLPCQRCREAAETRNHGVFSSCLDCFLSGGQLYLFQYGVSKLQFLAQARGGTCTLASSDPTEEVLPRALYLLEKGFGCYHISKNNCEDFAVYCKTGLFATTKGCSGQAASYLAATSTVASSSFRFVNSSLFGKALVGCGTYCIKRLVSDIGFRYEVIKDVTKVPVERIPELTMEASRLEF >RHN77216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5136778:5141416:1 gene:gene645 transcript:rna645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MATMKYQVALEAGTVVAETPEGGVEFYVNDGHLLPRLPKVIMTMTRGEKAELIVQPQYAFGEKGREAGSGFRSIPPDSMLYINIELVSFKPVINVTGDFTVIKKIFKEGEGAFTANEGANVTVRYTAMLEDGTVFEKRGIDETQPLKFVTDEEQVITGLDRAVATMKKGERAIISIHPDYAFGNVEVKQDLAIVPPGSKVVYDIEMVDFIKEKAPWELNSNEKIKVAERKKEEGNLLFKSGKYQRAAKKYDKAADFVSRDGSLGDDEEKLAKSLRVSCWLNGAACCLKLNDFPGAIKLCTQVLDVEFHNVKALYRRAQAYIETEDFLLADVDIKKALEVDPQNREVKVLKMKLKQLQADSDKKDAKLYESMFAPKTKVISV >RHN69683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45506225:45509520:1 gene:gene18186 transcript:rna18186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b5-like heme/steroid binding domain-containing protein MASDPKLHTFDDVSKHNKTKDCWLILSGKVYDVSPFMEDHPGGDEVLLSATGKDATNDFEDVGHSDSAREMMDKYYIGEIDPSTVPLKRTYVPPQQSQYNPDKTSEFVIKILQFLVPLLILGLAFVVRNYTKKE >RHN71676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1863201:1863913:1 gene:gene7301 transcript:rna7301 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFNMNIALMIVTALLLSTTTIAQSPASSPTKSQPPRKAISPSPAASSPPEPSATSPAVSPSSISGPPSEAPGPASSAVLNRVSVAAILIFVAAFIM >RHN62369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42979160:42980119:1 gene:gene24937 transcript:rna24937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDVMNNLFSLSTLPFTICILLLLFVLFSLRRSNITTGAASMTPPPEAAGAWPLIGHLHLLGGSQQPYITLGNLADKYGAIFTVRLGVHRTLVVSSWEIARQCFTVNDKAFASRPKSIAFEIMGYNSSMFGMSPYGSYWRTLRKIATVHVLSAQRIDMLKHVMESEVKKAMKDSYSFWLRMKNDGNSERAITEMKKWFGDIAMNVMCRTVTGKVFDGDEEENQRIRKSFRDFFDLSGSFVISDMLRFFRWLDLDGKQKQMKKTAKELDDFVQVWLDQHKRNKKPAGTKLDFMDVLLSTVDDQDIDGRDADTTIKATCLVC >RHN59398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10265196:10276275:1 gene:gene21424 transcript:rna21424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling CW-Zn-B3/VAL family MESKCCMNVVCGTSTSIRWRKGWILRSGEFADLCDKCGSAYEQSAFCDMFHAKESGWRECTSCGKRLHCGCVASKSQLEILDTGGVSCITCASTSGLQPIASNENPNESGTAKVNNVSAQQCITLANQLNVRGMQVGNYAENDGMRCWLKPHNVDFDGLSREIKPEVLPSVGEFGSTLMSQFHRESNGSSRTGKAENDMQDIYESLAQTNLSMTLAAPLPNPFHNVLVDEREQSKMSPPLLLASRSRHLLPKPPRPALSPGLEGNTGMVSQIRIARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWMFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKATNSASQETFPSNMPNGSHSSETSYSGVYENIPILSGYSGLLQSQKGCSETHLNALSKKWNSVGADMDWHNVEMPESRKRDVLSLPPVLVPEKKRTRNIGSKSKRLLIDSEDALELKLTWEEAQDLLRPPPEVKPSVVMIEDHLFEEYEEPPVFGKKSIFVVRSTGISEQWTQCDSCQKWRKLPVDVLIPPKWTCMENFWDQSRCSCAAPNELNPMELDNLLRMNNEFKKQRQAAASQRPALDRESSGLDALANAAVLGDDASDSGRTPVLTTTRHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMMRKKKRQSEREAEIAQKNQQSWRTKDESEVDSTSRHLTPVDGSENEARVPNELDSRNEDQVADAAKGQLDLNCQPDREDMQAGPNTLSMMTLLEEANLPLETYLKQNGLSSLISEQQTNSASNVQAHTTNESEGRQNEDCCTASAVHEQEDSPEENSGQDRDQNNSLS >RHN60316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25133758:25134126:-1 gene:gene22614 transcript:rna22614 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L2 MAIHLYKTSIPSTRTRNRLIYGQHHCGKGRNARGIITAGHRGGGHKRLYRKIDFRRNEKDIYGRIVTIEYDPNRNAHICLIHYGDGEKRYILHPRGAIIGDTIVYGTEVPIKMGNALPLSAV >RHN64131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56830294:56832869:1 gene:gene26917 transcript:rna26917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MSGPECCSNAPILNPNSGVGHVEKLGGLDTYVMVPLTLTLPFLWFPKFLVAAAGYYVVVPDFLYGDPFTSENANRPLPIWLKDHQPNEAFEDAKPVIEALKHKGVLSIGAASFCWGAKVVVELAKSRLIQAAVLLHPSFITLDDIKGVSIPIAVLGAEIDKYCPPELLKQFEQLLTTELGDDCYVKLFPEVSHGWTIRYKIEDAMAVKAADESHQILLEWFAKHVK >RHN43560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46861657:46863866:-1 gene:gene50161 transcript:rna50161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRRKCSHCGKIGHNCRTCTSFTLGGLRLFGVQLSSSSSSSSSSNMIKKSFSMDTFPSPSSPSSSFSSSTSLTNIDENHYHKSTSNIAYLSDCFIGPPQERKKGVPWTEEEHRMFLVGLEKLGKGDWRGISKNFVTSRTPTQVASHAQKYFLRLATINKKRRSSLFDLVGSKKTNTKDQGYANSVVNLGDKFEDKCKCEVEINDGTTLSYFKQEEEAKSEKQENTNYSTTNWLYDSSNCAAVPNLDLTLSVASPNANKTKLEQNQPSPAGSFLLGPISVT >RHN40408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16026898:16030792:-1 gene:gene46556 transcript:rna46556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSNKILGMVNEKNSTMKMSKHNMTCTTRFLSFIFLHFFLFMILTTAQSPFYLYSICENSTEKTLNTSYQSNVNSLLSWINSDSDLGTISNHNIIGSNNSDDHDNVYGLYGCRGDITGSFCRFCINTAVREIAQRCPNSVSALIWYDVCVMGYTNQNTTGKVIVTPSWNITGSRNVKDSTELGKAENNMMSLIRKVTTESSPVWATGEFIWSDTEKRYGLVQCNRDLSKDGCKECLEAMLDLVPQCCGTKVAWAVMSPSCGLKIDDYMFYQLQTESPPMPNPGKQEGTSKAKTLIIIFVSITVAVALLSCWVYSYWRKNRLSKGGMLSRTITPISFRNQVQRQDSFNGELPTIPLTIIEQSTDDFSESYKLGEGGFGPVYKGTLPDGREVAVKRLSETSSQGSEEFKNEVIFIAKLQHRNLAKLLGYCIEGDEKILVYEYMPNSSLDFHLFNEEKHKHLDWKLRLSIINGIARGLLYLHEDSRLRVIHRDLKASNVLLDDEMNPKISDFGLARTFDKDQCQTKTKRVFGTYGYMAPEYAMAGLFSVKSDVFSFGVLVLEIIYGKRNGDFFLSEHMQSLLLYTWKLWCEGKCLELIDPFHQKTYIESEVLKCIHIGLLCVQEDAADRPTMSTVVRMLGSDTVDLPKPTQPAFSVGRKSKNEDQISKNSKDNSVDEETITIVSPR >RHN60046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17634770:17637670:1 gene:gene22243 transcript:rna22243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide METVSLSSHNNILLLKHSHSSSSSSSPPQKPHHSRNLITTKKPIFQQPNSLLIHNDKHKHSSSSSSSLPSLMLHYTQNGYFHKSQTTWEQLLNSSFHPSLHFISKLFKSYAEQRKFDHIINILHSLNSRNLTLLPQFYSLAISCFGNAGNLKLMEETINEMVSKGFRIDSKLGNEILLYYSVFGSLNEMESAYGRFKRSRFLIEENVIRAMAYGYIKKRKFYELGEFVRDVGLGRRNVGNVLWNLLLLSYAANFKMKSLQREFVRMVESGFRPDVTTFNIRAMAFSRMALFWDLHLSIEHMRSEKVVPDLVTYGCVVEAYLDRRLGRNLEFVLNKMDVDDCTRLFTDPFVFEVLGKGDFHLSSEAFLEYKTQQQKWSYRILIQKYLKKHYRRNQIFWNY >RHN39709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9824436:9829413:1 gene:gene45762 transcript:rna45762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDSDSTVSSLIMMDEDLHPHQFSSSTTSKLHSNGTPTSTSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKIAESLELPCRYTSVGCPEIFPYYSKLKHESICNFRPYNCPYAGSDCSVVGDISQLVAHLRDDHRVDMHSGCTFNHRYVKSNPMEVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDERDARSYSYSLEVGGSGRKLIYEGSPRSIRDSHKKVRDSHDGLIIYRNMALFFSGGDRKELKLRVTGRIWKEQQNPEGGVCIPNLCS >RHN69912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47304434:47306503:-1 gene:gene18445 transcript:rna18445 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDVILCTKLIKERILDGLSLGAKERDFELIQYENTKIRRQKYVYPKVRSLARPVLALARLCHPPESLLLLLLRF >RHN59002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6551961:6558327:-1 gene:gene20982 transcript:rna20982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-BEL family MASDEGFEGYHVPQQSRRDKLRVVVAQNQQPHETLLPFYDSSSFITNFTNQQGLKHNSCSSSNSIKDLECSNLMMRFSREDQGKRVMNISSSNPYFETLNNNQGNNNNPFLYQAQNIQNLRQFDEQHSSTSTMMLNNHEPLSLSLSSNKSVSSNLPLELNLQRYGSMIYGGGGVIQGLVEGGGSGGSVPFTGYASVLKDSRFLKPAQELLEEMCDVGNLGVCGEKVVVVADSSLMMESPLERLSEEDPFGDGRNKSRLLTMLDEVYKRYRQYYQQMQSVVTSFEYVSGLNNAAPYASLAIKAMSKHFRCLKKAITDQLQFNNKAHFHTSNRKEESPRFGNSERGGPYSHRSGYLEQQQPVWRPQRGLPERAVTVLRAWLFEHFLHPYPTDTDKIMLAKQTGLSRSQVSNWFINARVRLWKPMVEEIHMLETRQAPKDSQQNEENCRNKSSDHHHHLPSDTSLVSENPSTSTDKFHDSGYKRAVNEIPNIPIRSQGQHQQQQQQQMSLPFQHVGVGMNIVGSGSNNSNHSSNNNSNVSLTLGLYQNHGIGLAEPFPLSAAQRFGLGLETNNEGNYVMSGFESQNRHFGRDVIGGQMFHDFVG >RHN74078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:26426778:26431341:-1 gene:gene10036 transcript:rna10036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heme oxygenase (biliverdin-producing) MASLTPLYQIQSIFHYKTNYPQFSTHQFRSNFFFQKKLSFSSFNRMPPMKQSTVIVSATSAAAEKKRHPGESKGFVEEMRFVAMRLHTKDQAKEGEKEVTEPEERAVTKWEPSVDGYLRFLVDSKIVYDTLEKIVQDAAYYAEFKNTGLERSANLDKDLKWFKEQGYTIPEPSSPGLTYAQYLTDLSQNDPQAFICHFYNIYFAHSAGGRMIGKKIAGQLLNDQALEFYKWDGDLKQLLQNVRDKLNKVAEEWTREEKDHCLEETEKSFKFSGEILRLILS >RHN53632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2942946:2949929:-1 gene:gene28559 transcript:rna28559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-CTR1-DRK-2 family MGGEVRENSAYEVLADRCRRLEEQQTKLREEFKEVLQEKKKKKKPKVKDNENEDSTAGYLSGFFFSLSPYANVLKCMGHAVYVHDVTTGQIIYWNNSAETLYGWKANEIIGQRLADYLVSEEYYGSLRRILHQLITGVPWSGQFPLKKRSGEIFMAMVTKTPLYEDGKLVGVISISSDAVLVNSKDFDKQRTCQSRTNDQPGIQSSKRIHWPPRPQMASSVSNLASKFLPLRQKDDTISKNTSADADDQTPGKHSVYETESCSRSYQKENTTVLEASKKDESTTEFGQPSKIAARVLAKLRGGSEKCRKYTRSFKDNCATDDRSGSNRVNNGIDLSGSSVALMSHQDISNEEDKEEKLQKYPKKLEPKATNMVIEDEIEVQKQQEGLPLANSKESINGCMSSSSKWKSESNSVVGREIHWEDLQLRREIGQGSYAVVYHGIWNASDVAVKVYFGNGYAEETLRNHKKEVDIMKRLRHPNVLLFMGAIYSQERHAIVTELLPRGSLFRTLHKNNQTLDIKRHLRMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDKNWNVKVGDFGLSKLKDATLLTTKSGRGTPQWMAPEVLRSEPSNEKSDVFSYGVVLWEIMTQSIPWKDLNSLQVVGIVGFMDRRLDLPEGLDPHVASIINDCWQSDPEQRPSFEELVQRMMLIVSRVTALSLNSIAES >RHN76251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48872479:48873279:1 gene:gene12544 transcript:rna12544 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGFEASCHNLVKLTGNRWLMSFVAEAVRVLGFQTRLWVVGVRFRIRVGGRISNCHEVVWHYITLVDVSKFASVFDVGLFGSKDACCCCKELLVLVSISCLQLVHTPHVRHPQVLLFFLCITRLGWHTLCVD >RHN72789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10695385:10700926:1 gene:gene8545 transcript:rna8545 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVVEEVERVKKEWNETCIKTQDHINAIGEYGKSGRSKDEKNSLARLNGIAQDGLALLSSLHFNLDLLAPQLPTQQEVDSARQLLQSWKTLTQNLRISLRNANLQAKANLRKAAQEERELLLGGGEESTVRRRNLQTKAGMTSAAESITESLRRTRQLMVQEVERNTSTLMTLDESTGVLKKAESEYKGHRSLLTRTRNLLSTMQRQDIIDRVILGVGFLLFSLAVLYVVSKRIGILTLQRKVTGAIKAGMMGQAGLRPQAVAENMNPHQARVDRVHNIEAPLEQQIHDEL >RHN65488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2620208:2627271:1 gene:gene13331 transcript:rna13331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MEDGNVGETLLKKKYYENCPGCKVDKAKELKTDVSFRNLLNIWMVVLCSSLPISSLFPYLYFMIRDFNIAKREEDISAYAGYVGSAFMLGRSLTSISWGIVADRYGRKPVAILGIISVIIFNTLFGLSTSFWMAVTTRFFLGCLNGLLGPMKAYCSEIFREEKQALGLSTFSAAWGIGLIIGPALGGYLAQPTVKYPNLFPKDSFWDKFPYFLPSISISAFAFVVAIACIWLPETLHNHPLSNESIDDAEALETGRNGRAGKNKIIQKDENLFLNWPLMSSIVVYCIFSLYDISYQEVFSLWAVSPRRLGGLNFTTENVGDVLAISGIGLIVYQLSLYPSLEKSFGPARFARISGVLAIPILQSYPFIAMLSGITLYLVINIASLLKNILSVTIITGLFLMQNRAVEQRQRGAANGIAMTGMSIFKTIGPAGGGAVLAWSQKRMHASFLPGTHMVFFVLNIAGGLGVLLMFTPFLTEKKKTPSQQLH >RHN51062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13489959:13497390:1 gene:gene35433 transcript:rna35433 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFHMLDKRFKTWYESKGFRFPQESEHWDNRRRYTLPRMRPRSLTPSHAYIEWLTTTCNPRLRISIDTKPLDSDPDEEEEPEHEPEIHNNQTPIHDDFWNQDIFSQLQDHQSQQHTTQNIHGSVMYEFLDNPQQNIIQPPPYTSPKNAHEPHYQSPLRYAFPSPDLCRHGSFCFIAIASAQ >RHN46544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32624123:32629585:-1 gene:gene41041 transcript:rna41041 gene_biotype:protein_coding transcript_biotype:protein_coding MLFITISHPFLYNISFAISTILVPEILLSPFWGAHSSLLSRIVYLDLRVSAQYYSTQRCKLTRCPQRFQSKGARKMIQSDLIFPMACVYHHQKAKMKQYSGEHN >RHN54447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9667916:9672819:1 gene:gene29490 transcript:rna29490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MTRCIKHRQRKTKKRSESSSSVPQLPVKVWQPGVDRLEEDEELQCDPSAYNSLHAFHIGWPCLSFDIVRDTSGLVRKEFPHTVYFMAGTQAEKPSWNSIGIFKVSNISGKTREPVPNHETDDFGMDSEDSDSEDDSEDEEGVLRKVTHEGCINRIRSMPHKPHICASWGDCGHVQIWDMSSHLKALAETETEGVQGDDVAQVPLQKFKHKDEGYAIDWNPHACLLSGDCNNNIYLWEPTSAATWNIDQTPFTGHTGSVEDLQWSPKPDVFASCSVDKSIAIWDTRCRRSPRLTFIAHNADVNVISWNRSAGYTSNLLASGSDDGSISVHDLRSLQEGKDPVVAHFEYHKHPITSIEWNPDNTSSFAVSSSDNQLTIWDLSVERDEEEEAEFIARNQGQVRAPEDLPPQLLFIHQGQKYPKELHWHPKIPGMIVSTAADGFNVLMPSNI >RHN56357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30220092:30221636:-1 gene:gene31742 transcript:rna31742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MLRYSSRSIFGLMETASGLSMWNPFNATNVDNYNKVLRKLLYDLKNQAATGDSMKKYATANVTGPNFQDIYSLMQCTPDISYLDCNQCLVQAIANLPSCENKIGGRVIGPSCILRYEKYMFYELPVHPLPPPRTSPSTNHKGMYACNPSKGATNDFSDSNKLGEGGFGAVYRLSNGQTIAVKRLSMNSGQGDIEFKNEVLLMAKLQHRNLVRLLGFTIEGRERLLVYEFIPNKSLDYFIFDPLKKAQLDWEKRYKIIQGIARGVLYLHGDSRLRIIHRDLKASNILLDEDVNAKISDFGMARLILVDQTQANTSRVVETYGYMAPEYVMHGEFMLISTINVLNKIQCLRTHNFFLRIA >RHN49060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52147781:52148711:1 gene:gene43847 transcript:rna43847 gene_biotype:protein_coding transcript_biotype:protein_coding MYLMIFMEHKRKKKYSRWNAAKMGKILMFPTTHTFPYRIQSTTLEYIQWKQNAMRMAKYLRYLTMIVTNI >RHN46887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35581534:35584801:1 gene:gene41422 transcript:rna41422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAEAKLRNAVVTGSNKGIGFETVKMLASNGVKVMLTARDEKKGNEAIQKLKQFGLSDQVMFHQLDVTDPASITSLVEFVKTQFGRLDILVNNAGVSGVNPYETVGSTVDWEKLTQTSDMAENCLRTNYYGVKETTEAFLSLLKLSNSPKIINVSSQAALLQNIPNQWAKRVFDDIENLTEERIDKVLIEFIKDFKEGSLENKGWPTFLSTYIVSKAATNSYTRILAKKYPNMCINCVCPGYVKTDLTKNIGMLSVDQGAASVVRLALLPDGSPSGLFFIREEMSNF >RHN60484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27264432:27268388:1 gene:gene22799 transcript:rna22799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MTTFSFFKSLLFFLLTISDSFMQINGLSFGINYGQIARNLPSHSRVAMLIRSMNVTRIKLYDADPNVLLAFSKSNVEFVIGIGNEHLQNMTNPSKAQNWIQHHVLPYLSQTKINCITVGNEVFNSNDTQLILNLLPSMQNVHNSLVKLGLDQVITVTTAHSFNILDNSYPPSSGSFRSDLIQYIQPIVEFLDEIKSSFHINAYPFFAYKDNPNEVSLNYALFQPNEGLVDPNTNLHYDNMLYAQIDAVYAAIKVIGYTNVEVKVSETGWPSNGDADEIGATPQNAKLYNGNLLRRIEEKQGTPGKPLVPIDVYVFALFNEDLKPGPASERNYGLYYPDGSPVYNIGLQGYLPQMVVPSKSNILSFNVLACIVTCLIFALEILES >RHN67716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29623550:29626910:1 gene:gene15935 transcript:rna15935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MEDSSFFNRMIGHLRGTCKYYTGYPKDLGPSQVIHFTSEREFVNLLHEGFPVVVAFTIRGNYTEHLDKVLEEAAAEFYPHVKFMRVECPKYPGFCISRQKKEYPFIEIFHSPTHVANQGRVADPNITKYNVKVMPFNYDVSPYGFREIFKRYGIRTSDTK >RHN76132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47908037:47913548:1 gene:gene12413 transcript:rna12413 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKPIDGFKPENSSLSYADFHREATKDEADKSLKGFSNQQKQTCKRENDEDELVKHMKTLPGYLERGEKIPEKALNVGVLDWTSLERWQYSNKLVPPRSSRNSTSSSNTSTSIRTEELCGNSRRGLNWSPSRQRIIPQSVQSHSMASAKQDHYIAVRSSGENAGNRPNLRDGNSSIDIQRKNVRTIDHLSRNHPTSILKGSDRKHLHPHIIKESGILSHDQTYEAASHAKLEMSTQDDQMEKKKENLREQSSGVNAHDILGKKKPIVLILPRDNPHKNNHCRAPDMRTTLDQKLGSHSQTRLFVNPKEPSCTYLNCNVSHSCSLPDEFRESHSQPKESQPSSIDAESFKIPVSTFSEPLAPVPVRMGMSPCRSRKAEERKRPVAVSSSANAPPTEVDQKVTTEKPRSSSPFRRLSFSMGFTNKVSGCKEVAYVPHQSSVATNKPSSENVRGHASSNITGSDKPGNAGKNRSSPLRRFLDPLVKPKAANCHKSMELSQKDSENARGYAGSNISGNKSGAAGKSRSSPLRRLLDPLLKPKAANCHHSINLFQKESVVTNKSGRSGNGKYSTILPEKEMDKDQRFGCSTVNTAESSSEERFIPSTSQALLRISMKNGQPLFTFAVGNNSNILAATVKYSTFPRQGECSCIYTFFTFREVKKKNGSRTNNTGKSKGPDYVRHVIAQMKLSDLHYDDSNSQNRVESITKEFVLFSVKLKQGDAQVADYQPDDELAAIAVKSPKAINYTHQHECEDLLQVTVVLPSGVHSLPSDGGPSSLIERWKTGGSCDCGGWDLACKLKILANNNQTCRKSRTSEAYFADQYELFFQGTEQCQDNRPVFNFSHFEPGVYSVAFGSSLSPLQAFSICIAMVDGKLPYEGKNRAESLLVQTGELKGHGKSDDIPASYAAYPPVSPVGRV >RHN54591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10629608:10633221:1 gene:gene29656 transcript:rna29656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MKSFSCLSRILMYIHLHLLFLFTLNIMWFGTNKTVAVALGNQTDYLSLLKFKESISNDPNGVLDSWNFSIHLCKWRGVTCSSMQQRVIELNLEGYQLHGSISPYVGNLTFLTTLNLMNNSFYGTIPQELGQLLQLQQLYLINNSFAGEIPTNLTHCSNLKELRLGGNNLIGKIPIEIGSLKKLQYVTIWKNKLTGGIPSFVGNLSCLTRFSVTSNNLEGDIPQETCRLKNLRGLFMGVNYLSGMIPSCLYNISALTELSLTMNRFNGSLPPNMFYTLPNLKSFEPGGNQFSGPIPVSIANASSLQIIDLGQNNLVGQVPSLEKLPDLYWLSLEYNYFGNNSTIDLEFLKYLTNCSKLEKLSISNNKFGGSLPNFIGNLSTHLRQLYLGGNMITGKIPMEIGNLVGLTLLSMELNQFDGIVPSTLGKFQNMQILDLSENKLSGYIPPFIGNLSQLFRLAVHSNMFQGNIPPSIGNCQKLQYLDLSHNKLSGSIPLEIFNLFYLSNLLNLSHNSLSGSLPREVGMLKNINMLDVSENQLSSYLPRTVGECISLEYLLLQGNSFNGTIPSSLASLKGLRYLDLSTNQLSGSIPDVMQDISCLEHLNVSFNMLEGEVPTNGVFRNASKVAMIGNNKLCGGISQLHLAPCPIKGRKHPKHHIFRLIAVIVSMVSFLLIFLFIITIYWVRKINQKRSFDSPPNDQEAKVSFRDLYQGTDGFSDRNLIGSGSFGDVYRGNLVSEDNVVAIKVFNLQNNGAHKSFIVECNALKFIRHRNLVKILTCCSSTDYKGQEFKALVFDYMKNGSLEQWLHPKVLNEEHTATLDLSHRLNIIMDVGSALHYLHNECEQLVLHCDIKPSNVLLDDDMVAHVSDFGIARLVSAIGGSSHKNTKTIGIKGTVGYAPPEYGMGAEVSTCGDMYSFGILMLEMLTGRRPTDEAFEDDQNLHNFVATLFPANLIKILDPHLVSKYAEVEIQDGKSENLIPSLKECLVSLFRIGLLCSMESPKERMNIVDVTRELNTIHKAFLTGFLLQPKYVNPQVQVALDILGHESSSLEGSGSV >RHN66803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19011185:19011694:1 gene:gene14893 transcript:rna14893 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLLDILVPEQTVSDHIESPSSLEKVSEPNFMITSEDYDDEVEVSNSSSVITSVPGQPLEINIQPGTSINDQPSSSSQAIQVCAPARSTNIPYPPTLFLDSSILADVCEDIFQELIKLVQARNNLVHEDSYVKQWRRLKERVDVVLTELQRSCLDAQDTTQNNLPD >RHN60465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27102741:27108426:-1 gene:gene22778 transcript:rna22778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial fission protein ELM1 MRPIKLPEPPSPNSHRGTPDIFESGVHTFVRRAVVIGNGFAASENQSIGLVRALGFSDNYFLYRVTRPKGGVNEWLHWLPVSLHKKIYYIVTTVRDYSQILLKSQQKKLVPSVNGERAGLLGVLEADAKQIVNFAQETYEKEGPLLVVACGRDTISTASSIKSLASENVFVVQIQHPRLHLNRFDMVITPKHDYYPLTPQGQEQVPRLLRSWITPRDPPDSHVILTTGALHQIDFTSIRSAAATWHDEFASVSRPLLVVNIGGPTSNCRYGGDLAKQLVASLLSVLASCGSVRISFTEKTPQKVAKIIVKELGNNPKVYIWDGQGRNPHMGHLAWADAFVVTADSVSMISEACSTGKPVYVVGAERCKWKYTEFHRSLTDLGVVRSFTGSEDISESWSYPPLNDTADAAKRIREALAARGWKLKI >RHN79106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23028049:23032789:-1 gene:gene2819 transcript:rna2819 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQEHSSAQKPSNPNPNPKPYLKKSDLPTNRFTHTKNLDFSVWVSENLYKIVSVILLVVTVAALFFLRNVGDTAALLCFENKARDLEKIEYPRVDWNKITPIADRNSRYANFLSEKWIVVSVSGYPSDELKKLVKVKGWQVVAIGDSRTPADWSLKGCIFLSLDEQANLGFRVVDYLPYDSYVRKIVGYLFAIQHGAKKIFDADDRGEVIDGDLGKHFDVELVGEGARQEVLLQYSHDNPNRTVVNPYVHFGQRSVWPRGLPLENVGEIGHEEFYTQVFGGKQFIQQGISNGLPDVDSVFYFTRKSGLEPFDIRFDKHAPKVALPQGVMMPVNSFNTMYHSPAFWALMLPVSVSRMASDVLRGYWGQRLLWEVGGYVAVYPPTVHRYDRVEAYPFSEEKDLHVNVGRLIKYLVLWRSDKHRLFEKILDLSYAMAEEGFWTDKDVKLTAAWLQDLLAVGYQQPRLMSLELGRPRANIGDGDQREFIPQKLPSVHLGVEETGTVNYEIANLIRWRKTFGNVVLIMHCSGPVERTALEWRLLYGRIFRSVVILSEKKDVDLVVQEVHLDQAYKYLPKIFDQFSSAEGFLFLQDNTILNYWNLLQADKTKLWITNKVPESWSSVLTGDNNADWLSQQASMVQKVVSMMPAHFQVNYKDTSNNDKNLLLCSSEIFYVPQRLISDFVELVNLVDNLEIHQKVAIPMFFVSMDSTQNFDPVLDKTIYKQAPPTNSSTLYSAKVPAVHPWSVSSEQEFIKLIRIMAEGDPLLMELV >RHN53658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3186907:3192583:1 gene:gene28589 transcript:rna28589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CcmH/CycL/Ccl2/NrfF family protein MASKDDDVKEKLIVDARARDISHNVRCTDCGSQSIEDSQADIAILLRKLIRDEIRSGKSDTDIYKKLQTEFGESILYKPKFDWQTAALWLSPLLVGGAAVGVWAYQKHRQKTNVHIMALNLVRGVPLTPREKETMLDILTPPASQGFKSQRVETSSWWRRLRGQ >RHN49904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2161492:2163346:-1 gene:gene34133 transcript:rna34133 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLIIILFLSLSKMSEEIKKGCTKYLYCLLYFNARNHHHHVSLLFCSQSPSLCPHHPLPFRSQPPHNPKGTIITTTHREKKTRSRSHSTLLFQKLVLLQQSKKFALLLYAPPTSYHHFLLLCELCSCIVYD >RHN59678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12635059:12636807:1 gene:gene21761 transcript:rna21761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH dehydrogenase (quinone) MNVPATRKDLMIVNMGPQHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNGPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIGMRMMHNYFRIGGVAADLPYGWIDKCFDFCNYFLTRVIEYQKLITRNPIFLERVEAVGVVGREEVINWGLSGPMLRASGIQWDLRKVDNYECYEEFDWEVQWQKEGDSLARYLVRIGEMMESIKIIQQALEGIPGGPYENLEIRSFDREKEPEWNDFEYRFIGKKSSPTFELPKQELYVRVEAPKGELGIFLLGDQNGFPWRWKIRPPGFINLQILPQLISLWEKLIVEMIIDTTEVQDINYFSGLESFKEVYGILWILVPILILVLGITISVLAIVWLEREISAGIQQRIGPEYAGPFGILQALADGTKLLFKENLIPSRGDIRLFSIGPSISVISILISYSVIPFGYNFVLSDFNIGVFLWIAISSIAPIGLLMSGYGSNNKYSFLGGLRAAAQSISYEIPLTLCVLSISLRAIR >RHN57304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37994690:38006001:1 gene:gene32827 transcript:rna32827 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase COP1 MEEHSVGPLVPAVVKPEPSKNLSTTVTVTGDIAGGDNFPIATMTELDKDFLCPICMQIIKDAFLTSCGHSFCYMCIITHLRNKSDCPCCGHYLTNSNLFPNFLLDKLLKKTSDRQISKTASPVEHFRQAIQKGCEVTMKELDTLLSLLAEKKRKMEQEEAERNMQILLDFLHCLRKQKVDELKEVQTDLQFIKEDIGAVEKHRMDLYRARDRYSVKLRMLDDSGGRKSWHSSMDKNSSGLASSPLNIRGGLSSGSHTKKNDGKSQISSHGHGIQRRDPISGSDSQYINQSGLALVRKKRVHTQFNDLQECYLQKRRQAADKPHGQQERDTNFISREGYSGGLDDFQSVLTTFTRYSRLRVIAEIRHGDIFHSANIVSSIEFDRDDDLFATAGVSRRIKVFDFSAVVNEPTDAHCPVVEMTTRSKLSCLSWNKYAKNQIASSDYEGIVTVWDVTTRKSLMEYEEHEKRAWSVDFSRTDPSMLVSGSDDCKVKVWCTNQEASVLNIDMKANICCVKYNPGSGNYIAVGSADHHIHYYDLRNISRPVHVFSGHKKAVSYVKFLSNDELASASTDSTLRLWDVKQNVPVRTFRGHANEKNFVGLTVSSEYIACGSETNEVFVYHKEISKPLTWHRFSSPDMDDAEDEAGSYFISAVCWKSDRPTILTANSQGTIKVLVLAA >RHN77257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5462772:5466554:-1 gene:gene695 transcript:rna695 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQGFFSSLKDEVVRGLSPARSRAKSPARSASPMSGLLRRRRKNQGVPPELFMTRSGSLRPLEALSPLKEGPDGTDGEDVGNREGKWGHWMKGQLARAPSVSSSSSACKKSDLRLLLGVLGAPLAPVHVCTTDPFPHLSIKDIPIETSSAQYILQQYIASSGGLKIQNSINNAYAMGKVRMIASEFETANKVTRNRNSSKAAESGGFVLWQMNPDMWYVELALGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPRTTASMFINARCIGEKKINEEDCFILKLCADPSTLKARSEGPAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQNNGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSVVTLFRFGETALSHTKTRMEEAWTIEEVAFNVPGLSIDCFIPPSELRFASISEASELPHGQRVKSAAAAAAYQAKVAQFQKSHESNTNNINWTVDV >RHN47241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38246675:38248810:1 gene:gene41815 transcript:rna41815 gene_biotype:protein_coding transcript_biotype:protein_coding MALELCSENCGPRISFSHDFSQSDFIPVEQHPIRSKSSGLNSSIDFDFNVSESLNLEESSSAAELFSDGRILPAEIKKKQVPLKQSLTTQSPSPNPSLNPSYSTCNNESNGKNLRKESTKESKYLNDEVCEKQSSNSKSFWSFKRSSSCGSGYGRSLCPLPLLSRSNSTGSSTSSVNNKRNSLSKEGISTVKSNSQKLSSTRLSNSSGSNSYLKPPLNKSYGSHGHSVRVNPVLNVPPANLFGLSSIFSSKSKKK >RHN41190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27997193:27997882:-1 gene:gene47482 transcript:rna47482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MYVSFPSSIIHIHYGKMVNLSSHLFLLSLSLHCCFIACLAANTKNITTDQSALLAFKSLITSDPYDVLANNWSTSSSVCNWIGVTCDERHGRVHSLILRNMSLRGTVSPNLGNMSFLVILDLKNNSFGGQFPKELCSLRRLKVLHISYNEFEGGIPPALGNLSQLQYLYLGTNNFNGFIPQSMGNLHGLIELDTIRNKLSGPIPRTISNMSSIEVLHLSSNYFSGTRTF >RHN40073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13017216:13018730:-1 gene:gene46169 transcript:rna46169 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFYKPISESEQSSTSNPNPQTLDECESIQLGPPLKKRWLELDLGRLPSDPRLRPRLLDYHPSDREKIRRYYFQKGPCQPREINFPLTKFGDSSSKFNLEWYSKYGGWLEYSQKEDAAYCLCCYLMRSHLKEHRGSFFILFYD >RHN47895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43389044:43392530:-1 gene:gene42548 transcript:rna42548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MVLLEAEISSSGVSQSRVLEDAEKDEYNINDSPIEQVRLTVPITDDPSQPALTFRTWILGLASCVLLAFVNQFLGYRTNPLRITSVSAQIITLPLGRLMAATLPTKPIQIPFTTCYFSLNPGPFSLKEHVLITIFASSGSNSVYAIGIVTIVKAFYHKDIHPVAAYLLALSTQMLGYGWAGIFRRFLVDSPYMWWPENLVQVSLFRAFHEKEKRPKGGTSRLQFFSVVFVASFTYYIVPGYFFQAISAVSFVCLIWKDSITAQQIGSGMKGLGIGSFGLDWNTVAGFLGSPLAVPGFAVINITAGFFLYMYVLIPIAYWNNLYDAQKFPLISSHTFDSTGATYNVTRILNTKTFDIDMESYNNYSKIYLSVTFAFQYGLSFAALTATISHVVLFHGEMILLMWKKTKSSLTHQLGDVHTRIMKKNYEQVPDWWFVAILILMVMIAFVACEGFGKQLQLPWWGILLSLAIALIFTLPIGVIQATTNIRKGLNVITELVIGFIYPGKPLANVAFKTYGHISMVQALGFLEDFKLGHYMKIPPKSMFIVQLVGTVVSSSVHFGTAWWLLTSIENICDESLLPKGSPWTCPGDDVFYNASIIWGVVGPKRMFTKDGVYPEMNWFFLIGLLAPVPVWLLSLKFRNQKWIQLINIPIIAAGASGIPPVRSVNYITWGIVGMVFNFYVYRKFKAWWARHTYILSAGLDAGVAFIGLLLYFSLQSYGIYGPTWWGLEADHCPLARCPTSPGVHAEGCHVL >RHN47269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38391569:38400587:-1 gene:gene41845 transcript:rna41845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK-LRK10L-1 family MKPLLHPSSFLFFSVMLLMIFIINIPTCMCNDYTDCNEAFECGSSSITNLKYPFWGNNREERCGSVSDPNMELTCEESVPKITINDVKYRILEWDNTTQKLTVARDDYWGGICTVSVSVSDKPNNSTFESADQFQPYGSVSSQLNLLYNCDTTVLNVVYSANCGGNIEVVYTLSDPRSLSLTCNLSVIIAIPISVTRITNLDDINEALQGGFDLRWMGNYADCQRCVDSGGACGNNGTEFRCFCNDGAYTDICGSRKAPTSSNSSTNGGLIGGVVGGVAALCLLGFVACFVVRRRRKNAKKPISNDLYMPPSSTTSGTNTGTLTSTTNSSQSIPSYPSSKTSTMPKSFYFGVQVFTYEELEEATNNFHTSKELGEGGFGTVYKGDLKDGRVVAVKRHYESNFKRVAQFMNEVEILARLRHKNLVTLYGCTSKHSRELLLVYEYISNGTVADHLHGDRSSSCLLPWSVRLDIALETAEALAYLHASDVMHRDVKSNNILLDEKFHVKVADFGLSRLFPNDVTHVSTAPQGTPGYVDPEYYQCYQLTDKSDVYSFGVVLVELISSLQAVDITRHRNDVNLANMAVNKIQSQELYDLVDPNLGYEKDNSVKRMTTAVAELAFRCLQQQRDLRPSMDEIVEVLRAIKSDEPETQESKVLDVVVRTDELVLLKKGPYPTSPDSVAEKWNRGMLR >RHN61431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35484674:35484934:-1 gene:gene23900 transcript:rna23900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFHLPGIRRASSSKGLDMPKGYLAVYVGVKMKRFVIPMSYLNQTSLQELLSQAVEEFGYDHPMGGLTIPCEEDLFLDITSRLSRC >RHN66981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22408363:22411334:1 gene:gene15108 transcript:rna15108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MMTILTSQISFLLLIFITTFHKSMCSNHTIFRCNEKDHETLSTFKKGINDSFGRISTWSTEKDCCVWKGVLCDNITNRVTKLDLNYNQLEGGLPNISPIAEFVDLSYNSFSGSIPHTWKNLKKPRVMNLWSNRLSGELPLYFSYWKQLEIMNLGENEFSGTIPIMMSQNLLVVILRANKFEGTIPQQLFNLSYLIHLDLAHNKLSDSMPKCVYNLTDMATIQKTTVFPTTIEFFTKGQDYVSRIQKERRTIDLSGNSLSGELPLELFQLVQVQTLNLSHNNFVGTIPKTIGGMKNMKSLDLSNNKFFGEIPQGMSLLTFLSYLNLSYNNFDGRIPIGTQLQSFNASSYIGNPKLCGAPLNNCTTEEENPGNAENEDDESIRESLYLGMGVGFAVGFWGICGSLFLIRKWRHAYFRLVDRVGDYLYVTVIVKLNSFRRS >RHN53027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41357263:41357514:-1 gene:gene37772 transcript:rna37772 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIISPENLDDTVNVKVEDPPPLVDIPSEDAKVKGAPPPGVPPADTHPIVGPIVVSIDHQENFTTKVKFATHNDLLSGFENK >RHN61126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33182261:33187036:-1 gene:gene23559 transcript:rna23559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MTAFDFNVLLLLFILSITRINAAVKPLPVHDVPFLNRSSFPPDFIFGTASSAFQYEGAAKKDGKGPSIWDTFAHKYPEKIKDRSTGDVADDSYSRYKEDVGIMKYMNMDAYRFSISWSRVLPKGKLSGGVNHGGINYYNNLIDELLANGVQPYVTLFHWDVPQALEDEYGGFLSPRIVNDFKDYADLCFKEFGDRVKRWTTLNEPRSVSKNGYAVGRFAPGRCSDWLKLNCTGGDSGTEPYLTSHYQLLAHSAAVKLYKTKYQASQKGLIGITINSDWFVPVSNEKSDRDAAQRALDFMFGWYMDPLTKGEYPKSMRSMVGNRLPKFSKEESAQLRGSFDFLGLNYYSSFYAANAPQLRGAKPAQQTDNLVNVTNQYNGKALGPMAASSWLCIYPRGFRQLLLYIKRQYNNPVIYITENGYDEFNDPTLSLEESLIDTLRIDYFYRHLYYLQTAIKDGVNVKGYFAWSLLDNMEWDSGYTVRFGLVFVDFKNGVKRHPKLSAQWFKNFLKKS >RHN74300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31630731:31632430:1 gene:gene10338 transcript:rna10338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MATTQFGRRVGRIVKERIERRKSSVIREGLWNDPFMVASTRAIAERIPLVDLIVHVTDARIPFSSQCHLLTHNHIIVLNKADLASRSSLQVWMDYFRETNCVSCGVDAHNKESIRQFLSLIQRQVGKLRRTDQANKYTATVMLIGLPNVGKSALTNALHHVGRISAAEKGKLKHATVSPEPGETKDIRSYKTTT >RHN67188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24719068:24723733:1 gene:gene15345 transcript:rna15345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EH domain-containing protein MSIYRVLSRSSRTFTLLSSSHSLLKPQPFSTTFFHQFSSFPHQSPNEIFKFQHSEIIDGLKRLYIQKLMPLEVTYRFNDFVSPLLTDSDFDAKPMVLLLGQYSTGKTTFIKHMLQNSYPGAHIGPEPTTDKFVVVMSGPDERTIPGNTVAVQADMPFGGLTSFGTAFLSKFECSTMPHPLLEHITFVDSPGVLSGEKQRLERSYDFTGVTSWFAAKSDIILLLFDPHKLDISDEFKRVITSLKGHDDKIRVVLNKADQVDTQQLMRIYGALMWSLGKVFNIPEIMRVYIGSFNDQPVNNIGVLGNELFEREQEDLLTDLKDIPKKACDRKINEFVKRARAAKIHAYIISHLKKEMPIVIGKAKAQQKLIDNLEVEFAKVQREFHLPAGDFPNVDHFKEVLSVYNIDKFEKLKPKMIQDVDDMLAHDIPNLLKTFRNS >RHN77700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8812942:8813902:1 gene:gene1182 transcript:rna1182 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNIDLILLALLHASFVFFVLLIAIIILFFLLLLTFSLALLTIFLLDLYNYFSILYEYFEIVKGDLEMGLVLIVISIIHHGVDFFLVSKPSHKRKFDKFEVKDQQSFTSHIHVLKTIQ >RHN42774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40884750:40888039:1 gene:gene49261 transcript:rna49261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MAACSSSSSSSSEEEITLKVKWSGKDYTVRVCADDTVGELKRRICESTNVLPIRQKLLYPKLASKLNDDSLLLSQLPINLNNFSLKFTMIGTTEEDLIVDPVDTPEILDDFELPQEEAIDIKDMQVNKLKLSRRINNFKVEIKNPCRQGKKLLVLDIDYTLFDHRSTAENPLQLMRPYLHEFLTAAYAEYDIMIWSATSMKWITLKMSQLGVLDNPNYKITALLDHMGMITVQTPSRGVFDCKPLGLIWAQFPEFYSASNTIMFDDLRRNFVMNPQNGLTIKPFRKAHANRDTDQELVKLTQYLLAIAELDDLSHLDHNKWESFSEDTGKRRRHK >RHN52146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31982445:31982828:-1 gene:gene36775 transcript:rna36775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKDVTQVVGDNSLQYLPESYRIYRSKYSNSVTVATKGTKMTLVKIPKKFVSIDMSRNKFEGEIPNAIGELHALKGINLSHNRLTGHIPQSIGKLTYLESLNLSSNMLTGVIPSELTNMNSLEVLNIF >RHN42947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42127004:42127833:-1 gene:gene49458 transcript:rna49458 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFGCSQPYCEHINLYYIKGHDLINEFVERSSFCLCSCYVVIGMLWIQPTRKQDLVFLAHVMLSILSSLICTLVCCF >RHN66306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10628229:10629766:1 gene:gene14259 transcript:rna14259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAAALVGGAFLSATLQTLMDKLTSTEFRDYITKTKLNESLMDEMETSLLTLEVVLDDAEEKQILKPRIKQWLDRLKDAIYDAEDLLNQISYNAIRCKLEKKQAINSEMEKITDQFRNLLSTTNSNEEINSEMEKICKRLQTFVQQSTAIGLQHTVSGRVSHRLPSSSVVNESLMVGRKDDKETIMNMLLSQRDASHNNIGVVAILGMGGLGKTTLAQLVYNDKEVQQHFDLKAWACVSEDFDIMRVTKSLLESVTSTTSDSKDLDVLRVELKKISREKRFLFVLDDLWNDNYNDWGELVSPFIDGKPGSMVIITTRQRKVAEVACTFPIHELKLLSNEDCWSLLSKHALGSDEIQHNANTALEETGRKIARKCGGLPIAAKTLGGLLRSKVDITEWTSILNSDIWNLSNDNILPALHLSYQYLPSHLKRCFAYCSIFPKDYPLERKTLVLLWMAEGFLDCSQGGKSWRN >RHN64774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61707133:61714515:1 gene:gene27631 transcript:rna27631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribulokinase MASPTEPSASRSVFLGVDVGTGSARAGLFDEEGKLLGSSSSPIQIWKDGAFVEQSSTDIWLAVCVAVKAACSKAKVAAAEVKSLGFAATCSLVAVDSDSSPVSVSRSGDSRRNVIVWMDHRAVDQAERINTSKSPALEYCGGAVSPEMQPPKLLWVKENLQESWSMVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMQYVNDTDSRDMEACGWDDEFWEEIGLGDLVEGHHAKIGRSVAFPGHPLGSGLTPTAAKELGLLPGIPVGTSLIDAHAGGVGVIESVPPSETEENDKEAICNRMVLVCGTSTCHMAVSRSKLFIPGIWGPFWSAMVPEYWLTEGGQSATGALLDHIIENHASSIHLANRAASQKISVFELLNKLLETIMTEQNQSFIGALTEYLHVLPDFHGNRSPIADPKSKGVIYGLTLDTSDQQLALLYLATVQGIAYGTRHIVEHCNAHGHKINTLLACGGLSKNPIYIQEHADIIGCPIILPRESESVLLGAAILGAVATKKYNSLREAMKALNAAGQVIHPSNDPKVKKYHDAKYKIFRGLYEQQLSNRSVMAQALA >RHN58363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1138317:1138741:1 gene:gene20269 transcript:rna20269 gene_biotype:protein_coding transcript_biotype:protein_coding MYKIETVVESSPTAQVEMSDWLMKNVKDEEYVVMKAEAEVVEEMMRSKSIMLVDELFLECKPQGLNLKRGTRGKRAYWECLALYGKLRDEGVAVHQWWG >RHN39366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6492403:6496361:-1 gene:gene45382 transcript:rna45382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 9S-lipoxygenase MFGILNNKGQKIKGTVVLMPKNVLDFNAITSVGKGGVINTAGNIIGGVTGIVGGVVDTATAFLGRNVSMQLISATKTDANGKGLVGKETFLSKHLPQLPTLGARQDAFSVFFEYDANFGIPGAFYIRNYTQAEFFLVSVTLEDIPNRESVQFICNSWVYNFKSYKKDRIFFTNDTYLPSQTPAPLNHYREEELQTLRGDGTGERKEADRVYDYDIYNDLGNPDGGDALVRPVLGGSSTYPYPRRVRSGRKPTRKDPKSEKPGVIYVPRDENFGHLKSSDFLMYGIKSLSQNVIPLFKSVIFDLNFTPNEFDSFDEVRGLFEGGIKLPTDILSQISPLPALKEIFRTDGEQVLKFPPPHVIKVSKSAWMTDEEFGREMVAGVNPNVIRLLQEFPPKSTLDTTVYGDQNSTITKEHLATNLGDITVEEALNGKKLFLLDYHDAFMPYLERININAKAYATRTILFLKDDGTLKPIAIELSLPHSNGVQYGSESKVFLPADEGVESTIWLLAKAHVIVNDSCYHQLISHWLNTHAVVEPFIIATNRHLSVLHPINKLLFPHFRDTININGLARQSLINAGGIIEQTFLPGPNSVEISSIVYRDWVFTDQALPADLIKRGLAVEDPSSPHGLRLALEDYPYAVDGLEIWDAIKAWVQDYVSLYYPTDEVVQKDTELQTWWKEAVEKGHGDLKDKPWWPKMQTVGDLVQSCSIIIWTASALHAAVNFGQYPYGGYILNRPTLSRRFIPEQGTPEYDEMVKSPQKAYLRTITPKFQTLIDLSVIEILSRHASDEVYLGERNDKFWTSDTRAVQAFQKFGSKLSEIEGKIHGRNKDSSLKNRTGPVELPYTLLLRSSEEGLTFRGIPNSISI >RHN79703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31361979:31362974:1 gene:gene3528 transcript:rna3528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamine synthetase MFPYTSKQFDCSYDRLQPNTWSGAYLFWGNENKEAPLRAASPPGTPGGLVSNFEVKSFDGSANPYLGLSAIIAAGIDGLRRHHSLPEPIDTDPNPNNLQRLPKSLSESLEALHKADFLEEFIGDNLLTAIKAIRKAEIDHYLENKDAYKQLIHRY >RHN58179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44367347:44367922:-1 gene:gene33796 transcript:rna33796 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLVQILRKFLLPFYLFFVLFVLPLLLSQFFEPLHMQYYFNFCMIEKSYMFILVNVLVAFIILYSTLFNASSTTTHDSIEHVVNNDGGGQWLEYIASESANDAENVTESESTKEEEKTLMISYEPDKMMISDAKEDKEEENSLMIIDEDHETQELNKKCEDFIKKMKAKFCSEARAYYYGYHHKSLVLVN >RHN61284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34475761:34479833:1 gene:gene23728 transcript:rna23728 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTAGILRKRQRTTTTTTRISSTAAIANSSFFDINQNHHCLSPTTSAFLFNDSSTADVILRLFTDTITPPESPSSISVDSAPISDLHVYLHSDILRRAKYFSALLSDRWINNVHPQPSPEQITDHELFRLNLGVPPSPGSIQNHLTVLELLYTNDFTNAVENVSTALDLLPVALELLFEDCVRWCVRYLEAVPWTEEEENRVVNLIPFLSEEESKELIARVSPVGENACEEMLEGLISSAMNNYGNTAFVKAFVGKILRDVSSRETVKRVLEKAFRGSLKTVKQSLEDYSSPVFRGDHNENETEAMQRVNLHKASTIGKHLLWLVERMVELRVADAAVREWSEQEAFTGDLKKAFGEDAWRNIVPGLPAVILRCTSKLAHAVCAGTVVASTQVRRKLVEDWLPVLVVCKDFSPVSNKSLYLELEETFLRIISTLPMSDAQELLQKCLSFSTRNVEDCPHLVTAFNTWFRRAAHPFKLDSVCDQSDV >RHN47883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43283211:43287846:1 gene:gene42532 transcript:rna42532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanylate kinase MGEAPAFLVDDLQDGPLNGLELNNGTCKTTTVVGEKTYVTVGADDGTLSIDVQIFDRSLGEWVHPTVLGTKPLSCNGHSAVLFENRILVLKKGSKSDDQIWFLEVDTEYVRQQRKKLGTEVVAWSKGVIGNAEKPIVISGPSGVGKGTLISMLMKEFPSMFGFSVSHTTRAPRNMEKDGVHYHFTEKSVMEKEIKSGKFLEFASVHGNLYGTSVEAVEVVADAGKRCILDIDVQGARSVRASSLEAIFIFVCPPSMEELEKRLRDRGTETEEQILKRLRNAQAEIEQGKSSNIFDFILYNDNLEECYDRLKKLLGLDGFVATSPKSAPREINLPTDHSVSKIDDKIIISCISSGLDKESKNSIMLDVSSLKGGAPGRTRGLDFQVIGSMDQLS >RHN55306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17075692:17078225:-1 gene:gene30471 transcript:rna30471 gene_biotype:protein_coding transcript_biotype:protein_coding MACNSTPLLSPPTTTTISPINHHTLQPLFLTKPTSTHSRKLTTKLQVSSSPTNKPTTPITSRQPPPQKPNAETIFFDGGAHYGDLITNLLLGFTLVWLPLTLAAVSRALYLRYRFTNLRVSVISGLTGEDRSDFSYSVIKDVQVVPRFIGEWGDIVITLKDGTKVDLRSVPKFREIAKYCLSMKEKNSKGLDQSGPKGF >RHN56476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31118367:31120740:-1 gene:gene31884 transcript:rna31884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MASKLSLILFVLAIWTLDSSQGALTHQAPAPSVDCTNLVLTMADCLSFVTNGSTTTKPEGTCCSGLKSVLKTAPSCLCEAFKSSAQFGVVLNVTKATSLPAACKVSAPSATKCGLSEVTEAPASAPAGGLSPQSSTASPTSSGAASGLNGPVSELSPVPAPSPGNTASGLFPISMGSLLVCLLVATMSLF >RHN82224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52104627:52107296:-1 gene:gene6369 transcript:rna6369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo-like helical protein MVVKNTDSSSSSSSAARSEAFRRMEKQFHALQLQPLQDNGVSQQGTFIHQQPYNTQVQDVGTFGSNTVVQQQHPSNYQHGFINNYATNQAMGGLPTGHGHGYGFPGYEDYRIWQGPSIEATAAQRMHCFHTCRGSLVSMAMNRRDCRYIQQKIRMGNPTDVALILYEVKDSLHMLMTHPYGNHLIQKIFEARRGIITRDQKHSLVYLIISNYQKLRNVCMDFHGTRVMQIMLKNIKCLFMQYVVVYTMKHITVALMKNFNGSYVIVECLKLFPPEHQNIILDEVARNCVDISTNKVGTSVIQKCLRKGAITAIAPLVAKIISNAMILAEDQYGNYVLKCVIMMKFASANEQMVKELRGQFVRLSVNKYASNVVENLLTFSKLDDVEVIVEEIISSHDFLNVLQDPFGNYVAQRSLKCTQGHLRRKLSNLIISNHRTLHSHPYGKKVLTMANDIYGKKVHRLCYNC >RHN49095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52358715:52364290:1 gene:gene43886 transcript:rna43886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative von Willebrand factor, type A, Zinc finger, Sec23/Sec24-type, sec23/Sec24, trunk MSNNPTQPNVGFIPSNPEQKQTPIPILPPPPPPSTSILAPPPRFPPPILQLQKDHTSSANGSPVPHLSTPPGPPVFTSPVRPAAIPFRTSPASPQPPARSSASSLPTSSPPRYSNGSFDLQSQVSGGLEDHIPNGESSFVLFSAHKVLKQKKQANVPSLGFGALVSPGREVSTGPQVIQRDPHRCQSCGAYANIYCNILLGSGQWQCVICRKLNASDGEYIAHSKEDLHRFPELSSPMVDYVQTGTKRPGFVPVSDSRMSAPVVLVIDECLDEPHLQHLQSSLHAFVDSLPPTTRLGIILYGRTVSVYDFLEESVASADVLPGDKSPSEDSLKALLYGTGIYLSPMHASLAVAHSIFSSLTPYKLNVPEASRDRCLGTAVEVALAIIQGPSADLSRGVVKRSGGNSRIIVCAGGPNTYGPGSVPHSFNHPNYPYMEKTALKWMENLGREAHRHNILVDILCAGTCPVRVPILNPLAKASGGVLVLHDDFGEAFGVNLQRASARSAGSHGLLELRTSDDILITQVVGPGEESHVDTHETFKNDAALYIQMLSVEETQSFALSMETKGDIKSDFVFFQFAIQYSNVYQADVSRVVTVRLPTVDSVSGYLESVQDEVAAVLIAKRTLLRAKNHSVAVDMRATIDERIKDIGLKFGSQLPKSKLHCFPKELSLLPELLFHLRRGPLLGCIIGHEDERSVLRNLFLNASFDLSLRMVAPRCLMHREGGTFEELPAYDLAMQSDTAVVLDHGTDVFIWLGAELVANEGKSASALAACRTLAEELTEFRFPAPRILAFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRTLTSEQRTKLKSSFVHFDDPSFCEWMRSLKVVPPQPS >RHN71100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56485081:56487545:-1 gene:gene19743 transcript:rna19743 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLLHGKKRKEQKVESTKEDLLHGKKPKEQKVESTDGELLYDGEKPKEIKCPIYAKTLEVYNKLKDIDTIDLKWCPIIPDKHAYLKHEKEFAELFKEHEEKRKRWMLIEEEKERLEKRKEELLLIN >RHN63377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50787008:50792516:-1 gene:gene26070 transcript:rna26070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MDAAVLESLSSSAAHFSGCHPSSSTSSSSHNNVFLIGVSGGTASGKTTVCDMIIQQLQDHRVVLVNQDSFYRGLTNDESKRVHEYNFDHPDAFDTEQLVETLIKLKSGQSVQVPVYDFKLHQRASDRYRQVNASEVIILEGILVFHEPRVRDMMNMKIFVDADPDVRLGRRIRRDTVERGRDVHSVLEQYAKFVKPAFDDFILPSKKYADIIIPRGGDNCVAIDLIVQHIHTKLGQHCLCKIYPNLNVIQSTFQTRGMHTLIRDKEISKHDFVFYSDRLIRLVVEHGLGYLPFTEKQVTTPTGSIYIGVDFCKKLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDETQLIYEKLPKDISERHVLLMDPVLGTGNSASQAIELLIKKGVPESRIIFLNLVSAPEGIHCVCKHFPHLKVVTSEIDEGLNDQFRVVPGLGEFGDRYFGTDDS >RHN67605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28577911:28578768:-1 gene:gene15810 transcript:rna15810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MRFELYHFVTPNLLYMLQKDSWSEEEERMLIETHGMIGNRWAEIAKRIPGRTENAIKNHWNATKRRQNSRRKNKRPQTTDGKPQSSILQDYIKTLTTQKAFISTTAAAAAAATTTTATSSVSAISEDPSSNQSSFVFSNLSHENSSSPLISESYDDELLFMQQLFKVNNNAEPVNPYSKKPSSNSYPLDYSSQTNSNQILPDVTDCGFVHSNPSNYPKPNSQNNMYLSTSSTPMMNYLNSDHYLPPMLNGIQNQNNVELHLGNMNFSEGKREMDLLELVSSAQFY >RHN51695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23015454:23015993:1 gene:gene36194 transcript:rna36194 gene_biotype:protein_coding transcript_biotype:protein_coding MREKTVNQVIANISTNNAILQIVLILILFGQVTYAWNWNNWLNCLGATLYTITIEKLYPGEINIECYSTDHSTKIMTYKKNETFFDFTFCGLYDWWFGETAPWYCFVYTNSPTCESGVDQKAFEVFNENFDCAKEKEAYCEWRLHPRYPILYNPKKKILENRFYNATSCFIDPDRPHRL >RHN79104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22982278:23007676:-1 gene:gene2817 transcript:rna2817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative villin headpiece, villin/Gelsolin, ADF-H/Gelsolin-like domain-containing protein MSNTLKVLDPAFQGVGQRLGTEIWRIENFQPVPLPKSEYGKFYMGDSYIILQTTQGKGAAYFYDLHFWIGKDTSQDEAGTAAIKAVELDAALGGRAVQHREIQGHESDNFLSYFRPCIIPLEGGVASGFRKPEEEEFETRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTDDKIYQFNGANSNIQERAKALEVVQFLKEEYHEGKCDVAIVDDGKLDTESDSGEFWLLFGGFAPIAKKVISEDDIIPEAIPAQLYSIIDREVKSEEGELSKSLLENNKCYLLDCGAEVFIWFGRGTQVEERKAACQAAEEFVSGQNRPKSTRITRITQGYETRSFKSNFDSWPSGSASTVAEDGKGKVTALLKQQGMGVKGMTKSTPVNEEIPHLLEEGGKMEAWRIDGSDKTSLPNEDIGKFHSGDCYIVLYTYHSGERKEDYFLCCWFGKDSIEDQTVAIRLANTMSNSLKGRPVQGRIYEGKESPQFVALFHPMVVLKGGLSTGYKKFIADKGSSDETYTEESVALIRITGTSIHNNKAAQVDAVPTSLNSSDCFVLQSSSTVFTWHGNKCSIEQQQLAAKVAEFLRPGVALKHAKEGTESSAFWLALGGKQNYTSKKVTNEVVRDPHLFTLSFYKGKLHVEEVYNFSQDDLLTEDILVLDTHAEVFVWIGQCVDPKEKQNAFEIGQKYIDMAASLEGLSPRVPLYKVTEGNEPFFFTTYFSWDWDYTRAKVHGNSFQKQVSLLFGIGHAVEEKSNGSSGGGPRQRAEALAALTSAFKSSLEKTTSMSQERLNGLNHGGPRQRAEALAALNSAFSSSSTTKKVTPRPSVKGQGSQRAAAVAALSSVLTAEKKKQSPDTSPVASDSPVPESSISEAKSESEYSEVDEATEAKEVEEVSPDSDSNGGLESEQENVEDGDNDQSSQRTFSYDQLKTTAGKNVSRIDLKRREAYLSDEDFETVFGMVKEAFYKLPRWKRDLLKKKYELF >RHN70263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50111243:50115270:-1 gene:gene18826 transcript:rna18826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MQSTNGSDSKPTEQSSNNRPQQKTPPPPSPHSLTFPPPQQWVPMQYPPAAMVMPHHMLPPQHYPPPPHHYMAYHHYLHHVPHVHHGSSAADNKTLWVGDLHHWMDENYLHRCFASTGEIFSIKVIRNKQTCQTEGYGFVEFTSHGTAEKVLQTYAGMLMPNTEQPFRLNWATFSTGDHKRSDNVPDLSIFVGDLAADVTDTMLLETFSDKYPSVKAAKVVFDANTGRSKGYGFVRFGDDGERSKALNEMNGVFCSSRAMRIGAATPRKSSGYQQGGQSNGTPSQSDTDSTNTTIFVGGLDPSATAEDLRQPFSQYGEIVSVKIPVGKGCGFVQFANRNNAEEALQKLNGTTVGKQTVRLSWGRNPANKQFRSEFGSPWNGPAYYGGPAYDGYGYAMPHPYDQSMYAAAYPMYGGHQQQVS >RHN58028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43200242:43202971:1 gene:gene33633 transcript:rna33633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WEB family protein MVARIKQSVTESRKSTKSVVGEIDTNSPIQSVKDAVSLFGEAALSAGNVTIKKAKSKPYSVERVWAKEAQLHLAQEELNKLKARLKNAKATKTQVLMELEKTKTTVIDLKKKLKVLNESREFTIHATKASKSQAVKQLKEEQCGNLNVINGASKEELKTTVQRYKSIIAELDVAKQELRKIRQECKESLEARVSAFNQAAEAKDAMNINAKRACELSKEILAVQESIQQMKVASVEADQQKQEILVEKNVPRQSYKSSLEESEKKLLALKKHFNSELVENLEAKLTETMSEISAIQKEIENKKMSDLEQVKSVALELDGAKETLQKVSEEESSLRSLVEALRMDLEYVKRDHSELKETECETESIVKNMHAELQRCESELDVHLAEESKVRGASEQMILTLNQLSDETENAEREAEDMKINAIELKVEAEVTKHALKDAEMKLKLALEEAEVAKAAEDRILDQIRDLSERNNTAHASTTESGARITISREEYESLNRRAEECDKLASAKVAAATAHIEAAKLSENEALIKLEATQMEIEDIKKATHEALKKAEMAEKARKMVDSELRRWRERDHKKAAETVARVLAETPMPSSSSSSSRLSPRLYKIQKQHSLPQNMEARKLEKGKKILLPSISSFFPRKKSLQVERGLPSYLPGETPL >RHN61809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38537280:38538634:-1 gene:gene24308 transcript:rna24308 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDEVIWQVIRHNHCSYMAKITTGIFCRNPYNVTGICNRSSCPLANSRYATIREDNGVFYLYMKTIERAHTPKDLWERVKLPRNYQQALEVIDKHLMYWPKLLIHKIKQ >RHN64310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58065699:58067129:1 gene:gene27114 transcript:rna27114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MGQESDSKAKLVLEICSISTRSASCVHRIPTKTIFIDWYCILGVEENAGVNTIRKRYHKLALQLHPDKNKHPKAEIAFKLVSEANACLTNAAKREAFDFERYKNFCIECKRIPYTSSGNVSVNSSGSGFKAWNIITKSRSFKFWRNIKDIRERFNEEAKVVENCMRVNSMSRNESPLYNPDSYLHRSKSHRFEKETPVFNPSDYLYQGYPHMRGLINKNPSAFWYLQTSSMLHNEKRGAQHSSPVFEVKSRSMFTNQFAFVPSRY >RHN55996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26579023:26586065:1 gene:gene31306 transcript:rna31306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative periplasmic binding protein-like I MGRNSSLQLSILCFNIVLLLLAWSRVKRSHCLVPKQKSVMSIGVVLDLVSLMGKHQKIAMEIAVKEFNNQLSSSKLDLQIKDSHGNSAQVISSVMDLSRSNQVLAIVGTITHNEATLASEFDDNIKNTPILSLTSFAGRQELLSPRLPHFIQLGDDINHHIQCIAAIVGEFRWKKVAVIYEHNNDYFSSDPEIILSLSNSLKLAGSEIESHLAIPSLSTLSDAESTIENELNKLKRKSNRVFLIVRSSLELANIICEKAKQIGLMEKGSVWIIPDEVAGLLDSVNSSVIFNMQGVVGFRTHFIEMNKGFRKFKFLFRRKFALEYPEEDSVNPSNIALQAYYATKAIAEAANKLSQGKFRLEQFSEKILSRKFERLSAKTFSKNGQFLQSPTFNIINVIGKSYRELALWSSTLGFSKNIVRHQVMEMTNTTNDSNGVFSTVYWPGDFQSVPKGWIHSNEDRSLKIGVPANGVFTQFVNVTHDSRNGTLITGFSIGVFKVVVERLPYDLQYKFIPFNGSYDEMVYQVYNKTLDAAVGDTAIVEYRYHLVDFSQPYVESGLQMVVTEQPAKSKETWMFLDAFTKEMWLMIAATHIFVGVVIWLIEREANPDLRGFGSMLWFLVTVLFYAHREPIRKPLAQVVLTPWLFAIFIVTNSFTASLTSITISQVKPSVLDIQTLKERNSPVGCNGNSFIVKYLIDVLKFKPENIRKINSMSDYAAAFEKKEIEAAFFVAPHAKVFLAKYSCKGFIKVGNVFRLGGFGFVFPKGSSLVADISEALLNMIESGETEQLEKNMLNEIESESKANCSSLESNKGKNNSSIGLQPFLGLFSICSIFAVLALSYHMICLLVKNVETLRKHTVLALTRLWRCTTKFFAWCRSKLQSRILRRVRNNSETRNASEENVTNSQQILVVVEFVDNVLAAHAS >RHN62668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45083771:45093930:-1 gene:gene25265 transcript:rna25265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MNWQQRCLPINPSQQELFRLLLEGNIMTRKKIQIKKIDNISSRQVTFSKRRKGLFKKAQELSTLCDADIALMVFSATSKLFEYASSSMQQVIERRNGYSANHRLLDYPSTDDQLQVESDSNRDTLRKKLEDKSRELRQLNGEDLQELTVQELQKLEVLLKRSLSSVSKIKDEMFMRDIDTLKRKEVELMEENRRLKHVVPDLINVRWQQSLETVISGSSFSLEDDGSDTSLKLGLPFLK >RHN44877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9362989:9365497:-1 gene:gene39044 transcript:rna39044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MIFRTTLHYHVVQIEEAKLFRAQGQSNEEVSDVYRLIGKWLAETRCSNSRTILEKYLKPTVSIAEDVKSTDKKAMEKKCNTHFHLAHYTDALFRSHEEILDSNGGRIKEQNVAKFISTLAG >RHN72226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5916053:5920665:1 gene:gene7913 transcript:rna7913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S10, serine carboxypeptidase, alpha/Beta hydrolase MTKLSSTYGKVHLLSILLLLSNICFQVATCGSIVKFLPGFQGPLPFALETGYVGVGEKEDVQVFYYFIESEKNPKDDPLILWLTGGPGCSALSGLMLEIGPLELKKEEYNGSLPNLILRQHSWTKVSSIIFVDLPVSTGFTYATTESGTKRSDSILVHQTYQFLRKWLVDHPKFQSNEVYIAGDSYSGIPIPVIVQKIAEGNEKGVQPWINLQGYLLGNAAITGKEKNYVIPFAHGMGLISDELYDSLQKNCNGDYINVETRNVLCSRDISSFDEVTSGIHEPHILEPSCEWLDNTENSPRRSLINKDPTNFLNTNLKLPLLSCRSYTYFLMGYWANDDNVRKALHIQKGSVAKWHRCTFNIPHKKDIPNSYDYLVNLSRKGIRSLIYSGDHDMKIPFLATQAWIRSLNYSIVDDWRQWHTNDQVAGYTRTYSNQMTFATVKGGGHTAPEYRPKECFDMFSRWISKRAL >RHN74486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33911145:33913243:-1 gene:gene10571 transcript:rna10571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MTELETTLPSLRFTGKSLYPPVGKSVVVVESLDKARTIRSYLGGMYEVLSCNGLVMDLDPGQNSASLDNDFCLFWEISNSSQTRVKRISAALKGVNNLIFAFDPSPEGETIAWQIIHILRKKHRSLQEDILLARVVFNEITEQSIKAALQEPREIDMNLVNSYLAKRVIDFLFGFNISPLVLRKLPSCKSPRRFEFPALSLLCDRESEINSFRSREYWTLYPQLQRTNRDLPFRTLLTHIDSRELNKFSVASVEEANEIQSRIYSAQFQVIGITRSKISKMSPTPYSTSTLQQDAARILNFSSSITMKIARKLYEGVKFHKNIRAGLITCFITDGLHVGSHTSLRKLFRIFDPLSFKGDVCFFILLFNLLMFATGTSILLNIQSIHSYGQNFVAQSPPENVIKVKNALESHEAIRPTDIRKLPSMLAGVLDKESLKLYTLIWFRTISCQMEPAILEKVRIQNIHQEKV >RHN74624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35244460:35245849:-1 gene:gene10725 transcript:rna10725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MNRIEKVKRECFEHTKKELPDCVMSYIFSKLSMKDLVKTSILSKTWIHEWRSTKDLNFDIHNMFGCSNRYYPDGNSYYFDLEKEDSPHFRVVIKPEFVERVNKFMLNYEGANIHSLKVNFPLGDDYGYVIDRLISQGISKGAQRIELLLSYKSSDNYSIEPYTFSLSENDCLKYLHLQNCLLSPPMDFSRLRKLTTLVLHLVVIEKHMLLGLFSNGVNLADLTLNNCKFDSDLNITCPTLCHLNIVDHKRHLRWAPPPRYWKIDIFALKLLCFEYSSRDGTFTFNSIRAPKLSKFYWNDLPMRPDTLLGIQKKKTNAT >RHN70371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50967765:50972838:1 gene:gene18941 transcript:rna18941 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRNSRTKAAAMFDSDDDSSVTSSSTAPSDLMAVSGTEDVQFDQESVLDQALDALDEKRGTTRENAFSAIIDAFKSNMQHQFVEKKFATLLHSCLASIKKGSKKASSKEITLASHAIGCLALTVGCSDNAREIFEESVTPLDESLARSKNISLMDCLAIITFVGGNDQEETERSMDIMWRVIHPKLGSNVVAVKPSAQLITAVVSAWSFLLSTVGNLKLNSKHWQSSIAYLSGLLDKEDRSVRIAAGEALALIFEIGVIDKFSTEAKNASDATQEESKPQESYIFLQGLKGKVINQCKNLSVEAGGKGSAKKDLNSQRNLFRDILDFFEDGYAPEISMKIGGDSLQTSSWSQMIQLNFIKHFLGGGFIKHMQDNDFLHDVFGFSPKKKYLNNGEHRMSGGEKRMFKSPNSVLNKARTQLLNKQRLQSEGRNFGHYSVNMDET >RHN47797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42581815:42589030:1 gene:gene42439 transcript:rna42439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator GNAT family MAPKRKHSSIFIGNCEITVQASTFTCNSSSSELLISLPRSGNIKVSDVSANHKNASENFTPEEREHEFMLVNPKDVDDITKSYLQEVLQMYMTELPGMNYAANTGKQSKFLERCVTNGKYRTLLLKSSLAGDSGKVLAAITYQIVPADTEYAEIPLAAVNAIYQRKGFGQILFLELRKRLQNVGIRSIFCWGDKESEGFWLKQGFSSIAQVDTKGRARRFPVKADIRKALCFPGGSTLMILHLKKELFDDNANSGKCLPSQPHQNSFTPAIVENEQLEFSDELLINLKSSHRTDTSQSKPNALVKVGSSQGHGKLSGFDSQNPKNCCNDTVDSARANDDRQANGADCTQEENYANAVNCSQSTKVLKRAWEASSSSLKSKRVRGSQFVDCRSDSSWGFVSEAGRANPCSAESPHCDTSITKNSEKCIGDHMHLEAPISLKLPSTKQCFRIMLMNITDDAKKTQLTKVIEDLGGTIASDGSSTTHVVTGQVRKTLNFCTALCSGAWVVSSSWLKESFREGRFVDELPHILNDKDYLLKYKSDLRSAVLRAKACPHSLFKGYNICIAANVQTPAKTLSAIVRSAGGNVISGLKKVNEASTTIFVTCEEDIEEAMMAAKKGIRTFDSEWFMNCVMRQELDLEASQFAESLSDC >RHN42631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39842785:39850480:1 gene:gene49096 transcript:rna49096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MATSPFFHARCSYSSPISNFINNNNKTASQQNPILPSSSSPSSSSNSGLTFPSGTNSGQRHQGLRAQAMSSTTNGNSISSMGNSRNDPDHLLVLVHGILASTADWTYAEAELKKRLGKNFLIYVSSSNAYTKTFTGIDGAGKRLADEVLQVVKKTESLKRISFLAHSLGGLFARYAIAVLYSHDTYNKDQLGDLAHSMAGNSQSTSFTKGGMIAGLEPINFITLASPHLGVRGKRQLPFLLGVPILEKLAAPMAPLFVGRTGSQLFLTDGKPNRPPLLLRMASDCEDRKFLSALGAFKCRIVYANVSYDHMVGWRTSSIRREMELSKQPPRQSLDGYQHVVDVEYCPAVPSDGPQFPPEAVKAKEAAQNAPDTQKTVEYHEIVEEEMIQGLQQLGWRKVDVSFHSSFWPFFAHNNIHVKNEWFHNAGVGVIAHVADSLRQQETSSILVASL >RHN77973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10999864:11002255:1 gene:gene1486 transcript:rna1486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (+)-neomenthol dehydrogenase MGKAEKKEKAKERREKRLEEINLLRSIPYSDHQRWWSKETIAVVTGGNRGIGFEICRQLAAHGLTVILTSRDASAGAESIKILQEGGLDVVYHRLDIVHESSINHFVEWLQQNYGGLDILVNNAGVNFNLGSDNSVENARKVIETNYYGIKKLTEALIPMMKPSVVGARIVNVSSRLGRLNGRRNRIMNVALREQLSDVEFLSEELIDRTLSTFLQQVEDGSWTAGGWPQIYTDYSVSKLAVNAYTRLMARKLSERPEGQKIFVNCYCPGWVKTALTGFAGNNTVEEGADTGVWLALLHDQTVMGSFFAERREINF >RHN49599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55866304:55866657:1 gene:gene44446 transcript:rna44446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase, Very-long-chain 3-oxoacyl-CoA synthase MDFLHLVKKLNNVKLLSFVLILFLKAKQNTNIIIGLVVPVLLLGVYLAKRSSSSVVYLVEFACYKPGKERKTINETIMKKMEECGLYNENTIEFQHRILRKSGFGDEYILTSSSIVV >RHN58668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3794293:3800873:1 gene:gene20600 transcript:rna20600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-fatty-acid--CoA ligase MLFGISLQRQSLTYMKYMSTGFSMVYWPLSMQVEFLPKFSVGGIWKRWRESYPTEGSKADDATTVFTGVPTMYARLIQGYRAMDPELQAVSASAARNLRLMMCGSSALPQPVMQEWEAITGHRLLERYGMTEFVMALSNPLKGERKAGTVGKPLPGVQVKILADEEHGNEINEAGELCVKSPSLFKEYWKLPEVTKESFTEEGFFKTGDAVTTDKDGYYIILGRTSADIIKAGGYKLSALEIESVIIEHPAVSECCVLGLPHKEYGEIVGAIIVPEADVKRKRDEESKPALSIEELSTWAKDKLAPYKIPTRLILWDSLPRNAMGKVSKKELKKILASEQ >RHN73952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22053165:22058743:-1 gene:gene9853 transcript:rna9853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START domain-containing protein MDELVRLVRVNEPFWGKPSNSQDGYTLHRESYEQVFLKNNHFKGAYVCEESSKYSGLVKISGIELVGIFLDSIKWTNLFPTIVTKAETIKVFEISSRGSRDGALLLVNEEMHILSPLVRPREFNIIRYCKKVDPEV >RHN62511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43987815:43988299:1 gene:gene25098 transcript:rna25098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MGEEAEEVIELQPDASIPNEIEEYSWPVLRFDVSPHRTYHFHQQFTSPTNPNNFLKAVKWSPDGSSFLTSSDDNTLRLFTLPGADSDIPLDTSDYQGKLTRHK >RHN82129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51454167:51457951:-1 gene:gene6255 transcript:rna6255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MAIVSCKLLLTFYSFLFLITFVSQAKAQPDFLYNFCKNDNGNYTANSTYQNNLNTVLSNLTSNAEINYGFYNISYGQNTDKVNAIGLCRGDVKPDVCRSCLNDSRVLLTKLCPNQKEAIGWYDNCMLRYSNRSIFGVMEGSPKFYMWNIYNVTEVDQFNQVLGNLMRKLKEKAASSDSRRKFATDNATDVNLNFQTIYGLVQCTPDLSEQDCNNCLDGAISDIPSCCNNKIGGRVLKPSCNIRYETSSFYDPTPAIDPGETSPSEEEKSKSSHTTIAIVVPTVVVVVAALLIFICICLRKRKARINLEEIEEDDNDDIDMAESLQFNFETLQVATSNFSEANKLGHGGFGVVYHGILAGGQVIAVKRLSTNSGQGDVEFKNEVLLVAKLQHRNLVRLLGFCLEGRERLLVYEYVPNKSLDYFIFDPIKKAQLDWERRYKIIGGIARGLLYLHEDSQLRIIHRDLKASNILLDEKLNPKISDFGMARLLLVDETQVNTNKIVGTYGYMAPEYAMFGEFSVKSDVFSFGVLVLEIISGQKACRVIHGQNPEDLLSFAWRNWRAGTITNIIDPSLSNGSQNEIMRCIHIALLCVQENLVERPTMATIVLMLSSYSMSLSVPLEPASIVGGRTRSLQVRDMQFEGDNSGAMRPNESKSQSGESINHASITDPYPR >RHN73563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17685840:17690646:1 gene:gene9388 transcript:rna9388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MPRLLNPSQCLTSCQYHTQYTNKHSNSDKSVFLNSHIMKHSSLSVSSSFTYECTYDVFLSFRGIDTRNTFTGSLYNSLDQKGIHTFIDEKEIQKGEEITPSLLQAIQQSRIYIVVFSSNYASSTFCLNELVMILECSNTRRRLLLPVFYDVDPSQVRHQRGAYGEALRKHEERFSDDKDKVQKWRDALCQAANISGWHFQHGSQPEYKFIGNIVEVVAKKINRTPLHVVENPVALESPVLEVASLLGFGSDERANIVGIYGTGGVGKSTLARAVYNNQISDQFDGVCFLADIRRSAINHGLVQLQETLLSDILGEEDIRVRDVYRGISIIKRRLQRKKVLLVLDDVDKAKQIQVLAGGHDWFGSGSKIIITTRDKHLLAINGILSVYEVKELNHEKSLELFSWHAFINRKIDPSYRSISNRAVSYAHGLPIALEVIGSHLIGQSLDVWKSSLDKYEKVLHKDIHEVLKVSYDDLDEDDKGIFLDIACFYNSYEMSYAKEMLYLHGFSAENGIQVLTDKSLIKIDVNGCVRMHDLVQDMGREIVRQESSVEPGRRSRLWFDDDIIHVLEENTGTDTIEVIIINLCNDKEVHWSGKAFKKMKNLKILIIRSARFSKDPQKLPNSLRVLDWSGYPSQSLPGDFNPKKLMILSLHESSLVSFKSLKVFESLSFLDFEGCKLLTELPSLSGLVNLGALCLDDCTNLITIHRSVGFLNKLMLLSTQRCNQLKLLVPNINLPSLESLDMRGCSRLKSFPEVLGVMENIRDVYLDQTSIDKLPVSIGNLVGLERLFLRECKSLTQLPDSIRILPKLGIIMVYDCRGFQLFEDREKVGSEVFPKAMLVYNEGKETLLDVCSLNICANNDIEVCKPSFVSNDLSNDFGFVFKGIFEGKVNWYQQGIKESSLCFWFRKKFPQIALCCAGEPPMYKDNMLLDFKLSVLINGTKQFTSSCNYIFSAGSKSDQILMCDLVGLAERSFLEHEWNKVEILYELKYPIPRGLERIMANHDRTTTRNPSWSLIYVSGDIKEDVKFLSEFMECKEAERRKETLLGFHHMLKRYGFNWTGF >RHN66414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12142063:12142469:1 gene:gene14387 transcript:rna14387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MGSPTSYFAFKFERLLPISLVSLYISNLCEIKSFDGNGLRHLSSLKTLSFYNCPRLESLSKDTFPSSLKILRIRKCPLLEANYKSQRWEQLSIPVLEINGEVII >RHN75015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38772755:38773798:1 gene:gene11162 transcript:rna11162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSLEFLNFRYNYLTGIIPQRLINSPSLKVLNLQMNTFHVSLNLYGNHLEGHFPKSLSGCKKLEFLNLGSNKIDDNFPYWLHTMQDLKVLVLRDNKLHGPIVNLKNEHLFPSLIIFDISGNNFSGFISKAYLNFFEAMKNVTQVARDSSLQYLHESYKTYASGYSDSVTMGTKGSKMTLVKIPRNFVSIDLSRNRFEGEIPNAIGELHALRIRGLNLSHNRLTDHIPQSMGIIGSLVKYAFRSYSANNFRSEEKFGYGCGFVIGIGIGYFMFLIGKPRWLVMIIGGHPKRRVKMSYGKLYVLREFVRSGLRNMGCMHVYNFELVLW >RHN74177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29924231:29926607:-1 gene:gene10184 transcript:rna10184 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASKRSDNSHSEHEFEEMENSIAYASDVIQNEEKKKIKIEMPQIESCENMEYITEKELLQNLIKNYKQREISLERKLIELNRLKEEKFVISELKMQLEKKRAKLKSLEETIASFRFESKIIKEKIEEDIMCKMQLEIANKIINEMESKKDMIGSHVKDQMLMLQQQVDEFEKYNVATATVNKEHEHVEDRELEVLEMKRRNKELELEKREVGTKLATALARNKSEETKVIQIQEEINDLQHVHEQLSEQVEILQRNRFDLVQELVYQRWLFICLRYEVNNHNKKQIRKATRQDCTKILGKELLHDNKAHSFASDSEHDTVSSNATFDDFDEIETTTLESSSSSQSNSSSNSSLLNKLKRWKKSKYYNEKSSQKGGNRPSRPGLIRRFSMSMVESNLSKPKNSCAGGDSPFMNLEKPPTRLKRVSFSDSVKKSTYHVMQEEVEDVTDENETNSDLNSTITCLELKEHKEEEQYDDEMSNSYENVVCNHEKVDSSAENEGSNIKDQIGCSDDRIKSLLVQLLVYFFLLLVLLAYFRIN >RHN74442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33408610:33410801:1 gene:gene10516 transcript:rna10516 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMEKETGVFIDLKYFQEKILDGEFDESEKYLSAFTNITDSQSSMKMFFQIRKQKYLEALDRNDKAMAVEILVKDFKIFSTYNNDIYSEIINLITLDNFRENVKLSHYKDVKSIRIALMEELKNMIDNNPILKNKIMLPSLRSLRLRFMINHGLNWQYPKPNPESTTLLIDHTSPLPQQGFHMPPMLPAADASPLPPASAWVVNGNPSSSSQSPATLAASSVPGPSSRGIFLSVFCRIKFNGKLEIHGRCQIVI >RHN78043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11479506:11479829:-1 gene:gene1558 transcript:rna1558 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPHLFMIHTDITLSELKDRLDQINRQLNHRDTRRMDDVEYRRPSIDSVETVRFSRMKLKNNNDMRTIFSIFGQYNSKGSIEFDASLIRYVENITLTNLTWMFEY >RHN38569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:330914:333371:-1 gene:gene44524 transcript:rna44524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MGKIPPSFRSALSNPNLIHRSSSLIPSSPKPHHFPNKTRKPHQKQQQSQSQSQSPKPVSVFKSPNLQEAKSIFNSFVNSSNAPIDSRFHNSLLQSYASISTINDSIAFLRHMTKTHPSFSPDKSTYHILLTHCCKSTDSKYSTLSLIHQTLNLMVSDGISPDKGTVDLAVRSLCTADRVDDAVELIKELSSKHCSPDIYSYNFLVKNLCKSRTLSLVYAFIDEMRTKFDVKPNLVTYTILIDNVCNTKNLREATRLVDILEEEGFKPDCFLYNTIMKGYCMLSRGSEAIEVYNRMKEKGVEPDLITYNTLIFGLSKSGRVSEAKKLLRVMAEKGHFPDEVTYTSLMNGMCRKGETLAALALLEEMEMKGCSPNTCTYNTLLHGLCKSRMFDKAMELYGAMKSDGLKLDMASYATFVRALCSVGRVADAYEVFDYAVESKSLSDVAAYSTLESTLKWFKKAKEEGLKF >RHN81641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47758689:47765505:1 gene:gene5712 transcript:rna5712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MSSKAPQTDSIDALSFKMASHKDHDNKFYMSISQGEDNHPFDYFRALYEGCIAGGVAGVAVEAALYPIDTIKTRLQVARAGVNIAFKGLYSGLAANLAGVLPASAIFIGVYEPTKHKLLKIFPENLSALAHIAAGTIGGAASSLVRVPTEVVKQRIQIGQFKSAPDAVRLIIANEGFKGLYAGYRSFLLRDLPFDALELCIYEQLRIGYKLAAKRDLNDPENAMLGAFAGAITGAVTTPLDVVKTRLMVQGTQKHYKGIYDCVRTIVKEEGANALFKGIGPRVVWIGIGGSIFFGVLEKTKKILAQKHPPNDAQE >RHN57565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40086342:40093947:1 gene:gene33133 transcript:rna33133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative telomerase activating protein Est1 MMIVEMDKMSAPSSRERAQRLLDNVIELEKKRRKSAQTQVPSDPNIWPQLRENYEAIILEDYAFSEKHGIEFALWQLHYKRIEELRAYFSAALTSASSKSSEGGKGSARPDRITKIRLQLKTFLSEATGFYHDLIMKIKAKYGLPLGYFEDSENRIVMEKDGKKSAEMKKSLISCHRCLIYLGDLARYKGLYGEGDSKKREFTAASSYYIQAASIWPPSGNPHHQLALLASYYGDELATIYRYFRSLAVDSPFTTARDNLIVAFEKNRQSYSQLSGEVKAVAVKESSGQLAGKGRGKVEAKLVTRSNGVQACTKNEGASNVQETYKSFCTRFVRLNGILFTRTSLETFTEVLSLICTGLRELLSSGQDEKLNFGQDTLENGLAIVRIISIIVFTVHNVNKESEGQTYAEIVQRAVLLQNAFTAAFELMSIIIERCSQLQDPTCSYLLPGILVFVEWLACYPDHAAGNDVDEKQAAVRSKFWNHCISFLNKLLSVGSMSIEGDEEDTCFSNMSRYEEGETDNRLALWEDFELRGFVPLLPAQTILDFSRKHSLGSDSEKDRKARVKRILAAGKALSNIVRVDQKMIYFDSKGKKFIIGVEPRISDDFVLASAIPVEDGLLKENTADNPKLGIVQPDHHQHVEEEDDDEVIVFKPIVAEKRTDVVVLSSGESDKGLEPVPTASGGNIKYNVNSAFNPSNDVNHQTFLPASAGYMGPQYLQPVHQHSSRWVEEGMSLANCFDGLGFLENGHVVKPELSLPEALPIINHASLTVPIHQSVSTGANSFYGLSKAEDFTIPFKVDTVASSGVITDNSYVKSSSVLQAGLKKSPVSRPSRHLGPPPGFSHVSPKLDMESTVSDSISGNPVMDDYSWLDGYQLPSSTKALCPDGPMTYTQTNTQQINNNILSGPACFPFPGKLLPSAMQGGMQNGWHTSELLKAHHQQQLQPPQPLTNGNQHFTSLPEQFQGQSIWTGRYLV >RHN66854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20276709:20277302:-1 gene:gene14963 transcript:rna14963 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTPLKVKGKRTKLTEKEDAPAPKPKRTKVSKTEASKASDSASASEEVIQKKRTEKPKVRDAAREAALREEVIQKKRTKGMRDIEEAVREVAVEMVNDEEEEPKKKKAKKPLEIVSPTVVVTPAMTRMAKEYAANAIAEKKQLAEQYRKEIDERLKTTGFVETNSLSAEKATEVLGLSAEIEKWRLRKQLVCYKEL >RHN54311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8539424:8540387:1 gene:gene29322 transcript:rna29322 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGQCMPRLKSNSYKNKIPSPMTLLERFREAVFRLMMMSAFSKTATNHGGSGDGERQRRYSHYDTHNSEAVADCIEFIKKKAARDTEENRHSSASSSADDTSEMVVSMSVMSCNS >RHN62210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41734831:41736086:1 gene:gene24761 transcript:rna24761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MSRTSFLDFQYNLSKRKYLRKPSRLFSKDKQNSGVTMFSKNRQNSGLKYIFQPSLDEMKMVFDKFDSNKDGKISQQEYKATLKSLGMEKSVNEVPNIFRVVDLDGDGFINFEEFMEAQKKGGGIRSLDIQTAFRTFDKNGDGKISAEEIKEMLWKLEERCSLEDCRRMVRAVDTDGDGMVDMNEFVAMMTQSMRHV >RHN72035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4553077:4553442:1 gene:gene7703 transcript:rna7703 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSVVALVISINRDLPKIWLYQTLLLTKKKRSQRSRLCILLALFNSLFVDVQVEENGKLIINIVGNEAGFKKEIGEGEIENEGTRALISSLSPPFYGSSHSIDMNGEHYCLTLSLISPK >RHN77062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3647973:3649981:-1 gene:gene472 transcript:rna472 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVIGKIVVRWDCNVARWCAGGEYHKRILECKFVPNFKRYFYFKYRPCISVKFEIEGGLKQGFRKAATKLGFV >RHN42187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36230263:36233267:-1 gene:gene48598 transcript:rna48598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MPISTNLLTFLLFIVDIYSRIRMVKTILSSNILYYTTTTIIIMSTQNLIARKHYVLVHGACHGAWSWYKIKPRLESAGHVVTVLDLSASGTNLKKLEDVDTISEYSEPLLKLMATIPQNEKVILVGHSLGGLSIALAMEQFPEKVAVGVFLTAFLPDIEHNASYVMEKYIESTPAAEWLDTEFCQCGNKTSMFFGPKFLSHKLYQLSSTEDLELAKTLLRPGSLFMEDLTQQDNFSKQGYGSVQRAFIVCNEDLGIPLKFQHWMIQNAGINDVYEIKGADHMAMICKPQELCDSLNQIALKYA >RHN42811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41183014:41188706:1 gene:gene49303 transcript:rna49303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Znf-LSD family MQSQLVCNGCRNTLLYPRGATNVCCALCNTITAVPPPGMDMSQLYCGGCRTLLMYTRGATSVRCSCCHTVNLAPVSNQVAHVPCGNCRTTLMYPYGAPSVKCAVCHYITNINMSNGRLPIPGHRPHGTTDSGMLPSTSTSMPQSQSQTVVVENPMSVDSSGKLVSNVVVGVTTDKK >RHN82747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56092891:56094047:1 gene:gene6931 transcript:rna6931 gene_biotype:protein_coding transcript_biotype:protein_coding MANLATASVQLSNPFPLSSSSKSLLRRPFLLFTTRATEPQTPPSDSDPSEPTATGEDFDDRINQLRIRYKRGTGKKAEIRKSKKSNKAASGSGPGSGVYLPPVPLKEPVSGGLKVELGFSRYSERLNGRIALLGLTALLLVELATGKGLEITGWEQKLRLILKHIGLRIQRVGLCWHRVLSTIPNSLSKQQPLSHRLRHFWKCP >RHN38459.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000011.1:3731:4470:1 gene:gene50690 transcript:rna50690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MWAPDIYEGSPTPVTAFLSIAPKISIFANISRVSIYGSYGATLQQIFCFCSIASMILGALAAMAQTKVKRPLAHSSIGHVGYIRTGFSCGTIEGIQSLLIGIFIYALMTIDAFAIVLALRQTRVKYIADLGALAKTNPISAITFSITMFSYVGIPPLAGFCSKFYLFFAALGCGAYFLAPVGVVTSVIGCWAAGRLPRVSQFGGPKAVLRAPDT >RHN59812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13498316:13502854:-1 gene:gene21968 transcript:rna21968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein jagunal MQQRKSALGRPSGTDGSDYSYRMVVDSRYQLVAKGKKRLSVLFIIEALFLLIGVIFAFLPGIKEDTPNTVAISSVIASVVLLIIADIGRRRSRSSLLRLYAVLSSLALLLFAATLANRYSLLKVIQYFSNRGTSSFDADFPSLQTGLLVYILTFSLFKITTIKAVVFLLFNMTPPKKAS >RHN61852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38853259:38854357:1 gene:gene24356 transcript:rna24356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Agenet-like domain-containing protein MRPPMRRVDYKIGDKVEVCSKEEGFFGSYYKARVVSRLQNGQYMVQYKNLLLEDKSGPLVETIYPYELRPTPPRVRNPHEFQLNQKVDAFHNDGWWVGQITSEKIITAEEGHCYWVYFSTSSETNYYRYDQIRVHHEWFGGEWILDA >RHN68596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36901675:36904107:-1 gene:gene16967 transcript:rna16967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, CRAL/TRIO domain-containing protein MSENLKKTASDGHEKMLAVSLSQEQQAKIIEVRKLIGTLSDKESVYCSDASISRYLKSQNWNVKKASQMLKQSLKWRQEYKPEEITWDDVAKEAETGKMYRPNYCAKDGRPVLIMRTNRQKSKTLVEEIKHFVYCMENAILNLPPNQEQVIWLVDFHGFSLSSVSFKMTREVSHILQKYYPQRLGLAIMYDAPGIFQPFFSMVKVLLETESYNKIKFVYSNDQNTKKTMEGLFDMDQLEPAFGGNNATEFDMNKYAKRMREEDNKMHSLWTQANSPLSVSHNVPPSDSIRLEVDSDASNEKIIDSSVPKPDQYISVTRGDTNVMA >RHN75141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39704588:39707589:-1 gene:gene11302 transcript:rna11302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protochlorophyllide reductase MALQATSCLPASFSITKEGKIGASLKDSTFFGVSLSDSLKGDFSSSALRCKRELRQKVGAVRAETAATATPAVTKSSPDGKKTLRKGSVVITGASSGLGLATAKALAETGKWHVIMACRDFLKASRAAKSAGMAKENYTIMHLDLSSLDSVRQFVDNFRRSEMPLDVLVNNAAIYLPTAKEPTFTAEGFELSVGTNHLGHFLLSRLLLEDLGKSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSAMIDGGDFDGAKAYKDSKVCNMLTMQEFHRRYHEETGITFASLYPGCIATTGLFREHIPLFRTLFPPFQKYITKGYVSEDEAGKRLAQVVSDPSLTKSGVYWSWNKASASFENQLSQEASDVEKARKVWEVSEKLVGLA >RHN62954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47020464:47023651:-1 gene:gene25595 transcript:rna25595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanin 5-O-glucoside 6'''-O-malonyltransferase MIPPLDEGYFGNAAIFGGVTMKVGEILECGIGKVALEMNKMIMLRSDEKIRDDYECWLRMPKLFEVGNLAGSNSLATSSSQRFDVYGNDFGWGKPVGVRSGGSNKSNGKITVYAGAEEGSIDIEVCLSFEILEALGNDAEFLVPSSK >RHN67503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27758974:27759624:1 gene:gene15697 transcript:rna15697 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRRCLHVAALNSPLLPLFHPDTLKIAYHCCYYGRSYHHFHLILLHLVACWENSRFPQSLKAKVLNQMN >RHN77046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3497197:3497666:-1 gene:gene453 transcript:rna453 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIPTKLLNTHPNITPTTIPHSLWHTPMPYLFGGLAAIIGLIALALLVLACSYCRLSRDNQDEDHSALDNKESDPQTKKPVKVYEENILVIMAGNENPTFLATPVVLSIINNEIDNLVLVPATSTSQENEVGSCSSQHRQ >RHN76159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48105042:48106795:-1 gene:gene12444 transcript:rna12444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MSSVRFFQTISVAAILLALTATVHSCPPSDRAALLAFKAALHEPQLGKLGIFTSWTGADCCNKWYGVSCDKESRRVADINLRGESEDPIFQKKHHRTGYMTGYISPAICHLNRLSSFTVADWKGISGEIPRCISSLPFLRIIDLIGNRLTGTIPTDIGKLQRLTVLNIADNAISGNIPRSLTNLRSLMHLDIRNNQISGPIPNDFGRLPMLSRALLSGNKLSGPIPESISRIYRLADLDLSRNQVSGPIPESLGKMAVLSTLNLDMNKISGPIPISLFNSGISDLNLSRNGLEGTIPDVFGVRSYFTVLDLSYNHLKGPIPKSMGSASYIGHLDLSYNHLCGKIPVGDPFDHLEASSFVYNDCLCGKPLKPCGVN >RHN71528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:794321:800414:1 gene:gene7137 transcript:rna7137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MRLNKMGRRNGGGGGGGCGGGRSLQFALRRRVETCKSKYTTAEEIVEHLRSNYPDYQRTQHKQLIRFVNDALQSSSRKPNPKNAAVDDGDSDSDDEVRNSSRKRRKDAMIDESEEKLQRLEARHIEKRMNTQVSSSSEEDSSDDDEGAVSTSEDAIYSEKVEPAFDLMKDMLRSSYTGNKEGIKEKNVELDIGNSSKATITVNADGRESKSVGKGKGKQLSKGSGLGSNVGGGCVEVKGNGGPMFKDLGGMNGILEELMMDIVSLINPELPKHLGVKPVTGILLHGPPGCGKTRLAHAIANETGLPFHRISATEVVSGVSGASEEYIRELFDKAKRTAPSIVFIDEIDAIASKREDLQREMEKRIVTQLMTSMDEPETSDESRGYVLVIGATNRPDSLDPALRRPGRFDREFFVGVPDESAREEILSVLTRNIKLDGSFDLRKIARSTPGFVGADLAALANKAGNLAMKRIIDERKHELSQDLMSENTKGWWREPWLPEEITKLAIKMSDFEEAVIMVQPSARREGFSSIPNVKWEDVGGLDSLRHDFNRYIVMRVKKPQYYEGIGMNLESGFLLFGPPGCGKTLIAKAVANEAGANFIHIKGPELLNKYVGESELAVRTLFNRARTCAPCVLFFDEVDALTTKRGKEGGWVIERLLNQLLIELDGAEQRRGVFVIGATNRPDVMDPALLRPGRFGKLLYVPLPSPDDRVLILKALARNKHIDSSVDLSAIGRMDACENLSGADLAELMNEAVMAALDEKLASIETTCDTLTDTLTIRTSHFEVALTKASPSVSATQREYYERLARSLKAA >RHN48359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46940020:46945333:1 gene:gene43069 transcript:rna43069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA reductase MVGVISLITGMAGPSGFGSASTAEQVTQGIDASNLTAIITGGASGIGLETTRVLALRKVHVIIAARNIESAEEAKQQITQENKSARVDIMKLDLCSTKSVRSFVDNFIALDLPLNILINNAGIMFCPFKISEEGIEMQFATNHLGHFLLTNLLLDKMKQTAKTTGIEGRIINLSSIAHRYTYFRKGIKFEKINDKKGYSSKKAYGQSKLANILHANELSRRLQEEGVNITVNSVHPGVIMTPLMRYSSYTMHLLKIFSFYIWKNVPQGAATTCYVALHPSVKGVTGKYFVDCNEFKPSAYAKNKLLAKKLWDFSNKLINSISKA >RHN42046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35046119:35046597:1 gene:gene48435 transcript:rna48435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tyrosine N-monooxygenase MDLTLAAVDNPSSAVEWGLAEMINQPELIRKAIEELDNVVGTRRLVQESDIPKLDYVKACAREAFRRHPINDFNLPHMSMENTVVDNYYIPKGSYVILRRQGLGTNPRIWTEPLKFKPDRHLNKTNGSNLDLEYPTLNVITFST >RHN69934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47442469:47447823:1 gene:gene18467 transcript:rna18467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MPTWWGKKSSKPKEHEEPRGGSSTSNGSSGGGGGVLHFNFSNKSPIRNSNKNGYKPENPRSFDDASSNFRNTPRSSRDFSPSINSAGGGSSSCWDSDAGYKTGFPLPHPSVSSTQSLSNDQGITFGFGSASVSGSSVSSNGSYDDPSTSNSQINTARGQCETKFNVRPKSPGPGSRGPTSPTSPLHPRLNVLSLDSPTSRQEDGRSECHPLPLPPGSPTSSSSLPNNTRPNGLIESSTTNRGSWKRGKLLGRGTFGHVYLGFNSESGQLCAIKEVRAVCDDQTSKECLKQLNQEIILLSKLSHPNIVQYYGSDLGEETLSVYLEYVSGGSIHKLLQEYGAFKEPVIQNYTRQIVSGLSYLHARNTVHRDIKGANILVDPNGEIKLADFGMAKHINSSSSMLSFKGSPHWMAPEVVMNTNGYSLPVDIWSLGCTILEMATSKPPWSQYEGVAAIFKIGNSKDMPEIPDHLSNDAKNFIKLCLHRDPSTRPTAQMLLNHPFIRDQSATKAAIVRITRDAFPYMCDGSRTPPASDLHSNRTCIASQDADYATKSVLAASRAVRSPRDNTRMITSLPVSPCSSPLRQSGPAHKSCFLSPPHPTYAMMGQNTLTSYESYPMRSNTKFTLDPWHETHMYKVRTPGASPRTRLI >RHN79980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34038298:34039052:-1 gene:gene3844 transcript:rna3844 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLRRPPHRKTSPPYRNFYLLLTAAITGVTIWKSIVISWLIDFWFVVAGSFGECE >RHN50167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4639984:4640457:1 gene:gene34425 transcript:rna34425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MMVSVSKIALLILMSLTILDALQFADGKIDGYYTIYEHIINNMTNTALGVRCKDKNHDAGFRRINFQQVYTFSFKPNPIARVTLWFCRFTWNNDFQYFDIYIQKRDRSCTKDCAWFINRSGPCRLKGTSLDCFPWNPKVAIDYEHMQLGHENNTLDV >RHN59017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6685632:6685984:1 gene:gene20998 transcript:rna20998 gene_biotype:protein_coding transcript_biotype:protein_coding MFISGGNLHLTRRFCGVVLDTTTISKMVSEPLQDPLDHLLSGFRYQVTHHLCTRTKPNSAGREGVC >RHN69023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40364628:40369015:-1 gene:gene17449 transcript:rna17449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase MARCH MEKEGVELQTDAVSMMSDSSLLHHQSRRPSLSSLQIPTRTLESALSSSTKTDGPTLSSPGSTRGLPPRPHSTKVKSSMKNLLSDRSFRTKTCSEDSEKRVLIVSDTLSSDNGPLDNKPSTSRSHSLNKILFPSSSKAAHSLPVTPIANSGAENVHGRHVEGGSDSNKSKVNKHMTRSFSVPVNVKATNLRPATDTRRLVRVISARPQPATTDGISTRSDSMQEIVIEDASEDIPEEEAVCRICLVELAEGGDTLRMDCSCKGELALAHQDCAVKWFSIKGNKTCDVCKQDVRNLPVTLLKISSPPTVVRHTLNASQQRAVTNYRIWQDVPVLVLVSMLAYFCFLEELLVSDLGPRALAISLPFSCILGLLSSIIASTMVSRSYIWAYACFQFAFVILFAHIFYSILNVSAIFSVLLATFTGFGIAISMNSLLMEYIRWETNRQIRSSNQNIISSQQQQETQRQPHQLQRMQQEQHQ >RHN56343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30123320:30128285:-1 gene:gene31728 transcript:rna31728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc-ribbon domain, plant protein MSSESAPKPRFVLCPKCRLLLQEPQNFDVYKCGGCGTTLQAKKRKSRAANSESSSNETDAAAPRNASDLVSGDKQYSNGEKLVSPQNNVSIEKASDSLSVDSSSEGNEGRNQIENSECNGKQPVTTQENGSREKASFSSSGECSSEENIERGQIENGECNEEKTVNSQENILREKITSSSSGECSLDGSGERGQMEKGECNEEQPVISQENDLREKATSSSEEFSLDGNSGRIQIENGKCDEEKLVPFNLSDEEPEDEVDIYKLSDIRRHTVSNRGYSNELPQASAEVIADNSVEKENETNLKLEEQINGNMPLEQTGNRLISALDSAPNNADLKELNGENLSLQRTEEDIGGNACTDERLSTENFASEKGNISYVSRPELKEGTSDNHAYSPKHIRHSFDGLRSAGTFDSAEVNNLSLEINGGLGELSKSPTTRSSHAYDGSVSSNDGMDEQFLGQNLYSFKGGSRKGKGAVKSSMLYEDVEMRSQSNFPNRMYQNEVLETDRGDHANRMRTKTDEFPFPYKMPLHGSSPHSGYESGSPSNQIYNELYLSSSYVSPDSVEDPDQEKMKLLRMVYKLQDQLNRTNHANKETNERLSARNHISSYQSDDSHEGRFYHGLDYPRGDANASYSHGINMHQRRHNFSHVPYSTEPTSNAHHIDHPYFNCCPQEGQHVGEFPLCFPYQREDLYRPHPVHSRCLSQHSYPSSPQWLINSKHVHGRETKSCDQRYRATEMNYTRTRDKPSFTKRHYRPVAGAAPFVTCHKCLNLLQLPADFLLFRRVCHKLKCGACQKVLKFSLQNKSHIISYTPNAVGPPSSDLDMKNKPINGINTQSEPHVADRVSYSDDYGHSVSKSYSSEGDPVSVAPLHNLHEGTHDNPSVSPSTIDAITEKEKTASRGPSTSKAPSNMSSEGESPQSLPKPSALHQLMGYASPSQVLRGAPVSNEGKEVMKYIFGEAGHYY >RHN42323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37392802:37394132:-1 gene:gene48752 transcript:rna48752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MDHASLIVSSESLIVPSVQEIVKEPLTRVPERYVRPHHDRPIISTTTPLLELPVIDFSKLFSQDLTIKGLELDKLHSACKEWGFFQLINHGVSTSLVENVKMGAKEFYNLPIEEKKKFSQKEGDVEGYGQAFVMSEEQKLDWADMFFMITLPSHMRKPHLFPKLPLPFRFSFSNCYFACFHLL >RHN53103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41996273:41997910:1 gene:gene37866 transcript:rna37866 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTVTIIRISFIHFLLLINGQALFRFYVFYLSDEFVEFYCLSGVKLHLLSHGFVRVRPYLRGLVHSPPRIEWQPYFGVSTQVSVYGRQSKIHFRARGTCRSYSLIRIAINEGH >RHN58850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5423647:5444669:1 gene:gene20804 transcript:rna20804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKLGLIISSLLYFVTLMLMQNQGCKGCLEKERIGLLEIKHYIVEGYSYLSTKGYSYNIKELDSWVDDRDSNCCVWNRVKCFSGQIVELSIYSLINDFPDPIMLNVSLFRPFEELRLLNLSSNHIQGWIGNEGFPGLKKLETLDLSTNYLNSSILSSLNGLMALTTLNLGYNILDDNFFPQGFPRLKRLETLDLSGNDLNSCILPSLNGLTALTTLNLGDNDMENFSSQGFLRSKELEVLDLSYNGLNCNIITSLHGFTSLRSLILRYNNFNCSLSTLDFAKFNRLELLDLDGNQLIGSLHVEDVQHLKNLKMLTLSYNQMNGSIEGLCNLKDLVELDISQNMFSAKFPECLSNLTNLRVLELSNNLFSGKFPSFISNLTSLAYLSFYGNYMQGSFSLSTLANHSNLEVLYISSKNNIGVDIETEKTKWFPKFQLKSLILRNCNLNKDKGSVIPTFLSYQYNLMLVDLSGNKIVGSSPSWLIHNHNINYLDISNNNLSGLLTKDFDLFLPSATQLNFSWNSFEGNIPSSIGKIKKLLLLDLSHNHFSGELPKQLATDSDSLLYLSVSDNFLSGNIPKFCNLGMKNLFLNNNNFSGTLEDVLGNNTELAFLSISNNSFSGTIPSSIGTFSNMEVLIMSENLLEGEIPIEFSNMFSLEMLDLSQNKLNGSIPPLSSLTSLKFLYLQKNDLSGSIPIELSESSKLQLLDLRENKFSGKIPNWIDNLSELRVLLLGWNNLEGDIPIQLCRLKKINMMDLSRNMFNASIPSCFQNLTFGIGQYNDGPIFVISISLTQDIPNGAPPLFELSHGELHLEVEFRTKHNDYFYKGKVLEKMTGLDLSCNKLTGTIPSQIGHLQQVLALNLSHNHLSGPIPITFSNLTEIESLDLSYNNLSGKIPYELTQLTFLSTFNVSYNNLSGTPPSTGQFATFVEDSYRGNPGLCGSLLDRKCEGVKSSPSSQSNDNEEEETNVDMITFYWSFTASYITILLAFVTVLCINARWRMAWFYYISKFARIFFPTFPLY >RHN38382.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000031.1:56:2642:-1 gene:gene50799 transcript:rna50799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MESSIGKDGNGRFPLSGVVADCVKRWFKDTLREAKAGDVNMQILVGQMYCSGYGVAKDAQKGKLWLTKASRVRSSVWKVGDKRPGYNASDSDSDESNEDS >RHN52422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35176559:35177475:1 gene:gene37099 transcript:rna37099 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIRFNSLPHITSCISKSSFPVRTEFSLRNPSLHSHFNLGKVWIERMKLRRAAEGSCEMFNSHPMWQHKIPTDLQSV >RHN75129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39586994:39593669:-1 gene:gene11289 transcript:rna11289 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVKEPRSLALTPTWSVATVLTIFVAVSLLVERSINRLSNWLRKTNRKPLLEAVEKMKEELMLLGFISLLLTATSGMIANICIPSKFYNSAFAPCSRSEINKEIEENGSEGRKLLTASYPRLIRRMLNGMDRNSCKEGYEPFVSYEGLEQLHRFIFVMAITHVSYSCLTMLLAIVKIHSWRVWEDEAHMDRRNYLSEITRQMTMRRQSTFVKTHTSNSLFIWMTCFFRQFGHSVVRADYLTLRKGFITNHNLSSKYDFHSYMVKSMEEEFQRIVGVSGPLWGFVVGFMLFNIKGSNLYFWIAIIPISLVLLVGTKLQQVIATLALENAGISGFFSEAKLRPRDELFWFKKPELLLSLIHFILFQNAFELASFFWFWWQFGYNSCFIRNHLLVYLRLILGFAGQFLCSYSTLPLYALVTQMGTNYKAALIPQRIRETIHGWGKAARKKRRHGMFADDSTIHTDTSTVLSIEEDYQTIGDPHKDLVTGTEVELQPVPTVTSTPSPIANETSSRAVTPLLRPSASVSSSVPFNSRQEEPIPRSSSMPSGR >RHN69547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44313580:44314218:-1 gene:gene18029 transcript:rna18029 gene_biotype:protein_coding transcript_biotype:protein_coding MLILFILYIIFVCIVKPLLGIFFFFLWWSRFDLTYYYTLFITTELSSRDRWSRALKEMDMHRPQSFLKLYAKKVVEIKAERIELTHQRVSLKTTIVGRETKMTNEEDKEVLAEKDNAIEQMRVNENRVKIDRVMEFCVSFTKVQWGEEINPHHLFLELMEFLVNKQRRRMMYYMCHFFHSNISNVELLTLNKRLLEGNNLFIHILLTKLFMF >RHN69465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43627866:43634135:1 gene:gene17941 transcript:rna17941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MSNHNNRRSSFSSSTTSSMAKRHPPVTALTSENNAGKVLAASAKKRPPLTNLTNQNSSRNNSSTLVTKVAKTKKEPSPCNSSSSSVISVNKKPTLSNVKSATVVFPKAITTTTSTTSSFSGKNEVVPPPSSVAPTTFNVPVSSSMDLSSPGKSDGMSVSMDETMSSCDSFKSPDIEYVDNSDVPAVDSIERKTFCSLNISDSNYPSGNICSRDILVELEKGEKIVNIDNDYMDPQLCATFACDIYKHLRASETKKRPSTDFMEKIQKDINPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNPMNRQQLQLLGVASMMIASKYEEICAPQVEEFCYITDNTYFKDEVLQMESTVLNFLKFEMTAPTIKCFLRRFVRAAQGIDEVPSLQLECLTNFIAELSLLEYSMLCYAPSLIAASSIFLAKYMLFPAMKPWNPTLQHYTQYQPSDLCACVKDLHRLCCNSPNSNLPAIKEKYNQHKYKYVANKYCPPSIPQEFFQN >RHN67176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24621502:24628492:1 gene:gene15330 transcript:rna15330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MSSKISHFFLVSLVLFFFCFLEKSFAIERTLEERHHLVQISSLLPSTSCSSSTKGTKTKASLEVLHKHGPCSQVNNGKAKIIPAHSDILDHDKERVNYIHSKLLSSIKNNNLEGLDSKSKSIKSRKSANLPAKSGSLIGSGNYFVVLGLGTPKKDLSLIFDTGSDLTWTQCQPCVGSCYKQQDEIYDPTKSTSYYNITCTSSDCTQLSSATGNDPRCAKVSNACVYGIQYGDQSFSVGYFSRERLIVNPTDAIDSFLFGCGQDNEGLFGGSAGLLGLGRHPISFVQQTSQKYQKTFSYCLPSTSSGVGHLTFGASDNKYVKYTSFSTVSRSNSFYGLDIAGISVGGTKLPISSSIFSSGGAIIDSGTVITRLPPTAYASLRDSFKKGMTKYPVAPAVSILDTCYDLSGYKIVSIPKISFFLGGGVTVEIAAPGILYVASLKQACLAFAPNGDDSDITIFGNVQQRTLEVVYDVGGGKIGFGPNGCK >RHN63642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52882087:52890536:1 gene:gene26367 transcript:rna26367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MANPVPATFSTRANALLRKNLTFQKRNKKTNIRLTLFPLIICIILVLLQNLVDNLLDKPKFKCGCVCTTNQTTCSDSEKVCGVKYSDQTQVLACAIPNPPEWPPLLQLPGSEPWYPPVYTMLFTADDHYFGQIVSDNIFPSNVTMDYTDIMASLASNVLGSESTPDTNNFLEPAFTSDLPIYYLQTRCPLDNIAFPEIYQEGTPQQDMESFTYEIAGFTVDQEIRCADGINLWRDSSSVINNELYEGHEDNPIVSAFDFLNSNENGFNVTVWYKSTNKGVTNFGPTALLRIPRSINLISNAYLQFLRGLGTKMLFEFVKEMPKSETPLRIEIASLLGVLFFTWVVLQLFPVVLTSLIYEKQQKLRIMMKMHGLGDGPYWMITYGYFLALSVIYMLCFVIFGSVLGLKFFTLNDYSIQFVFYFIYINLQISVAILLASFFSNVKTATVTAYIGVFGTGLLAGFLLQFFIQDSSFPRGWIICMELYPGFALYRGLYEFGQSATSGSNMGTVGMRWQDLSDSANGMKEVLIIMFAEWIIVLFVAYYIDQVSSTGSGKSTIFFLKGFLKKPLSSCKKLSIQRQESNVLAQMEKPDIVQEKEKVEQLLLEPTIDHAIVCDGLKKFYRGRDGNPGKLAVRELFLAVPRGECFGMLGPNGAGKTSFISMMIGLTKPTSGAAYVQGLDIRTHMDGIYTSMGVCPQHNLLWESLTGREHLLFYGRLKNLKGSVLTQAVEESLKNLNLFHGGVADKQAGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRKCLWNVIRLAKQDRAIILTTHSMEEAEALCDRLGIFVNGSLQCVGNPKELKARYGGIYVFTMTTSSDHEKDVENIVQQLTPNANKIYHLSGTQKFELPKEDVKIANVFQAVEVAKRNFTVFAWGLADTTLEDVFIKVAREAHAFDTLS >RHN42890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41724819:41727927:-1 gene:gene49390 transcript:rna49390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein Rab11A MASGGGYGDANQRIDYVFKVVLIGDSAVGKSQILARFSRNEFSLDSKSTIGVEFQTRTLVIDHKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQTFDHIPRWLEELRNHADKNIVIILVGNKSDLENQRDVPTEDAKEFAEKEGLFFLETSALQATNVEASFMTVLTEIYNIVNKKNLAADESQGNGNSASLLGQKIIIPGPAQEIPAKSNMCCQS >RHN80083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34919876:34937852:-1 gene:gene3962 transcript:rna3962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myosin ATPase MGMPENIIVGSHVWVADPELVWIDGVVLNINGEDAEIQTSNEKKVVSRLSKLHPKDTDAPTDGFDDMTKLAYLHEPGVLYNLESRYKINEIYTYSGNILIAINPFQSLPHLYDANAMKRYKGERIGNLSPHVFAIAEAAYRAMITEEKSNSILVSGESGAGKTETTKMLMLYLAYLGGHTASEGRTVEQQVLESNPVLEAFGNAKTVRNDNSSRFGKFVEIQFDKYGRISGAAIRTYLLEKSRVCQISDPERNYHCFYLLCASPPEEKEKYKLGDPRSFHYLNQSSCYELAGVNAAQEYLSTKRAMDIVGISQEEQDAIFRVIAAILHLGNIKFEKSEEETDSSVLADKDSKFHLETTAELLMCDSNAVEVALCKRVMITPEEIIERSLDPVAATVSRDGLAKTLYSRLFDWLVQKINLSIGQDSNSKCLIGVLDIYGFESFQTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEAIDWSYLEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSTKLYQTFKDHKRFIKPKLARSDFTVVHYAGVVQYQSEHFIDKNKDYVVPEHQVMLSTSKCSFVSGLFPPLSEETAKSAKFSSIGSRFKLQLQHLMEALNLTEPHYIRCVKPNTLLKPGIFENMNVMHQLRSGGVLEAVRIKCAGFPTHRTFHEFLTRVGILAPEILRGNFEEKESCKMILEKIGLTGYQIGQTKLFLRAGHMAELDARRAYMLSNSATIIQKHTRTHFSQKRYTTLRKSSLFLQSICRGELARRQYYHMKRKAAAIKIQAYTRGRIARKCYSEMKTSVVVLQSGFQAMAARRARDKFRHIRQTRSSTIIQSYWRRHKALVDYQNLKKASIISQSVNHSNDEQEQKVMEISVENESTAMEESSNSLREESSSSFQDNERIEAIKDFSSPIIDAERNEAIRDCSSPHRDTESNENIRDFSSPLGDTEKIEALSAEIKTLKFMLQEEKQKTDEYERKYVEAQGSSEELRKKLTETEKRVYQLQDSLNRMISSMSSQVAELKTILSTSSRLSSTFRPIARVDVASSNSDSSSTDSDFTFPAPVANSEALSSTQLVVQDVTAAEGSGSGSEKEGSFDDFF >RHN54001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6145887:6148510:1 gene:gene28976 transcript:rna28976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAHQFRLGNWLREESSPVREARQLRYMRLINSSDNHASSSSSSPPRLFGSSLGMVPTSYNALGGSSSNNNNNPSTNSHFINGGLARASFIPARETGAGPAVGYDYQRSGPPAGNSSNANSISSFYGGINNNTTTTGGPSNDDDQRRANLLLKRSRSPNALNRGETSAQGSQGDEGSSRRRTLPSFFHTHQDNVNMSSSSSSTSWRDFDNTMNDAALARAGPSTYGTTSATWSPLYSPPRGTIGLFEDDRPIPYHNAPHSDFLTEPLDIPSMLCRQEHPRTNFNIGRHDPSPASRSAYASFLYPSTTTGGARGSSSRSTSRRQNNNNNVDIFDLIHEQRMDEAYAENMINVQQHARLLPGGRVNRFSVPTINLGMPSSFVPPRQPPPPSDPSLSNYMLNPPPPPPRSRFSTVEQTGEYMQTNSTFARSENPSRPGGNNRIFISTSNELREERNALRDEIFNTIHHLRNGGSARIEDLLLLDCSIVLNLLDSQELMDQMNDSDDWPYEIISALESDQWESVETGLTEQEIFRYIQQETYRINLEETSTQNQTCTICQEDYVKGERIGRLDCMHIFHLDCIKQWLELKNVCPICNQTALEIDEDEEET >RHN49090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52336293:52338260:-1 gene:gene43880 transcript:rna43880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative condensin subunit 1/Condensin-2 complex subunit D3 MGKLCLADGKLAKNYIPLFVQELEKADSAALRNNIVVATADFCVRYTALIDWQAL >RHN64551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59975549:59978218:1 gene:gene27376 transcript:rna27376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MAAVRFILIFFLLISLPFHSSSISEAEALLKLKQSFTNTQSLASWLPNQNPCSSRWVGVICFDNVISSLHLTDLGLSGKIDIDSLLQIPTLRTISFVNNSFSGAIPEFNKLGALKALYLSLNQFSGPIPPDFFSHLGSLKKVWLNNNKFSGNIPDSLTNLRFLGELHLDNNEFSGPIPEFKQDIKSLDMSNNKLQGAIPGPLSKYEAKSFAGNEELCGKPLDKACDPSSDLTSPPSDGSGQDSGGGGGGTGWALKFIGILLVAALFVVFVTFIKSKRRKDDDFSVMSRENNEDIIPVHVPISKHSSSKHSRASESSGKKDSRRGSSKSGGMGDLVMVNDEKGVFGLPDLMKAAAEVLGNGGLGSAYKAAMTNGLSVVVKRMREMNKVSRDIFDAEMRRFGRLRNRNILAPLAYHYRREEKLFVTEYMPKGSLLYVLHGDRGTSHAELNWPTRLKIVKGIARGLTFLYTEFESEDLPHGNLKSSNILLADNYEPLLSDFAFHPLINSSHATQTMFAYKTPDYVLYQHVSQKTDVYCLGIIILEIITGKFPSQYHSNGKGGTDVVQWVFTAISERREAELIDPELTANNQDSINHMLQLLQIGAACTESNPEQRLNMKEAIRRIEELQV >RHN81760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48711580:48714583:-1 gene:gene5846 transcript:rna5846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MILNFILSDYIMVAKNANDDEWGSEPSADVAVAEVIVTDIETEKLKKDLVGLFYGTDHGSKAASETRAEIFELISQLEAKFPTPASTDALSLLDGKWILAYTSYAGLFPLLSSGLLPFLEVEELSQTIDSVLFAGPLTTTSIDYCHYSWFSFLFICFLDTLSSYERNRYIIRIPIEVSKVRQIIPIKDRTHILPNNLSLTEHVDATAASSDEKPSEDDLKNWDAEFVKVDQATLFDLILAANYLDIKGLLDLTCQIVADMIKGKTPEEIRKTFNIKNDFTPKEEEEVRWENQWAFE >RHN54469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9845061:9845496:1 gene:gene29519 transcript:rna29519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MVLLILAIYLSRKINKKSSPESPTVDQLDMVSYQALYQATNGFSARNLIGLGGFGFCVLNFEKKGAHKSFITECNELKNIRHRNLVKILTCFSSIDYKGQEFKALVFEYMQNGKLRTMVESKDRECRAS >RHN60415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26492058:26495629:1 gene:gene22726 transcript:rna22726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MVSPNMNQEKACVLVRVWEQIRDFPKVLMKKVLCICRLSKEIAQDDPRKVIHSLKVGLAISLVSLFYYYQPLYENFGLSAMWAVMTVVVVFEYTVGATLGKGLNRTMATLAAGALGVGAHYLASLSGETGEPILIGFFVFLQAAIASFIRFFPKVKSRYDYGMLIFILTFSLISVSGFRDDEVLKMAHKRLSTIFLGGSACVMISIFVCPVWAGEELHDSIAIKLEILGDFLEAFVGEYFKTTKEEESKDKKSFLEGYKSILNSKSNDESLANFARWEPGHGKFKFRHPWNQYLKIGALSRQCAYRMEALKEQLNSDTKGSHEIRSTIQELCTEMCLESSMALKQLSSSIKTMTRNSSPETHVANAKAAVKSLNSLLQSSLWKEADLLSVIPAVTVASLLIDIVDCTEEIADSANVLASIINFVVDETNEKLPKEVSQSPTCECAEPDPKIENSHVVIIVDDSKCNKCNKI >RHN79275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26931973:26932104:1 gene:gene3043 transcript:rna3043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Dim1 family, thioredoxin-like protein MINWTLRDEQEFIDMVETVFCGARKGRGDLVISHRDYSTKYRD >RHN52209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32724624:32724932:-1 gene:gene36856 transcript:rna36856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MFDCAATACDGNRRQQFPPGNIFVAVNEGLWDNGAACGRRYRIRCISGINKPCKVGSSIDVKVVDKITCTRSSCHQTFHMSTEAFAAISRFPNANINVEYIQ >RHN73496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17087094:17089706:1 gene:gene9314 transcript:rna9314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MELETPGGAIASSFFEALIDKLSSAETIDENLHSRLITALFSINVVADDAEKKQINNFHVKEWLLGVKDGVLDAQDLVEEIHIQVSKSKQEVAESQTSSTRTNQLLGMLNVSPSSIDKNIVSRLKEIVQKLESLVSLKDVLLLNVNHGFNAGSRMLISPSFPSMNSPMYGRNDDQTTLSNWLKLQDKKLSVISMVGMGGIGKTTLAQHLYNDPMIVERFHVRAWVNVSQDFDVCRITRVILESIAGSVKETTNQSILQEKLKEQLIGKKFFIVLDSVWIQDRMKWRRFKTPFTYGAQGSKILVTTRSGEVASVTASDQIHQLHHLDEEDSWTLFAKHAFHGFDDSYAVSWTKKTTLHEKVGKKVADKCKGLPLALIAIGNLLRRNSSLRHWEKISESDAWDLAEGTRIVPALMVSYQSLPTHLKKCFEYCALFPKGYLYEKDQLCLLWMAENLIQRPRQHKKSTKEVAESYFNDLILRSFFQPSTKYRNYFVMHDLHHDLSKSIFGEFCFTWEGRKSKNMTSITRHFSFLCDEIGSPKGLETLFDAKKLRTFLPLSMTCFEYQWLLCFNSNKLLLSELFSKCKRLRVLSLCGCMDMIELPDNIGNLKHLHHLDLSRTKISKLPDTLCSLHYLQTLKVRDCQFLEELPMNLHKLVNLCYLDFSGTKVTGMPKEMGKLKNLEVLSSFYVGEGNDSSIQQLGDLNLHGNLVVADLENVMNPEDSVSANLESKINLLKLELRWNATRNSSQKEREVLQNLKPSIHLNELSIEKYCGTLFPHWFGDNSLSCLVSLKLSNCENCILLPSLGVMSSLKHLRITRLSGIVVIGMEFYRDGRSSTVSIPFPSLETLTFKDMNGWEKWEFEVVGGLFFHV >RHN46704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34199101:34201001:1 gene:gene41224 transcript:rna41224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MYSAGIVLLQIAIPTLRSQAALKNFNLEMRTYGYDLNKWRDSTRMKSNFQILDSDSGRGWDLASKLISKRSSKRRKRLSAASALRLPYFLLGGDQDMRLKVNRARFRREEKRVTMVSTGGGAGVRKEEDGKEKRLNGRRGLLIPMLFWGRGTCIFHRWRRDEVYGDPAE >RHN57835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42044356:42048281:1 gene:gene33424 transcript:rna33424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate carbamoyltransferase 1 MTATSMLFSCSMHVGVSNPMMASKSSACCLSNRPSSHSKSISSCGQFGVSGKSKLLCRASALQVESAPSFSVGQKFQFDDVIEAQQFDRETLNAIFEVARSMENIRNNSSGSQMLKGYLMATLFYEPSTRTRLSFESAMRRLGGDVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVLRHFESGAARRAATTASIPVINAGDGPGQHPTQALLDIYTIEREIGKLDGIKVGLVGDLANGRTVRSLAYLLAKYHDVKIYFVSPNVVKMKDDIKEYLTSEGVEWEESSDLMEVASKCDVVYQTRIQKERFGDKINLYEEARGKYIVNQDVLKVMQNHAVVMHPLPRLDEIEVEVDSDPRAAYFRQAKNGLYIRMALLKVLLLGW >RHN61128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33238973:33241204:-1 gene:gene23561 transcript:rna23561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEEINNPAMKVSSSISSWLSDLEMDEYNIFAEECNLNFLDADVGGFLSNDISNVFQEQNKQQCLSLGSTFHETIDNSDKNNESLSPSFQFQVPSFDNPPNSSPTNSKENIETIPLSPTDLENMNHSTETSKGSLENKKLETKTSKSKRPRAHGRDHIMAERNRREKLTQSFIALAALVPNLKKMDKLSVLIDTIKYMKELKNRLEDVEEQNKKTKKKSSTKPCLCSDEDSSSCEDNIECVVGSPFQVEARVLGKQVLIRIQCKEHKGLLVKIMVEIQKFQLFVVNNSVLPFGDSTLDITIIAQLGEGYNLSIKELVKNVRMALLKFTSS >RHN65153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64568188:64568757:1 gene:gene28059 transcript:rna28059 gene_biotype:protein_coding transcript_biotype:protein_coding MWERLRWRREVVMVVDGVAGGGKEKRGVCKSESDGYRGGKEGGDDWLWLDLTSCG >RHN64397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58755045:58755817:-1 gene:gene27213 transcript:rna27213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain, Cell wall/vacuolar inhibitor of fructosidase MKKTSTCVSFFPLHILLLSCILFQSFSLMKDDLVDQICKQTPFYDLCSSILHANPLAPKSDPKGMALIMVNDILANATDTLSYIEELIKQTTDKDLEQQLAFCAESYIPVVKYILPQAADAISQGRYGFASYSIVDAEKEIGACNKKFPGSSSLLGDRNSIMQKLVDVAAAIVKLLLNG >RHN38584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:452567:457795:1 gene:gene44539 transcript:rna44539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MTFSSAETEASSSTDTNHICSNINNLTSVESSSTSTSAALRIYDENTRISTRDPLLNSSTLVATNNTGQGGISIFRSLIDRARRTVRGSADDIGWLQHAQGMPPVEDGTERFQEILDNIKHGVHKLPNSVVYLLIPGLFSNHGPLYFVSTKVCFSKLGLACHIAKIHSEASVEKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPDLKDKVAGLVLAQSPYGGTPIASDLLREGQLGDYVNIRKLTEILICKIIKGDMRALEDLTYERRREFLQKHHLPDEVPIVSFRTEAGISPAVLATLSHVAHAELPMVASAGESTKLPVVMPLGAAMAACAQLLQVRYGEKSDGLVACRDAEVPGSVVVRPKRKLDHAWMVYSSLNDDLTEGDASQVCEALLTLLVEIGQKKRHELAMKDE >RHN79172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25092080:25092462:-1 gene:gene2902 transcript:rna2902 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTHLLFIACHVYLRTNEKMTSGPFWTYGLICKKVNMRTNLQ >RHN65548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3143013:3143502:1 gene:gene13395 transcript:rna13395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MQILDAIFSPDETNNEENVNSDLPMMPLSTILKSTHNFSDKYKLGEGGFGTVYKGVLADGREIAVKRLSKTSVQGVEEFKNEVMLIAKLQHRNLVRLLAFCIEQNEKLLIYEYMPNSSLNFQLRGMTPKY >RHN69105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41077931:41079619:1 gene:gene17534 transcript:rna17534 gene_biotype:protein_coding transcript_biotype:protein_coding MTLALSLSTGSSFSPLDDAMLLTPTVVLWSVYYVVRMIFSNKNLEVFEIKPRLQLFIQTMASVSVSSMGFTDLRAFNLSMLLK >RHN38988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3328073:3329963:-1 gene:gene44971 transcript:rna44971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MLRFLSIGSKKIHHLTFTTAVIKVFPVFTPATYSTKVPAQNEVELQNVKDEWKDATEVLSKWGCGDDDLTRIFTRCPSLRNADPSQVQSKLRLLSDLGLGSAELVKIINCRPRFFRTRLNHNFDERLDSLMSVFDSKAMLHKAIARNPSLLCENSYDIERIVKQYEELGVPKRDLVQMMILRPTVISRTSFDDEKMEYISRIGLSKDSKLYKYVVTLIGISRVETIREKVLNFTKYGFSDDEIFCLFGKSPNILTLSIDKVQRNMTFILGTMKLEANIIFTYPYLLFSNMETVLKPRVLLAMKVQNMDSNMKTPSILRALRMSEERFFNTFVRCHDKEIADELMEFYKRTKQVKRLAVSSRNWTTRGFPF >RHN63997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55792490:55798640:-1 gene:gene26769 transcript:rna26769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ANTH domain-containing protein MSGGTQNSLRKALGALKDTTTVSLAKVNSGYKELDIAIVRATNHVERPAKEKHIRAIFSAISATRPRADVAYCIHALARRLSKTHNWAVALKTLIVIHRALREVDPTFHEELINYGRSRSHMLNMAHFKDDSSPNAWDYSAWVRSYALFLEERLECFRVLKYDIEADRPRTKDLDTAELLEQLPALQQLLYRVIGCQPQGAAVNNFVIQLALQLVASESIKIYQAISDGTVNMVDKFFEMQREDALKALDIYRRVGLQAERLSEFYEICRNLDIGRGEKFIKVEQPPSSFMQAMEDYVKDAPQGAIVRKDQAVDNKIAAPKEVLAIEYNKEPEVKEERAPSPPPPSEPVKVETPPVQPPPDLLNMEDPVPAAAELEEKNALALAIVPADQQPSAVSNHANGTAGWELALVTAPSSNESAAAASKLAGGLDMLTLDSLYDDALRRNNQNASYNPWEQAPAGGMMQPTMHDPFFASNTMAAPHSVQMAAMSNQQQAFMYQQQQQQMMTMAPQQQSANPFGNPQYGATVHPYGSGMPVQSYNPYTGLI >RHN69340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42727535:42729073:-1 gene:gene17792 transcript:rna17792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ANTH domain-containing protein MKKKLKEMIGIMKDKASQSKAAILSKTKTLSLLRATTHDSYNPPKHKHLLTLLSSGDGSRATASSAVELLMDRLQTTHNSAVALKCLISVHHIVKHGTFILRDQLSVYPYTGGRNYLNLSNFRDKTSSISWELSSWVRWYAEYIENLLCTSRTLGFFLGETTPEKGVSYLTNSDLLRETDSLLALMEGIGKKPNTPMSEQNKVVVEIMDLVEDDGVMVMNEVLVRVNEFGEREKLGCLGFGEVVELVCVLKRLEMCRERIMMMEVVEEKKFWDLVRELKEKVGRMKVYREEGKLNRTVTKDRRTESDRFDDRVLRSVDSIRFPSSRFL >RHN80956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42183667:42190972:-1 gene:gene4942 transcript:rna4942 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEARKILHDEHMNDCRSMGSIHPSSQSRKISIGVMAESKASSRSGPKKGDGPVVPNTERVTSKMGNINTGESKAVGGTTVSAKEKQIVGPKAVEGSWMSKSPTTEENHQANKTASLLVSSGGKKDEPHGKGCGAGVQFFSYQTLKFPSNNYKKFDGDTSRSSRKKGKKDGSAEERVEEFTFTTTPKVFETDKTKAEDKTPRTENSTENLRMKLCQILGTTSSPKTQDSGSHNCKKDKESSPLKPRLNQKGNDFVKSKQNSDTIETDSESPDHTRKRPVTRSRTRKKVSSQKQQGKGKSTIITREAEKHQEKSILSFEEKGIGGRDALPNDGSSVSLKKKSQEKNSKIGGHKLCFTESDTTDKLHQDTSKTDPPLYAGATFSLGNKMGGFGGFLADYHTNSPKTQKKEHKKELYQPQTTNNTDQRVEHEVSENRNQQERRTISATQNDAKSQDEFQSPTFQFKTPTLSSPSPTPKTHQKANDVNSPASTERPRFSMRTMPNLSTFMASEPDFTTREQDKSHHMKEQKYSIRRKEKSLEKETEEQDGSSDSSYEERNIQGRRQGSGAKHTAERKSFTLKPVKRLCKHKGIKFNDTSPASVSSKEIEESDSMDEASEQTQDGFVRAVELFGLELAKLKNKLNLMTSQKSSEILKSVAEDIHFQLQDVHSQIETDLGKLTNLNKSKRKRIETRFEDQQKQLRLIYDRFKEEVNLHLQDCRSTVEDLEADQIEIKGALEKQRVAHKKLISQVEESVDVQLNDAQKKITSTQQMARGKLLQLKQVITMCLKEGI >RHN44648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7238846:7252907:1 gene:gene38792 transcript:rna38792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative importin-beta domain-containing protein MDLPSLAVVLQAALSPNPDERKAAEQNLNQVWFQFAPQHLVRLLQIIVDNNCDMGVRQVASIHFKNFVAKNWSPDSETQQQILQSDKDLVRDHILMFVTQVPPLLRVQLGECLKTIIHADYPEQWPRLLDWVKHNLQDQQVYGALFVLRILSRKYEFKSDEERTPVYRIVDETFPHLLNIFSRLVQIVNPSLEIADLIKLICKIFWSSIYLEIPKLLFDQNIFNAWMILFLNVLERPVPSEGEPVDPDLRKSWGWWKVKKWTVHILNRLYTRFGDLKLQNPETRAFAQMFQKHYAGKILECHLNLLNVIRVGGYLPDRVINLILQYLSNSISRTSMYALLQPRLDVLLFEIVFPLMCFSDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLHKFIQFIVEVFRRYDEASIEYKPYRQKDGALLAIGALCDKLKQTEPYKSELERMLVQHVFPEFNSPVGHLRAKAAWVAGQYAHISFSDQNNFRKALQCVVSRMQDPELPVRVDSVFALRSFIEACKDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNSAEADDEADDPGALAAVGCLRAISTILESVSRLPHLFVQVEPTLLPIMQRMLTTDGQEVFEEVLEIVSYMTFFSPSISLDMWSLWPVMMEALADWAIDFFPNILVPLDNYISRGTAHFLTCKDPDYQQSLWNMVSSIMADKNMEDNDIVPAPKLIEVVFQNCRGQVDHWVEPYLRITVERLNRTEKTYLKCLFMQLIADALYYNAALTLSILQKLGVASEIFHLWFHLLQQVKKSGLRANFKREHEKKVCCLGLISLLALPADLLPGEALGRVFRATLDLLVAYKDQVAEAAKEEEAEDDDDMDGFQTDDDDEDGSGFDKEMGVDADDGEEPDTLTLRQLAEQAKSFRPADDDDDDSDDDYSDDEELQSPIDEVDPFIFFVDTMKVLQSSDPARFESLSKTLEFNYQALANGVAQHAEQRRVEIEKERLEKATAAATAS >RHN76726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:758156:758959:-1 gene:gene96 transcript:rna96 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIPQILPNPDLGFKVIVTKEEFNLFHNIDRQLFIRLVLELGREISESINVMAFLMCIEMISKEFNLVAKILKHWSNVMLNMLADEAVFILDCIVSSPYPNDCVREKKLPLIQHILHHNATFEFFHEKRLELITDVTKYINEVCIRAFTDIIEHVIYNGVTEQQELYRANLYGTASLPTHMLPQAAYYTPNDCPIVPQEIDDRTLFITFSRGYPVSENELRYFFSRSLSYPFIISTLFHLPTFFLLKKLVFELIPKIFFYSEIYV >RHN61024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32364560:32365352:1 gene:gene23434 transcript:rna23434 gene_biotype:protein_coding transcript_biotype:protein_coding MCANCGMVCTVYLLNMFHRRLTFLNHQIKHITNVIVLPFTLYLFPFSCLTFSYFYFNNIFIPIYLFIYGKITL >RHN76143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47978822:47983988:-1 gene:gene12425 transcript:rna12425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MTKQHANWSPYDNNGGSCVAIAGSDYCVIAADTRMSTGYNILTRDYSKISHLAEKCVMASSGFQADVKALQKVLSARHLTYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYSFNVLGGLDSEGKGCVFTYDAVGSYERVGYSSQGSGSTLIMPFLDNQLKSPSPLLLPAQDAVTPLSEAEAVDLVKTVFASATERDIYTGDKVEIVILNAGGIHREFMDLRKD >RHN63745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53686294:53690499:-1 gene:gene26487 transcript:rna26487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MESWSYISEEKGSEELGFCEMFGKQYSDDFNFIGNVPSKKLDGKFDDPNEFSVKGDTNFKLSNSVVESNGWDSLIDLKLGRFDDHGNASIDVAFSKGAAPILSSCESSTPPKRVRVHSMTAYCQVYGCNKDLSSCKDYHKRHKVCEVHSKTPIVIVNGIEQRFCQQCSRFHLLSEFDDGKRSCRKRLAGHNERRRKPQGIHSANSGRLFQPFGDIGFQGTKPPAASFLCPEVFQSGFWRPMKAEHEAGFRHLSSVPVTNGHLQSRSPFPSYNEKQFPFLHENVATSTTGSKFSENNSHYAHAIGYASLGNEDFNVFHTAPSIQGLSGISDSCALSLLSSQSNNTSSQSSEIPFDNPLVIPSSHSHVYSIRSQATSSSRVSDRFLSKLNPADGSHLSPILVSDNNDIVNFEMEDGIFHDSDFVNARDCLSCEDDATIDLLQLSSQLQRVEHHRQSLQVKKEFDSSCTLRIT >RHN55727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21778283:21778510:-1 gene:gene30957 transcript:rna30957 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 4L MVRALMCLELILNAVNINLVTFSDFFDNRQLKGNIFSIFVIAIAAAEAAIGLAIVSAIARNRKSTCINQSNLLNK >RHN61895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39178297:39182443:-1 gene:gene24407 transcript:rna24407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, SWIM-type MARWDAILSLPVQNPTLEISSDNLVWSKVEGWHDKLDRVALIPFARVNDFVKGESNNKECPTRFHVEARRRRSPSTTSKKKVDGILEYILYWCSFGPDDHRKGGVVRPSRTSYAPKKKNAGRPNTKRGCTCHFIVKRLIAEPSVALIIYNDDKHVDKKGLPCHGPQDKKAAGTPAEFAPYISEDLRLRVLSLLYVGVSVETIMQRHNESVEKQGGPCNRDDLLTHRYVRRQEREIRRSTYELDADDSVSISMWVESRQSNVFFYQDFSDSDPFILGIQTEWQLQQMIKFGNRGLLASDSRFGTNTLKYPVHSLLVFNSDKKAIPVAWIITPKFSCLDAHRWMRALHNRVHNKDPTWKLAGFIVDDPQYDVPAIRDVFQCSVLISFWRVRHLWHKNIMKCLETDMQIKISQRLGWIMDSICRRQGTMSLFEDFVEDFIDEFNFMDYFKATWYPRMGAWADALKTFPLASQESWAAIELYHNQLKIRLLNEKDVDAYQRADWLVDKLGTKVHSYFWLDECSDKDGFARYWKNEWTSGLASWRKALKIPDTNVLMEDGRAKVKDEDDQDKTYIVSNPGSMLSICDCCWAKDGNLCEHILKVLSVFRSRGSVLPSISLLQYHQALKSMLHCPPFDSLIRDHAVSLAVSVQRQLNTLLDKESVNTAVEPNEKRIFIDIHQESSRVVSAAQNQALVCKMHAVNDLLSWDSGTRYGKPSEIAGENSLHADMDVDQSSIFVPSSGLYSVDETVSSNNAVLEASKVNSAS >RHN39512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7765004:7767391:1 gene:gene45539 transcript:rna45539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MDLTKIFCSIVHAVISAVNGISSKLGQDYVVSITIAILVILFCAQRFGTSKVGFSFAPILTIWFILIGATGIYNVFKYDVRVLLAINPKYIVDYFQRNGKNAWMSEYFYASQMSFSFITLPAILAAYSGQAAYLRKFPHTVSNIFYECIPGPLYWPTFVVAVVASIIASQAIVSAAFSIISQALSMGCFPRVKVVHTSTKHQGQVYIPEINYMLMVACIVVTALFRSSEKLSNAYGVAIVCDMVITTFLVSVVMLIVWKKSIWKVSLFCIPFGCIELVYLSAQMVKFKEGGFLPLVSAVIFTVVMAIWFYAQKERYMFELKNKVSSEYLLKLVNDLNTNRMPGIGVLYCELVQGIPPIFLHFIANIPTIHSVVVFVSIKAIPITSVALEEKFLFQHVEPREWKIFRCIVRHGYNDVIGDSMEFESQLVQHLKNLLHKKVSICLILKKQQNVKKMVMMKKNLYLCHVHL >RHN42397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38033254:38037122:-1 gene:gene48834 transcript:rna48834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (S)-2-hydroxy-acid oxidase MNITNVTDYEAIAKEKLSKMFYDYFASGAEDQWTLKENRNAFSRILFRPRILMDVSKINLTTTVLGFKISMPIMIAPTGVQKLAHPEGECATARAASASGTIMTLSSWATFSVEEVASTGPGIRFLQIYLFKDRNMVTQLVRRAENAGFKAIVLTADSPVLGHKEADIKNRFTLPSYVKMKNFEDMNLEKLSKSEGSSHTSAVNGLYDQSLTWKDVKWLQTITSLPIIVKGVLTAEDTRLAVQAGVAGIIVSNHGARQLDYVPATIMALEEVVQAAEGRVPVFMDGGVRRGTDVFKALALGAAGVFIGRPVVFSLAADGEAGVRKVFEMLHDELERTMALCGCRSVKDITRDHVVTEWDRPRISPRL >RHN51161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14618688:14630106:-1 gene:gene35547 transcript:rna35547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol-forming fatty acyl-CoA reductase MELGDVQEFFAGKTILVTGVTGFLGKVFVEKMLRVQPDIKKLYLLIRSPNIGLATQRLHVEVFRKELFKVQRAEKGADFDSFISEKVVAVVGDVSLEMLGVKDVKRNEEMLKEIDIIVHSAATTKLDDRFDTAMATNTMGALNVLDYAKNCRKLEILLYVSTAYVCGEPKGLVIEKPFFMGQTLKGGSLKLDIKLEKKLIEEKISELKAENANEETINSVMKNFGMIRANLHGWPNTYVFTKAMGEMLVANMKDNLPLIIIRPTIVISTHSEPFPGWIEEVRTMDYVVDKYGKGTIRSFVGVPETVVDVIPADMVVNSMIIASVARSKNLCRSLIYHIGSSSRNPFKYSDLIDDMHCYFTKNPWINKNDRPVHVEKKLTLFSTRMDEFDKNKGTKMETAIELYRPYGLFEGIFDDQNVEKLRMVAKRVVDTTFNFDPKNIVWKDYMMNVHFPGIVKHSMRSKM >RHN73770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19795204:19799971:1 gene:gene9632 transcript:rna9632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MEMEMEIEMEMEMEMRSTEDINIATDITPPLTPLSFSLHNTHLHTHCSSCFSLITPPPIPIPNPNNPPIHYCSLHCSTSHSSIPLSSAEHHLPSSSTSSLLRTALRLLLHRHSHGSTRLNHLLTNRHLLTSQNDDDVAETVRLGALTMATAIEKQNGCSKDGGTLEEATVALCAVLTNAVEVHDNEGCALGIAVFEHAFSWINHSCSPNACYRFSFSNSLLSRESKLRIAPFTQNSKQPQQIDSGVFGSSSEFAQEGREISGPKLIVRSIKRIKKGEEVTVAYTDLLQPKGTRQSELWSKYQFICCCQRCSSLLFTYVDHILQEICVVCGDLSGLRSNYKFFRDMTDRRLTDSIEDVISEYLSVGDSVSCCEKLEKILIEGVDEQLEGKAHSQLTLHPLHHLSLNCYMTLASAYKVRASDLLSGDSEIDFNQSKAFDMSRTSAAYFLLLAGAAHHLFNSESSLIASVANFWIGAGESLLTLTRSSGWSKFLNVDLVLSNLASDTKFKCCKWSLMDTFRACMLNGQINSQDFENVSNEFIHSVSDITRNVWSFLVYGCQFLKSCKDPINFGWVMSKQNSLDVRAHDIKTGMCYTHEPVNSIGFRGEQDYNDHTVTHIFQLGVHCLTYGGLLACICYGPHSHLVSQVQNILDHKNDFLFS >RHN67178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24642671:24650568:-1 gene:gene15334 transcript:rna15334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine C-palmitoyltransferase MMASAAVNFVNTTLNWVTYALDAPSARAIVFGYNFGGHLFIEVFLLVVILFLLSQKSYKPPKRPLTNKEIDELCDEWVPQPLIPSLDKDMHYEPPVLESAAGPHTIVNGKEVINFASANYLGLIGHQKLLDSCTSALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLRTPDSILYSYGLSTMFSAIPAFSKKGDIIVADEGVHWGIQNGLYLSRSTVVYFKHNDMDSLEETLEKLSSKYKHTKNLRRYIVVEALYQNSGQIAPLDDIIKLKEKYRFRILLDESNSFGVLGSSGRGLTEHYGVPVEKLDLITAAMGHALATEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDVLDENPNVLTKLKNNIAVLWKGLLEIPGFTIASHPESPIVYLRLKKSTGSLNDDLRLLENIAERVLKEDSVFVATSRRSTLDKCRLPVGIRLFVSAGHSESDVHKASESLKRVAALVL >RHN73489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16939973:16941877:1 gene:gene9307 transcript:rna9307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MDDDQDHHMASTLDLNLKLGLGSYVQNNVNKPLVDSKEELAIDLNHDRANELSLKRVHEEQANTVEEEIAIDTTNDNNGCPKKLRLTTEQSNKLENAFKRHNTINTAQKRALAEELNLKQRQVEVWFQNRRARTKLKQTEVNCIYLRKCHEKLSEENLRLKKELEELRALKVGPSNTTQSSKAANWTICSSCKKIWKPNEEDVVIKSSHSTIELD >RHN48197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45708696:45709110:-1 gene:gene42886 transcript:rna42886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MEGEGNKNAEARYRGIRRRPWGKFAAEIRDPTRKGSRIWLGTFETAEQAARAYDAAAFHFRGHKAILNFPNDFSHSSINYIPNTTSTSNSYQQQGDDTFDQLECLDNKLLEELLGQVQDDGAGGSRFA >RHN78667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17322870:17324418:-1 gene:gene2303 transcript:rna2303 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKSLDLTSTLTVNLFILGDSTIDQLIPNCFTSVQWDMRIKYSNVTSSLTVNFIHTW >RHN70767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53873349:53877869:1 gene:gene19383 transcript:rna19383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, EAG/ELK/ERG MELKNEKLVRFSIDGKHNLEKPMSVYKIQKILNPEGKISSIVDTDKNGMNIKFPTFEKFKVFQENHEPWKKRILDPGSDIFLEWKRAFLCSCILSLFVDPLFFYLPSVAISTNKNSSCMVTDLNLGIVVTCFRTFADVFYLLNMVIKFRTAFVSPSSRVFGRGELVMDPRLIARRYLRSEFFLDLFAALPLPQIVIWFIMPAIRSSHDDHTNNALVLIVLLQYVPRLYMIFPLSSQIVKATGVVTKTAWAGAAYNLLLYMLASHVLGASWYLLSIERHATCWKSECRNENLPVKCILNYLDCSTINDGDRVKWANTTSVFGNCNPESSKVFNYGIFGNAVQNNVLSSMFIEKYLYCLWWGLQNLSSYGQSLTTSTFVWETAFAILIAILGLVLFAHLIGNMQTYLESITVRLEEWRLKRRDTEEWMKHRQLPQFLRERVRRFVQYKWLATRGVDEETILRGLPTDLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTQGTYIVREGDPVTEMLFIIRGRLESSTTNGGRTGFFNSITLKPGDFCGEELLAWALLPKSTLNLPSSTRTVKALVEVEAFELRAEDLKFVANQFRRLHSKKLQHTFRFYSYHWRTWAACFIQAAWRRFKKRALASSLSLREYKSFIDEQAIYQMEEHEEEEHRSLVNSNTAQVKQNLGVTILASRFAANTRKGVQKIKDAEMPKLQKPEEPDFSVEPEDD >RHN81629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47652278:47656628:-1 gene:gene5700 transcript:rna5700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MENISVTSLFFCLLFFSITKIQVITSLSPDGQALLSLATSSPSILSSWNPSTSTPCSWKGITCSPQSRVISLSIPDTFLNLTSLPSQLSSLTMLQLLNLSSTNLSGSIPPSFGQLSHLQLLDLSSNSLTGSIPNELGSLSSLQFLFLNSNRLTGTIPKQFSNLTSLEVLCLQDNLLNGSIPSQLGSLKSLQQFRIGGNPFLTGELPSQLGLLTNLTTFGAAATSLSGSIPSSFGNLINLQTLALYDTEISGSIPPELGLCSELRNLYLHMNNLTGSIPFQLVVLEQLHLSDNSLTGQIPWQLSNCTSLATVQLDKNQLSGTIPYQLGKLKVLQSFFLWGNLVSGTIPPSFGNCSELYALDLSRNKLTGSIPDEIFSLQKLSKLLLLGNSLTGRLPASVAKCQSLVRLRVGENQLSGEIPKEIGQLQNLVFLDLYMNHFSGRLPVEIANITVLELLDAHNNYLGGEIPSLIGELENLEQLDLSRNSLTGEIPWSFGNLSYLNKLILNNNLLTGSIPKSVRNLQKLTLLDLSYNSLSGSIPPEIGHVTSLTISLDLSSNSFIGEIPDSMSALTQLQSLDLSRNMLFGGIKVLGSLTSLTSLNISYNNFSGPIPVTPFFKTLTSSSYLQNRHLCQSVDGTTCSSSLIQKNGLKSAKTIAMITIILASVTIIVIASWILVTRSNHRYNVEKALRISGSASGAEDFSYPWTFIPFQKLNFSIENILDCLKDENVIGKGCSGVVYKAEMPRGEVIAVKKLWKTSKGDEMVDSFAAEIQILGYIRHRNIVRLIGYCSNGSVKLLLYNFIQNGNLRQLLEGNRNLDWETRYKIAVGSAQGLAYLHHDCVPSILHRDVKCNNILLDSKFEAYIADFGLAKLMNSPNYHHAMSRVAGSYGYIAPEYGYTMNITEKSDVYSYGVVLLEILSGRSAVEDGQHVGDGQHIVEWVKKKMASFEPAVSILDTKLQSLPDQVVQEMLQTLGIAMFCVNSSPVERPTMKEVVALLMEVKSQPHEEMGKTSQPLIKQSSTQC >RHN58959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6235877:6236624:-1 gene:gene20928 transcript:rna20928 gene_biotype:protein_coding transcript_biotype:protein_coding MSTITSTKITKHNQQFNLTNKHITDYFTQSIKIVPILYTTNLSEIQDTKHPTESHISHKISIH >RHN40196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14104794:14105189:1 gene:gene46317 transcript:rna46317 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGPVELAAAGVSIALFNQASRITIFPLVSITTSFVAEEDTIERMNIKASKNIDDAKLSGIETPKNQLLQDIENGKIHKENIDVEKYAANNDTNVEDGTCFLFIISSHPNFLSKEKYTQTFLIVGSRLKE >RHN53618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2888992:2890255:1 gene:gene28545 transcript:rna28545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKRKKGSITPPRATIQRCSNKSKCQQLCPYFDNLPSHLTTHILLQLPIKSLLMCRCVCKTWNTLISEPHFAKLQFERAPVSFVIRNLDNIGVSRNLYLLECEAEKFEIGSNNHVKLDPIFELPLCNDISSRDKNDAKLYKVIKNKSKERYITCTSSRDKFGIVNSCNGLLCLSETSIGSPLVICNPVTREFTILPELTTTSDWFNSARVQAGFSFQPKTNEYKVIIIWNKYVRRDNRLVFERVVLEIHTLGTPSWRNVEVDPQISFLKLLNPTCVNGALHWIIFEAWQQKSILCFNFESERLQSFPSPPHVFGNHDNGFPHSMPIRLGELKGFLYICHRSSLENVTMWVMNEYGIGESWTIVYNIDTSLLYIPGTCVGYPYPWRF >RHN51498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18953990:18954416:1 gene:gene35943 transcript:rna35943 gene_biotype:protein_coding transcript_biotype:protein_coding MMMICVVVWVDLRFYLSFVLLDFDESMNVLHEFMLFLCNFSV >RHN42772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40862563:40864574:1 gene:gene49259 transcript:rna49259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MNRNLVFVSTIFVCLVVVALSNHQNEKITTEEASPHLLEVDYGRYPTWFSLSNRKLLKTEMKPNSIVAKDGSGEFTTVTDAINSYSSKKNRHRFIIYVKAGIYNEYITIDKDKTNILLYGDGPTKTIITGSKSLNGGVNKTMNTATFTNLAKDFIAKSITFENTAGHEAGPSVALQVEGDRSAFFDCGIYGYQDTLYAHKQRQFYRNCEISGTIDFIFGHSTTLIQNSKIIVRKPSPGHSNVVVADGTKQKNALTGIVLQNCSIMPDVELLPDRLTVKTYLARPWRSFSRAIFINNVIGDFIQPDGYSVWAPNETNTAHCYFAEFGNTGPGANTQARAKWSKGVISKDEAAKFTAENWLQASTWLPATGIPFSPEFES >RHN63865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54613604:54616444:1 gene:gene26620 transcript:rna26620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MASSSELGLDCKPHSYSMLLKSFGEQSDQSYKLEEFVSRLEEERLKIDAFKRELPLCMQLLTNAMEASKQQLQAFRSNQGAKPILEEFIPVKQLTSSETLEKTTNNNVCDMANWMTSAQLWSQTSELGTKQQQNSTKENNDNNNNIGFNISPKHRNGGAFLPFSKERNNSSCQGQGLPELALASTQKEEDKKHVGEAEKGKTNSGNEVDNQGKGSPVASSQTQTTSNNSNQTHRKARRCWSPDLHRRFVNALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPSAQNGAPAAQLVVLGGIWVPPEYATAAHAGGTPTLYGGHPTSHHLTLPHYCTAPGQDQYYTTAPPPQQLLPPPHHMHHVYKTTPHGQGSPETCGDGLDSIENGKTSESSSWKEGSSEDEGERKGFVEESNGSEITLKF >RHN55015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14427832:14431078:-1 gene:gene30132 transcript:rna30132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MKRNNSFSQLFFMSLLVLIFVTFSVDARNTNIKKKLHKHHKKSHPLPHYDPHPIQPNTFDIMSFGAKGNGVSDDSEAFLAAWKCACKVSGATIKVPEKLKFLIKPITLQGPCMPDLTLQIDGTILAPPEVSSWPKSNLFQWINFKWVQNFTIKGYGTIDGQGSNWWSSSKLYDIQKTHSKQIPSMKPTAIRFYSSNFVKIRDIKIKNSPLCHLKFDNSKGIKVDNITISSPENSPNTDGIHLQNTQDVEIQHSNIGTGDDCVSIQTGCSNIHVHHIKCGPGHGISLGGLGKDKSVACVSDIIVEDISMKNTLYGARIKTWQGGNGMVKNVSFSRIKVYDVMYPVMIDQYYCDKQICKNQTGTVIISGVKFDQISGSYTLQPVHLACSNSIPCTDVDLTDIQLRPSLSYRGMQQAMCWNSYGKSQGQLVPSSIDYCLRSGGGLIKRIAKSHDSVCYKML >RHN68332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34674625:34677704:1 gene:gene16669 transcript:rna16669 gene_biotype:protein_coding transcript_biotype:protein_coding MSMATKQPLWSPPEFEASAFGFPTEFPYEFESLTDSTENSSDEEESFFAGLTQRLSQTTLRETQKHEFITAPTMKARDVAGSPQSTLSGIGSWSGRSNGSGDGSPNGNSRVPSPVTAPFVDPWEAIYAAAGQVARFKMNNSVGPTHVNMVNGEMKQKQKQKQELLDCCSVWERETNPNWLVQQQLLLIQNRRRELGYKSSSNGRCNVPLSFQQNQRVPQYGLGGSGFRVPVQGGSSLKKVSGGTGVFLPRHHYDTTPTPTPSDSRKRTGCVPVMLPAKSVNSFNMNIDDPNVTNQPRFTNNAFANDYDLLLARRNALLKQQLLMARREEAASCEIRLPQEWTY >RHN80664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39881727:39889194:-1 gene:gene4616 transcript:rna4616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MAGNCSEGLGDDFFEQILAVPENGVGYGGVLQLGSTPHGGSLRGGGGGIMPLGLNLEQNHHGFLRQHQDGTRFVDNVVDVEGNITNNNNNNHHHLRLHDINNHNNNNNITSSSPSSTPGITDRDSMQMRGLFSTFGQLHTPTHAQPVRPMLQSPPPQPQLHLHHQHQQHFQSQQPNPASVTAMPQPPGIRPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPSINKTDKAAMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAQLVADVPVSAVEGEDIEGGTNQQAWAKWSNDGTEQQVAKLMEEDVGAAMQLLQSKALCIMPISLASAIFRMPQSDSSTIIKPESNNHT >RHN66296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10470305:10471137:-1 gene:gene14249 transcript:rna14249 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKCLKLTYLCLLALMKSSGRLTILKYQRKLIISPIKGRPI >RHN57407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38810394:38811322:1 gene:gene32947 transcript:rna32947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TLK family MLDFLFGQPILPLILLCSLPGKDLDAVLKATPILLEREARVILVQIFQGLIYMNKGALKIIHYDLKPGNVLFDELGIAKVTDFGLSKIVENDVGSQGMELTSQGAGTYWYLPPECFDLSKTPLISSKVDVWSAGILFYQMLFGRRPFGHDQSQERILGEKTIIKAHKVEFPSRPTVSNEAKVS >RHN59992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16205364:16207223:-1 gene:gene22170 transcript:rna22170 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVLGHKFAKLYNKLENHNHNHHHHHHDSQELSASLKAFRAEVSNLVNELASDSKPGSEILSLFWIKKCLGILPLINKAFTKFTLEIDYPMSKWEVDSIEEYLNYSLCLLELFNSISSSLSHLEKAKLSLIHNLKLLFEHSQSLPTSHHLKAIQPSCLKTKFEYEFCEKSHKVRFLKGKELIVNEAVNEMKIIGFWVCGILLSGLCNDVKPYIEVKKMVDGFDGSSIFTLDSKISEGLDEKKLILKEIKDVNDAVDYLLVASDEVKNDAAKELEIKVNKFVKVFDAVKSEVDDLFSKVMVLRTELIDQLRKQC >RHN72075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4790391:4791152:-1 gene:gene7747 transcript:rna7747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MDSSSDISRILFNATSYRFGASNETSRGGFVSTNSLVLDSEKGELVKSPPIINGEKKICDANKTLVALKNHREAERKRRNRINGHLAKLRALVPSSPKV >RHN62194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41586316:41591192:1 gene:gene24744 transcript:rna24744 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDLPLRHNLHSPTFPSDLELTRSASFSDSSATTTDNTSGDAAPFQDQSRRWTDQLHKSYLSSLEASFVNELHRSIHLRGWSFHNRADEAYKCKTLQNTPSMPRQSLALQDGCQKKIKLEKIATMLESAADSHVVAGSELGVATVDKACSLREPNTYDHGLLCKEEIHASGSSAFANRSARSCVEKQCTFHAESDCSTTEVSDQNFKDEVASSSSMPMAKRLKTDAADGSSTDQVVPFGKFQTTDVSTVSNSTPENEGHELLSQLPESFRVPKSVRPCVLMDR >RHN61484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35816146:35816605:1 gene:gene23955 transcript:rna23955 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTVVFLLELLVIMLLLLVIVMIMILLGLLPILTAHEIDVGSGFFVKA >RHN39183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4814555:4815574:-1 gene:gene45186 transcript:rna45186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MWLFYINHVLLLLSFISLCHMFLLKLPIKYQRTRKTNKKNDIYSFGIILFELITGKKAIARVSDEYLHILQWVIPIVEGGNIQNVVDSRLQGEFSINSAWKTVEIAKSCTSPNSVERPDMSQILVELKECLSLEMVQTNNASPRARDELVSVATVSETAILAR >RHN65652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4111727:4114931:1 gene:gene13520 transcript:rna13520 gene_biotype:protein_coding transcript_biotype:protein_coding MWTDRGFGFETKVTTGLGPSPIDLVVGQRCLWFLKHNRMCS >RHN40389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15899661:15903827:-1 gene:gene46537 transcript:rna46537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb/SANT-like domain-containing protein MEHCDLQGQRRDIKNKGRNVVWSVPMDKCLIEALVVQAKNGNRVDKCFNENAYTAACVAVNTYFNLNLNNQKVINRLKTLKKRYKVIKDILSQDGFKWNPDTKMIECDHNELWKRYIAAHPDAKGFRGKQIEIYEQLKIVCGNYQAPRRWAKMKDGNGLVVDMKNCEEESASFASPSSENMSDTDGTESYNGPPEYGQIPDGYDEPPVVPIRQLPKRSRSSDDLHNALMTVASSIRRLADSVERSKCSIDTSELLQAVMEIDGLEESRQMYAFEYLNADPIKARAFLTYNTRMRKIYLFRQFCWWR >RHN68712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37966716:37967364:-1 gene:gene17098 transcript:rna17098 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLGPHGGDCSLPLVLRVSAQLAVVRRDPPRHHLQTSLGCVDLLVSLPFCSPACRIELIVHDSCGYESLWVVDVGGAIVFSGPFGGCGGAMGGFDVWFGGDGVGGFLAWLFVYTGNGRLLLVIGGRLYTIWGLCFLL >RHN72996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12435890:12439228:-1 gene:gene8765 transcript:rna8765 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIMKRKGFAMILMIMLVVTQVECITPSQEFVSIKQKGFCERIYEIV >RHN82442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53846150:53847867:1 gene:gene6609 transcript:rna6609 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGDGLRRIRSHTPAWSASLHSIPSFSLHCPPLYINHHEFLFLTTVSTLIQTHTILTTKPHSFIILFQIKMGDDNITITSVNNKKRLRDESSESEVESSEAKRIRDDLLDFLNDSDPTPSTQDLDSVMKSLQDEISASSSLRVTSDSGESQIGYLLEASDDELGLPPPGYEYLTVKEDKKDETELSRVLSDSSGIGELWEFENQIPSYDESFDLGTGFGSYGYENNNNSDSDYVAFDGIFNHSDLYYDSNEFSDPWRHGTLPAQ >RHN39540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8024024:8036719:-1 gene:gene45571 transcript:rna45571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SAC domain-containing protein MMEIEEEKVGTSAGVEVGAGFEDGVDSKFCYMQKFRLYETSQRFYMIGRDKNRTFWKVLKIDRLDKSELNISEDQTKYSEIECCEMLKRLQDGNLATGGLKFVTTCYGIIGFIKFLEPYYMLLITKRRKIGTICGHTIYAITKSEMIPIPHPSVRSNLAYSKDENRYKKFLCSMDLTKDFFFSYSYNIMLSLQKNLSSQNVRGQSLYETLFVWNEFLTRGIRNNLQNTSWTVALVYGFFKQVNLSISGRKFDLITIARRSRHYAGTRYLKRGVNERGRVANDVETEQIVFADASDGSPMQISSVVQIRGSIPLFWSQEASPLNMKPDIILFKKDAMFEATRLHFENLVMRYGNPIIILNLIKTHEKKPRETILRTEFANAVKSINKTLSKEDCLRYLHWDLTWHSRRKATNVLSQLGKVAMFALNLTGIFYCPVTPNMSPEGLFPYSYSDNNNVIDEFLVEQDTVKKYNADKETEVGNGYCNGDGENKDYNVKAKTVQSGVLRTNCIDCLDRTNVAQYAYGLVALGRQLHALGFIESPHIKLGNPLAKDLMKVYESMGDTLAFQYGGSAAHNKIFSRSRGQWKAAAQSQELIRTLQRYYNNTYTDGFKQKAINIFLGHFQPQQGKPVLWKLDSDQHYLLGSHGPNLADGIDGSFIRRSQSDGNILGESDSTTRNLHAPDCPYSSENPDKQSLLEPLLKPTSGTSICQCRQVYGGTGKDQYCENDHICYDEHEDANDCSNFLDVDWLSSSENSCEEELLDRSISISSENIVNELITEVPASESGPIFKERQSEEELNKNGKYTESFENWVTHGQLLYV >RHN50139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4434161:4435377:-1 gene:gene34394 transcript:rna34394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-1-phosphate adenylyltransferase MSAKEYCIFCQYYRYSLNAKLNRSGVENPWFFFSGSYSGALSAWFRLKFPHLTCECLASSAVVLAVQDFGEFDQQIDKLCKPLVDAKNAGEDLMDAYARYVKEYYIGTFGIAAKIYDQEYLKKTAIIEDTLLMGADYYETDADRGFLAAKGSVPIGIGKNSHIKRAIIDTNARINFLLIQSPPPLPKKPPDRHVSTVINSSSSMMQTGYEQKLKDLTTELADYKFKMEMLMDEHEKLSELVEDYKSRELKFKSTINSLESKLTDNEYERQQYMDESRNLNVQLQHACEFENEIMALKSELNTANTKKERLKASLCLKSDLNYVMIRRPKKQFILLKSLFVSKW >RHN62161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41315268:41316831:1 gene:gene24706 transcript:rna24706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSTIPFETMVLSKEHLVSFSITFLLCFNLVNSQILGPILSPILPPILSPLVPDLLNFLDQRLAIVFPIIQAFKNTITSDPLGITLTWVGPNICNYKGFYCDNPPDNLSATTVASIDFNGFQLSAPSLDDFIDQLPDLALFHANSNNFSGTFPSSILNMPTLSFLDIRYNLFTGTVPQQIFIKELSAIFLNNNNFLLTLPNNIGETTASYLTFANNKFTGSIPSTIGKASSTLLEVLLLNNQLTGCLPYEIGFLKNLQLFDAGNNLLTGPLPFSLGCLKKVEQLNFARNMLYGQVPEVVCSLGNLENLTLSYNYFNRVGPLCRKLIKSGVLDVKKNCIFDLPDQRPMEDCVKFYAVPRTCLGSGSFTVIPCQLPMKPRGGNKRRLLSYSALERKRVDL >RHN76629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51822254:51825888:1 gene:gene12983 transcript:rna12983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MRLVVVLFFFLHLYSVSVCALNSDGVALLSFMSHWTSVPPSINSTWIPSHSTPCSWKGVKCNPSTHRVVSLNLSSCNIHAPLRPEISNCTHLNYLDLSSNYFTGQIPHSFSNLHKLTYLSLSTNLLTGPFPYFLTQIPHLHFLDLYFNQLTGSIPTTIANITQLRYLYLDTNQFSGIIPSSIGNCTQLQDLYFNENQFQGVIPHTLNHLNHLLRLNVASNKLTGIIPFGSSACQNLLFLDISFNAFSGGIPSAIGNCTALSQFAAVESNLVGTIPSSIGLLTNLKHLRLSDNHLSGKIPPEIGNCKSLNGLQLYSNRLEGNIPSELGKLSKLQDLELFSNQLSGQIPLAIWKIQSLEYLLVYNNTLSGELPVEMTELKNLKNISLFDNLFSGVIPQSLGINSSLLQLDFINNRFTGNLPPNLCFRRKLSVLNMGINQLQGSIPLDVGRCTTLRRVILKQNNFTGPLPDFKTNPNLLFMEISNNKINGTIPSSLGNCTNLTDLILSTNKFSGLIPQELGNLVNLRTLILDHNNLEGPLPFQLSNCTKMDKFDVGFNFLNGSLPSSLQRWTRLNTLILTENHFSGGIPDFLSAFKDLSELRLGGNMFGGRIPRSVGALQNLIYGLNLSSNGLIGDIPVEIGKLKTLQLLDLSQNNLTGSIQVLDDFPSLVEINMSYNSFQGPVPKILMKLLNSSLSSFLGNPGLCISCSPSNGLVCSKIGYLKPCDNKTVNHKGLSKISIVMIALGSSISVVLLLLGLVYFFSYGRKSKKQVHFTDNGGTSHLLNKVMEATSNLSDRYIIGRGAHGVVYKALVSQDKAFAVKKLAFAASKGKNMSMVREIQTLGQIRHRNLVKLENFWLRQDYGLILYSYMPNGSLYDVLHENKPAPSLEWNVRYKIAVGIAHGLAYLHYDCDPPIVHRDIKPNNILLDSDMEPHIADFGIAKLLDQSSTSNPSLSVPGTIGYIAPENAYTTVSSRECDVYSYGVVLLELITRKKVADPSFMEGTDLVGWVRLMWSETGEINQIVDSSLVNEFLDTNIMENVTKVLMLALRCTEKDPRKRPTMTDVTKQLSDSNPQKISKKG >RHN42047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35050253:35052398:-1 gene:gene48436 transcript:rna48436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MANSLSFLMLLSLLAFAPFCLCHKKMGSYLYPQFYDYSCPQAQNIVKSILANAVAKEPRIAASLLRLHFHDCFVKGCDASILLDNSGSIISEKGSNPNRNSARGFEVIDEIKYALEKECPHTVSCADILAIAARDSTVLAGGPNWEVPLGRRDSLGASLSGSNNNIPAPNNTFQTILTKFKLQGLDIVDLVALSGSHTIGKSRCTSFRQRLYNQTGNGKQDFTLDQYYAAELRTQCPRSGGDQNLFFLDYVTPTKFDNNYFKNLLAYKGLLSSDEILLTKNQESAELVKLYAERNDLFFEQFAKSMIKMGNISPLTGSRGNIRTNCRVINTW >RHN64158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57007877:57009331:1 gene:gene26944 transcript:rna26944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MQVIMLHPSHKGNYVKLQHVNLVRLLGYCTKRNEKLLIYEYLPNKSLDHYLIDPRKSNLLDWTKRVNIIEGITQGLLYLQEYSNFTIIHRDIKASNVLLDHEMNPKISDFSMAKLFEKYELEANTSRIVGA >RHN67653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28971199:28971748:1 gene:gene15861 transcript:rna15861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MLRKKIISPSMVVMVLGMLVATLDARQIDDVSCPSALFSLLPCLPFLQGVGPATPTSYCCAGITDLNQKANTTQIRRDVCNCLKPAASRFGVNPDRLKQLPTLCNITLNVPFDPSVDCNTVQ >RHN58607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3184776:3200649:-1 gene:gene20531 transcript:rna20531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine N(alpha)-acetyltransferase NatB MGASLPPKEANLFKLIVKSYETKQYKKGLKAADAILKKFPDHGETLSMKGLTLNCMDRKSEAYELVRQGLKNDLKSHVCWHVFGLLYRSDREYREAIKCYRNALRIDPENIEILRDLSLLQAQMRDLSGFVETRQQLLTLKPNHRMNWIGFSVAHHLNSNASKAVEILEAYEGTLENDHPPDNERLEHGEMILYKISLLEQCGFLERALGELRQKETKIVDKLAFKEQEVSLLVKLGHLEEAETLYRTLLSMNPDNYSYYEGLQKCVGLYLEDGKYSADQIDQLVLLYETLGQQYKWSSAVKRIPLDFLQGDKFREAAENYIRPLLTKGVPSLFSDLSSLYTHSGKADILEQLILELEQSIKTSSQYPGSTEKESSSTLLWTLFFLAQHYDRRGQYETSLSKIEEAIEHTPTVIDLYSFKSRLLKHAGDLNAAAAFADEARLMDLADRYVNSECVKRMLQADQVDLAEKIAVLFTKEGDQHNNLHDMQCMWYELASGESFFRQGNLGRALKKFLGVEKHYADITEDQFDFHSYCLRKMTLRSYVDMLKFQDQLHSHPYFHKAAAGAIRCYIKLHDFPPKSTTEEDEHMSNLLPSQKKKLRQKQRKAEARAKKEAEEKNEELNSSVVSKSGKRPVKPVDPDPHGEKLLQVEDPLSEAVKYLKLLQKNSPDSLETHLLSFELYTRKRKILLAFQAVKQLLRLDADHPDSHRCLIKFFHQLGSMSTPVTESEKLIWSVLEAERSTISQLHEKSLFEANNAFHDNHKDSLMHRAAFAEILYILDSNRKSEAVKLIEDSVNNTVPRNGAIGPIGEWKLEDCIAVHKLLGTVLVDQDAALRWKVRCAEYFPYSTYFEGRHSSASPNSAFSQLRKNSENDGPNHSVDNQNVGSTTSNGRAFENLTIS >RHN59762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12863760:12864418:1 gene:gene21877 transcript:rna21877 gene_biotype:protein_coding transcript_biotype:protein_coding MISGLVGQDLLVRTIIQWDLGFIHHMVSLAFAVRVGPEPKL >RHN69311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42504538:42509525:1 gene:gene17760 transcript:rna17760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MDATTSGTPTIQYHNITDQTITAIVVATPLPTFQRQQRQCLGNSTPGEFPLSASPSIVLHVLTSCNLDPQDLAKLEATCSFFRQPANFAPDFALSLAELAAFDMCMKRAIFKPMTTEERQDLKQRCGGSWKLVLRYLLAGEACCRREKSQAIAGPGHSIAVTSKGDVYSFGSNSSGQLGHGTTEEEWRPKPIRTLQGIRIIQAAAGAGRTMLITDSGQVYAFGKDSFGEAEYGGQGSKTVIAPRLVESLKNIFVVQAAIGNFFTAVLSREGRVYTFSWGSDGKLGHHTDSNDMEPHPLLGALEDIPVVQIAAGYCYLLCLACQPSGMSVYSVGCGLGGKLGHGTRTDEKFPRLIEQFQTLNLQPMVISAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECEAAPKVVDALTNVKAVHVATGDYTTFVVAEDGDVYSFGCGESASLGHNAVIDAEGNRHANVLNPELVTSLKQINERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELVANQTERVNPEQVDIDLG >RHN52397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34792424:34793058:-1 gene:gene37068 transcript:rna37068 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MAMQPPSSTSSSGLSNNFSYDVFISFRGTDTRHGFTGNLYKALCDKGIRTFIDDKELQRGDEITSSLHKKIEDSRISVIVFSENYATSSFCLDELVHITHCSKEKGRLVLPVFYGVEPSHLRHLNNNSYGEALAKHEERFQNNKENMERLQKWKIALNQAANLSGYHYSPSEYPQLTSIFFPLYISFL >RHN79875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33082446:33084228:1 gene:gene3725 transcript:rna3725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MKRMDIFCASQASTAICLSMEQASCSSSNTIQLGGKVIDRHNPIINDSRRSTSKTITAPCSSSQLPIDPKKKTTSSSSSSKPIGQNMKNGAKVYEQKKKSTEENVTNSYSSKTIDSILKRRLVKPNSDSITPHGSTRSLLSDTTFLDGSSDYDSSLVLTKVDDKKDQVVGQNEANPASKSGSSSQVVVLRVSLHCKGCEGKLRKHISKMQGVTSFNIDFAAKKVTIVGDVTPFSVLASISKVKNAQFWPSLGSDTKETKFI >RHN42405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38075452:38076520:1 gene:gene48842 transcript:rna48842 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKWSSSSGFSFSENWLVMVAITLICGIFCYIIYDAIMATASELLQRLLVISPLLLIIIVHWLSTGSQLNFPMPGSEPGAIHRAGGSPWGVAFVLLLLFFLISYQPSLHDLIS >RHN65418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2068201:2072988:1 gene:gene13255 transcript:rna13255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MAGIDENLALNGDWGLPSPSPRSLFSRLLEEDNNNVIRPNSEHSGSGRTEGQDGDSGTQLSDTSYPTENKWSSRGGLVDRIAARTGFNAPRLNTESIRSTELSLNSEIQSPYLTIPPGLSPTTLLDSPVFLANSLAQPSPTTGKFPFVSNGNIRSTELSSDVQEKSKHNGFNDIYASSFAFKPTADSGPSFYQGAGRNMNTTTLSQHSLHGFEASVQSQRVDAVNVQTENKSSLHLKAEFSDSPPQKDNSTQLEEQADEEGEQRVNGDSLVAGVGGTPSEDGYNWRKYGQKQVKGSEYPRSYYKCTHSNCPVKKKVERSHEGHITEIIYKGAHNHPKPPPSRRSGIGSTNPHADMQVDNPEHGEPQGGGGGDLGWANVQKGNIAGAGNWKHDNLEATSAVNPGYGNQSTNLQTQNGTTHIDSGDAVDRSSTFSNEEEEDDQGTHGSVSLGYDAEGDESESKRRKLESYAEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARSSSHVNANASNAAQGQASGLLQNHMHRPEPSQVHNGMRPSLGSFNLPGRQQLGHPHGFFGMNQHLSNLAMSGLGPSLQAKLPGMPMNPFMMQQQQQQQRAANEMGFMLPKGEPNVDANPERGGLNMQNGSSSMYQDIMNHMPLGPHM >RHN54492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10014573:10015212:-1 gene:gene29545 transcript:rna29545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloroplast protein import component Tic20 MSAAGYYLEPLLDKFKLFENVIFYIPGACNRLPTWFPILYCYLAIMVVVKNKDFPVIFRFHVMMGMLLEIALQIVWVVSNFMLLLHFKGTLGMYYWAGVALAYIVMIMHSIRCALLGTFSNIPVISESAFLHSLLNIGGIQWPF >RHN65623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3887027:3887470:-1 gene:gene13486 transcript:rna13486 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRVPNDLISQNLFDLNELPESFDSYEIDSESFEKSIDVAETGKNSLDVIEIVEESDIESEEEGRKPVIRRMKQTWHEKDAEENTKVVDSRECVSSTFGVFDNDNLHDLNETIEEEVRRSLSREREREAVKREVMHVLKMCFNGI >RHN73511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17223096:17230534:-1 gene:gene9331 transcript:rna9331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family METPPSTGAVKKKETRGRKPKPKEDKREEPSQVKSPRESKKEKQQQQLHQQQQQQASVDEKYSQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKTRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVESQPNRHAVLGATNSRPDLILTGHQDNAEFALAMCPTQPYVLSGGKDKTVVLWSIEDHITSAATDSNKSGGSIAKTADSPTVGPRGIYSGHEDTVEDVAFCPSSAQEFCSVGDDSCLILWDARVGSSPVVKVEKAHDADLHCVDWNPHDDNLILTGSADNSIRMFDRRNLTSNGVGSPIHKFEAHKAAVLCVQWSPDKSSVFGSSAEDGLLNIWDYEKVGKKIERAGKTINSPPGLFFQHAGHRDKVVDFHWNAHDPWTLVSVSDDCESTGGGGTLQIWRMSDLLYRPEDEVLAELEKFKSHVVACAAKTDK >RHN69148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41370308:41376609:1 gene:gene17580 transcript:rna17580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine desulfurase, Selenocysteine lyase MEVLLPKLPSPSYCRSITTRTTSRVRAGFRRLSYLHVRASTVNQEVAEPTLSSPSLGHTTRPHFPILHQEVNGSKLVYIDNAATSQKPAAVVKALQDYYEGYNSNVHRGIHYLSAKATDEYESARRKVAAFINASDSREIVFTRNATEAINLVAYSWGLSNLKQGDEIVLTVAEHHSAIVPWQLVAQKVGAVLKFVNLNQDEIPDIDKLKEVLSKKTKIVAVHHVSNVLASVLPIRDIAHWAHGVGAKVLVDACQSVPHMVVDVQNLDADFLVASSHKMCGPTGIGFLYGKMDLLSSMPPFLGGGEMISDVYLDHSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSGIGMQAIHEYEVELGTYLYERLLSVPNIRIYGPAPSKEVKRASLCSFNVDNLHPTDLATFLDQQHGVAIRSGHHCAQPLHRYLGVNASARASLYFYNTKEDVDNFIHALHDTVSFFNSFK >RHN49172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53027159:53031097:-1 gene:gene43975 transcript:rna43975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eukaryotic translation initiation factor 3 subunit D MPSAATFDLGAVPFNPDGWGPLESTADNTNVPFAPFSRSDKLGRIADWTRNFNNNNNPNRSKNPADTAFDFTSDPSFPNFAEDDSSFRLVDGKPPPRPKFGPKWRFQRQLQLPQRRDEEVEAKKREAEKERARRDRHYQSRSNPSNHRRELFKSSVDIQPEWNMHDQIPFSTFSKLSFTVSEPEDLLLCGALESYDRSYDRIAPKNERRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDAILSTLMCASRSVYSWDIVVQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAKDDINSAHSLSVEASYINQNFSQQVLIRDGKKVTFDEPNPFANEGEEVASVGYRYRRWKLADDMYLVARCEVHSVVDVNNQRSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLGSADMMKLGYVSRIHPRDHFNHVILAVVGYKPKDFAAQINLNPNNMWGIVKSIVDLCMKLNEGKYVLVKDPSKPQVRIYEVPADAFENDYVEEPIPEEEQVQPHADGAEEAVDGAEEVSTAAATNDVEDTKTDGQA >RHN73286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15124824:15127861:-1 gene:gene9084 transcript:rna9084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MVVDMSSFTQPLLLPYPSSIHTPNKHHSPPTSSSAITTTTTTTTTVAAEPRLPSEWVSHLRSQTQSSSTFHQAISTYTNMVTAGVPPDNFAFPAVLKATAGIQDLNLGKQLHAHVFKFGQALPTAVPNSLVNMYGKCGDIDAARRVFDEITNRDDVSWNSMINAACRFEEWELAVHLFRLMLLENVGPTSFTLVSVAHACSNLINGLLLGKQVHAFVLRNGDWRTFTNNALVTMYAKLGRVYEAKTLFDVFDDKDLVSWNTIISSLSQNDRFEEALLYLHVMLQSGVRPNGVTLASVLPACSHLEMLGCGKEIHAFVLMNNDLIENSFVGCALVDMYCNCKQPEKGRLVFDGMFRRTIAVWNAMIAGYVRNEFDYEAIELFVEMVFELGLSPNSVTLSSVLPACVRCESFLDKEGIHSCVVKWGFEKDKYVQNALMDMYSRMGRIEIARSIFGSMNRKDIVSWNTMITGYVVCGRHDDALNLLHDMQRGQAEHRINTFDDYEDNKNFPLKPNSVTLMTVLPGCAALAALGKGKEIHAYAVKQMLSKDVAVGSALVDMYAKCGCLNLSRTVFEQMSVRNVITWNVLIMAYGMHGKGEEALKLFRRMVEEGDNNREIRPNEVTYIAIFASLSHSGMVDEGLNLFYTMKAKHGIEPTSDHYACLVDLLGRSGQIEEAYNLIKTMPSNMKKVDAWSSLLGACKIHQNLEIGEIAAKNLFVLDPNVASYYVLLSNIYSSAGLWDQAIDVRKKMKEKGVRKEPGCSWIEHGDEVHKFLAGDVSHPQSKEVHEYLETLSLRMKKEGYVPDTSCVLHNVGEEEKETMLCGHSERLAIAFGLLNTSPGTTIRVAKNLRVCNDCHVATKFISKIVDREIILRDVRRFHHFRNGTCSCGDYW >RHN54621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10834171:10834774:-1 gene:gene29690 transcript:rna29690 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLVSDVKCQTLMHCAASYGERGSKPTPSRRKSYGYRRIEKNSIIYPEESNFKEHKNKGSSLEKGTKRSTNLAQAQKLHRLGFSYIATSFLYIVIKVKEFYNGFLGDVASESRMMGIDAPMAEPYFSVPVIPN >RHN52104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31560926:31566323:1 gene:gene36726 transcript:rna36726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain, multihem cytochrome MECNKDEATRAKEIAEKKFKAKDFLGAKKFALKAHNLFPSLEGIPQMIATLDVYISAENKVKGEVDWYGILGANPHADEDTVRKHYRKLALMLHPDKNKSSGSDGAFGLISEAWSILSDKDKRAAYDAKIKAKPQKGSTIFGGSSTKATANGANNSKKKTPSSGKSHKNMAKEPTSSSANASKSTFWTTCHRCHMQYEYLVKYLNLKLVCPNCHDAFVAVETNPPPKSGIRPGTSWNFKQKADNQGPNKGKFNAGKNNMAAPNVGAGSNNNSFQWAPFTKTSGVSNVAQAANVVQQAYGKVKRDREEAQAATKREEALRRKQNVSKKGYFNPAKRKRGVMEANGASDLGKKFNCTRDLSPVELQNILFEKARKEITKKLKEFQSNTVGKSVGKKSRDCFEKANQKGEFSGRNSEICAQNKIGKSEDVENRLQACKSVAAPTIDYNCTEILDAMLVDVPDPDFDDVYKDRTKTSFGEKQVWAAYDVGDGMPRRYAMIHRVISLNPFKLQLSWLYPNTNNEPGPLNWVALGFPKTCGEFRIGRREIFNSIHFFSQKVRWKKGNDGAICIYPRKGEVWAVYRNWSPDWNERTSGDVIHKFDMVEVLEDFVDERGVTVIPLVKVAGFKAVFHHHLDEKEIKIIPRKEMLRFSHQVPSHLLAGEEAPNAPKGCRVLDPAATPCELLEVIKVAEEENMVNDVDSVVKETNREEMIVDTGKLGGTKEVMNEVDTGKLGGTKEGMKKVIRRITPEEDRGKKICRGL >RHN44104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1712037:1712354:1 gene:gene38163 transcript:rna38163 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYLILLDDVPDHIQVYSSFMVSANVVCSFALMMLFEIRLEINAEVTFIVADKKAAPPTPHSHHHHRPGPLAIAALIFFVLCVEIVVCRGVYKYHKYRTKSRQK >RHN69933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47414980:47431127:-1 gene:gene18466 transcript:rna18466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MSSLEPAPSTLTRRPSRSAAMTTVSMEVFDNDVVVPSSLATISPILRVANEIESERPRVAYLCRFYAFEKAHRLDQSSSGRGVRQFKTLLLQRLERDNATSLAARTKKTDAREIQVYYQQYYENYVKALDQGEQADRAQLGKAYQTAGVLFEVLCAVNKTEKVEEVAPEIIAAARDVQEKTEIYAPFNILPLDSAGAFQPIMQLEEIKAAVSALFNTRGLNWPSAFEQTRQRTGDLDLLDWLRAMFGFQRDNVRNQREHLILLLANNHIRLHPKPEPLNKLDDRAVNSVMTDLFKNYKTWCKFLGRKHSLRLPQGQQEIQQRKLLYMGLYLLIWGEASNLRFMPECICYIFHNMAYELHGLLAGNVSIVTGENIKPSYGGDDEAFLRKVISPIYKVIHTEAEKSRNGMAPHSAWCNYDDLNEYFWTPDCFSLGWPMRDDGEFFKSTFNLTQGRKGAPAKSARTGKSNYVETRSFWNLFRTFDRLWTFYILGLQAMFIIAWGNISVLEIFQKDVLYKLSSIFITAAFLRLLQSILDLSLNFPGFHRWKFTDVLRNVLKVIVSLLWVIVLQIFYVHSFDGAPEFIRKLLSFVHQMKGIPPYYVLAVAVYLIPNVLAALLFLFPMLRRWIENSDWHIFRLLLWWQQPRIYVGRGMHESQLSLLKYTLFWVLLLAAKFSFSFFVQIKPLVKPTKDIMSIRHVDYNWHQFFPQAQNNYSAVAALWVPVLMVYFMDTQIWYAIFSTVCGGVLGAFDRLGEIRTLSMLRSRFQSLPGAFNTYLVPTDRRKKKKFSLSKRFAEISANRRSEAAKFAQLWNEIICSYREEDIISDRKGLRMDLLLVPYSSDPSLKIIQWPPFMLASKIPIALDMAAQFRGRDSDLWKRICGDEYMKCAVLECYESFQQILNTLVIGEAEKRTISIILKEVENSISKNTLLTNFRMGFLPSLCKKFVELVEILKAADSSKRNTVVVLLQDMLEVFTRDMMVNDSSELAELNLSSKDTGRQLFAGTDAKPTVLFPPVVTSQWEEQIRRLHLLLTVKESAIEVPTNLEARRRIAFFTNSLFMDMPRAPRVRKMLSFSVMTPYYSEETVYSKNDLEVENEDGVSIIYYLQKIYPDEWNNFMERLNCKKDSEVWERDENILQLRHWASLRGQTLSRTVRGMMYYRRALKLQAFLDMANEKEILDGYKAITVPSEEDKKSHRSLYASLEAVADMKFTYIATCQNYGNQKRSGDRHATDILNLMVNNPSLRVAYIDELEEREGGKVQKVYYSVLVKAVDNHDQEIYRIKLPGPAKLGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFNEDHGVRRPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLARPLKVRFHYGHPDVFDRIFHITRGGISKASRGIHLSEDIFAGFNSTLRRGNITHHEYIQVGKGRDVGMNQISLFEAKVACGNGEQILSRDVYRLGHRFDFFRMLSFYFTTVGFYISSMIVVFTTYAFLYGKLYLSLSGFEAAIVKFARRKGDDTLKAAIASQSLVQIGLLMTLPMFMEIGLERGFRTAVGDLIIMQLQLAPVFFTFSLGTKIHYFGRTLLHGGAKYRATGRGFVVRHEKFADNYRLYSRSHFVKGIELTMLLICYKIYGAATPDSATYALLSWSMWFMVCSWLFAPFLFNPSGFEWQKIVEDWDDWNKWISNRGGIGVPSTKSWESWWAEEQEHLQHTGFVGRICEILLSLRFFIYQYGIVYHLNVARGDKSILVYALSWIVIVAVMVILKIVSMGRKKFSADFQLMFRLLKLFLFIGAVVALALMFTLLSLTVGDIFASLLAFLPTAWAIIMIAQACRPIVKGIGMWGSVKALARGYEYLMAVVIFTPVAILAWFPFVSEFQTRLLFNQAFSRGLQIQRILAGGKKHKQN >RHN81985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50347852:50348648:-1 gene:gene6097 transcript:rna6097 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLARIRRCVGRSCQDYASEMTSLQRNNNASTSNISSCTTKSDKRNAFNEKPVLPRKHTSDPQRCILQGNGSCLNHYNGKENENMSSERKTESQSSSSLHLSARQGHCLSLVPSQMERQLGPSENEVQATKDFGRSTPKRTMKVEFKNITNQQLNQNMRRTMIALGKRPIDPSSSGSNHEKEEENADLCQSIPQRKRKVVFDCRFHCLIIFIPLLYVSF >RHN63045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47900022:47903037:-1 gene:gene25697 transcript:rna25697 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEELNNGYCSSSGDEDGDAAWKAAIDSVAGTSSYVTSFMNGFSATNNNDTKKKHNDNQNPKSPKIKHYQLKALKLLDDILENTIEIVKEPIPVLDEDPNVDDCGIRLFRHSKPGIVFDHADEPQPPMKRPKLVPGEDIDEKSKKFRRRIRSIAVDGNDLIAAANDAYKKSLARLEAKDAAAKAKAKREEERIEKLKKIRGERWLPSMAKEMQAKIKIKH >RHN43091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43096949:43098840:1 gene:gene49615 transcript:rna49615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MVRDDLCITVPSLFRCPISLDVMKSPVSLCTGVTYDRSSIQRWLDNGNNTCPATMQILQTKDFVPNRTLQRLIQIWSDSVRHRVDSPESPLSTESVDRRDQLIVAITDFESGSENQFDSLVKIVRFAKDSEENCVFLAKTEGFVCVLVSFLDNVDGGVERSVELLEQVVIALDLVLCKIENRESILKSKKENESKSILDSLLLVLQQGSCESKIASVRVLKFIAVDAESKLLVAEKEGLLSELLNQITPKKDQNLMENALSCLVAISTPKRNKAKLVHLGAVKVFSNLLTASPSLCVSVTEKVLKLLETVSSTKEGRSEICEAPSCVVAIVNKVLKVSTVATEHAVTILWSVCYLFRDQKAQEAVTKANGLTKILLLMQSNCSPQVRQMSVDLLKIFRVNSKSCLSSYDTKTTHIMPF >RHN41502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30561461:30562124:1 gene:gene47834 transcript:rna47834 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNCLTCGQIKRENSEGDFNNYPEINKSSTRNVRLQVDRNWSGNICPPQREGGGGAMAKIKAEHRRANSVGDVGPRLLRSSGKRRDWCLDELLGQQENGVECH >RHN55396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17923036:17925191:1 gene:gene30569 transcript:rna30569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MESKGSVLIKLLLLLNVTVLCASQSQDFDFFYFVQQWPGSYCDSKKSCCYPTTGKPAADFGIHGLWPNYKDGTYPSNCDPNNAFDPSQISDLKSNLQQNWPTLACPSGDGIQFWTHEWEKHGTCSESVLKQHDYFETTLNLRQKANLLQALTSAGVQPDGNSYSLSSIKGAIQNAVGFAPFIECNVDSSGNSQLYQVYLCVDTSGSNFIDCPVFPHGKCGSEIEFPTF >RHN52877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39848481:39850586:-1 gene:gene37609 transcript:rna37609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arabinogalactan peptide, AGP MKTMNIFIFPLLTILFMAISHMGHAQNFDISPAPTPTSDAQILDQGIAYSLMLVALVITYMFH >RHN78055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11538345:11538662:-1 gene:gene1570 transcript:rna1570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MKCTERERHALLTFKQNLEDKYDMLSTWNNNQNPDCCKWKGVRCNNQTGYVQSLDLHGSETRYLGGERTTINPSITELQHLKYLDLSSMIIFTQIPKFIGSLTKL >RHN77468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7051318:7060076:1 gene:gene930 transcript:rna930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5'-nucleotidase MPFSTTIPIPTSHCSRRGRYLNLSLHNEKFKPFHFVSFSLRNRFSPCHCFASRIFSDAHSPPRFLPFPPPPSSHSASSGDGRLNAKFSRDDIRIEARDESSGGDSAKQLREWLSSPDYGPNTDIGKRIFCNRPLNMKNIVAVGFDMDYTLAQYMPETFESLAYQRTIEKLVYDLKYPSELLSWSFDPNYMVRGLVLDKKRGNILKMDCHKYVKVAYHGFKELSKEDKVGTYGNTLVCDPFDGPDYALIDTLFSLAEAYLFAQLVDFKDRNPGKIPMSVDYACLYNDVRNAVDLCHRDGTLKQKVATDPIRYVNEDTSIVPMLKMLRDSGRATFLVTNSLWDYTNVVMSFLCGSSGVNGSTNLDWLQYFDVVITGSAKPSFFLEGNHANLFEVEPESGKLLNTDNGSPMPQVGNISAGLLTKDKDRARKVFQGGSVNHLHNLLPIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVIPELEREVQILWELRDYRKDLQFLRSKDDCIADKIHHLNRSLKLENPDDDTKQKINSKLNELKLEREIVRSNHQEALRKLHLKFHEPWGQLMKTGYQNSRFAHQVERFACLYTSRVSNLGLLSSDKYYRPSEDFMQHEFGILES >RHN76937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2510210:2511425:1 gene:gene331 transcript:rna331 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHYFPPYCHQLDIRTLGPGNFVLQFLHSKHKKSFLEMEYARSISQSRL >RHN56336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29970620:29975318:1 gene:gene31720 transcript:rna31720 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHLNAASILRTTSSNIPYSCLPQPFLSLTTRMLSSSSSSSSSTTPSSETRTAYSSSSPNPSFKNLSLFSNNHSLQSMTLSKCFSHSGPTYAIPVSGLVESGEVESLVVVSFYKFADFPDHAVLRVPLKQLCQQLRVSGGIILAPEGINGSLCGTRESVEKVLAFIQSDDRLKGLRRIESPVSPEEEAIHQGNHGHSASSPLAAGEDTPFRWDHVRVKLKKEIVTLGMPTVSPIERVGKYIGPKEWNSLISDPDTVVIDVRNNYETRIGKFKGAVDPCTTSFREFPSWVEERFELTRKDVEHAKDDVNHSDQIAEKEMKNQKQHLPRVAMYCTGGIRCEKASSLLLSKGFKEVYHLEGGILKYLEEVPERQSLWEGECFVFDKRVSVEHGLAQGNFKLCYGCKQPVSDTDMESPEYEYGVSCPYCFAQKSEEEKERARARQKQFERWGIIGGPDKGRRPTHKQDSASRDENQLSKSV >RHN68667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37613435:37615458:1 gene:gene17048 transcript:rna17048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative coproporphyrinogen dehydrogenase MLKSTFTPIFCALAPKPKPSTRVITNTFSNSTSTVRQNSSLKNNPTITPSSAYIHLPFCRKRCHYCDFPIVALGSASTQTHDDPRVINYIQWLCREINATKIEDELDSAKTPLKTVFFGGGTPSLVPPSMVGTVLETLKMKFGVSESAEISMEMDPGTFDYKKMEEMMLLGVNRVSLGVQAFQEKLLKSCGRAHGLEEVHEAIDIVKKCGVENWSIDLIASLPHQTSEMWEESLRLAIEARPTHVSVYDLQIEQGTKFGRLYSPGEFPLPSETQSADFYTMASRMLRDANYSHYEISSYCKSGYECRHNFTYWKYKPFYAFGLGSTSFIGGLRFSRPRKVNEYMKFVENLENGSVNSSIDDNINSKDKALDVVMLSLRTARGLDLKHFQESFGSSLVLSLVEVYKPYVESGHVVFLDEQRRAVRIDDINNSLLDETDLERRVAYMRLSDPNGFLLSNELIALAFGVIDSWKDYPSALQEAT >RHN54735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11717984:11719175:-1 gene:gene29813 transcript:rna29813 gene_biotype:protein_coding transcript_biotype:protein_coding MILPCDFIPKQSYIILYLLLLYINPSLPQDKKKYQQEQSKMVKQVRASLTCFVLFFLVIATSMISIVHSTNTVTVTREDQARKPRKRWQKKTWMNHGSHRGPRKHLVNPTTENVFQLAREFPV >RHN46569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32865516:32865915:-1 gene:gene41068 transcript:rna41068 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVWCWILVAGSWLKHCAEMMMKNCVVLIQKTIVVLCDLQVLQLKFCPFVVVPVMLYL >RHN57965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42806162:42806824:1 gene:gene33560 transcript:rna33560 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMSGRYVRIDPRNKTHGQGYGGRLDGIGYRRRVKARMVGSRPLLDPDLNKSTTASQPPSVVAAAADSSSSKDAATVLDLSPANLVKCSTSTSAAAKIQPVVKKKKKKKLPRFGAIIKLLRLGKKKYKKT >RHN70799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54084596:54086618:1 gene:gene19416 transcript:rna19416 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GLB3-1 MQNLQEKASEWSGVETNHAFAIDDTNLFQKLGLQTFINLSTNFYNRVYVDDEEWFRSIFAKSDKDKAIQNQYEFLVQRMGGPPLYSQRRGHPALIARHRPFPVTHEAAERWLLHMQQALDNISDIDHDSKIMLMNFFRHTAYFLVAGVEQKNQSLHPCKDADGRHPCKNF >RHN78516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15906173:15914220:1 gene:gene2093 transcript:rna2093 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSPRLDSAVFQLTPTRTRFDLVITVNGKQEKIASGLLSPFLCHLKAAQDQMDKGGYSIVLVPEHGCDVTWFTRRTIERFVRFVSTPEILERVYTIESEILQIEEAIAIQGNNSIGIGTVEENQIKQVESTEGTFERTGRKIKQDSNVEKAVVPYKSDTQPPEANGSTSSQGKSKVQLLRVLETRKLVLHKEQGMAFARAVAAGFDIDSIPALMSFAECFEASRLMDACRKFMSLWKIKHENGLWLEIETSEVTPNRADFSTINTSHTEMDSESNGGKTNSGNQANIQDQFSHHGFSPWPVHSLPGALPVFHPYAVEGVPYYPSYPGNSAFTQPGCPPMEDPRLHADQSVRHRRHSMDNRHGNTDSKNWDIETSKPRFKDEMDIEREGLQTGDRRKKTSRSDRHKSSTVVIRNINYIAKTEHSSGSGSYSDSATETDEDKEVHKSVKTSKRRGSGKASLKRLNSTDKEETDGGHWQAFQNYLLKGVDEDRHAINQNQFEMEKGDHVRRKKHVAAKDPLDLTGNSHPSSDVAAVNGQCYSNTDLEKKLFHNMNDDSIMVEHRVNGPVNIEGNAIDMGSDFPNVYTKEGKRKISNYQPAELSLLPERGADKGSMRYDCALDYEMQAQAVGGSSQDKKNKGVLSRHTKPGSKMLDKEQKSKPTPSSSDRKKTIGPIRRGKPNKPSPLDEARARAERLRNYKADLQKLKKEKEEEDIKRIEALKKERQKRIAARSSSVTKPTTKSTMPSQQTKKQFQTKFLSTVHKGSKFSDSEPRSSLPFQRFPIRTVSGGSNDSLKPSKTSRLSAGSSNSVTSKLRRSVPLLPEPKQGKGDCANNTKASITSSRRFSEPKMSTIRPTSLVKPRSSRTISRTKAVEETERKKISAIVNYDKDKIATLPELKIRISKEKPQKLNVDKPSMNSEGALLKKSESEISTTDNRDEIPMIDKTVVMLEGEKKPSALDINDEKPRGKTTIAKRQDDKDKAMEKTERVSSCTAVCAPASSLRTNMVHIGTLENQSQVKHISSKVKMNNTKNEPSKSSSSSRVAEESSRAPYSRGSSLEDGHAQNSEYGKAPPTSLETTSIGMETFRAHVSDTRNSTLEKIPEVNENPQAKEPSKGFRRLLKFGKKNHNSATGHSMDSDHANEIGANGSTNEVPTLRNLLSRDETLSTNATPQKPTRSFSLLSPFRSNSREKKIMMA >RHN46291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30403033:30405014:-1 gene:gene40766 transcript:rna40766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MATNQEEVKLLGAIGSPFVCRVQIALKLKGIEYKFLEENMANKSELLLKYNPVYKKIPVFVHNEKPISESLLIIEYIDETWKQNPILPSDPYQRALARFWSNFIDDKIIAASIKAVHTVHDEKEREKNVEGTIEALQILENELKDKFFGGEEIGIVDIAAVYIAFWIPLIQEITGLQLLNAEKCPKLSKWSQEFLNHPIVKENMPPREPLFAYFKAHYESLFDSK >RHN61353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35046321:35047721:1 gene:gene23808 transcript:rna23808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif 2, nucleotide-binding alpha-beta plait domain-containing protein MALNAEAPEFFPNYKLQNPQSHCYLFSTLPHRLHPYFYYYPSAYKHPFPFHFHPLPNHLPTFPRFESEAKLPTHFHGSFENHEQVLKNDMVVEPFIEGDDSNNVFHEVVSGGEMEHRRSHGVRSGGRLEWRRKRGRKDVEQKEKKVNEKPLLRNNRYGHAKNIRYHCHKKNSGGGFPLVPVQKDGKETTVMIKNIPSKYTRDKLLDFLEEFCMRENAKDQANGEESTFAFNAVYLPIDFCTELNKGYAFVNFTKHEAAWKFQLTASGKNWEHFNSSKICDVVAARLQGKEKLENHFGSMVFPYSSEEVLPLFFSPPRDGVTKGNQRTMGKLLKPYY >RHN58237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:183737:185314:1 gene:gene20125 transcript:rna20125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amyrin synthase MSVLRENNFKQTIDSVKIEDGEEITYEKATKTLRKGTRHLVALQTQDGHWPAQIAGPLFYMPPLVFCVYITGHLDSVFPQEHRKEILRYIYCHQNEDGGWGLQIEGHSTMFCTALNYICMRILGEGPDGGQDNACARARNWIRDHGGVTRIPSWGKTWLSILGVYDWSGSNPMPPEFWILPSFLPMHPGSINLFCFLNLFGTI >RHN72704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9953402:9959666:-1 gene:gene8453 transcript:rna8453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, CRAL/TRIO domain-containing protein MGIVSQDALNQLQALIDQVEEPLQKTFQNVHQGHVTETLIRFLKAREWNASKAHKMLIDSLNWRVQNEIDKILSKPIIPQDLYRGLRDSQLIGLSGYSREGLPVFAIGVGLSTFDKASVHYYVQSHIQINEYRDRVILPSASKKHGRPITTCVKVLDMTGLKLSALNQIKLLTIISSIDDLNYPEKTNTYYIVNAPYIFSGCWKVVKPLLQERTRKKVQVLQGCGRDELLKIMDYACLPHFCKKEGSGSSKHSGSGSENCYSLDHPFHQELYNYIKEQSRMNEDRKPIKHGSFHVEFPEPSADDGEIAKTIESEIHKFENSHGNVIDG >RHN56214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28851937:28853000:1 gene:gene31581 transcript:rna31581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ZF-HD family MEFDEQEEQEEEMEIPEIQTPVPTSYDSLSNSASRSKLTVGVDGRKGNGNGGFASVRYRECQKNHAVSFGGHAVDGCCEFIAAGEEGTLEAVICAACNCHRNFHRKEIDGETVSSCNRPQPPPPPPQYHHHNNQFSPYYHRAPPSTAGYLHHHHLATPVAHHRPLALPAAASGGGMSREDDDMSNPSSSGGGGGGGGGSGGSGSRKRFRTKFTQEQKEKLLAFAEEHGWRIQKQDEAAIEQFCAENCIKRNVLKVWMHNNKNTLGKKP >RHN62452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43532791:43536192:-1 gene:gene25031 transcript:rna25031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative clathrin light chain MSFTVNEDHFAAGDDDSYSGYGGFSSYSAEDVPVDHTTAAPESPDVFGFSDQDPSYAQSPFEPVHAMENGNGYGGHDDDVFVSDGPVLPPPAEMEPEEGNVLREWRRQNAIQLDEKEKREKEMRLKIIEEAEDYKVGFYEKRKLNVETNKVQNREREKLYLANQENFHKEADKNYWKAIGEIIPREVANIEKKRGKKDQDKNPSVTVLQGPKPGKPTDLSRMRQILLKLKHTPPLHMVPPPPAPAKDSKEGKDGKETATKPNGSAPKPNGSAPEVSPESQPKDAANNGTADSPQKEAPTTEEQSAA >RHN70377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51002244:51003814:1 gene:gene18947 transcript:rna18947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MTSPSTLQSKKRQRESEEGTTITTTISSSPLQSKDRPRESEETDKSTSSKVSKASSSGSVKKATLTTVSRSTSKENEKHVSQSESEVSNSVDSGKRGKVLKREGIRGKCSQLIKDGVLVSGTIVFCRACDCCQVKFTLTKFEAHACCTKPRPSKSIFLQDRTSLLDLDRRMKALSSANQKGNDCNAEAKSEAANSYVVEKKSQENNNTCSVCGFGGDLILCDGCPSSFHSDCLGPNRDPDGMWLCPSCCCRICCQPKCKQEFANDIDDDILACVQCERKFHFGCAKATRIGQPNEVFSHMDNIIEKNNWFCHEVCGNMFLCLQKLLGIPNKLGNDLTWTLLKGASSEEKRLNFRGFYTVIIEKGGKVSYVATVRIYGQKVAEIVFMATKKKYRRQGMCCLLMKGLEEQLINLGVGSLVLHSSKDAIDTWKKSFHFVEMTNEDKCKFVHYTFFEFQDTIMCLKSLNKESVLSH >RHN66728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17747355:17748567:-1 gene:gene14795 transcript:rna14795 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVVTPCFHPQNKKLLSSSSSSSSSLLPSSAKLIFWQGSTRLLNGKHIAGEILLEYPETMVCHADSFFIGHPIPSLDLEDELFQGETYFVLPIHLFSCKTLSVSSLLSFRLHNNDSNNKSTIKFGECPFEYLKDCDGKVLIKVMPEFITRLVNGGDRSGDDCCISSKSSFLCSTPELKKHYEMLVKSKDQVWSPKLETILENKVRLRKERKREKAQPQTVSAR >RHN81262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44422488:44425900:-1 gene:gene5278 transcript:rna5278 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGICSIQLQALTQEAATVVKQAVNLATRRGHAQVTPLHVASAMLATSTGILRKACLQCHSHPLQCKALELCFNVALNRLPASTQSPLLGPQYSTTPSLSNALVAAFKRAQAHQRRGTIENQQQQHILALKIEVEQLIISILDDPSVSRVMREAGFSSTLVKSRVEVEQALPIEVSSTKVSSEYHKNQSKELSLKPQVLSLGGSYTKPIDCVNNDDVTSVLSELVKRRRNTVIVGESVSNAEGVAKGVMERFEIGDVPMELRYVQFVSLPLICFRNISKEEVEKKFVEVRSLVKSYMGRGVILYLGDLKWLFEFWSSYCEQKRNYYCSVEHMVMEIKKLVSGSGESSRLWLMGIANFKTYMKCKISHPSLETIWELHPFTIPVGSLSLSLNFDSDFQAKERSMVLFNDLTFEDKVGVGKQLTCCRDCSIKFENEALSLTNNISKKACSSSLPTWLQNCKEERSYTVEDQENARLKDLCKKWNSICNSIHRQPSILDKQDLFVLSSSPSSPTSFSSLEKKSNFQHSQLNWPIISEQEKVPKECELLYTESAGGDDDGCYDGNLIMFMPQRNVPKPDLLSNPNSSPNSASSSEAVDGLESTELFNEHNEENLKILCDALENKFPQHKEIIQEIASTVLFCRSGMRKRGNNFFKRENHKQETWMFFLGDDSQARENISKELAKVVFGSCNNFMTIGMSTFSSLGNDDSSSDEKSKRKRPRAELGSTYLQRFCEAVNENPHRVFFMEDLEEEVDHFTQKGIKKAIECGSITIPGGESVPLKDAIVIFSSESFSSVSKSSQSSCAENKGKETMIEDHQSNLNLSLDLNIAIEDHDNADIGILELVDKKFSFNL >RHN75555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43250598:43255226:-1 gene:gene11781 transcript:rna11781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MGDLVENKEWYVAAYSPEGVPTSDHLKLRTVSLSLAHESIPDDHLIVETLLLSVDPYLRGTITGTLEGLFIPQYQLNQVLTTFAVVRVIRSKDSKYSEGDILLNGYGLVAEYSIVPSSHIIRKIDPANGISLSDYLGSLGVPGFAAWLGIEVLGNPKPASNVFISAASGAVGMIAGQLAKIRGCRVIGSTGSDDKVKLIKEKFGYDDGFNYNIESDFDAALSKYFPDGIDVYLDNVGGKMLESVLNHVNKNARIPLCGMISQYNKVWTEREGIRNLLNMVGKEVRMEGFMIKSYLHRFGDFVKDMEKYLQEGKIKPKSKINIGIENFLESLNSVFSNSNIGKVVVQVKA >RHN77925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10562436:10565562:1 gene:gene1432 transcript:rna1432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MIIPLVHLCFIMKFMAPIEMSLVSLIVLILCTSAPISATNFFDCPAIFNFGASNADTGGLAAAFQALQLPNGETFFNRSTGRFSDGRIIIDFIAQSFGLPFLSPYLNSLGPNFTHGVNFATAASTIKIPNSIIPNGMFSPFYLRIQYIQFRDFIPRTKFIRDQGGVFATLIPKEEYFSKALYTFDIGQNDLTGGFFGNVTIQQVNATIPDIVNNFIVNIKNIHSLGARSFWIHNTGPIGCLPLILANFPSAIKDSYGCAKQYNEVSQYFNLKLKEALAQLRVDLPLAAITYVDVYSPKYSLFQNPKKYGFELPLVACCGYGGEYNYDNRARCGETININGTRIFVGSCKSPSTRIIWDGTHYTEAANKIVFDQISTGAFTDPPIPLNRACYRKLT >RHN76384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49839406:49843628:1 gene:gene12702 transcript:rna12702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MDKELQLCSVDDDEMVSQQPQRRKGGLITMPFIIANEALARMASLGLLPNMILYFMGSYRLHLAKATQILLLSSAASNFTPVVGAFIADSYLGRFLGVGLGSFVSFLGMGMLWLTAMIPAARPPPCNNPTKGCKSATTGQMAMLLSAFGLMSIGNGGLSCSIAFGADQVNRKDNPNNHRVLEIFFSWYYAFTTISIILALTVIVYIQEHLGWKIGFGVPAALMLLSTICFFLASPLYVKITKRTSLITGFAQVTTAAYKNRKLQLPPKNSPQFYHHKKDSDLDLVIPTDKLRFLNKACVIKDHEKDIASDGSAINPWRLCTVDQVEELKALVRVIPLWSTGIMMSLNIGGSFGLLQAKSLDRHITSNFEVPAGSFSVIMVGSIFIWIVLYDRVLIPLASKLRGKPVRISPKIRMGIGLFLNFLHLVTAAAFESIRRKKAIQAGFLNDTHGVLKMSALWLAPQLCLGGISEAFNAIGQNEFYYKEFPRTMSSISASLCGLGMAAGNLVSSFVFNTIENVTSRGGKEGWISDNINKGRYDKYYMVIAGVSALNLLYFLVCSWAYGPTVDQVSKVSDENDSKEKDSTEFKKVNPLFDETSSNGKELTEIKNGGQAEKVFKISEENGSKEEELTKD >RHN46885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35565358:35569743:1 gene:gene41420 transcript:rna41420 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGADHGNGGANGGDFRYKVWSMTGGPHCRPKHWKRNTAIAMFGIVLVCIPIFKLSAKLEQRPHHPVRPIPSQMWCKNFGTKDYKDYE >RHN44976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10412536:10413435:1 gene:gene39166 transcript:rna39166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronoxylan 4-O-methyltransferase MQSLIPKSFHKKKHPNTSHSNNQCYLYVIAITAAATILFLFYSWYSDPTHLCSTAGSLTTAQTIIAEEFHTSAIPLVTIFHYATTGDIPQLSKGEIRRPFDVLQSLAPCNFLVFGIGHDALMWDSFNPRGITLYLEEDPKWTISAFKRFPVLRAHTMRYNTRLSEAKALLSSYKKDCGGITADSHPLKDDRRCRLALGKLPKEVYDRDWDVIMIDAPRGYFPSAPGRMGVIYSAAVMARGRKKAGVTHVFLHDVDREVEKLYAKEFLCMKYRVGGIRRLWHFVIPPAVNVTDTALGFCA >RHN81040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42838019:42840573:-1 gene:gene5034 transcript:rna5034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MGEVVLLVDDLKSISGFSRCRICHEEEFESFKSLEAPCACSGTVKFAHRDCIQTWCNEKGNTTCEICLQQYEPGYTTPPPKKSLKPEEAMTIGIRDSLEISRREEEELNRRIVGIVEGVTRQNNYSQCTYAADRSASCCRSLALAFTLILLLRHLFALLTNGMEDYPFTILTIFILRASGIIIPMCIIIRTMGAIHKSIQRHYHQYSEDDSLMSDGDDEENGTPHIAILRHSHY >RHN52515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36251291:36251913:-1 gene:gene37203 transcript:rna37203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MNTMELILFTMIKATKTTPSFTDDQRLIGDAAKNQAATNPENTVLDAKRIIDRKFSDPVVQNDSMLSPFKVIAGVNDNPMISVKYKDQEKKLCAEEISSVVLSKIREIAVTFLELPVKNAIVTLTGYFNDS >RHN82172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51692258:51693331:1 gene:gene6299 transcript:rna6299 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKTAKESKLKHFILGPVRILKKARQFYMKSVIECAGGYGVGAANHIPYLPKPNNINTNGGNHIASRQKQSNLGYKSNTEVKKMGKIDEDQPCHFESNQIGFKTNLLHLIPSRRSSAAKNPNVRIYNIINED >RHN60617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28905079:28905679:-1 gene:gene22958 transcript:rna22958 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLLNCLLYIDRFNVKFLYFKICEGYVGKNNNKYIYKFLDSLIFRDNFFF >RHN46451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31815971:31817346:-1 gene:gene40937 transcript:rna40937 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHLDYGDLIMRIQWLNTFGPILQDFSKLQMEFQSMESCLF >RHN63759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53872227:53875645:-1 gene:gene26503 transcript:rna26503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MSTSLPTSNKRLKAEVKIEEEDDNDNNNNQDVCCGICYIERGISVPAQIDCCSHYFCFVCIMEWAKHESRCPLCRQRFSNVRRLPMHDVFSSSRDVKIPIRDQVYHPHGNMTSGPVDSNAERKCCICDGAKDENLILICDLCDTVSHTFCVGLGYTVPEGDWFCHDCAVSLETNASYMLDQQNVELKAAPDVTILNILRGTGSQVVRRPMASPARQNNSLSSDIPLLDRVSRSEGKKPVSEVQRALRNVQLLRENWNSLRSGKLRFHSKTFQSGGTSSQTENSSSLSCGKLDDSHSMASASRQQSMVQGAQSSNMLSNGGLKDDVDKAWKMMRKAKTMQQTPKRTSRIQQEVDDGPSCSGAREKSFSPRSCPESKKQPRTLDFMYAKMQEKCDHSCLKKKLENHRSPMLGEKRQSRVLCEEKIQHLRNHNTRLEGYRELPLPSKVHTSTHRAPCHNERNAAKEQRQSAYLVTSVGLAPSSRKSGSVFASNKDVDIFNKEKSLAKSFGNGITKNTEDAKTEIQSLVKLNLNCLAINKQLGVETFKVVARQATHTILAACHSEQQKSNIYSSNSVCSHTDHIQFQKSNLMPNCCRQCFHVFVNDVVKSMLEKVGCS >RHN49147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52798796:52803131:1 gene:gene43945 transcript:rna43945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isopenicillin-N epimerase MASEKNNHHRSIPDINGTHPTPKKPKLSSSSNFITASEIQSEFSHHDSAVSRINNGSFGSCPSSILSAQQQWQLKYLRQPDHFYFNHLKPAINNSRSIIQNLVNAKDINEISIVDNATTAAAIVLQHTAWCFREGKFNKGDVVVMLHYAYGAVKKSMEAYVTRAGGKVIEVPLPFPVSSNDEIVTEFRKALEKGKVDGKKIRLAVIDHVTSMPCVVIPVKELIQICREEGVEQVFVDAAHSIGCTDVDMQDIGADFYTSNLHKWFFCPPSIAFLYTKKNPKTGGGGDLHHPVVSHEYGNGLAVESAWIGTRDYSAQLVVPEALEFVNRFEGGIEGIKKRNHEAVIEMGEMLVKAWGTHLGSPKHMCASMVMVGLPTCFGVRSDSDALTLRTHLRDVFGVEVPIYYRPPRDGEVDPVTGYARISYQVYNKVEDYYKFRDAVNQLVDNGFACTLLSK >RHN70835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54348323:54353960:-1 gene:gene19461 transcript:rna19461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase 1 MRPPIILESTTTFSSSYSSSSDRRLDTIAKHFLDVNDQNQMASQNITPSPTASSDSVFAHLVRAPEDPILGVTVAYNKDPSPIKLNLGVGAYRTEEGKPLVLDVVRRVERQLLNDMSRNKEYIPIVGLADFNKLSAKLIFGADSPAIQENRVTTVQGLSGTGSLRVGGEFLAKHYHQRIIYLPTPTWGNHTKVFNLAGLTVKTYRYYAPATRGLDFQGLLEDLGSAPSGSVVLLHACAHNPTGVDPTLEQWEQIRHLIRSKSLLPFFDSAYQGFASGSLDADAQPVRLFVADGGELLVAQSYAKNMGLYGERVGALSIVSKSADVSSRVESQLKLVIRPMYSSPPIHGASIVAAILKDRDLYNDWTIELKAMADRIINMRQQLFDALRARGTPGDWSHIIKQIGMFTFTGLNPEQVSILTKEYHIYLTSDGRISMAGLSSKTVPHLADAIHAVVTRVA >RHN57661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40872685:40873343:1 gene:gene33239 transcript:rna33239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MQRYTEELILNEIGEMKQSNKGHVPVLVGKKEEEEEEDMERIWVSIKVIHHPKIVELLEQSAKEYGYQQGVLRIRRDIEIFKVILANISCTSRVALSN >RHN64370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58551203:58551947:1 gene:gene27182 transcript:rna27182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MVNPLNLTSSSFFFIFTLIILYVAYTFQKLEPNQTNMLFYIHDHFTGENTSAVTVAGINGPNFNIQHFGTVAIIDDPVTEGPAMDSTLLGSAQGVYVNSQLDSKAVYMVFSVIFTSGKYIGSTLEMQGYSLYTTKEREFGIVSGTGYFRFVKGYAVMETQSVDLATLRTTFKLNVTIKHY >RHN40451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16424263:16425634:-1 gene:gene46603 transcript:rna46603 gene_biotype:protein_coding transcript_biotype:protein_coding MMILDGCFILEIVRRPSPNNYNNLLPYILRDMLILENQIPMTVLHTLLQVEKGDKVIILMVFSLKLFFFIKKKKKKKNTLKKFDYTHIYLKFKPNTKIALIHVNISKTLVFSSYIIIINCNNYIPKFCHETLQGYNQLSLYSDFKFLLGLDILRPSYSMGEFMHVLDMFRKYVIPPPTEVEEMLPNALVVTAYSNISVSYRSAVELDDAGISFQKSETSNFGDVSFKRGVLRLPFMVLDENTPYIFHNLTAFESLHIEVGKEVTMFLLFMSSIINSAKDVSILCQSGILINAYGSYEDIAKLFNSLPKEIPIDESLGFGGMLKVNDFSKMRSEIDEFCKKPWNKWRANLTHTYFRNPWSMVSLVAAIFLFALTSIQTGYSIAQFYQKPN >RHN75186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40033009:40034688:1 gene:gene11354 transcript:rna11354 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNSLSLKTALISTAILSTAMALKLTVPMVSHFILNEAPTIWNFILSCFTPPYLYLLLNLIILTIVITSKFHNHHHHSPPETPFDGIPSPVPVPVQIPVPETLQISETATLTNYNGFVSEASEGYRYELKTDETVAVSNESNDSVAYVADEITPVKTTVVGVEDSVHVSNLQKKVPLQFAFNDENEKPPISARSSHRKTVRSSPEGGKVNALRVAKTKKQETLESTWKTITEGRAMPLNRHLKKSETFESQPRRNGVPLADLNGGVGGGSGPVMKKSDTFSGMEKSTSPVRKEPSLSQDELNRRVEAFINKFNAEMRLQRQESLRQYREMVNGGRTC >RHN48677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49422626:49427668:-1 gene:gene43419 transcript:rna43419 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQLLPGVLGIGLKKSSERLGKDVNRFRVCEDVFDDPRNIMNELKKFELIQEVFCEDAYFVVALMLIIYHLLNID >RHN70185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49462369:49464892:1 gene:gene18741 transcript:rna18741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MGSLPNLETPPQISRISTVVPATPRGEENSAYNLNYMDLLMKLHYIRSIYLFNSKAVQNLSISDLKAPMFQLLDSYSHVSGRVRISESGRPFIKCNDAGVRIAESHCEKTLREWLDEKEYSVDELVYDHVLGPDLAFSPLVFVKFTFFKCGGLSVGLSWAHILGDAFSARNFITKWSHTLAGQAPPKSLHMPNLTKPQFLSNSVYDNPISIKRATTIEEYWLAATDSYVATHTFHITSKQLHHLLTTSTSTNININTKTKYFEIISAMIWKCIGQIRGNFGPRVVTICTTNISNRAENEFPTNGSVLSKIETSLSPGESEISELVKLIAEKKMNENHGLEKMMEEGEGKDDFIVYGAKLTFVDLEEGNFYGVKINGQKPILANCDFRGVGDQGVVLVLSGPEDYNGNNGRMVTISLPGKELDQLKCKLAQEWDIQYCSSLGLC >RHN49595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55845880:55850590:1 gene:gene44442 transcript:rna44442 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLIMLLELASSRTKYCSGLHNGDAVRWLCKTANKRQDRITIWVLTIRRVVINWFSSVARKRDKNVQGSESPSIFLSIFFIEFEIFESNISCNLMLNQSLNSNL >RHN66607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14891510:14892136:-1 gene:gene14634 transcript:rna14634 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLVPKTSSSMQEAPAYEIKGRTMSLEEWDLKIQTENPVDFISLAHHGFDIRSYYEAQGLMEYFKMLNGPTYKTLIRHLWVRPHVYDRKAAKLEETEKILIDHTMEIRSSIMDIPVFISEDIIAFILIRASEGNFKDGIGNSKTSPWNEVVNQSIVNSTKKGAYCDLSMEKKMLLKIQNENLLPKGGGDDQPSLEHIIFLHYFVT >RHN55811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24122343:24131639:1 gene:gene31079 transcript:rna31079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSPYPHSQSTDTMPLPLILFFSILTTVSTALPYGISYHIDCGTPTNTTDSFNTTWLSDRFFTGGTTGFVSEPLQFHHHHEKTLRFFPISSGKKNCYSIPSSTTSRYLIRTFVVYDNYDGKSHPPSFDVSVSGTVVFSWRSPWPQNLARDGAYSDLFASFKEQEDVLICFYSFATDPPVVSSIELFGVDPASYDSASIGNNDTILVNYGRVSCGSNQWGPGFTNDDDRFGRSWQSDSDYRISGSGSRRNKVVAVSTRRNIAGTNQKPNYFPAKLYETAATTAETAEEGGGVLEFELNVDAKLDYLVWLHFAEIEDRVRKAGERVFDVFINGDNLTRVDIYKQVGGLAAFTWHHTVKNLSSSSLIVKLVGVVGAPIICGIENYALVPGDPSTVPQQVIAMKALKDSLRVPERMGWNGDPCAPTNWDAWEGVTCRMSKDNTALVISQIDLGSQGLKGFISDQISLLSDLVSLNLSSNLLVGEVPPGLGQKSLIHLDLSNNQLTGSIPDSITSSSLQLVLLNGNLLEGQVPDELYSIGVHGGAIDLSGNKGLCGVPSLPACPMFWKNGKLSTEGKIAIGVSSLFVFCLIVLLVYIYIRRRRNDYDFALPHELTALAAKRNRYQRQKSLMVLEMESQHAKGLPSPSATQ >RHN46923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35804171:35804957:1 gene:gene41460 transcript:rna41460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MKSFCSKASFLFLVFLIIMLHSAAQQCRPSGRIKGEKTPSGQCNQENDSDCCVQGKMYTTYKCSPSVSTHTKAYLTLNSFQEGGDGGGPSACDNQYHSDDTPVVALSTGWFNNKSRCLNKIKISANGRSVVAKVVDECDSRAGCDKEHDYQPPCNNNIVDASKAVWKALGVPHDQWGGLDITWSDA >RHN53409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1505292:1507002:1 gene:gene28312 transcript:rna28312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative naringenin-chalcone synthase MVSVSEIRKAQRAEGPATILAIGTANPANCVEQSTYPDFYFKITNSEHKTELKEKFQRMCDKSMIKRRYMYLTEEILKENPNVCEYMAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIVCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPVPEIEKPIFEMIWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPGIVSKNINKALVEAFQPLGISDYNSIFWIAHPGGPAILDQVEQKLALKPEKMRATREVLSEYGNMSSACVLFILDEMRKKSTQNGLKTTGEGHEWGVLFGFGPGLTIETVVLRSVAI >RHN45680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24433611:24434665:1 gene:gene40063 transcript:rna40063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKTLKFVYVVILFISIFLVLTVYDSKYFQIASPCVNDKDCPRFKNNNVRCRKGFCVNLCN >RHN44944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10214009:10217239:-1 gene:gene39131 transcript:rna39131 gene_biotype:protein_coding transcript_biotype:protein_coding MADIIKFVYVIIIVLFIFFSGKNIDGKTIFFLSFSNYLLYFAHNILSHFSNILLFTFSSQQRIYALMMFIVKNISARLVYIQRV >RHN65312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1121807:1129112:1 gene:gene13137 transcript:rna13137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MCVCIKFIQCKMANILPMILFVILNLILFFFQLSTSIDTITQFQSLNDGNTLVSNDGTFELGFFTPGSSTNRYVGIWYKNIPKRRIVWVANRDDPIKDNNSNSTMLIMSKEGNLELLTNNNQTLVWSTNITTQSLSTTSSHVAQLLDNGNFVIKANNNTDQQSNNFLWQGFDFPCDTLLAGMKHGWDLKTGLNRQLTSWKNWDDPSSGDLTWGIVLHSNPEIVLKKGSVEIHRSGPWNGVGFSGAPMEIITSSVIATTPVINSNEVYFTYSLVNKSMVSITYLNQTLSLHERVIWSPEDNTWSDIQSVPKNDCDVYNRCGPYGNCIHNPSPICQCLDGFEPKSPQNWYASNWTQGCVRKGEETWNCGVNDRFRTFSGLKLPDTTHTWVDANMTLENCKNKCLEDCSCMAYSNLNVSDGSGCSIWFGDLIDLRQILTFQQYLYIRMDASTVDSSGDVSSGKKNHTLAIAVTIPLVIILLLGIIVFYVYMRKRKQREINTLTEERDEDQQDFELPFFNISTMISATNHFSDYNKLGEGGFGPVYKGTLAMDGREIAVKRLSGSSKQGSKEFKNEVILCAKLQHRNLVKVLGCCIQGEERMLIYEYMPNKSLDAFLFDPAQKKLLDWFKRFNIVCGIARGLIYLHQDSRLRIIHRDLKPSNILLDNDMNPKISDFGLAKICGDDQVEGNTNRVVGTHGYMAPEYAIDGLFSTKSDVFSFGVLLLEIVSGHKNKGLTFQNNNYNLVGHAWRLWKEGNSKELIDDCLKDSYIPSEALRCIQVGLLCLQLHPNDRPNMTYVLAMLTNESVLAQPKEPGFIIQRVSNEGESTTKPFSMNEVTISVIDAR >RHN56657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32609667:32617619:1 gene:gene32092 transcript:rna32092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MVHTLIQTWRWMMLPKVWRFVGFASSLVGLVCYALSSSFNYLFGEWNLLKIFLYSAFSFIICLVILFAKKLQHSSSLRFKAHSAFLVLTLTSIYSFFFDKVMNGKPDAYSLISCAAFAIMSLSLSRQTQWGFEIDLLYFFLGCLIVQLMKIKLQLLILGAGFSYCLIILRSSFSSLDTGENSQYSSELQDENSVILYVDSLQSPGVNNNISLQSPSVNNNISSATSSIDSPQLVISTNVGSMMEQLGTYVKALEHENSNLIQVISEHVEKYVEEHSQLVVTDPNLMMDALKPQTINDLEEIAKVMCMAGFEKDFSDVYNNCRRECLDKCLMHKLFGLQKLSIEDVHNMSSKDLEDKIERWIRTFNVALKVLFPSERRLCDRIFFGFSSAADFSFMEICRESTIQLLNFFDYVSSGSHSPERLFKILEVFETLRDMIPEFASLFCDQYSMSLRNEATAIWKRLGKTIRDIFKELEYLIGRDLTKVINFGGGLQPITQHVMNYLRVVCRSQQTLEQVFYDSSLSSKIHRIIDTLESNLEAKSKCYVDPSLGYIFLINNHTYIVEMTKDNELGTLLGDYWLQKYTEKVWHYHRQYHKTKGAIASSVVLDFFRSNYERIRNIKGGEVLEVYQEDMKEIEISPLQPGERRKKLETEITTIVISPEPQFGNTYKMPPEDGFTWTKYGMKEIPGFIYPRSYYRCNHVKLYACRAKKKVQQLGDHPNIFEVRYNGGHTCRMSLTIPSLFVPARQPLDISIDGIQSTMPTSSTLYSRRISSGMPGKSINSMPEAKLAAPSKSEEYADPFLRRFFITGKSINSMPEAKLAAPSKSEEYADPFLRHFFMTGKSINSMPEAKLAAPADGGHHLMMAKIMAYLLFAIRSQHIKVVNRDRTFSIQTEGTMELLESILASKSEEYADPSLRHFFMMNNWKYLEVTNRPSDMDAVFGDDWLQKIRAKVQQNIELYQRNSWDKVLEFLKLDINDSMEVNFVVDLMKEKISLFNKHFTETCRVQCTWSIHYYKLRKEMIESLKNTLLPAYGIFIGRFQDFLKTDAYEYIEYGMFDIHDILDNLFLGNKKNK >RHN78459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15334831:15336149:1 gene:gene2027 transcript:rna2027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MDVTLDVCPPPGKQQAYVLTQLQAVEKIDVCIGHETVAYLNRKEVQKALHTQLVGNWSTCTSVMVYDFQNLENPTISMLGKLVKSGVRVLAYSGDQDSVIPLTGTRSLVTGLAKELGLNTTGAYRPWFMGRQVAGSTQVYGDILSFATIRGAGHAAPFTQPGRSLVLFKAFLEGKQLPKH >RHN50621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8604956:8611098:-1 gene:gene34929 transcript:rna34929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloride channel, voltage gated MGEELSFVMENTSMEEEVEERDPERNPMNEPLLKRNRTLSSNPLALVGAKVSYIESLDYEINENDLFKQDWRSRSRGQVMQYIILKWLLAFLVGLLTGVIATLINLAVENISGYKLLTVVGYIQQERYLMGFLYFLGTNFLLTFIASILCVCFAPTAAGPGIPEIKAYLNGVDTPNMYGATVLFVKIIGSIGAVSAGLDLGKEGPLVHIGSCIASLLGQGGPDNHRIKWKWVRYFNNDRDRRDLITCGASSGVCAAFRAPVGGVLFSLEEVASWWRSALLWRTFCSTAVVVVVLRAFIELCHEGKCGLFGEGGLIMFDVSNVAVSYNVMDIVPVAIIGIIGGVLGSLYNYLLHKILRVYNLINQKGKIYKLLLSLSVSIFTSACQYGLPFLVKCTPCDDLSMCPTNGKSGNYKQFNCPNGHYNDLATLLLTTNDDAVRNIFSTNTPHEYQPFSILIFFTLYCILGLITFGIAVPSGLFLPIILIGSGYGRLLGIIMRPYTNIDHGLLAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSVYEMILHLKGLPFMDADPEPWMRNLSVGELIDVKSSVVSFRGIEKVSNIVDALKNTTHNGFPVMDDGDEVEIVKLHGVILRAHLIKVLKKKWFLKEKRRTEEWEVREKFSWVELAEREENIEDVIGVTKEEMEMFVDLHPLTNTTPFTVLESMSVAKARILFRQVGLRHMLVVPKYQASGVSPVIGILTRQDLLAYNILPVFPHLENSKGREKSN >RHN76785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1276946:1277605:1 gene:gene162 transcript:rna162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MHSLMAHTRTYYCIFMFFLFFIYFVPTFGDTDGQVLLRFRSFLSNANALNNWVDESNLCNWAGLLCINNIFYGLRLENMGLGGKIDVDTLLRLPNLVSFSVNNNTFKGPMPEFKKVVSLRALFLSNNKFSGKILDDSFEGMENLKSVFLAENEFIGHIPVSLAKLPRLLDLDLHGNGFEGNIPEFQQNDFRVFNLSNNQLEGPIPIRLSNEPSTSFSGK >RHN56002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26659171:26661566:1 gene:gene31314 transcript:rna31314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative periplasmic binding protein-like I MGRKSSLQLSILCFNIVLLLLAWSRVKRSHCLVPQQKSVMSIGVVLDLVSLMGKHQKIAMEIAVKEFNNQLSSSKLDLQIKDSHGNSAQVISSVMDLSRSDQVLAIVGTITHKEATLASEFDDNIKNNPILSLTSFAGRQELLSPRLPHFIQLRDDINHHIQCIAAIVGEFRWKKVAVIYEHNNDDFSSDPEIILSLSNSLKLAGSEIESHLAIPSLSTLSDAESTIENELNELKRKSNRVFLIVRSSLELANIICEKAKQIGLMEKGSVWIIPDEVAGLLDSVNSSVIFNMQGVVGFRTHFIEMNKAFRKFKFLFQRKFALEYPEEDSVNPSNFALQAYYATKAIAEAANKLSQVKFRLEQFSEKILSSKFERLSAKTFSKNGRFLQSPTFNIINVIGKSYRELGFWSSTLGFSKNIVRHQVMETTNATHDSDGVFSTVYWPGDLQSVPRGWIHGNEERLLKIGVPANGVFTQFVNVTHDSRNGTLITGFSIGVFKVVVERLPYYLQYSFIPFNGSYDEMVYQVYNKTLDAAVGDTAIVEYK >RHN49729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:690912:691773:1 gene:gene33936 transcript:rna33936 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKNSKKTQIEKSLESEAKKWVIAGISLRSLKPINTKMSTKNGAVFDEEDEENSTTPTAKEARIPVNMSCPPAPRKKKVSKCNNVVGGREFFKCPDLETVFKVRVEKSV >RHN62716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45376518:45381352:1 gene:gene25317 transcript:rna25317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquinol oxidase (non-electrogenic) MKHSALCYVARRALIGGRNSNRQSSAVVRSFAAAEIGQKHLYADGGNGGLFYWKRMMSSQAAPSKPSAEETEAKSTEKNEKKKEESSGTKNNVVASSYWGISRPKIMREDGTEWPWNCFMPWETYQSNVSIDLNKHHVPKNFLDKVAYRTVKLLRIPTDVFFKRRYGCRAMMLETVAAVPGMVGGMLLHLKSLRKFQHSGGWVKALLEEAENERMHLMTMVELVKPKWYERFLVLAVQGVFFNAFFVLYILSPKVAHRVVGYLEEEAIHSYTEYLKDIDSGAIENVPAPAIAIDYWRLPKDAKLKDVITVIRADEAHHRDVNHFASDIHFHGKELRDAPAPLGYH >RHN49797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1185893:1186448:1 gene:gene34014 transcript:rna34014 gene_biotype:protein_coding transcript_biotype:protein_coding MILCILQEIKLPRYIMHYAEVRTSNSLSFWASLLGLSRVALFLDS >RHN53110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42060255:42060819:-1 gene:gene37875 transcript:rna37875 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQEASNDITKGGIMFPCSKASYMKANTQKDKEICDYTHKSTLVHPNMLPNALSICHVLWVFLILLKHGFLAYAPWAWWEPGRTAFSTFLVENHIFLPKLSWKLAYKYQTSHLK >RHN61475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35729998:35730798:-1 gene:gene23946 transcript:rna23946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAQGVISLTNQAPAQDFVGIEFDPNTLKVRHESAISALYGDLPRQCTTCGLRFKSQDEHRSHMDWHVTKNRMSKNRKQKPSRMWFVSETMWLSGAEALGAESALDFLLTETTEEKKEDEKLAVPPDEDQNTCALCREPFEEFYSDETEDWMYRGAVYLNMPNGITTGMAMSQLCPIIHAKCRSESTPSEVFVIDEGVCVSHIIAFLCIVFFFVPLDVFNSLTNLCFLWENNTS >RHN41710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32259910:32260582:-1 gene:gene48060 transcript:rna48060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative defensin, plant MARSLPLVSTIFVFFLLLVATEMGPIMVAEARTCETPSNNFKGLCVSDTNCASVCQTEGFPGGHCEGFRQRCFCTKPC >RHN62690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45187360:45194119:-1 gene:gene25290 transcript:rna25290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP cyclohydrolase II, 3,4-dihydroxy-2-butanone-4-phosphate synthase MASSLNLSTPSLSRPRACKNFKLLNGFNSLSPSMINVRGSDFAFVRLSSKFPSCRNAALTSGGGDLKNEDLVGVESAQPNAVALGTLGADTTLTGSGFSDDNDDFDSDSPTKGFASIPEAIEDIRNGKMVVVVDDEDRENEGDLIMAAQLATPEAMAFIVKHGTGIVCISMKEEDLERLELPLMVNSRDNDEKLRTAFTVTVDAKYGTTTGVSAQDRATTVLALASKDSKPSDFNRPGHIFPLKYKEGGILKRAGHTEASVDLAVLAGLDPVAVLCEVVDDDGSMARLPKLRQFAERENLKIISIADLIRYRRKRDKLVERAGAALIPTMWGPFEANCYRSLLDGMEHIAMVKGDIGDGCDVLVRVHSECLTGDIFGSARCDCGNQLALAMQQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDEGRDTVEANEELGLPVDSREYGIGAQILRDLGVRSMKLMTNNPAKYVGLKGYGLSISGRIPLLSLITNENRRYLETKRVKMGHMYGLEFNSKLNGNASSVDDSNTAPGT >RHN55892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25195364:25197846:-1 gene:gene31171 transcript:rna31171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKFIYIMILFLSLFLVQFLTCKGLTVPCENPTTCPEDFCTPPMITRCINFICLCDGPEYAEPEYDGPEPEYDHKGDFLSVKPKIINENMMMRERHMMKEIEV >RHN81258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44386609:44389255:-1 gene:gene5274 transcript:rna5274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bis(5'-nucleosyl)-tetraphosphatase (asymmetrical) MVLCRFAYSPASHLSFPKYPPKYAKLPSLPLSAAWRYSTSTMEAPPEGYRKNVGICLINNQKKVFSASRLDIPNSWQMPQGGIDEGEDPRNAAIRELREETGVTSAEIIAEAPFWLTYDFPPKVRERLNLQWGTDWKGQAQKWFLFKFTGQDQEINLLGDGTEKPEFGQWSWISPEQVLKLAVDFKKPVYKEVLASFAPHLQ >RHN61887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39148917:39149557:1 gene:gene24398 transcript:rna24398 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFSVYHKTDVKNLLLNFKANVPHQRNKGQMWKRRFHISSYLM >RHN76152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48052882:48058174:-1 gene:gene12435 transcript:rna12435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc/iron permease MDSQVMVALALSLVGGLSTSIGALLVIIKPAPNLKMLGLLQGFAAGLMLSISFFDLAHNAINSLGFLKGNLWFFAGVIFFAAVVSFIPEPTLTPTADVKTKKNKGDEGGKDIMKKHRQQVLFSGIITAVGISLHNFPEGMAVFLGSMKGLRVGINLALAIALHNIPEGVAVALPVYFATQSKWQAFKLATLSGFAEPLGVIIVAYLFPSRLNPEILEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQSVKAVFFGMAFMSASLYFLSISLPEEISL >RHN72613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9149164:9150927:-1 gene:gene8356 transcript:rna8356 gene_biotype:protein_coding transcript_biotype:protein_coding MANVPMRFQRVMEAFDADVALSRGKLCSESSGSEHSPESSPDLSDLVLSFMEDSERSGEEKDVVARSKDCDGKGAEDVEEIGEWCDSEKNEILKGLFGGNEDVVDEDEKDAKERIRREVELAIGLLGSDSFPGFKRSLMSCLREKGFDAGLCKTKWEKKGKLTAGDYEYIDVNLSGKRYIIEVSLAAEFEIARPTNQYSSLLNIFPKIFVGKMEELKRIVRLMCSAIKGSMKKMDLHIPPWRRNLYMQTKWFSSYKRTTNAVATIKASSHFSVESFCPKKFMGFEARHVKAYNCRDDYVGINNGFRVGHLAAALNSDNFGL >RHN58803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5017055:5018008:-1 gene:gene20751 transcript:rna20751 gene_biotype:protein_coding transcript_biotype:protein_coding MNLELITSGTSYGILQERRLPQESLFGIERCYSIFLFDFLPLSRVQVKVLW >RHN62295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42413794:42415612:1 gene:gene24853 transcript:rna24853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protoporphyrin ferrochelatase MLSTLESGIENWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNPEARQSLVPLGSVEELLVAYDSQHRECHHQYWCGNGNGQGVLKLGMEEQL >RHN52229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32835128:32840268:-1 gene:gene36877 transcript:rna36877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metallo-dependent phosphatase MVVRFSPFSLTLSQQPHNHQSSCFSKQVTVSCNYGMKRFNLGRQQILSSALEDTDGLRVFVVSDLHTDYDENLKWVESLSSVDYKDDVLLVAGDVAETYNMFFITMSLLRERFEHVFYVPGNHDLWCRREGQNYADSLEKFNKLLDACKRIGVETNPTVVGALGIIPLFSWYHESFDKEKDITGYRIPSLEMACKDFHACNWPNGLSNGDTSLSLYFDALNDNQIEMIQEIQKTCDHIISFSHFVPRQELCPEKRMLFYPKLPKIIGSDPLEDRIRSIHGDMGRKDASSCHVFGHTHFCWDAVVDGIRYVQAPLAYPRERKRRMNGGENWLPFCLYADKKFTDKLNPCFWSDYYSVNPRTPHDTKLAPWVARFYKKKQSIDV >RHN63562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52234127:52234894:1 gene:gene26276 transcript:rna26276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRAKIPMKYIQSAKSRKITFKQRKQGLEKKISEFCKKSGAKACFIVYDGDHNTVEPMTWPEDLISVNSLLQEYQNQKIEKTPKIFDVKDYFENKKEKVETKINKVRRDIISNIYPTWHPHFSNLDGNQLRDFIATINAKIQACNHKISMLKNMQQIETNTLDICEMIDFSDLGDFPPSSPLNQLNGLVEMNDQVVNPDYTSEIVDFTSLGDLPPNSSSNQLSQHVNLNDWNQLDDGVLCWDCQPDEFVWKDLSF >RHN78208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12817593:12821186:-1 gene:gene1742 transcript:rna1742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MGRIKPQALLQQSKRKKGRYHMSPINIVFYTLTLVIFVFFLFATFKYWSNRTRFQPENHTSVFEDENPSVESKKLDLPGYAVLRTSKGSIIIELYKESVPEVVDEFIDLCQKGHFKGILFHQVIKHYVIQASRNKGSGSTEDWNQRGKKYTSMKHDAFMLGTSKDKYFNKGFDLFITTAPIPDLNEKLTVFGRVVKGEDVVQEIEEVDTDEHYQPKISIGIIDVDLRQMM >RHN44242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2765450:2774671:1 gene:gene38337 transcript:rna38337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif domain, nucleotide-binding alpha-beta plait domain-containing protein MAEEDEMIVAAPDCKRKFEDLHSNDGENKSPRLDDDNLNHLEENASSEEVQDVSKDNTEETTDPTDTKEIPVEDAKEIHVDSSSIDPTFQHYASSSRQNQPISGSDTTTTREIEVPSNKELNLKDLLGSIHEFENLMNAMFPEADAGGSLALLDRVLSPTQDIVESDQIQIQVPNEKFNLNDFLESIDKAEKLLNAMIAEDDAGGSPAFVARGLSPADAIVGSDQIQIQVPNEKFSQMPRGKANCSLVAKKSEPEPEKTVESDEKIDLEEENDPEEEMEEIEYEEVEEEEEVEEIEEEVEEKEEDAEEEEEEEEKEEEEVEEDDTMQNLDDDDEKKKHAELLSLPHHKSEVYVGGIPLDAKTEDLKEFCECIGEVVQVRIFKGKDASENRGFAFVTYRSIELASKAIKELNNTEFKAGKIKCSKSQAKSRLFIGNIPRSWGEKDLKKVVSDIGPGVTAVELIKDMKNISNNRGYAFIDYHNNQCAEYSRQKMTSPSFKLGDNFPTVNWAEPKNADSSASSQVKEVYVKNLPKNVTKEQLKKLFEHHGKITKVVLPPPKPGQEKNRIGFVHFAERSNAMKALKNTEKYVLDGQILECSLAKQQADPKAVVSNIQTQGSLPRYPPHVGYGLDGNPYGVLGAGYGAPGLAQPFTYGLGQTPGGIAMMPKLLVDRRIRYVLQQPGLQPHPTHPAHPTFTSKRWHEWRRW >RHN54151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7340215:7342518:-1 gene:gene29142 transcript:rna29142 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQSSKGIDSNTDVPTPIQMGAHSLYAADLSSYEAACVNDPNLQSFDATIQERTNRVINSLAQGIEVRSISLESLGEVTGSLLDMNQEVVKVILECKQDIWNKKDRDLFSLVEDFFENSLQTLEFCNALEKCLKRAREKLVVVKSAMTCFDEEVQNGVEGSTYVKTLKGLKDFKETEDPFTEEFYSLFQSVYTQQSSMLKKLLIRKQNLDKKLKSHKTLKRVSSVIFVAAFVAVLIFSVVAAAISAPPVVTALAGALAVPIGSVGKWCNSLFKKYETALKGQREVISSMHVGTYIALVDMNNIRVRINQLEIKIESMVQTADFALGNEDAVKLAIDEIKKKIETFAEIIESLSVQADQCSRQTRKARTVVVQKIIKYSS >RHN71517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:717360:718932:-1 gene:gene7126 transcript:rna7126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperone DnaJ MASSLKRIMSRRSSSSTSSRSSSKFDISEPELLPNCASSPANDFVIKNPREEHFHSVSLSSNLNRRSTTPIIFSQTTVRRKPPVVEKKLQFTLEELCFGCVKKIKVTRDAIKDPGVIIQEEEILKIEVKPGWRKGTKITFEGVGDEKPGYLPADIVFLIDEKEHHLFSRNGNDLEICVRIPLLDALAGCSMPIPLLGGEKMNLAFENTVIYPGFEKVIEGQGMPNPKNNSTRGDLHVKFLIDLPTELSDEQREEVVTILQDCCYKN >RHN42888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41705961:41709343:1 gene:gene49388 transcript:rna49388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-OST1L family MERYEIIKDIGSGNFGVAKLIREKWSGELYAVKFIERGFKIDEHVQREIINHRSLKHPNIVRFKEVLLTPTHLAIVMEYAAGGELFERICTAGRFSEDEARYFFQQLISGVSYCHSMEICHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRREYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFKKTLQRILSVHYSIPDYVRITQECRHLLSRIFVANPDKRITIPEIKKHPWFLKNLPLEFMEDGENWSQNDESNSSQNIEEILTIIQEAMKAGDGPKVGDQFLGGSMDFDDLDTDADIDDIETSGDFVCAL >RHN78181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12518696:12524848:1 gene:gene1710 transcript:rna1710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MRKEESTPFGRPYNFMAPQDDQTPNKPMLPHWTTAMQAYYNPGSTPSPFYNHPLAAAPYLYHQYILQNQANNVLLQRDALHDPVRLSNKPHVVVEDAAKAFSEMVHRNFGQKNQDFNLFARNSQPVSLMTGESREFGNQSSAPKNDRDGTSMSAASGSKRSPDRDQDDSNKNSPLTKKHKSNMITADENSSGLAQNLGTVIKESDADIVNVDAQLKNMEGDDIRKERKRLSNRKSAKRSKIKKQQECEELYNKIDTLKDENSVLAQTLAKLSEECLELANENDSIEEELVKKYGPESIADLLLIKPA >RHN62176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41431222:41437636:1 gene:gene24723 transcript:rna24723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MQGQRRTIGSFPTIVNMMQGPSSNGTDMNHQSSLNHAQNAVDFRLSDYRGSSGETACLRGTGPNVSFNGWNTGEPSSGLNLVNQVNDDGLKSEQRLSSSCSAIAEDGLRPEERQLLGNQSRIHPSFLQGSSSNHTAQGINFGMEHIANSSDRGKGKETGSGVNNNDPFGLDREKTSIGSSSFNQTGASSASSGYMAWGDSGSSSSSLANWGPSCKRKALEDSSMQLCTGGSSSSLVQSENGYWLTDSVDLNVPGSLGDLSPLEDFRVTSPPFQQNTRNEVRQEASNAFPSMVSIAENVERPLRNFDRRMTHLHHPESVPLNLTSTGSARHHNYPSPHQIPGSLSFNESLDLRLAAGVTAANSAVPQNQSPSLHMHPFPWNRAANPRVARSSSSYSSGERAVRDDFNLRIFPRDSTEHPMNMPASSGHEPAGWYSSSSNLNNAGGIPPPSWIGSSSNVHSLPNPSWTFNHEVPTENLQRVSEFSPWSLFPSISSASGTHNGHSSSTSGPPSFSQGSSSNQPHPRPSFMTERRGGDVLSAPHSLRTLPFDNEGRRRLISEIRQVLLAMRRGENLRAEDYMLFDPFLYHGMAEMHDRHREMRLDVDNMSYEELLALEERIGDVSTGLSEDIINKLMKQRFYMSLMTESSSDLEPCCICQEEYVDGQNLGLLDCGHEFHSNCITQWLMQKNLCPICKTTALAS >RHN58965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6276627:6278412:-1 gene:gene20935 transcript:rna20935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MEVAQVLPMNGSVEEASYANNSLLQRKVISLTKSLRDEAITSLYCNTLPRSLAIADLGCSFGPNTFLVISEIIKVVEKLCRELNHKSPEYKVFLNDLPGNDFNDVFMSLDTFKEKLRNEMETEMGPCYFSGVPGSFYSRIFPDKSLHFVHSSYSLQWLSKIPEVGDNNKGNIYLTSTSPSNVHKAYYKQFQTDFSFFLKCRAEELVEGGHMILTFLGRKNSDPSSKESGYIWELMAMALNDMVLQGIIDQEKLNSFNIPNYYPSPSEVELEVLTEGSFSISRLEVSEVNWNALDNWDHFNDGYNVAQCIRAVAEPLLASHFGEGVIKEIFNRYKKILGDRMSKEKTKFTNLTLMMTRKA >RHN59120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7684387:7700052:-1 gene:gene21110 transcript:rna21110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exoribonuclease II MAVRAVNTYSLLRPSSPSLSTVPRFFSPHHPLPSALRFHPPSSSHVCHTQTRSIKSLFETVMEELQAKRKLRKKIVSVNASSSNTELLNEEHVEDRLVKRSLQKGLLLEFKKDSDKILLAVAQRPDGKKNWMVSDQNGVTSSIKPQQVTYIVPGISNFDQADITHFVQKAQYNMDPSLLEFAWFELHEKNKSVTVEEMAEIIFGRVEPLESYSAHLLLSKDEIYFTILESKGLRCVYGPRPSEQVEELIRRKVAKEAAEKEFQNFIKLLGSAKSMPSHDKPSKSSWQDDEKIWSRIESLEAYAIDACISDKQRETAGIILKEMGLAKTSSSAVNLLIDIGYFPVHVNLDLLKLRIPTDHLEKITSAAQSLLSDSSDPDEINRKNLTNLKVYAIDVDEADELDDALSATKLQDGRINIWIHVADATRYVQPGSIVDREAMRRGTSVFLPTATYSMFPENLAMGAMSLRQGEVCNAVTVSVVLHDDGSIAECSVFNSVIKPTYMLTYESASELLNLNLEEEVELRILSEAANLRLNWRRQQGAVETATLEARIKVSNPEDPEPSINLYVENQADPAMRLVSEMMILCGEAIATFGSRNNIPLPYRGQPQSDINLSEFSHLPEGPVRSFALVKIMRAAEIDFRKPARHGVLGIPGYVQFTSPIRRYLDLLAHYQIKAFLRGEPPPFTSGNLEGLASGVNEKVRAVRKLSNSSLRYWILEYLRKQPKERRYRALVLKFLKDRIAALLLVEVGFQATAWVSVGSQIGDEVVVKVEQSHPRDDIIYVKEVARG >RHN65278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:803007:804197:-1 gene:gene13100 transcript:rna13100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVKFLVNRNTGRKSTMLLRWLNRIFQSRDRAPLPTLPFDLVAEILCRLPVKLLVQLRCLCKSFNTLISDPKFAKKHLQMSTKRNRLMLRSTNNLGKLFLYDSPIQSIFSTSRVKQTQLNYPNRLMNSHSCAYSCDGIVCIAKTNFNSCAVLWNPSIQKFKILPPLETSPNRRAYISLYSFGYDHFIRNYKTVVISFVTDNSFRAGKYEVRVLALGTDSWRRIQDFPYLNTSSKPGVFMSSTVNWLTHDNLRILIVSLDLENESYQNLWTPVLPRTRIANNHDLGVLKDCLCIFANCDMFWDVWVMKEYGNTDSWTKLYHVPYMRYRGLWINTKILYVTEDDQLLMKVHELVSSSKVKLVVYNSKSGTLKFPKIQNIDYLMDPEVYIESLISPCSKY >RHN55617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20085641:20085973:-1 gene:gene30820 transcript:rna30820 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDAQVEVEAHVRYSAFMKTIKVFLTPTNKLDELKTQLDRYFSHLGENQRASHVFVQVSCVSLGEDKDEDNWKTIYFPKIIPDDGNIDYMFRLMVENNMLHLCVRSIEV >RHN43379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45294589:45297535:-1 gene:gene49942 transcript:rna49942 gene_biotype:protein_coding transcript_biotype:protein_coding MVNWTSAIAIHPFDPVAQTLPEPSKWKEYKGGQNFFVQILRARDVLSMRKCAEPNSGSSSKKVKMHSAMYNNPVPLGHQGRVKLRRSERRPISVKSDVLVQAEVPQWTGVVYESDSKWLGTQVWPVKDDSKPTTETDLVGRGRRGKCSYNVQGSVDCVRLHIAANRTKLKLELGSAFYHWGFDKMGEEVSLRWTADEEKRFKDAMRLKIPSQNKSFWNNPSIYFRRKTRKDMVSYCFNVYLIQLRSYRNRVNPKTVDCNNEEVEFGSFGYGFGMKAIKHPSMEFMEYSENTQCFDFE >RHN39151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4522256:4525437:-1 gene:gene45150 transcript:rna45150 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGSIGDGFIDELLADDELSRMIESVEVPGLGSSGDMDVDQILYMDTPSTAMPSGSSSQFGVPPHEGDRQMVVFSGPQLSNMMVSTDVYANDITVVPRSGSSYSNVENDAVLCGCYYLNEDVNRIVNHPILGTHLYHRNKAAIVQKISKIRVSCNKKKTPTPWEEIRLEKGPPPTVPNPQFLHRHPDANLPVEQSPLRVRVPQLAPSQHPHCSQARVPQHEQTQHSIAQQHAPSDLQSMFDAMETRLGKKLDDTESRLGQKVDDVVGAMELRLGKRVNDVDDKVKAVKDHLKLP >RHN69541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44229849:44232602:1 gene:gene18022 transcript:rna18022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-disulfide reductase MALENCLRVSTARPQCLPSLFPTSREKVVFSAQRAGFKKSVLNSTLSFPSGVAYRKSRFICNAREAVNEVGAVTDSSWNELVLASDTPVLVDFWAPWCGPCRMIAPIIDELAKEYAGKISCYKLNTDENPNIATKYGIRSIPTVLFFKNGEKKESVIGAVPKSTLSTTVEKYIDA >RHN68521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36185900:36188059:-1 gene:gene16884 transcript:rna16884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase METQKSQSKLTRTQSSLLNLTSSPTIRSSSIQSLSSINDCYYDEEDDKNNKKIKTNKKIQTPRIGSTRLTTPVIAVVSFGSLGACSFFFYFSFLGSDEVPTSENLLLALIFIAVALYFISKNKGLINYSVSVLKQWYEINIKRFGLTKTESKPVQWFIGGSGSTATTTKTAIEKKKIKEGVEFYSNGDFYEGEFHKGRSNGSGVYNYFVNGRYEGDWVDGRYDGYGIESWARGSRYKGQYRKGMRHGYGVYRFYTGDSFSGEWCNGQSHGMGLQTCSDASTYVGMFKHGVKHGLGCYHFRNGDRYAGEYFGDKIHGFGVYHFANGHCYEGAWHEGRRQGIGSYTFRNGDRRCGEWDAGNLKHPMPPLTDVVLRAIQAARKTAENAINLKRVEDQVNNAVIAANKAATAARVAAVKAVQNRMDGKFCETFV >RHN48905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51051365:51051753:1 gene:gene43671 transcript:rna43671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MDLLASLTENKPVVMFSKSTCPMSHTVRELIRGFGTEPYVIEIDKMPNGQQIERALIQLGRRPTVPAVFIGQQFIGGIDELISLNVQNKLVQLLLKAKAIFFW >RHN48502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47994432:47998095:1 gene:gene43223 transcript:rna43223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MQVVWSGIMKCFPFHSSDKKDEPKGLQSASGRSDSSTYVDVEGRRCGSELNSQDISDSGSVESLRRNAPPNLSQRPSNLRVFTVSELKSATKNFSRSVMLGEGGFGCVYQGVIRSVDDPSRRIEVAVKQLSKRGVQGHREWVTEVNVLGIVEHPNLVKLVGYCADDDERGIQRLLIYEYMPNRSVEHHLSHRAETPLPWNRRLKIAHDAARGLTYLHEEMDFQIIFRDFKSSNILLDDQWNAKLSDFGLARLGPSDGLTHVSTAVVGTMGYAAPEYLQTGRLTSKNDVWSYGVFLYELITGRRPLDRNRPKGEQKLLEWIKPYLSDTKKFQLILDPRLDKKQVIRSAQRLATIANRCLVKNPKNRPKMSEVLEMVNGIVESSSDTNSQLPLKNVSRIEVSHETEMRNTKKRTMDQKPRESNWFVRLWRPKLIRT >RHN63574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52338032:52340380:1 gene:gene26292 transcript:rna26292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zein-binding domain-containing protein MATRSFIRFVERNIGKFPHFLLCMMLEWVLIISLFIDGLLAFFITEFARFFDLEIPCWLCAKMNHFLTHKTPNFYYNSSMCEAHKKDVSSLAFCYNHKKLSDTRKMCEGCLLSFATQKESNCDTYKSLVGILNKNLDCFVEDSGNIQLSLKDEGVIQVEKNGTQKCSCCGAPLKLKSSISKRKNLVSNLQAPTASPRAHPFIASKCEESRGTESPRILNAKKDYELQQNEDDNDNNLKNQSLELKEVNKGAFWPLLTGSDDINVESPKTPSFPWGSRFFGVPPTDSPNNSPRWSSLSSVSGKKSPLEKMESTSDSTEGNCQDEEVDAVLNNLKRQVRLDRKSLMALYMELDEERSASAVAANNAMAMITRLQEEKATLHMDTSQYQRMMEEQIEYDEEVLQETNELLLKLEEEVKTLDAELEIYRDKYGCLTEDDIKAHGGNNFPFRSVEGEDDVEKDLDLGQPDSSKANNGGGKFKESLKDFRMEKTYLLARKKMENGYPLTEN >RHN82742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56045747:56047295:-1 gene:gene6926 transcript:rna6926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chalcone isomerase MATPSVTSLAIESIVFPPTMKAPGSTNNFFLGGAGVRGIQIQDKFVKFTAIGVYLQDIAIPYLAAKWKGKPPHKLTESVPFFMDIVTGPFEKFMRVTMIRPLTGQEYSNKVSENCVAIWKSLGIYTNEEAKAIKKFVSVFKDETFPPGSSILFTVSPKGLGSLTISFSKDGSIPEVETAVIENKLLSQAVLESMIGAHGVSPAAKQSLASRLSKLFKEEDEDSVLQ >RHN63916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55026220:55026579:1 gene:gene26672 transcript:rna26672 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNVGSGSSGSRRSHEDSVGSSARPMGREAAKKKGKMKSKGETLEKVEKEWVQFKELKEQEIEQLKELTLVKQQKNKLLQEKTQAKKMKMYLKLRDEEHVDDRKKELLEKLERELFEN >RHN78345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14278388:14283151:1 gene:gene1889 transcript:rna1889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-disulfide reductase MALFHSYTLPHHKISTLSPFSTFSSKPLSVSPSSSAFSFSTYQHPYPLTISTDFNNRKLLCKPPKGQHIKEDYLVKKLSAEEIQELVKGDRNVPLIIDFYATWCGPCILMAQELEMLAVEYENNAIIVKVDTDDEYEFARDMQVRGLPTLLFISPDPKKDAIRTEGLIPIQMMRDIIDKEM >RHN48145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45384732:45386133:-1 gene:gene42831 transcript:rna42831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MGSYIETELIINHKDTELRLGLPGSDDEQSCNGGSIVRSNKRSFSPETSVEEESISKSSGDSNSTTTSDRDHDQDSVQPTKVQVVGWPPIRSFRKNSLQQNKVEDGNGMYVKVSMAGAPYLRKIDLKVYKSYSELLKVLENMFKCTFGEYSEREGYNGSEYAPTYEDKDGDWMLVGDVPWNMFISSCKRLKIVKGSEAKGLACL >RHN71424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30252:37957:-1 gene:gene7025 transcript:rna7025 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTMFLDWYFKIGVASALVGASMEFFMVKTGFYDKVTVLESEKRALENSPEAQAIREALNPWRHVDTKETNKP >RHN70989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55653754:55657449:-1 gene:gene19629 transcript:rna19629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MPEPQKNTSPPMPLSTTPPPSKKKRSLPGMPDPDAEVIALSPKTLLATNRFICEICNKGFQREQNLQLHRRGHNMPWKLRQRANDEILRKKVYVCPELSCVHHNPSRALGDLTGVKKHFCRKHGEKKWKCERCSKKYAAKCDLKVHMKSCGTREYKCDCGTVFSRKDNFVTHRRFCDGMVNESNNNNNNVVVVVSTSSSSPATPPLTPSTISSVMVSPTLSSTQCSENQTRLSPSSNASTSTSFCSSFSSLMSSLPHSDIPTSFSITEPTTLSLFTPLYLSNNNNNDHPFPHYNTASPQPVLSATALLQKAAQVGSSSSNASLLRALGLKDNSVSISSTTTDQWNSHGHVKQENEPVADYLGLGLPCGNELRGSSNQPMTRDLLGLSMGLGREDDDLSALLTSFGGNLD >RHN64917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62952694:62955444:-1 gene:gene27794 transcript:rna27794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative XS domain-containing protein MLLYTYELTFVTVCRASMDYSSEEESDISESEIEEYSDKPYKELKDGKYKVKNLNGTLRCPYCAGKKKQEFKYKDLMQHETGVSKGSANRNAKQKANHLALAKYLETDLVNEADQIPPPALAEAVNQPVPQVENYVGHGQEFAKYRPLDVHVFFMDGDTQAVVDFNNDWNGFKNASEFEKSFETKHHGKKEWNSMDMLASSDIYGWVAREDDYNCGGPIGEYPRNKGRLRTISDIVQEASERENSKRENLTNEIDIPNENLNIIRYKYNEKTMSLSRMLEENDRLHNAFVEESRSMQRKAREELRRILEEQEKLRNELDEKMRKLDTWSRDLNKREVLTDQERQKLEEDKKKKDSRNESLLLASKEQNIAYENVFRLVEDQKSVINEEDEKLKKLKQEWGDEIYSAVETALKEVNEYNPSGGYAVHELWNFKEQRKATLKEVITYIVEHMI >RHN66990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22520980:22531203:-1 gene:gene15119 transcript:rna15119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MAVMSVHQFAQCITCHAWSPDQSMVAFCPNNNEVHIYRLVEDKWEKVHVLQKHDQVISGIDWSARSNRIVTSSHDRNSYVWNLEGSEWVPTLVILRLNRAALCVQWSPKENKFAVGSGAKTVCICYYEQENNWWVSKLIRKRHDSSVTSVSWHPDNILLATTSTDGKCRVFSTFIKGVDAKDSKKSTSDMKFGELIVQLDLSSSWTFGVKWSPSGNTLAYVGHNSMIYFVDDVGPSPLAQNVVFRDLPLRDVLFVSERTVIGVGYDCNPMVFAADERGVWGFVRYLGERKTVSSGSRYGSQFSEAFGKFYGQSKYGVGNDAVETSKTRGAVHDNCINSIIPLGEHGTLTRRFSTSGLDGRIAVWDLENEQDLLEL >RHN50816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10757521:10758318:1 gene:gene35151 transcript:rna35151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA synthase MQLQAHPNSYALVLSTENQISSLYKGNNPSMLLTNCLFRMGGSAALLSSHPSDRSRSKYQLVHSLRTHVGADDSSYQCVFQEEDEKEIVGVKLSKDLMNVARDALRVHITSLGPLVLPISEKLKYVKNLVERKILKKKIEPYMPNFKLAFDQFCMHTGGRAVLDRMQKSLELDDFHMEPSRMTLYRYGNTSSSSVWYELSYCEAKGRVKKGHKIWQMAFGSGFKVNTAVWYALKNVDPKSLKNAWMDEIDDFPVPLPMNKHMIKA >RHN51341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16477585:16484099:1 gene:gene35766 transcript:rna35766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MIGDFQNNYRSGWDVMPFNHDMPFNDFSLESLNLNFDYQIPHDMGFADDRPSSHHTSAHYADNRHLQHRRGHHYADDRPSSHQTSADYSDNKRLEHRKPAHYVDKRQLPDQMPGHYSDKRPLPHQKPKGFAGKRNLPRQPPEDFADKRSLPHQKPEEYADNKCLPHRAPKPYSDKKRLPQQRPKDFADKKCLPHQTPGPTIPALAPVQRRQSNIVRCKICHVTMDHKCLEEHHNGKKHRMKLEELHEQSTERSVPNGRDSRLFPNSSGMNPVVQPMKVAESKKNGHPVKNVCREAPRFSNKEVPAVDSKLKSGDYAGAKDLDFKVENVRPSFKQKNVLAESSKRKLGDNTGPKDRGFKVGNVRPSLKQKNVLAESSTRKLWDNTGPKDCGLKGENVKNEAPILKHKNVPAERSKRKLMDNTGAKDDGSKLDIRGAVGDKYMKMDNGVRKPVKSSKPEANAKSGSVKSLVQRPGLKSSKPEVNAKSSSVKSLVQKPGLKQPSGCVASPKMTPIPVEGSSFEIQSRHVSASLSQESKGNEHHKFQNIVEKNDQPQLVNASTGSNMTHTAGSMTNNQTEVVNSDFAAIKVVTEPLASAPTDASVSSVELLTEHGLHTAVEPQVSEAAVEIDAPADVSTETEAADESSQSEVEMDVLVTVSETTKLPQIPVCLTCGDVGFEETLVYCNKCKACALHRYCLDGPVIFTDEVIWFCEDCETDVVVINDSDSESTDSDNETTDSDIEIIDSEKGEVDSSKGCATAVTIADPQPISDPIWRGSLLVLNKSFDKIITGLLCHLSTLACPKVLEETKHLPNVLDADMIQREAVWPKSFWKFGTNNLSIGLYFFPQNERDERYFDQLVDEMISNDLAMRARVEKAELLIFPSTMLPSKYKRFQSKYYLWGVYRRNQVPTKIHDDASSRNE >RHN50410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6891872:6893260:-1 gene:gene34692 transcript:rna34692 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQNCLDIMRWNNSKDGGSRLRLILKAEQEVQDPYPVVFVDAPSFESGILMNVPYSIQFVQENKSKDQEKY >RHN55473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18791547:18797097:1 gene:gene30658 transcript:rna30658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein ENHANCED DISEASE RESISTANCE 2 MKTKMLAGLVKKLASTTMGSCGSKPNGKSKGHKRRSHKSGKRRGNVSSALTELPLKRVSNAGNRVGDFNLSEFVHLDFDKGGSAACRRSEVSNVKFHLTQVQYHSQIDANGVYQEDAWFDSVDIIESDSDDDFSSVHGDCFPFASGNAMGNVPNTQLLQYESSSCYVDSGCKYEEFYESYLKIDKNGEKIQENNSKQSTVIMLSVTRKSIDANEKNDLCASERFLYRPRAGIQVPVSTQEKAFPGSWSVISPSVFKLRSETFFRDKQKSPAPDVCPYKPIGVDLFVSPRKINHIAKHIELPSVGEHPNVPSLLIVNIQLPTYPASMFIGDANGEGLSLVLYFKLSENFEKEISPCFQDKIKRLVDDEMEKVKGYAKESSVPFRERLKILAGVVNPEDLNLSSAERKLIHAYNGKPVLSRPQHQFFKGPNYFEIDLDIHRFSYISRKGLDALRDRVKNGILDVGLTIQAQKEEELPEQVLCCLRLNKIDFVNHGQIPTIMALEDK >RHN54785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12239198:12244891:-1 gene:gene29871 transcript:rna29871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,4-beta-D-xylan synthase MGSRPYLKKGGSLVRISQLSNDMDQETESLATYTVQVPITPDNQPMSAKDITLERSTSRRVEDQYASSSMFTGGFNQATRAQFKDKVIESESSHPQMAGTKGSACEMSGCDGKVMTDERGLEILPCECDFKICRNCYKDTLRNGEGVCPGCNEAYKEQAMEEAAAAVNRQSLPLPPGASKMERRLSMMKSGNLMRSQTNEFDHAQWLSETKGTYGYGNAMWPKDPVNGASSSSGSDWMGGDPNAFKEKPWRPLTRKLNIRAAILSPYRLIILARMVILVLFLHWRVVNPNDDAMWLWGMSVVCEIWFAFSWLLDQLPKLFPINRVADLDVLKEKFETPSPANPTGKSDLPGIDMFVSTADPEKEPPLVTANTILSILAVDYPVDKLACYVSDDGGSLLTFEAMAEAASFAELWVPFCRKHDIEPRNPESYFSLKRDPYRNKVRSDFVRDRRKVKREYEEFKVRINGLPDSIRRRADAYNVREEIKAMRLWREAANDEPMENLKISKATCMTDGTHWPGTWTTPAPEHSRGDHSSIIQVMLKPPSDEPLTGPESDSNGMNLTEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAVMSNGPFILNLDCDHYIYNSEAIREGMCYMMDRDGDKISYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGIQGPVYVGTGCLFRRTALYGFDPPRVQEEATGWFGSKKKNSSTVASVPDVEDQSLRNGGSIDEEELSSALIPKKFGNSTLFVDSIRVAEFQGRPLADHPSIKNGRQPGALTLPRDLLDAATIAEAISVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAILANSRLKFLQRIAYLNVGIYPFTSFFLIVYCFLPALSLFTGQFIVQSLQVTFLIYLLGITVTLILLAILEIKWSGIELEEWWRNEQFWLIGGTSAHFAAVLQGLLKVIAGIEISFTLTSKSSGDDENDEYADLYIIKWSSLMIPPLTIMMVNLIAIATAVSRTIYSDDRQWSSLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSALISITISLLWVAIDPPSGNNQIGGSFQFP >RHN52173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32342889:32351662:1 gene:gene36810 transcript:rna36810 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNPEAVILNACLYKGDLASPSRVESKCFLIAIEESRRNIKTKTHSQSFNEARVKEYSRVEVKKKGSKARFQEMKRFPSNGDVRQEIVQYGESDDHGVSGSPSRF >RHN54523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10236486:10237516:-1 gene:gene29587 transcript:rna29587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MKKRNQNPSFDSPTIDQLAKVSYQDLHQGTDGFSDKNLIGSGSFGCVYSGNLVSEVNVVAVKVLNLQKNGASKSFIVECNALKNIRHRNSVKVLTCCSSTNYKGQEFKALVFYYMKNGSLEQWLHPEILNSEHPKTLDLGHRLNIIIDVASALHYLHQECEQLIIHCDLKPSNVLLNDDMVAHVSDFGIATFVSTIGGTSQPLATLLQVLRMPVIYLLILNPSFTILSSPSLSPNDFSSEMLKMLSLKDIKGMVAKGICITRSRSFIQPDVSNCSVVYPLRCKTN >RHN59924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14836839:14837234:1 gene:gene22094 transcript:rna22094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSKPGFLCGECGTMFPSPQALAVHVIEDHHPDYILESSKQGPPPINQPQSENAQPRPQNVEHQPQNALAPPQYVEHPPQNAPAPLQNAPAPPQNEPAPPQNAPAPHVQPFDLNQPPNVRDFDLNLPYTPDE >RHN46067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28242398:28242553:1 gene:gene40507 transcript:rna40507 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSVFGLSGVAERTRRERGRERLLCVFLLLSVVFFFFLRGTHITHLCGG >RHN68621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37192820:37194051:1 gene:gene16993 transcript:rna16993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MTFIADSFPLLEELDISFPKGISGDDYYYNYNNALKVFLTRLSELRKVNLSGNSYVNDSLFFQLCMNCKFLKEVVMYKCRSITHAGIASAIYQRPTLSSLSLTNFKEAREIENVTLYFIDSLHLDLQCAKFLNDQHFNELCAFLGDLVSINVSGCDDLTNSAFFALLRNCPLLTEIRMESTNIGVSSIPSMDLVEYHQVKSLHLAHNSGLQDEHIKRFALMFPSMQFLDLYACRYISEKAISKVLNRCCKFRHLNFAFYPQPKLFLINFEVSKLEVLNLSNSRIDDGALYAISKSCPRLLHLDLEDCHHVTEKGVRLVLDNCVHLREINLQYCPKVFADTVAKMILLRPSLRKIMTPPRFRL >RHN68928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39681063:39684536:-1 gene:gene17349 transcript:rna17349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo-like helical protein MKRTLLLALILFTAATAVAAVAETDNNLTSRQPLLSTAVDESDLLPPDAALSDSDGGFPSMDSMLHWAISHSDPEKLKESAQSHQKLSPSELQKRQLEIKEIMEKTKMLSDAELMKIAIKDLNNASTSLEDRYRALLELLELVEPLDNANDLNKLGGLHAVTQELKHYDSGIRATAAWVLGKASQNNPTFQQQVLELGVLSRLIAMVKSSSIEEGIKALYAVSALTRNNLANQELFYAEGGGLMLQDILRNASIDIKLRRKAVLLLTDLADYQLENVNKDEPPFFNNQDLLKSVVDLTASTDLDLQEKALVAIKSLLQLRTTKARVFRDLCALGDALNRTKELLHDLMADEDQRDYVMDVENVRIEVESIYHRKLENQ >RHN39587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8466849:8471297:1 gene:gene45626 transcript:rna45626 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKLIVFPIRGRNWCFTRSIDHTLPASSSTADFSQSPSTLKQLWKSINTGDKPFNAKAELFTDYVANKMNNGWVTLENAPDGSFKKKIHGLGLWLLSRVKPSEIFLKSISKDVTGVEVVYPSSMNARLVRRRLRHIAMRGTIIHRKFFYGSVSLIPLSSAFSILPLPNVPFFWILFRSYSHWRALQGSEKLFQLVSDGSQSSNTYSGKKETEHEDSENESLGLDEPHWVLTPSKELENIVRQEDGNDGLSRGTIEEICKIYDLNTQDVVKYEKSTF >RHN58196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44481983:44482291:-1 gene:gene33813 transcript:rna33813 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVVADEADDDGVPDDGGRTRKRIEKMKSEMRLTFAAEFAETV >RHN59439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10754734:10757704:1 gene:gene21469 transcript:rna21469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RGS domain-containing protein MSKLSYVSSSSRTNPTVQKIYIHYSCIRIERERNCYLNEEYLTMTTRKLIRDFLLSHHHSLILPQQGVKTRLFVNSVDRRGYSVFNEFSKKVKDETVKNPEFQKSVKELKEKAEELKGIKEGLKEKTKQTTEQLYRQFDSVWKEAEAAAKKLQLIFQQNRMLMQSKEVRNHLRKRKTRNLRLAMLQNHCLANLSQPFRHQWFLLPSKN >RHN67046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23234695:23235903:-1 gene:gene15178 transcript:rna15178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MLINHEDPLLFRWHKVQALKIDNVMVALDSAIDDFLWRPYFRYADKCDLFYPNDAFFVPFKKDLDKQMLSFVICLRVSELVGFDSIEQYLPHRVAMQFGMDQDVPGDVPRFNETKITAWKYYCRLISDKNLYFPPRLFEADVATRYAMWWKQSVLGHRDFVKNIVKRKRSESSRKHRPHLGKTNRSSNDVGVPPGFCPNLVDLLKYGKFCDDAPAGSSAHVCTTADENIDAPSMSVEDSKPVLKSKHLVNHCSSSSLSDFELSTESLEEHFEDANGSKEVRMTSDRVCLSETQAESKQFSIRKKVSLSNNVAVAQKNLQFHYGISTQAQSKEEVEVKRRKESDHEALVFLKEQYLKNQEELRLLARQQDEMLRLMDLKMKRDEELRQLLTSFLKNQPPPSSS >RHN57383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38583269:38586286:-1 gene:gene32922 transcript:rna32922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LysM family MKPIKFILSLLLMLLASSSAESKCSKTCDLALASYYIWEGTNLTYISNIMQSNVVSKPLDIFSYNTDTLPNLDMLRFSSRLNVPFPCDCINDEFLGHTFLYEFHPRETYASIAELTFSNLTNKEWMEKVNVPDSVKVNVTVNCSCGDKMVSKDYGLFITYPLSSEDTLESIAKHTKVKPELLQKYNPGVNFSKGSGLVFIPGKGHLARSLATAVGGTCTVLLLAISIYAIYFRNKNAKESKLPSKYIVVDKSPKFSYEELANATDKFSLANKIGQGGFGEVYYGEPRGKKTAIKKMKMQATREFLAELKILTRVHHCNLVHLIGYCVEGSLFLVYEYIDNGNLSQNLHDSGPMTWSTRMQIALDVARGLEYIHEHSVPVYIHRDIKSDNILLNENFTGKIADFGLTRLTDSANSTDNTLHVAGTFGYMPPENVYGRISRKIDVYAFGVVLYELISAKPAVIKIDKTEFESEIRTNESIDEYKSLVALFDEVIDQKGDPIEGLRNLVDPRLEDNYSIDSISKMAKLARACLNRDPKRRPTMRAVVVSLMTLNSTIDDGSRSASAALSTVMEHDSK >RHN44727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7772413:7772811:-1 gene:gene38882 transcript:rna38882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MFNQEKLMHYQVEASWSYYMRVRTMEEDQMERIMRIATQSAVVIFSISSCCMCHAMKSLFCGMGVNAMVHEVDEDPKGKEMKRALMRLLGNSTSLPVVFIGGKLVGSMDRVLAFHINSSLIPLLKDAGALWL >RHN53970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5855050:5857281:-1 gene:gene28945 transcript:rna28945 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSGSLSRSIFSAARSIPTRSSLQHSSTSSIRSHLLQPRRPLVTVPRALGILGCTQSLMPLHNADAAARLTSHVSVELRACCELSQGT >RHN48675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49417537:49420532:-1 gene:gene43417 transcript:rna43417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FeS cluster insertion protein MQTSLFRRLAPLLAARFQRNQNIISSFSSSSSSSVLHHATSSPSSPDVEPVHITENCVRKMKELDANESSSGGKMLRLSVETGGCSGFQYAFNLDDRFNSDDRVFEKEGIKLVVDNISYDFVKGATVDYVEELIRSAFIVTENPSAVGGCSCKSSFMVKQ >RHN41741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32549032:32557352:1 gene:gene48094 transcript:rna48094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RanBP2-type, splicing factor, SPF45 MDPGRYALHQGWDNNSALEGYGAVHEPNFRVGGAYDERRFVDERYPRDAVYQRNNFHRDALNREAYLPPGPAVGQWSQSKRRGYDEDYQLERESRRLQRPYHEPYNQMDGFRDREIDMYPEYDKFRDGYTNIENYGDRGYDKPARFAGHDRDDYAYDDYGHKSRVSHHRREDSHERDYDHGRHSYDSDYERDGRRDSNSRRRESHDRERDKRCFSREKDPSPHRKHERSRSRSRSHSRSRSHSRSHSHSRSRSQSRGYDDHPKSRSPRGRSRSRSYREDNYADNRYDRSERRRDHDDKRQREHYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERSSGISRGFAFIDFPSVGAAQGMMDKLGGDGLVVDGRKLFFEYSSKPTGGAGSDGAMKPGHGHKSITIPSDWMCIICSYINFARRTSCYQCNEPRTDDAPAADISLSNSTSLGKKGLEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALDLTNGTALEKNGQILRVAYAKSILGPGSGASGTSQSSSLAAAAIEAATFSQQYDSVGWAPKEYNPDDKQSNGPEQPGTDVGAPQSGFVWDEASGYYYDATSGFYYDGNTGLYYDGNNGIWYSYDHHTQQYIPCTDQNQNKTSNNESEPSKASDGSSTKKVVISAPATIVTSNEKPASLADAVQAAAAAALAAEKKEKERSKEIKLASKSSILANKKKMNNVLSMWKQRSHEGQGTHVALEDNQLSGSADDRSYSSAHSAKNKLKTETVAREINASKPGGHTTAQVVATDSQAQPRPFSNSLGGTLMGVIRGSGRGVVKSDTSYSGSTSATPSMSASSSANVDTQTFSTPFKTDVSSLGSYTPSASVGSGRRRFSEMPISASTHKEQPQTTYRDRAAERRNLYGSSSSVGNDLADLEIGDSTRDFASRRSDTMPFPPGVGGGHAVGDVNLDTFEVITADKAIDEKNVGNRMLRNMGWQEGLGLGKDGSGMIEPVQTQAMENRAGLGSQQKKLDPSLEVQAGDSYKMLIHKKALARFREM >RHN69443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43434821:43436164:1 gene:gene17918 transcript:rna17918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate peroxidase MRKKIRAEYEAVGGSPDKALKSNYFLNIIIVIAALAILTYLFGN >RHN53773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4024638:4032749:-1 gene:gene28718 transcript:rna28718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ELYS-like domain-containing protein MDKKLNGGTTVTGAAVSRSCSSTLQPNYSSRLVQETLEHLASIDLIELCKEAKVERCRATRDLRSCGRYVHHVLNSCGHASLCEECSQRCDICPICRSPIPKSGTKLRHRLYYECMEAGLISKRCDERFQEIEDGEKQLTADVQRLYSLFDVALENNLVSLICHYITDVCMDETAVSSDPIIAFLLDEVVVKDWCKRTFKDIMTELQGIYKLDISGMNDRLSLLLKFSLYLKGISNVLDILESSFKGTLSAQLHDLHHLQESILKTKQHMEIIIWCTRHKFLENVRSRFSNSSSWASVVRKRKSEAIRRAWPDAINESMESKGHDGSLFIEDALHNLDLDEVMMPEIGDGLEVAALQKEDTSIFRSNTDHVLSYYPFKNLRVAADLLFLHGSSDVVIAKQAIFLYYLYDRHWTIPDEEWRDILEDFAATFSISRHSLLESLTFYLLDDHTDEALQEACRLLPEISGPTSHPKIAEVLLERGSPDTALMVLRWSGRDGGLQMNSLRDAVTAVRVRIECGLLTEAFMHQRVLCTKAKEKTFNKGLSGDTKENQKGQNSTGVEWVEVLVTEICCLCIRRNLVDRMLELPWNSDEEKYIHKCLLDYAIEDPLRATGNLLVVFYIQRYRYSEAYQVHIKLEKVEQDFISKGSISQEFLPRLETAIQWRSNLVKRSLELLPEVEQEQLRSGNLNESAATSHGVVEIPDKSDVHQVQDSTSTSLLIPSSANHSLMLHKDHTTALLGSSTLATSAKIGTPFPTTGSELGSFISPSHPHEGLFANNERVSSHQGKIAKILRYDNTPTPRNHRICLTNGSRPKGFSRSPSNSQENVPDKVLPGLERNLLFGHDQTSSPMFSWKATASPVARSTLSSPKEFANNIPNMYSRNLQSHKDDNSWNLGSTNDPMDVSLSHTKKKLNTEVNINGGPRWRSDETSDEEAEGQEKAMDIAHYATPSRTIRRSRVAKR >RHN60021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16682199:16687673:-1 gene:gene22209 transcript:rna22209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MKEVEKEGSFCSQSKKNDVFISFRGEDTRSNFTSHLHAALCRTKVKTYIDYNLKKGDYISETLVKAIQDSYVSIVVFSENYASSTWCLDELTHMMKCLKNNQIVVVPVFYNVDPSHVRKQSGSYMVAFEKHVCNLNHFNKVNDWREALAQATSLAGWDSRKYMLESELVEDIVQDVLQKLHCKYPSESKGLVGIDKHYAHLESFMSIGSKEVGMIGMWGMGGIGKTTIAAAIFDLFSSQFEGCCFLENIGDESERHGLNFLHNKLLTMLLEEKENVHVGTVRIGFNYSKSRLSHKKVLIVLDDVRTIEQLDFLVGAHTCLGPGSRVIVTARDKHALIERAHEIYEVKPLNFHESLQLFSLSAFKKVCPDIGYQQLSESVVNYAGGIPLALKVLGSLFSYKSKEIWQSTMTKLKKIPCREIQNILRLSYDGLDDTEKEIFLDIACFLNGKDRQHVTRLLDACGFYAVPGLETLLEKALITFSNNNQVQMHALIQEMGREIVRQESTKDPGRRSRLYDHEEVYDVLKNNMGTSAIEGISLDVSQIKDMNLSSDIFVKMINLRFLKFYSRSGERCSVSLPAGLKSFSNKLRYLHWSAYPLKSLPSSFSPEKLVELYMPNSRVKRLWEGVQDLTNLKKMDLSCCENLIELPDFSMASNLQTVNLSRCVRLRHVHASILSLQKLVNLNLVWCKNLKSLLSNTPLNSLRILELYGCSSLKEFSVTSEEMTYLDLRCTAINELPPSVKYLGRLMNLELSSCVRLRNLPNEFSCLKSLGRLVLSDCTLLDTSNLHLLFDGLRSLGYLCLDNCCNLTELPHNISLLSSLYYLSLSGSNVKNIPKSIKHLSQLESLDLCKCMSIQYLPELPPSIEVLDVTNCTSLETVFTCPAIDELLQEHKVFISFKNCVELNEYSRNGIMLDAQVRLKEAAYVDVSAKIEGSESDPCFFFKSEATSSYHHPPTVICPGSRVPDWFHYRSTEASITIELSVSHSPQSNIFGFIFCLILPQSLPNEKNLNWKIGCECYMEGGENIRNTSMCSFATGLVSDHVYLWYDENFCFDMFNTTGKSRTNDDYSAYKPKLSFQFFVETEDKMNVVIKECGICQIYGSEYLSFVEQLGFELELGNQAKRCRDIYELESSETGTQVEGCFENEDEQKDTLHQTKKQKLEEALCSAQW >RHN75414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42115383:42125621:-1 gene:gene11616 transcript:rna11616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthine/uracil/vitamin C permease MSEPERRQRSVQWSPVLSTPEAKPVPPSSWAKKTGFRPKFSGETNAGDSGQIALPPVKSREAEANPDLEAGRVKVTPPPPVANGEKILPPLPPPVVKKRRDSDGVPSTNGQVKVEQPVRRTARNEEVAGSLPQTVDEDGFALRHSHMKYELRDSPGLVPIGVYGIQHYVSILGSLILIPLVIVPAMGGSHEEIAAVVSTVLFVSGVTTLLHTFFGSRLPLIQGPSFVYLAPVLAIINSPEFQGLNENKFRHIMKELQGAIIIGSAFQTFLGYTGLMSLLVRFINPVVVSPTIAAVGLSFYGYGFPLVGTCIEIGAIQILVVIVFSLYLRKISVLGHRIFLIYAVPLGLAITWAVAFLLTEAGAYNYKGCDINIPASNMVSEHCRKHISRMKYCRVDTSHAIKSSPWFKFPYPLQWGTPVFHWKMALVMCVVSLISSVDSVGSYHASSLLVASRPPTPGVLSRGIGLEGLSSVLAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVQLGACILIVLSLVGKVGGFIASIPGVMVAGLLCFMWAMLTALGLSNLRYSEAGSSRNIIIIGLSLFFSLSIPAYFQQYGISPNSNLSVPSYFQPYIVASHGPFQSKYGGLNYFLNTIFSLHMVIAFLVAVILDNTVPGSKQERGVYVWSEPDVARREPAIAKDYGLPMRVGRIFRWVKWVGL >RHN60759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30238709:30242038:1 gene:gene23117 transcript:rna23117 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YC7 MASSNNSVAENNKKKTKKPQTSTPTSKIKKDNKNIEKSKENNNKNKKKLNFTNGNSKEQEESGRKKKHAKDDDEEEDAKTHVFPMNRIRTMLKGEISDLRVSQEAILAINNAAEKFLEQLAEDAYGCCAQDRKKSLSYNHLSRVVSKQKRYDFLSDFVPEKVKAEDALRETNSRGNKGG >RHN39760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10297121:10302452:-1 gene:gene45822 transcript:rna45822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GH3 family protein MKEIIEKFDMENLIEEFERLTKDAENVQKETLKRILEENASAEYLQSLGLNGRTDPESFKACVPLVTYKDLEPYINRIVDGDVSPILTGKPITAISLSSGTSQGKQKYIPWNDELFETTVKIYQTSFAFRNREFPIQNGKALSFIYSSKLSQAKGGVTVATATANVFRNPGYKHAMKALQSPGCSPHDVIFSPNFHQSLYCHLLCGLLCREEIQLISSTFAHSIVYAFRTFEQVWEELITDIKEGVLSSRITHPSIRTAMSKLLKPNPELANLIHKKCIGLSNWYGLIPELFPNVKYVQGIMTGAMEPYVKKLRHYAGEVPLLTSEYGSSEGWIASNVNPKVAPEFATYVVLPQIAYFEFIPLSQLDGTKVELEPVGLTDVKIGEDYEVVFTNPAGLYRYRLGDVVKVMGFHNSTPKIKFLRRSNLMLTINIDKNTENDLQLSVETASMLLAEEKMEVIDYTSYIDLSKEPGHYVIFWEISGEASDEVLGECCTCLDKSFVDAGYVSSRKVKGIAALELRLVRKGTFQKILEHYVGLGVAVSQFKTPRCVAPTNTKVLQILLENVVENYFSSAYN >RHN51673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22341801:22342638:1 gene:gene36163 transcript:rna36163 gene_biotype:protein_coding transcript_biotype:protein_coding MSILTENYVCILDFCNRCALCFYFYCYFILVKSKSKFRELIVLSRICSFPAHICFRQYQLICSSTNLFHY >RHN70075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48483586:48484177:1 gene:gene18618 transcript:rna18618 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSIFEKYLLGIFFIISVVLLSSPRDMRPRSSSNCFLSALLLFASLLR >RHN70472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51655265:51656447:-1 gene:gene19052 transcript:rna19052 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRVPLGNISLIHPFLHFSLFAASFAATIAIITTICSFRFRRKSEPDPPKAEPLETTNDLNKSSPPSTNVDTKESVSSKHEHEHENSPPIEKPETENNEFMIKELPLPPAMLHPKESFSSNNMKRVTSERRTSFSLSIKMPTLPRNLSIAKNWDHLKEEKFKPLVKTEESVWMKTIILGDKCVPDEEDDPVIFEGKGKKISAYHPKTISSMSMSISRQNSFHDSDALCASQSHTQEDKINNI >RHN56879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34418436:34418912:1 gene:gene32343 transcript:rna32343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MQLLPGACLISNDMTKNQCQKGHRSWYTQGCPSKLGWLALIGLALYILFFSPGMGTVPWVINSEIYPLRYRGVCGGMASTSVWISNLIVSQSFLSLTQAIGVAWTFMLFGIVAVIASSFVLVFVPETKGVPIEEVEKMLQQRTLQFKFWNKRIASQKG >RHN55006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14325161:14327118:-1 gene:gene30122 transcript:rna30122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MKVSRIILVTLFLFSLVVVPTNSKKSKKSKKPKKIDFDLDYGFGSGLTVDDQEQKLVDDEKQNPKTGFDKGHLKQGFYSESCPTAEKIVADALVEITKTNPNAIANIIRLQFHDCFVVGCDSSVLLDYTPTGDKVEKSSMLNGQLLKGTDFIDDIKAKLEEQCPGIVSCTDTIAFSVNEGMFLSGLPRTAPLGGRRDALYSLASIAEDDNLPMPNWPMEKMVDLFTKKGFTIEEMVILLGAHSIGVAHCDVFMERIYNYADTRKPDPLLPFPIVNELQQICANPGTPLFRNPVVNFDETPALLDNLFFKNMVTKKKTLLVTDAHLFNDPRTIPIVEELAKDNGLFQKKFAEAMVKMGSYNVITGNDGEVRKTCRSTN >RHN44899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9617740:9620529:-1 gene:gene39076 transcript:rna39076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative omega-hydroxypalmitate O-feruloyl transferase MENSNYENGFKQINVKLTSEPALVPPSEETKKGMYFLSNLDQNIAVIVRTVYCFKNQEKGNENACEVIKDALKNVLVHYYPLAGRLSISSEGKLIVDCTGEGALFVEAEANCSMEEIGDITKPDPRTLGMLVYDIPDAKHILQMPPLVAQLTKFKCGGFSLGLCMNHCMFDGIGAMEFVNSWGELARGLPLSVPPVLDRSILKVRNPPKIEHLHQEFADIEDKSNTSTLYEDEMVYRSFCFDPEKLKELKKKALEDEGGVLESCTTFEVLSAFVWIARTKALKMLPEQETKLLFAVDGRAKFEPKLPKGYFGNGIILTNAVCKAGEITNKPFSYTVKVIQDAIKMVTDSYMRSAIDYFEVTRARPSLACTLLITTWSRLPFHTTDFGWGEPVLSGPVSLPEKEVILFLSHGQERRSINVLLGLPAPVMKIFQDLMQI >RHN70495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51798181:51799005:-1 gene:gene19077 transcript:rna19077 gene_biotype:protein_coding transcript_biotype:protein_coding MNFYSNKNVNTKKPKNKLLKLVLVYLSSLHLSLHKTKQFLFSLCL >RHN61870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39004637:39006201:1 gene:gene24378 transcript:rna24378 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLPRLSCFSSIIYSATSCFSSIFLLCIFKLFEYSLLCISRFLKYFLLCHFMIFKYFLLCIFRLFKCSLLCHL >RHN48610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48813903:48816496:-1 gene:gene43349 transcript:rna43349 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEMANGSWLNSLWPVSRKNALDNKALVGILALEVASLMSKMTNLWQSLSDWEVLNLREEIVNSVGIKKLVSQDDDYLMELVLNDILDSFQLLARSVTRFGKRCTDPVYHRFEHFVCNPVQNYIQWSGWEYKWKKMERKMKKMEKIVASTTQFCEELEVLAEVEQTFRRMQANPELHRFKLLEFQKKVACQRQEVRNLRDMSPWNKSYDYVVRLLVKSLFTVLERIIFVFGNNHLPSLQQETDSQNMNANNLLRSQSFSVFMHSSIYPSENDLNGFNSGSVGRRPYFSFDKSKRNKEHKKALHPPDKGRKHKRSESKQLGNIGPFKSCMSVTSNSPVIQSCVQTDGYGGSMRLTDSHMKHGDKMKTMDKSSLSNRIRIYSKLCLSNRLKSASFTVGGASLALRYANMIVLIEKMASSLHSIDLKARDDLYNMLPTTIRIVLRAKLKYRAKSKSSSVHDADLAAKSSSVLTQILEWLAPLAHNTISLHSERNFEKEHSFVKANILPVQTLYYANQAKTEAAMVDLLVGLNYVCSIDRKVGMRDRLEFASTRSLNGLCMRNMRI >RHN75432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42281187:42291492:-1 gene:gene11642 transcript:rna11642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAQEVGSILNFLEGKTILVIGATGFLAKIFLEKVLRVQPNVKKLFLLLRASDDKSAASRLQNEILAKDLFNLLNEKMGTNFKSFISEKLTVVPGDITFEDLGLKDSILREDISSQTDVIINLAATTNFDERYDIALDLNTFGVKHIMSFAKQCIRLKVLVHVSTAYVCGEKSGLILESPYLLGDSLNGVAGLDINAEKKLVTEKLGELQEKGATEHEIKVAMKDLGITRANVYGWPNTYVFTKALGEMLVEKLKGNLSVVILRPAIVTSTLREPFPGWVEGLRTIDSLAVVYGKGKLTCFLGDINGVVDAIPADMVVNAMLVAMVAHANQPRDGVYHVGSSVRNPLRYQSFHDYGLKYFKAKPWINKDGTVVKVGKMTILTNMASFQRYIFIRYLLPLKGLKLVNTALCQYFQGTYLELNRKIQVVMRLVELYRPYLFFKGIFDDLNTEKLRMSARQSGTEIDLFYFDPKEMDWEDYFMNTHLPGLVKYIFK >RHN66010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7543556:7545337:-1 gene:gene13919 transcript:rna13919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MADRISTLPDSVLCHILSFLETKQAVATSILSNRWKHLWLSVPVLDFSITRLTDKDDNFRFNDFVYSVLLSRDATVPIKSFRLYVIYDYNEHVTLRIPSFAKWINIVLQRRVEYLDLHADIYGWPILPNTIFNCTTLVILKLNFFWIDPSCSSVLLLPSLKTLHLQFTTFPKHQDFMSLLGNCPNLEDLLISDLWFDYKEDSLALITRTLQALIMRRTL >RHN65060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63925957:63926427:-1 gene:gene27953 transcript:rna27953 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVKYMQLVEKDNEDSKKSNPGSPRKENAPEISDGGSSSKTLTDDGSVQLRRSARISTSKKGSGV >RHN79291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27196385:27200682:1 gene:gene3061 transcript:rna3061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDLGGSSFKEVLEPHLIFSDFETAKSKSEANSNISFTKSRTCLDGKNGNHSKNGVYDLLECPVCKNLMYPPIHQCPNGHTLCSNCKITVHNRCPTCHRDLGNIRCLALEKVAESMELPCRYQNLGCHDIFPYHSKLKHEQNCRFRPYSCPYAGSECSMMCDIQALMNHLKDDHKVDVHDGFTFNHRYVKSNPHEVENATWMLTVFNCFGKHFCLHFEAFLLGTAPVYMAFLRFLGDEKEAKKFRYSLEVGANSRKLIWQGIPRSIRESHRKVRDSQDGLIIQRNLALYFSGGDKQQLKLRVTGRIWKEE >RHN71407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58776787:58781815:-1 gene:gene20087 transcript:rna20087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation CHROMO-DOMAIN family MRKTKKSSNNNSSTSDSECCQHGDADASSPKQLDDGFFEIETIRRKRLRKGEVQYLIKWRGWPETANTWEPLHNLQSVPDLIHAFEESLKSAATSAAGGAKPLRKRTRRTTTTHLPPQTTNAHPTPLPNSQQHIPHQNQPTSNLPQQTPIHAQSNGNSLHRRGTNRRKSASVKRFKKDESSPTNNALGSTAPYVGNNINTHQIITGAKTASNIVKIIKAIGYSTSLSCYKQDILVTFMAMRSDGTEVMVDNKYLKANNPQLLINFYEQHLRYNPT >RHN76345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49544240:49544812:-1 gene:gene12654 transcript:rna12654 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIWCMYDIMFLAGKDTVKQKAKKRIREVLRDIGNHDRNQISRIIKSCLGSLSLSP >RHN68722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38035712:38038452:-1 gene:gene17108 transcript:rna17108 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYIMLRSEDYLSHISGVRREPSILTDVPRYPNAEMAFNKRVIYEEDDIERSPGLHHHHHNPVIRERVEVVEYERVPEVRYGDKVIYEIEEGVDVETNQSYPRRNRPGGLELHKWKTFRPYAFSVKGSEIFPFIKFFEKETLAHIYLQN >RHN69213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41853763:41854272:-1 gene:gene17653 transcript:rna17653 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEGHAQLLEQYADLEEKHVQLLARHRRIQEGIEDVKKAASRAGVRGTESVYKCSCCRRAEREKERRILRDKNRGLQASDPQVCTTY >RHN55935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25739491:25741656:-1 gene:gene31229 transcript:rna31229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MENSTPIDMICNLPDELLCHILSFLPTKLAFCTTLLSKRWAPLCYSLTALRFNGDTVKDADSFNRFCRFVDKLMLSPSATNQPIKTFHFILSRGYEVDRQSFDAWVEAAKHRQVEKFHLTLNDVTLSTTIFISKTLVDLKLERLKVETDNLCVDLPSLKTLHLGHVSFHNRNDFMKLLNACPILLDLVTSLSTYTRHDTHNEGDEVKSFFLSKLVRAHIYSTDIPFNLISNVEYLCIVDSPFKGIPVFQNLIHIGLWFNHFFHGWDGVVDLLKNCPKLQILFISKCCSSLSNEWKCLISVPECLSSCLRSCSIFNFDGSANYLAFAACILRNARLLKVMTIDGTVQSSNEMQKLQIIEELSSCPRMSPECKLSFALM >RHN77793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9467882:9486840:-1 gene:gene1285 transcript:rna1285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MYYADTASCLDQQTYVIVGDPHFGILQPQCRVELVTLTSSWRDTLHAYDIKGNVSYIDIHKALSYGFEISSMKASCPCDQCFLNDTIGEIQCYVPDCPFDSCGYWSYKSRLLFDYVAGIYGGLRELTGMDKINHQIYKSYINMYKAGIVTGRYVLPYLAPRVILGIIFFSTLLIYTYRRRHASIYENIEDFLQGNTLMPIRYSYKEIKQMTKNFKVKLGEGGYGDVYRGNLISGPFVAIKMLKIKSKTNGQDFISEVATIGRIYHSNVVRLIGFCVEGSKRALVYEYMPNGSLDKYIFNKEGVISLTNDQIYEISLGVALGISYLHQGCDMQILHFDIKPHNILLDENFIPKVSDFGLAKLYPIDKSIATLMAARGTIGYMAPELFFQNIGGISYKADVYSFGMLLIEIASRRRNLNSHAEHSSQLYFPFWIYDQLVKNTVREMEDVIMEEINDVLKKMFIVALWCIQLKPIDRPSMNKVVEMLEGDIESFEIPPKPLLYPHETIQENLDSNSNETESDWSISYVEEKVSNPLLK >RHN55451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18526799:18527120:1 gene:gene30632 transcript:rna30632 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKMAFEVYIMHEKALSIKEEMSYLPQRMFLWIFVSLFVTFSVAGLQLWHLKTFFQKKKLI >RHN65860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5897648:5898277:1 gene:gene13752 transcript:rna13752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin MKIIQILFLFTFFSFITSHASVNDFCVADLKAPNTNSGYPCKPVASITSDDFVFHGLVAGNTSNSFKIGVTSATVTNFPALNGLGISAVRVDMEEGGLSPMHTHPDATELGIVVQGEFTAGFLTPTSFYSKVLKAGDVFVVPKGMLHFAINSGKGKAIGYVSFSSENPTIHTLDSLLFANKLPSDLVAKTTLLDIDQVKKLKARFGGSG >RHN47769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42404728:42409118:1 gene:gene42408 transcript:rna42408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase transcription factor WD40-like family MRPKLHLTAAIVAVFMTAWLAYNRGVTPSKDVTETSAFGVSDVWQYESMVPIDNDAVGPESLAFDPNGEGPYTGISNGHIIKWHRHENRWVDFAVTSSSHRGDDDVDECRGPYKEHPKKEHICGRPLGLCFNVASGQLYVADAYMGLVVIESTGGIARKVISHAVEGQPLAFTNSLDIDQRTGAVYFTSSSSKYERRNYVSLILTGDSSGRLIKYEPKSEQVNVLLNNLTFANGVALSKNGNYILISETTKCRILRYWLETPKAGTLEVFANLPGFPDNIKRSPRGGFWVGINSRRGKFIQWMLSYPWIGKGLVMLPLDITKTYSYLAKVKGSTGLAIRLSEEGDLLEIVEDHKSGNRRSISEVEERDGVLWVGSIDVPFVIKYNNSCGASIK >RHN81130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43518215:43521417:1 gene:gene5130 transcript:rna5130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MSRHPEVKWAQRADKVYVTVQLPDSKNAKVNLTPDGVLTFSATAGAEDHLYELKLPLFDKVNVEESKINVGVRGIFCVVQKAEDEWWKRLLKAEGKPPHYVKVDWDKWVDEDEDAGLGDLDLGGMDFSQFEGDDAVGADFDDGDDEVQEASKPEKQEGNDNEGGSTVGDQAGKSTVQEAAPST >RHN49577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55765448:55766398:-1 gene:gene44423 transcript:rna44423 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta MRLTPTTSDTEVSGLEKKNIGRITQIIGPVLDVVFPPGKMPNIYNALIVQGRDTVGQEINVTCEVQQLLGNNRVRAVAMSATDGLKRGMVVINTGAPLSVPVGGATLGRIFNVLGEPIDNLGPVDTGTTSPIHRSAPAFIQLDTKLSIFETGIKVVDLLAPYRRGEKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEKNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSALGKSKKVFSLKTNNNILSCHP >RHN70964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55454198:55454681:-1 gene:gene19601 transcript:rna19601 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSHKQFRCNKSAFIFNWGYWKGEYNSKAWNSRAVLVIQH >RHN60137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21632742:21633214:1 gene:gene22374 transcript:rna22374 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRVYSFFALFLIFNILLVTTRQAVAGRNIAKNSDNDEKKEPQFLFKHDHGKLHYPGIGHFGFPPKFGLTPNNPFIGGTGGLGSG >RHN43027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42678085:42682584:-1 gene:gene49547 transcript:rna49547 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRQVADNQHTVDQQLPSSPPLPPPSKDDMISCVMALEAALLPCLPARELQAIDRSPHPSHQIDVDRHARDFMEAAKKLQLYFISLQREDKPTKAEMLRKEITLMEDELNEKNELIKKQEHLIQEWKKELSDQLEKHNIELNRV >RHN44174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2212088:2212888:1 gene:gene38264 transcript:rna38264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate dehydrogenase (acetyl-transferring) MQAGFTRIGVGAAYYGLKPVLEFMTFNFSMQTRCNKFSEDARGLLKAAIRDPDPVVFLENELLYGESFLVSAEVLDSSFCLPIGKAKIEKEGKDATITAFSKMVGFALKGCLP >RHN63084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48274704:48278470:1 gene:gene25741 transcript:rna25741 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLSPRRSQRSKNGFKVKHALQICVLVGVCVWLVYQIGHSREQKASYGASTKTGNEVVKLGRKDLKPRVEETSVIDARHKEDEEEADELDNKHDEQTKLDDVNGVEDNSEHKEDSVEQESEESSQNAKTDLEGEQHTEKVDEENDNAENKENESVEKENEEIKKEVEDTETGESIEEKSHLENEESKETGEISEEKSHLENEESKETGESSEEKSHLENEESKETGESSEEKSHLENEENKDEEKSKQENEEIKDGEKIQQENEENKDEEKSQQENEENKDEEKSQQENELKKNEGGEKETGEITEEKSKQENEETSETNSKDKENEESNQNGSDAKEQVGENHEQDSKQGTEETNGTEGGEKEEHDKIKEDTSSDNQVQDGEKNNEAREENYSGDNASSAVVDNKSQESSNKTEEQFDKKEKNEFELESQKNSNETTESTDSTITQNSQGNESEKDQAQTENDTPKGSASESDEQKQEQEQNNTTKDDVQTTDTSSQNGNDTTEKQNETSEDANSKKEDSSALNTTPNNEDSKSGVAGDQADSTTTTSSSETQDGNTNHGEYKDTTNENPEKNSGQEGTQESGSSSNTFDNKDAASNKVQLTTTSDTSSEQKKDESSSAESKSESSQNDNANSGQSNTTSDESANDNKDSSQVTTSSENSAEGNSNTENNSDENQNDSKNNENTNDSGNTSNDANVNENQNENAAQTKTSENEGDAQNESVESKKENNESAHKDVDNNSNSNDQGSSDTSVTQDDKESRVDLGTLPESNGESHHNDVSSAE >RHN52310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33758121:33763446:-1 gene:gene36971 transcript:rna36971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamine synthetase MSLLSDLINLNLSESSEKIIAEYIWVGGSGMDLRSKARTLPGPVSDPSKLPKWNYDGSSTNQAPGQDSEVILYPQAIFKDPFRQGNNILVICDVYTPAGEPLPTNKRYNAAKIFSHPDVAAEVPWYGIEQEYTLLQKDTNWPLGWPIGGYPGPQGPYYCGIGADKAYGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDEIWAARYILERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRENGGYEIIKKAIEKLGLRHKEHIAAYGEGNERRLTGKHETADINTFSWGVANRGASVRVGRDTEKDGKGYFEDRRPSSNMDPYVVTSMIAETTLLWKP >RHN47353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39101403:39102325:1 gene:gene41943 transcript:rna41943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Coiled-coil domain-containing protein MACTIDFRHLDEGFGGKTYKRKREQAQAIEDAKMEEIVSMETDDTAPPPAKRSALPSLSDPNKPTFSAVSFGAPTYDGVIAGKVSGRKWKQVRTRRASALQVSKKGTTFEERAREKRIKTAYKEKMTELKEAIRMNKVEKRKKREESEKRKKENVLKSGTKFQKITNPKTLKKIAKSKQRKQLRMVPDDMIKK >RHN48188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45667998:45674697:1 gene:gene42876 transcript:rna42876 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRPSGSGAFSIFKWKQKGASSLTRGLLHDDSPEIELSNYGRIPSPGSESPSDFLNGESVYVEPIADLDLFFERLYGYYCEKGLWCIVTKWIVELLSLGFTICFSGFFLLFVDWNGLRNAKCGMDAVESGIKPCDLGKEALNQHPLTPLTLTKAIIVGYLGIFSFYWMFCFLRFFAQLKDILEIRQFYYSSLHVTDNEIQTMPWAKIVDKVVLVQNTRKLCVLKDLSAHDIVMRLMRKENYLIGMLNKGVLAFPISQWFPGAGPTVQSSPDGAQYRLVLSKTLEWTLNWCILHSMFDRNFCVRRDFVSNPKTLQKRLMVVGFAMLLLSPFLVIFMLAYLFLRHAEQFYNHPSTASSRRWSNLSRWIFREFNEVDHIFRHRINNSVSHASDYLKQFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAIADELLVLDPEGTISMVVQHTHYMPKRWRGKEITEVVRIEFETLFQYTVMMLLEEMASIFLTPYLLLFIVPKRVDDILQYIADFTVNVEGVGHVCSFSTFDFQEHGNSHYGSPYNASRSRRSSQGKMEKSLLSFQSSYPSWEPNTQGKQFLQNLRTFRERKLSVHGNIHTSSPPRMWRGSPNTAGDEDKNRFISREMPYSTFATGNHLDSLWLIEANQNHHPYLLDWYYTARPHDATSRDVPLEPYGIKEHYSRDYSMPSNLTQNEPEYEEEYSNESHEDRAASQLGASTSAPIFRESFIQDQNYNELPHATRSHWWARSRLQSGQAQASFYEPPDFNDQTTYNYHDKFSDRGSEDQDQEQHFYWRDHHNKLSRTTMTDDLEEGEFNLHFGDVYNRPPETPTINTSTGSF >RHN54418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9393268:9394353:1 gene:gene29455 transcript:rna29455 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKYHVRSNSFPSQSHPNSTRIEQELTKIKTWEATSTSTSDSITNGLFLLEDLYISLEDLLNMTSTQKLVSHHQGEKFVEELLDGSVKVLDICGITRDTMLQIKENVQSLHSSLRRRKGDSSIETSVAEYKFFTKKMKKNVTKLITSLKHMETKFGVSSLLNQDQDLVAVITVLREVIKMNLSIFQSIFSFLVGSSSKSKATKWLKVTKLMHKRVSSEENSDNFNELECVEASLRTLSSEGSNVAHERFEALENAIESIENGLENIFRCLVKTRVCLLNIMTLS >RHN48056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44619156:44619901:-1 gene:gene42727 transcript:rna42727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MKFGNHRGFAFVEYVTQQEAQNALTALSSTHLYGRHLVIESANVRETLEEKRARTAAQLNEHSGFQDTKISKKRKAITHQYVR >RHN39051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3730700:3733840:1 gene:gene45043 transcript:rna45043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MGFTARKFYTIFLLSLAVLFFFTWEVQGNLCQRRSVTWSGPCLENESCKHQCINMEDSTSGACHPKGSSIAACFCSFNCLEN >RHN41087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26879600:26884690:1 gene:gene47372 transcript:rna47372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VI-1 family MKKLCLKLCYLFPTIILVFLIPTSLAQLAQTETRILFQVQKLLEYPPVLQGWNNWTNFCFLPPSPSLKIVCSNGRVTELTIIGNKTSPSSHINKPFQALSGAFSTDSFFTVLTKLSNLKVLSLVSLGLWGPLPAKINRFWSLEILNISSNFISGEIPSSISSMKNLKSIVVADNLFNGNIPDLKSLTSLEEVNFDGNKLGPQFPSIGKNLVKIILSKNSIRSQIPSMIVHFDKLQIFDISSNNFFGKIPYSIFSLHSLHYLNLSSNKFSGNLSMNSPCSSSLNYVDISHNFLVGKLPSCIMNSKGKVLYSGNCLSTRNQHASSYCKKDAALAVKPPKRKVKKESSMKLGLVLVIVGGVVGVACVLALLIVFILWKSKPERLNHNMDRSAAHKFSDKSNLNARHVPQTMRLATHGQPPYNIFTEEEIEDATNNFDQSNLIGEGSQGQIYKGSLRDGSLVLINCIKIKQKGLPHSIMQQLDALQNLRHRHMVSVLGHCVITHQDPPQVTCTVFIVLEYISNVSLRDQLTDGKKKDMLKWPQRMAISIGIARGVQFLHTGVAPGIFGNNFKIENILLDDSLNAKVSGYRIPLPSKSTVNEPSSANQNGSTNYAEKEDIYQLGVILLEVITGRQIASSSEVEQLKDELERGSSEAPSQILRSAIDPSLRGSYAYESMSTAVQITINCLSKVSSKRPSIEDVLWNLQYSMQVQENWTSSGNLSTKF >RHN80266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36643234:36647512:-1 gene:gene4169 transcript:rna4169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MSSMEEETLPLSVIHVNKSLVFINRLHTILHSIALCFLVYYRLCFFFQDSKTRETPLLPYLLVFSSEIVLSFIWIFDQAFRWNPIKRTVFPERLPENDKLPNIDVFICTADPTKEPTLDVMNTVLSAMAMDYPPEKLHVYVSDDGGSPITLNGMKEAWKFAKWWIPFCTRYRISCRCPEAYFSDSQNDGDDFSENVEFIADKRMIKEKYEAFKEGIMRVKEDQNHTTGITGQNHPSTIEVIQENCSGEIEQVKLPLLVYVSREKKPSHPHHFKAGALNVLYRVSAVISNSPYLLVLDCDMFCGEPASARQAMCFHLDPKKSPSLAFVQFPQKFHNISKNDIYDSQHRSTYTVLWQGMDGITGPLLSGTGFYMKREALYGNYKIKDTDFKLQEYVGTSNEFIKSLKQNCSPNIVTDGNALPIKETLLLTSCNYEIGTKWGKEVGFMYGTVCEDVHTSIMLSCNGWNSVYCDPPKPQFLGNSATNLNDLFIQGTRWSSGLLESGLTKVCPLINCPLRMSLLLRFCLTYITCFPLHCLPFWCFAIVPQICLLSGVSLYPKVSEPFFFIYAFIYLSAQTKHLFEALSTGGTFRTMIIEQRMRMMRSITCHLYGLLDCLMKEFGLREASFMPTNKVKDEEQTMLYQMDKYDFRIPNMFLVPMVALIMINISCFIGGIYRVLSLGELDKMFIQIYLMAHIILVNYPIIEGIVIRKDKGRISPSVVVTSNVLATILTCALYPLLRKV >RHN70081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48554870:48562433:1 gene:gene18625 transcript:rna18625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein ClpC MARVLAQSINVPGLVVGHKQSQHKGSGKSKRSVKMMCASRTIGLRMPGFSGLRTINHLDTMLRPGLDFHAKVSMAISSRRARAKRIIPRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPTNIRTQVIRMVGESADNVTATVGSGSSNNKTPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILKGLRERYEIHHKLRYTDDALIAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLQHAQLPEEAKELDKEVRKIVKEKEEFVRNQDFEKAGELRDKEMDLRAQISALVEKGKEMSKAESEAADEGPIVTEVDIQHIVSSWTGIPVDKVSADESDRLLKMEDTLHKRVIGQDEAVEAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLREVFQRLKNKEIELQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDVDSEGNVIVLNGTSGTQESLPEALAI >RHN63330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50430140:50435907:1 gene:gene26017 transcript:rna26017 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CCS52A MDGTGNRNPPPTSTVGDNSPPPEPSPESLRHVSRMINSNHYTSPSRTIYSDRFIPSRSASKFALFDINTPTEGRDDSSSAYTTLLRTALFGPDVAGPVTPEKTDSPSMTLPNRNIFRYKTETRQSMHSLSPFMDDDFVPGINHSPVKAPRKVPRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGVDDCVCSVGWAQRGTHLAVGTNNGKVQIWDAARCKKIRSMEGHRLRVGALAWSSSLLSSGGRDKNIYQRDIRTQEDFVSKLSGHKSEVCGLKWSYDNRELASGGNDNKLFVWNQHSTQPVLKYCEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNSHLSCMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQNTESEIGALSLGRTTIR >RHN45736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25133895:25141087:1 gene:gene40126 transcript:rna40126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MTPFPLLQRFLHLDDDNNSRWHQNIDRFIPNRSAIDWDHATTILSTTTTNVTKENLWLASNVYQKKLAEAADLPTRILAFRNKPRKRNVISPPPPPRSKPMRYIPKTCEGTFDLPDLSDDFSLNLLDWGSRNVLSIALDHTIYFWNASDSSGSEFVTVDEEEGPVTSVCWAPDGRHLAVGLTNSHVQLWDTAANKQLRTLKGGHRARVGSLAWNGHVLTTGGMDGKIVNNDVRLRSQIINTYRGHRREVCGLKWSLDGKQLASGGNDNVVHIWDMSAVSSNSPTRWLYRFDEHKAAVKALAWCPFQGNLLASGGGGGDCCVKLWNTGMGERMNSVDTGSQVCALLWSKNERELLSSHGLTQNQLTLWKYPSMLKIAELHGHTSRVLHMTQSPDGSTVASAAAAADQTLRFWEVFGTPPAAPPKRNKMPFADSNRIR >RHN79901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33364842:33366002:-1 gene:gene3756 transcript:rna3756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MTDLPPEILTGILSLLPVQSLLRFRSTSKSLQSLIDSHSFIKLHLHNSFNRSLILRHNSDFYQINDFSNLTTAVKLNPPFKGSNNFISLVGSCNGLLCLFSDGEIAFWNPTICKHRIIPSLPIPTPQHSEPNNIYADFCVYGFGFDPLTDDYKLLTIFCFVEIQQSTSESHARLFSSKTNSWKELPTMPYTLYYAQTMGVFVENSLHWIMTEKLDPLKPRVIVAFNLTHEIFNEVPFPEIGEEVNSESFEIGVAVLEGCLCMTVNYQTVKIDVWLMKEYGCRDSWCKLFTLAESCFTLPLKALRLLAYSSDGGMVLLQVDPEKLFWYDLKSEQVSCVQGIPNFDQAMICVGSLVSPSFPRKENRTSKRRYFLLIINSMDRSYDISS >RHN52766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38585509:38590002:-1 gene:gene37471 transcript:rna37471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MFKQIFLLDLVLIFCVLALASAQSARFVEAKYRSFNSSNLNTSGVLCAAQDGNKSFSWPSKYGLTAFCGPVGPVGKAACGKCLDVSYDNPSPKIFLTELVERVRIVDNCSSGGLELDIDVFKRFDTSGYGMSQGYLVVDYEFVDCGSSHSNWKGNLIIGGVSAAVSVFLIYFILYFFGCKLSIWQGKFQFRTKNDTNIEAFLKDHGALLQKRYKYSELKKMTDSFKVKLGQGGFGVVYKGKLLNGCDVAIKILNSSKGNGEEFINEVSSKKKALIYEFMSNGSLDKFIYNKGPERITSLSWDNLYQIAKGIARGLEYLHRGCTTRILHFDIKPHNILLDENLCPKISDFGLAKLCPKKESIISMTDQRGTMGYVAPEVWNRHFGGVSHKSDVYSYGMMLLEMVGGRKNIIADASHTSEIYFPHWVYNRLELGTNLRPDGVMDTEEDEIARRMTIVGLWCIQTFPSDRPTMSKVIEMLEVNMNLLEIPPKPYLSSPTRSISESFKS >RHN74998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38605673:38609719:-1 gene:gene11144 transcript:rna11144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding protein MACFRMGPKKWFKIIIRRKKRKEDKSNQEKVQSTAEISNEPTNGNHSPHEESSSTPNEGLMMMERTVPSRLIHNIAATRIQNAFRSFMARRTFQHLRGAENFEALIQDHMARDQTATTLNYIHSWSRIQDQIRARRMCMITAARIKQKRLESQLKIEAKINELEVEWCSGSETMEEILSRIHQREEAAIKRERAMAYAFSHQWRPNCNQYFGQASYSLGKESWGWSWMERWVAARPWEVRVQVQSPKKNKLNGQQQKTKLDKMNHNDTKSPLKSPLTKAGMSNGKENETKSPLKSPLAKASMSNAQETEKGKKNKT >RHN60237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24154019:24155417:-1 gene:gene22506 transcript:rna22506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRVKNMADTLKFVHVLIRLIFIFLVIIVCDSSFIFMPCITDKDCPALKKNKGKGRCRKGYCVDGLIG >RHN78755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18068700:18070599:1 gene:gene2403 transcript:rna2403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin MTQTSRRYPLRVESSDTIIDVKKKILDKENIPVHQQRLIFGGKVLDEDRTLVDYNIKEKSTIHVCLRLLGN >RHN44576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6328158:6329292:-1 gene:gene38711 transcript:rna38711 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLIMSDVQSHHPHAPMISQIVQLQHRDWIVHFQHTLRQGNECADWLAKHGASSSNALKSWIFCPPHLYHSLLDDTLGVTRLRL >RHN46577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32920051:32922221:1 gene:gene41078 transcript:rna41078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MESQQSHNKLHVVFLPFPTPGHMIPMIDTARLFAMHGVNVTIIATHANASTFQKSIDSDFNSGYSIKTHLIQFPSAQVGPVSAWTNKDGEKKAKRGHIEELGKEEEWLNWLNSKQNESVLYVSFGSLVRFPHAQLVEIAHGLENSGQNFIWVIKKYDKDEDGEGFLQEFEERLKESKKGYIIWNWASQLLILDHPATGGIVTHCGWNSILESVNSGLPMITWPVFAEQFYNEKLLVDVLKIGVPVGAKENNLWININVEKVVRREDIVKAVKILMGSDQESKEMRMRAKKLGDASKRTIEEGGDSYNNLIQLIDELKSLKKSKALGVKAD >RHN56670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32683065:32683657:-1 gene:gene32107 transcript:rna32107 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLFLKENLAYLRNNMVKQIVRWLKQPKVWRFVSFTSSFIGFLCYALSSSFNHLFGNWNLLKIFLYTVFSFIICLAIFFANKWQNSPSLRLRAHLVFSIFTITTVYSFFFDKANGKPDVYSLVSGAAFAIMSLGLSKQRSLWFRS >RHN39654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9106783:9113563:-1 gene:gene45696 transcript:rna45696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MPENNPKKKVTFSENDAASITQRYDTKTLLTLFQELSNYPDTKFDWNELVNKTSTGISNAREYQMLWRCLAYGYSLPLPQDFKQGGGEPMDDDSDLDCELESFPSVHVEAKSESSACAKVIIASRTLSESTPNSSTIEAPMTVNFPIYRSSRTSKEISQPSNLTEQTSITFPVTVQRQKLRTVSATDALETKRTVGGTTASKRKRKEWSEEEDNQLRAAVQRCGEGNWVTMAKGDSFPIKRSATELSQRWSALRKKDGSANSGSTATTVTTNTQYTAEQLATRRSLSLALDKPFKKFTAPGRTDPGRTSTPIKNQEHSRSSVAPQRPSQQACGPLRNRNWPVKHASPHMEANF >RHN57468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39357744:39359081:-1 gene:gene33015 transcript:rna33015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MEALSLNKKMLLMMIMTAMIWNMAKAEEHFVGGGRQGWNPSNNLTKWSLNEHFHVNDWLFFGYDKLYFNVLEVNKTSYENCIDTGFIKNITRGGGRDVFLLTEAKTYYFISGGGFCQRGVKVAIDVNEHVAPAPQPTPHKGSNASNI >RHN68165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33297289:33298140:1 gene:gene16477 transcript:rna16477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MMYVTYYARYNYGDNLVKIFCNAVERSCGQVEDINIEEIGTDDLLKYIVDNCACHLRCLRLANCARLSERGFIEAVKKLSQLEEIELSHNLQLSNDSLEVVGRCCPLLKSLKYSLLPSDYIGQDVCSFAIAKTMPRLLHLKISGDMPGDDGIQAILDGCHLLESFDLGGCYAYYYSQSLEKRCREQINFFVPPTQDCDSSDYDSYWDVGSINSNWSWYEDCDFDI >RHN66564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14106700:14111026:1 gene:gene14577 transcript:rna14577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol synthase MVEPIIPTIDLSPFLKENKDDNKKKAMETITKACSEYGFFQIVNHGISLDLMKQAMELSKTFFNYSDEEKNKSSPSSNAPLPAGYSRQPSHSPDKNEYLLVFPPRSNFNVYPENPPQLREVVEELFAQTSKIGVVIENIINECLGLPPNFLKEFNNDRSWDIMVAFRYIPASNNENVGLREHQDVNCVTLVLQDEVGGLEVLSNEEWVPVVPAEGTIVVNVGDVIQVLSNKKFKSASHRVVRKGEKSRYSFAFFHNLNGEKWVEPLPQFTKEIGESPKYRGFLYKEYQELRMRNKTHPPSRPEDIINLTHYAIDD >RHN75961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46567528:46573056:-1 gene:gene12225 transcript:rna12225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LOG family protein MEREIEMKVSKFKRICVFCGSSPGNKTSYKDAAIELGKELVSRNIDLVYGGGSIGLMGLISQAVYDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMSRNSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSFLTFIDKAVEEGFISPTARHIIVSAPTPKELVKNMEEYFPQHERVASKLSWENEQLD >RHN41987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34585393:34585656:1 gene:gene48368 transcript:rna48368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MGQVLKVNGGNVSKSITCHSLLFPYQVYYCHEIPNVRLYQVDIQDPETKVKINRAIAICHLDTSNWNPGHAAFMVLGSGPGLIEACH >RHN71567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1055700:1056164:-1 gene:gene7180 transcript:rna7180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MISCNTLTSKDSRIHKHVLTAFFKLSIYNKILIMAAKAVDNIFEVLESGKTMEARTNVTAEIYSLCMIGDCKVQIGVSSKALSALVGILKESAPIGKIDAATALFNLVVYNPNKVSIVKSLLLKTECLTHEIKQVKISTEANPIPSSIFFSIVW >RHN70464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51595135:51596335:1 gene:gene19044 transcript:rna19044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M41 MSKVLKADDVDVEIIVRRTPGFFGAELANLVNTAVLRATMNGAKAVSMHDFDFARDKIIMGSERRSAVISEESRKNTAFHEGGHALVAIHTDGALPVYKATIVPRGNALGMVSQLPDKDITSYSRKQMLANLDVCMGGLVAEELVFGENELTSGSSSDLSKATNVARQMVTEFGMSTEVGRVTHNYYDDGRSMSSETRLLIEKEVKKLFDRAYKNAKTILTTHEKELHALANALMEHETLTGSQIKELLAKVKSQQQQPQSCVVEAQGNSQSNPAVVAVSAAAAAASIAAVKAQGVSQVGS >RHN50203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5094811:5096407:-1 gene:gene34466 transcript:rna34466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, leucine-rich repeat domain, L MLDMIQLLDKDIEQSNDVILLGMWGMGGVGKTTIAKAIYNKIGRDFEGRSFLANIREVWERNFGQVELQEQLLFDINKEMTTKIQNIESGKNILKDRLWHKRVLIVLDDVNTLEQMNALCGSSKWFGSGSRIIITTRDKHILSRNRVSQVFKMKHLDENESIELFSWHAFKNATPTKDFAEISRDVVEYSGGLPLALEVLGSYLLDRSVIEWQCVLEKLRRIPNNQVQKKLKISYDALNEDIEKEIFLNIACFFIDMDTNDVTHILNGCGLFAEIGISILIERSLVTVDAKDRLGMHDLLRDMGREIIREESPEVFEERCRLWFHEDVLDVLSKQTGTKSIKGLALKLPRANAKCLSTKAFKEMTTRLRLLQLDGVKLDGDFEILSRNLRWLCWNGFPLTHIPTDFYRESLVSIELENSNVKRVWKEAQVLLSISFYLNFRPGCTFESNSWRLL >RHN74236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30669312:30669973:1 gene:gene10263 transcript:rna10263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-dependent RNA polymerase, eukaryotic-type MVKILPRLTEDPGSVQSRRTITMNMSQFDGNATFTGGGFMPSQTTQGANSPFTPSKGLNRSYLSKYLIALLSYGGVPNEFFTDVLKRNLEDVDHIYTKKCTALRGRNPP >RHN78528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15959479:15960666:1 gene:gene2108 transcript:rna2108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MVRKIEIKKIEIKKIENLNNRKVTFSKRRNGLFKKAQELSILCDAEVAVIVFSTTGKLYEFSSTRDCSRIFHFVFKFIDMQDQEAMAEIKALRKQLEEIENKSKVEFKEIDPLDRGTASINSSNPPLEPYLLLRL >RHN82377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53299710:53300097:-1 gene:gene6540 transcript:rna6540 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSISFSLANIFVHLLAFFCAFRGEENRIWALEIPNVDETICVYDTDDSTIYGFGAFFCLLINQVFLNLITRCFCCGKGLISGRANTCAIISYILSW >RHN45084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11582071:11583113:1 gene:gene39287 transcript:rna39287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative legumain protein MTKEILKEQYETVRQRTLLSHVLQYGDLNISNDTLITYIGADPTNVNDNFNVTSTTNVFSFDDFKSPNPTRNFGQRDAHLIYLKTKLGRASSGSEDKLKAQKELEVEIARRKHVDNNVHQISDLLFGEEKGSIVMVHVRASGQPLVDNWDCLKTLVKTYESHCGTLSSYGRKYLRAFANMCNNGITVKQMVAASLQACLEKN >RHN39985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12064239:12068373:-1 gene:gene46072 transcript:rna46072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MEGEHPDRYAVVTGANKGIGLEIVKQLASARIKVVLTSRDEKRGLHALETLKASGLSDFVVFHQLDVADAASVASLADFVKSRFGKLDILVNNAGISGVEVNDTDLFSSAIITNGQALSDEELKTAVTQKFESAKECIQINYHGAKRTFEYLLPLLQLSDSPRVVNVSSFLGKIECVSNEWAKGVFSDVENLTEERIDEVINEFIKDFEEGSLERKCWPRFAAAYVVGKASMNAYTRIIAKKYPGFCINCVCPGYVKTDITANTGLFTVEEGAADPVRLALLPNGSPSGLFYSQKEVSSF >RHN53952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5699043:5705422:1 gene:gene28927 transcript:rna28927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdate-anion transporter, major facilitator superfamily domain-containing protein MGVVIESSIWELNPSIFIFIFVCCIFSIFLYPHASNRTSTIFDHGISSSFLRFQRNFLVIYSLASVVEGLWSVFGEFELASHGFDREKMIMSLCYGYTTALFAAPFLGMLSDLIGQKKVCLIFCILHLFVGVWKKITQQPSIFMTSICLSMANTIFSFSFETWMVIQHEKQGHRLDSLNDTYWLMTFFESACFIASQMFANWLIDNNMEKNTAPSSAVIFLAIICFILLTRGWTETPGTTSFKEYSMSFYTYIFGDKRIWLLTWAQTSLHFSIGLFWILWAPTVVADGREVQLGLIFTCFLGSRMLGSTVFPCLTSGPSSLRIEDCLVFAYIILAVLLSIVAYDYQEIGVLVTLFSLFHACVGFVLPSLARLRTMYVPNELRGGMMGLSLAPANAAILLSVVQGGYYRNVGNATLMAFGVFGLLLAAGCMHALKQCGKQPYNNWHKQ >RHN80448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38206594:38210004:1 gene:gene4377 transcript:rna4377 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIFEMNSFIQWGQNILIWRIDRLVEAKGSHILSTRAGKQSIHDASSLEYASAKTL >RHN65000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63547614:63549333:1 gene:gene27889 transcript:rna27889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MMSFHLIITKKQKPILLLSIIQLIYLFSILTKSQSTLCRTSCGSIPIQYPFSIDDGCGSPYYRFILSCSDTQKLELRTPSGRYPIHNVSYIDPHIVVTDPFMWNCEDGVNYRPTRPFSLDTSTRFKLSSQNQYIFFNCSEEKVIVKPKPVFCEQFPEHCDSSCDSASYLCRHLPECSFAMSGSSCCSYKPKATESLRLMLEYCTSYASVYWRNVGAPQLYDQVPQYGIRIDFDIPVTTRCLQCQDQSKGGGGTCGFDTQTQSFLCLCKEGNFTTHCNDHENARHSRNVHVIAGTVTAISAFGALGIGGGIWYLKKMKAKAPVTCGVQSNENRLF >RHN64808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62054629:62055273:-1 gene:gene27668 transcript:rna27668 gene_biotype:protein_coding transcript_biotype:protein_coding MCDAGAVWRCFTPFKILFNSLQILTTNKFLFTTIFLLTTLPLSILSIYQSIFTHQLTSQIRHLEALAHFASTHFEARHVWHESRDNAVFLIRIKALFSFPAYVFSCFHPLSSLPPPLTPPSHPLNPTSCVFS >RHN58058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43422225:43423151:-1 gene:gene33664 transcript:rna33664 gene_biotype:protein_coding transcript_biotype:protein_coding MPTANSLVEVVPMSIPEPPPWKKSSKHKAIQNLTPHSSVIYVYQTKLGDSFRNVAVTWCKNLIEHSLSMSVEEPSEENKFTCKIDLASGQSWGKKGLKSFEIEGARVDVYWDFRHAKFSTNPQPCSGYYVALVYKKEVLLLLGDLTNDAYVRTKSKPSSEEATLLCKKENVQGKKLFCTRAMLEEGKPEHDVVIETSLSGPDDPEMWISIDGMLASRIMNLNWKFRGNEIVMVNNLPVQIFWDVHDWLFNDLGSGPAVFIFKPGILETDDSNSRECTERNEDSGSYDLVDENSSTKSFLHFLYAWRMD >RHN64628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60586321:60590025:1 gene:gene27460 transcript:rna27460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytokinin dehydrogenase MAKNYPFFTYFILLITITRLTSTTVGKTDQCKTLLPPELANINTISTKLHNDPKTIKIASSDYGNIIHEFPIAVFHPSSIQDIITLIKISYNSYVPFTIAARGQGHSTNGQAMTHDGIVVDMASFRKQRKGVAISVFEDPLIGYYVDVGGEQLWIDVLYATLEHGLAPVSWTDYLYLTVGGTLSNAGISGQTFLYGPQITNVHEFDVITGKGDFVTCSSEKNSELYHAVLGGLGQFGVITRARISLKPAPTTVKWIRLLYSDFSAFTKDQERLISINGRKLNFLEGMLLMQQGSINNWRSSNFFPLSDQHRIASLITKHSIIYCLEFAKYYDHQSEKMVDKEIEILLQGLAYIPGLHYEKKVSYVEFLNRVRSGELKLQSQGLWEVPHPWLNLFIPKSQILDFNSGVFKDIIFKRNITSGTVLVYPMHKSKWDDKMSAMIPNEDVFYTVGFLHSSGFDNWKAYESQNKEILKFCTDAGIKVKQYLPNYSIQEDWKKHFGTKWKSFLESKHKFDPRMILSPGQKIFNK >RHN64216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57344812:57348706:-1 gene:gene27006 transcript:rna27006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger, cation/H+ exchanger, CPA1 family MVGEGALYEKLTTMFTSDHASVVSLNIFVALLCTCIVIGHLLEENRWINESITALLIGLCTGVLILFTTGGRSSHIMVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAIGTLISFCIISLGAIHFFQKLDIGSLKIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSIVLFKAIQNFDLSHIDLTTALHLLGNFLYLFIASTVLGIFVGLFSAYIIKRLYFGKLIRHSTDREVALMILMAYLSYMLAELFSFSAILTVFFCGILMSHYTWHNVTESSRITTKHTFATMSFIAEIFIFLYVGMDALDMEKWRFVSQSQKKSIGVSSLLLALILVGRAAFVFPLSFISNLSKKSQSEKIEFKQQVTIWWAGLMRGAVSIALAYNQFTRLGHTKLRENAIMITSTITVVLFSTVVFGLMTKPLVRLLLPSSKHIIISIPSPPSSPKSFSVPLLGNGEDVGGNGGTQRPSRLRTLLRIPSHGVHHYWRKFDDSFMRPVFGGRGFVPYVPGSPL >RHN75314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41154922:41162953:-1 gene:gene11495 transcript:rna11495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MLLSLKSFLLQRFRALLGRLSSSNLKLGDSKFSMDYNHNQSYKYGVFISFRGPDTRNSFVDHLYAHLTRKGIFAFKDDKSLEKGEFISPQLLQAIRNSRIFIVVFSKTYAESTWCLEEMAAIADCCEYFKQTVFPIFYDVDPSDVRKQSGVYQNDFVLHKKKFTRDPDKVVRWTKAMGRLAELVGWDVRNKPEFREIENIVQEVIKTLGHKFSGFADDLIATQPRVEELESLLKLSSDDDELRVVGIWGMAGIGKTTLASVLYDRISSQFDASCFIENVSKIYRDGGAVSLQKQILRQTIDEKYLETYSPSEISGIVRKRLCNRKFLVVLDNVDLLEQVEELAINPELVGKGSRMIITTRNMHILRVYGEQLSLSHGTCVSYEVPLLNNNDARELFYRKAFKSKDPASECLNLTPEVLKYVEGLPLAIRVVGSFLCTRNANQWRDALYRLRNNPDNKVMDALQVCFEGLHSEDREIFLHIACFFKGEKEEYVKRILDACGLHPHLGIQGLIESSLITIRNQEIHMHEMLQELGKKIVRQQFPEEPGSWSRLWLYEDFNPVMMTETGTDKVKAIILDKKEDISEYPLLKAEGLSIMRGLKILILYHTNFSGSLNFLSNSLQYLLWYGYPFASLPLNFEPLRLVELNMPCSLIKRLWDGHKNLPCLKRVDLSNSRCLVETPNFTGSQIIERLDFTGCINLSYVHPSIGLLKELAFLSLEGCRNLVSLVLDGHPASNLYSLKVLHLSGCSKLEIVSDFRGVSNLEYLDIDQCVSLSTINQSIGDLTQLKFLSFRECTSLASIPESINSMTSLETLDLCGCFKLESLPLLGNTSVSEINVDLSNDELISSYYMNSLIFLDLSFCNLSRVPNAIGELRHLERLNLEGNNLISLPSSVGGLSSLAYLNLAHCSRLQSLPELQLCATSSYGGRYFKMVSGSHNHRSGLYIFNCPHLKMTGQSLDLAVLWLKNLVKNPCHFRCGLDIVVPSDTIPLWFDHQFAGNSRVKITDYNKFDNWLGFAFCVAFVENCCPSTPASSQLPYPLYLSFESEQTEETFDIPIQLDLINVDGSNAEYIWLIYISRPHCHFVTTGAQITFKAHPGLELKTWGLHMVFEHDIYSSFELNTNEVHQNDYIQLDHVHECSSSKRPEVQLPYDWYEAEVKPKVRFCYNWYVTEEEENENREVNGKQSYLLVTGLPT >RHN51200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15007485:15007889:1 gene:gene35591 transcript:rna35591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L14P MIQPQTYLNVADNSGARELICIRIIGASNQRYAYIGDIVVDVIKKAVSNSSLEISEVIRVVTVRTCKELKRSNGIIIKYDDNAVVLIDNEGNPKGTRIFSAIARELRLDFFFCTKFVYLLHKNLNFLKTKLVSI >RHN61846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38831807:38834496:-1 gene:gene24348 transcript:rna24348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEMEGYDIFGDATERIGKNGFEVNNEVIGSGYGSSFSLVLDRERGELVEEPVKMEGKGVSTTERSVEALKNHSEAERRRRARINAHLDTLRCVIPGALKMDKASLLGEVVRHLKELKRNETQACEGLMIPKDNDEISVEEQEGGWNGFPFSIKASLCCEYQPGLLSNIRQALDALHLIIMKADIATFGDRMKNVFVVISCEEQNFDAAEYRQFLAGSVHQALKSVLSRFSVSQDMLRARKRRRVSIFSSSSLENFL >RHN42734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40540899:40544292:-1 gene:gene49217 transcript:rna49217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein TauE MDFCLFGEGMITGGAGATVLYNLRQRHPTLDLPVIDYDLALLFQPMLMLGISLGVAFNVIFPDWMITSLILIFFTGISVKAFLKGVNTWKNETLAKKVSVIENVSWKEVGLLFFVWIMILALQIGKSYTITCSVAYWILNLLQVPIAVGVSSYEAVLLYKGKKVIASKGDQGINWSVKQLILYCSCGIIAGLIGGLLGLGGGFILAPLFLGLGIPPQVSSATSTFAMTFSASMSVVEYYLLKRFPIPYVVATVAALVGQHLVRKLIVLLGRASIIIFILALTVFVSGISLGGTGIASLIKRTENKEYMGFGSLCAYRVRN >RHN72230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5947303:5953288:1 gene:gene7917 transcript:rna7917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metallo-beta-lactamase MATSNGTDDGTPPSESALIFLGTGCSSMVPNVLCLINPSDPPCSVCAQSLSIPPEKNPNYRCNTSMLIDYCGSGSNHNYILIDVGKTFRETVLRWFVHHRIPKIDSIILTHEHADAVLGLDDVRAVQPFSPTNDIDPTPIYLSQHSMDSIEEKFPYLVQKQRKEGQEIRRVAQMAWNIITDDCNQPFFASGLKFTPLPVMHGEDYICLGFLFGEKSRVAYISDVSRIPASTEYVISKSGAGQLDLLILDSLYRTGSHNVHLCFPQTLEIVKRLCPKQTLLIGMTHEFDHHKDNEFLKEWSRREGIPVQLSHDGLRVPINL >RHN45928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26892219:26892425:1 gene:gene40357 transcript:rna40357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MKLCFYIDSDGVNVRGYFAWAAFDTYDFDNGYSKHMELYHVDFDDSLKRIMTNTAKWYKKYLTHDSVH >RHN71214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57275277:57275660:-1 gene:gene19867 transcript:rna19867 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKLFTWQTKTSQLATEITWFALQLGDQIVICYSSLLYPKFKVEVERSMATYA >RHN58673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3850254:3850714:1 gene:gene20605 transcript:rna20605 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLPSMFGHDFGDELGRYAVLVDRNSNEFEVLVERNNGRCIGFDHMKMIKLFV >RHN43789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48483642:48486093:-1 gene:gene50414 transcript:rna50414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKRTTSTIISYLTTHSLSSSFSSAAKNFSTTPRPFPDYSPRNPSISDTDLVRRVTTTLKRRHLEPFRRVLKPYESRFKPSHLIWVLINLKNDYPLVLNLFNWAKSQSQQQFHPTLESLCIVVHLSVASNDIQTAKRLVFEFCAKPKIDVSKSFHLFTEMLIYTYKDWGSHPLVFDLYFQVLVENGFVLEAQKLFHKLLRYGVVVSVDSCNLFLSRLSCNFEGIKIAVKVFEEFPELGVCWNTVSCNIVLHCLCQLGKVREAHNLLVQMTDRGNFPDVVSYGVVVSGYCRIGELDKVLKLVDELKGKGLKPDEYIYNNIILLLCKNGEVVEAEQLLRGMRKWGVFPDNVVYTTVISGFCKLGNVSAACKLFDEMRRKKIVPDIVTYTSVIHGICKSGKMVEAREMFNEMLVKGLEPDEVTYTALIDGYCKAGEMKEAFSVHNQMVQKGLTPNVVTYTALADGLCKNGEIDVANELLHEMSRKGLQPNVYTYNTIVNGLCKIGNIEQTVKLMEEMDLAGFYPDTITYTTLMDAYCKMGEMAKAHELLRIMLNKRLQPTLVTFNVLMNGFCMSGMLEDGERLIEWMLEKGIMPNATTFNSLMKQYCIKNNMRATTEIYKAMHDRGVMPDSNTYNILIKGHCKARNMKEAWFLHKEMVEKGYSVTAATYDALIRGFYKRKKFVEARKLFEEMRKHGLVAEKDIYDIFVDVNYEEGNWEITLELCDEAIEKCLVKET >RHN81194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43971715:43979039:1 gene:gene5201 transcript:rna5201 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSDPTNNAVSQNREIGELPKEVNPKIGYNSGKHWSDDEINLLKSFIDSKSWEDINWKDIRDEEKLFVGI >RHN76069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47454647:47458773:1 gene:gene12344 transcript:rna12344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGQGLSCRGNNDHGLFTAVQQGNLQIVTTLLQQDPSLFHQKTLFDRFSPLHIAAANGQIEILSRLLHGSVNPDVLNRQKQTPLMLAAMHGRIACVEKLLEAGANVLMFDTVNGRTCLHYAAYYGHFSCLKAILSSAQSSHVAASWGFVRFVNVRDGKGATPLHLASRQRRPECVHILLDSGALVCASTGRYGYPGSTPLHLAARGGSLDCIRELLAWGADRIQRDSSGRIPYMVAMKHRHGSCASLLNPTSAEPLVWPSPLKFINELNPEAKALLEQALMDANREREKDILKGKFYPLPSPSQSDCVDDNISEVSESELCCICFEQVCTIEVQNCGHQMCAQCTLALCCYNKPNPTTTSITPPVCPFCRSNIVRLMVVMKIENHDETDQDNVDINCSKINKSRKLRNLNDSGSSSFKGLSSVSFGKLGGRSSGRVAAEWIDKE >RHN69988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47788129:47790057:-1 gene:gene18524 transcript:rna18524 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLLFTKFWIITNSYSNAFLFFEPTILPLLFSSFHFHFNNNNLNFPSQFLIFITFWFFQFEKKMSGVSVATAPPTAPQTPGTKANQRSEAPSAGGMMGSLRVIELQLVAFILVFSASGLVPLLDLVFPALASAYILALARYAFPSSPSTTSNSQQQEIFQVSSMFRMYIIVGTTIGLFLPLAYVLGGFARGDQHAVRSATPHLFLLSFQILTENIISSLSLFSPPVRALVPMIYTIRRIFVDIDWINDVWLNKTFPANARFQDTAWYWFGKGLAVANLAYFSINLCVFLIPRFLPRAFERYFQERGEIYAKSAEDKRSVSLNKPQLSEKKMD >RHN73043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12950395:12956534:-1 gene:gene8820 transcript:rna8820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prefoldin MASSSSSSSAVTERRGIPGAQFVEDVQTYLTQLGLDVNSALAFLQERLQQYKVVEMKLLAQQRELQAKIPDIEKCLDVVATLQAKKGTGEELIADFEVSEGIYSRASIEETDSVCLWLGANVMLEYSLEEATALLQKNLDNARASLEVLVADLLFLRDQTTITQVTIARVYNWDVHQRRTQQAAAATTIAQE >RHN62549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44300647:44302760:1 gene:gene25140 transcript:rna25140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MRQFNQDSSEEENGAQSNTHPNLPFFSFKTIITATRDFSHQNKLGQGGFGSVYKGCLVNGQEIAVKRLSKNSGQGKEEFKTEVKLLVKLQHRNLVRLLGCCFEKEERMLVYEYLPNKSLDFFIFDQNQRSSLDWGKRFEIICGIARGVLYLHQDSRLKIIHRDLKASNVLLDAAMNPKISDFGMARIFGEDEIQARTKRVVGTYGYMSPEYAMEGRYSTKSDVFSFGVLLLEIIAGQRNTHCETGRDSPNLIGHVWTLWTEGRALDIVDPELNQFYPPSIVMRCIQIGLLCVQENAINRPSMLEVVFMLCNETPLCPPQKPAFLFNGNQDLQESSTSGGGSSINELTETTISAR >RHN44788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8481704:8483654:1 gene:gene38950 transcript:rna38950 gene_biotype:protein_coding transcript_biotype:protein_coding MRINDNFVHDPQVQTSKEFLFKPYGEDVIDADYMMQHHHDGLLGHPRFLFTIVEESKEDLESEDGKCGKDSRGRSLGDLLDVETPYLTPIASPHFFTPMNCSPYCSPYNQHGFNPLFESTTDAEFNRLKSSPPPKFKFLQEAEEKLRRKMQDDNKGINGNEVDNSLITIIVDKKYEREVNHHQCHLQQYHSSTSQVLPLAS >RHN65949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6840778:6841260:-1 gene:gene13853 transcript:rna13853 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEDEEALLWFYHWCQENPDADWKSFSMAMIKEFGAQMEHSTDKQMVQNDDQESEPKLWKMTEKHDAPVLEKTVNDEETKARRKSYMTVRKREQEGGVYPQPPPKPFSLNLKERSCKYKTLYVAWLFEGGLSGFQQWDPGGKRIQVSFFNSALRTRLFF >RHN64179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57103719:57105152:-1 gene:gene26966 transcript:rna26966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MGALNLEERPLKFHFIPYPAPGHMTPLCDIATLFASCGHHVTIITTPSNAQIILKSIPSHNHLRLHTVPFPSHQVGLPLGVENLAFVNNVDNSCKIHHATMLLRSPINHFVEQDSPDCIVADFMFLWVDELANRLHIPRLAFNGFSLFAICAMESLKARDFESSIIQGLPHCITLNAMPPKALTKFMEPLLETELKSYGLIVNNFTELDGEEYIEHYEKTIGHRAWHLGPSSLICRTTQEKADRGQTSVVDVHECLSWLNSKQPNSVLYICFGSLCHFTNKQLYEIASAIEASGHQFIWVVPEKKGKEDESNDENEKWMPKGFEERNIGMIIRGWAPQVVILGHPAIGAFLTHCGWNSTVEAVSAGVPMITWPVHDEQFYNEKLITQVRGIGVEVGVEEWSFIGFMKKKKIVGRDIIEKALRRLMDGGIEAVEIRKRAQEYAIKAKRAVQEGGSSHKNLMALIDDLKRQRGHKSLDS >RHN41519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30738891:30740021:-1 gene:gene47852 transcript:rna47852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MNDDLERGAGPRRFTYKELELATNNFSKDRKLGQGGFGAVFKGYFADLDLQVAVKKISRGSRQGKKEYVTEVKVISQLRHRNLVKLLGWCHDKGEFLLVYEFMPNGSLDSHLFGKRTPLPWIVRHKIALGLASGVLYLHEEWERCVVHRDIKSSNVMLDSSFNVKLGDFGLAKLMDHEIGPQTTVVAGTLGYMAPEYISTGKTSKESDVYSFGVVALEIATGKKAVQVMKEQGEDKGLIDWVWDHYGRGELLVTMDENLQKDFDEKQVEFLLIVGLWCAHPDVSLRPSIVQAIQVLNFEVALPNLPPKKPVATYLAPATPISSEEASITTSLENGR >RHN63973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55518724:55524078:1 gene:gene26739 transcript:rna26739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MFFLRVHSVDAENPLDPELIFSAPTQSQTNPKFTERRGVLHLFRSASHSSLPNSNSLSPLLFILAVPNYFSFDDFIRFCGPHYLDRLHHLLFIRNDGMEDRYSVLIRFDDQLAADAFHTYFNGKKFSPAEAEICHILFLLSVEYSECEEVAGTPPAGCTEIPTCPVCLERLDPDTSGICTTLCDHSFQCPCVSKWTYLSCQVCRLCQQQDEKPTCFICGTLDDVWVCMICGFVGCGRYKEGHAIRHWKDTQHCYSLDFRTQQIWDYVGDNYVHRLNQDQSRIDGKSEMHVHCMSLEGECDTCECSEDLEVNGAFFNSKVEAIVDEYNRLLTSQLETQRQYYESLLIEARSKEESSISEAVEKAATSGMLDIQNELEKCTEERNVVAEVNRKLIKNSEMWRKKIKEAEEREAASMKSMNEKILDLEEQIRDITIFLQAQKTIDKMSDSNGIKEGTVLPVAHEQPSPGNSKKNRKSGRKRR >RHN76306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49284776:49286813:-1 gene:gene12608 transcript:rna12608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MATNSLTLVTIFIFAMALCTTLPFDAVRVPLAVSRCIDCVYCTPPPPGSCCSKCCASPIQTKTIAQSPYCEITLNATDILECFPLNLLDWGRTGVLSIALNDIVVLCSDSDGFYDSVALPTTLEDGPITSVSWQPDGHILAIGLMNSIVQLWDTSTMTRISTWSVGHRFAVSSLAWNNSHILTTGALDGKIVNNDVRVRTHIVSTYSGHTHKSAASSNSRPTRWLHKFEEHTAPIKALAWCPFQRNLLASGGGEGDQCIKMWNTHTGAQLNSVDTGSEVGALLWNENERELLSSHGFSQNQLTLWKYPSMLKMADLNGHTSKVLHMAQSPDGCKVASAANDGTVKIWNIFGNPAAAPKTNNEPFANFNRSSIR >RHN53670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3235707:3254188:-1 gene:gene28601 transcript:rna28601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MRKMIWGLRMEGEENLEHDQINLMTYIVHVKKSENVASHQSEDLHSWYHSFLPQTFPHKERMVFSYRKVASGFAVKLTPEEAKSLQEKGEIVSARPERTLELHTTHTPTFLGLKQGQGLWSDDNLGKGVIIGIIDTGIFPLHPSFNDEGMPPPPAKWKGHCEFTGGQVCNNKLIGARNLVKSAIQEPPFENFFHGTHTAAEAAGRFIEDASVFGNAKGVAAGMAPNAHLAIYKVCNDKIGCTESAILAAMDIAIEDGVDVLSLSLGLGSLPFFEDPIAIGAFAATQNGVFVSCSAANSGPGYSTLSNEAPWILTVGASTIDRKIVASAKLGNGEEYEGETLFQPKDFSQQLLPLVYPGSFGYGNQTQNQSLCLPGSLKNIDLSGKVVLCDVGNVSSIVKGQEVLNSGGIAMILANSEALGFSTFAIAHVLPAVEVSYAAGLTIKSYIKSTYNPTATLIFKGTIIGDSLAPSVVYFSSRGPSQESPGILKPDIIGPGVNILAAWAVSVDNKIPAFDIVSGTSMSCPHLSGIAALIKSSHPDWSPAAIKSAIMTTANTLNLGGIPILDQRLFPADIFATGAGHVNPVKANDPGLVYDIEPEDYVPYLCGLGYSDKEIEVIVQWKVKCSNVKSIPEAQLNYPSFSILLGSDSQYYTRTLTNVGFANSTYKVELEVPLALGMSVNPSEITFTEVNEKVSFSVEFIPQIKENRRNHTFGQGSLTWVSDRHAVRIPISVIFKQITSNSFFIFIKSQIMMKTNYTMQLSLLVSLIFILCSFNQITSVFAAEENQEHDHNLMTYIVHVKKSENVASFQSEDLHSWYHSFLPQNFPHKDRMVFSYRHVASGFAVKLTPEEAKSLQEKDGILLARPERTLSLHTTHSPTFLGLKHGQGLWNDDNLGKGVIIGVIDSGIYPYHPSFNDEGMPPPPAKWKGHCEFTGGKICNNKLIGARSLVKSTIQELPLEKHFHGTHTAAEAAGRFVEDASVFGNAKGVAAGMAPNAHIAMYKVCTDNIPCAESSILAAMDIAIEDGVDVLSLSLGLGSLPFFEDPIAIGAFAATQNGVFVSCSAANSGPGYSTLSNEAPWVLTVGASTIDRKIVALAKLGNGNEYEGETLFQPKDFSEQLMPLVYSGSFGFGNQTQNQSLCLPGSLKNIDLSGKVVVCDVGGRVSTIVKGQEVLNSGGVAMILANSETLGFSTSATAHVLPAVQLSYAAGLTIKEYIKSTYNPSATLIFKGTVIGDSLAPSVVSFSSRGPSQESPGILKPDIIGPGVNILAAWGVSVDNKIPAFNIVSGTSMSCPHLSGISALIKSSHPDWSPAAIKSAIMTTANTLNLGGIPILDQRLLPADIFATGAGHVNPVKANDPGLVYDIEPEDYVPYLCGLGYSDKEIEVIVQRKVKCSNVKSIPEAQLNYPSFSILLGSDSQYYTRTLTNVGFANSTYKVELEVPLALGMSVNPSEITFTEVNEKVSFSIEFIPQIKENRRSQTFAQGSLTWVSDKHAVRIPISVIFK >RHN46916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35764239:35766270:-1 gene:gene41451 transcript:rna41451 gene_biotype:protein_coding transcript_biotype:protein_coding MYRERKRAGPLRWCVWDLKTEPAPLRWARMTRPILAAARNGERGVRYEEVLTLGFVLRWILGFICSR >RHN64361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58473763:58476905:1 gene:gene27173 transcript:rna27173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MADKYNMKNPAVKRILQEVKEMQSNPSDDFMSLPLEENIFEWQFAIRGPRETEFEGGIYHGRIQLPAEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPTNPNGALGSLDYKKEDRRALAIKSREASPKFGTPERQKLIDEIHEYMLSMAAVVPQPSPLQASEEPKNEEAETQVTQNPEAPPAGEGIPDQAGDGIVEEQEVNVNANPNPAGVEASNETPSGVSTNQLPRKSDTRVQNLKPETRVQKPDDRLFTLAAIGLAIAIMVLLLKKFIKSTEHGAVFMNGS >RHN79418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28638169:28649475:1 gene:gene3208 transcript:rna3208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MLHSLLRPNFHSHHFFFIHPHAPKLLLSNLPISTSLIMSYRPNYQGGGRRGNSSSSNRGGGRRGGGGGGRGGGGRGEQRWWDPVWRAERLKQQQAEKEVLDEKEWWKKIETMKRGGEQELVIKHYFSIADQQTLADMAYQHELYFHAYNKGKTLVVSKVPLPDYRADLDERHGSTQKEIKMSTDIERRVGNLLNNSQSTSQSTATAAASLPSASTDTGHKKTMTTINSASSQQTDTSKEKLSVALKERQELEQASGSLKEMKSFREKLPAFKMKSEFLKAVQGNQVLVVSGETGCGKTTQLPQFILEEEISCLRGADCNIICTQPRRVSAISVAARISAERGETLGKTVGYHIRLEAKRSAETRLLFCTTGVLLRQLVQDPELTGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLILMSATINADLFSKYFANAPTMHIPGFTFPVVEHFLEDVLEKTRYSIKSESDDIEGNSRRRKKQQDSKKDPLAEMFEDVDIDTHYKSYSSGVRKSLEAWSGSQIDLGLVEATIEYICRNEGGGAILVFLTGWDEISKLFEELEKNYLLGNRSKFLILPIHGSMPTIDQCEIFDRPPPNKRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLASLLPSWISKASARQRRGRAGRVQPGVCYRLYPKLIHDAMPEYQLPEILRTPLQELCLHIKSLQLGTAAPFLGKALQPPDSLAVQNAIELLKTIGALDNKEELTPLGRHLCTVPLDPNIGKMLLMGSIFQCLSPALTIAASLAYRNPFVLPINRKKEADEAKRYFACDSRSDHLALVEAFEEWKDAKSRGDEKNFCWENFLSPATLRLIDDMRTQFLNLLSDIGFVDKSKGVQAYNQQSHDLEMVCAILCAGLYPNVVQCKRRGHRTAFYTKEAGKVDIHPSSVNAGVHSFPLPYLVYSEKVKTTSIYIRDSTNISDYALLLFGGNLDPSKNGEGIEMLGGYLHFSASKSVIELIKKLRGELDKLLNRKIEEPGFDISDEGKAVVAAAIELLHNQVMQ >RHN48038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44456652:44460836:-1 gene:gene42708 transcript:rna42708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MEIKEEMEDGKQKGMREPLIGEHNNQLVHANKDHHYPWMLYFTTFIAVCGSYEFGACTGYSSPAQDAIRRDLNLSLEEYSLFGSILTFGAMIGAITSGPIAEFVGRKGAMRVSSAFSIAGWLVIYFSEGIVPLDIGRLLTGYGMGVFSFVVPIFVAEIAPKERRGALTTLNQFMIVSGASGSFIIGTVLSWRALAIIGLIPTAVLLLGLLFIPESPRWLAKRGRAKDSVEALQILRGKDADVSQEAEEIQDYITSLERLAKPNGLDLFQKRYLRSLTIGVGLMVCQQLGGINGVCFYTGSIFDLAGFPSATGSIIYAILQIVITGVGAALIDRAGRKPLLLVSGSGLVAGCIFTAVAFYLKVHDVAVGAVPALAVTGILVYIGSFSIGMGAIPWVVMSEIFPANIKGQAGSIATLVNWFGAWLCSYTFNFLMGWSFYGTFILYAAINALAILFIVLVVPETKGKSLEQLQSAINA >RHN56735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33297915:33301159:-1 gene:gene32176 transcript:rna32176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trafficking protein particle complex subunit MLSISRYSSVVEFEHLVQSVVKWDFRFWQKAFGMAAIYSLYIINKSGGLIYYKDYGSAGRMDTNDTLRVASLWHSMHAISQQLSPVSGCSGIELLQADTFDLHCFQSLTGTKFFAVCEPGTQQIESLLKYVYELYTDYVLKNPFYEIEMPIRCELFDMNLTQSVQKDRVALLGQ >RHN78291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13725860:13727632:1 gene:gene1827 transcript:rna1827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative retrotransposon gag domain-containing protein MQHALGTKNKLVFINGSVLIPDLEDLNWDAWERCNHLIYSWLINSVSPQVAQTLVFHENAIDVWEELKERFAKVDRIHIASLHYSINNLKQGSKSVLEYFTEMKTLWEELNSHHPMPNCTCPFPCRCEAMRSARMHGIEDLVIQFLTGLNDNFNVIKSQVLLMVPLPSINKVYSLVIQEESNNCNLSLPSVLEDSSILANASDAKKHFGPGKAFAGPKNTSRFFTFCNRKNHTVEFCYQKHGYPNFYKPNSSANASSSGPANTQHVNSAIDNAPCTGLTQEHYNHILTLEKAKLVREISDRKNEIPHKFLGRKI >RHN55493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18966266:18966550:1 gene:gene30681 transcript:rna30681 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAFEAEEEMNNSGCSTPKRWECQIHTTLVPPPPPKKKPFSFGRRKKEAPKNGYFQPPEDDLEHLFYMLPPHKYYN >RHN47834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42886519:42890135:1 gene:gene42480 transcript:rna42480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DET1-and DDB1-associated protein MDSVLGNLPSYNPHNFSQIRPSDPSSSSKMTITTYHPTHDRTLPPPDQVINTEAKNILLRHIYQNAREKLKPKRAAAGNLLPEHGCKQPRVST >RHN76555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51197616:51198907:-1 gene:gene12899 transcript:rna12899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAKSVSEKKVRNHIPNDLIFYILVKLSLKSLKRFGCVCKTWALLFENPHFRRNFISIPHSYDTSLLLYEVEESHDYSRSFYSLSGARYENRVKLDFPNQFQEENPFIDFYGCDTITGTIFLTQGNTLVLWNPATHEFKTIPPSPVESLPPYREVSIGLHGFGYDHIKEDFKIIRYIQFTSISSGRLERLHVRYEDVSWNEISYQPEWEIYSLRCNSWKKLDVNMPKRCNIGQFEPSYINGMSHWWSESDNRDEHLLVSFDLNNEMFFTTSIPIDIPLDIDTNFYLGFVCRRLVVLNRFIASISWYLLDTTTFHISILGELGVKESWTKLFVVGPLPYMERLIGAGQNGDIFFQKKDGKLVCFSLSTQKAEELGVRGAHFYDIAIYKKSLLNVGGINHYN >RHN46579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32928413:32928655:1 gene:gene41080 transcript:rna41080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MKESKKGYIIWNWAPQLLILDHPATGGIVTHCCWNSILESVNSGLPMITWPMSEEQFYNEKLLVDVLKIGVQVGAKENKF >RHN74403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32736643:32737329:1 gene:gene10460 transcript:rna10460 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYQFYCCAVAWLWVSGILFSFLCFCFTVYDQMLVVLGMCLMLWFSFNNLKGYVSSPERIVWLILCVGLIPRSEFIREVVATWISILCWRSIYSPTLNPKLSYRCSLFTIFMQSAFESIRGFSGLHL >RHN49543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55535768:55537703:-1 gene:gene44385 transcript:rna44385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MEWSATCAARAYLDTLRLCNNVGSLRVQELGSNEFVSALAAGMKAKLIVEVTSSPASSSTIALAAAARQTGGKVVCILPEPVLDESKKAINNSGLNDQVEFKTEDPSKLLPRYKNIDFSLVDCKDESYAMLLNLIDVNPVRSVVVANNLVGDRKGLEGIRRKDEKLAVRSVKHSIGKGMEVTMISKNDETDHKRHHHARKRNKSKWVAKFDEKSGEEHIFRLSQLDLL >RHN78434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15048584:15051125:1 gene:gene1990 transcript:rna1990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MNSPLIRTLSNTTQQLQQLLSQCTTLTHLQQTHTFILKHALFQNDINLSRFIHKTASLNYPSYSYSIFTFNHNRPFPIFVYNNIIYALYSSNAKLAVSIFRSVRRLGLSKLFDEFGGNGCVLNAMIVAYVKVGDVSNARKLFDSMLERDKDVFSWTAMISGYTQAHNPNEAIKLFRRMQLENVKPDEIAILAVLSACADLGALHLGEWIHNYIEKHKLSKIVPLYNSLIDMYAKSGNIRKALELFENMKHKTIITWTTMIAGLALHGLGKEALRVFSCMEKEDRVKPNEVTFIAILSACSHVGLVELGRDYFTSMRSRYGIEPKIEHYGCMIDLLGRAGHLQEAKEMVLRMPFEANAAIWGSLLAASTRCGDAELAEEALRHLTVLEPGHCGNYSLLSNTYASLGRWNESRMVRKVMQDAGVEKVPGVSFIEVNNIVYEFIAGDKLSIYFVDIYDVLHSLDGQIKIEIH >RHN81691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48140963:48145174:-1 gene:gene5770 transcript:rna5770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TUBBY family MSFRSIVRDVRDGFGSLSRRSFEVRLPGHQRGKSRSSVHELHDQPPVIQNSPWASLPPELLRDVIKRLEATESTWPGRKHVVACAAVCKSWREMCKEIVNSLEYCGKITFPVSLKQPGYRDGPIQCFIKRDKSKLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSNTYIGKLRSNFLGTKFIIYDTQPPYNNAQLAPPGRSRRFNSKKVSPKVPSGSYNIAQVTYELNVLGTRGPRRMNCTMHSIPASSLEPGGLVPGQPELVPRNLEDSFRSLSFARSIDNSTEFSSCRFSDIMGAGNEDEEEGKERPLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAAQPAPVAPHAATGPAPSQPAQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >RHN41392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29697120:29698079:-1 gene:gene47710 transcript:rna47710 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTGSNNIEILRVQALSILCTPHPFLEFSGFAPIPDKVDSIRSRKQQIKNDTLFDFDGSSPVQDKVDPFCSTKQQIDNDAFLDFDGFSPAEDKDIYNRSKEQRQDNDEENIEEGEFSFACTKVRGLHIFADEIFENGKIRQIPHTFDQSLFIYPTSNNNVSHLRPPLKKIFIKNSVNRHSMLGGISKESQNESLQNMTMVEIKASNECYEKSNSTGSSNLWKFRQNMNLRSNSDHKDSLVLLNASDPKNSRKPKVENIVNKKRKDEKHKNGLSAYEKLYVSNKTRKDSNKRRSFLPYKRQLFGLFTNMNGLSRNLHPF >RHN41314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29048796:29049105:-1 gene:gene47622 transcript:rna47622 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYLTDRISMVGEIQTSVGTGFVQVFIRVQLWKDKRAMGVWKVFLRSFTMEGLILILVLA >RHN75713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44475422:44475885:1 gene:gene11951 transcript:rna11951 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEGRDTIPQTLFSSGKCIFEHACHTGQRNIWKIPEARNTSSFLLRPWRQRRLLNDQSDF >RHN81995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50466474:50467667:-1 gene:gene6109 transcript:rna6109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TCP family MFSFPNNFPSSSSSSSYPNSTLSFLIDNPENNDFPNNNTTLLDDSLLSIPFTSTHHDVVPFPDTNFADCNNTAMFEQDNGYNFGVTSSFPIPNFVVTEQKQPATVIGGKKDRHSKIHTSQGLRDRRVRLSSEIARKFFDLQDMLEFDKPSNTLEWLFTKSDTAIKELARTKNAACYNNNNNDNSPFFDSNSNKSFSGGGGGDGSCSSKGRKLKWTQKEETKKESRERARARARERTCYKMCSSGRMQQEEIRYPGPGADSTNTQQMLQQLISSSTSPVDSTETEAYARWRQLLQLQTYSNPSFHNHHNLLDSEIPRDHGGFNVIEESIMIKRNMMSATSSQYHHQNLIPTIPKELPSFNHNINDYSLFPCSTPNWETSSNFCGIATMNLSTCFLNPW >RHN61363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35179384:35183857:-1 gene:gene23823 transcript:rna23823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal recognition particle receptor, beta subunit, small GTPase superfamily, ARF type MQHELEQWKEQFSHLWNVANDYLRDVPPNQLYAAAAIAIFTTLLLLFLRVLKRTKSNTIVLTGLSGSGKTVLFYQLRDGSTHQGTVTSMEPNEGTFILHGETAKKGKIKPVHIVDVPGHSRLRPKLDEFLPQAAGIVFVVDALDFLPNCRAASEYLYDLLTKGSVVRKKIPLLILCNKTDKVTAHTKEFIRRQIEKEIDKLRSSRSAVSEADVTNEFTLGVPGEPFSFTQCSNKVTTADASGLTGEISQLQEFIREYVKQ >RHN80130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35388086:35388445:-1 gene:gene4017 transcript:rna4017 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNVGSGSSGSKRSHEDSVGSSARPMGREAAKKKGKMKSKGETLEKVEKEWVQFKELKEQEIEQLKELNLVKQQKNKLLQEKTKAKKMKMYLKLRDEEHLDDRKKELLEKLERELFEN >RHN77889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10249948:10250181:-1 gene:gene1392 transcript:rna1392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSRDLDVSTLARAYDIAAYNMSGSSAILNYPNEYNMASHAGSTSSSNVFEIEYLDLDNWLDLLEAVLDQAEKKNRGP >RHN58899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5812775:5815787:1 gene:gene20855 transcript:rna20855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine-nucleoside phosphorylase MRQILHIQGGQCGNQIGAKFWEVVCAEHGIDPTGRYTGDSDLQLERIDVYYNEASGGRFVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQVVGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADEVMVLDNEALYDICFRILKLSNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRTLSVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMMNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEDEYGEEEGEEDYEQQDM >RHN67966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31850966:31858653:-1 gene:gene16231 transcript:rna16231 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRQYGTSKSSPLIFEDEAIRNPNPIAVSSSSSNDGVDASSSDSLAAKAIRASSARRDSSLSSLYGHSNLSSPRSQPTPTAPPPSSKDSRAYEYTSMKKLNEPKSGFWGVLARKAKSIIEDDIVTPESEIPGTTRSQYPGVTSRGKVQNSNHLEEGNLKRDSPTIIKGLDAITSSLTHIGGTIGKSLEEGFTIVENRTSDIIQETRKHIRKKPGNFVGQNQETNHSTTSQEPQLRSQMSPTQGDQELQLKASRDVAMAMAAKAKLLLRELKTVKADLAFAKDRCAQLEEENKILRVNRERGDSQDDDDLIRLQLETLLAEKARLAHENSVYARENRFLREVVEYHQLTMQDVVYLDESNEEVSEVNPLNLPPVPLDSNTPSATSLSFSSDANLGMGSELTRGISSPISGKDIKSSEVIVKDSTSSEVKSISSIAGKDGK >RHN38583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:449613:452240:1 gene:gene44538 transcript:rna44538 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTPNSQPSELTTPLIPQAQPQEDGLTNDGLIPQIFSSVPALSDAASYLSQTTSYLAGCFSDYSGKLCDFSSYFSRVYFE >RHN72569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8719522:8720094:-1 gene:gene8295 transcript:rna8295 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSVLYDHCATSIVDLYRSCPKCSFEICLSYCKEIRNGSITPRFEMMFQYKNRGDEYMHGGDPLPIITCDTSNLEGHVEIFTKWNVNSDGSVECAPKELGGCGGCVMELKHILPDGRISKSVTKSRRMKNHFCNIEKQKNVEKKESRVARITMTYTVQCQVTL >RHN50860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11237088:11239565:-1 gene:gene35199 transcript:rna35199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MELGGNQVGDTPRCFDMDMSKAFVPMSVFSDSTQGKVSVEGKIVNKFDMKPHDQNLEVYGKLCRERTKKYMVKNRQIQVIGNDNGARMRPMPGITFSVSSGPTKDNIKKKPQGRGTDTKRTRGNRGEVEESLFKKFEKQSNWSLRNLVQETDQPEQFLKDILKDLCVYNNKGTNQGTYELKPEYRKTGD >RHN66413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12138683:12141813:1 gene:gene14386 transcript:rna14386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAATLVGGAFLSATVQTLVAKLASQEFCDYIRNTKLNSSLLAELETTLLALQVVLDDAELKQITNTAVKQWMDQLKDAIYDAEDLLNQINYDSLRCTVEKKQAENMTNQVWNLFSSPFKNLYGEINSQMKIMCQRLQLFAQQRDILGLQTVSARVSLRTPSSSMVNESVMVGRKDDKERLVSMLISDSGTTNSSIGVVAILGMGGVGKTTLAQLLYNDKEVQDHFDLKVWVCVSEDFDILRVTKTIHESVTSRAGESNNLDSLRVELNKNLRDKRFLLVLDDLWNDSYNDWDELVTPLINGKTGSRVIITTRQQKVAEVAHTFPIHKVDPLSDDDCWSLLSKHAFGSEVRGGSKCPNLEEIGRKIAKKCGGLPIAAKTLGGILRSKVDAKEWSTILNSDIWNLPNDHILPALRLSYQYLPSHLKRCFAYCSIFPKDFPLDKKELILLWMAEGFLERSQRNKTAEEVGHDYFIELLSRSLIQQSNDDGKEKFVMHDLVNDLALVVSGTSCFRLEFGGNMSKNVRHFSYNQGDYDFFKKFEVLYDFKCLRSFLPINLRNWVGGYYLSSKVVEDLIPKLKRLRVLSLKYYRNINILPESVGSLVELRYLDLSFTGIKSLPNATCNLYNLQTLNLTQCENLTELPLHFGKLINLRHLDISKTNIKEMPMQIVGLNNLQTLTDFSVGKQDTGLSVKEVGKFPNLRGKLCIKNLQNVSDAIEAYDVNMRKKEHIEELELQWSKQTEDSRTEKDVLDILQPSFNLRKLIIRLYGGTSFPSWLGDPLFSNMVSLCISNCEYCVTLPPLGQLPSLKDLTIEGMTMETIGLEFYGMTVEPSISLFRPFQSLESLQISSMPNWKEWIHYENDEFNFPRLRTLCLSQCPKLKGHLPSSLPSIDEINITGCDRLLTTPPTTLHWLSSLNKIGINWSTGSSQWLLLEIDSPCVLQGATIYYCDTLFSLPKIIRSSICLRFLILYDVPSLAAFPTDGLPTSLHPPRSFHLWL >RHN59874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14295818:14297799:1 gene:gene22039 transcript:rna22039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MSTSNNKKSKPFQFPVANSTLLPEPSNFFSPNLLSTPLPTNSFFQNFVLKNGDQYEYIHPYLVKSSNSSLSLSYPSISSNSTVISQLFQPDITISSIEKTYSSSHFNHKISSYSDLSVTLDIPSSNLRFYLVRGSPFLTLSVTKPTPLSITTIHAILSSSFNGSLRKHTFQLNNGQTWIFYASSPIMLNHGVSEITSEAFCGVIRIALLPDSDSKNEAVLDKFSTCYPLSGKVIFGKPFSVEYKWKKEGCGELLMVAHALHLRLLYDIDCDVTEEHHKEIVSSLLSDVEGLNSSLITTTSSYFYGKLIARAARLALIAEDVFFFDMVPNVKIKKFLKETIEPWFDGTFKENGFLYDQKWGGIVTKQDFAADSNDSFGSEFYNAQLHHLGYFLYGIAVMVKLDPDWGRKYKPQAYSLMEDFVNLSTCSNPNYTRLRCFDLYKLHSWAGGLKEFPEGRYQKGTSEAINAYYAATLIGLAYGDANVVSIGSTLFALEIQAAQNWWHLREEGYLYEEEFTKENRMMGILWSNKRETNLWFGHSGARQCLLGIQVLPLLPITEVLFSDVDYVKQLVEWRVSALEEDGIGEGWKGFLYALQGIYDKDGALEKIRKLNVLTCSIQNI >RHN63879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54711649:54712077:1 gene:gene26634 transcript:rna26634 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIIGSAKILSDGDELVKELQCTADSSVVYSQPQPEDPSLSSIIQDNKIDADARRFASLQTVSVARFDHDGESYRKEVPAPRKDKHKNKDKKRKWESHNGRQNDPEYLEQK >RHN46970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36143071:36145592:1 gene:gene41514 transcript:rna41514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAD-like domain-containing protein MGHLYALDFDGVLCDTCGETAISALKAAKLRWPDLFGSVDSSTEDWIVEQMIKVRPVVETGYETLLLVRLLLETRVPSIRKSSVAEGLTVEGILEDWFKLKPIVMEEWNENRDDLIDLFGKVRDDWLENDFAGWIQGNRFYPGVADALRFASSKVYIVTTKQGRFADALLRELAGITIPPERIYGLGTGPKVETLKKLQKMPEHQGLTLHFVEDRIAALKNVIKEPELDNWNLYLVNWGFNTQKERDEAAANPRIQLIDLSDFSSKLK >RHN60729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29964280:29970459:-1 gene:gene23085 transcript:rna23085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & lipid binding HD-SAD family MAMAMAVAHHRESSSSGGSIDKHLDSGKYVRYTSEQVEALERVYAECPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSQLVCENGFMRQQLHTPSAANTDASCDSVVTTPQNSKRDATNPAGLLSIAEETLTEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIAEILKDRLSWFRECRNLEVFTMFPAGNGGTIELIYTQTYAPTTLAPARDFWTLRYTTTLDNGSLVVCERSLSGSGTGPNPTAAAQFVRAEMLPSGYLIRPCDGGGSIIHIVDHLNLEPWSVPEVLRPLYESPKVVAQKMTIAALRYIRQIAQESSGEVVYGLGRQPAVLRTFSQRLSRGFNDAVNGFSDDGWSILNCDGAEDVIIAVNSTKNLSSSSNPASSLTLLGGILCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAYSAASLKAGTYAYPGMRPTRFTGNQIIMPLGNTIEHEEMLEVIRLEGHSLAQEDAFVSRDIHLLQICSGTDENAVGTCSELIFAPIDEMFPDDAPLVPSGFRIIPLDSKPGDKKDAVNTNRTLDLTSGLEVSPATTHGGADSSSSQNTRSVLTIAFQFPFDSTMQDNVVIMARQYVRSVIASVQRVAMAISPSGINPAVGAKLSPGSPEAVTLAQWICQSYSYYLGTDLLRSDSLVGDLMLKQLWHHQDAILCCSLKSQPVFVFANQAGLDMLETTLVALQDITLDKIFDESGRKALCADFAKLMQQGFAYLPAGICMSTMGRHVSYEQAIAWKVLTPEDNTVHCLAFSFINWSFV >RHN82447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53874089:53877572:1 gene:gene6614 transcript:rna6614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin repeat-like-containing domain, exocyst complex component Exo84 MCSTSKEISDLEGELLSMRNLLSNQAALVHGLAEGCQLGSLVTGNEDSDMDDIINEKTDISKTEKWLIGYLETLNVLLAEKRVDESMVALEEGEKMAKEIKQGKTLSPSLFQALQNAITEHRQKLADQLAETICQPSTRGTEIRTTALALKNLGDGPRAHTLLLKSHRKKLKRNMQSLESTTYGGVSAYTASISHLVFSTISQAVSDSLTIFSGEEPAYTSELVTWAVRQAETFSLLLKKQILASIAATGGLRIASECVHVCLSHCHLLEASGMALSPVLLKHFRPFVEQALNTNLKRIEQSSAALAAADDWLLAYAPTSRNTNTGLRPVSSYSSLNSYQPKLSISAHKFNSMVQELFEDVGTLEILQLDGLALEGLHQVFNFYVKLLINAMPGSAVTENLESTGHKIVKIAETEKQQIALLANAILLADELLPRAVIKLSHGTKGDDSHKRVSDKQRPPEQRELKKRLQREVDRLRDSFCRQHALELIFTEDGIPLLNAQMYLDMEEKGEIPEWFPSPIFQELFVKLTGVAGIASDVFVGRERFATILLMRLAEAVILWLSDDQDFWANVETGQTPLGPLGLQQLYLDMQFVMIFSSQGRYLSRHLHQAIKNIIGRAIDAVAATGLDPNRYTHCWSTVDQYLWMENTTVDHFDRWSLVLKIIQNSDGDIFF >RHN39763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10322478:10324147:-1 gene:gene45825 transcript:rna45825 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIDILSRVLLCLWLFLNISLSNFILSLPHFICGGYLICV >RHN75616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43772087:43773151:1 gene:gene11849 transcript:rna11849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MASSYSCWCWFPIYFIASVALGVIAITSAIHSNSKSSPEVIPSQTHTLSTNATEALKKQGFVLMADLLHRSPPFFLPPKNSTFFAIKDSAIKNTSLPLWFLKSLLMYHTFTTKLTMQQLLNKSQGTCETTLFRQKNASLTKVDTLQKTVEINHVLISNPDMFLGEEFNIHGVLGPFSSLQREVLQGGSDFIRSPTCPSFKTNSTYEVGDFKNFVEWNKVVQLLGSKGYSSFSTALHSVLEGILKDSSSFGYGSATIFAPPDVNLLHYPSTLLDRAVRIHILPQKFTYKELSSLPVRTLLKTLTPHDHLEIDGVLGFMEGVLINGIQIVKPDMFVSEKFVVHGISRAFKMAEITA >RHN65516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2821663:2825317:1 gene:gene13363 transcript:rna13363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAETAVLFALDEVFRFLKEETNLLKGVHTEFSDIKDELESIQVFLKDADRRAADEADTNDGIRTWVKQLREASFRIEDIIDEYHRLMHMAKSSPPGCGGSSFYKIASLVKTLIPRHHIASKIRDIKVSVRGIKERSERYNLQISHEQGSSSRNSTRETENGRWRDPRLSSLFIEEREIVGFEFPREEMSVWLLEGVAERTVISVVGMGGLGKTTLAKLVFDSQTVTTHFDCRACIAVSQSYTVRGLMINMMEQFCQETEDPLPNKLRKLDDKSLIVEVRQYLQHKRYLIFFDDVWQEDFSDQVEFAMPNNNKGSRIIITTRMMQVADFFKKSFLVHVHNLQLLTPNKAWELFCKKVFRFDLDGHCPPELEAMSIDIVRKCKQLPLAIVAIGGLLSTKYKTIMEWEKVSQNLSLELGRNAHLTSLTKILSLSYDGLPYYLKPCILYFGIYPEDYSINHKRLTRQWIAEGFVKSDERRTPEHIAEEYLYELIHRSLVQVSNVGFEGKVQICQVHDLLRQVIIRKMKDLSFCHSVREDNESIAVGKTRRLSIVTTPDNVQKSANNSHFRAIHVFEKGEPLEHIMDKLCSKSSILKVFDIQGTSLHHIPKNLGNLFHLRYLNLRNTKIQALPKSVGELQNLETLDLRDTLVREIPSEINKLKKLRHLLAFHRNYEEKYSLLGFTTGVFVEKGIKNLTSLQNLYYVEVDHGGVDLIQEMKMLGQLRRLGLRHVRREHGNALCAAIVEMKHLENLNITTIGEDETINLNFVSSPPQLRRLHLKAKLDSLPEWIPKLEYLVEIKLALSQLKNDPLQSLKNLPYLLKFGLWDNAYDGEILHFQNGGFLKLRKLDLSRLNRVHTVLIDEGTLISLEYLTMDRIPQLKEVPSGIRSLDKLKAINFTEMPAEFVESVDPDKGKDYRIIKHVPLVSIHHSSGPKFFDYAIRTIHSSSIESQEN >RHN48488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47890875:47894144:1 gene:gene43208 transcript:rna43208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phenylalanine ammonia-lyase METISAAITKNSANESFCLIHAKNNNMKVNEADPLNWGVAAEAMKGSHLDEVKRMVEEYRKPVVRLGGETLTISQVAAIAAHDHGVQVELSESARAGVEASSDWVMESMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGNGTESSHTLPHTATRAAMLVRINTLLQGYSGIRFEILEAITNLLNNNVTPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAHGPSGEILNAKEAFALAGINAEFFELQPKEGLALVNGTAVGSGLASIVLFEANILAVLSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSAYVKADKKLHEMDPLQKPKQDRYALRTSPQWLGPLVEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLALASIGKLMFAQFSELVNDFYNNGLPSNLSASRNPSLDYGFKGAEIAMASYCSELQYLANPVTTHVQSAEQHNQDVNSLGLISSRKTYEAIEILQLMSSTFLIALCQAIDLRHLEENLKNSVKNTVSQVAKKTLTIGVNGELHPSRFCEKDLLKVVDREHVFAYIDDPCSATYPLSQKLRQVLVDHALVNGESEKNLNTSIFQKIATFEEELKSLLPKEVESARTAYESGNPTIPNKINGCRSYPLYKFVREELGTGLLTGENVISPGEVCDKLFTAMCQGKIIDPLLECLGEWNGAPLPIC >RHN44429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4537292:4537750:-1 gene:gene38548 transcript:rna38548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanin 6''-O-malonyltransferase MGLNIDCRTRLDQPIPATYFGNCIGGRLAIVKTSELFGENGLIVVVEVLSEALETLKDGVLTGAENWSSLLLEGLAIADVKTIGTAGSPKFEVYSTDFGCGKPKKVEMVSIDRIGAFCLSDCRKGDGVEIGFVSNKKAMEAFASLFVKGIAS >RHN59298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9208131:9208869:1 gene:gene21303 transcript:rna21303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MAPKLILTSLVIFLSLLFESTLAKHNSHTMTYIKSSCNGTLYPDLCIRCLNKFSKSNINGPQHLAHVALSVSFSRALQTRGYLLNVAKELKAIDHNNKRMYLTVQDCVNQINDSVDQLSQAIKELKRLNKFNTIINDKVLWHISNVETWVSTALTDASSCVQSFPGHRMSKRVATIKVKAKNVAEVTSNALALFQSYATRYRQAATARTSKKP >RHN76987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2958179:2960396:-1 gene:gene388 transcript:rna388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin regulator PHD family MNFGYQDKKDSCQACGKSGNLRSCKTCTYSFHTECLLSPLKSSRLDNWMCPECVSPLNDIDKILDCEMRPTVAGESDAENLRSKQSLTKQYLVKWKGLSYMHCGWVPEEEFLKVFRINQHLKTKVNNFHRKMGPVRKPDEDFVAIRPEWTTVHRILSCRDHGAEKEYLVKWKELPYDECHWELESDISLFQAEIERFNIFRSRSRKISYCKQKGSVSDDAELIKQQKEFQQYEHSPEFLSGGTLHLYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLLQENASPHLVVAPLSTLRNWEREFERWAPQMNVVCYVCWNFPSS >RHN72566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8698293:8698526:1 gene:gene8292 transcript:rna8292 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPTKNLGVTTSSLQRFVNPIQFSPDANRINSDQCGKLGTELGLDKIDVPEDED >RHN56998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35587778:35590697:-1 gene:gene32478 transcript:rna32478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MDSVVSELEGTLLKNSDVFSYFMLVAFEASGLIRFVLLLILWPVIRFLDMFGMEDISLKLMIFVAVAGIHKSEIESVSRAVLPKFFMDDLDMEAWKIFSSYAKSVVVTKMPGVMVKRFVEEHLRADEVIGSELVFNRFGLATGFVQSESITSVSERVAKLFNNQVPNLGMLRFSTTTSSNHSFSKLCKEQMQPPFRTSQKHNDSQLLRPHPVIFHDGRLVKRPTPFTSLLIILWIPIGILLAIIRLTLGAILPFWAIPHMSRLFGGKVIVKGNPPFPPTAGGPGVLFVCTHRTLMDPVVLSSVLQRKTPAVTYSISRLSEILSPIPTVRLTRMRNVDAERIKYELSKGDLVVCPEGTTCREPFLLRFSALFAELTDRIVPVAMNYRVGFFHATTARGWKGLDPVFFFMNPRPVYEVTFLNQLPVEATCSSGKSPHDVANYVQRILAATLGFECTNFTRKDKYRVLAGNDGIVSCTSLVDRVKKVVSTFKPCLP >RHN55025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14494033:14495025:1 gene:gene30146 transcript:rna30146 gene_biotype:protein_coding transcript_biotype:protein_coding MVALARVCFRLVYWLVKVVSIIGYNYSNGLVNEVSAILSCKCIEERMMNKIRLSG >RHN44124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1873788:1878144:-1 gene:gene38189 transcript:rna38189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-histidine N-methyltransferase MAATNSECDSKKNAEKPNFETFQLFSSSVGSGFGIFDDVSQNVNDIPPPPCVEVLVSESEVPLFVKHNAEEVNFDGVTLFKGRVNTQQVFGLSNFDLVPGKYEGGLKLWEGSNDLIKALRSDIKSELISFRGKQVLEVGCGHGLPGIYALLEGAAVVHFQDFNAEVLRCLTIPNVNANVSGGSQPSPSRLTNDKAEVRFFAGDWSEVDKLLPHVGTDAKHNEGFGYDFILMAETVYSINSLQNLYNLIKKCLLRPQGVVYMAAKKYYFGVGGGTRRFLSVVEKDGILTSNMVAEITDGSSNVREVWKLSFK >RHN46221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29789276:29790924:-1 gene:gene40675 transcript:rna40675 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKSEEQVMSLKLLVNKETNKVLFAEAEKHFVDTLCSFLTLPLGTIARLLQKESIIGPVTIGCLNSLYQGVANLNNSYFLYETIKEMLLQPKNSSEDYCNTLKFNIDDTEPTKYCICTEFVHIGCEFSLYTSNTKSCNCGSPLTLQVLPKPYCDGFVTNTTFVITDNIVVMPHSMHVTGYGLLKNYGIKSTSSLKQMTVNVTKEQNSIQVLDLLKCSLISKSPLTDMFLRKKPTLERSCIFSCNVQNSSNIEINVKLVIRKSDGKVLYAQGDEYFANLLLCFLTFPLGGVVSKLRGDCSLGSIERLYNSIVDIDETYFVSTEAKKRLVDPVVARQFNFSKQILPTQKSYVDYYCYYHGENFEQSITHNKFFISHEVWSNKRNRRELVLRNNSKTHKGYVKGLKIYVATDDLIISQSSPITSLNLIDCSGTPLGDLKEKVVTIGVKECFSILKAALTSTSALTNGLAHLLTEVKEEK >RHN39495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7565463:7568879:1 gene:gene45522 transcript:rna45522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoside-diphosphate kinase MRPPFVGFIRLLGLLVFVSACCCSSSPDGSTNIEKTLAIIKPDGLLGNYTDDIKRTILEYGFSIVKEKIVQLDETTVKRFYAEHSSKNFFSSLVKYMTSGPVLTMVLEKDNAIADWRALMGPTDASKAKITHPHSIRAKCGLDTQKNCVHGSDSPKSAQREIPFFFKELSPDVITQHDEL >RHN81799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48957743:48959638:1 gene:gene5888 transcript:rna5888 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCGSEIIQVHLAEYGVHWNFFFTLAAISILTSFINIPPQYSGVFGSLVLVGYQFCLMHGLNHYLLSNERGTDIISQNKEGIFSIFGYWVMYLIGVHIGNYLLFGSHSTAFKSSRWFQMRVWVLSILFWLLTVLLDRLVERISRRTCNLPYVTMVLADNLQLLSILTLADLIPGSKTTVLEEAFNRNLLATFLLVNNIILGYYYICLSSNNFMQANLLTGLVNLSIDTLSASSTISVFIVLVYAYILSTVIGIADYFGIKLKFW >RHN54962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14013159:14016219:1 gene:gene30074 transcript:rna30074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MSSIYFHVFLLLVMILIIIFCLVVGTKQVNNILFLHQLGMSSALETLCGQAFGAKQFNMLGIYMQRSWIVLFITGILLLPLFIFATPILNFFGQPQEISELAGVISMWLIPTHVTYAFFFPLYFFLQSQLKNNIIGWVSLVALLVHVFLCWLVVVKFKLGVIALVASGNVAWIVLVFGFFGYAVLCGCPLTWTGFSMEAFFDLWEFAKLSAASGVMLCLEVWYDKVLMLMTGNLHNAKKFVEALTICLTLNIWELMFPLGFLAATGVRVANELGAGNGQAAKFASAVAVVTSIIISVFFWLLIMIFRRQIGYLFTSSELVIEEVNKLSPLLGFTILLNSVQPVLSGVAIGSGWQKYVAYIDLGCYYLIGMPLGFLMGFVFQFGVEGLWAGLVCGGPAIQTLILAWVTIRCDWNKEAERAKLHLSKWGAPNHAQK >RHN49002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51678521:51679240:1 gene:gene43781 transcript:rna43781 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYRSGHPSYDGNRRVDVVMSGKGYGSSGTRSANSTQGNEKPWRFNDPEAKRKKRIAKYKVHSVEGKVKATLKKGLRWIKKKCSQITHGY >RHN41325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29154267:29156003:1 gene:gene47633 transcript:rna47633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNHLVIFIIVILAIVFFISGILQLFVRFLIRKRSSLSNSQSNNNYPQMSEPDPYQRQLQQLFNLHDSGLDQAFIDALPVFLYKEIIGLKEPFDCAVCLCQFTEQDMLRLLPLCNHAFHIDCIDTWLLSNSTCPLCRGSLYEHGFAFENPVYDFESLREEDRVFDSVVCDAASVNKHAENHIMSGKRVFSVRLGKFRSSNNGEGGVERNEGECSSTTKSIVDDVRRCYSMGSYQYVVADSDLVVALCPNKGEGGGNSASMRQLKGGFGQNGNCSIVGGDVEGKKMNVARKGESFSISKIWLWSRKDKVSSSSHSHMVNSNLTSTLPWMNREMKEAT >RHN61078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32795153:32797930:-1 gene:gene23496 transcript:rna23496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEIIKFVYIMILFVSLLLIVEAGGNECVTDVDCEKLYPGNKKPLICNIGYCLSLYKEPPRYM >RHN76302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49262111:49266048:1 gene:gene12603 transcript:rna12603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L28e MATVPGPLVWEVVKRNNSFLVKEFGNNTQSVQFSREPNNLYNLNSYKYSGLANKKTVTIQSAGKDQSVLLATTKPRKQNKPAALLHKSVMKKEFRRMAKAVQNQVADNYYRPDLKKAALARLSAVNRSLRVAKSGAKKRNRHAGKK >RHN68502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36024294:36026128:-1 gene:gene16865 transcript:rna16865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rossmann-like alpha/beta/alpha sandwich protein MPSSASFLRQLSAKETWKSTSNRWSGKNTYNNNSLGVSCETNLSQMEGFNINMYGNEESCGMMVRKRVMVVVDGTSHSKHAMIWALTHVVNKGDLLTLLYIVSPQSASDSYSSTYLVNHLGSLCKDCKPEVEVEALVIQGPKLATVMSQVKKLEVSILVLGQKKPSSFFSCLCGSSSSCSSTEEFVEYCINNAECLTIGVRKRSQGNNGYLISTRWQKNFWLLA >RHN44671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7366979:7367536:1 gene:gene38816 transcript:rna38816 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase chain 4 MGFIILGIGSISDTGLNGAILQIISHGFIGAALFFLAGTSYDRLHLLYLDEMGGMAIPMLKIFTIFTILSMASLALSGMSGFVAELIVFFGIITSQKYLFMMKILITFVTAIGMILTPIYLLSILRQMFYGYKFFNTPNSYFFDSGPRELFISISILIPVIGIGIYPDFIFSFSVDKVEAILANF >RHN81855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49350627:49351154:-1 gene:gene5947 transcript:rna5947 gene_biotype:protein_coding transcript_biotype:protein_coding MECTIECMIKGCSYNIFLNTNINGNILSKKENSIPFIGKKTLK >RHN76985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2944077:2946899:1 gene:gene386 transcript:rna386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-B-type family MNDQFPIGMRVLAVDDDRTCLKILERLLQRCQYHVTTAQSALTALNLLRENKSNFDLVISNVHMPDMDGFKLLELVGLEMDLPVIMFSANDDPKMVMKGIDHGACDYLLKPVTLKEVQMIWQHVVRKKKTNKRSNRDAPNSDCGNGIDSARTGNFDQNEKPSRKRKEKNEDEDEDEDEDDRDNDDSTAQKKPRVVWSADLHRKFLAAVKQLGFESMLFPQSSMRKNSCHQAVPKKILDLMDDETLTRENVASHLQKYRLYLKKQANMAEVLGNDSDKSYSRMGYISGVGAHVQPLNDPRQFHNNNSAFRSFPSSGMSTRLNTPSSSVNMHGFPAHNLNNSTGDHLRFQSAIARSNLNDVQRMPFSSVDSQHSQFSHPLLEKRRCNDIWSSPMQQLPETNSYLPKEGFVHAAAMPPTYNMNSQGIIFNSSNNVPFQGWDNNHNNHDGSYHPSHVVGNSVGPSMIHVLNAIEPEGNLDNNYCDRIAELHEVPSLKPHEVYMMNNQMKAGNSHMSNELDSLEDVMRVMIKPVIKFMQCDATFLVYN >RHN71430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:102050:102622:-1 gene:gene7033 transcript:rna7033 gene_biotype:protein_coding transcript_biotype:protein_coding MRECVISGGNPHLTSRFCEDELGLKFQHGIRVCQIQGPPTYSRTKLRFCGVVLGPTTISKMVSEPFQDPLGHLLSGFRYRATHESSPIVLVVRECVISGGNPHLTSRFCEDELCPKFQHGIGVFRIQGPPTYSRTKPRFCGVVLGPTTIFKMVSEPLQDPLGHLLSAFRYRATHQLCPRIKPNSAGRGVC >RHN70658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53038214:53041892:1 gene:gene19267 transcript:rna19267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MGRNKIQLFDDKRDVFFSVSNLFSQSHEYYYYPCGLFASVGQVGIGFGVPQPNPSDSNSPENVDDPKFPFSELYVKYIQSLLKFEPNGVTGKGEGEEVVKVKNKNGGFKLKIKIRNPSIRRLCSGAIAGAISRTTVAPLETIRTHLMVGSSGHSTAEVFQDIMKTDGWKGLFRGNLVNVIRVAPSKAIELFAYDTVNKNLSAKPGEQSKLSVPASLIAGACAGVSSTICTYPLELLKTRLTIQRGVYNGLLDAFVKIIKEEGPAELYRGLTPSLIGVIPYSATNYFAYDTLRKAYRKIFKQEKIGNFETLLIGSAAGAISSTATFPLEVARKHMQVGALSGRQVYKNVVHALVSILEQEGIQGLYRGLGPSCMKLVPAAGISFMCYEACKKILIDNDEEE >RHN55326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17328994:17329998:1 gene:gene30492 transcript:rna30492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAEALLGVVFENLLSLVQNEIATTFGIKSKVEKLSTTLDLIKAVLEDAEHKQVTDRSNKVWLQQLKDVVYVLDDILDECSIESGRLRGSSYFKPKNIIFRREIGQRLEEITRRFDEWRQTSSFIAEPKVFGREDDTKKIVEFLLTQARDCEILSVYPIVGLGGIGKTTLAQLVYNDVRVSSNFNTKIWICVSDAFSIKRILCSIIESIIGGKCDALDLDVLLRKVKELLKGKRYFLVLDDVWNKMQQLAFGLSQEKWNTLKSVFMCGSKGSSILVSTRDEVVAAIMGTCQAYPLYGLSDNECWLLFKQYAFGNDKEEREELVPIGKDIVKNVCI >RHN61624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36916857:36921571:-1 gene:gene24108 transcript:rna24108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MKVMNEPMQLLALLSFCSIFFLVASEDPYRFFDWTITYGDIYPLGVKQQGILINGQFPGPEIYSVTNDNLIINVHNNLPEPFLLSWNGVQQRRNSYQDGVYGTTCPIPPGKNFTYTLQVKDQIGSFFYFPSLAFHKAAGGFGSIKILSRPRIPVPFPEPANDYTFLIGDWYKIDHTKLRKVLDFGHKLPLPQAVLINGHANGTSFTVDQGKTYRLRISNVGLEHTLNFRIQGHIMKLVEVEGTHTVQTSYDSIDVHVGQSYSVLITADQAPKDYYIVVSTRFTNRVLTSTAALHYSNSQQPLSGPIPAGPTTQIDWSIQQARSIRTNLTASGPRPNPQGSYHYGMINISRTIKLESSAAQVNGKQRYAVNSVSFVPADTPLKLADYFKIGGVFQVGSIPDTPSGRSMYTDTSVMGADFRAFVEIVFQNHESIVQSWHIDGYSFWVVGMDGGVWTPNSRNQYNLRDAVSRSTTQVYPKSWTAIYMALDNVGMWNVRSEFWARQYLGQQFYMRVYSDAGSIRDEYPIPKNALLCGKAVGRETRPL >RHN49184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53128415:53130587:1 gene:gene43989 transcript:rna43989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nicotianamine synthase MGCQEELLIAKVINLYEQISSLETLKPCKNVDMLFTELVLTCMPPSPIDVTKLPNTVQGKRSHLIRLCGEAEGYLERHYSAILGSYQNPLNHLHIFPYYSNYIKLGHLEFSILSQHCTHVPNKIAFIGSGPLPLTSIVLAWNHLPSTTFHNYDIDPLANSSAKHLVSSDPDLSNRMVFHTNDILDVSNDLKDYEIVYLAALVGMDKEEKNRIIDHLGKHMAPGALLMLRSAHGARAFLYPVVEASDLRGFEVLSVFHPADEVINSIVISRKYPMPKHSHDHSLCSMILPNKCSEIQVFNPLRGLNHGNMIEELTIDDQLM >RHN47719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41938629:41940069:-1 gene:gene42350 transcript:rna42350 gene_biotype:protein_coding transcript_biotype:protein_coding MYLERRLPSLFILFCCFGYEYMTASLLSCCRVLLLVLVCAAVFWPAVCV >RHN61573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36552617:36557939:1 gene:gene24051 transcript:rna24051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative enoyl-[acyl-carrier-protein] reductase (NADH) MATTSISSLQVAMSRSCIPSSQKFANVSNVVLGAKSKAGSWNKLASACHVASVQPFQRSFTSSSVKSVKIATKAMSESSETSPISGLPINLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILLGTWVPALNIFESSLRRGKFDESRVLPDGSLMDITKVYPLDAVFDNLEDVPEDIKTNKRYAGSSKWTVQEVAESVKEDFGSIDILVHSLANGPEVTKPLLETSRKGYLAAISASSYSYVSLLKHFLPIINPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKRKIRVNTISAGPLRSRAAKAIGFIDMMIDYSIANAPLQKELSAEEVGNAAAFLSSPLASAITGTVLYVDNGLNAMGVGVDSPIFSDLDIPKEQH >RHN67923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31495980:31506662:1 gene:gene16181 transcript:rna16181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLISLFQLSTAVSLVSLERLEIDDCGCLEYIIDERKEQESRGEIVDDNNSTSQGSMFQKLNVLSIKKCPRIEIILPFQSAHDLPALESIKIESCDKLKYIFGKDVKFGSLKEMRLDGLPNFIDIFQECNPTMSLSIKRSSSISGDTSKPQAQSESIKCNMFSWTDIYCCGKKDGHKLRSTTSTKIPLVYEDQPQDNLMKSKSYPLNISHILCNIKEITLKNISKMKSVFILSIASRMLLETLRISKCDELKHIIIDIDDHDNTGAINSGTVFPNLRNVTVEDCEKLEYIIGHFTDDHQNHTQIHLHLPVLETFVLRNLPSLVGMCPKQYHTTFPPLKELELNNCGDGKIIKELSGIVDHFLALKRLVVKNNSKVICLNELNEHQMNLALKVIDLDVLPMMTCLFVGPNSSFSLQNLTELQIKQCEKLKIVFSTSIIRYLPQLLTLRIEECNELKHIFEDDLENTAKTCFPKLNTIFVVKCNKLKYVFPISIFRELPHLVALVIREADELEEIFVSESDDHKVEIPNLKLVVFENLPSLSHDQGIQFQAVKHRFILNCQKLSLTSASTLDFEYDISDLFIGKFGYAYGYGWELVQYWRILYRQLKWKHRSPDPQIHELLMKQLHQFGEIDTAVKPSQVSEISVQEGTTTSNAKRRTTSLQEYGDGEMAISFPPISITRPLTTQEVHVNNLQETSNTIDDAVIKVTSIVEEQFSKDVEFRVPESKLSPIIPSPQAFQSPPMLSGGDPSQIDEELEDLDDQMDMSYFSLLLQRPMIKKVCSNIEEQFPKVDDIIVFKSKPSPSITTSVASQFPPVPSKGVPSQKVEDFSSSLLVKRELEQLLSKKHLDYENVSLLTDFFVKHPSVRLKDTSLSNRYKGYAYNCLAELLKFLQTHSVLDVLGSSHSEFVELLQDVRKFGFDKEWLDDIEKRVLLPGLQVSQDALQKLLDSKHILTQHVEDLKHQLCHDPKIHICDRRTN >RHN73327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15433024:15438308:-1 gene:gene9128 transcript:rna9128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MATASVVPANRRRTVTANEDMDFETTEGVKAIGSFEEMGIKDDLLRGIYQYGFEKPSAIQQRAVAPIIQGRDVIAQAQSGTGKTSMIALTVCQVVDTSVREVQALIVSPTRELASQTEKVILTIGDFINIQAHACIGGKSVGEDIRKLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLVLDESDEMLSRGFKDQIYDVYRYLPPDLQVCLISATLPHEILEMTNKFMTDPVRILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMRNNNFTVSAMHGDMPQRERDAIMGEFRAGTTRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIKILRDIEQYYSTQIDEMPMNVADLI >RHN66205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9705266:9707050:1 gene:gene14145 transcript:rna14145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MMLLTNLVISQSDESTRCPFKLHCAHNKILELPTHPVPVKLIVTDIDYRLQTFYLSDPGNCLPQLLLDNNFSSSFPLRSYGTLTFEPSYFNSFFNCSSVLHLRQDNIIMHGTIDMASCPIYVADSTNENIIQSDLVYCIKLSDRSSLFSAFNIQYNHLPMTWSGTNFDIRCLKCENKTKKRITLITLTSAGESETTFVRVLNFIFIIKLKFLRILNVKFAGVIIGSTLLVLVLGAIVQVHHHFKMKGEDHKRIENFLKDYKALKPTRFSYADIKRITNKFKDKLGEGAHGAVYKGKLSSQILVGVKMLNNTEGDGKEFINEVGTMGKIHHLNVVRLLGFCADGFHRALVYDFFPNGSLQKFISMTEEGDKDSFLRWDKLQQIALGIANGIEYLHQGCDQRILHFDINPHNVLLDDNFTPKITDFGLAKLCSKNQSTISMTAARGTLGYMAPEVFSRNFGNVSYKSDIYSYGMLLLEIVGGRKNTNTTTNEENFQVLYPDWIHSLLEGGEIQIPIDDEGDFRIAKKLAIVGLWCIQWQPMHRPSMKTVMQMLQGDGDKLQVPSNPYGPTTSSNTTTNIVAPPPMNLHLEVIQEID >RHN43416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45659321:45659898:1 gene:gene49987 transcript:rna49987 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPLIDPVHTQIHSQQHLKFRFRLQRKKRSKKRRKARDRGFGYGMIMLMKMKKKMGEDDKER >RHN80831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41143842:41146602:-1 gene:gene4798 transcript:rna4798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MIVHQTKEGMHFLHKKYREDFIYLGKHLQSLTSAASGYQKVLEENRKIYNQMQDLKGNIRVYCRVRPLKRQPNSHGIVSNVEEENISLIIPSKNGKEVKKTFTFNKVFGPSATQGEVFSDTQPLIRSVLDGFNVCIFAYGQTGSGKTHTMSGPDNITEETVGVNYRALRDLFYLSEQRKDRIHYDIFVQMLEIYNEQVRDLLVTDTSNKRYPFFKIRNSSQNGINVPNANLVPVSSSADVLNLMNLGQKNRAVSATSMNDRSSRSHSCLTVHVQGRELASGNNLRGCIHLVDLAGSERADKSEATGDRLKEAQHINRSLSALGDVISSLAQKQSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESEALAETISTLKFAERVSTVELGASRVNKDSGEVKVLKEQITSLKETLARMKDGEFEHLKQRANSMTDLPKLKSLLSSSVPTIWTSGGRKLPRDDSSSLDSEDIYRNLHAGVNVNDDDKESFSGSMNRDESCDSLMGQSEVESKQSSSPLLSPTYLFATSDFDELELATSEGSESDLSWQSQSPKPTAISNAFVSRPKKSIHLKQAKNLTTRY >RHN74827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36957318:36957644:1 gene:gene10950 transcript:rna10950 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKRRRYIPTSFLLVRLRTTSYWERYALIPLVTPKTSFRKVPTDPTNQNESFHCVLSSLARFPTNFLEGHLSQNSAKSSTLNCGVIMVWATRKKMHLVDIGRTIQFL >RHN52101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31530402:31533997:1 gene:gene36722 transcript:rna36722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative APO domain-containing protein MEFMGCHLSASNSYSPTFKFKCQQFDKCRSALPGVILCGNRKLRPDEFVWRRNESTQNVDFPPKLPKGKKKPYPIPFKQIKHAAKMERKLASKGIEKPLEPPKNGLLVPDLVPVAYEVFDAWKLLIKGLSQLLHVIPAYGCSECSEVHVAQTGHSILDCEGRTSSTRHSSHAWVRGNVNDILVPIESYHLFDPFGKRIMHDTRFEYDRIPAVVELCIQAGVDIPEYPSRRRTNPIRMVGRRVLDRGGHLEEPKPLRTAEFSSVIDFDTYRACERFPPPPLSDVPKIAQETIDAYQTVRKGVRKLMKKYTVKACGYCSEVHVGPWGHNAKLCGSFKHQWRDGKHGWQDATLDEVLPPNYVWHVRDTNGPPIKAALKRYYGKAPAVVEVCVQAGARIPAEYKPLMRLDIVIPDTDEAGMIA >RHN45342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17217710:17218887:1 gene:gene39622 transcript:rna39622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAPGNHDNDVNNVVSSHSFMEETTTTKRQKPANTIGTLTSPPPLPSLPLDLVAEILCRLPVKLLLQFRCVCKSFKSLISDHKFGKKHLHCQQSATTSSTFKILPPLDDKHLSAYSFGYDHLINNYKIVALSLCKDKTEVSVHTLGTYSWIKIHDFPYTSPLCGSGIFVNGNVNWLALDGVTSSCVIVSLDLTKESYQKLLPPNMEMDHWTTLGVLKDCLCIFASNDVFLNVWAMKEYGNVESWTKSYHIPHKEFHGLFAYTYENENDQLLMDFNELGHYSLKLVVYDFKSGTLKTHEIQSLRYDMEPEVYIESLISPCF >RHN49141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52766398:52767830:-1 gene:gene43939 transcript:rna43939 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPICTWSFLSSLVTALPENLGKSFAQAVTASDEAQPNRLPPRIRMGDKVYIKISQKVYEAEIVDCQLHLHGRVTLQKGDPSLTTRGLKQKLVGIWPQLRNWFVTPLGRGFFEFKFQSMEDMKKVWAMNSVNLKSGILKNFYWSKDFDPLTQTQSHAQLWIRLMHLPQEYWRQTTLFDIASGFGTPISINKATQSRLFGHYARILVDVDMSDTLFETVVVECEGYAFPVIMEYKRKLAFYQHCKLLGHYIQQCHRLNSTQPQVYSGYQPKNFTKQEVQKSKDASVLLVSQKVTTMIESLQFKYTTSIRPRIKPQPLIVLLWVWLIPI >RHN39215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5033792:5035694:1 gene:gene45219 transcript:rna45219 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAIDEGKEISPMFPRLHVKDAEKGGPKAPPRNKMALYEQFSIPSQSFASSGSGSLFTLPLRNCTVPTTSSHVSHLGCGQSIKFYSSNASSILSEKTQAYNSRKINSTKLTASHDLEHMTPAKQVTKIQQDYFINNSKNSLKTLDGEDAFITSGSVHVKSNPSDKDEENKLARYNLNCSLKSLSSFRKVMNSPGTIELKSAQYGKSLMKEHKDVRQIDQNAEEKPLHSLNGFNDTTNASSNSSIKDRNSKSMNKEQRSLKEENRNISVDSLKTLQGSNGHRYEDHVAFADKINLRDHCSEKPTMSDFQKCSRELEIGTRSSHGKRERSKDEETSKNYDALNKSSSKCRFGMDISPDDVVGLIGEKQFWKTRRTIIKLNLSSLSALSSLLCA >RHN80781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40817946:40820066:1 gene:gene4747 transcript:rna4747 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKMVLCLLSTSFTVPLPVNKGLNNLDYALTKFTRKPTITTAQNIIGSCISNRHILFSEGRGSCHHCFMSLARLLHDSYSYKGNVNYYTKIVISGYWVGPDVDDGWGFVEAVIDQIT >RHN40463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16524728:16525997:-1 gene:gene46615 transcript:rna46615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-rRNA-processing protein TSR2 MVGSRVLQGESLEAFNEGVGYILNRWPPLRSAVDYQQGGENSHLKAEKLIGDVRSWFTQSNEPLHIDDLKNLIYEGMVAAFDLKICDESDGDIAVELMVIHEDCLNGDFRNIEHLRKASRFDDFSVHITIELGFGCVLIPITFLCPMLHGHFP >RHN81594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47408829:47413577:1 gene:gene5664 transcript:rna5664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MPPIPKNNNNTSFSIPTLFLFFIIISILSLTFFFFSSYTTTTHSSLSLQPTTSCINVYVADLPRSLNYALLNRYWSFTSDSRIGSDVDSEIRSKSKALEFTEFPPYPENPIIKQYSAEYWIMGDLVTPSKLRTGSFAKRVFDEREADVVFVPFFATISAELQLGMAKGVFRKKVGNEDYQRQSEVVDFVKKTEAWNRSGGRDHVFVVMLKLKHCLADPVAMWHVKDEIAPAILLVVDFGGWYRLDSKLSNCTSSEMIQHTQVSVIKDVIVPYTHLLPRLHLSENKERHNLLYFKGAKHRHRGGLVREKLWDLLNNEPSVIMEEGFPNATGREQSIQGMRTSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDNIELPFEGMVDYTEFSVFVAVGDALKPSWIVNHLQSFSKKQKDTFRQNMARVQPMFVYDNGHSGGIGPVPMDGAVNHIWKKVYQKLPTIKEAIIREKRKPPGVLVPRRCQWRPS >RHN67699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29445660:29446163:-1 gene:gene15916 transcript:rna15916 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIAIIEESADGYNDNKSCYSLFPKLKVPYIEQCHQLQFILPILCASDLVLLEDIKIRCCHKVKYIFGQHQDLKLTSPKVVMIDDLPNFIDIFPPNVSSIFKDGSKPQTQLDPIKSNTFSMCCYRYKLRSTKIPLVYEDLLQQCSISLVTLSSFLIDSLYLAYLF >RHN64430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59056790:59057341:-1 gene:gene27246 transcript:rna27246 gene_biotype:protein_coding transcript_biotype:protein_coding MQLWISLHWFPWFTDEKETSFFSRCRESGNLEIIYREGMVQYFSTLMVDLGLKNLKKTALEGHHEAKYVYSMLLMANCDDDEGRKLGFDLFDELKNSTGITIVGCRKRVKSVRTITMLYAQWKVLMEISCFGRDEELTNNDEKYSERKFYSHSYTYTLVLLSDSSHLHTYTSYLQHMSEYIYN >RHN75980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46733381:46734043:-1 gene:gene12246 transcript:rna12246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MARISNLIFLACIFLFNIINIPFLTNAISSCNGPCNTLNDCDGQLICINGKCNDDPDIGTHICTNPSPSPPSGGGGGTCQSSGTLQCKKKSYPQYRCSPPVSSSTQASLTLNDFSEGGDGGGPSQCDEKYHDNSERVVALSTGWYNGGSRCGKMIRITARNGRSVTAKVVDQCDSVNGCDKEHAGQPPCHNNIVDGSVSVWNALGLNTDDGVVPVTWSMA >RHN55685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21092347:21093157:1 gene:gene30906 transcript:rna30906 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHLMVLDRDSCLLMVHYISMFLILHFVSNFVLVNYLQFFNFSVYRL >RHN69366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42936341:42936634:-1 gene:gene17822 transcript:rna17822 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAYAMAGVDCKECGINYCALRRSLTPPQAKSENDLGLGNHRMIDNSLKMNDEWVKMKMREWATAVASNNETNANLRVSEILLIMDHNHHKMPGN >RHN57033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35883836:35885764:1 gene:gene32520 transcript:rna32520 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTENQEALVNSSWESFKQNLPGYSVFFYTTILEKAPAAKGMFSFLKDSTGVQDSPQLQAHAEKVFGMVRDAAVQLRATGEVVLGDATLGSIHIQKGVVDPHFVVVKEALLKTIKEVVGDKWSEELSTSWEVAYDGLASAIKKAMS >RHN42226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36510254:36515854:-1 gene:gene48642 transcript:rna48642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-OST1L family MDRAAMTVGPGMDLPIMHDSDRYDLVRDIGSGNFGIARLMQDKQTKELVAVKYIERGDKIDENVKREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERISNAGHFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPTPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLRQEYDGKIADVWSCGVTLYVMLVGSYPFEDPNEPKDFRKTIQRVLSVQYSIPDNVQITPECRHLISRIFVFDPAERITMPEIWKHKWFLKNLPMDLMDEKIMGNQFEEPEQPMQSIDTIMQIISEATIPAAGTCSLDQFMADNLDMDDEFDDLEYESELDIDSSGEIVYAMY >RHN71525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:757778:775553:1 gene:gene7134 transcript:rna7134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 8, nucleotide-diphospho-sugar transferase MRFRSIHFSLLFISITSFSLLLLLLLVTADTRSPKNVQTALRAKWSGTPLLLEASELLSKQQQHYFWNFIDIWINANDDANPDANANAKYCVKKILEHGRSLLTEPLASIFEFSLILRSASPTLVLYRQLARDSLSSFPLFHNDNEIAEIKKNETQLDPLRVGVSVESPGGKCCWVDTGEHLFFDVDELRSWLQNNHDHQKVGNSFQSPPVFEFDHIHFDSATGSPVAILYGALGTNCFKEFHVALLEAAKQRKVKYVLRPVLPAGCDAQIGPCGSVGVSESVNLGGYGVELALKNMEYKAMDDSAVKKGVTLEDPRIEDLSQEVRGFIFSKILDRKPELASEIMAFRDYLLSSTVSDTLDVWELKDLGHQTVQRIVRASDPLQSMQDINQNFPSIVSYLSRMKLDDSVRDEITANQRMIPPGKSLMAINGALVNVEDIDLYMLIDLVHQDLLLADQFSKLKIPPSIVQKLLSTLPPPESDMFRLDFRSTHVHYLNNLEEDGKYKWWRSNLNEILMPVFPGQLRQIRKNLFHAVFVLDPATTYGLESIDMIMSLHENSFPVRFGVVLYSSKYITQLEDHSTKEDGDKFAGDISDMIIRLFSYIKGNYGIEMAFKFLSNVNKLRIESDDNVEDAHLEQHHVESAFVETVLPKVKSPPQEILLKLEKEPELKELSQESSKLVFKLGLSKIQCSLLMNGLVIDPNEEALMNALNDETQRIQEQVYFGQIKSHTDVLDKFLSEAGIQRYNPRIIADNKPKFISLSMFTFGEASILKRINYLHSSGTMDDLKPVTHLLAVDITSGSGIKLLRQGLNYLIEGSKDARVGLLFSGNQTTNLFSLLFVKVFEITTSSYSHKKNALDFLDQLSSVYLQKYIRTPALEVDGTQAFIDEVCKLAESNGLPSEGYRSSLSEFSADEARRHLSEVEKFLFTALGSESGVNAVLTNGRVTSPIDESTFLSADLHLLESIELKKRTKHIVEIIEEMTWDDVDPDMLTSKFISDIVMSVSSAMSMRERSSESARFEVLSDEHSAIILNNENSSIHIDAVLDPLSPTSQKLSGILRVLWKYIQPSMRIVLNPLSSLADLPLKNYYRYVVPSMDDFSNIDSSINGPKAFFANMPLSKTLTMNLDVPEPWLVEPILTVHDLDNILLENLGDTRTLQAVFELEALVLTGHCSEKDHDPPRGLQLILGTKTSPHLVDTLVMANLGYWQMKVAPGVWFLQLAPGRSSELYIFKEDDDGSKNKQSSKLITINSLRGKVVHMEVVKRKGKEHEKLLIPDDDDDLQHKKKGSGWNSNLLKWASGFIGSNEQSKNAESNSPENARGGRHGKTINIFSIASGHLYERFLKIMILSVLKNTHRPVKFWFIKNYLSPPFKDLIPHMSQEYGFEYELITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLEKVIFVDADQIVRTDMGELYDMDLKGRPLAYTPFCDNNREMDGYRFWRQGFWKDHLRGRPYHISALYVVDLKKFRETAAGDNLRVFYETLSKDPNSLANLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKSKAKTIDLCNNPMTKEPKLQGARRIVAEWPDLDLEARKFTARILGDDLEPIQSPDQSKDSTNEDSLKEDLESKAEL >RHN58283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:534286:534591:1 gene:gene20180 transcript:rna20180 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhE MMLEHVLVLSAYLFSIGIYGLITSRNMVRALMCLELILNAVNINLVTFSDFFDNRQLKGNIFSIFVIAIAAAEAAIGLAIVSAIARNRKSTRINQSNLLNK >RHN64372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58562909:58570136:-1 gene:gene27185 transcript:rna27185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-CTR1-DRK-2 family MMRSEEREKAVQKKIQELEEGHAYMKQEMSKLKLSDGREQQQHHHRQRSHSVSPQRSRLGSWNKGSCSFKHSSPLRKETHAHALVNFTEKHYLNILHSLGHSLHILDLNSRIIYWNRSAENLYGYAADEVLGQDGIDLLVDSRDWELACDTVNCVMKGEKWSGQFLVKNKKGEKFLAVTTNTPFYDDGSLVGIICVSSDSRPFLEMRAPFFGVKNAESDYGIRRSSSVSNKLGFDSQQPLQTALASKISNLASKMGNKVKSKIWTGENNMDRDGGNGESQHSDLGFSEHREDANSSGASTPKGDLPQSPVGVFYRVEEKFEAKTSRDSGDESEGKPVNKSLPTKPETWIQKKTSTWPWRTNDREESEARNVRAAEPWRQNDQENESVNQKIISSGLKHENQAGESNRPTNNEASLSRSSSYNVNSTSSASSCGSAGSGAVSSKVDADNDCFDYEILWEDLTIREQIGQGCCGTVYHALWYGSDVAVKVFSKQEYSDDLILSFRQEVSVMKRLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLCRLLHRNTPKLDWRRRVQMALDIARGINYLHHYNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSRLKHETYLTTKTGRGTPQWMAPEVLRNEPSDEKSDVYSFGVILWELATEKIPWDNLNPMQVIGAVGFMNQRPEIPKDIDPGWASLIEICWHSDPTCRPTFLELLERLRELQKKYVIQFQAARSASGENTQRKES >RHN63978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55597551:55607799:-1 gene:gene26744 transcript:rna26744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rab-GTPase-TBC domain-containing protein MLESELHDLSDDSDYAAASQQQGSASVMQRTDSFQQSSSSNELEGAEIVYSKDNVAIHPTQFAISGRLKLIKQGTSLFMTWIPYKGHNADNGLSDKDRNLYTIRAVPFTDVRSIRRHTPALGWQYIIVVLSSGLAYPPLYFYSGGVKEFLATIKQHVLLVRSAEDANVFLVNDFQSTLQKTLSSLELPRAVPLARGPSDMSADESTLNENQERNDSGVNNGSVSVPQFHRRPRHKVNDPTRDLSIQVLEKFSLVTKFARETTSQLFRENQTNGFRANERRTRIETNLDPPKSSQTNLDPPKSSTVAGKVSDENSAFSDSKEFDNLSLVWGKPRQSPLGSKEWITFVDSEGRVIDSEALRKRIFYGGLDHELRNEVWGLLLGYYPYDSTYAEREFLKSVKKSEYETIKNQWQSISSAQAKRFTKFRERKGLIEKDVVRTDRSLTFYEGDDNPNVNVLRDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESEAFWCFVSLMERLGPNFNRDQNGMHSQLFALSKLVELLDSPLHNYFKQRDCLNYFFCFRWILIQFKREFEYEKTMRLWEVLWTHYPSEHLHLYVCVAVLKRCRGKIIGEEMDFDSLLKFINELSGHIDLDATLRDAEALSICAGEEGAARIPPGTPPSLPVDDGSFYYQQDDEVL >RHN77296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5835027:5839850:-1 gene:gene738 transcript:rna738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative remorin MRDLGFHEQRRSWRRNTGSRTRNTTANTVTGGGDTSPDSVIFTLESNLSLFSSASASVDRCSFASDAHDRDSLNSEISLHLAGHGGDFAPSESWSGPDPDPDPNQNHNRKQHADSDSVQKKKLGETLFSGKAEKTKVQKEDSDIDSKDGNQLSEFDSARNSFSLALKECQDRRSRCESLFKKQDRRRPASLDLNNANATGTGSSPRLVGAVKKSMVQSRKSGTGTATGTGTFPSPGTPNYRHCQGGVAMQKGWSSERVASGGRKQVGNGVTALCLSNGRTLPSKWEDAERWILSPVSGGDGTGRVSVPQPLRRPKSKSGPLGPPGVAYYSLYSPAGHFFDGGNFMTAASPFSAAVNASADGFTNSSGGNGGGGLPTRTDPCMARSVSVHGCSQMQGQSSIPSREEKFDAFKDAGTNVSPAVSRRDMATQMSPEGSSCSSPNMMTSFSASIPPTLPVTDLQSISFSKMDIRDVQVDERVTMTRWSKKHKALFTGRGSENVDSWKKKETSTRSSSWEISERSKTVSKAKREEAKITAWENLQKAKAEAAIQKLEMKLEKKRASSMDKIMNKLKFAQKKAQEMRSSVSVDQAHQVARTSHKVMSFRRAGQMGSLSGCFTCHAF >RHN63806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54149991:54150838:-1 gene:gene26558 transcript:rna26558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MTAIFKASSHTFFLCLILFSASQFLLINCTEFEVGGKTGWVVPNSKDGDEMYNKWASQNRFKIDDTIHFKYEKDSVMVVSEEEYENCKSTRPLFFGNNGNTVFKFERPGLFYFISGVSGHCTRGQKMIIKVLDVEPEPTASSPQSANENAPIAHSKAAQITPITITAFTLFALSFLGMIYA >RHN56011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26750652:26758057:1 gene:gene31324 transcript:rna31324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MTGPPTTLHMFRAYVLYLIGSRIMPNYSGNLVHLSFLQLLDKSPEEVGQYSWGSACLATLYRSLCDGAIYGNTEMPGCTILLQAWAWSRMSCLAPVPRIPPQAHAQLPLANIWLEHGNKFVENPRHNLVCTRLKIDTMTYDQFSWRPYVNFNYSNEEECQIWSANTYLICFQIVERHQTDRVKLQFGLPQHPPSLPENLKVFHKINLTKDRIKGSWGDKHHRQVQNWNQRHQLALHGVRYNHEVYPNRQYFAWYWEFFGDYLWLSREVLLSNPRQACASILPGFPRDYPAVPQTYTVLDENMSSNTPPPYNQFMTPPPTNFDQTFNPSTNYNYNQTYQSPPQPTNQILHNTPIPYPSYSQQSHHGESSRTSNYSFDDYNPTQHTTQTSYSDQSQYSSFNLPTPPHFVNYPQTTYAPQWPNSQTNPIESLAAGFNDDDFVNEIWTNLASQVQNEVAMETNDDVEILDENVEQEEHEEEEEEEQHQRPVQNKKDKLCATGGHSLHWRNWFPRRK >RHN40198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14125286:14125444:1 gene:gene46320 transcript:rna46320 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIHDLTVLKPSFWKQQQPKKKVSIGDDILLLLLLLLLLLLLLLLLLFNFV >RHN62028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40174235:40176254:1 gene:gene24553 transcript:rna24553 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGEPNKFLPSEVLGSAKVAAESAQTTVGQQSEKVATAAEKAKKTVVEHVDRAADHVHSTPEEPPKSEEGTGEPNKFLPSEVLGSAKVAAESAQTTVVKQGEKVATAAEGVKKTVVEQIDRAADYVHSKPVEPAKTEEAGVLDGVKKTVGGLFK >RHN50157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4559294:4561346:-1 gene:gene34412 transcript:rna34412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MGNFANRKPHVVMIPYPVQGHINPLFKLAKLLHLRGFHITFVNTEYNHKRLLKSRGPKAFDGFTDFNFESIPDGLTPMEGDGDVSQDVPTLCQSVRKNFLKPYCELLTRLNHSTNVPPVTCLVSDCCMSFTIQAAEEFELPNVLYFSSSACSLLNVMHFRSFVERGIIPFKDESYLTNGCLETKVDWIPGLKNFRLKDIVDFIRTTNPNDIMLEFFIEVADRVNKDTTILLNTFNELESDVINALSSTIPSIYPIGPLPSLLKQTPQIHQLDSLDSNLWKEDTECLDWLESKEPGSVVYVNFGSITVMTPEQLLEFAWGLANCKKSFLWIIRPDLVIGGSVIFSSEFTNEIADRGLIASWCPQDKVLNHPSIGGFLTHCGWNSTTESICAGVPMLCWPFFADQPTDCRFICNEWEIGMEIDTNVKREELAKLINEVIAGDKGKKMKQKAMELKKKAEENTRPGGCSYMNLNKVIKDVLLKQN >RHN59926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14860616:14861545:1 gene:gene22096 transcript:rna22096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MSSSLITTSEPRKRLIIKISYPKKHASSLIDDSMDDHSQGSASKRRKMEDRAKPIVTGYWLDSTISLSQHKNNNVVDTNQNNKKEHSNKATTTVFPIESKDDVGCKKKNENSGNDTSTPSLVKDHPRTEKYGGLKKNCDGAELMMNKEKKTLMERYKRMQCWAIVSRMITGKDGWALKNPLDLKFLKNKSSKTTSDKLKAIGLKDIEAKLNFYSSPDEFAEDMRFVFYHGWLYPQRDVVHKIAMRLSDIFENKWKSLKEEWALEDRRLNKIHKRKKIVYQKSCDSGKDHSLSKIINDQSNMFALYQRNY >RHN59092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7417312:7422340:1 gene:gene21080 transcript:rna21080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MATAIKFFQLNTGAKIPSVGLGTWQAEPGVVAKAVTTAVQVGYRHIDCAEAYKNQSEIGSALKKLFEDGVIKREELWITSKLWCSDHHPEDVPKALDKTLNDLQLDYLDLYLIHWPVSMKRGTGEFKAENLDRADIPSTWKALEALYDSGKAKAIGVSNFSTKKLQDLLDVARVPPAVNQVELHPGWQQAKLHAFCESKGIHVSGYSPLGSPGVLKSDILKNPVVKEIAEKLGKTPGQVALRWGLQAGHSVLPKSTNEARIKENLDVYDWSIPEDLFPKFSEINQDKLIKGTFFVNDTYGAFRTIEELWDGEV >RHN52004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30130625:30132274:-1 gene:gene36607 transcript:rna36607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQFLLFVYFIIIIVSLFLVEAREPTKIPCVSDSDCHKVKKPLLLTCIDGICQYTLEATPFD >RHN69570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44502039:44503335:1 gene:gene18053 transcript:rna18053 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKQRRDFKKKCLSFPELQQHIILHKRVVQATMIDRRFLIAGSGLLNLFLGFFHKSR >RHN72746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10397785:10398114:1 gene:gene8499 transcript:rna8499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MRFQSLVFILVVLLASVATNQADFVDVNDPHVIEIATFAVTEYNNQHTEAKLVFEKVMSGVSNVVDNETRYSLTLSAKNGSASNNYDTIVLEKSSENFSLIAFALNPHA >RHN53264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:499601:502726:-1 gene:gene28155 transcript:rna28155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MFHYPIVFKFIQFFLVLYTLDFLSYLALADPPYEICSTRNIYANGSSFDNNLSNLLLSLPFNDSNSISKFGNTSSGIGLDRVYGLYMCLDFVSNETCLKCVTNAIADTVKLCPQSKEAVVYEEFCQVRYSNKNFIGSLNVNGNIGKDNVQNISEPVKFETSVNKLLNGLTKIASFNVSANMYATGEVPFEDKTIYALVQCTRDLAANDCSRCLLSAIGDIPGCCYASIGARVMSRSCYLRYEFYPFYLGEKEQTKSSTNLGGKNNSSKIWMITVIAVGVGLVIIIFICYLCFLRNRQSNKGNGKISKDFPFIDLASLRVATRNFTDSNKLGEGGFGPVYKGILSDGQEVAIKRLSICSEQGSEEFINEVMLILKLQHKNLVKLLGFCVDGEEKLLVYEYLPNGSLDVVLFEQHAQLDWTKRLDIINGIARGILYLHEDSRLQIIHRDLKASNVLLDNDMNPKISDFGMARIFAGSEGEANTTTIVGTYGYMAPEYAMEGLYSIKSDVFGFGVLLLEIITGIRNAGFCYSKTTPSLLAYAWHLWNDGKGLELRDPLLLCPGDQFLRYMNIGLLCVQEDAFDRPTMSSVVLMLMNESVMLGQPGKPPFSVGRLNFIDQNELDLEEEYSVNFLTVSDILPL >RHN40279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14796299:14803098:-1 gene:gene46414 transcript:rna46414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MADSPSKSKSNSLIHHSTTKKGGWNAAIFIIFVEFAERFAYQGLASNLINYLTKFLNEPTTTAVKNVNTWVGVSSLFPLLGGFIADSYLGRFNTILLSSLIYLVGMIFLTLSVSALRHKTLFFFALYVLSIGEGGHKPCVQTFAADQFDDDTPEEKDAKSSFFNWWYLGIVAGSTAAVFIPIYLQDNVGWGVGLGVLAGVLALALALFLLGIKRYRKEGPTGSPFTRLAQVSVAAARKWRVQDTLLHSNNFCHSEEEKDEPHHVHVRPKYHTLLHTNQYRCLDKAAIIDEVDSLNKTRNPWRLCSVTQVEEVKLVVRLIPIWLSCIMFTVVQAQLHTYFLKQGGTLIHTLGSKFQFPPASLQGLVGVTILFVVPIYDRVFVPLARKFTGHPNGITVLQRIGFGLFLSILTMVVSALVETKRINVAKKHGLIDDQSAILPMHIWWLLPQFMITGISDAFTIVGLQEIFYDQMPDGLRSLGAAAYISIVGVGSFVSNIIIEVIEAISSKAGEKWLGDNINRAHLDDYYWVMAVLSAINLGVYIWIAKRFEYKKVDGDERSNQEGSGYNKYRSGV >RHN57420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39021529:39024591:-1 gene:gene32963 transcript:rna32963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MGSFFTFHFFLFLLLLITHFTSYTFSLCNKHDNSALLQFKNSFSVNTSSKPDPFFISYFGPSCSSFSFKTESWENSTDCCEWDGVTCDTMSDHVIGLDLSCNNLKGELHPNSTIFQLKHLQQLNLAFNDFSLSSMPIGVGDLVKLTHLNLSKCYLNGNIPSTISHLSKLVSLDLSRNWHVGLKLNSFIWKKLIHNATNLRDLHLNGVNMSSIGESSLSMLKNLSSSLVSLSLRNTVLQGNISSDILSLPNLQRLDLSFNHNLSGQLPKSNWSTPLRYLDLSSSAFSGEIPYSIGQLKSLTQLDLSYCNFDGIVPLSLWNLTQLTYLDLSQNKLNGEISPLLSNLKHLIHCDLAENNFSGSIPNVYGNLIKLEYLALSSNNLTGQVPSSLFHLPHLSYLYLSSNKLVGPIPIEITKRSKLSIVDLSFNMLNGTIPHWCYSLPSLLELGLSDNHLTGFIGEFSTYSLQYLDLSNNNLRGHFPNSIFQLQNLTELILSSTNLSGVVDFHQFSKLNKLNSLVLSHNTFLAINTDSSADSILPNLFSLDLSSANINSFPKFLAQLPNLQSLDLSNNNIHGKIPKWFHKKLLNSWKDIWSVDLSFNKLQGDLPIPPSGIQYFSLSNNNFTGYISSTFCNASSLYMLDLAHNNLTGMIPQCLGTLNSLHVLDMQMNNLYGSIPRTFTKGNAFETIKLNGNQLEGPLPQSLANCSYLEVLDLGDNNVEDTFPDWLETLPELQVISLRSNNLHGAITCSSTKHTFPKLRIFDVSNNNFSGPLPTSCIKNFQGMMNVSDDQIGLQYMGDSYYYNDSVVVTVKGFFMELTRILTAFTTIDLSNNMFEGEIPQVIGELNSLKGLNLSNNGITGSIPQSLSHLRNLEWLDLSCNQLKGEIPVALTNLNFLSVLNLSQNHLEGIIPKGQQFNTFGNDSFEGNTMLCGFPLSKSCKNEEDRPPHSTSEDEEESGFGWKAVAIGYACGAIFGLLFGYNVFFFTGKPEWLARHVEHMFDIRLKRTNNRAIANRIRMN >RHN79268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26872972:26873688:1 gene:gene3036 transcript:rna3036 gene_biotype:protein_coding transcript_biotype:protein_coding MFCQTHWQSGCSIVTSTVATSQTNVPMSMPSLSSNSFSFPLHNVFDRISHEELPRVMPVLEAVSSIAHDDVHPETAERLHQTSLEELENPTLDDVTVTLSDDVENNRSSPRDMVESPKGSHECVPHSSPDEHNEHNEVHEVSVESVQVQTDIVVEHVDVHFESDHSLVSPVIVEQSLVVSASMPTTIQEEQVVVELQQQEVHPSKNIQHSLDLWNRFREYDERSAAEDFTHVLTRKQK >RHN47002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36374832:36375579:-1 gene:gene41547 transcript:rna41547 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDVYGNAFGLLAAHPVTPLVSLHHLDLIEPIFPNMNRVQALQQLKEPIKLDPYGLMQQSICYVKNWVWTVSVSWGYAVQIFRGIFSARDIEMPARTFLNWYRRVDYNGFPFNTRPFSRNACQKPFVFHLFNTTYDVAANEIVTRYVRVQPNPNCKWKMEDPTQIHMVEVYKKPDPYLWDKVTIFINKKPVATMLPMKE >RHN46866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35407507:35412535:-1 gene:gene41401 transcript:rna41401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spleen exonuclease MGVGGNFWELLKPYSRNEGFDFLRNKRVAIDLSFWIVQHNNAIKTHVKKPHLRLTFFRTINLFSKFGAFPVFVVDGTPSPLKSQARIARFFRSSGIESTSLPVAEEGVSAGRNSTFSRCVQECVELAKLLGIPVLKAKGEAEALCAQLNSEGHVDACITPDSDAFLFGAKCIIKSFSPNSKEPFECYNMSDIEAGLGLKRKHLIAISLLVGNDHDLSGVQGIGIDSALRFVQAFGEDDILNRLHEIGKGNAFQVPIDIKAEENMDIDGNSPNTKQTHCSFCGHPGNKRDHMKFSCEFCVADDNEGCLKKPEGFKCDCNSCCMNRKHKEQKKMENWHTKICDKIAKEPNFPKDEIIDMYLCNDNGYFSANDGPQISWERPNMDLLVDFLNFHQNWDPSYIRRIMFPMMSTIFLREMATTPTDSLLFGQFEFASLKRVKTRYGYQFYVVKWKRAMGNIASKTPANKSGMQEDVIELDVDETVDLLDDCDFPQICEEDGCSFLLTDENMDLVGAAYPEEVKRFRQEQELKDVKRKKNPTSISQENEKSSTSPNSKGVQLNITEFFPTTKSKHNPKHGEESSSNKNDNQDSGGSKPKRKSSSPNIPKSVRRRLLFD >RHN79530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29907546:29911031:1 gene:gene3333 transcript:rna3333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein DnaJ, cysteine-rich MNTFSPSSTILPFPSLSKNSIFFVPFKGKTNQFSFSKSRIIACSSPQDASSNEITPNNFCIIEGPETVQDFVQMQVQEIQDNIKSRRNKIFLLMEEVRRLRVQQRLRSIQRAFSEEGEEDANEMPEIPSSIPFLPHVTPNTLKKLYLTGASFISAIIVFGGLIAPTLELKLGIGGTSYEDFIRSLHLPLQLSQVDPIVASFSGGAVGVISVLMLIEANNVEQQEKTRCKYCLGTGYLACARCSSSGVCLNINPISASGTSVRPLQVPTTTRCPNCSGAGKVMCPTCLCTGMKMASEHDLRIDPFD >RHN62951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47003987:47007639:-1 gene:gene25592 transcript:rna25592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLC-like phosphodiesterase, TIM beta/alpha-barrel domain-containing protein MYSHYRSKCRALTTTIFFLLPLISSLFFTSSTACSNGNCQVLEACSAATDCGSGLFCGNCPALGLNQPICTRGQPVIPTSAVNGLPFNKYSWIVTHNAFSIVDSPPLPGVQRLTFYNQEDTVTNQLRNGVRGLMLDMYDFENDIWLCHSFQGKCFNFTAFQPAINTLKEVEAFLTQNPTEIVTIIIEDYVHTPKGLTNLFTSAGLDKYWFPVSKMPKKGNDWPTVTEMVQANHRLVVFTSDASKEAGEGIAYQWKHVVENESGDPGVQKGNCPHRKESKALNSKSSSLFLMNYFPTYPVQADSCKEHSAPLAEMVNTCYKAAGNVVPNFIAVNFYMRSDGGGVFDIVDKMNGHSLCGCSTVAACQAGASFGSCKNISVPNTSPVSNTGGVGSFNGSVQFSKSASPVHYPNCVFVFLFYFLLIAVLLKL >RHN50290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5851842:5856034:1 gene:gene34562 transcript:rna34562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKQFDFLFCVVSILCISLVCAENFHLKKCVETERQALLRFKEAGNGSLSSWKGEECCKWKGISCDNLTGHVTSLNLHALDYTKGLQGKLDSSICELQYLSSINLNRNNLHGKIPKCIGSLGQLIELNLNFNYLEGKIPKSIGSLGNLIELDLSGNKLVSVIPPSLGNLSNLRTLDLGFNYDMISNDLEWLSHLSNLRYLDISFVNLTLAVDWLSSISKTPSLSELRLLGCGLHQALPKSIPHLNSSISLKYLDLKENGLRSAIVPWVINVSKVLTNLDLSYNEIESSILKSFRNISQLQELQLNSNKLSGRLSDSIQQLCSPKNGFKYLDLSNNPFIGGPLPDFSCFSSLEVLSLERSNVFGTFPKSLVHLPSLARVYLSKNHLNSLDIIDDASLPTLQFLDLNLSFNQINGSLPLFEITKLSSLKRIDISHNQLSGPFPHTIGQLFGLKELHLSSNKLNGVINETHLSNLSQLKIFDVNHNSLSFNLSSDWVPPFKLETLYASSCTLGPKFPTWLKHQGKLVNLEISNSGISDSFPKWFWNLSSSLQYLNVSHNKLHGHLPKYFPSLKVKDYFFSQKVVWDFSFNNLNGSLPPFPKLHSLFLSNNMLIGSLSSFCTSLSHNLIYLDLSSNFLAGKLSDCWGKFQDLVVLNLAKNNLSGKVPKSFGTLGKIESLHLNNNNFFGEIPSLILCNNLKLIDIGDNNLQGIIPTWIGHHLHQLIVLRLRENNFHGNIPTSMCNLSFLQVLDLSKNNITGEIPQCFSHIAALSNIKFSRKVFHYVSVTIFSYPNSHVFEIGSFNHNVVLGLKGSNREYGKNLGLVTTIDLSCNNLTGEIPHDIPKLVALVGLDLSGNHLTGLIPKNIGHMKMLESLDLSRNHLYGKMPTSFSSLTFLGYMNLSFNNLEGKIPLGTQLQTFHPSAYVGNSGLCGQPLINLCPGDVISPTKSHDKHATGEDEDKLITIGFYVSLVIGFFVGFWGVCGTLVIKTSWRHAYFKFFNNLNDWIHVILSVFVNRLKKRFQVED >RHN62880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46445378:46449586:1 gene:gene25511 transcript:rna25511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 2-beta-dioxygenase MVVLSQQATLNELFHINTCKPTSYVFKGVPEVDLSDPEAKTLIVNACTEFGFFKVVNHQVPLELITNLENETLKFFGQPQLEKEKAGPPDPFGYGSKIIGTNGDVGWVEYLLLNTNPDVISLKSLFLLQQNTKNFRCAAEEYIVAVKEVCCEVLELMADGLRIEPRNVFSRLVRDERSDSCLRVNHYAACGELQALSGGNLIGFGEHTDPQIISVLRSNNASGLQICLRDGTWVSIPPDHTSFFISVGDSLQVITNGELKSVKHRVLTDTSMSRLSMIYFGGPPLNEKLVPLPSILGSKEQQSLYKEFTWREYKNAAYKSKLAYNRLSLFEK >RHN38807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2175295:2176483:1 gene:gene44775 transcript:rna44775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MHQPEGYIDKTKPHHICRLTKAIYGLKQAPRAWYDSLRHTLLTWGFQNTKSDSSLFVLRETSHTTFLLIYVDDIIITGSNNKFLEAFINQLNLTFSLKDLGNLHYFLGIEVHRDNSGMYLKQTKYTKDMLKKFNMENASSCPTPMVTGRQFTAEGEPMSNPTLYRQAIGALQYVTNRRPDIAFAVNKLSQYMSSPTTDHWQGIKRILRYLHGTTNLGLHIKPSTDLDIAGFSDADWATSTDDRKSMAGQCVFLGENLISWASRKQKVVSRSSTESEYRALADLAAEVAWLRSLLAELKVPIHRKPVLWCDNLSAKALASNPVMHARSKHIEIDVHYIRDQVLQNEVAVAYVPSADQIADCLTKALTHTRFNILRDKLGVTESPSV >RHN44999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10611625:10612497:-1 gene:gene39192 transcript:rna39192 gene_biotype:protein_coding transcript_biotype:protein_coding MMQEEEAWKKSANGRYKCNIDASFSTSLNRVRLGMCLRDDSGDFALAKKDWFDSLCDIDVVEVVGIRTTLEWVLDLQFDNVDFALDSKRVVDYVNSDIDDSS >RHN51670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21914619:21921310:-1 gene:gene36155 transcript:rna36155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MVDVWSFICGDCGFSKNGGKPFCYDINFLKDPSSCINHLMVTFLDVFLLIMLLFMMFLKISSRPFWSLVRYSNLQLVSAITNGFLGLLQISLGIWILKEKLRKNHEFFPLKEWLLEIFHGFTWLSVSLTVSLQLKQPSRACLWICFVPMFFVCGTLCTLSMSYAIGAGKLSLKAALNVLSFPGAVLLLLGTYKACQCEDANREIGESLYAPLNCHFNEVDPISNVTPFCKAGFFSKISFWWLNPLMKTSQEKTLQDEDIPKLREFDRAETCYLTFVEQLNKQRQCESSKHSSILWTIILCHQREILVTGLFAFFKVLALSFTPLLLNAFIMVAEGNESFKYEGYILAMSLFFIKIIESLSQRQWYFRTRLVGMKVRSQLTATISKKILRLSNTARLVHSGGEIMNYMTVDAYRIGEFPFWFHQTWTTILQLCIALVILFRTIGLATLASMAVIVLTVLCNAPLSKLQYKFQSKLMLAQDERLKVSSEALTNMKVLKLYAWENHFKNVVDCLRNVELKLLSSVQLRRTYNVFLFWASPILVSVASFLACYFLEVPLHASNVFTFVATLNLVQDPISSIPDVITAIIQAKVAFARIAVFLNAPELLSKNLKNECFRVDLKGSISIKSADFSWEGNALKPTLRSINFEVRHGQKVAICGKVGSGKSTLLATILGEVPKTKGIIDVHGKFAYVSQTAWIQTGTIRENILFGSEMDDEKYLETLKRSSLLKDLELFCYGDLTEIGERGVNLSGGQKQRIQLARAFYENADIYLLDDPFSAVDAHTAKKLFDEYIIEGLKGKTVLLVTHQVELLPKFDSILLISDGVILQTGPYHQLMTSSQDFQDLINAHKETSDSDQLASVTLSQRHSSSNKCTQAIVLKQFNAPNGNQLIKKEERGKGDTGLKPYLQYLNQMKGYIFFSMTALTDLLFVVFSILQNSWMAANVDNPHVSALKLILVYFTIGAFSIVFIFTRGLLVVALGLQSSNYLFSQLMNSLFRAPMSFYDSTPLGRILSRVSSDLSIIDLDIPFSLTFAVGAPIVFFSSLTVLAVVSWQVLIVAIPMIYVAILMQKYYFALAKEVMRINGTTKSSLANHVAETVAGAATIRAFEDEDRSFEKNLYLIDVNASSFFHIIASNEWLIQRLEIISAIVLTAAALCMVMLPPGTFTSGFIGMALSYGLSLNAALVYSIQHQCTLANYIISVERLNQYMHIKSEAEEIVEENRPPLNWPVAGKVEINDLKIRYRPEGPLVLHGITCTFEPGRKIGIVGRTGCGKSTLISALFRLVEPAGGKIIIDGIDITSIGLHDLRSRLGIIPQDPILFTGTVRYNLDPLSEHTDQEIWEVLGKCQLREVVQGKEEGLNSSVLEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNSTDLILQKNIRVEFADCTVITVAHRIPTVMDCNMVLAISDGELAEYDEPTNLMKREESLFRKLVIEYWSHFQSAESH >RHN64727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61329906:61334643:1 gene:gene27576 transcript:rna27576 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRDRHRDRERDRRRDKDDRDRDVDRDRNRDRDRARSKRSRTRSPDRVRSRHSRSRSPVDRSHRRRHHRTPSPDPPRKRHRRDSVDDEPKEHKETKKVVSDFVDGIAKEQQQQKQKENGEEVDVNEDEIEMMKMMGIPVGFDSTKGKPVPGADVSGVRAVTKRQPRQYMNRRGGFNRPLPAEKNR >RHN56447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30943743:30944761:-1 gene:gene31852 transcript:rna31852 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDNYKYRLIVYIFHKKLLLIGSMKTNQFNFLCFYALLLIFVVKTESYEVVKKIEKTKKSKTDIGVDCYTYWSNRGATWKANDRGMGNGWFGCGLGGIKGMGYNSYFWWKGTAWGSSEWWNGGGKGGGGGKVGGGRTWGGAGYVKPISSYGKGGGATDIEPPDDGKGTDIDKGRS >RHN67342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26250984:26253194:-1 gene:gene15522 transcript:rna15522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MALLVLYLSYIFFFILLAIVVQKVGKKLKNTDPFNLPNGPRKLPIIGNIHNLLSSQPHRKLRDLAREYGPLMHLQLGEISFIVISSPDCAREVMKTHDINFATRPQGLSSDLIAYNSTGIVSSPYGNYWRQLRKICTLELLSLKRVNSYQPIREEEFSNLVKWIASKEGSPINLTQAVLSSIYTIVSKSAFGKKFKDQEKFISAEKEILKIAAGFDLAELFPSITWMHFFTGLRPKLERVHRVVDQIMENIINEHKEAKSKGEFDQVESDEDLVDVLLKYQDGNNKEFFLTIDNIKAIIMDIFGAGGETSASTIDWAMAEMVKNPRIMKKAQAEVREIFNMKGRVEENCINELNYLKSIVKETLRLHPPAPLLIPRECAQSCKIDGYDIPTKTKVIVNAWAIARDPNYWTEPERFYPERFIDSDIDYKGSNFEYIPFGAGRRICPGSIFGLRSVELALAKLLYHFDWKLPNGIKSEELDMTEKFGITVCRKDDLLLLPSVYHHLPVK >RHN64546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59955053:59956795:-1 gene:gene27371 transcript:rna27371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TIFY family MDLFPTNVTPKNVTPVDSLSPRINNSMVKELETAQLTLFYNGEVIVLDDFPAEKVEELKSFASTLKLGLQLFLIGSFNHLLPH >RHN59474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11075883:11076668:1 gene:gene21505 transcript:rna21505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTEILSLLPVKPLMQFRCVNKFYNTLVFDPHFIQMHLKNFARNPNLMVIARQYNFNSVDDGVVNLPISLLLKNSLTTIYYDPYYRLTNENGSYHWRVIGSCNGLICLYHGSRLCLWNPATRTKSEFVLASQECFVFSFGYDSLNGNNKLVAFNITVKSGNARSVVKVLSLKDNYWRNIQCFPVLPLYKFVRTQNGVYFSSTTINWALQDYFGLDYYHLNYSSITPEKYVILSLDLSTETYTQLLLPRGFIRCHVISQSLRF >RHN63754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53777748:53781773:-1 gene:gene26497 transcript:rna26497 gene_biotype:protein_coding transcript_biotype:protein_coding MQHFADLQNSSEFGDPDSSTNAVSSAPNSNLNRDLFNDLVEIVPLVESLMDRKASRSFTRRGSMIYTKAPARESLYKKAIDSKTRNVAQSIPSGKINDHGAKEQGKNDNSNSDADSFSMFSGRAFTSEKDNEELAKLKEQVEELQLKLREKDELLKSAENSKDQMNSVNAKLDEMKHQVSEKEYSLKYAQQQLSDAKIKLADKQAALEKIHWEMMTSNKKVEKLQVELDSVQADVSTFTLLLESFAKTDTAKYTDDYDTKPYVFNHVPDIDDMDEMECQKIEEARKAYIAAVAVCDEKRDEESVAASANARLHLQSLLFKSKNFNM >RHN50912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11746399:11750372:-1 gene:gene35265 transcript:rna35265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MSSGIGKKVAIGIDLGTTYSCVAVCKKNGEVHIIVNDQGNRTTPSCVAFKNYERIIGDAAFNTAASNPTNTIFDAKRLIGRKFCDPIVESDVKLWPFKVIGDLNDKPMIVVNYKDEEKHFAAEEISSMVLAKMRETAEAFLGSTVDDVVITVPAYFNDSQRQSTRDAGAIAGLNVLRILNEPTAAAIAYGFGMKPFNHGRRNVFIFDLGGGTLDVSVLTFEDGDINVKAIAGDTHLGGQDFDNAMVNHFVKEFLRKEKMDISGNPRALRRLKTACERAKRILSVNTATNIEIDCLNEGKDFLSTISRAKFDDLNKSLFDQCMEIVEKCIEDSGIVKSNIHDVVLVGGSTRIVKVQQLLIDFFGIKKGSGTELCKSINADEAVAYGAAVHAFIASGEICEKFQDLTLREVNPLSLGINIKGGLMSVIIPRNTTIPTNMEDVYTTSEPNQNSLSISVYEGERQTTKDNNLLGSFEFEIPPCPKGDQKFVVNFQINDDGILDVSVTEKAFGIDKRFKIVNDKGRLSKEEIERMISEAEKYKDEDMRHRKKVESRNALEKYAYNMRDSINDPEVSSKLSSKEKEKINNAVDLVFMWLDANKVVEQQDFECYRSILSSVFDPIILKMIKDEGHGVEEGTMVGHPVKKKKNRWLPLLAKYCFQTVYAAATGDITGIVSSGIVDFIKS >RHN58413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1551819:1557438:1 gene:gene20323 transcript:rna20323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kelch-type beta propeller MVRPSVKLGSAKLVVICVSLLGFALIADFLWASSSPSSSYFPRSTFKTSTIIIPKEKEQKKKNSVRLLADAYADLPAPQLVWEKMKTSPVPRLDGAAIQIRNLFFVFAGYADINTVHSHVDIYNFDDGTWGGRFDMPKEMAHSHLGMATDGRYIYIVNGQYGPQCRGPTSDTFVLDTETKQWSGLPPLPVPRYAPATQLWRGRLHVMGGSKENRHTPGLEHWSLAVKNGKALEKEWRSEIPIPHGGPHRACVVANDRLYVLGGQEGDFMAKPGSPIFKCSRRMEVVYPDVYMLDDEMKWKVLPPMPKQNSHIEFAWVLVNNSIVIAGGTTEKHPETKKMVLNGEVFQFNLNTLKWSVIGKLPYRVKTTLVGFWNGWLYFTSGQRDKGPDDPSPKKVVGDMWRTKLKLNE >RHN74629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35317913:35328097:1 gene:gene10730 transcript:rna10730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MAHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLWGRIDAKNFGDRVSHDRPPELTEKLNAAKKKKKDREKDRDPLDSGSGQRRNKRRRMMEESVLTATDDGVYQPKTKETRAAYEAMLSVIQQQLGGQPLSIVSGAADEILAVLKNDTLKNVDKKKDIEKLLNTIPNQVFDQLVSIGKLITDFQEVGEVGGGGGGGGEVDGGLDDDVGVAVEFEENEDDEDEESDLDVVQEDEEDEDDVVEGNGSGGMQMGGIDDEDMEDANEGMNLNVQDIDAYWLQRKISHAYEQLIDPDQCQKLAGEVLKILADPDDREVENKLLFHLEYDKFSLIKFLLRNRLKILWCTRLARAQDQEERETIEEEMKESDLLQPILEQLHATRASAKERQKNLEKSIREEARRLKDDTVGDGDKERDRDRDRIRRGAGDRDGESGWLKGQRQMLDLDNLTFEQGGLFMAKKKCDLPDGSYRHLEKGYEEIHVPALKAKPLDPNEKLLKISAMPDWAQPAFKGMTQLNRVQSKVYETALFKPDNLLLCAPTGAGKTNVAVLTILQQIARHRNTDDGSIDHSAYKIVYVAPMKALVAEVVGNLSNRLQDYNVTVRELSGDQSLTRQQIEETQIIVTTPEKWDIITRKSGDRTYTQLVKLVIIDEIHLLHDNRGPVLESIVARTVRQIETSKDYIRLVGLSATLPNYEDVALFLRVDLNKGLFYFDNSYRPVPLSQQYIGITIKKPLQRFQLMNDICYRKVLDVAGKHQVLIFVHSRKETAKTARAIRDAALADDTLGRFLKEDSASREILHTHTDLVKSSDLKDLLPYGFAIHHAGMTRTDRQLVEDLFADGHAQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDSYGEGIILTGHSELQYYLSLMNQQLPIESQFISKLADQLNAEIVLGTVQNAKEACHWIGYTYLYVRMLRNPSLYGLAPDVLSRDITLEERRADLIHTAATILDRNNLVKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPTMGDIELCRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSMTSDMVFITQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVTKRMWSVQTPLRQFNGIPSDVLTKLEKKDLAWERYYDLSSQEIGELIRAPKMGRTLHRFIHQFPKLNLAAHVQPITRTVLGVELTITPDFAWDDRMHGYVEPFWVIVEDNDGEYILHHEYFLLKKQYIEEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPSYEALYQDFKHFNPVQTQVFTVLYNSDDNVLVAAPTGSGKTICAEFAILRNHQKLPDSVMRVVYIAPVEALAKERYRDWEKKFGGGLKLKVVELTGETATDLKLLEKGQVIISTPEKWDALSRRWKQRKHVQQVSLFIIDELHLIGGQGGPVLEVIVSRMRYISSQLENKIRIVALSTSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARMQAMTKPTYTSIAQHAKNKKPAIVFVPTRKHVRLTAVDLITYSGADSGEKPFLLRSLEELEPFINKISDEMLKVTLREGVGYLHEGLNSLDHDIVAQLFEAGWIQVCVLSSSMCWGVTLSAHLVVVMGTQYYDGRENAQTDYPVTDLLQMMGHASRPLVDNSGKCVILCHAPRKEYYKKFLYEAFPVESHLHHFLHDNLNAEIVAGIIENKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDHLSEMVENTLSDLEASKCVSIEDDMDLSPLNLGMIASYYYISYTTIERFSSSLTSKTKMKGLLEVLSSASEYAHLPIRPGEEEVVRRLINHQRFSFENPKVTDPHVKANALLQAHFSRQSVGGNLSLDQREVLLSANRLLQAMVDVISSNGWLSMALLAMEVSQMVTQGMWERDSMLLQLPHFTKDLAKKCQENPGRSIETVFDLLEMEDDERRELLNMTDSQLLDIARFCNRFPNIDLSYEILDNDNVRAGDDITLQVTLERDLEGKTEVGPVDAPRYPKAKEEGWWLVVGDTKTNMLLAIKRVSLQRKLKAKLEFAAPADAGKKSYVLYFMCDSYMGCDQEYGFTLDVKEADGGEDE >RHN66149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9072745:9074755:1 gene:gene14076 transcript:rna14076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain, reverse transcriptase zinc-binding domain-containing protein MIKSILQSIPTYVMSIFQLPSTLIDSIEKMMNSFWWGHGKTAQRGIHWMKWEKLSAPKIHGGMGFKDLSAFNLAMLGKQGWKFITEPDSLVARIFKARYFPSGSYLTANVGHNPSYVWRSIMRARFIVCGGARWSIGSGATIPILNEPWLPNGEFISSDLPGAHFVHNFTINSLMNLHDKSWNEQVVRDVFSADIADKILSTPLISQVEEDRLIWKAERHGRYSVRSAYRLCVTDLIDSSYLWRPGYWSGIWNLKVPPKVKNLIWRMCRGCLPTRARLLDKGVVWNRAGLWSSVHHALTHTNSVTDAIFSLLETLSAELSQRLSTVIWSLWKHRNLRAWEDVTETSAMVVELARNMVTNWQLANSPDVLASTSSPQHAMPTHMGASSSHHHNQVLWQPPTSGRYKCNIDAAFSSHHNRTGIGICIRDSEGGFVLAKTNTYPCIVSVDVGEALGLHSAMQWLGAMQFDNVDFVSDSKVTVDAFLSTRNDLSEFGSIISSCRSLYRNLFSNSRVEFVRRQANAVAHALAREATSLASPAVYYDIPNCIETIMINEML >RHN39971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11959450:11968527:-1 gene:gene46057 transcript:rna46057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MIKEKKKMSRSYAFKYIIIGDIGVGKSCLLLQFTDNRFQRFHDTTIGVEFGIRTININNNPVKLQIWDTAGQERFSSITKSYYRKAADALLVYDITRRETFDHMATWLEDARQHASSDTIIMLIGNKSDLACNRVVSTEEGEKFTKENGLMFMEVSAKSRENIEEAFKNMSHSYAFKYIIIGDTGVGKSCLVLQFMDNRFQRFHNATIGVGFGFKTININNNPVKLQIWDTAGQERFRSITKSYYRKAACALLVYDITKRETFNHLATWLEDARQHASSDMIIMLIGNKSDLACNRVVSTKEGEKFAKENGLMFMEVSAKSRENIEEAFVKTAESIYKNIQVRVSDVLDETHGIKVGYVAAEGRDNRESSYALGELEKMSHSYLFKFIIIGDIGVGKSCLLFRFTDNSFKPIHDITIGVEFGIKFINIKNNRIKLHIWDTAGQETFRSITRSYYRGAAGALLVYDITKRETFNHLATWLEEVREHGNSNTIITLVGNKSDLAKKRVVSTEEAEKFAKENGLMFMEVSAKSSENIEEAFVKTAESIYKKIEDGDVGVLNETHGIKVGCVLDNHKSHSSLGRSCCSLM >RHN41252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28645572:28647757:1 gene:gene47554 transcript:rna47554 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHEYYVELFREEEEEEDDNTGPEENNGEEIFEVPKVIDMRYNDPKKEDKHGLYFKANRLESLKYYLADLSKQTHISNTQAQASSSLNASSQNH >RHN76092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47613041:47616323:-1 gene:gene12368 transcript:rna12368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S54, rhomboid MSGGRNPHGGTTVEVKVHPRRGSPSSSSNPPVTRDRDFRLFKQWVPWLIPTFVFANVVVFIFTMYVNDCPENAFHGTCVAPFLGRFSFQPLKENPLFGPSSFTLQKMGALEVDKVVHEHEGWRLVSCIWLHGGAIHVLANMLSLLFIGIRLEQEFGFVRIGFLYVISGFGGSLLSSLFIQTGISVGASGALFGLLGGMLSELFINWTIYANKMAALLTLIIIVVINLAVGVLPHVDNFAHLGGFGSGFFLGFVVLIRPQFKWISQQQSKSGSHAFSVKQNKYLPYQYVLWVLSFLLLIAGFIAGMVLLFRGVNLNERCSWCHYLSCVPTSKWSCQEQSIYCETTEFGNQLNITCMSNGRSDIYPLSNTSSSDRQQLCSQLCHG >RHN71579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1156970:1157372:-1 gene:gene7193 transcript:rna7193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ZF-HD family MEKEEKKKSIGGEKRGRESFGGHAVDGCCEFIAAGEEGTLEAVICAAWRIQNSRIQILIPPSCFCVVVLHESDSLMLVMEPTYEDGWMEGDED >RHN75066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39150685:39151701:1 gene:gene11217 transcript:rna11217 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFQCPCCSCFCFMKPKAGKAKVAGVKEVKKAKEVKEAPKEVKEAAKEEKIEEKKDQ >RHN44906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9705958:9706314:1 gene:gene39083 transcript:rna39083 gene_biotype:protein_coding transcript_biotype:protein_coding MLILQESCVDSSGSLVVSCPIDSSIMSEADPSYIQLLPSGFIITSDGKQNENNIQGGNSGNDDVASTSSNTNIGGSLLTVAFQIMVNSLPSNMESVPVVNGLICKTVEQIKAALNCPM >RHN65057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63913190:63914419:-1 gene:gene27950 transcript:rna27950 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEKYSKVFKHRNVDLIRIKCIQLLKKDAKLAKVSDKNKPYFFVGQSSTMNNSDGSAVNPVLNAMLDGNELMRKILSKVTIAVDILQEKKKEKEKKKQQERCFLHK >RHN74294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31610999:31615042:1 gene:gene10332 transcript:rna10332 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGKPDAQLFQLLSNLLLQVEALTNQEEVELRSKIETLGLEVTKVPSKSTKQLDELEIAKELDRLSAKLDDVDEMISSTMATDPQVQSLLSSTADVWMPVITANSEERRKFTASPGDNNTQTDAENSK >RHN47411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39515203:39524783:1 gene:gene42006 transcript:rna42006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetate--CoA ligase MMIMLSSQGVLDPILCVTQKNKNKNPFCSSSVSSLFCNNINSSSRRRRNHKNLMSTNHRTNNYLRHLESMKILPSGAGRIPRLNAVILGESLATEENDFVVPSQDFANQANVKSPEQYLKMYKRSIEDPAGFWSEIASEFYWKQKWGDQVCHENFDVRKGNVNIEWFKGGITNICYNCLDRNVEAGLGDKVAFYWEGNELGVDATLTYTQLLHQVCQVANYLKDIGVKKGDAVIIYLPMLMELPITMLACARIGAVHSVVFAGFSSESLSQRIIDCKPKVVITCNAVKRGSKVIYLKDIVDTAINDSTQNGVSIDVCVTYDNKLALKREETKWTKGRDIWWQDVIPHCPTTCPVEWLDAEDPLFLLYTSGSTGKPKGVVHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPILNGATVVLYEGAPNYPDAGRSWNIVDKYKVSIFYTAPTLVRSLMRDGDEHVTRYSRKSLRVLGSVGEPINPSAWRWFYNVIGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATCPFFGVQPVIVDENGVEIEGECSGYLCIKKSWPGAFRTLYGDHERYETTYFKPFAGYYFSGDGCSRDKDGYYWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGVEHEVKGQSIYAFVTLVDGVPYSEELRKDLVLTVRKQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLAEPNVVTELIELADS >RHN64204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57237361:57240564:1 gene:gene26991 transcript:rna26991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative procollagen-proline dioxygenase MSRVLCFLLFLVLIIQTDEVQSSYAGSASSIINPSKVKQISWIPRAFVYQGFLTDLECDHLISLAKSELKRSAVADNLSGDSQLSDVRTSSGMFISKNKDPIVSGIEDRISAWTFLPKENGEDIQVLRYEHGQKYDPHYDYFADKVNIVQGGHRLATVLMYLTNVTKGGETVFPEAEEPPRRRGSKKSSDLSECAKKGIAVKPRRGDALLFFSLDTNAIPDTNSLHAGCPVLEGEKWSATKWIHVDSFDKIVGAGGGCSDQHDSCERWASLGECTNNPVYMVGSSDLPGYCRKSCKACL >RHN72063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4717832:4719163:-1 gene:gene7734 transcript:rna7734 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFDAAVVCAVVHARLREEQERAERHKARENIQISTRRYRERAAAEARRSDDVRAVEQKAAAAEREEKEARKRVENDAQVKVERAATEAKERAAADERMNQQKNEEMAAAKSTKNPLKRLLQCWNCCS >RHN79616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30620678:30621517:1 gene:gene3433 transcript:rna3433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phytosulfokine MKHQIIILFFVIILSSFLASPRLIVPPNGSRHGEKKELKIIGNTIAQSSTEVKEDMEQLMGLEECYEKDEECLSRRMNMETHLDYIYTQHHKP >RHN50981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12616963:12617733:-1 gene:gene35340 transcript:rna35340 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKYLHVLLILLFLLIYFVGPSNCARHTQALYVKAKSQGLHQSFLDSKPKAMSRPPSGPSREHNGIELHNSKGKP >RHN78969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20374890:20375627:1 gene:gene2639 transcript:rna2639 gene_biotype:protein_coding transcript_biotype:protein_coding MQCHKKFVICFHCSCSKILDRVVFVEYALRDDSDRVENDGGSPKEEGVLLDLPVQVIAGDQVHTMVFHVVLCMIGMVDGTGEGVVIIAGTGVLNMADSLVVRRSIAKKMSGLKVSSGASVAHYYYFLDIRIVCGTLLFT >RHN40437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16305476:16311683:1 gene:gene46586 transcript:rna46586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MGVRPSFTYDVYISHSAEDTRFSFTRNLYKALCDKGIDTFIDDSSLRIGSEITPSLLKAIQESRIAIIIFSGNYASSIWCLEELTAILELKRNGRLVIPVFYDVDPSHLRHGTGSYGLALAKHKERFKDDIQKVNRWRTALHEAANISGLHFQFQHGESEFIETIVKKVGSKVNRAPLHVANYPVGLESRVHQVNSLLDLGSDDVVHMLGIYGIGGIGKTTIAKAVYNSISDLFDELCFLKDIRENSMKYGLVHLQQTILSDICRDGDIGIGHVSKGIPIIKQRFSRKKVLLVLDDVDKMEQIEVIVGRPDWYGPGSRVIITTRDKQLLASHGVERTYEVDALNGEKSLYLLCWNAFKTDKVDARYENILKRALTFASGLPLALQVLGSYLFGKSIEEGISSLHQYERVAGKHIQAVLKISYDSLEKEEQGIFLDIACFFNGYKLKDVNDMLLARYGESAKYSIEELIEKSLLKIDDGLVTLHNLIRDMGREIVRQESPNEPGKRSRLWLPQDVVEVLETNSGSSTIESLFLNFPKDEVNSNRSEGKKVNWDGDALKKMQNLRMLVIENGCFNKGASHLPNSLKVLKWRGYPSPSLPSDFHPKKLAILELPASCMGVIEPIQGFISLKDLSFRNCELITRIPDVSGLPNLEKLSFRDCEKLSKVHPSVGFLDKLTYLDAFNCNNLKTFPPIILPSLQQLNLSHCSALESFPEILGKMENITELRIIGSPIKELPSSIQNLNRLRKLELQICGMVQLPSSIAMFSQLCLVCVSECEGLWLSKQDIGEEWETKSLKTELLTLSYCNLSDDFLPTGLTWFANVKDLDLSGNNFTVLHACIKECHFLRSLKLDDCTLIQEITVIPCKLEKLSAKRCKSLKYIDLTEECQSLRELILDDCIYLRKIKGVLPNLDHFSAQNCTLLTSQCASLLVNQEMIEAGNKMFFFPGTKIPEWFSHRTSGDSISFWFRNKLQFSAICMCLVIGLVDELPISVKFSPKLFLNGNELSNGNQRVYKFRIATDHILLFDERPLKFVDNGETVFSCNKWNHFEVSYEDLVTDNGVPIREVAKYSGIHVSQEWIDIADIQFTQSRKTLINANLDPNSMVEPLQRGKIMTKRKRSEEDQIIVLSPPSLTSKQSPSPSEIEKGLVPQPTFPVKMPLGDVGRESSENLSDNEAYATAIQNDIPLNQRCGKGDDVELELVSCEEESSSNTKGSDSDDPFDLVNRKLHVSGKKTISSGASFGDANLRSIRETINALELLMIKDLSEVSADPSAHSKLRQLLDLLSASSHPKVTVEMKGAIAEFKRKVFASFHEFQATVESVNKLKNYENHLARIQQETVAGKYQWKDLKNSIKKVSSYIKAENSRKNELESEIATLRKQLATKEKDLKQLVLNLNNQETTLSTYSMSYASLNKHARALSEEADDLLASSSEIKHDGEAAEVEQSRLKWTWSIDLTGQLNKMKENILGFYG >RHN74221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30423933:30424376:-1 gene:gene10247 transcript:rna10247 gene_biotype:protein_coding transcript_biotype:protein_coding MASITCPDDSVPEHYVSEHVVLEQPVPEQVLEINTHTATSTNDQPSSSSQAIQACALARSTNVPSSPTMFLDSSILADVCENIFQELNKLVQVRNNLVHEDNYVKQWRRLKERVDVVLTKLQGSCLDAQDTAQNNLQDWLSGVVNKL >RHN49694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:348504:350201:1 gene:gene33899 transcript:rna33899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MICFPIKLEHLNVIIYRGTHLDASISINFILLEKIFINFIDIEILSLNMIMLTIPLVLAVTFIITLFLFASHQTKYLKTPAFTNWPFLGMLPQFLWNISQIHDFSAKLLKSKGGTIEFLGPWFTGMYGVITTDPLNVHYIMSKSFDNFVKGDLFREMFEPFGLGIFTTDSDQWKYNRNLLQYLFKQRSFEAFQEKIIHNKVEKSLIPILNHVLQQKGSVVDLQDVFNRFTFDNICLIILGHDPNCLSTDFPEVPSEKAFNQAEESIFYRHTVPICVWKLQSWLQIGEEKKMTEACKVFDQFLFSCIASKREELKKNCNKNEINAESDDAHHVDMLTALIREEKNKGSESEALGDKFLRDAAFNLFVAGRDTITSALTWLFYLVATHPLVEAKILEEIKENFGYKEKPWILSVDDVKKLVYLHGAICEALRLFPPIPFERKEAVKPDILPSGHHVYPNNMILFSLYAMGRDENIWGKDCLEFKPERWISERGGIVYAPSYKFFSFNAGPRTCLGRELAFIQVKMVAASVLWNYCVHVVEDHQVTPNLSIVLLMEHGLKVKITKRGI >RHN70994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55703918:55706101:1 gene:gene19634 transcript:rna19634 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQRQAMRKLCPNFDKADGLDTVLEVPIPEEMLTNMGTTGFNRWQNLKTLMNNAQVADKSSGLSAPSNNEFTALLKLVGAPLIPLQVQSDHTLTRPIRDCSVRDSIGKYIVQQYVAATGGQGALNSLQNMYAMGEVRIHGSEMRHGADDNSVHSRGKAEVGGFVLWQNNPDLWCLELVVSGFKITAGSNGKVSWNQSSSQPFQSNRGPPRPLRRFFQGLDPRCIANLFLDAECVGENEINNDLCFILKLQTEQHILQAQSTSNTEIVMHTILGYFSQRTGLLVKFEDTKLVRMKTVKGKDSVIWETNIESTIDDYKCVGGINIAHGGKTISTLYRYGAAHNHKQMIEEIWSIEEVDFNIFGLSMDCFLPPSDHEREQHDVAEHTV >RHN43561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46875242:46880076:-1 gene:gene50162 transcript:rna50162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-PERK-2 family MNKQKGHHYVEEMSSSSKVVIVAVKASKYISRTALVWALTHVVQPGDCIKLLVIIPELSSRKKVWGISRFTTDCATSHWTSRLGTVSDQKEVITNSCSQLVLQLHDFYDPEKIKIRVKILSGSSCGAVAAEAKRVQSSWVILDRKLKGEKKYCMDELHCNIVIMKHSHPKILRLNLNSSPKKEGRDCALSLEPNAYTSNLKDNFEHSDIIRGPAVTPASSPEQGSPPLTVTDIGTASISSSDPGTSPFFHSDNYERRRRCFPFVVHEGLSNLEDIESDSESEKLSSSSKSSSFQPWISNVICVDGEFSKHEDNMQRSSDKSLVSTYEALLQKLTFLDQDPIFGVSTGKIDVNLSKSVREAISLARNAPHGPPPLCSICQHKGPAFGNPPRWFTFAELQLATGGFSQANFLAEGGFGSVHRGVLQDGQVVAVKQYKLASTQGDKEFCSEVEVLSCAQHRNVVMLIGFCVEDGRRLLVYEYICNGSLDSHLYGRMQNVLDWSARQKIAVGAARGLRYLHEECRVGCIVHRDLRPNNILLTHDFEALVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGIVLLELVTGRKAVDIGRPRGQQCLSEWARPLLEENAIDKLVDPSIGNCYVDQEVYRMMQCSSMCIRRDPHLRPRVSQVLKMLEGDIVM >RHN51153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14556574:14556831:1 gene:gene35539 transcript:rna35539 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNDGYVSKEHLELHRSASESVDPVTVSPLQLSSPKSPRSPKAQINGSNSSPKNNRQSHSSNDGRPKKGGVLFFLPFVFSLFNT >RHN77156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4501262:4502427:-1 gene:gene581 transcript:rna581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKKKKENPQNTPTATSMAESDWSSLPKELLHLISQRLDVELDLIRFRSTCSTWRSSSLPIPNLTLKFPHPYQSSINNTTQFHSLSKRSFFLIKPPLQRPWLIKITQNPNGKTKLLHPLLSYQSSHPLHFPHALDFSELYVLELGNDYIIDSEQILPCDYVYPEKIVAVTCKEKGPLVIGTFISTKKSVSLKTNGDEIWCVITDMSINLVDICVFKGRPYMIDGIVESEGALLRVDIYECLGFDYSGKDVLRINVFRFDEKECKWADLMSLGDRVLFLGNGCSFSASASDLCVSKGNCVIFIDDAFLNFNGKNMQYGNCVFDLDQGKLSPLSDCPDYFNLFWPPAEWIGECCVPK >RHN51583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20202273:20208300:1 gene:gene36048 transcript:rna36048 gene_biotype:protein_coding transcript_biotype:protein_coding MNDCRSMGSNIHPSSQSRKTSIGAMAESKASSRSGPLSCEIIHLVVKFEASLLFQLFSLNWQHQLCKNPCLNPDIKTLFVDHSCGQPNGARDPSPANSLLLGSLPKAGGFPPLGAHGPFEPNPAAVATQQHKNPTIYQTN >RHN73812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20168976:20169218:-1 gene:gene9682 transcript:rna9682 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEGVEQERKSTSCCCVGPSEPAAVRLNTTWSDTGENTMFLSDCFKNRCLAMSRKTFIWLVIDSWRATVLLFPVVLSSL >RHN76032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47134684:47135043:1 gene:gene12304 transcript:rna12304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MHVNQPKNPSVNDFVFSGVQSKKATIELFNNVNLTLSVMSNFPALDGIGISMVRAEVGVKGSYPMHTHYVAADFLIMVVAELTDGLVINEEVFQKTIRGGDVLCFLKDTCISLSILVPE >RHN46653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33746114:33752771:-1 gene:gene41171 transcript:rna41171 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCVIQKLSRRVATVLPWLVIPLIGLWALSQLLPPAFRFEITSPRLACVFVLLVTLFWYEILMPQLSAWRVRRNARLRERKRFEALEMQKLRKTATRRCRNCYNPYRDQNPGGGRFMCSYCGHVSKRPALDLPPGLGISNSGIVKELVGKSGKILNGKVWSENGWMCGQDWLENGNWIGGPVPGNPGNWKGNENAGLFGGDEHCVTDNSYSGILFFICKILTYFFLSIRWLWRKIFRVSSREECSSDAEHRALLAKRGENGESLNESRGEKARRKAEEKRQARLERELLEEEERKQREEVARLVEERRRLRDEKAEAEKDRTRSLNPSKETNSRKETGKKRQEKRKDKDKGSSKSNSDVEELERRAGKESERKRDVEKKSETDRRENQKSGLESGKGQSTDNAHSKNVTTNNYNRGNTGTRYLDRMRGTFLSSSKALGFGRGTNSSATMVKETKFNSSVDQVHTAASKRDIFPPERPTAKTNLNGDDRNINHSVLPQPKPWTAPKMSWQQLFTRSSSVPQSSNSNVICRPNSKTQAEAKSPQSSGQSPVTQSFNNPIHFGLPSPFNVSTHPNGGSTSSIGFSPAIEQLFSPVRNTSHDFRHDDQELFEDPCYDPIPASLLGPVSESLENFQLDLGTGFGTDMNVAKPHSLKNISAGSDFNKPSPIESPLSREKHASSGDDAAANDYGTWQMWSTSPLGQESLGLVGGPGSWLLSSQRNVPSKDDFMLPSAQKTMASLFNKDNNMISNTHSPQNVFLPNGQSGGGNISPVTSSSSYDPWSQSTLFPPFSSGFNGHEGATQTQNEVIYGSPNGSASSHVLEGSPANGWSKKEWPPIHGSAESIGKSSVSRPHNGGSQPTSDVQSFWSFD >RHN68589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36824307:36826243:-1 gene:gene16959 transcript:rna16959 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ligase MASVGEYCNALGGNMPIHSILIANNGLAAVKFIRSVRSWAYETFGTENAIFLVAMATPEDMRINAEHIRIANQFVEVPGGTNNNNYANVQLILEIAEITHVDAVWPGWGHASEDLELPNALKEKGIVFLGPPAISMAALGDKIASLLIAQAAEVPTLPWSGSHVKFPPESDLITIPDEIYRASCVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLICDQYGNVAALHSRDCSVQRRHQKIIEEGPVTVAPPETVKELEHAARRLAKSVNYVGAATVEYLYSMETGEYYFLELNPRLQVFYYKLDFRQHHN >RHN76940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2527688:2530248:1 gene:gene334 transcript:rna334 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGCKGEIIQGQTVTKDDGSSGFWSSSTFEKDHSEARSRRSVSSSGITMSISSDLQSSSSSQISPPESVNQGLVQWNQIRQQWAGNKRSERQTVAREPRISSNATYEDILGNNKPFPQPIPLREMVYFLVDIWEQEGLYD >RHN67105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23955297:23956584:-1 gene:gene15250 transcript:rna15250 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFNQKLKHLKLTLKIWNKTTFGNIHDRVKIATEKVQNIQRELDSIGVNDVLLNQEKLAQIDLEKALD >RHN80999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42496019:42497555:1 gene:gene4987 transcript:rna4987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MRGMDIKVQKGGSAKENETGVRKGPWTLEEDTILVDYITIHGEGHWNTLASSAGLRRSGKSCRLRWLNYLRPDLRRGNITLQEQILILDLHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVIKQAKQLKCDVNSKQFRDVLRHVWMPRLLEQIQPAPQFPDTNNPNGSHILLHQNTLQSSVSGISGISSDSSSVEFQVASNSDQNDSSELLGHEGSKLWSSFNNQQVSEQEKSGACDGDSLWNDENMWFLQQLYEDVEIKYNLLA >RHN59464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10976373:10979940:-1 gene:gene21495 transcript:rna21495 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSNWFRQNFTDPFLLVLRGGAEPKQLSFSAALGITLGVFPICGVTVFLCGIAIAMLESRINAPIILLANGIATPIELSLIVPFLRFGEFISGSPHFSLTSDALKKVITGQASTELLLSIANALLGWLAASPFILASLYLTLIPCFKLLVNKLNSPPSSPKMPLQPRPEVGLRVRDI >RHN46775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34777426:34781581:-1 gene:gene41302 transcript:rna41302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MEGREGNNTGVTVIGAEAPSTYHMAPRSEAPTQHVTAPESGNVALTVSPVSLGMDGGTLKKKRGRPRKYGPDGSVTAVALSPLPISSSAPFSNEFSSGKQGKPKGMEFKQSKKAGADPLGDSVGTNFMPHIITVNAGEDITMKVISFSQQGPRAICILSASGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTDNQGTRSRSGGMSVSLSSPDGRVVGGGVAGLLVAATPVQVVVGSFLPSNQQDQKIKKPKSDYASPTITEPIAVYSAPPSTNGERIDVMSGHLLQNPGTLNTNLTSPSAFRRENWVNMHTMPDSMKSATDINISLPDN >RHN69305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42451375:42452034:1 gene:gene17754 transcript:rna17754 gene_biotype:protein_coding transcript_biotype:protein_coding MECCIINRLRTQPLWFVLVFLVGLFTILRFAFIYFRCFAAKYLKAPNKVKK >RHN58314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:711951:712966:-1 gene:gene20213 transcript:rna20213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNLQCLKPSMDRKHKNDSSPQLVLDLSLSSKDPCGDSKSELNLLNCFHANLSESSSESSHENELDPRVFSCNYCQRKFYSSQALGGHQNAHKRERTLARRGYTTSMASSLPSYGLCNKSLGIQVHSMINKPSYQTPLFGFCSNGQNGWRRQNFDSQPAIGKLPLGNFHVRDEVESSFGGGISRVAMFPKRLANEGLGGYLFDSNTSHLQSKQEKLQKLDLSLKL >RHN54881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13193698:13195165:1 gene:gene29978 transcript:rna29978 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDLTIKKVQIRPSYISNCIMSPPCFPVPNEFQYSKIHYSPIKRKWRWRNLLRKFLRERRILGGHKQISFQYDPISYSQNFDEGCHLDDEPRRLSHVCQDVSDAAFLRFGSQCNTRISQSQQ >RHN43063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42945953:42948422:-1 gene:gene49586 transcript:rna49586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plastid lipid-associated protein/fibrillin MITKLVHPSMNDSPLVCRTMNIMKLQRFGPVSNRSWIKTSRFGEKPLWFRPITIIKVAEQSSGYGLVEDEALGQKKRELYQALEGINRGIFGIPSGKKLEIETLVKQLESQNPTPEPTLELEKVDGCWRLVYSTISILGSRRTKLGLRDFIALGDFFQIIDKTKSKAVNVIKFNAKGLILLCGELSIEASFKIASRTRVDINFENSTITPDQLMNVFRKNYDILLGIFNPEGWLEITYVDDKMRIGRDDKGNIFVLERFEDNSNS >RHN75036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38885538:38887206:-1 gene:gene11184 transcript:rna11184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MRRFFIFNPWFIVAFIVFLPVLLSLRNPRGEPEPENTITQTTSSSSFSHTSFRSVILAANTFPFDFNQRIRDGSNLQYNFYRDSCPQAEDIVRSAVTDIYFDHRDLAPSLLRLFFHDCFIQGCDASLLLEDNVDRNGSYEKQAIPNQTLKGFDKVDLIKEEVEQACPGVVSCADILALAARDSVLLGGGPFYPVLTGRRDSLQSFFQEATDQIPRPDDSITRTLHLFNLRGFNERETVGLLGGHNIGKIGCDFIQQRLYDFQGTGKPDPSIPLDFLSQMRLNCPDNSKNNISSSGTFSTFTVSKPMNVHHSNDKGMSYMQALSSALPSGASFDTHYYQSLLRGRGLLFADQQLMAQEKTARLVSAYASDDGSTFRMDFARVMLKLSNLDVLTGNQGQVRLNCSRLVSS >RHN78200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12732093:12732495:1 gene:gene1734 transcript:rna1734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAT dimerization domain, ribonuclease H-like domain-containing protein MACDILSIPITTVASESAFSIGARVVNRYRSSMKDDSVQALLCARSWLHGFEELAEVVLRY >RHN61672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37349115:37355798:1 gene:gene24160 transcript:rna24160 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFHLIYVIWLVLSIRFCIVEVSASCCPHQFFRQSNRRFEQKTDRFWKFSEVDDRWIEVKLPCDLVPCVNSEGSKMEEEHELDEKRMNLDMVLPLRKRISLTKMSETSVWIIGESGCIYERFWNGLEWVIAPHDLPLSQGHAVAVFIISQKILALSESGNLYQMHVQIGETSHPVWIEFTHTLDQITDSDPILIKSGVVTDDRKRGYFCTKKGSLVELAEVEPPRWINHGQPGGANVAAITDVASTREVVYTISSTGDLYEYNSKSKPSWKRHIWQDKKAQVSSLIPSKGCTLHGLSADHSESLFLLTKEGTLVERRLHQRKWKWVVHGNPPHQNLTSITSSFRDESSETSISLFFTTSVGSVFEYQIPKQLGTSPNNQFPGGEWKSHQHPLHAKAARGKPGLPLQVGRILFALDDGRLAELHLSGQGGESAGPSLPQNFRRKTSSTTKYVWSILDAPASEGWNAEYCTDERGPRNCMTGIKDESKDSGITSSVTGRRKQSQEHHYYLSLGKGGELISPSEEEFNLPDDWISSNFRLRLMFEGKSFFLVTSDGMIFEHVCIESVWIWLKHESSTAMNGIVGNYNGSLFMVDTFGSVILREWSGNEIAWKNCSDMRKGRNVVVGGQPWDRLPGIARKRVTTEDSLFFVSKSGRLLQFTVYMRKFKWKDCKNPPNVKVASIVDQELFRENIIFVTGRNGRIYQYNKVNDLWHEHYQSQHLILSNFPGTVIRPLSKSLTGSIFMFSRDGGLVEYNWNTWNEWNWIEHGTPYKGVTFVGSPGPSFEGNQLLLIGSDGKVYLRYMDKNAWKWKDCGFPSLGDKNVDADREEEFNDEDDLIFKCDPKVRSTRPIPFSDDSAIFELRDGRLAEIQLVGEKEWVWSRIIGTPNSLCLQNYWITVASSSSS >RHN53629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2930592:2931086:1 gene:gene28556 transcript:rna28556 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHDTNPSEGVLRFNPYPSSCYRISRSAHLFFVSRFSNELQTEFEEVKSLMIPSYMIELEKLLDRYPISELNSFGLKDMFLVALKQLGNFLEERRGSASAGNMPRGGGPAYMVKSIRSKKKDLNLIDLIRIIPNPINRTTFLRNTRHLSHTSKAIYTLIQQQQ >RHN51074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13669629:13674500:-1 gene:gene35447 transcript:rna35447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MLKMKNMAQLIIFVYALIIFLYLLFVEAQITKLPCVTVDDCPKVEKPIPMVAKCFGGSTKHHQSSMHLLWRSCIWMIWNERNCFIFKLKEHSLHQLLDKIKLPTFWWLKAEYTTIYFDYHSWWLKRIMCLGVIT >RHN67759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30031350:30033470:-1 gene:gene15984 transcript:rna15984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MANRDQPVNGKRSTLSLLNTGNLVLTDAGLSNVWSTKTSSSKALQLFLYETGNLVLREQDINGFVLWQSFDFPTDTLLPDQKLIEFMNLVSLKSVNNYSSGSYKLFFDSNNNLYLRYDGAQSSSVYWDADRSFSYNNSRVATLNRLGNFNFFDDFTFKTTDYGTVLQRRLTLDIDGNVRVYSRKHGQVNWSVTGQFLQQPCQIHGICGPNSACGYDPRTGRKCSCLPGYSIINNQDWSQGCKPSFEFSCNKTKSRFKVLPHVEFDNYESYKNYTYSQCKHLCLRSCECIAFQFCYMREEGFSYCYPKTQLLNGRHSTTFEGSLFLRLPKNNTVFSEEYDSLVCLGNKGVKQLGISYINSKENGSVKFMLWFVSCLGGIEVLCFFLVGCMLYKKNRKQSIVVIHGNDLEEVTGFRKFSYSEINQATKGFSEEIGRGAGGTVYKGVLSDNRVAAIKRLHDASQGGNEFLVEVSIIGRLNHMNLIGMWGYCAEGKHRLLVSEYMENGTLADNLSSSELDWGKRYNIAMGTAKGLAYLHEECLEWILHCDIKPQNILVDSDYQPKVADFGLSKLLNRNDLDNSNFSRIRGTRGYMAPEWVFNMQITSKVDVYSYGVVVLEIITGKGPTTSIPNKDGEDFCDESLVTWVREKRRKGSKFGCWVEEIADPKLGSNYDAKRMETLANVALDCVAEEKDVRPTMSQVVERLLSH >RHN79754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31880356:31880868:1 gene:gene3586 transcript:rna3586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MVLSFVGKIQKGISHFVHKRHDFSEELVPEDVREGYFAVVAMKDGETKKFIIGLEYLSDPEFLGLLGQAQEEYGFIQEGAIAVPCRPQELQNILDGQRLL >RHN77872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10109182:10115776:1 gene:gene1374 transcript:rna1374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MLSSSTTNKSRTMHHHHQHQPLCTRTHQIGALILVAATFFFTRLLNAPCSFSSAVSQRKFVGVHPLLESEELTVKIYVYDENEIDGLKELLKGRDGKITPEACLKGQWGSQVKIHKLLLESRYRTRKKEEADLFFVPSYVKCARMMGGLNDKEINHTYVKVISQMPYFRLSGGRNHIFVFPSGAGAHLFKSWATYINRSIILTPEGDRTDKRDTSAFNTWKDIIIPGNIEDGMTKAGSPMVQPLPLSKRKYLANYLGRAQGKAGRLQLIELSKQFPEKLECPDLKFSGGEKLGRKDYFEHLRNSKFCLAPRGESSWTLRFYESFFVECVPVILSDQIELPFQNVIDYSQISIKWPSSRIGPELLQYLESIPDKDIEAIIARGRQVRCMWVYASDSKPCSAMRGIMWELQRKVRQFHQSAETFWLHNGSFVNRNLVEFTKWKLPVPLP >RHN44348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3767536:3768057:-1 gene:gene38458 transcript:rna38458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ricin B, lectin domain-containing protein MVIWGREQVSLKGPKIIFFIYNIDNCMLIVLNAEPSSNSSKSYVIYHPLSGQCVKVNTNNELELGDCEWASKWNQEGQQIKLVGNGTYIEAVSDGSQVKVSNDCKSKQSFWKTLSATNLHLGTLDEQGQNLCLQRESTTSPKIVTKKCICIDDNPACLDDPQSQWFQLVTTNV >RHN47449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39862660:39862911:1 gene:gene42053 transcript:rna42053 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDFLSFNPLPKLIILKIRPNFFSYVIVCYCCRYAIPFVCPHALIFFCNYFGMIFSKFPTPTLRRKKNSLLVAIEGLAFLDQ >RHN58871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5624217:5625579:1 gene:gene20826 transcript:rna20826 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLAFLLLLLQLTSFTTFAEDIFDALGDISDFINPFNAFSKESETLHKPTHAHSLHHQSPTPSPNHHLHSPSPSPSPYHQLHASSPHHHYHSPSPAPSHHHQYPTPSPHHQPHAPSPHHHSLSPAPSLPHQSPAPSPHHQSPARSPHHHHHSPIPSPSPHHHNHSPSPSPSPHHQSPTPSPRNQSLAPSSHHQSTIVPAKSPNYHHPPAHSPSPLRSTPIRGSVMVVQGVVYVKSCKSSGLDTLKGATPLLGAVVKLQCNSTKYKLDETDKDGHFSLVGPKIITLFTAKQCNVVLVSAPHGPKPSNLHHGITGAILRPKRRFVFKGVPFILFATQPLAFEPICPC >RHN73091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13380923:13381225:1 gene:gene8871 transcript:rna8871 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGRIGGGGYFPDPRPAGVLVPRPRPRPRSPPRGNIFSDPRPRGSPLFFLSQIKADLYRNVFLICKNLLRNWLCYNSMNHLIHNNSAIAFSLQKLMKYA >RHN56834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34084202:34086809:-1 gene:gene32293 transcript:rna32293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAESLLFGVAESFIEKLASVAVEKASLTLGVYDNLQEIKNTVSLIKAVLLDAEQTQWQNHELREWLKQIKRVFYDAEDVIDDFECEALRKHIINTSGSIRRKVKRFFSNSNPLVYRLKMVHQIKHIKERFDKVAADRLKFGLQINDSDNRVVKRRELTHSYVNDSDVIGRKHDKQKIINQLLLDSGDSNSLSVIPIVGIGGLGKTTLSKAVFNDKSLDETFSLKMWVCVSDDFGLKNLLLKILNAASVSGSATGPNPIHQANYTNHDLNQLQNHLRNEIAGKKFLLVLDDVWNQDRVKWVELKNLIQVGAEGSKVLVTTRSHSIAKMMGTNTSYILELKGLSPEDSLSVFIKWAFKEGEEKNYPELMKIGKEIVQKCGGLPLALRTSGSSLFLKVDVEEWKFIRDSEIWNLPQKEDDILPAIKLSYDQLPSYLKRCFTCFSLFQKDFTFTNMDVRMLWEVLGVLLPPNRGKTLEGTSIQLLQELWSRSFLQDFVDFGGGICTFKLHDLVHDLAVYVARDEFQLIEFHNENILENVLHLSFIKNDLLGVTPVPTGLRTMLFPEEANDKAFLKTLASRCKFLRLLQLADSKYESLPRSIGKLKHLRYLNLKNSKELKSLPNSLCKLQNLHTLDLDGCIELQTLPNGIGNLISLRQLVITTKQYTLPEKEIAKLTSLERFDVTYCDNLETLLFEGIQLSNLKSLYIHSCGNLKSMPLHVIPNLEWLFITNCHKLKLSFHNDNQIPKFKLKLLTLRSLPQLVSIPKWLQECADTLQTLAIVDCENIDELPEWLSTLICLNKLVIVNCPKLLSLPDDIDCLPKLEDLSIYDCPELCRRYQAGVGRDWHKISHIKQVKFHCL >RHN77252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5447975:5448598:-1 gene:gene690 transcript:rna690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MNYIFIQVGKKKGMTWFINRPQVIFGFSPYSMMNLIGTLCVYAAICKHEGLPLRFPGSKGAWECYSTASDANLISEQHIWGAVDPNAKNEAFNCSNGDVFRWKHLWKVLAERFEIDDYGFEEGSELRLSDLMKDKGGVWEEIVRENELLYTKLEEVGDWWFADFMFRVEGVLDSMNKSKEHGFLGFRNSKNVFISWIDKTKVYKIVP >RHN47581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40783082:40788231:-1 gene:gene42199 transcript:rna42199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ELMO domain-containing protein MSSKTLRRRLHHGDVDGKRQEHLDTTGLDSLNEPLLGGDDYIESKKICTLEDLWDDERKKAQIHWTFLFSNLIAQWAQWLANIVLGSGSLLGRLLSHSSSGFYLQNNRMVPPTLSPVQEERLRNLKQRLEVPFDGSKTDHQDALLQLWKLAYPDRELPPLKSDCWKEMGWQGSDPSTDFRGGGFISLENLIFFAQKYPVSFQRLLNKQDGIRAEWEYPFAVAGINISFMLVQMLDLQAVVPSSSSGICFLRLLEEDEMAFDILFCIAFQMMDAQWLAKRATYMEFNDVLKSTRIQLERELALEDTSSIKDIPAHNMLR >RHN43740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48095646:48099076:1 gene:gene50361 transcript:rna50361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adaptor protein complex AP-3, delta subunit MAGSSSSSIMDNLFQRTLDDLIKSMRLQLLTESSFISKSIEEIRREIKSTDPQTKSTALQKLTYLSSIHGIDMSWASFHVVEVMSSSLFLHKRIGYHAASVSFNDSTPVLLLITNQLRKDLSSTNHFHASLALHCLSTIATLDLARDLTPDIFNLLSSSRVFIRNKAIAVVLRVFDKYPDAVRVCFKRLVENLESSDPKVVIAVIGVFCELSSKDPRSYLPLAPEFYRILVDSKNNWVLIKVLKIFARLAPLEPRLGKRIVEPICEHIRRSGAKSLVFECVRTVITSLSDHESAVKLAVTKIRELLVDQDPNLRYLGLHALSVAAPKHLWAVLENKDAVIKSLDDEDSNIKIESLRLLMAMVSESNVVEISRVLLNYALKSDPEFCNEILGSILTTCGRNLYEIIVDFDWYVSLLGEMTMIPHCQKGEEIENQLIDIGMRVKDARLQLVRVARDLLIDPALLGNVYLHRILCAAAWVAGEYVQLASNPLELIDALVQPRTNLLPPSIRAVYINSVLKVVSFCLECYLDKDEGTSSSHDGELASGRSEMFVVKNDTEAPELVATCEGSTYEQDEGFNPRNSTAESCDEDLSVENDSDRVVTLSSKKNFTHESVVNLLNRIELIFGSLTANQDVEVLERARNIFAFVQLIKAEIIDNSGQNADTVDKKYSQISTVIKSIRDAFSMELGPVSISAQGRVTAPDGLALKENLDDLKAICGDIELPSSVSFYTGGPQFGTTSDASSSNLLKNDESGQSNESTSLLEHRKRHGLYYLASDKSEIVPNDYPPANDPKSNSNINDEADELTKLTEQSVLLKKRTNQMKPRPVVVRLDDGDVAPVPNKRPERRDNSLSGAIKDVLGSETNPSLSQSNPLDKSSTKQKGKKKLGTDLPSEMKENLGDAEKPDPEIPNSSSKNKERRRRGKEKIVEGEESDQKGKKKSSHRHGRRKTHQRANSPLNVVSQTPVIPDFLL >RHN79112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23393697:23394522:-1 gene:gene2828 transcript:rna2828 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKDARRVIQEGKTEGWGQLVELPENKRKEGIGFLNSKPGMFDPTRGSFHSAGFIHDSPETNAILDDAPGGVTPVFVTPGGACCNWIAVDIPFVTPRSK >RHN79975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34009994:34013244:1 gene:gene3839 transcript:rna3839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exostosin MQMRRRPVAGVLPDQMEKGGSKNQTSRLCCLASLSAFLWIFLLYFHFVFLRADNTTTTNTTQFVDDHITKSTPVSVNFDESTSNHDVIDKPRVKSSQPPPRKIGFPEPVAIKSDTPDPEKEEKKEEKKEEEKKEEKSFPFMKALKTGENKSDPCGGRYIYVHDLPSRFNEDMLKECKSLSLWTNMCKFTTNAGLGPPLENVEGVFSDTGWYATNQFAVDVIFSNRMKQYECLTEDSSIAAAIFVPFYAGFDIARYLWGYNISRRDAASLDLVDWLMKRPEWSIMNGKDHFLVAGRITWDFRRLSEEETDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDADVFNWQDRMRHLERKWLFSFAGAPRPDNAKSIRGQLIEQCRSSPVGKLLECDFGESKCHSPSSIMQMFQSSQFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYTKYSVFIPEDDIRKRNMSIEEILGQIPPEQVRIMREEVIGLIPRLVYADPRSKLETLKDAFDVAVQAVIDKVTHLRKDIIEDRIDKNFIEENSWKYALLDEGKHEVGPHEWDPFFSKPKNDGSGESTDSSAEAAKNSWKNEQRNPL >RHN70702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53322252:53323489:-1 gene:gene19313 transcript:rna19313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger FYVE domain-containing protein MQTGSITLQCMFHNLTHLKLIFDFMRPLGLLKWNWLIELLENFPKLQTLIIHKADIVSKFTDRHRKEPKFVPECLSSHLTTCSLRNYSRINCEFPFAKYIMQNSGVLRTMTNIKLQMFMELSYGWNEFCISVNIKGISLFTRHPCINYYICNQHYCCTVRISSPLREHHISVSKSQPKQKVRSGATPRLSLTSSLSNFAERGSKRFSWAPKHVYWKRKSSRLSPSDRVAWETMTGIQEDCISPFFSRRERTTPFCFNFRGMAAYK >RHN47437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39749676:39750724:-1 gene:gene42038 transcript:rna42038 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSRKCLLVVKIHEINSSTILLAKQTHRIYKNRIIFNSRRNDKAIISSFTHISRGTEVRTPIIASALTISIFYQLS >RHN50398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6784019:6784794:1 gene:gene34676 transcript:rna34676 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPPISAIGMQRQSLERHGGISNGEQIPEQSAAITSFFIENVANMASKKTTKGMSLT >RHN81120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43444402:43448555:-1 gene:gene5119 transcript:rna5119 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSMSHKPTLDTCVLQLRTWKPFHQIHDHGSHSHNNNNINKRPCLSDRTTTSFSLDLSKLTLTDNNPPANYRLIARKRRRRGSRSVSGRSSDRSATRRCCSVGASAAYGTCSDFPVAMGTDSSGELFGNGDANWSSDVSEAKNSRDCGGSGEKEKEKENVGVGFGVNGCSDANGNESGYGSEPGYRGDAEFGYGDEFDEEEDDHRLLFWGNQLVGAVDSKMEMVGENTLLDQKSHHRCRRRKNDCRMIDALR >RHN56785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33732339:33741769:-1 gene:gene32236 transcript:rna32236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator FHA-SMAD family MVWGLFPVDPLSGEDNYYIFKPGTYKVGRKGCDVIVTKDKGVSRVHAEIVVNTMNMLNPLQNVRRHLSSSVQIRDCSKYGTFVSKNIGSKKKVHELPNKETALQDGDLVSFGTGSATYKFCHVPLILFICSSNQVDRSLEEKISSIGASISHTLNEECTHVLVDQLMPLKKDLVDAAVAKKSCVLKTWLEFFAEKNISNEIPSCHSHIPTVSVEGVSIRVADPKSRENCLKGYTFVLESVHLYKFGDQLNSLLEVAGAKTILFQEFSSHSQGSEYGDDNRMVCVIPGGGAACKPDFNKLLSSLLKVNEIDIINAALSGELDRSILKSPCVLISSSCSTDETIVADSDTEVETATSPYASEALCGGNDVKTEELNDDSGISDKRKNERVEASLDDVSASLHKRKSERMEASIDDISTNLNEIKRAKADISLDASVRSDTHTTIFKDGTGDIKVKKDKVDDYANGNSDVIYSQNLIVRDINKLTNRSSAPNSSVPNFKRFRKPETQSGNSFSNLVPFAKYPYKDSDYGKDETAEYVKEEKRRKQREAVADDLFNNQKAKKRGTAGSIHGILTR >RHN65851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5816946:5821077:-1 gene:gene13743 transcript:rna13743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MENNNKGLMLMVFFFFFSSMLTFSTQKTFTTIAPNQFMQYGDTLVSAAERYEAGFFNFGDSQRQYFGIWYKNISPRTIVWVANRNTPTQNSTAMLKVNDQGSLVILDGSKGVIWNSNSSSTATVKSVIVQLLDSGNLVVKDANSSGKNEDLLWESFDYPGNTFLAGMKLKSNLVTGPYRYLTSWRNPQDPAEGECSYKIDTHGFPQLVTAKGANVLYRGGSWNGFLFTGVSWLRLHRVLNFSVVVTDKEFSYQYETLNSSINTRLVLDPYGTSQRLQWSDRTQIWEAIYSLPADQCDAYDLCGNNSNCNGDIFPICECLEGFMPKFQLEWDSSNWSGGCLRKTRLNCLHGDGFLPYTNMKLPDTSSSYYNKSLSLEECKTMCLKNCTCTAYANSDIKDGGSGCILWFNNIVDMRKHQDQGQDIYIRMASSELDHKENKRKLKLAGTLAGVIAFIIVLSVLVLITSTYRKKLGYIKKLFLWKHKKEKEYGDFATIFDFSTITNATNNFSIRNKLGEGGFGAVYKGVMVDGQEIAVKRLSKTSAQGTEEFKNEVNLMATLQHRNLVKLLGCSIRQEEKLLIYEFMANRSLDYFIFDTIRSKLLNWIKRLEIIDGIARGLLYLHQDSTLRIIHRDMKTSNILLDVDMIPKIADFGLARSFMGDEAEANTNRLIGSYGYMPPEYAADGSFSIKSDVFSFGVVLLEIISGRKNHGFRDPLHRLNLLGHAWKLWIEERPLELIADVLYDDEAICSEIIRFIHVGLLCVQQLPENRPNMSSVVFMLKGEKLLPKPNEPGFYAARDKTNSIESSSKDFSISEASISLLEAR >RHN41619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31556076:31556916:1 gene:gene47961 transcript:rna47961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L15 MTTSLKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFHSPTVNIDTLWSLLPQEVKDKASKSKDVAPVIDVTQFGFFKVLGKGVLPKNQSVVVKAKLVSKIAEKKIKEAGGAVLLTA >RHN77236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5305576:5307649:-1 gene:gene672 transcript:rna672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, kelch-type beta propeller MKNKNNLNIVTKMNNHNITHINLKSLPMDPTIWSKLPPEILEYILSFLPLKTFMSLRSTCKGFWPLIFSPSFISKHSPSSSSSSSPFSSFLLLSHPQFHRHFPLYDCNLGTWRNISLSFSDSLHSSPSFTTLVSSGGLFCLSDSLSCSLLVCNLLAKSKRKIQYPNFNLHIEHLTFVTTPKGYMIFVLSSESNSNSVFLYDSSSRVLSWRKFNGFGPTLSDNPHQQGVYFKGCLYFATPEPFSVVYFDLESGKWEKPIGNLPEQLTFVRLVSVNDDAVEEGKKLFLIGGVGSNGISRSIKLWEMSEEGNWVEIQSLPDLMCRKFVSVCYHNYEHVYCFWHEGMICICCYTWPEILYYLVSRRTWHWLPRCPSLPFKCSCGFKWFSFVPKLYAEV >RHN38608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:680638:682543:-1 gene:gene44565 transcript:rna44565 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDNFKKDIDELIAQFTQNLTKYADIFHEVQGNADDPHKSSLSVCDSEQNSTACLQNASMLSDQYIPTHSASEPTLPENWRQSLVTLTHSSVESSGANNCSSSENQQLTCTDVSKPDPVKMEHWLGKNKCNLQNFIQKMSWSDLIYFQHRLLQEAVAKKRLAGVCIILY >RHN65528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2926770:2932276:1 gene:gene13375 transcript:rna13375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSRVKNEIEDDMLCNDQTRSRSNGEVNGGSVNGGVVLKKGPWTSAEDAILVDYVRKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPNLKKGSFTADEEHLIAQLHSEMGNRWARMAAHLPGRTDNEIKNYWNTRTKRRTRAGLPIYPPEVRLRAIKGSKHGQSTGGINDGDRGHHDFLPKNNYEMHDAIFDNLKENQGILPITILPENPDISANSIMRNSLDSPPYCNPLPSTMSFLGSSGMNKNWFYPFYHVQDHSSDKTLQSFELHSPLDPGLPSHNSMYYRHSVSNGNSSTSKPTSKAVKLELPSLQYPETGFGGWGGNFPPSPLNESVDVFNQSPLPHCAQESGCSSPNNSGTLEAIIYQKKTLPNSNNNCSDKSSHSSTTTPVDGGESSALNMNETEWDDYASPFGATSILNECHAVNTNTNSWDKLTAAQNFSGSNVKYEPVEQVSTPNSENRSMSMLNITWPDVMLASDWHEQCYGHEKNMTEASDNLTDYKHVAGGTYSSSTGGRFMHMA >RHN78313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13982030:14006939:1 gene:gene1854 transcript:rna1854 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSLELISDIGTQLAQRTRPNKDFIVKSLREAANALSTLDQSPQPRTAKEVKAMKKREDALKPLIDAVVCGGLLQHGDKDVKLLVALCVTELFRIKAPEPPFEDKHLRDVFKLIIGLFADLADTKNSLFSKRVKVLDTVAQLKCCLLMLEIDCIDLVLEMFNVFFSAVRDHHDKSLINAMSSIMITILNESEEASQKLLEVILRNLIKRKKDPTCASYQLAASVISTCAHEDELNTLVCRFLSSCIYDRDAVGCGLKEFYHEIIFQVFKCAPHMLLAVIPSLIEEISADQVDIRLKAVSLVGKLFTLSEHHVAQKFHDLFVEFLNRFSDTSVDVRISALQCAKAVYVANPFGRESLEIISSVEGRLSDFNERVRMQAVVVACDICSSNLMFVPLKLMAEVSERLWDKKESVRKKASQKLMEIYRDYCKKCCEGSMAINDHFEAIPCKVLMLCYDKDCKEFRSQSMELVLADNLFPEHLSVAERTKHWIHMFSLFSPLHEKALNTILVQKRRLQNEMINYLAIRKKLKETHAEETQKKIESVFTKMVASFSDSDKPKECLHKLNQIKDNNLFKSLEKLLEEPTFTIGQTIKDGLLVKIGDKNPNYEFLRSLFSKCSSNIFSSEHVHCVLDYLSNDDGGFKDSSVNLLLTIVRIFPSMLKGSEKLVQMVLEHTSPVNDKLIEIIAMAGHSVSFNLSGMYTFLERMCLHGTRKQAKFAVSAIVSSSSEHSVVSKLFERLIYSFNSQWNVPTIMRNMGYTVQCSVSAFETQVEEITSYISQKIIQMESLDDDDLSSLYGTPQRRKLGQLKWNVPTILQSLGCIAQCSVSDLGSQIEEITSYICQKIIQMEYLDDNDLTSLHDTSQCSKSCQLKIYALKTLVKSFLPYQGNHTKQNINGLLDILSRMLRENGGSVDSENDKAHIRLAAATAILRLAKKWDLYIDPEIFRFTMLIAKDPSSFVRRKFLSKTQKLLKEHKLPIRFACAFALAVTESIDDLRFQNYKHMAEFIKDYSIAACKRQPSSVEGAIVDYPEYVLVYLIHVLAQINDFPEAYQNEEVYADICSPLFFLLQALVDISNADCHRELVNDAVSYIFSIFQAIRKAEDSVDAQMTSKLHKLVEIGLFTLNALSPGEISVSQAPRQILIPLSLYRASLTKDDANSKIPKCFFDEGFLSRVFDMLKNSCASRTYAPKPAKTLHKPALKGQQDLPRSKMNICSKLDLVSSKPDSFPSREITNTKTVKQNISSEKRRKQVPPSDSGSVGLHECSTIVKQQKLPSKQVENTSERNRLSSSDSVSCKGSLVESRVLTHKSKRDATCLLENAVTSSKHTVQLFKCPRNNFKDTCGSKARFPMADVSNKNIISHCDPSELSSLSSIKQTTVTTGCLAAKEGTSLSKNKLGAASVDGSEKCTETITSEGVNTCRYPVKRTRRKV >RHN78131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12159173:12159826:1 gene:gene1652 transcript:rna1652 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVLFYYKANGVDESKYGYGGWGYGGPWRGGYGWGYGGPWRGGYGWGGPWRGGWGGGYGGWRGGWGGGWPKEHSDANTDVEPHN >RHN67380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26590562:26595829:-1 gene:gene15564 transcript:rna15564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MSSAFSSVSSSSVAPQKKYDVFLSFRGDDTRRNFTSHLYDTLSRKKVETFIDNNELEKGDEISPALIKAIEESHVSIIIFSENYASSKWCLNELKKILQCKKYMQQIVIPVFYNIDPSHVRKQTGSYEQAFTKHMRDLKLNNDKLQEWKAALAEAASLVGWDFQNYSTESDFIKDIVKDVLQKLNLRYPYEIKGIVGIEKTYEQIDSMLKIWSNDVRVLGIWGMGGIGKTTLAKTLYGKLYSQFEGRCFLNVMDESKKHGLDVLYNKLLSSLLEEENLHPYASYIESPFSVRRIARKKVFIVLDSVDTLEKIEDLILNIDGLGAGSRVIITTRDKHILSQFSNCEIYEVKELNNHDSLQLFSLNAFGEKQPKIGYEDISESVIAYCRGNPLALKVLGKNLRSRGKKVWEDELKKLEKIPNGEINNMLKLSYDNLDIFQKDIFLDIACLLRGDNKIFVIHFLEACEFFAESGIEVLLDKAFIQIKPYWHSFAKLEIDGLDMHDLLQEMGREIVNQESKEPGKRSRLWRAEEISDILKENKGTEVVEGIILDSTEVGDLYLKSDSFRRMTNLRYLNIYESDGSTGNVYFPDGLEWISDKLRYLRWKRYCLESLPSTFCAEMLVELCMNQSKLKKLWDGVQNLVNLRVLWLESSKDLIEIPDLSRAINLDRIHLSECESLRQLHPSIFSLPRIIYLDLRGCIKIESLKSNIHSKSLCVLLLNGCSSLTEFSVTSEEMTELFLDGTAIRELSSSFWCNTKLTVLDLIGCNKLNIVGKKLSDDHGLLSVTELDLSGCTEINALSLWSILDGIQSLKRLKLNECVNLECLPENMRNHSMLEWLELDDCRKLVSLTELPPSLFSLTAVNCTYLDTYFTQFSLIKNRAEKFFEDGSIEDEGVIDAFSFLPGAQIPLNFDFQTIKASISILPIAKSDLCGFIFCILFSEGFTVNNHVLHCIIFECGKEVDRRRISLNYLGTLISDHVLIWWHGYNIQESGSYDCNLSFQFILQGPNEELQWSTEGIKGCGVEPVYMSSSISKEIGKLKSIAQDSDVSIAIGGEGRSSNNENEDDKEQPFY >RHN40471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16602218:16603126:-1 gene:gene46624 transcript:rna46624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MSKEMFQLFPSLELEDLERPHCSTCVHDKTVQQHFELKKWVCVADDDSEVKGFDVEGIAAKILESKTRDEMDQVQQELRTKIDGNRYLLILDDMWNEERENWLQLMTLLRDGAKGSKIVITTRSETVAKISGTSSLFSLKGLDEKQSWNLFSQLAFENRKESENPIWVSIGKEISKKCSGVPLAHKSIGSLMFSMETEKDWLNFKNKDLIKIDEQGGNKIFQLIKLSYDHLPFYLKKCFAFCSLFPKDYRIEKKKLIRLWIAQGLVHSSDESTNLEDNGDKYFLTLLHRSIFQGIVKEPLGD >RHN66338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11042255:11042521:-1 gene:gene14298 transcript:rna14298 gene_biotype:protein_coding transcript_biotype:protein_coding MYVMSHFQERPKWMTISSLDELKKKVGHVTVMILLVLDLLSYSVCIFLSSASLYILHNLHKQN >RHN42604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39642302:39646393:-1 gene:gene49061 transcript:rna49061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pheophorbide a oxygenase MLAFSLCSLHIPIAHKTQTPLKKSMFLKSQIHSTLPLIRGNTSKFKLFTALSPSPLTESSSSNLEVDDEPEVETGSEKFDWYSQWYPLMPICDLDKRAPHAKKVMGIDVVIWWDRNESAWQVFDDACPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGDCKFIPQAPPEGPPIHTSKKACVAAYPSTVQNDILWFWPNTDPQYKDIITRKTPPFIPEIDDPSFTSFMGNRDIPYGYEVLVENLMDPSHVPYAHYGLTPDPKGNNADREGGTPLDFSVEELDINGFTANQGWNKSKFMPPSIFYLYSEPDKLASSVETKKSSVQKKFSMIFICIPVSPGKSRLIWCFPRNFGVWADKIVPRWILHMGQNLILDSDLYLLHVEEQKIMDVGQGNWHKACFVPTKADALVIGFRKWLKKYAGDQVDWRGKYSGALPPTPPREQLMDRYWSHTVNCKSCNFAYKSLNVVEVMLQIISVASIGFVATMKQGIVSAATRNSIVVLAILSFALSRLLAHFIYKNFRYHDYNHVRSSMKCVSLIIFLQAGYVYTPSGPKFIIDI >RHN78545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16118263:16122890:-1 gene:gene2125 transcript:rna2125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MEHVSHEGLDLSPRIGHAYQAEIPSVLKKSEQLSLRMNPTDSESVHDKSLSFAIGLPIPVTWIPNEECGYRGDINSCQLAPGRLSSSWSDADTKSFLLGLFIFGKNFMQIKRFLDNKRMGEILSFYYGKFYKTDGYRRWSECRKKKGGKRMIGQKLFSGPRQHELLSRLITHVSEESQDTLSQVSKSYVEGRTSLEEYISSLKSIVGVGVLVEAVGIGKEKGDLTRLGVEPVKNSRKAFLAPTCKALSSLGLSDIIQSLTGGFRLSKTKCNDLFWEAVWPRLLARGWHSEQPKNRGYITSKDYLVFLIPGVEKFSRRKLVKGHHYFDSVSDVLSKVAAEPNILVLEEEAEVGSCNEEGPEKGSNEDDVSDDHRQCYLKPRSSTYNNDRIKFMVIDTSLVRGGNPSDLRELKSMPVNSVSKVDVDAAGKKYKGRKYTRKVNHSTDMSKIPEQNATTLTVIDTNRPSDGKVLKLKVKQLKSPPVELEDAPTTTTGLRRESKGGSSTYDSAKMVEPKRLICGKKKINKTDSHRGVSNSGAISKIQANDNDANKMVENQTNQHACEFDDNRLKRIIKHQFNRRVRSGDSNNTVVPIKRRRLTACAKEEKSRIVENSSGGLGSDNLGFSPSSRFRVANPIVCDPISHQQSQSSPASSEDRSLEEDDNVKRIHNDSNQCVRVSSAKDAKCESFSFNVPQVLSNSENCKIMATVEEGEQRLKEKDPCLTAATQEVVELPLRTDVGYLEKQPDINPRRQSTRNRPLTVRALECIANEYLHVQKRQKKKDIQTHEDPFNPCCSAQTKGKSIHHCSDHGNSVLAQEEKHLIGDRSVS >RHN76001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46892743:46894093:-1 gene:gene12270 transcript:rna12270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MSQKKKNNAQNDTKQNNETQKKHNNNNNENKNETQKKQNNNTNNIINNNNNKKNENNKNNETKPTNVILKVDMHCEGCSSKIVKFIQGFEALNLLIEGFEKLDIGNGGKLTVTGTVDAGKLRDNLTIKTKKKVDFISPVPKKDKENKSENENKNKQEDKKPKEPPVTTAVLKLELHCQGCTEKIRKTVLKTKGVQHVTIDKEKEIVTVKGTMDMKVLVEKLKKRFKRKVEVVPAKKEKEKEKEKENEKVKEKGENDGGNKKNNQKGGEGGGGGGKKKGEGNGGENIAKKEVLTQPSYGYGNGYGYGGFFGFDEGYNYGQVQMMHMQEAPQMFSDENPNACSVM >RHN65640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3997318:4011243:1 gene:gene13504 transcript:rna13504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-Pl-1 family transcription factor C2H2 family MKIPCCSVCQTRYNEEERVPLLLQCGHGFCKECLSRMFSSSSDANLTCPRCRHVSTVGNSVQALRKNYAVLSLILSAADSAAAAGGGGGGDCDFTDDDEDRDDSEVDDGDDQKLDCRKNSRGSQASSSGGCAPVIEVGVHQDLKLVRRIGEGRRAGVEMWSAVIGGGRCKHQVAVKKVVLNEGMDLDWMLGKLEDLRRTSMWCRNVCTFHGAMKVDEGLCLVMDKCFGSVQSEMLRNEGRLTLEQVLRYGADIARGVVELHAAGVVCMSLKPSNLLLDANGHAVVSDYGLATILKKPSCWKARPECDSAKIHSCMECIMLSPHYTAPEAWEPVKKSLNLFWDDGIGISPESDAWSFGCTLVEMCTGAIPWAGLSAEEIYRQVVKAKKQPPQYASVVGGGIPRELWKMIGECLQFKPSKRPTFNAMLAIFLRHLQEIPRSPPASPDNDLVKGSVSNVTEASPVPELEIPQDPNRLHRLVSEGDVTGVRDFLAKAASENESNFISSLLEAQNADGQTALHLACRRGSAELVETILDYPEANVDVLDKDGDPPLVFALAAGSHECVCSLIKRNANVTSRLRDGLGPSVAHVCAYHGQPDCMRELLLAGADPNAVDDEGESVLHRAIAKKFTDCALVIVENGGCRSMAISNSKNLTPLHLCVVTWNVSVVKRWVEVATADEIAEAIDIPSPIGTALCMAAASKKDHESEGRDLVQILLTAGADPSAQDSQNGRTALHTAAMTNDVDLVQVILAAGVDVNIRNVHNSIPLHLALARGAKTCVGLLLDAGADCNLQDDDGDNAFHIAAETAKMIRENLDWLVVMLLNPDADIEVRNHRGKTLRDILEGLPREWLSEDLMEALVNRGVHLSPITFDVLDWVKFKRTVTEPKHGWQGAKPNSVGFVQSVPGRDNDDLIVSFCSGEVRVLTSEIVKLIPLDRGQHVQLKGDVNEPRFGWRGQSRDSIGTVLCVDPEDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRVRPTLTTSKHGLGNVVPGTIGIVYCIRPDSSLLVELSYVQNPWHCEPEEIEHVPPFRIGDRVCVKRSVAEPRYAWGGETHHSVGRISEIENDGLLIIEIPNRPIPWQADPSDMEKVEDFKVGDWVRVKASVSSPKYGWEDITRNSIGVIHSLEEDGDMGVAFCFRSKPFSCSVTDVEKVPPFEVGQEIRVMQSVNQPRLGWSNESPATVGKIVRIDMDGALNARVTGRQSLWKVSPGDAERLPGFEVGDWVRSKPSLGNRPSYDWNSVGRESLAVVHSVQDSGYLELACCFRKGKWITHYTDVEKVPSFKVGQYVRFRPGLAEPRFGWGGAQPESQGIITNIHADGEVRVAFFGLSGLWKGDPSDLQAEQIFEVGEWVRLKENVNNWKSIGPGSVGVVQGIGYEGGETDRSTFVGFCGEQEKWVGPSSHLERVDKLIVGQKVRVKQNVKQPRFGWSGHTHASIGTIQAIDADGKLRIYTPAGSRTWMLDPSEVEVVEEKELCIGDWVRVRASVSTPTHHWGEVSHSSIGVVHRVEDDNLWVSFCFVERLWLCKASEMERVRPYKVGDKVRIRDGLVSPRWGWGMETHASRGHVVGVDANGKLRIRFRWREGRPWIGDPADIALDEN >RHN39419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6976404:6977108:-1 gene:gene45440 transcript:rna45440 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTPSYKRGTLTYGTAMVIRDVVLYLMALKNADGSLQGLRKGVQQPIPTIK >RHN71193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57096827:57097501:-1 gene:gene19844 transcript:rna19844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation HMG family MLKILLSVMCAVDVDNSLLLGKGTIEGKFDCGYLVSVELGSEVLKGVLYHQEKVVSPSSLVTQHNGAIEPFNHQTHRLGRRKRRKRKWDPNYPKPNRSGYNFFFAEKHYKLKELYPNREREFTKMIGQSWNSLSPEERMIYQNIGLRDKERYKRELSEYKQKMKVGQTEELGRP >RHN77537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7534528:7538501:1 gene:gene1002 transcript:rna1002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mediator complex, subunit Med11 MDSQGQTTSLQRLENVEKRIVKVLELAGGVMDELASPVGPRKDLVQNHCLEFMQLIKDIQVALRDEIKSACEYRPFEKCDYGPRIANEICFKKVEYVMSQLEAMKQTIDEHNAAA >RHN68459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35734766:35736988:-1 gene:gene16819 transcript:rna16819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MEENIPNLLNQLTPIHSNRASRVDSLNEFQDDKLSLILFFLPVKDAFRTTILSKRWVPLRHSRVVHHFDDIQSGVNNIETWIQFCQMLDTILLSPRAQRHTLKSFHLKCQCNFWQFEHSNINQWVEAAIRRHVQDLSLFLLSRVSLTSAIFYSKTLVVLKLTNLLVETMSHYSVHLPSLKTLHMIDVHLDDMEDLKKLISGCPMLEDLKIAYVTSSVEAGVTAGGYSKPLSKLIKANIRLFDVTLRAVSNVQFLTVTEMGKSLPNQEINSYYQGYHVFENLTELRLFWFDYCIHNWYEVLQMLHYCPNLQTLSILKWTDSSTARGIEDWKHPYTVPDCVSSHLTTCKILGYHALENDFRFVTYILQNARFLKVMEIRYSSNSHRMESPRFLEDLSSCPRISPACNLSFI >RHN60951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31799412:31801715:1 gene:gene23354 transcript:rna23354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVKTFKFIYSIIIFLSPFLVVMNVDGELIKCTMDADCPTSLNRKWLCINNICRKMCVTNV >RHN50352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6422790:6424079:-1 gene:gene34627 transcript:rna34627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MRFLQFLQKTLTHHRGRRNSQQMVDEQTNTYLPDECWEWVFGFLINKADENLSSLSLVSKQFLSITNRLQISLTLKEEARPFLPLLFKRFTHLTSLDLSLIRNHLYLDDLLCEISNFPLKLTLLKLPHRCRFPVNGLQVFSQNITTLTFLTCYGTFFCNNDLSPIVDCFPLLKQLNLHHPLVINKPNFINSIHCMLSKCPCIQHLELRSTSFLTDQLVDEMCLFFGKLVSINLSGCHHLTETTLFSLVRNCPSISEIKMEGTSIGINTLEHSGVYPQLKSLYLGRNSWLSDEIIIMYASIFPNLQLLDLKVCREISEGICEVLRKCCKLKHLNLAFCSNVKLHGMNFAVPELEVLNLSNTSIDDETFYAISKNCCRILQLLLENCKGVTMKGVKQVVENCTQLRKIKLGRFRLSDENRKLLSRHGCYLC >RHN71266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57723468:57724291:1 gene:gene19924 transcript:rna19924 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVAPLCVHFFYFPSFHALGYQEHKFTVCMRFFQFPSFHALVYQKQKFIFHKNYYYHIIYALVHRL >RHN50958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12271424:12271825:1 gene:gene35314 transcript:rna35314 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTRVGSFVKGGGVQVVHKSEQFLIYEHFKDDLSRHMKELVTLFSRIGVKDCEKFLSYRRPKPYVDKQDEDFLVLYSKQEMVELLTGGRKRQRHNFFRCNKIKAMLRRNSHLLVHVTVILKSDTSNYRFCVL >RHN51236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15278464:15280214:-1 gene:gene35642 transcript:rna35642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MAFQILSFFTIFMFMIIALKIRNHYKKYDFGKNIPPGPWKLPILGNILHLVATNPPRRLRDLAKKYGPLMHLQLGEIFFIVISSPEVAKEVLKTHDIIFASRPHLLATDIASYNSMDIAFSPYGDYWRQLRKICAIELLSTRRVKSLWPVRQKEINSLLKKIASNEGSEFNLTEEVMSTMYTFTSKAAFGKKYLEQEEFISVVKQLIKLAGGFYIGDLFPSAQWIQNISGLKPKLEKLSQQVDRILGHIITDHKEKISRRENEGLPEAEEDLIDCLLKFVESGSDMDFELTIDNVKAIILDVFSAGSETAATTVNWAMAEMIKDPRILKKAQAEVRNGFDRRGMVDEATIAEFKYLKSIIKESLRLHPSVPLLLPRESREACEINGYRIPVKSRVLINAWAMGRDPKYWNDPDKFYPERFIDSSIDFSGTNFEFIPFGAGRRICPGMNYGLANVEQVLALLLYHFDWKLPNGMKNEELELGEEFGVTMARKGDLYLIPITSHQSLVI >RHN51223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15183803:15184563:1 gene:gene35621 transcript:rna35621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MTEARWLNENYIPTTEEYMRVSRTSCCYSLLILASYIGMGDKVTENIFKWVTNEPKIVNGAANICRLMDEIVSTEFEQKRGHVCSLLDCYKKHHGMSREAGIQECQKGVAIAWKDINRDCLRPTEVPMDFLTRALNFSRFMDVFYTDKDNYTHAEGLMKTYIKDVMVDPIPI >RHN47779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42489741:42494428:-1 gene:gene42420 transcript:rna42420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MDHISNEAGVGRFPIGPSDILGKTIAFRVLFCKSMSHLSYQIFQLLLGFLYKFRGVLKLKPFLSWFHPRNPQGILALMTIVALFLKRYTNVKVRAEMAYRRKFWRNMMRSALTYDEWAHGAKMLDKLTPKMNESDLYDVELVGNKLQELRSRRQEGSLRDIMFCMRADLVRNLGNMCNPELHKGRLQVPKLIKEYLDEVTTQLRMVCDSNSEELSLEEKLAFMHETRHAFGRTALLLSGGASLGAFHVGVVKTLVKHKLLPRIIAGSSVGSIISAIVATRSWPELQSFFEDSLHSLQFFDQMGGIFAVVKRVATRGAVHEIRQLQMLLRHLTNNLTFQEAYDMTGRVLGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKNRSGDIVPYHPPFNLGPEEGSTPSRRWRDGSLEIDLPMMQLKELFNVNHFIVSQANPHIAPLLRLKEFVRTYGGNFAAKLAHLVVLEVKHRCNQVLELGFPLGGLAKLFAQEWEGDVTIVMPATLAQYSKIIQNPSYGELQKAANQGRRCSWEKLSAIKANCEIELALDESVAILNHMRRLRRIAERTSASVSPSLSSTVKFSASRRIPSWNCMARENSSGSLEDLTEASSSLHQTIGSDSENVDLISWTKSGGPLMRTASANTFVDFLRNLEVDTELNRGSVAYASPRDFQYHSFRLTGPDRNSESEQKEIDNRVVNGSSILVTEGDLLQTEKIPNGIVFNVVKKEALTPSNRCLDFGNYNNEVVECDQIGCPGKETDTVSSDSDHENDESAPALSMDQSIVDS >RHN67214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24974880:24976456:1 gene:gene15374 transcript:rna15374 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLLIIFFVSLLVSSSCSVTASEKPPIEALSCDPNKPEIGIIRIWFCKHEECHRQCFAKYDSAGIKNPRCDGRDTCDCCFDK >RHN78387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14672430:14675480:1 gene:gene1936 transcript:rna1936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MNKFYYHLSHIFINLPKLKITHCYYPFQNNLRIRSSSTATLTSEMKRCNYFISKLCREGKVNEARKVFDEMSKRDSCLWTTMISGYIKCGLINEARKLFDRPDAQKSVIVWTAMVSGYIKMNRIEEAERLFNEMPVRNVVSWNTMIDGYARNGRTQEALDLFGRMPERNVVSWNTVMTALAHCGRIDDAERLFNEMRERDVVSWTTMVAGLSKNGRVDAAREVFDKMPIRNVVSWNAMIAGYAQNGRFDEALKLFERMPERDMPSWNTMVTGFIQNGDLNRAEQLFHAMPQKNVITWTAMMTGYVQHGLSEEALKLFNKMQANDGLKPTTGTFVTVLGACSDLAGLPEGQQIHQMISKTVFQESTYVVSALINMYSKCGDFHVAKKMFDDGLSGHMDLIAWNGMIAAYAHHGYGNEAINLFNKMQELGFQANDVTYVGLLTACSHAGLFDEGFKYFDELLKNRYIQVREDHYTCLIDLCGRAGRLDEALNIIEGLGKEVSLSLWGALLAGCSVHGNADIGKLVADKVLKMEPENADTYLLASNMYASVGMREEAANVRMKMKKKGLKKQPGCSWIDVGNTVQVFVVNDKSHSQFEMLKYLLLDLHTKMKKNRDMSDDDLLVDVEI >RHN79170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24903005:24903885:1 gene:gene2900 transcript:rna2900 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSLTSSNSNVNVRKLLAVAGILVGGDTLTLLRRQFHLRFTEHENSLKLVRNSENPNFSIEFVDDN >RHN48269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46184756:46197155:1 gene:gene42968 transcript:rna42968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monosaccharide-transporting ATPase MMGGGGSSSIWRNSDAAQIFSNSFHQEDDEEALKWAAIQKLPTFERLRKGLLTSLQGEATEVDVEKLGLQVRKDLLERLVRLAEEDNEKFLLKLKDRMDRVGIDLPTIEVRFEHLNIEAEAHVGSISLPTFTNFMVNIVESLLNSLHVLPSRKQRLNILKDVSGIIKPSRMTLLLGPPSSGKTTLLLALAGKLDPKLKFSGRVTYNGHEMSEFVPQRTAAYVDQNDLHIGELTVRETLAFSARVQGVGPQYDLLAELSRREKDANIKPDPDIDVYMKVVAIEGQKENLITDYVLRVLGLEICADTVVGNAMIRGISGGQKKRLTTGEMLVGPTKALFMDEISTGLDSSTTFQIVNSMKQYVHILKGTAVISLLQPPPETYNLFDDIILLSDSHIIYQGPREHVLEFFKSIGFKCPNRKGVADFLQEVTSRKDQEQYWQHKDQQYRFVTAEEFSEAFQSFHVCRRLGDELGTEFDKSKSHPAALTTKKYGVGKFELLKACSSREYLLMKRNSFVYIFQLCQLAVMAMIAMTVFLRTEMRKDSVAHGGIYVGALFFGVVVIMFIGMAELSMVVSRLPIFYKQRGCLFFPPWAYSLPSWILKIPLTCLEVAVWVFLTYYVIGFDPYIGRFFRQYLILVLVHQMAAALFRFVAAVGRDMTVALTFVSFAIAILFSMSGFVLSKDSIKKWWIWGFWISPLMYGQNAMVINEFLGNKWKHVLPNSTESLGVEVLKSRSFFTETYWYWICVGALIGYTLLFNFGYILALTFLNPLGKHQTVIPDESQSNEQIGGSRKRTNVLKFIKESFSKLSNKVKKGESRSGSISPSRQEIIAAETNHSRKKGMVLPFEPHSITFDEVTYSIDMPQEMKNRGVLEDKLVLLKGVSGAFRPGVLTALMGITGAGKTTLMDVLSGRKTGGYIGGNITISGYPKKQETFARISGYCEQTDIHSPYVTVYESLLYPTWLRLSPDINAETRKMFVEEVMELVELKPLRNALVGLPGVCGLSMEQRKRLTVAVELVANPSIIFMDEPTSGLDARAAAVVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLLKQGGQEIYVGPLGHNSSNLINHFEGIQGVRKIKDGYNPATWMLEVTTSSKERELGIDFAELYKNSELYRINKALVKELSAPAPCSKDLYFPSQYSRSFFTQCMACLWKQHWSYWRNPEYNAIRFLYSTAVAVLLGSMFWDLGSKIEKEQDLFNAMGSMYSAVILIGVMNCNSVQPVVVVERTVFYRERAAGMYSTFPYAFGQVLIELPYVFVQAVVYGIIVYAMIGLEWSVVKFSYFLFFMYFTFLYYTYYGMMSVALTPNNHISIIVSSAFYSIWNLFSGFIVPRPSIPVWWRWYSWANPIAWSLYGLVASQYGDVKQNIETSDGRQTVEEFLRNYFGFKHDFLGVVALVNVAFPIAFALVFAIAIKMFNFQRR >RHN59479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11107350:11111012:1 gene:gene21510 transcript:rna21510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MGGNIIAKLSTNYCMTHSTIAHSIFSSTIKYPSNQRGKLQNLMSSVSSFHTTNKHTHSSKQNNKRSTMFQIPNNRPIITMFLFLIFIINIITVTSSSSDLRPGFYSKTCPKAETIVRDVMRKALIREPRSVASVMRLQFHDCFVNGCDGSVLLDDTPTMLGEKLALSNINSLRSFEVVDEVKEALEKACPGVVSCADIIIMASRDAVALTGGPDWEVRLGRLDSLTASQEDSDNIMPSPRANASTLIDLFQRFNLTVKDLVALSGSHSIGQGRCFSIMFRLYNQSGSGKPDPALDPAFRLELDKLCPLDVDQNKTGNLDSTPVIFDNQYFKDLVGGRGFLNSDQTLFTYPQTKGLVRFYSRDQSEFFKAFVKGMLKMGDLQSGRPGEVRRNCRVVNDRFAYVLFQHKMQKNVKSI >RHN76110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47762192:47763028:1 gene:gene12388 transcript:rna12388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M20 MDFFKCVKLFIVIFISFLSATPIFSDSSTSSNAIPNFLELAKEPQVFDWMVDIRRKIHENPELGYEEFETSKLIRTKLDELGVTYKHPVAVTGVIGYIGTGLPPFVALRAEMDALLMQELVEWEHKSKVPGKMHACGHDAHVAMLLGAAKILKEHEKQLQNLRLCLGVWRGGGGKASRGEKYRRK >RHN77694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8778487:8779035:1 gene:gene1176 transcript:rna1176 gene_biotype:protein_coding transcript_biotype:protein_coding MIISGNENEDNAQDVRRTEKIGLTVEGQKENVTSIVIFEPKESEMEGINDVSINNNDDEASVVYKEKGNMIQTNEELEFSSSGEEEVLAFASHFLPFPYDSLQFGKGASKLFTGGTDSRLELNIDEGIEENLLDGFYFPSNNVEVSISLFHYT >RHN59837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13882687:13883133:1 gene:gene21998 transcript:rna21998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MGYLSSLLTFIFIFADVVFYMTKDEPLVPALFIFGDSIVDVGNNNYIYASVKANFFPYGRDFVTRTPTGRMSNGKLSVDYACVFSPPSNYTIFLQNEYLQ >RHN60930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31655742:31656555:1 gene:gene23327 transcript:rna23327 gene_biotype:protein_coding transcript_biotype:protein_coding MNFCSIFLFHSRSVLLHMNFWPVANWKWVFEFGDLRGLLEKRRKIIEGRREKRMSSLEKKRKKKNWD >RHN76180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48305132:48305447:-1 gene:gene12466 transcript:rna12466 gene_biotype:protein_coding transcript_biotype:protein_coding MTQANFTEQIYLCLSILVIVVLYVFLLVLDSPWSNRGVSWLQQDMFVNNPQEGRTNESMKEIEETLKLGHLHVTYF >RHN61289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34507581:34511298:1 gene:gene23734 transcript:rna23734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MADVEYRCFVGGLAWATDNEALEKAFSQYGEIVDSKIINDRETGRSRGFGFVTFANEKSMNDAIEAMNGQDLDGRNITVNQAQSRGSGGGGGGGRGGGGYGGGGGGYGGERRGYGGGGGYGGGGGGGYGERRGGGGGYSRGGGGGGYGGGGYSRGGGDGGY >RHN80426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38041732:38045603:1 gene:gene4354 transcript:rna4354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative G-protein gamma MQSDGSESETHITHQRVQSQSLSSSDTRGKHRIHAELKRLEQETRYLEEELEKLERMDKASTSCKELLSSVQTRPDPLLPSTIGPLNRLWDRWFEGPQDSQGCRCCIL >RHN70586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52522145:52524531:1 gene:gene19181 transcript:rna19181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPPDE putative peptidase domain-containing protein MLFKFVTGPRKKKPGTVPVYLNVYDLTPINGYAYWLGLGVYHSGVQVHDVEYGFGAHENDTTGIFEVQPKNCPGFTFRKSIFIGTTDLGTNDIRVFMEKLAQEYSGNSYHLISKNCNHFCHDVCYKLTGKSIPRWVNRLARLGLFCNCVLPPGLNETKVRQDTSDKVEEKEKRKIRSQSSRCDASSNPQGSSRHCFPRSSLIKALSTTTLTVK >RHN68610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37088332:37094637:1 gene:gene16982 transcript:rna16982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAGGGFAIDAPSNGFDGNITLSVIITCIVAASSGLIFGYDIGISGGVTTMVPFLNKFFPDILRKAAGTEVNMYCVYDSQMLTLFTSSLYLAGLVSTLVASKVTAAIGKVTAAIGRRNSIVLGGAIFLAGAAINCGSENIAMLILGRILLGFGHRLPTLLRSWRGCINYATAKQTWGWRLSLGLAVVPGAVMTIGAFLISDTPSSLVERGKIDQARKALQKIRGSSIDVEPELEELFKWTEISKAVQQEPFMTIFERQYRPHLVMAFSIPFFQQFTGINIVAFYSPNLFQSVGLGNNGALLSAVILGLVNLASILVSTAFVDRFGRRFLFITGGILLLVCLITVSALLALATGVDGTKHMSKGNATLVLVLLCFYAAGFGWSWGPLTWLIPSEIFPLKIRTTGQSIAVGVQFIILFLLSQTFLTMLCHFKFGAFLFYAFWVAVMTLFIIFFLPETKGIALESMYIIWGKHWYWRRFVKVEYYNQLLLK >RHN72167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5509323:5512319:1 gene:gene7849 transcript:rna7849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MMASTRIVFGYYVIALLFLFASTQCVVMSLDVSTLCIKEERVALLNIKKDLNDPSNCLSSWVGKDCCDWIGIQCDNKTGNILKLDLQPLHICTTDIFLQSPLGGKINPSLLDLKHLSHLDLSYNDFKGVPIPEFIGSLNTLNYLDLSHANFSGMVPPHLGNLSNLHHLDVSGPSISWTLSDIGLLTTGLWVRDISWLYTLSSLQYLNMDFVNITDSPRELFRAVNKMPSLLELHLSFCNLAALPPSSPFLNISSLYVLDLSKNIYDSSIPPWLFNISTLTQLILSYSSVRGLFPSMLGKWNLHNLRNLDLSSNDLTIDITQVMEALSCSNQSLEVLDLNYNQLTGKLPHSLGKLTSLRQLDISNNLLTSHIGISGPIPASIGNLSNLEFLYLRNNMMNGTIPESIGKLTNLYFLDLLENHWEGTMTNIHFHNLTNLLSLSVSSKQNSFALKVTNDWVPTFKGLYHVEICNCQVGPAFPNWFRDLNSLTDIFLESAGISEEIPHWLYNMSSQISNLDLSHNKISGYLPKEMNFTSSNISLVDFSYNQLKGSVPLWSGVSALCLRNNLLSGTVPANFGEKMSHLEYLDLSNNYLSGKIPISLNEIHDLNYLDISNNHLTGEIPQIWKGMQSLQIIDLSSNSFSGGIPTSICSSPLLFILELSNNHLSANLSPTLQNCTLLKSLSLENNRFFGSIPKEINLPLLSELLLRGNSLTGSIPEELCHLSSLHLLDLAENNFSGSIPACLGDILGFKLPQQNYSLGLLYSFEDFGILSYTKHTNLVINGRVVKYLKQMQVHSIIDLSKNNLSGEIPEKITQLFHLGALNLSWNQLTGNIPNNIGSQRDLENLDLSHNNLSGPIPASMASMTSLSYLNLSYNNLSGQIPTANQFGTFNELSYVGNQGLCGDPLPTNCSSLSPGNVEQDKKHEDGADEDDNSERLGLYASIAVGYITGFWIVCGSLMLKRSWRHAYFNFMYDTRDKVLVFMAVNLMHLKRRFGSERN >RHN48306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46481492:46483248:-1 gene:gene43008 transcript:rna43008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ammonium transporter, ammonium/urea transporter MASFTCSASDLNTLLGSGANSTAAAEYICNGFNAVANKFIDTTYAVDNTYLLFSSYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAATGGIFFYIFGFAFAFGTPSNGFIGKHFFGLSDFPSQSFDYGYFLYQWAFAIASAGITSGSIAERTQFVSYLIYSSFLTGLVYPIVAHWFWSADGWGSPVRSENLLFGSGVIDFAGCGVVHLVGAVAGFWGALIEGPRIGRFDHEGKGVSMRGHSGTLVVMGTFLLWFGWYGFNPGSFLNILKIYGESGNYYGQWSAIGRTAVTTTLAGCTAALTTLFGKRLQTGHWNVTDVCNGLLGGFAAITAGCSVVDPWAAIICGFIAAWVLIGCNMLAEKFQYDDPLEAAQLHGGCGTWGIIFTALFAKKQYVKEVYGGSPDRPYGLLLGGGGRLLAAHLVQILAIVVWVSVTMGTLFFILHKLNLLRSSHEEEMSGLDLTSHGGLAYEYHEELEERRPKKRGIEI >RHN69611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44921131:44924324:-1 gene:gene18102 transcript:rna18102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKTPNPKLILICFLFLFNLNQTQVMSLATIETCLDTVCHMNEPLIRFPFHIEEKQTNTCGYPGFKVLCNESEKNQTLLNLPYMENLSIQKINYAKQELFVNDPNNCLPKQLLSLNLSTSPFDAVYYQQFTFFNCSYNLEYLTSRYKPIACLSDYSKYNVFATPSLTVFVHLSSVCDLVDTVNVPVQSPFFDQVLSSELNDDLRLSWNSPPCGRCESHGGRCGFKNNSTFEIACYNVPSRQGISRGASYAIAICAGVPALLCFVSLLSWICSKFRIGTHGWIWARETVADFESLLDHEYTNTSGLDKPTIESYPKIVIGDDIHLPKPNGKTCPICLSEYMPKETVKTMPECEHCFHAQCIDEWLPLNASCPICRTSPPRLQSQARGGSD >RHN76109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47757628:47760557:1 gene:gene12387 transcript:rna12387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M20 MHTFMDFFKYFNMFIIIFIFFSSATPIFSDSSSTTSNDHLSIPNFLNLSKEPQVFDFMVDIRRKIHENPELSYQEFKTSKLIRTKLDELGVPYKHPVAVTGVIGYIGTGLPPFVALRADMDALLMQELVEWEHKSKVPGKMHACGHDAHVAMLLGAAKILKEHEKELQGTVVLVFQPAEEGGAGAKKILDAGALENVSAIFGLHVLNNLPLGEVASRSGPIAAGSGFFEAVISGMGGHGAIPHHAIDPILAASNVVVSLQQIVSREVDPVDSQVVTVGKFQGGGAFNVIPDSVTIGGTFRAFPRESFTHLRHRIEQVITGQAVVHRCNATVNFLEEEKPFIPPTINNGGLHDHFQSVAGRLLGVDKVKDQQPMLGSEDFAFYQAALPGYIFLLGMEDVSVERLPSGHSPYYKVNEDALPYGAALHASLASRYLVKLHQEVPVVERKIHDEL >RHN56564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31904769:31905113:1 gene:gene31982 transcript:rna31982 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFSSLLTKRNLTSRLSTVLSSTFQIRHISNNATPCIDEPRCKIWIAGLMGTESTSPELRDLFYACDMEGYRKTKSRNSHNMSRIRYKIRSEYQIDPCQIVYTYLRLKGMKVM >RHN43861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49064023:49070705:-1 gene:gene50495 transcript:rna50495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mediator complex, subunit Med11 MDSQGQTTSLQRLQNVEKRIVKVLELAGGVMDELASPVGPRKDLVQNHCLEFMQLIKDIQVTLRDEIKSACEYRPFEKCDYGPRIANEIGFKKVEYVMSQLEAMKQTINEYNAAA >RHN68224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33850785:33854675:-1 gene:gene16544 transcript:rna16544 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVMMGRTKKAKVMKIDGETFKLKTPAKANDVVKHYPGHALLDSQAVKHFGLRAKPLEPHQQLKAKKIYFLVELPKVQSQPLPRRVRSSGLHPSCGMNATERLDFLMLSKRSVSDLPSVKRSNLGDDGPGLVRDGSTRVKMRIPKAQLDKLMEESRDGAEVAEKIVSLYMGNNASVKGGGGGINEGKVEVHNQRSRRKRVSFSPVEEGEIHEEATA >RHN50473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7388320:7388597:-1 gene:gene34756 transcript:rna34756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MNIPPNFFQEVCVSPHLVVAPLSTLRNWEREFERWAPQMNVAMYVGSSQARSIKVVKIGTLLQVERE >RHN48264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46165019:46165486:-1 gene:gene42963 transcript:rna42963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DOMON domain-containing protein MLGSQALIGYQNFDGSFKAYTSSITSYQTMLQEDNLSFPVYNLSGMFVNGSMMIFASLQLPQNVTLVNHAWQEGLVSNDGSLKSHALRGPNIQSFGTLDFTSGNIISQNVGAKLKSKMMLRIVSNILLFFSLFFIQIAILYLHFSLGDHPCFVSC >RHN81472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46228303:46229868:-1 gene:gene5520 transcript:rna5520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LysM family MKFLVKKTRNTHLNESETTVSSMCKSKKSTTVVQPTTRNNPHPQRTSTTSSSSNLPSSSTSEVPITESRSYIYNNSKQKQWSKTSSSSSSISTHSSLSSLKHALPENPHIYPFSDISSATNNFSANRLSSNSFRCSLHNRDVVVFQRKFRRQIHLPELRDRLALICRSHHSSLVKLLGASVSGSYIYLVYDFVPGANLSDCLRNRRNPSFTDLNTWTSRMLIASDLAHGLDYVHNFAGSGSGFVHNHIKSSSIIVAEENFGVKICHFGTSELCGESVDDTAGSDSGRKLKKSGSKGVRFEGTRGYMAPEFLVTGVATQKTDVYAFGVVVLEILSGEEAVRFELEGNEGGYKRVSVVETAKEAWKEHGGVRKWVDRRLKDSFPMDVAEKMIRVGLECVGDDPNERPDMGRVSMEVSKLYLESKEWEEKLGTNIDFSVSLAPR >RHN58476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2053689:2056599:-1 gene:gene20394 transcript:rna20394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEESGENWPSNSDLEISDEAILKDGESESVCDDDYCEDQIGDPTDNTKENNEKEITEMLKELSDVMGLKKMDELSIIEQARDYLATLQERVRELEEEAGSNICTNKRTKLSSNITLPEVKAKVLQKDVLVIVHCEKQNGILLKILTYLENLHLSVVNSRVLNFGKSILDITIVAKMDDGYNLKVDELVKTMRIAISTQ >RHN47017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36495731:36496222:1 gene:gene41562 transcript:rna41562 gene_biotype:protein_coding transcript_biotype:protein_coding MIHIPQLSFHKFFIFLCFPSKNISCFRFLLSLISLTKTTMNTKNRKMAIIVTGTIIAAANAVATVSAQSNSTTPSEDFTGPSLFEDKATPIVYWFMIFLVAALPAFRFLPILPEARKTNMLTILGGANEQLNRVIKNMYCSNRLSSFMHAIVINKRLNYSFNS >RHN78754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18065008:18070343:-1 gene:gene2402 transcript:rna2402 gene_biotype:protein_coding transcript_biotype:protein_coding MQISSLRGSEEPNGITSNSDERVAKVTCWTFFAKDCNFSMQF >RHN61466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35645432:35649964:-1 gene:gene23937 transcript:rna23937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VI family MTVEKRFVLVGIRIDSHSRQLLNWAIVKVAEPGDCVIAVHVVKTKSSDYVSKNKSLIDGYLEVYEGLCDVKKVGLSSQIITGKSIKNILVREAKNQDALALVVGGNAATAKYCAKRLPPTTNVLAIQDSRIVFGRCNNKRPPGSLILDPRSSLTSIDNLSEESPHQNIKESKEETFDESERHKSRSISMFADDPSKRKLGWPLLRKSNSDISHSHHGKGISVVQWVMSLPDRSPRSSPQSSSSDENPFERSISDSLEDESFKNHLPTSVVLPKVLEGILNVNSLNCKWLSLESLKSCTSQFSSENLIGKGGSNRVYKGILPDGKPIAVKVLRSSKEAWKDFAFEMEIISSLKHKNITQLLGICIENNTLISVYEYFPKGSLEENLHDAGKNNDGSLLSWEVRFNVAVGVAEALDYLHTEASKHVIHRDVKSSNILLSKELEPQLSDFGLAIWGPTTTSFLTQEDVVGTFGYLAPEYFMYGKVSDKIDVYAFGVVLLELISGREPISSEPCKGQESLVAWAKPIIESGDIKGLLDPKLEGKFDETQMKRMVLAASLCIARAARLRPKFNQILKILKGNNEDEYCFNEYSENEENIDDEVYPNSSTELHLTLALLGVDDDNTSCSSTDHSYSENLKDQWSRSLSFN >RHN61378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35286156:35289263:1 gene:gene23839 transcript:rna23839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase MPALACVDVTTALLPPPGYALAGDTTTLPPPLTFTTTPGVTAINNNGDEVSDTNWSPSLSAKLFKVDGWGFPYFGVNTAGDISVRPHGSATMSHQEIDLLKVVKKASDPKCCGGLGLQLPLLVRFPDVLKDRLESLHAAFVGAIQLHGYENHYQGVYPVKCNQDRFVVEDIVEFGSQFRFGLEAGSKPELLLAMSCLCKGNREAFLICNGFKDSEYISLALIARKLALNSVIVLEQEEELDMVVEISNKLCIRPVIGVRAKLRTKHSGHFGSTSGDKGKFGLTTIQILRVVKKLEQLDMLDCLQLLHFHIGSQIPTTELLADGVGEAAQIYCELLRLGAQMRVLDIGGGLGIDYDGSKSSDSDLSVAYGLEEYAAAVVHAVKYVCDRRNVKHPVICSESGRAIVSHHSVLIFEAIGASSKSAPSLSSIGLQYLGEGLSEEALADYQNISAATLHGDHEACLLYTDQFKKHCVEQFKQGTLGIEQLAAVDGLCDLITETIGVKDQVRKYHMNLSVFTSIPDFWSIDQLFPIIPIHRLDEKPTARGILSDLTCDSDGKIDKFIGGESSLPLHELEGHGGGYYLGMFLGGAYEEALGGLHNLFGGPSVVRVLQSDGPHGFAVTRAVAGPSSADVLRVMQHEPQLMFETLKHRALEFCGQHDDDSVVGAAGLANCLARSFDNMPYLVSSSACCLNAVTNNDGFYYCSGDDFSADSASAATSVAGEDEHWSYCCA >RHN82151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51560847:51567301:1 gene:gene6277 transcript:rna6277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionyl aminopeptidase MVQLHFCTINGNLWRTTSEEKRELELLQKPIYNSVRRAAEVHRQVRKYMKGIIKPGMLMSDLCETLENTVRKLISEDGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTAAFNPMFDPLLEASREATYTGIKEAGIDVRLCDVGAAIQEVMESYEVEINGKVYQVKSIRNLNGHSIGRYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGYVREDLECSHYMKNFDVGHMPLRLPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDAGIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISKGDDY >RHN51701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23132220:23132558:1 gene:gene36201 transcript:rna36201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative copal-8-ol diphosphate hydratase MLAYPDYGGENDVWIGKTLYRMPYMTNDVYLELAKLDYNNCQAMHYDEWKEEIQR >RHN45648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24112702:24113694:1 gene:gene40029 transcript:rna40029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MGKMKNNFDLVSDDSDHKYRLNNIGGNCFSDTKSAIYKRIMKEWKILEKNLPDSIYVRAYERRIDLLRAVIVGAAGTPYHDSLFFFDIQFPSDYPNKPPKIQYHSFGYFLNPNLYPNGMVCLSLLSTYVGEKCEKWDPSSSTILQVLVSIQGLVLNEKPLFNAPPFRVFKRSFHEKRSRAFIEDVFVLTCYTVVNLIRKPPKNFEDFVKEHFRERGHVLLAACREYVNGRVMVGYYNYNNKQMASSSSSTSMTGIKVRESFQMSLRSAYGNMYMQFIKCGASLEGFLQELELEEQGKDMSKSKSKRSNGGGGVFKKAMGKIKLALGLKKK >RHN67551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28190135:28191378:1 gene:gene15749 transcript:rna15749 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGDGVGDIKDGVRDLLLDDVENGDEEDGVGDEDGVGDPVGWDRPLVIPRELRVRLTPPLVFSPELRETFSRYWFEKGMVGGSPPSKVVFIDYGLGHIFSIAQDCFNRGYAFQASNSASGHVGASACAASTRATTDSMVDY >RHN52737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38275663:38278664:-1 gene:gene37439 transcript:rna37439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK-LRK10L-1 family MVSVDSKVTSKCDESVPVNVISELPSSHGAEALMIDSEVQNNQCNITESEIFKYSELEEATSNFDNSRILGKGGYGTVYSGTLKDGRLVAIKRLHKDKFKMLRLHDNKLEEETLRKFINEVSMLTRMRHANLVQLYGCTSPQTRELLLVQEYVPNGTVSCRLHKHTFPWPARLNVALQTASALAYLHASNVIHRDVKTSNILLDKSLNAKVADFGLSRLVPNGATHVTTDPAGTPGYIDPEYYEHCHLSDKSDVYSFGVILVELISSLPAFSEDEKLSFLSDFAMDKILKGQLEKLVDPTLGFQSDNWVSQTVGAVAELAFACLQPQRDMRPSMSEVFNTLESIKSGSSQKALKWGSNNCYNATKVHIVSRLPDDYFEKK >RHN39371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6539058:6542023:-1 gene:gene45389 transcript:rna45389 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEEDISPFWLPKTTTNHHRLRRTYSLLLTTTTTLFLILITILIFILIVVPTIYSFISNIFKFKPQDVKHSWDYLNLILVLFAVVCGFLTKNDTNETQTTPRRFDSYNTHRRSFSNPDTETPPFWYGNENPSVFNRLRSGGSYPDLRRPEVVVADGGDDRYRFYDDTRVNFRYRYPRFQDEDEFHRETVTSGETFRQPEVKPVTESETPPFWYENSSLSSFNRLRSRGSYSDLRRSEAVVVDDERYRFYDDTHIHFPYRNSRLESEDEFHREKVTSGEVSRRPEVKPVPDSQTEDVGRNVDPMYEVETVEKSVINDSVVENSQPPPLQPIPALRKKKTRSASMENVAVVTSAEFSSLVPEPELNPHRQPAARKKKIRSESEVNTAAVTSAEFSSPVPEQELNPQLPVMRTKGVRGNFKRTNQPKAIEKLEDNDFFVENYLPQTVPQRSMAERKTGIPLKKKRGNATKEFLASLRGKKKKQRSKSVENFETIQNSQPSPLVSQPPPPPPPPPPASVFHNLFTSNKSKHKKTYLVPMARENSNYRLEKNVVMTGNESPLIPIPPPPPPPPFKLPAWKFRVQGDYVRVDSIGSSRSGSPDSDEVVESPVSQCSNSPYAEDGEEKNATEIGNASANPLFCPSPDVDTKAQNFIQNFRAGLRMAKMNSLREKQGIGRSNLGPLQNPYI >RHN44636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7062094:7067178:-1 gene:gene38779 transcript:rna38779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MSFLLCCSIAMCVAAIILHQCSFAVSHPNKITNLPGQPHVDFHQFSGYVNVDDQNKKALFFYFVEAKNDAVSKPLVLWLNGGPGCSSLGVGAFSENGPFRPKGEALVKNQFSWNTEANMLYLESPIGVGFSYSTDTSSYEGVNDKITARDNLIFLQNWFVKFPEYRNRSLFIVGESYAGHYVPQLAELMLQFNKKEKLFNLKGIALGNPVLEFATDFNSRAEFFWSHGLISDLTFKMFTSVCNYSRYVREYYNGAVSPVCSSVMSQVSTETSRFVDKYDVTLDVCISSVFSQTNVLNPQQVTETIDVCVEDETVNYLNRKDVQSALHAHLIGVHRWSPCSSVLDYELRDLEIPTITVVGKLVKAGIPVLVYSGDQDSVIPLTGSRTLVHQLAKQLRMNTTVPYRVWFAGQQVGGWTQVYGNILSFATVRGASHEVPFSQPERSLVLFKSFLEGRPLPEEF >RHN50779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10463068:10467657:1 gene:gene35107 transcript:rna35107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan 1,3-beta-glucosidase MAKGSHFLVGLVLLHCLVAMAEAEHLRYKDPKQPLNTRIKDLVDRMTLEEKIGQMVQIDRSVASADVMKKYYIGSILSGGGSVPKPEATAKDWVDMINEFQKGALSTRLGIPMIYGIDAVHGNNNVYKATIFPHNVGLGATRDPQLVKKIGDATALEARATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVQSMTELIPGLQGDLPANWSKGVPYVGGSKKVAACAKHFVGDGGTTKGINENNTVATRHELLSIHMPAYYNSIIKGVSTIMVSYSSWNGEKMHANRDLITGFLKNTLRFRGFVISDWEGIDRITSPPHANYTYSIEAGVNAGIDMIMIPFNYTEFIDGLTLLVKSNAIPMSRIDDAVKRILRVKFVMGLFENPLADYSLTDQLGSQEHRELAREAVRKSLVLLKNGENADKPLLPLPKKAPKILVAGSHADNLGYQCGGWTIQWQGLSGNNITSGTTILSAIKNTVDKETKVVYEENPSLDYVKSNDFSYAVVVVGETPYAETNGDSLNLTISGNGTETINNVCGRVKCVVVLVTGRPVAIQPYLNKIDGLVAAWLPGSEGTGVADVLFGDYGFTGKLARTWFKTVDQLPMNVGDSHYDPLFPFGFGLSS >RHN51162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14631774:14632687:1 gene:gene35548 transcript:rna35548 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSRKAIKDISKHFVLIIYILRILTEIDVARTTKAFSTSVKPVEKHSVTEPVVSIEETDEHMVSLCDGANRNDESRNKEMSTNVKKTDLFGDGNEYSSEQEAEMKLVNNASVLNLEIFSKI >RHN46001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27650867:27651175:-1 gene:gene40434 transcript:rna40434 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKDTSYRLNIKDWRVVVGSCNGLICFVGASNNNSGLWLHFWNPATRNISDKLGYLHDVWYTSRFWKFVFCYDNLTDTYKVGLTIYRRFEDKTKYRGEHF >RHN72149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5376015:5377329:-1 gene:gene7830 transcript:rna7830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MVSKTKKKASTKSIKDINRRRWRRKTPIKNVVAASSAVLSSIHRRISKLLTKLGLTRLSSSNRKRNSYKILKKSAHFNFQQQDTVCKTLLFEDSVENSLLPPSISNRKTIFLDLDETLVHSKTSPPPEKFDFVVRPVIDGEPMDFYVRKRPGIDELLEALALKYEVVVFTAALKEYASLVVDRLDRNGFISHRLYRDSCRNVDGKLVKDLGFVGRDLKKVVIVDDNPVSFSNQPANAILIKPFVDDACDRELWKLRGFFDGCDCFDDMRDAVKHYAAEKERRSCSNMIKGVSGV >RHN59764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12875204:12875650:1 gene:gene21879 transcript:rna21879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKTSICLLLFSPNLQLLYLSSFYAISNEGIGQVLKKSSKIRHLNFAHCSRLKQLIMNLKVSTLVVLNLLHTRICDKSLYMISTSCFGLLHLDLGHCYNVTENGVMQIVENCTQLREINLQGCCKVVADVFVAEIFLASWMPCVLVCWK >RHN67093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23799813:23800082:-1 gene:gene15237 transcript:rna15237 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLSRAVGIFFAAYLFCIGGLFRTFRVPSMTTRRTGPTLSGLWLSLLMRWSGSLPPLKPRKLSWVVETFSMYLFLVPVEESTTTPSLL >RHN38853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2447013:2452339:1 gene:gene44822 transcript:rna44822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MALQFVKQNQLRGLQSETTLTFFSLLRNLELPGTVRWVSGQIPGRRGSFRSYATAKPEKIIPIKKKKRLDEVCLEQYQQYSRSIIQSWILQGKVYVNGKRIYKAGTPVSDKSVVEIKAEVPKYVCRAGHKLEGAIEQLGVDVAGKVALDSGLSTGGFTDCLLQYGASHVYGVDVGYGQVADKIQRDERVTIIERTNLRYIKELPQNVDLVTLDLSFISILTVMPAVVNVMKEDAALVTLVKPQFEARRSQVGKGGIVKDPAVHQEVLEKITKGVESFGFCSKGWIESPLKGAEGNTEFLVHFTRIHNKGVENHEEISEEI >RHN62846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46167729:46172745:1 gene:gene25466 transcript:rna25466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MKDIEERKASPPSPSPLSKSKYDYYGICFIGGMLSSGATHLAITPLDVLKVNMQVNPEKYKNNGILSGIATIWKEEGSYALWRGWSGKLCGYGIQGGFKYGLYEYFKNFYAADDDRALIKLNRNSIFFLSGLSAQLLADVTLAPFEAVKIRVQIQRNFAKGLVDGFPLVYRNEGLAGFYRGLVPLWSRNLPFSMVMFSTFEHSVDLIYRKIMHRRKEDCSTAQQLGVTCLAAYTAGAVGTVISNPADNVMTSLYKKKAESAMQAIKSIGFINLFTRSLPIRIALLGPVVTLQWFLYDTIKVLSGFPTSGGLARDQKES >RHN62389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43074934:43075389:-1 gene:gene24958 transcript:rna24958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MRKKYHPWYSQRCEKLQAYLESTVKNAVVTVPAYFSDSQRKATIDAGAIAGPNVMRVMNEPTAAAVAYGLDKRSDCAGEQNIFVFDLGGGTFDVSILTIKDNVFQVKATAGNTHLGGEDFDNRMVNYFVQEFKRKNKVDISGNSKALRTAC >RHN76664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:257880:258313:1 gene:gene27 transcript:rna27 gene_biotype:protein_coding transcript_biotype:protein_coding MFPIEVQTRHTFSAAVSSGPICVPVMLVDAPFPALSQNAFVSRHI >RHN52982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40832684:40837136:-1 gene:gene37724 transcript:rna37724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, toll-like receptor MASNSNSSSALVTSSKRYYYDVFVTFRGEDTRNNFIDFLFDALETKGILVFRDNRNLQKGESIGPELLQSIEGSQVYVAVFSRNYAFSTWCLQEIEKIWECVQGSEKLVLPVFYDVDPSEVRKQSGIYDKAFVKHEQRFQQNSQMVSRWREALKQVGSISGWDLCDKPQVGEIKKIVQRIMNILECNSSCVSKDLVGIDSPIEALQNHLLLDSVDGVHAIGICGMGGIGKTTLAMTLYDQISHRFSANCFIDDVSKIYRLCDGPLDAQKQILFQTLDIKHHQICNRYIATDLIRRRLSREKTLVILDNVDQGEQSEKIAVHREWLGAGSRIIIISRDEHILKEYGVDVVYKVPLLNRTDSHKLFCQKAFKHEKIIMSSYQNLDFEILSYANGLPLAIKVLGSFLFGRNVTEWKSALARLRERPDNDVMDVLQLSFDGLNHMEKEIFLDIACFFNRESEKYVKNVLNHCGFHADIGLRVLIDKSLISINSDSVIEMHSLLVELGRKIVRENSSKEQRKWSRVWSQKQLYNVTMEKMERHVEAIVLNDDDVEEVDVEQLSKMSNLRLLIIKWGPNIPSSPSSLSNTLRYVEWNYYPFKYLPSSFHPSDLVELILMYSDIKQLWKNKKYLPNLRRLDLRHSRNLEKIVDFGEFPNLEWLNLELCANLVELDPSIGLLRKLVYLNLEGCVNLVSIPNNISGLSSLEDLNICGCSKAFSSSSIMLPTPMRNTYLLPSVHSLNCLRKVDISFCHLNQVPDSIECLHSLEKLNLGGNDFVTLPSLRKLSKLVYLNLEHCKFLKSFPQLPSLTTIGRDHRENKHKFGWITGLIVFNCPKLGDRECCSSMTFSWMTQFIKANRQTYPAYFDTIQIVTTGSEIPSWINNQSMGDSILIDKLPIMHDNNNNIIGFLCCAVFTMEPHPECFSWANMKLSFNHPIKMSVPVILNGGLVTTKSSHLWIIYFPRESSDEFGNICFDIFKGQALGMEVKSCGYRWVCKQDLQEFNLPMINHENSLAPKCKILAIEDGTQLQPQQESFMSQVITSTPRRNNRWITKNSTSDNKLTAKTISVVNRKQRNKSRTSISATEREVSVEAADSPVADEVSTESQQKKISNLHIICRFIGRFLNNFCQQGSTDTNIRYDMDTVNSDAESLVSEEDSDAVI >RHN52357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34248217:34254787:1 gene:gene37022 transcript:rna37022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAMQSPSYSSSSLISYGFTYQVFLNFRGSDTRDGFTGHLYKALTDKGIHTFIDDCDLKRGDEITPSLIKAIEESRIFIPVFSINYASSKFCLDELVHIIHCYKTKGRLVLPVFYGVDPTQIRHQSGSYGEHLTKHEESFQNNKKNKERLHQWKLALTQAANLSGYHYSPGYEYKFIGKIVEDISNKINRVILHVAKYPVGLESRLEQVKLLLDKESDEGVHMVGLYGTGGLGKSTLAKAIYNFVADQFEGVCFLHNVRENSAHNNLKHLQKELLSKTVKVNIKFGHICEGIPIIKERLCRKKILLILDDVNQLDQLEALAGGLDWFGPGSRVIITTRDKHLLTCHGIERTYAVRGLYGTEALELLRWMAFKNNKVPPSYEDVLNRAVSYASGLPLVLEIVGSNLYGKSIEEWKGTLDGYEKIPNKKIHEILKVSYDALEEEQQSVFLDIACCFKGCRWEEFEDILRYHYGHCITHHLGVLAEKSLIYQNHGYLRLHDLIKDMGKEVVRQESRKEPGEQSRLWCQDEIVHVLKENTGTSKIEMIYMNFHSMESVIDQKGKAFKKMTKLKTLIIENGHFSKGLKYLPSSLRVLKWKGCLSESLSSSILSKKFQNMKVLTLNCCEYLTHIPDVSDLQNLEKFSFMFCKNLITIDDSIGHLNKLESLDAGCCSKLKRFPPLGLTSLKQLELSGCESLKNFPELLCKMRNIKHIFLSRTSIGELPSSFHNLSELRSLHIFGMFRFPKPNDKIYSVVFSNVDHLVLENCNLFDESLLIILKWCVNLKNLVLAKNNFKILPEFLSECHHLVEIIVDGCTSLEEIRGIPPNLKWLSALRCESLSSSSRRMLLSQKLHKAGCIEEILMPNGIEGIPDWFEHQIVRGDTISFWFRKNIPSITCIIVIPESVEIEKFNVFLNDKEITTMECLLYPKDISPGHSILFDMKLDENIYESFANKSELYGAFKNNEWNHVELIWKLYYWSDMEEDDWSDTEEGEKEMIILSSGVQMGIHVSWNGYDWSDTEVEKSNKEGEVRFTNPYSRKRKLDEYLFIYSNTLLSQFVPPLKKQRLVEVGVSKTEILQQHNLVALVSDMRDLVLTETKQKEHHG >RHN70617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52754061:52755661:1 gene:gene19220 transcript:rna19220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MESFTVEFKSHFGRIYVNIKHPSLHALKYYDVTGLNEFSIAILEALTAHSYYYRSYLEMEKIFKVYVYPDGDLPIVHDGPCKDIYSTEGRFLHEMERGVGKFRTNDPNAAHVYFLPFSVTWMVKYLYTPSSYDITPLTQFVSDYVRVVSMRYPFWNRTHGADHFMLACHDWGPHASKGNPFLYNTSIRVLCNANTSEGFNPLKDVSLPEIHLYGGEVSPKLLSLPPENAPRRYLAFFAGGMHGPIRPILLQHWKNRDKDILVNEYLPKGIDYYSIMLSSKFCLCPSGFEVASPRIVESIYAECVPVILSNYYVLPFSDVLQWEAFSVQVDVSDIPRLKEILSAIPESKYKKLKQGVRAVRRHFTLNQPAKRFDVFHMILHSIWLRRLNIKLIS >RHN56200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28663829:28666065:1 gene:gene31565 transcript:rna31565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MRIHTIFPAPAPSLTLLQLCKKFHRHAWQPFPFLLLFLSFIFPATAQPSPPQDAFAKMKFDKTMASVLVILVMVFFTLGFISIYTRQCRERRIRGRVDLTAPVTGGDVCRQSRGLDPTIIENFPKFVYSEVKDLKIGRVTLECAVCLNEFADDETLRLIPNCSHVFHRDCVDVWLLHHSTCPVCRAELVPGSDDAGSSVQIQISEPNLAEPVFNHEPDVIEPVGLDEKKKVLIVSPSVNFDGMNRTPVRSMSVGFGFMRLFSRSKSTGQLMVRSDEDCERFTLRLPDEVHNRLMNDITLRRTKTWEATLGSGKRGYRTRSVGRNFLQYERFNVESRLDQKGFTCAPSFLGRVGSMRSTKDGNNASATMGVMSKVDAGERSSDRLV >RHN60869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31175695:31176061:-1 gene:gene23259 transcript:rna23259 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAARPVLTSVGFWRRFPMVRLGVWVGLLSPPYWPVSGVLLCSSVSVPVAVCAGWGLLLGGFRERPLAALLVYLVQYCLFIYLYISLSLKKIIN >RHN55268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16616543:16622326:1 gene:gene30420 transcript:rna30420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP-activated kinase, glycogen-binding protein MHSLTFPHLTLSLFPPIFVSSHSSRKVQNFAVLSEFSLVLGRESSIRKDHFYTQKGLYWSCSRFLTRCKDWAGDFSSLEEELLEFMQNSENPERFPTKEELIRGGRVDLVEGIVKEGGWLSYGWNLNHGSVESVDFEDGNVAKASGVAASSNSDDLSLANSSQPAESVEIEAEESGIEGILNRLEKYRNSSFGGGFGEKEVGVSSDNKKDKEEWDHRTIKDGVAAKLNNSSRQSSLNPTTSPLSGSQIKLDQHGSQLASNNSRNSIKPETWRSWIDQRTGFSNADFEDAEIVPSETQKGRASDVSGRLEIVKIGEFSDEPINRETGLDTLDTIVNANHNDIKSRIQLLESELSSVLHSLRSNTSEVTMLMEQKNSSDDLAKLSDAWEFQETEIMNSQARLRSLRANLAVLEGKMALAIMDAQKAIDEKQKKIDHAHKALKLLKPTCVVWPNIASEVFLVGSFDGWSSQRKMEKSNTGIFSVFLQLYPGNYEIKFIVDGEWKIDPLRPVVNNNGYVNNLLVVHD >RHN71697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1997543:2001092:-1 gene:gene7323 transcript:rna7323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative golgi apparatus membrane protein TVP15 MAREEDEENSGVPVLPTSSSSSSTRRVERRADPFLIVCRCFSLITSLAAILCVAVNVLSAVRSFKNPNTIFDGIFRCYAVLIAIFVVLVETEWSFIIKFWQVLEYWAGRGMLQIFAAVMTRAFPDYNGERKDLVILQNIACYLLLSCGVVYVISGVLCVGFLKRRRQKQEITREQAAKDLEELERRREELEQLLVTE >RHN59159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8029933:8037444:1 gene:gene21151 transcript:rna21151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MQRSSKDALDDADDLLDDFNTEDLRRQVMTSNKKAKKFHIFFSSSNQLLFSYKMVQKINELSKRIEALNGDRIGASTRRTLIYKSKEQLQEGMVFTISSFDVASNSGSYRPSRNEYKLNFTINTKVKLSKTVLVPTNVYSFTPASDVFNESYDNNFLVDVIGVMTGVGVEREYESDGVKTKMNVIELDSNGYRFKCTLFGEYVEELSSLISKCCCSHYVGKS >RHN52447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35388459:35392743:1 gene:gene37128 transcript:rna37128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MFQSIVSQCLNSPTTTLLNARTIHAHFLKFNLFTNTQLTTSLLTLYSHFLPFPHLTPILSSLPHPTIFSFSSIIHSFARSHHFRHVLGAFSQLGSLGLVPDSYLLPSAIKACAVLKELKPGKQVHGFACVNGFGSDSVLMSSLVHMYLKCNRIDDAQKLFDGMCDRDVIVWSAMIAGYSRLGNVDRAKEVFCEMRKEGVEPNLVSWNGMIAGFGNVGLYDEAVRLFHEMVSEGFLPDGSTVSCVLPGVGNLEDVLMGKQVHGYVIKLGLESDKYVVSALLDMYGRCGCAPEMSRVFDEIDQTEIGSLNAFLTGLSRNGLVDTALDVFKKFKAGELELNVVTWTSIIASCVQNGKDMEALELFRDMQADGVEPNAVTIPSLIPACGNISALTHGKEIHCFSLRKGIFDDVYVGSALIDMYANCGRIRLSQNCFDEMPFRNLVSWNSIMSGYAMHGKAKETIEMFHMMLQSGQKPDSITFTSVLSACTQNGLTEEGWHYFNSMSKEYDVKPKMEHYACMVTLLSRVGKLEEAYSIIKEMPFEPDACVWGALLSSCRVHHNLSLGEIAAEKLFVLEPDNPGNYILLSNIYASKGMWDEENRVRDMMKSKGLQKNPGCSWIEIGHRVHTLVSGDKSHPQMKEILEKSEKLSIEIKESGCLPMTKSVLQDVEEQDKEQILCGHSEKLAVVLGLINTSPGQPLQVIKNLRICDDCHAVIKVISRLEGREIFVRDTNRFHHFKEGVCSCADFW >RHN74238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30962935:30964008:1 gene:gene10270 transcript:rna10270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MLPRQLLYQPSSASMVILSKELIMEILSLLPVKPLMRFRCVNKFFKTLISDPHFVQMHRNKSKRNPKAINWCVVDLPISRLLDISSATFHYYPYYDLNKDYSRWRVIGSCNGLLCLTYDHRRWVLWSTANMTKSSEFFTPYLVDYFSYSFGHDNSTGTYKVVAFSNDMQPGFQSMVKVHSIRDNSWRNIQCFYRKFASRDNNGVYLSGTITWLALRNYYNVNIEKYVIVSLDLSTETYTRLILPRGFKKVPCSLPKLVVLTDCLCFCHDLEENHFVIWMMKDFGVQESWIQLFKISYRSFSSCYKFRGMSSIFPWLNLIPLGLSKNGNILILAKVEDLKAFIYNCRNNIVESMVLTH >RHN73982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23068947:23069489:1 gene:gene9898 transcript:rna9898 gene_biotype:protein_coding transcript_biotype:protein_coding MKALEVATALNNASLKGEVWADVLVKERDALAAKVSQLEGGAAVTRSVVEKCDLHIAALEKKMADARTALEQAAESSRKLVEEKVTLDESLKKADLPGEDETEDTAVLKRADMIEKVSVLERSLVDAVKLGFDRAVAQLKVANSGIDLCVEGTHHLSDVEDRVIKPPPVFEVDIGHVDDA >RHN75584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43528022:43528945:-1 gene:gene11813 transcript:rna11813 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVDIYPKTDQSFSSSFPFSNQRIVGSIMRIIIATEINQFFIEVGTLETVLEIKRKIEQIHAIPVAYQILTVCGFELLDGLDMEDYPIVSEGTKIDLTIKPMEPHIIHHPNKMQITVKFSARLINIEVDKTDTVHSLKEKIHIIDNTPIKSMKLFFLGRELNEDFRNLNEYGIREFSEIIVFLKTTNRTKEPPTRKLSFVLQTSSSLLNAATIPLEMKDTSTVNDLKQLLLSRKILPVDDYLFIHRQRIMRDSCSLRWHGVENGDQLYVFKGTVSRSGLLLFVTFRIKS >RHN77538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7539662:7543998:-1 gene:gene1003 transcript:rna1003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-acylglycerol-3-phosphate O-acyltransferase MNTIRSRLLTKTRMSAEDTTTGKNNLGGFWPFFRRWIPTSTDHIINAEKRLLSLVKTPYVQEQVNIGSGPPDSRVRWFRSSSNEPRFINTVTFDSKDDSPTLVMVHGYAASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYIASKYALKHPEHVQHLVLVGSAGFSSETERITKFLSTWKGSILNQIWESNLTPQTIIRGLGPWGPDLVSRYTSARFVKYSTGELLTESESKLLTDYVYHTLAAKASGELCLKYIFSFGAFAKSPLLHSASEWKVPTTFIYGFDDWMNYEGAQEARKHMKVPCEIIRVPQGGHFVFIENPSGFHSAVFYACRRFLSPDPDSESLPEGLTSA >RHN71856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3089986:3091964:-1 gene:gene7496 transcript:rna7496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation protein SH3 MKFNPRVSSSRRKSRKAHFTAPSSVRRVLMSAPLSADLRSKYNVRSMPVRKDDEVQVVRGTFKGREGKVTQVYRRKWVIHIERITREKVNGSTVNVGVNPSKVVITKLRLDKDRKSLLDRKAKGRAAADKEKGTKFAPEDIMQTVD >RHN72348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6970324:6970563:-1 gene:gene8050 transcript:rna8050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin-containing monooxygenase MTTLLLTCYEGPEGKPCTMIVRRLHWILPHFWIWGVPFFMFYSTRSAQFLHQTPNQGLLKTLVRFLLSPMVNKLNKYAS >RHN42275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36956986:36959346:1 gene:gene48695 transcript:rna48695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative remorin MEFSNSLSIIKKDSSSSASVSASVSSQNMGSFPSPGAPYYRDRTRRYENNKGWSSERVSKHTNGNSSRRHTMSGLTPFSGGRTMPSKWDEAERWICSPVSASYADSRRTSHTQQQQLQQRRPKSISGPIVPPPGVAFYSNYSPSVQQLRQGFVVRNLMVSSPFSTGVLAPVAVSVHHYDDDDPHGTVYGYDIDHGMQYSNSVLNQSGVRDSSMSTEPDLLCDPSSPTSQDEEHDGMNNEETEMSLVPRCDKGTQMSPTETENDAHSSPKSSATSAIDQEYCHYPKLEVRDVEVDSQATVTRGSKRHVARLTKSHSLHRTEMRENGVDAPVSCWDIEESTLDTSKLQREEAKIIAWENLQKAKAEAAVRKLEMKLEKKRSSTMDKIVKKLRRAQLKAESMRSITPVQQEHQASKICKVFSFPKYPQIRSLSSCFSGHS >RHN62285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42315898:42318992:-1 gene:gene24843 transcript:rna24843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine synthase MASSSLVKSLTCALRAGRISHCFSSTRIFSSSTVYVESQTSNDVLNIADDITQVGKTPMVYLKSITKGSVANIAAKLEVMEPCCSVKDRIGYSMILDAEQKGLITPGKSILVEPTGGNTGIGLAFVAATKGYKLILTMPVSMSLEKRVLMKAFGAELVLTEYSKAISGAIQKAEEIVKNTPNVYMLQQFDNPSNPKVHFENTGPEIWKDTKGKVDILVVGIGTGGTLSGAGRFLKQQNPKIKCIGVEPLECNVLSGGKPGPHIIHGIGSGFVPKNLDKKILDEVIAISGEESVKTEKLIALQEGMLVGISSGAAKRPENEGKLIVVIFPSFGERYVSTFLFQEAREECKKMQPEP >RHN52207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32706078:32710097:1 gene:gene36853 transcript:rna36853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MSLHSPLIVEETKQKNKKEEERRELVEEVKKQLWLSGPLISVAFLNFGINLISVMFVGHLGELPLSGASMATSFAAVTGFTLLQGMASALDTLCGQSYGAKQYRMLGVHMQRAMFILMIVAIPLAVIWANTKSILILLGQDPEISIEAGNYARLMVPSLFAYGLLQCLNRFLQAQNIVFPMMLSSVVTTLFHLPVCWFMVYKSGLGSGGAAIANSISYWLNVTILILYVKFSPLCKKTWNGFSKEALALTNIPIFMKLAIPSAIMVCLELWSFELMVLLSGLLPNPKLETSVLSICMNTAGAIWMIPLGLSGATSIRVSNELGAGHPRAVRLAVNVVVVIAIIEGILVGAVIILIRNILGYAYSNEEEVVKYVATMLPIIAVSNFLDGLQCVLSGTVRGVGRQNIGAYVNLGSYYLVGTPAAVVLAFVLHIGGKGLYLGFICALIVQVFSLTIITVRTDWEKEAKKATDRVYDSITTESLVS >RHN41415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29804160:29812379:-1 gene:gene47733 transcript:rna47733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MPSLPSSPELIPSEPKPNEPNPNPIPETEPNPNAPPSHPQTTSNKPPPTVTDALGGDLATGLSTVHGASSEMLGGDLAPTGLSTAHGASSEMLGGDFATGLSTVHGASSDMLGGDLATGLSIVHCASSDMIGGNPRLSTAYGEMTNALGRDIAAHGALFKSVGAGIRNSGNTSFLSAILQCFTHTVPMFRGLRSCTHASPCDVKSFCFICAFRNHFDNALEPSGVPVVPREITENLHSLIAGYVRGKQEEDAHEFMQSALKELQKSFPVGEENLIDQVFGGRLVDTFRCCCCRGYSSATFVPFKDMSLEIELAASIPHALKSITRVEQLAGKFRCSNCNQEVTMEKQLMLDKAPSIAVFHLKRFLKDGDSLKKIERSLWLHKKLNLNPYTSGSSSDNVVLNYEVYAVVMHRGPSPNSGHYFCFVRSAPDKWYLMDDDKVSSVSEEEALNHRAADHKAYILFYAKEGTPWFSTIVEKDDNDSTADPNEEDHDNNGAEDDNDSTDASYSWLRKMGERRAREEYEPCECSIM >RHN42309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37303154:37307765:1 gene:gene48737 transcript:rna48737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll, cation/H+ exchanger, CPA1 family MLDERRITQTIANILMLSAEESIDLASSEPLCDWKGLKPNVHFPNYYKFLQSNMLPSKLVTYFTVDRLESACYICAAFLRAHRIARQQLHDFIGDSDISSAVINESVVEGEEARKFLEDIHLTYPQVLRVVKTRQATDVVLNHLIAYVQNLEKAGILEKKEMLHLHDAVQTDLKKLLRNPPLVKLPKISNMHPMLGALPSSVREPLVSDTKEMMKLRGRTLYKEGAKSNGIWLISNGVVKLESKMIQSKHPFHPTFTHGSTLGLYEVLSGIPYICNVVTESIVFYIFVEANKIISCLRSDPSMESFLWQESAIFLSKVLLPQIFEKLTGQDLRALIAEKSEMTIYIRGGTIEIPNHSVAFLLEGHIKTQGRQELVTAPAALLPSHGNRSFQNLLMSGSKEASFIHQGSCYLVEPGARVIVFDIAAFEPDAALVKKSSSGILHAADHPHKSFRRQHSALMSWPEHFYRQNQDKQSSEQQNNSLSAKAMQLSIYGSMVDIPGQSRSLSTNRARLLPQSLSDPIIVPHRSRPLVSVKSEGAATDKMDIGVKGFMQDATNLPSQSTYRRGDDSAIEEDIIVRIDSPSTLSFRQS >RHN38570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:340554:343214:1 gene:gene44525 transcript:rna44525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MVDRQVVCCMCGDVGFTHKLFRCNKCHHRFQHSYCTNFYGELSEIEQCDWCQSEMKNTVASNNSKKPAVAVTINRSSSGCSGGSEKRKSSPVPSPRRR >RHN60622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28958372:28960809:1 gene:gene22963 transcript:rna22963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MFRNAELSSLEEENAEMFRNLCHTNLKTWSPVKYPIEALKAEIEAVKQRSAAMSLRSKTRVVPARVNKAKYQLSSLEEENAEMFRNLCHTNLNTWSPVKYPIEALKAKYEAFKQGSAAISLRSKTHVVLACVNKANSELYSHQMKILKVDNHIGVAIAGHGCVLSWYMRYECINYNYTYKSVLPVDRLVVQLTNKAQVCTQRSWKRPYDAGLLVAGLDGLDKPLAHLYYNCPSGNYFEHQAFAIGSRSLPAKTYLERKVNNFAASSRKDLIKNALIAIRESLLGEKLRSFVCTIAVVGVGEPFNILGQKAVQKLIDEIVREEGCTF >RHN39621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8785462:8789335:-1 gene:gene45661 transcript:rna45661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK-LRK10L-1 family MFNVVVLMKSFLTIIFLLLLFFPLTSSLQQVQTKLVEPPLLPKNSCNETCGKLHVPFPFYINNTSCASLSSSFHLSCSNSSTLLIKIGSQNYPILEFFSDGLLVDFPGTSSCRQYNDLNSFGERSFDGRNYFGVSVDNVVGLYDCEDSSLCKADCETVNLPGCDGSVGGGSLGCCYPLSDHSIWHIGEGFSVFSQFGCRGFSSWAVLRGSYSGKRGVKLEFGIPRNFSKEICAKNADMVNATAVKGGIRCVCQDGFVGDGFVNGTGCLLSCIKNGKEAYGSDCYIKRHDQRKMVIIAGILCPVLIVASLVTLFYLLKRKQRPGMFDSEQAYYHNISFRKTCRTRLFSHHELEEATNGFEDNRKLMQCNNSTMFAGVLGDGSHVAIHKLLKCENEKDMMQVMSQIEVLSTIVHRNVASILGCCIDSSYTPLVVYEYPSNGTLEDHLHQKFQNIGQKLGLHWYRRLNIATEIASTIALLHYDKSPPIFHHNLKSSCIFLGDDFSVKIAGFGIHNSDVNNYDYKNCETREHFRLCKNDVYDIGLLLLEIIYGTNQLDSPTLALKKIRDGKIEEIVDPLLNYYEQPRHCQEQIQIIADLATRCFLFSGDGKMGMIDVARELVHLTKDSVDGGNVKGIALEETFSNSSLLQMISLSPDSMNVP >RHN77572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7806400:7813196:1 gene:gene1039 transcript:rna1039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exoribonuclease II MDEKIDTAEKKVLVDIVKLVQKKGMKGKMGDWKEFLNSNDKKFGAGMSDPSKRSHEVLAAFLKTFSKDEDLKFFGNIMRHHSNQYTLERLKDRSQDSPEQRLVQVTLQHPMYPMDYSLPSIDEGWLVINLKKKAKGIKSTTMVAVDCEMVLCEDGTEALVKVCVVDHNLEVKLHKLVKPEKAIKDYKTEITGVSAQDLETVTCSLADIQKSMKKLLYNGTILVGHSLYNDLRVLKLDYVRVVDTAYIFQPLDGSIHRRPSLNSLCQSVLGYEVRKKGASHDCLDDACATMKLVLAKIKHGVDKPFPLTLVQEPVSESEMSKLLIHRIPTTVNTEALHEIVPGDFTIERKPSRNGQGDKYSALAIFKNQREAHDAYENVQGSQTKDSNGRLQKLVTCRLSTGMSVSLFVRKMGIDDHHKKMPSKRDLPEGEMVDVPENKKVKMDPEVEKDAYLKQIEALNQRLKEKSEAEIESSREQLRKKDFEITALHKMVANIQKRQKPKK >RHN44038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1188145:1194178:-1 gene:gene38086 transcript:rna38086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UV excision repair protein Rad23 MKINVKTLKGTHFEIQVNLHDTVGDVKKNIEGAQGAAVYPAAQQMLIHQGKVLKDETTLEENQVAENSFIVIMLSKNKVSSSGASAASAAPPAVQPASSLPPPLSTPQPLASTVGQGESNPAQGPVVTPPTTVVPESNLIAGSTLEPTIQQILEMGGGSWDRDTVIRALRAAYNNPERAVEYLYSGIPEQAEAPAVAASTNVGQAENPSAQAPAQLAVPAVGPNTNPLNLFPQGIPNLGANENAGDLEFLRNSQQFQALRTMVQANPQILQPMLQELGKQNPNLMELIQEHQADFLRLINEPGGEENLEGQLGALAPQTITITPEENEAIQRLEDMGFDRDLVLEVFFACNKNEDLAANYLLDHQNEFDD >RHN48770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50078363:50078674:1 gene:gene43522 transcript:rna43522 gene_biotype:protein_coding transcript_biotype:protein_coding MELNAIHKFHKLSLYCSYPLIPNHFMLSPLNPYPLLSITFYIQQISDPSRIPPTLVCWFTLRTTTTKNTVMNPCRLEQQQKIGTETPTTTKKTMTNLCKLKHH >RHN52191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32534471:32540053:1 gene:gene36833 transcript:rna36833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative D-xylose 1-dehydrogenase (NADP(+)) MANETVVRFGILGCAQISIKLCKAISKAQNATLIAIGSRSLEKATAFAAEQGLPEVVRVYGSYEAVLEDNEVDAVYIPLPTALHVTWAVKAAERGKHVLLEKPVAMNVSELDRILEACETNGVQFMDGSMWLHHPRTAKMKEALCDEQRFGQLKWIHSCMTYNPGPEFLKNSIRMKPDLDGLGALGDIGWYSIQAILWSVNYELPKSVLAFPKATLNEDNVIISCGSSLHWEDGKSATFHCSFLTYVNFDVTILGTKGSLRLHDLTLPFEESLGFGTFSESSEIDYAKIEQGRWCPRANEHVVETMFSQDVWMVKEFADLVGKIMRLEMKPEKTWEVVSRKTQIVLDAVKESIQRGYESVEIVMN >RHN49051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52092340:52097015:1 gene:gene43838 transcript:rna43838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bicarbonate transporter MEETFVPFEGIKNDLRGRLMCYKQDWTGGIKAGVRILAPTTYIFFASAIPVISFGEQLERNTEGVLTAVQTLASTSICGIIHSIIGGQPLLILGVAEPTVIMYTFMFNFAKERPELGRNLFLGWAGWVCVWTALILFLLAILGACSIINRFTRIAGELFGMLIAMLFMQQAIKGLVDEFRIPKREDTKAIEFLPSWRFANGMFALVLSFGLLLTALKSRKARSWRYGSGWLRSLIADYGVPLMVLVWTGVSYMPTASVPNGIPRRLFSPNPWSPGAYDNWTVVKDMVQVPVVFIIGAFIPATMIAVLYYFDHSVASQLSQQKEFNLRKPSSYHYDLLLLGFLTLLCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNRLVITARNSISKNASLGQLYGNMQEVYHQMQTPLIYQDPSARAQGLKELKETTIQAATSMGNVDAPVDETIFDVEKEIDDLLPVEVKEQRVSNLLQSVLVGGCVAAMPILKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDYHATFVETVPFKTIALFTIFQTIYLFICFGLTWVPIAGVMFPLMIMLLVPLRQYFLPKFFKGAHLQDLDAAEYEEQTALPFNLASQSEFGAGASHIGEGEIFDEVITRSRGEFRHTTNSPKISSSTPTPRNDPKSRLSPHLSFNSRVGEFATEQSPRSGVRGTNSPMTKEMRLSGRGISPLNPDSKHQDKK >RHN56697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32980946:32983987:-1 gene:gene32135 transcript:rna32135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubby-like domain-containing protein MFSGGLKFLTENSKRSKKPKMETSDLEYTGTEDQHIPIDLFGSKKHAGVPRGILAFTDASGNIVFKVHRQPPDPNSSSSLKDTKLLLDSNDNPLFSIHRHHNGIWKCYKGSGDENKELVLQVKRTVKTITKVELEVFFAGERLKDNDTCDLKVIGSPFKRSCSVYKDGDLVAQSSLMYKLNQIYVSRGKFRLTIFPGIIDRGLIVALFVIFLNGRK >RHN40890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23852462:23852821:-1 gene:gene47134 transcript:rna47134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin MLFKEGEVCGACYQVICDYKIDPKWCLRSRSVTVTATNFCPPNNHGGWCDPPHHHFDMSMPAFFRIARQGNEGIVPVLYRRYNKTYLSLIGNDGAFFVTKLKPNTLDTCIEHLENFIQI >RHN78714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17753799:17754300:1 gene:gene2358 transcript:rna2358 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEREVNTNYWKFAYHNLINENLWKFLTEYYRHIHSNAFTFAHRKSLEHNRLNHIAPMFIRRQAFFP >RHN41394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29701649:29702065:-1 gene:gene47712 transcript:rna47712 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGISKESQNESLQNMTMVEIKASNECYEKSNSTGSSNLWKFRQNMNLRSNSDHKDSLVLLNASDPKNSRKPKVENIVNKKRKDEKHKNGLSAYEKLYVSNKTRKDSNKRRSFLPYKRQLFGLFTNMNGLSRNLHPF >RHN67223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25025680:25026063:1 gene:gene15383 transcript:rna15383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase Pif1, P-loop containing nucleoside triphosphate hydrolase MLDMVPGEEKVYLSYDSPDERNVRGDAMDDVHTPEFLNTIVASGLPNHKLRLKEGVPVMLLRNLDTKNGLCNGTRLIITRMGRYVLEGKVISESNVGDRVFIPRLSLSPSDVRIPFKFQRKQFSLAV >RHN58555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2762517:2766050:1 gene:gene20477 transcript:rna20477 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTRLAKLISTIAFSAYFFIIIFQIPIFSVPCRRGICKTPLELTCSQLIASEVFPLFIVKALIYPGSVAKAIFKLKTIPSYRNLLHNFNTRTISAVSELQRLEVLAGSYLAVGGAILGLLKPGRMGLFGILLLMWGLIRELIMIESGFSHAKGIRIYPTIVFALVSAFFSIRRDVRELIRTFNLKHVRKAKHF >RHN63604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52640175:52643480:1 gene:gene26327 transcript:rna26327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Band 7 domain-containing protein MGNLVCCVQVDQSQVAMKEGFGKFEKVLQPGCHCMPWFLGKRIAGHLSLRVQQLDIKCETKTKDNVFVNVVASIQYRALADKANDAFYKLSNTRNQIQAYVFDVIRASVPKLNLDDTFEQKNEIAKAVEEELEKAMSAYGYEIVQTLITDIEPDVHVKRAMNEINAAARMRLAAKEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVIGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVASQIRDGLLQGSLSHQ >RHN70329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50685477:50686139:1 gene:gene18899 transcript:rna18899 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMKKSILIVFFIIMVVMSSSQLCFVQSRVLQSKEFRKDGVTSFVSSNNSSNRGSKESFSFILASGPSKKGPGH >RHN59341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9710002:9710506:-1 gene:gene21355 transcript:rna21355 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGKNMVETPKLDYVIIFFFLYFFFRQMIILRLNTTFRPLNFKMLRFWGQNRNIMKHRGQKVHFSLILSDCKTNKDCPKLRRANVRCRKSYCVPI >RHN79460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29147219:29151182:1 gene:gene3254 transcript:rna3254 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEKRKGLNKNLQDEIFYVSSNLNLCQVHCGEVLDLHTFKEVDAGVVTKLVD >RHN81992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50406956:50411017:1 gene:gene6104 transcript:rna6104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative homocysteine S-methyltransferase MMKDFLNKCGGYGIIDGGFATELERHGIDLNDPLWSAKCLFTSPHLVRRVHLDYLDSGANIILTSSYQATIQGFEAKGFSKEEGQALLRRSVELAREARDIYYDRCTKDSFDFIRDERYRSRPILIAASVGSYGAYLADGSEYTGDYGDAITVHTLKDFHRERVKILVDAGADLIAFETIPNKLDAQAYAELLEEEGIEIPAWFSFSCKDENNVASGDSILECASIADSCPQVVAVGVNCTAPRFIHGLISSIKKVTSKPILVYPNSGETYNAENNTWVKSSGEAEEDFVPYIGKWRYAGATLFGGCCRTTPKTIRGITEALYGKPHGKCI >RHN70830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54323812:54324081:1 gene:gene19456 transcript:rna19456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-galactosidase MFNSGGAVKEFSSGFKGVANVSMKVCWCGLFGAYSSAWLELINVDSEEVEFSYEEESGSGTIDLIARERVILMEHSYGFIKYIPMEISM >RHN54342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8850329:8851365:-1 gene:gene29359 transcript:rna29359 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFLCFCLDANFTSCFWLVIDFLCLQRLLAAAFCNRLLHNYSLVVRGVSGPDKSIVPDKPTQPNP >RHN69959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47588121:47588816:-1 gene:gene18493 transcript:rna18493 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIYFLTCYQHEARSIETGASDALQVIYFTLYISAFKNLLCDVHIKFNSRRVAVFDFFF >RHN80114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35218066:35219706:1 gene:gene4001 transcript:rna4001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II PsbW, class 2 MATISATTTTASITRACLAQKRPLSVSSSPVLGLPTMAKVGRVRCSMEGKPSSVNESNSNIGMGSSLFAAACAAAMSSPAAMALVDERMSTEGTGLPFGLSNNLLGWLLFGVFGFIWALYFIYASGLEEDEESGLSL >RHN41054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26444987:26447448:1 gene:gene47330 transcript:rna47330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MEMEKLVEVCEPAEVRIEFTLNSKCRTTIQLKSLNPTNPIAFKIQTSSPHKFLVNPPTGLIPPLSMSTFQVILKPQSHLPPSYPRSPKDRFLIKTAEFTANSSDNDSINAWFASCSYGLSTYDIKLKVAFVGSFLLCDAVSRGDLECVRSLIKRQRSVVLDLSLKESESLLRVATELAHPDDMVHLLLEAGLRVHEAVGFDKFKGSDDVPVVGGNVARDEEQHVEDVEHGELMLEASRHGNVREVELLLRRGVNLNYRDHYGLTALHAAAFKGHTNVALMLSEVGLDLECEDDEGNVPLHMAVESGDVETVRVFVEKGVNLNAMNKRGVTPLYMARVWGYEDVCQLLMSKGALSTLSLTSA >RHN47377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39293471:39295622:-1 gene:gene41970 transcript:rna41970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative naringenin-chalcone synthase MVTVEEIRKAQRSNGPATILAFGTATPSHCVTQAEYPDYYFRITNSEHMTDLKEKFKRMCEKSMIKKRYMHITEEFLKENPNMCAYMAPSLDARQDLVVVEVPKLGKDAAKKAIAEWGQPKSKITHVVFCTTSGVDMPGADYQLTKLLGLKPSVKRLMMYQQGCFAGGTVLRLAKDLAENNKNARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAMIIGADPDLTVERPIFEIVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGIISKNIEKSLVEAFAPIGISDWNSIFWVAHPGGPAILDQVEEKLRLKEEKLRSTRHVLSEYGNMSSACVLFILDEMRKRSKEEGKITTGEGLEWGVLFGFGPGLTVETVVLHSVPVQG >RHN61315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34737595:34738834:1 gene:gene23766 transcript:rna23766 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRFNLQVEKANAILKHRKLQRVTILLRLVEVCVVLVLISRLSLKLLVVVRNSSEYLRDVSVIVNSHYFVFVIGNVIIITLFAQGSGKNVPKEHEHDDIYEKLVHNSVNHEEKERIIKDDRIVEKGGSLEQHKMKREVKKSYRRCETNILKKRRRVLERCESENERKIIEAATPAEEEMLRISYPEDEMSNDEFRRIVEAFIAKQQRGFEG >RHN38752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1765801:1767805:-1 gene:gene44718 transcript:rna44718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LIM family MAANYSFSSSSPSSSIPSPKSSMFYYGGSEDFYDEPHFLQACYLCRKPLGQNKDIFMYRGNTPFCSNECRQEQIEIDESKEKSWKISTKRGVRNSETNQNSSNNKAVRSESVAVA >RHN65536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3018080:3030474:-1 gene:gene13383 transcript:rna13383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MGFEPLIWYCKPEPNSIWSKTVDSAFGSYTPCAINTLVISTSNLVLMGLCLYRIWLIIFNAKAQRFCLKSNYYNYILAMLASYCAFQPLLRLWTVNSVFNLNEEADFAPFEIMSLIIESVTWFSMIILILLETKIYIRQFRWLVRFGVIYVLVGDIVMFDLLLSVKDYSSRSSLYLYISTIICQVLFGTLLLVYIPNLVPYSGHATFQADIPDNGEYEPLCGDDQVCPEMRANFLSRLSYGWITPLMKQGYRKPITEKDVWKLDKWDQTETLNENFQKCWTSEFQSSNPWLLRALNSSLGKRFWFGGIFKIGNDLSQFVGPILLNHLLDSMQNGDPSWIGYIYAFSIFVGVSAGVVCEAQYFQNVMRVGFRLRSTLVAAIFRKSLKLTHESRKKFSMGKLMNMITTDANALQQICQQLHGLWSAPFRIIIAMVLLYQQLGVASLIGSLLLVLIIPLQTFVISKMRKLTKEGLQQTDKRVGLMNEILSAMDTVKCYAWETSFQSRIQTIRHNELSWFRKAQLLYALNSFILNSIPVLVTVTSFGVFTLLGGELTPARAFTSLSLFSVLRFPLNMLPNLLSQVANANVSLQRLEELFSAEERNLQQNPPIVPGLPAISIKNGFFSWDPKEEKNPTLSNINVEIPVGSLVAIIGGTGEGKTSLISAMLGELPLVSDGNAIIRGTVAYVPQISWIYNATVRENILFGSKFDHGRYSKAIDVTSLEHDLNFLPGRDFTEIGERGVNISGGQKQRVSLARAVYSNSDVYIFDDPLSALDAHIAQEVFKNCIKEGLQGKTRVLVTNQLHFLPQVDKIILVSEGMIKEQGTFEELSKCGPLFQKLMENAGKMEQEVDSNKDSDNVTPLSDEAIVELPNDASYEKKGKLRKSVLVKKEERETGVVSWKVLTRYTSALGGLWVVAILFACYTLTEALRISSSTWLSVWTSQDSTAASRAGYFLFIYAMFSFGQVSVALANSYWLIISSLRAAKRLHDAMLDKILHAPMVFFQTNPVGRIINRFAKDTGDIDTNVFNLMNMFLGQVWQLLSTFVLIGTVSTISLWAIMPLLIFFYIAYIYYQSTAREVKRMDSITRSPVYAHFGESLNGLSSIRAYKVYDRMSNINGKFMDNNIRFTLVNISSNRWLTIRLESLGGLMIWLIATFAVLQNARSENPTLIASTMGLLLSYTLNITNLLSGVLRQASRAENSLNSVERVDTYINLETEGQSIIETNRPPPGWPTKGSIEFENVVLSYRPELPPVLHGLSFVVPSTEKIGVVGRTGAGKSSMLNALFRIVELQSGRIIIDGCDISTFGLVDLRRVLTIIPQSPVLFSGTVRFNLDPFNEHSDADLWEALERAHLKDVIRRNSFGLDAQVSEGGDNFSVGQRQLLSLARALLRRSKVLVLDEATAAVDVRTDALIQKTIRQEFHSCTMLIIAHRLNTIIDCNRILLLDAGKVLEYNSPEKLLQNEETAFYKMVQSTGPANAEYLCSLVFGRKENNSNEFNKESENSTRQLASTNWAAATQFAIASTLSSLHQHLQSPNTNDNKDILNRTKDAVVTLQEVLEGKHDDTIEETLTQYHVPTDRWWSTLYKVIEGLAVLIRLPQDNNYNQLEPDFEGRSFD >RHN55694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21220714:21221535:1 gene:gene30918 transcript:rna30918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MMQPSVINKRVKHTTKSRSINDLANDLLIHILSFLPIKYAFRTSVLSKRWFPLFYSLAVIRICDNKVYTKKAWVHFRRFVNTVLISKHAQEQTLKKFHLVCQSKNWRANSFRCFDTWVKAAKRRGVEDLYLCMVEVTLSPTIFVCETLVVLKLDRIIVPSRCSVDLPLLKTLDLCCVCFRNRDDFMKLLSGSPKLEDLKTLYIQLNIGVTVIENFEKPLLSNLIKANFRLFEVPFRAVYNVQFLNVLEVLYMKHPFFFWYHHYYVCCLIFVCL >RHN82375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53290903:53291181:1 gene:gene6538 transcript:rna6538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MKISYVTLCIMLTVLLAKTELSMEITCNALQLSACANAIISSNPPSAICCSKLKEQKPCLCQYLKDPNLKKFVNSPNAIKVSDACGSPFPIC >RHN54781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12193076:12199250:1 gene:gene29867 transcript:rna29867 gene_biotype:protein_coding transcript_biotype:protein_coding MREKMMTFWTACNTCKVLHEFERKYLGNKLVCPGCNKSFKAVEAVMNDGFDEVEEEDETLGDFLLKKKKKKKKKKKNVKKKKGIGKMGCEKGVIFMGDDEVGCEKGESFKVAEAFLIDGSEEDEEEDKTLGDFMLKKKKNMKKKMNGKMGCEKGGVFKGYGAVGCERGEIFKGNGEVGCEKGEIFKGNDEVGCENGENFKGDDEVGIVGRLRKRTRSVGEVLESSEPKRVVGSEEETMTLAQFQSKVKRKFHQEMVKGKEKEEKMKKKLEGSVRRKASRLERHRDTSGGELEAMVVADLDSVKRKGLRSERHMDTSGEELEVMAVADSDFYDFDKDRVERSFKKGQVWAVYDGDDDGMPRQYVLIDETVSANPFNVMISWLDFHNNGDGKIVSREKLGFKIPCGRFKVAKKASIGSVNVFSHVVDCDRAAREVYKIYPKKGSVWALYSEASLDADEGNRCYDIVLFLTSYSEMNGISMAYLEKVDGYKTVFKRQESGSHAVRFLGKDEFCLISHQIPARKFPCDEDHELLKDCWELDPASLPSDLLTIGGIDN >RHN58789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4925338:4927460:1 gene:gene20735 transcript:rna20735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 30S ribosomal protein MAMASSLLLASPSPLSLQLHASSSHLSFSQSQSLHSPLITSSFPTLSSTLSLSPTPSVYCGRGDRKTAKGKRFSHSFGNARPRNKNKGTGPPRIYAPPDATKKEKLEDKEVIEIEINESLFPS >RHN64478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59430956:59432468:1 gene:gene27297 transcript:rna27297 gene_biotype:protein_coding transcript_biotype:protein_coding MASWKKTITTPFKKACTFFKEQPTTRDPKKSQTEQERRIMDLQGEVMACGYEDVQVMWSMLDRSKSTATECNITSSS >RHN78314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14007376:14008683:-1 gene:gene1855 transcript:rna1855 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLTELYGMLLMKRCLFAWKPPTGWVRTNTDGSCNHGMFDGCGIV >RHN70301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50407417:50409263:-1 gene:gene18868 transcript:rna18868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MSSSVRLVSECFIKPLHPIEDSKQSCYLTSWDIAMSSMHYIQKGLLFKKPTISPNNQQDFIEKLLEKLKHSFSHALFHFYPLSGRLVTHKTQDPPSYTIFVDCSNDNTRARFIYATLDATIYDIHKAINQDAPPVDDPPIVDSLFDLDRAINHDGHTMPLLSIQVTELGDGVFIGCSMNHCIGDGTSFWNFFNIWSEIYQAQAKDHDVLISHQPFHNRWFLEGYGPLINLPFKHFNEFTSRFEAVPKLKEKFFHFSAESIAKLKAKANKESNTNEISSFQSLSAFVWRSVTCARRLKHDQKTSCKLAINNRSRIKPPLPQEYFGNSVDVVSTETTVGELLENDLGWAAWKVHTLVVNHDDREVREMLKKWLESPVVYQLGRHFDPFSVVMSSSPRFNMYGNEFGMGKAVAVLSGYANKFDGNVTAYEGFEGGGSMDLAVSLLPNAMSALESDEDFMNAVSVVNFSNLL >RHN54928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13638348:13644330:-1 gene:gene30029 transcript:rna30029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthine/uracil/vitamin C permease MENKAEPKVAPFVPKTGYNPRELRSWAKKTGFVSDYSGEAGTSGSEKFEPFHHRGRGSSSSPKIEIDPTRGVEIQPASQGGVLEENVRKENEPVLPLNGDGERKVGLRGNGVNVNGNGGNGHGVSAVAPVTEEKDEENVIHGDGEVKVNVFPEGVDFGDGGWKGPSELKCGLKENPGFVALIYYGLQHYLSLAGSLVLIPLVMVPIMGGTDKDTATVISTMLFLSGITTILHCYFGTRLPLVQGSSFVYLAPALVIINAQEYRNLTEHKFRHIMRELQGAIIVASIFQCILGFSGLMSILLRLINPVVVAPTVAAVGLAFFSYGFPQAGICLEITVPQIALVLLFTLHLRGISISGRHLFRIYAVPLSATITWIFASLLTAGGVYNYKECNPNVPSSNILTDACRKHADTMRHCRADVSDALSTAAWVRIPYPLQWGIPIFHFRTSIIMVIVSLVASVDSVGTYRATSLQVNSRPPTPGVVSRGIALEGFCSILAGLWGSGTGSTTLTENMHTINTTKVASRRVVELGAVFLILFSFVGKVGALLASIPQALAAAILCFMWALTVALGLSTLQYGQSPSFRNMTIVGVALFLGMSIPSYFQQYQPESSLILPSYLVPYAAASSGPFHSGLKQLDFAINALMSMNMVVTLLVAFLLDNTVPGSKQERGVYTWSRAEDIAADASLQSEYSLPKKLAWCCCWLKCLGV >RHN72117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5123481:5124383:1 gene:gene7790 transcript:rna7790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MAKLYSFHSLLFILSASLYYYLFTCLAMISKTITTDEFALLAFKSSITLDPYDILSNWSISSSTSSFSSCNWVGVTCDEHHGRVNALDLNNMDLEGTISPQLGNLSFLVVLDLQGNSFYGELPHELLQLKRLKWLDLSDNDFVGEIPSRIGDLAKLHHLDLYFNNFVGAIPQSISDLSMLRYLDLSTNFIKGTIPHAIGQLGMLRILDIRNNKLCGILPTTISNMSSLEEIHLANNSLSGKIRYIHFIYYTCVDTLHCAANHKVVDQNRYSLYSFRSNGCVSACSVRCHREIKFNFKHHI >RHN72180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5543499:5545877:1 gene:gene7864 transcript:rna7864 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MDFINITNSSRELFRAVNKMSSLLELHLSFCSLASLPPASPFLNITSLSRLYLTGNLFNSTIPSWLFNMSGLTEINLYSSSLIGQVPSMSGRWNLCKLRSLVLSSNYLTGDITEMIEAMSCSNLSLGLLDLSQNQLSGKLPRSLGMFNKLFSVDLSRNSMNSHSGISGPIPASIGNLSKLGALNLEGNMMNGTIPESIGQLTNLYSLHLLGNYWEGIMTNIHFNLTKLVSFTVSSKNNKLSLKVTNDWVPPFKYLSRIEIHSCNVGPAFPNWLRFQIQLDEIVLENAGISGDIPYWLYNKSSQIEHLNLSHNKISGYLPREMNFTSSNFPTVDLSHNLLKGSIQIWSNVSSLYLRNNSLSEILPTNIGKDMSHLLDLDLSNNCLNGSIPLSLNKIKKLTYLDLSNNYLTGEIPEFWMGIQSLSIIDLSNNMLEGGIPTSICSLPLLFILELSNNNLTADLSSTFQNCTQLKTLSLKYNRFFGSMPNEIANNIPMLSELLLQGNSFTGSIPEELCHLPFLHLLDLAENSISGSIPTCLGDVKGFKLPQTYFIYLMYSLTLQGRVRYTRHIELIPEKIIQLIHLGALNLSWNHLTGELPNNIGLLTNLESLDLSHNHLIGPIPQSMASMTFLSHLNLSYNNLLGQIPMANQFATFNEPSIYEGNPGLCGHPLPTDCSSLSPGNGEKDRKHEDGVDSDDDNERLGLYTSIVVGYITGFWIVCGSLVLKRSWRHAYFNFVYDLRDKLLILMAVNLARLKRVFGLERN >RHN46466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31950353:31953830:1 gene:gene40954 transcript:rna40954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MEMASSPRTVEEIFKDYSARRIAVVRALTQDVDEFYGLCDPDKDNLCLYGHNNESWEVTLPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHSDSWLLSVAFYLGARLNRNERKRLFSLINELPTVFEIVTDRKPIKDNKPAADSGSKSRGSTKRSSDGQVKSNPKFPVDDGYEEEEEDEHSETLCGSCGGNYNADEFWIGCDICERWYHGKCVKITPAKAESIKQYKCPQCSIRRNRP >RHN59974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15521787:15522456:-1 gene:gene22149 transcript:rna22149 gene_biotype:protein_coding transcript_biotype:protein_coding MCDASYGMDFGAFSSPYPRKPNKSQKPERPCAGRWLTSRGHSIVHHYRRLLDRLEVDDAKWSAYDDKRAVRPFQIICTYSRWLMCRKEMVYHHLPERVKRPFGYILDIPRHLSDVPEIPAEMVATVFKDPRLWCYTDWGERCERVWHHEPGLVCQSLSPSDYPNG >RHN76977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2879383:2880454:-1 gene:gene378 transcript:rna378 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSWINVGEKDVKETMVLIVLPNGEKFALDVNPNATTLHQLKVAIQQFNGMPVSDQRLFLSLSLGQNDSDLISNLGIQPFSTLTLLTTTPSSVKESELDKEAKEETIGATSSTVKDELVKEVDSDSDSDSDSESESDAKAREDSEYLIYPESDSDVDDKIEKRRQSDRFEILRKRRAAEIDEQRMSIIFKDYEEKFCAEMERWWEEEDKKFFEEEKKYKEELLKEAEEDRKQAMKEARKKVKRAKKAEEAKAKAKE >RHN52744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38352234:38353034:1 gene:gene37447 transcript:rna37447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MIFLQVSFHYGKPQLNIITIILFLITTAQSGNPKFEACTPQTCGKGPSIKYPFWIPYQQDSFCGYPQFEITCKNNNPILKTSNYDLIVKTISYSNSSFIASNLAVYEDKCPAPIYNYSLALDQTPFTYSSENSNLSFFYNCTTEPIHYLTYEVECAENATHYSFAVFNTEALEHNNYSLNECQFMVNAPLVMNTSVNFTSLLRMNYIDVLKMGSVLNWTAPDCQHCEKSGGRCGFDNYKFICFCKDKSYLKVCGDDGNITLLLEIF >RHN67069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23433593:23434777:-1 gene:gene15208 transcript:rna15208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative B domain of TMEM189, localization domain-containing protein MSSLVQHKCLPSFHHQVCTKNTIIHRIRVNCSATTTTDTPTTATKSKPNANQLIIEPKLARPPIKVVTDANRPMDNDPSLESTWSHRAWVTVGCTTLLISLGESIKGSVDMNMWVEPIVAGWIGYILADLGSGVYHWAIDNYGDESTPLVGGQIEAFQGHHKWPWTITKRQFANNLHSLARMVTFVVLPINLVFHDPIVQSFVGVCAGCIMFSQQFHAWAHGTKSRLPPLVVALQDGGVLVSRSQHGAHHRPPYNNNYCIVSGVWNEFLDKTKAFEVMEMILYFKLGVRPRSWSEPSSEWIEEIEIASQSQG >RHN82334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52900246:52900740:1 gene:gene6494 transcript:rna6494 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYEFILLLFSVSHFMLLLVLAGGVESKYQGECPGSFSCGYLGNISFPFTTTERQDCGLLPIPDCDGDPMKHKTIKYQNKGKWFEFVVASVYPPGLHSGSNTSTCVFRDINLYKMLQNKSCEAFRYSYTLPPTSHFVSFQMETHITLFVQPYSPCQPSNIYA >RHN52789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38875468:38876205:-1 gene:gene37501 transcript:rna37501 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSQFHPKKEIISTTTKSNTNVNGLLPPHLKINKDSHFIKKSSPSPPSSSSSSSSSTSSAMMNTMVASNKPPQQHRSPVIIYTHSPRVIHTQPKDFMALVQKLTGLSRSEEDDGSKNGKNASSSQYQTPKKEPVSCNYGMLIGDKENDRKNVVLLRNEDNDTSSSVITDENNYGNNVGENQVNSCFIPSDTLMLEPPLNPYMTNFLASSSAEFMCSSQPLLNYSDSFFSHNMRTLGGMKEFGDY >RHN49137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52720696:52723654:1 gene:gene43934 transcript:rna43934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteolipid membrane potential modulator MGTATFVDIILSILLPPLGVFLKFGLEVEFWICLVLTLFGYLPGIIYAIYIITK >RHN58342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:983134:985939:1 gene:gene20247 transcript:rna20247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine N(alpha)-acetyltransferase NatB transcription regulator GNAT family MSLKIAAENWQKPSSKKVEEAVIVIRSYDEEKHKVGVEKLERLCEVGQRGKPSLVTDLLGDPICRIRHFQLHVMLVAEYEEEGEVAGVIRGCVKTVTRGNSAYVKLAYVLGLRVSPKHRRFGIGTKLVEHLEEWCKQKGAKYAYMATDCTNEPSINLFTKKCEYSKFRTLTMLVQPVHAHYKPINTNIAVLRLPPRLAGTTYNHMFANAEFFPRDIDLILSNKLNLGTFMAIPKKDLTKCDPKNGIFPPSYAVLSVWNTKEVFKLQVKGASTFVHACCVGTRLLDECMPWLRLPSFPNVFRPFGIYVMYGLHMEGKYGKQLMKSLCGFVHNMARDDGGCGAIVTEVSQRDPVREVIPHWRKLSWAEDMWCIKSLEHMKKDDSINEKCGPSDWFNYRSSSSVIFVDPRDF >RHN81998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50485978:50486300:-1 gene:gene6112 transcript:rna6112 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGCRSCKFIRLGAFRMWLGVGVEVWEFYFEGCYLEVYLQGLCLVVMVYRLYAAPFVSCFVHTLRLARMAFNNIY >RHN73693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18943911:18944564:1 gene:gene9540 transcript:rna9540 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVVVAAVVVVAAVATSVTTATVAVGLRCFAAQFETFVVVVVPFATCFVAITVTKIAATDSVAAAAVRDQLVVGLFVAGIASLVAAADSVELVEAVVAVAAADFVIQPAAAASAAAAAAGLTALATMTASSAAVAVAETTRPGAVAID >RHN74313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31771098:31771931:-1 gene:gene10352 transcript:rna10352 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQHTSSSTSTTSSKLGVDKDSQVISKVKPKIRIIHIYAPEIIKTDAANFRELVQRLTGKPEEHERGGARSKSKTAPPPSKGSMDLNLEKAMIMQKDEKDFLSLQNGISVKKNENEEEEIWRRSKSNEKFNGFLDGFSELDGFMDELSTMPLLNQN >RHN74884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37493100:37496009:-1 gene:gene11016 transcript:rna11016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysosomal Pro-Xaa carboxypeptidase MNKFISFYLLFIFFLCFSVTTTNSLTLPRLSPFSESKTTEYQNTKTFNLNEDMQPYFYEQTLDHFNYLSDSYKTFKQRYIINFNYWGGANSSAPIFAYLGGEDDIVNTLGFMTDNATSFKALLVYIEHRYYGKSVPSFNASYGYLNSAQALADYAEVLLYLKDSLHAQKSPVIVVGGSYAGMLAAWFRLKYPHIAIGALASSAPLLYFDNITPASGYNDVITRDFQETSKTCYETIRNSWFEIDEVASKPNGLNFLSKKFNTCYPLEQPGELKDYLGNMYQKAAQYNDPPTTTICEAIDRASYGDDILSRIYGGMVASYGNKKCNVNPDKYTGAKPFDRWRWQTCTEIVMPIGIGDSSLFQPKPFNFTSFAENCKKDFGVQPRPHWITSYYGGQDIQLVLKRFGSNIIFSNGLRDPYSSGGVLNNISDSLVALPTVNGYHCQDIVPAIESDPAWLVHQRNTEVEIIQSWIKKYYDEVNI >RHN69098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41023227:41023436:1 gene:gene17527 transcript:rna17527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thymidine kinase MSITFFRCCPSNCVFWFFRRSFGSVLHITPIAHIVTKLAARCELCGKHAFFTLRKMEGKQTELIGGADL >RHN40443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16347587:16356405:1 gene:gene46592 transcript:rna46592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MKSLLLLLASLLICNTTIADQITKPYVVYMGNNINGEDDQIPESVHIELLSSIIPSEESERIKLIHHYNHAFSGFSAMLTQSEASALSGHDGVVSVFPDPILELHTTRSWDFLDSDLGMKPSTNVLTHQHSSNDIIIALIDTGIWPESPSFTDEGIGKIPSRWKGICMEGHDFKKSNCNRKLIGARYYNTQDTFGSNKTHIGGAKGSPRDTVGHGTHTASTAAGVNVNNANYYGLAKGTARGGSPSTRIAAYKTCSEEGCSGSTILKAMDDAIKDGVDIISISIGLSSLMQSDYLNDPIAIGAFHAEQRGVTVVCSAGNDGPDPNTVVNTAPWIFTVAASNIDRNFQSTIVLGNGKSFQGAGINFSNLTRSTMHSLVFGEEVAAEFAPTSEARNCYPGSLDYNKIAGRIVVCVDDDPNISRKIKKLVVQDARAMGMIFVSENNKDVSFDAGAFPFTEIGNLEGHQIFQYINSTKKPTATILPTIEIPRYRPAPIVASFSSRGPSSLTENILKPDVMAPGVAILAAMIPNSDEPGSVPIGKKASLFGIKSGTSMACPHVTGAAAFIKSIHGRWTPSMIKSALMTTATTYNNMRKPVTNSSNYFANPHEMGVGEINPLKALNPGLVFETNLEDYVRFLCYYGYSNKIIRSVCKSNVTCPRTSPDLISNINYPSISIGTLKRNQNTKVITRTVTNVGTFNATYVAKVHAPEGLVVKVIPNKLVFSESVQRITYKVSFSGNKARGGYNFGSLTWLDGRHYVRTLFAVKVE >RHN61158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33537662:33543530:-1 gene:gene23593 transcript:rna23593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MKLEFEIDDSVNGDTVRDGAMVEAGSIPDEYIEEESSAEPTYKQDQDDNVAQDSSGGGLIIPAAVPTLPGASAEEPYVGQEFESEAAAHAFYNAYAAEVGFIIRVSKLSRSRKDGTAIGRALVCNKEGYRMPDKREKIVRQRAETRVGCRAMIMMRKINSGKWVITKFVKEHTHPLNPGSSRRDMFEIYPSQNEHDKIRELSQQLAIEKKRSVTYKRQLEVIFDYIEEHNVGLSRKMQRIVDSVKEMEPKEEEEHNH >RHN43307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44817370:44818413:1 gene:gene49857 transcript:rna49857 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGDFLLLFPDSLCNGAWSVSLVTGPPSLCCNSAMTSLDLVLLHLEAISQDMEFISLCSDPASRFEARQGMLGSFFLLDLFELV >RHN55712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21485184:21490089:-1 gene:gene30938 transcript:rna30938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MGHTSILAPSVQELAKQGITKVPKQYLQPNQDPIVVSNTTSLPQIPIINFDKLLCEDATELEKLDHACKEWGFFQLINHGVNPSLVENVKIRVEQFFNLPVEEKKKFWQTPKELQGFGQAYIRSEEEKLIWGDMFYIKTLPMYSRNPHLIPSIPQPFRDNLENYSLELNKLCVTIIEFLSKALKIKPNELLDLFEEGSQSMRMNYYPPCPQPEQVIGLDPHSDATVLTILLEVNDIQGLQIKKDGMWIPINPISDAFVVNVGDTLEILTNGIYRSIEHRATVNSVKERISMATFQNPYMGGYIGPTPSLVTPESPALFKTIAAADYLKAHLSSKIQGKSFLDNFRIHKEIHD >RHN45439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20866222:20869456:-1 gene:gene39780 transcript:rna39780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MALTLEHAFSHILILLGLLSIFLVTPSICFNPRKLVNVSSYSSSGSDWSPSVATWYGSPDGDGSEGGACGYRNAVGEPPFSSMISAGSPLIYNKGKGCGSCYEVRCTGNSVCSGNPVKVVITDECAGCGSDAEYHFDLSGSAFGSMAVSGEDEELRNAGKIVIEHRRVQCNYGGSTIAFHVDSGSNQEYFAALIEYEEGDGDLNKVELKEALDSSSWDTMQQSWGAVWKFDKGAPLKAPISIRLTTLKSGKTIVAHNVIPAGWKPGQTYRSIVNF >RHN67861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30985307:30985792:-1 gene:gene16105 transcript:rna16105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MRTKVKLAFMVNDSARKITYNKRKKSLKKMVDELITLCGIDVCAILYSGYHSEPEVWPSPLEVQRIITKFKSYSEVGQGRKKLNQESYLMERIVKSKEKLVKVEKTNWEMEKSLILFECLRQENFINTLNTNVLNDLACEINEKLKEITLKMNQLDTNEST >RHN47854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43055647:43060795:-1 gene:gene42501 transcript:rna42501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain, eukaryotic translation initiation factor 3 subunit M MTTVVPTSEEDAALSVVRFASELAWADAGPEVAEPQVSRLCLEGEEFIAMGKWLELASLMITSAELIFSKVSEKDVESIFTIICNLVTKTENPDEVMEIVKVITAKLVQQPNEKPAVRLKILINFYNLLETPYCQFYVYMKALNLAVDGKVTQYIIPSFKKIDSFLKEWKIGTPEQRELFLTISNVLKENKSMSKDSFKFLTNYLATFSGEEAHVLSEAKEEAVRAIVDFVKAPDVFQCDLLDMPAVGQLENDAKYALLYQLLKIFLTQRLDVYLEYHTANSTLLNDYGLVHEECIAKMRLMSLVDLSSDGSGQIPYELIRDTLQINDDEVELLVFKAITAKLIDCKMDQMNQVVLVSHHTDRVFGQHQWQTLRTKLVTWRENISNVISTIQANKVSEDGSQAAQGLVVH >RHN72098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4955977:4956323:1 gene:gene7771 transcript:rna7771 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVRRGMDKGEWIPALLREKLEQNWEDSKWKDKAAVNKRNRRSSNGPLHTCGSIPTIEHSKRLVKN >RHN45007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10682284:10687639:1 gene:gene39200 transcript:rna39200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloride channel, voltage gated MGESSLNLLESATKNIKMEEEEEVEREEEQEIDPESNNPLNQRLIKRSRTLSSSPLALVGEKVSYIESLDYETNENDLFKDGWRSRSRIEVLQYIFMKWVLAFLVGLLTGIIATLINLAVENIAGYKFLAVLKFINRERYLEGFLYFMGINFVLTFVAAILCVCFAPTAAGPGIPEIKAYLNGVDTPNMFGATTMIVKIIGSIGAVSAGLDLGKEGPLVHIGSCIASLLGQGGPDNYKVKWRWLRYFNNDRDRRDLITCGASSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFCTAVVVVILRAFIEICNSGKCGLFGAGGLIMFDVSNVTVRYHVMDILPVAVIGIIGGLLGSLYNHLLHKVLRLYNLINQKGKMHKLLLSLAVVLFTSACQYGLPFLAKCTPCNPSLPESELCPTNGRSGNFKQFNCPPGHYNDLATLLLTTNDDAVRNIFSSNTPHEYQTSSLLIFFALYCILGLITFGIAVPTGLFLPIILMGSAYGRLVGMLMGPHTKIDQGLFAVLGAASLMAGSMRMTVSLCVIFLELTSNLLLLPITMIVLLIAKTVGDCFNPSIYEIILHLKGLPFMDANPESWMRNLTVGELVDVKPAVISLQGVEKVSKIVDVLKNTTHNGFPVMDDGVVPPVGQVNGATELHGLILRAHLIQALKKRFFLKERRRTEEWEVREKFTWVELAEREGKIEEVAITREEMEMFVDLHPLTNTTPFTVLESISVAKAMILFRQVGLRHLLVVPKYQASGVCPVIGILTRQDLLAYNILTVFPHLAKSKNRQKRN >RHN58433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1691128:1696760:1 gene:gene20345 transcript:rna20345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adaptor protein complex AP-4, epsilon subunit MEKSCSLIVHFDKGTPALATEIKESLEGNDVAAKIEALKKAIMLLLNGETIPQLFITVIRYVLTCDDHTVQKLLLLYLEITDKTDSRGKVLPEMILICQNLRNNLQSPNEFIRGVTLRFLCRINESEIVEPLIPSILSNLEHRHPFVRRNAVLAVMSVYKLPQGEQLLDSAPEIVEKFLVSEQDASSKRNAFLMLFSCAQDRAVNYLFTNIDRIIDWGEQLQMVVLELIKKVCRNNKGEKGKYIKIIISLLSANSTAVVYECAGTLVSLSSAPTAIKAAANTYCQLLLSQSDNNVKLIVLDRLNELKRDNREIMVDMVMDVLRALSTPNHDIRRKTIDIALELITPKNIDQVVMMLKKEVVKTQSGEHEKNGEYRQMLVQAIHTCAIKFPEVASTVVHLLMDFLGDTNVASAMDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGIATIKQCLGDLPFYTISEDGEGQETSKAVQQVNSTTVSSRRPAILADGTYATQSAALETAMSPPTLVQGSLSSIGNLRSLILSGDFFLGAVVACTLTKLILRLEEVQTSKVEVNKATSQSLLIMVSMLQLGQSSVLPHPIDNDSHDRVVLCIRLLCNTGDEIRKIWLESCRQSFVKMLADKQRRETEEIKAKAQISNAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFTKDADDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSSNVLERTVIVLNDIHIDIMDYIAPASCADVAFRTMWAEFEWENKVAVNTVLQDEREFLGHIIKSTNMKCLTPPSALEGECGFLAANLYAKSVFGEDALVNVSIEKQSDGKLSGYIRIRSKTQGIALSLGDKITLKQKGTAQ >RHN43585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47035166:47037580:1 gene:gene50190 transcript:rna50190 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFSRWLRFAFGVLLIFLLTHLFSVREFNSSKMVEPHKQLNKKFDHPLLGPAAGQGLSNRLQCQGSKALNRTHFSNGGLGVDGSITFVTVFTIYNSSLDKSR >RHN74926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37871542:37874587:1 gene:gene11061 transcript:rna11061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NTF2-like domain-containing protein MANIVAKDKYRSILHDEAENIQWRHGGPPTYGLVNQLFEEGRTKEWPEGSLEETVQNAIKSWEMELTHKIRLQDFKTIVPEKFKFFVNGREGLTAEETLSIGSYNALLKSSLPEDFKPYKSNEETFESSHEVFKSAFPRGFAWEIIKVYTGPPEIAFKFRHWGFFEGPFKGHAPTGKMVQFSGLGTLKVDDTLKVEEVEIYYDPGELFGGLISSGESTKTSACPFSN >RHN64188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57153037:57157887:1 gene:gene26975 transcript:rna26975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGCVHGKCCCLKSSGGVSRKLGYHHSHRKNIQAQRVLKNVSVPSHNFVLEYTFLTLRGYYPDSLDKQNQDSFCIRTEIQGNPNIHFFGVFDGHGQFGSQCSNFVRDRLVEKLSNDPGLVEDPVRAYNSAFSATNHELHTSDIDDTMSGTTAITVLVIGDTLYVANVGDSRAVLAAKGEDRIIAEDLSSDQTPFRRDEYERVKLCGARVLSVDQVEGIKDPNIQHWGNEESWDGDPPRLWLPNGMFPGTAFTRSLGDRLAETIGVVATPEVSIVRLSHNHLFFIVASDGIFEFLSSQTVVDMAARYTDPRDACAAIAEESYKLWSELVNRTDDITIIIVQIKGLSNNSSTSGVQLSEVNVGTAMRTRTGTSEISTTTEFDVYHSVTNSFSDSQSCHSKIS >RHN49707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:437820:441814:1 gene:gene33912 transcript:rna33912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S11 MFRKLCSSFIHSRQSLLSASKTTLQSHTTFFQGYVRGFSSASWGLSGRNQSENVNANASARPDFLRNRENINSKSENNAEIGGNSRKMDFVRGAIEDEKSVMGGYLYNQYHFQHDADFVHIKMRRNNTFVTVTDSKGNVKLSGSAGSLKDMKSGQKLSRYAAEATAEVVGRRSRGLGLKSVVMKVNGFTHFRRKRQAILSWREGFTDSRGDKNPIVYIEDTTRRPHNGCRLPKSRRI >RHN61086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32864760:32869821:1 gene:gene23509 transcript:rna23509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-arabinofuranosidase B, arabinose-binding domain, six-hairpin glycosidase MKVFVFMFMAIMLFGCVAGKECMNNLPQSHTFRYELWASKNETWKKEVMSHYHLTPTDESAWADLLPRKLLSEENQRDWAAKYREMKNADLSKPPVGFLKEVPLGDVRLLEGSIHAQAQKTNLEYLLMLDVDSLIWSFRKTAGLPTPGTPYGGWEDPSIELRGHFVGHYLSASALMWASTKNDNLNEKMSALVSGLSACQEKIGTGYLSAFPTELFDRVEALQYAWAPYYTIHKILAGLLDQYTIGGNPQALKMVTWMVDYFYNRVMNVIQKLTVNGHYQSLNEEAGGMNDVLYRLYSITRDSKHLVLAHLFDKPCFLGVLAVQANDIANFHANTHIPIVVGSQLRYEVTGDPLYKDIGAFFMDIVNSSHTYATGGTSVREFWNDPKRIADNLKSTENEESCTTYNMLKVSRHLFRWTKEVSYADYYERALTNGVLSIQRGTDPGVMIYMLPLGLGVSKAKTDKGWGNPFNTFWCCYGTGIESFSKLGDSIYFEEEGHNPSLYIIQYISSSFNWKSGKILLTQTVVPAASSDPYLRVTFTFSPNETTGTSSTLNFRVPSWSHADGAKAILNSETLSLPAPGNFLPITRPWNAGDNLTLQLPLTIRTEAVKDDRPEFASLQAILYGPYLLAGHTTSIWDIKGVTNKAVADWITPIPSNYSSQLVSYSQDINKSTLVITNSKQSLTMEILPGPGTENAPHATFRLIPKDADGKTVMLEPFDLPGMTVSHQGPEKPLIIVDSSHGGPSSVFLVVPGLDGRNQTISLESQSNKDCYVHSDMSAGSGVKLRCKTASEASFNQATSFVSGKGLRQYHPISFVAKGANQNFLLDPLFNFRDEHYTVYFNIQD >RHN48564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48492084:48493744:1 gene:gene43295 transcript:rna43295 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRHECKSKYITKVNEKLTELQRSEIQKTPFKWLLSLPKKLKISENLLEELVERWDDRSGGFAIQGRIIRFTPLDVCFALGLRIIGEKMNFKNDPTSTTKAMFDNEVINVKTIYAKIINMERDEDVEKFCRLYLLLGFAEFYFPNSSVKVGGWCLKMLDDLNFIGRYNWDLNSIRCLKMFADFIYFLSIDFKLCWVT >RHN63133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48747050:48748567:-1 gene:gene25797 transcript:rna25797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLAQWSLEWWGLWAFASCSLLSSPICSCFRGFVPRNIEEWSIHNWTGRCVRRTPLECERISNKTTSTKENGFLKLHAVEVSEFAEGVAVTPDICRSLCLHNCSCVAYSHDSGIGCMSWTGNLLDIHQLQSGGLDLYVRVACTKLDRNFCCSNEA >RHN39165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4621869:4627765:1 gene:gene45166 transcript:rna45166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MGMLQYFLLVLFGVLTTILVLIQAQDQSGFISIDCGLPEHMTYSQTTTGINYISDAKFIDTGVTKRIPPTDIIIKQQLEYVRSFPSGVRNCYKINITSGTKYLIRASFYYGNYDDLNKPPQFDLHFGANVWDTVNFTNLSRITTSEIIYTPSLDYIQPCLVNTDKGTPFISAIELRTLNNKTYVTHSAKSSVLSLSFRFDIGSITNLQYRYKDDVYDRVWFPFQLNEMKRLSTNDDLLIQNNYKLPAVVMNTAVTPINASAPLQFHWNADNVNDQYYAYLHFNEVEKLAANETRVFNITVNDEFWYGPEIPVYQAPDAIFSTKPLTGATRYQVSLFKTEKSTLPPILNAFEVYKLKDFSHSETQQGDVDTMTNIKNAYGVTRNWQGDPCGPVKYMWEGLNCSIDGGNNPKRIIYLNLSSSGLTGEISSAISKLTMLQYLDLSNNSLNGPLPDFLMQLRSLKILNVGKNKLTGLVPSGLLERSKTGSLSLSVEDNPDLCMTESCKKKNIVVPLVASFSALVVILLISLGFWIFRRQKAVAASSYSNERGSMKSKHQKFSYSEILNITDNFKTVIGEGGFGKVYFGILQDQTQVAVKRLSPSSMQGYKEFQSEAQLLMIVHHRNLVPLIGYCDEGQIKALIYEYMANGNLQHFLVENSNILSWNERLNIAVDTAHGLDYLHNGCKPPIMHRDLKPSNILLDENLHAKISDFGLSRAFGNDDDSHISTRLAGTFGYADPIYQRTGNTNKKNDIYSFGIILFELVTGKKAIVRESGENIHILQWVIPIVKGGDIQNVVDSRLQGEFSINSAWKAVEIAMSCTSPNALERPDMSQILVELKECLCLEMVQRNNESTSARDEFVSVATISESTILAR >RHN73062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13149737:13150585:-1 gene:gene8839 transcript:rna8839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nicotianamine synthase MDNRQEVIIEKVCKIYDKLSRLGSLNPPNQVNDLFTQLVTTCTTPCHEFDITQLSQEIKEKIAKLITLCGKAEGLLESHYSTLIGSNENPLNHIKIFPYYKNYLKLTHLEFTMFTKHITQVPSKLAFIGSGPLPLTSIILATYYLTKTCFHNFDIDSLANSKAYDLISKDNDLSKRMLFHTSDIVDVKNELKEFNVVFLAALVGMDKKEKAKVINHLAKYMAPGAILVLRSAHGAKAFLYHVVDPSCDLKGFEVLSIFHPTDEVINSVIVARKGLVNQQGIT >RHN63598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52597822:52598559:1 gene:gene26321 transcript:rna26321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEEGRVQVWEGYVDWRNRPAIKGHHGGMLAASYVLAVEVLENLAYLANASNLVLYLSKFMHFSPSTSANIVTNFMGTAFLLAILGGFLADAFFTTYSIYLISAAIEFMENASRLSNSSEYKIVACISDT >RHN79354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27930334:27934826:1 gene:gene3133 transcript:rna3133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGNNCVGPNLGNNGGFLQSVSAAVWKTRPPEARLPPPNAEDKNKTPTTPENAVTGSSKPESCAGGKVSEHVSVQSTPPEQVKIAAAEIKPLEHEKPVKAAASSAVAGGGGGVAAATAGAGGAGEGDADKPKKPTHVKRLSSIGLQVESVLGRKTENIKDFYSLGRKLGQGQFGTTFLCMAKGTNKEFACKSIAKRKLTTQEDVEDVRREIRIMHHLAGHPNVIQIVGAYEDAVAVHVVMELCAGGELFDRIIQRGHYSERKASELTRLILGVVQACHSLGVMHRDLKPENFLFVSHDEESALKTIDFGLSVFFRPGETFTDVVGSPYYVAPEVLRKNYGQECDVWSAGVIIYILLSGVPPFWDETEQGIFEQVLKGELDFISDPWPSISESAKDLVRRMLIRDPKKRMTAHEVLCHPWVQVGGVAPDKPLDSAVLSRLKQFSAMNKLKKIAIRVIAENLSEEEIAGLKEMFKMIDTDNSGQISLEELKNGLERVGSVLKDSEINWLMQAADVDNSGTIDYGEFIAAMLHLNKVQKEDHLFAAFNYFDKDGSGYITKDELQQACNQFGLQEDHLDDIIREADRDNVMDLNFFTSCFFNITFTHPVLSFLTSFFLSHHDGRIDYSEFVAMMQDTDFGKKGL >RHN40037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12484770:12491875:1 gene:gene46129 transcript:rna46129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UBX domain-containing protein 2/7 MQQHFHIPTRAYLLYTLSNLNLFTFPLTTINILCAMSTLSPESVATFMRITGATEFVAVQKLEEYGGNLNEAVNAHFIGDRHIQEQNLAATATPHYDYSEANNQNNENRAGSRGIMPLFNAARRFRPSLLLDSNYRRELRDLCNGFGATTISNSTPPRGSPQGEAREFPTGINSAHVSPYLYQPGLSYAGADTNGSLSSHGRGYYGSNDYQNDYPLAQSSASRVHDEDAEDAMLLAAIEASKKEIRESSSQSAHNHSYYDVPSQSHFEREDDDLAHVISLSLQTAEKEEAIRELRVKEESEVLGAHALLAEGERTNTNRSRLEWGDISPDELNEALLIETALYGETSNHSSHKFPSLPDLQHHSEKNADPKRQRSSSSASQLLSETQLIRQQQDADYIASLQADKQKELNSLNKSETHSSKQEEKHKNTLERTELEKTGDAKKIMLPNEPPLGDEKAITIVVRMPDGGRCERRFFKTDKLQLLFDFIDIYGAVKPGTYRVVRSYPRRAYSVNDRSATLNEAGLSNKNEALFLELI >RHN40065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12954946:12957923:1 gene:gene46161 transcript:rna46161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MINIFPFLSLTFLFLSLHHPTMADQSLHQTQPLKQIAIDYTPEACNHCPISNTIALTYDHRGGARWRSTTRFLYGTFTAKIQTPKGNTDGLNFNFYLSSLEGDKLQDEIDFEFLGKDSTIIQTNYYSNGNGNNEKIHQLGFDSSDGFHEYGIKWGDGLIEWWIDGKLLRKDERKEGDMFPEKAMYLYASIWDASCIAEGEWTGKYCGADVPYVCHYKDIHVPLKNAVE >RHN50459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7290139:7293494:1 gene:gene34742 transcript:rna34742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neoxanthin synthase MAFSTCFCHSKIDNLGKTGQKYYLPIRSNDAKFELCKKLIVKSRINLIRDWSFIGGSRIVVKQKIMRLVASPKKASPVNASLLSGSQLASSAFTIGTAAVLPFYTLMALAPNSELTKKSMQSNVPYVILGILYAYLLYLSWTPETVELLFASKYLLPELTSIGKMFSSEMTLASAWIHLLVIDLFAARQVFQDGQENQIETRHSVSLCLFFCPIGILSHVVTKAMTKTTKENKHGL >RHN57713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41238269:41240929:1 gene:gene33295 transcript:rna33295 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRSNNASLTMFTMLVALVSQNLVIVPVIANTQAGSSPSDLSTELCSHSPPSHHSGGGCGSSGTPPSSGSSPSHGHGHGHSHHGHGHGHGSSSPPSGSGSYNPTPSPPSGGGSYSPTPSTPTPSPPSDGGGSSDPTPSTPPNCDPTPSPGGDGSYSPTPSTPTPSPPSDGGGSYNPTPSTPTPSPPSGSGSYTPTPSTPTPSPPSDGGGSSDPTPSPGGDGSYNPTPSSPPSGGSYDPTPSPPTDGSYTPTPSTPPASDCPPSDPTSPTTPSAPTPSTPSNPPSGDGGYYNSPPQDPTSPTTPSTPTTPSNPPSGGYDSPPSDPITPTTPSNPPSGGYDSPPSDPITPTTPSNPPSGGYDSPPSDPITPTTPSNPPSGGYYNSPPQDPITPTTPSNPPSGGYDSPPADPLTPTTPSNPPSGGYDSPPSDPITPITPSNPPSGGPPIDPGTPLTPTFPTPPFLPAPSPTGTGTCNYWNTHPQLIWGLLGWWGTLGNVFGVHSLPGLGASLSIPQALSNTRTDALGALYREGAASYLNSLVNNKFPYTTDQVRDRFVASLSSNKAAATQARLFQMANEGKIKPRA >RHN49493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55192735:55196128:1 gene:gene44331 transcript:rna44331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-URK-2 family MPFGECGGAKEDITKWGSGSDGFPTTLCCRNALTILSDAMASQVRNTKGQMFLSQEQWQSCNQSFHPQPGMSLSSCGFDNFYFGSSQCSNLSLLTVQGSQKYSDALNQCSHFDLSFDESCADCTSAILNLRDNLYDTMSNDTERAICGVAALVAVAFEQPNDPFLADKFLRCLPPPAVHNKKSSMKKLVLAMLVIAILALLIIAFLVKCVSKKKPVKKHVQLKQIATWSGLYWFTKTEIENAMNFENEKINLGRGSAGEVFRGVLPSGQVVAIKHLTKSNTSSSDSFTRELAGLSRLRHPNLVCLFGCCMEDGERYLVYEFCANGNLAQHLLRRDSHLTWEARVKILRECSFALKYLHHHIEGCVVHRDIKLTNILLTEKYEAKLSDFGLSRMMGMEESKVFTDVRGTIGYMDPEYMSNAKLTCASDVYSFGIVALQILSGQKVIELDLDARDQLTRKARDVSMGKRPLSDFVDSRLKGQVDKEDFGSILQIAVLCVAKSSTGRPPIEVVFDELDKVYRDLDSRKKATPTPSTTSTSTSTSSSN >RHN53767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3982167:3984391:1 gene:gene28711 transcript:rna28711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S12e MSGEEEVVAVEPVVAAAIPGEPMDIMTALQLVLRKSRAYGGLARGLHEGAKVIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVSLLTVPSAKTLGEWAGLCKIDSEGKARKVTGCSCVVVKDFGEEHEAYNVVLQHVKAN >RHN79618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30631399:30633382:1 gene:gene3435 transcript:rna3435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MSDLIQTCRNRQSGSMKIQTCRVLAGRYGEVGGIIAEEGRFNSVWWNNLINIKNGVGVGGGRWFDDNVGREVGDGAHTLFWWDPWIDGLVLKNSFGRLFDLANNKMATVAEMYSLGWGEEGEVWKWRRRLFAWEEEKVLECCDILTNIVLQPNHYDRWIWHLHASNNYNVTSAYNHLLTLISNNLSATHTSEIWNKEVPLKISLFAWRLLRDRLPTTDNLIKRHILLLNAQLCVGGCGMMGDAKHLFLSCDFFGKLWYGISHWLSCHIVFPEHVPDHLYQFGTLGGFSKNNRSAFHLIWLSCVWVIWLERNARVFHQTEASINQLLDKVKLQSYWWLKANRPSFVFSYHSWWLNPLPCLGIIM >RHN70039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48244642:48247006:-1 gene:gene18579 transcript:rna18579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA hydrolase MEKREGTITDLESVKRYLEKREASTVDDEFPPKFLEPFILRGLRLDLIEPGHVVFSMNIPPRLLNSGKHLHVGAIVTLVDVVGAIAIPAAGFPMDTGVSLEINVSCFDAAYVHEEIEIDNKVLRVGNAIAVVSVEFRKKKTGQIFAQGRHTKYLPFVSKM >RHN61074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32741506:32741902:-1 gene:gene23489 transcript:rna23489 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYVLEKQYGGLGVRWLEKFNLSLFGKWCRRMGRIYVLGIVRRLRMVLIGFMEVACVTIFFKEWVMDSPLCFVGNHGLMDLL >RHN62553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44323925:44324435:1 gene:gene25144 transcript:rna25144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MLFVTSNKVMQQSKEDYSAEENDAQSTTHPNLPFFSLKTIMSATRYCSHQNKLGKGGFGSVYKGCLVNGQEIAVKRLSKESGQGKVEFKNEITLLVKLQHRNLVRLLGCCFEKEERMLVYEYLPNKSLDFFIFGMSL >RHN75525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42986471:42986870:-1 gene:gene11746 transcript:rna11746 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCCCSKLKIRMKEGVWVYSVGCVFLARVTLVVWEPFTAVSVLYLEF >RHN81069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43068840:43073753:-1 gene:gene5064 transcript:rna5064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heparan-alpha-glucosaminide N-acetyltransferase MSSENQIHDAEEEEEERRPLIDSSILTLTVHENELPPVSVPNQRLVSLDVFRGLTVALMILVDDVGRAFPSLNHSPWFGVTLADFVMPFFLFGVGVSIALVFKKVSSKQNATKKIISRTIKLFLLGLLLQGGYFHGRGNLTYGLDLTKLRWFGVLQRISIGYFLASMSEIWLVNGNILVDSPAAFVRKYSIQWIFSILLCSVYLCLLYGLYVPNWEFEHSNLLWPGRVSTIQNVHCDMRGSLDPPCNAVGFIDRLILGEDHMYQRPVYRRTKECSVNSPDYGPLPPDSPGWCLAPFDPEGILSSLMAAITCFVGLQFGHILVIFQAHKQRVLLWSVFSFSLLVVGYVLEILGIPLSKALYTLSFMFITAGASGLVLTAIYYIVDIKQLRKPTVLLQWMGMNALIVYALAACDIFPAVIQGFYWRSPENNLVDASEALIQNILHSEKWGTLAFVIIEILFWGLLAGFLHKKGIYIKL >RHN38526.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:822017:824035:-1 gene:gene50643 transcript:rna50643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEKDNDYSAPPMPSSFNTLDYSLDHHHHQQQQQYEQLLKYRVGETSGENNNGMVDNYMPQTQTSGGFYGANSFDKMSFADVMQFADFGPKLALNREESGIEDPVYFLKFPVLNNKIEDQNLMLSGDDGLGENDERFKLTSVEDKSRDQQDHEEARVSDENNSVQEKNCAVVQENNKKRKRPRTVKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRLLGEAQSKQVGDSSLASTQQQPPFFPATLPNDQMKLVEMETGLQEETAESKSCLADVEVKLLGFDAMIKILSRRRPGQLIKTIAALEDMQLIILHTNITTIEQTVLYSFNVKVASDTRFTAEDIASSVQQILSFIHANTSM >RHN41318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29079122:29079403:-1 gene:gene47626 transcript:rna47626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MWHDLGPVMKSIKRALKSQNIRGIKSDTPLAMDVLQLTLPTSSGTFQSNKRDGVIAPMLKFFDMTNSFFSIDAYPYFPWSQDPQQYSPRFYSI >RHN59921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14799456:14803808:-1 gene:gene22091 transcript:rna22091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MARRNDAALAAALQAVAQAVGQQPNLNAETRMLETFMKKNPPTFKGRYDPDGAQTWLKEIERIFRVMQCTEDQKVQFGTHQLAEEADDWREFLRRYFPEDVRGKKEIEFLELKQGNMFVTEYAAKFVELAKFYPHYTAENAEFSKCIKFENGLRPDIKRAIGYQQLRVFPDLVNSCRIYEEDTRAHYKVVNERKTKGQQSRPKPYSAPADKGKQRMVDNRRPKKKDGPSEIVCFNCGRKDHKSNVCPEEIKKCVRCGKRGHIVADCKRKDIVCFNCNEEGHISSQCTQPKRAPTTGRVFALTGTQTEDEDRLIRGTCYINNTPLVAIIDTGATHCFIDFDCVSALGLDLSDMNGEMVVETPAKGSVTTSLVCLRCPLSMFGREFEMDLVCLPLSGMDVILGMNWLEYNHVHINCFSKSVYFSSAEEESGAEFLSTKQLKQMERDGILMFSLMASLSIENQAVIDKLRVVCDFPEVFPDEIPDVPPKREVEFSIDLVPGTKPVSMAPYRMSASELSKLKKQLEDLLEKKFVRPNVSPWGAPVLLVKKKDGSMRLCIDYRQLNKVTIKNRYPLPRIDDLMDQLVGARVFSKIDLRSGYHQIKVKDEDMQKTAFRTRYGHYEYKVMPFGVTNAPGVFMEYMNRIFHAFLDRFVVVFIDDILIYSKTEEEHDEHLKIILQVLKEKKLYAKLSKCEFWLKEVSFLGHVISGDGIAVDPSKVEAVSQWETPKSVTEIRSFLGLAGYYRRFIEGFSKLALPLTQLTCKGKAFVWDVHCENSFSELKKRLTTAPVLILPKSDEPFVVYCDASKLGLGGVLMQEGKVVAYASRQLRIHEKNYPTHDLELAAAVFVLKIWRHYLYGSRFEVFSDHKSLKYLFDQKELNMRQRRWLELLKDYDFGLNYHPGKANVVADALSRKTLHMSAMMVREFELLEQFRDMSLVCEWLPQSVKLGMLKIDSEFLKSIKEAQRVDVKFVDLLVARDQTEDSDFKIDDQGVLRFRGRICIPDNEEIKKMILEESHRSSLSIHPGATKMYHDLKKIFWWSGLKRDVAKFVYSCLVCQKSKIEHQKPAGMMVSLDVPEWKWDSISMDFVMSLPNTPRGNDAIWVIVDRLTKSAHFLPINISFPVAQLAEIYIKEIVRLHGVPSSIVSDRDPRFTSRFWKSLQEALGSKLRLSSAYHPQTDGQSERTIQSLEDLLRVCVLEQGGTWDSHLPLIEFTYNNSYHSSIGMTPFEALYGRRCRTPLCWFESGERVVLGPEIVQQTTEKVKMIQEKMKASQSRQKSYHDKRRKDLEFQEGDHVFLRVTPMTGVERALKSKKLTPKFIDPYQISERVGTVAYRVGLPPHLSNLHDIFHVSQLRKYVPDPSHVIQSDDVQVRDNLTVETLPVRIDDRKVKTLRGKEIPLVRVVWSGATGESLMWELESKMLESYPELFA >RHN39727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10025357:10027301:-1 gene:gene45787 transcript:rna45787 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKGVMGSSGRRWAVDFSDNSTSRDFIDPPGFSRASLDQDDSTLSRQKKDAESNWKSQACFPSLLQFNLV >RHN67445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27309134:27313172:1 gene:gene15634 transcript:rna15634 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSAVVSLPKLPPVRRGGHCVEENGVSTVKFPRRLQTVNNSRSLSCTQFPLGRGTRRSTQAATIICAAALNARCSAEQTQTVLREAPTITHLPGKEKSPQIDDGGSGFPPRDDDDGGGGGGGGGNWSGGFFFFGFLAFLGFLKDKENEDEGYGYRDNRRRR >RHN41219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28345728:28349523:-1 gene:gene47517 transcript:rna47517 gene_biotype:protein_coding transcript_biotype:protein_coding MAFELPIDQIKQLQILLRKDANLSWYNPENDENLPLPNLHSVAETVAKLDPSPPYLRCKNCNGRLLRGVQSFICVFCGANPHKDLPPEAIKFKNTLGYRWLLESLQLDGSEMVAPTVEEHASSRTRSELNDEIPLSELLDLEIRWPSEAERALSSNSDSEAFPGESSLSLSGVDLDSFFDRRESDFNVSEQNSAFERNVGGASDNTFQANENLSLFQNFQASEASGGSVEDQSGGSFSGWEANFKSASSAPVHKESNSVDHSKVELDTVSGYGKDSDGVKENDDFNPSASGEDDWFQGDEFQTSNSKIDGQPGKSETTTDLYHMEKEEIATGSSTRNLDWMQDDQWQESETKIPNIGATDEEDDSFDAWNDFTGSAGTQDPSGIISSQNMTAQTGNFEFSADLNDAKTAEDANSSSNRDFDWMENDQRQDNDNRTIDNVGTNEGSYSFDSWNDFTGSATTQYPSHSVSNSEITGQTGKFEMTEDPNDTKIAESADGSSSNFDWMQNDPWQGSNNKETGITATNEVTDSFDAWNDFTGSAFSQNPSSSVSHSEIKDQTGISEITADLHDTKTAEGANASSVKSFDWMQGDQWQVSNNKTTDSVTTNDNADPFDVWSGFTSLTTKQEDPFSNIPVQIVNQTPSEKTFEVDLFGSSNNSHDMDFSGFSQNDFLEQFDNALSTPAATNGQPAAAILNRVADDDTMRQNTRDVSTAELGSKDDVEMLMSQMHDLSFMLESNLSFPPK >RHN79407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28544102:28544752:-1 gene:gene3195 transcript:rna3195 gene_biotype:protein_coding transcript_biotype:protein_coding MMSYPLNSLQLHSIFATKPILLQYYSPIPLDSYDTFVASCDGLLSIAINNHLAVLYNPSIRKLKKLPSLDIPPHKYGYTTYAFGYNTFIDNYKVDPGFPSMIPNGESGITVSCTVNWFASSNASGSLSCAIVSLDLGKECYQEILEPNYDGMPVSFTLGIRN >RHN79791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32237508:32238546:-1 gene:gene3628 transcript:rna3628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MKGVVRFETSPLLSKREASWNGSVSNVDTYESAAPTCKCGKKCILYISKTSKNPNTHFYRCPYFKQQRPHCNYFVQKDKFIESQTTMVDLKSKTTMVELLEAKINQLEKDVKIMKSKIEDDIEVKINQLEKDMEVKINQFERDIEVIKTQIIEMQVKMEQDKNWKRCVRAVGVVIVVWLYPFVFGSRKRLSK >RHN63291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50119374:50123700:1 gene:gene25975 transcript:rna25975 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTEAPFRPREKLIEKQKYFQNLRKHTHLKGPYDKITSVAIPLALTAASLFMIGRGIYNMSHGIGKKE >RHN41814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33237937:33238187:-1 gene:gene48176 transcript:rna48176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar sorting protein 39/Transforming growth factor beta receptor-associated domain 1 MKICEEILRKGNLNAALLELYKCKSLHRQALELLHKLVDESRSSQSEITQRFKPEDIVEYLKVTFFLKTLCSFS >RHN46906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35695608:35696260:-1 gene:gene41441 transcript:rna41441 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSCSVLFLFPWIAFAYVVVSDCYYSRFFPFSSVSCLGICLTMMLPFLIGYSIMSFESFVVIVVHTMIFLFPWEFASHIMFAC >RHN78014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11248568:11250434:-1 gene:gene1528 transcript:rna1528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-keto-3-deoxy-L-rhamnonate aldolase MANTTFTLTPSKPTLSPLTKSNSLAPSNLNFKLISFSSSTHMAMSSRKDPTLLKSFPDDTAITITTTTPTPSYRNLKSRLRSNETLYGLFLLTFSPTLAEIAALSGYDFVVIDMEHGHGSISDALPCIHALAAANTAAILRVPETTAAWAKKALDLGPQGIMFPMIESGKSASKAVSYCRFPPSGVRGSAHPIVRASSYGIDEGYLNNYLDELLVMVQVESEEGVKNVEKIAAVDGVDCVQMGPLDLSASMGYLWDPGNKKVRETLMEAERKVLKRKSEKDDVFLSGFALPFDGPKDLRSRGYHMVSGATDVGLFRSAAVEDVRRFKESLVEDGEDSDREELGKDDEKYWSE >RHN40604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18070239:18081314:1 gene:gene46771 transcript:rna46771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 SUMO protein ligase MSTNTPSQLPDSGAQTNPNPVVSPSLVNFYRITKVLDRLANHFLPGNPTDSFDFFNLCLSLSRGIDYALANGEIPQKANELPILMKQMYQRKTDELSLAAVMVLMISVKNACTNGWFQKEDAEELLTIAEEIGKIYCTLGNAIAEPNSCHPAVLTIMERFYPYMKLGRVIVSIEAKPGYGASAVDFHITKNNVQPDKKIYLLVAQIDNIETSACLISPQHVNFLLNGKGIDTRTNFRMDPGPQMPTSVTSILKFGTNLLQAVGQFNGHYIILVAYMSVASLPAHPVLPPDYVQPAVSVDSDLDIIEGASRISLKCPISRTRIKTPVKGSSCKHFQCFDFDNFIKINSKRPSWRCPHCNHHVCYTDIRLDRNMIEILEKVGENIVEVIFEADRSWKAGSENDDMDKIQNMAHDCEMEQTEQQESTCSPDAVSNIVDLTNNDNDLDIMGTCETADRKPFQASVPTGDQIEDNFWDGLYIANNGSSPTAGVDLPVLAEAVSPVFNQEAEGHHNILSMNSVMHNQLSAQSNLSLMNYTNSLVNEYGRSSSTSRHIHRTPSAIQALPVQSQTLGAQQNSVTNLDSLITSSPSATPHVSLPNPASADPYNAILSDAERQQLFSQPSLNMPPVSTATQNRVPTVNMSAPTNRVPPVNTSAATRNREPSQPQNRSYRTSILNQYTNSHLQRTLNPQARQPMQPSNAQRSHIQQGGSQAHAAAANSQQARVMASSHVARQGEQRGPPLQSVSRTDELFNSQPDQNWRPTSRMRGSLSGQQLTDDVRQRLIMPSSQEVQSPRSQGPQPGLTKSQLDALIANSRNAHNNPSKP >RHN52058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31106448:31115864:1 gene:gene36675 transcript:rna36675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MASSPTANNNKMDYFKPTRRGSTDIGWWWNSFKDENQKTIVCHFCGHPSTGGITRAKYHQLGIKGREVKPCEKTPEDVKILLKNYFDSKQAAKDACSGPAKGLPMQTLESAPAPNCTKERKKRGRPLQYELGSKAALSPMPVSFAFPMTGEFSASNRGRGLNDFKDDGPSNSIGSHFSHHAFIVNSGEDVASRISLLALDFQAISVLSGSGSISSVTIDMSDSGIETLKYEGIFDLLSLTGSFEPNKDGLVSGKLTVSLAIGGRVIQGPLAGSLVAAGPVKVVVASFCPPKTQKQKKGKEIADHS >RHN79231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26282603:26283539:1 gene:gene2983 transcript:rna2983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MRAYFVFYYKEIKSYNINYMKRVKIMAKIMKYVNVPILFLSILLVLMSYGSNYSPTPFPCLTDKDCTRRKGFSVTCRKGFCVEFKHF >RHN66816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19279594:19285118:1 gene:gene14908 transcript:rna14908 gene_biotype:protein_coding transcript_biotype:protein_coding MLFWLAFIFIITFVFFFRSIFQFIMGCLFTCFRVRDNKRHLPQTAAASAVPSRHHKVNDDATSRNRLSTLFLTEEGEEDNVHRNGRNFEEGSQNDDRQLKDEAKFLKACGTIASTPAEIRKASEKLKVSPSCSNDSGPPKFRSWLLDTSAENVPTNVQPFNPPTPKKQCEEWEKRTDCSDHTPSSCISNAHNTQWEYHDSSEGSGQGSYHPIDGTENKGRNKSVRFECDNDISSCESSAYGGWHTKKTESPNPTPMKISNEMQTPGTVYPAKSQFVYPNISLGEDVSKSKILEEEDYVPAQNSRKMNKSIEQSQNTSTPDKSESTVEEGLSSWLKPASVILEERRKKMEMAYNQTRKTPDDRPIIGMVAAHWIEDENSDAPPPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSEDTIIAQRKDICGKPIAFDENEESDTALSHLKSSSHPQSVASC >RHN79967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33966804:33970986:1 gene:gene3831 transcript:rna3831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase, S-(hydroxymethyl)glutathione synthase MATQGQVITCKAAVAWEPNKPLIIEDVQVAPPQAGEVRIQILFTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVKPGDHVIPCYQAECGECKFCKSGKTNLCGKVRSATGVGVMMSDRQSRFSVNGKPIYHFMGTSTFSQYTVVHDVSVAKIDPIAPLDKVCLLGCGVPTGLGAVWNTAKVEAGSIVAVFGLGTVGLAVAEGAKAAGASRIIGIDIDSNKFERAKNFGVTEFINPKEHENPIQQVIVDLTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVNKYLNKEIKVDEYITHNLTLADINNAFDLMHEGGCLRCVLAMHA >RHN40394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15923977:15928345:-1 gene:gene46542 transcript:rna46542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MACISIHNFMLLLLFLFHFTPTSAQVPIFLYSFCQNSTEKSLTASYKSNVNKLLLWINSDSAAGTEFNNNKIGSNNTTSDDVYGNYDCRADVTGSFCQFCINTAVKEIAQRCLNSDTAVIFYDVCIIRYSNKNFFGNVSITPSWNVTGSKKIKDSTELTKVEDYIGILIRKVTVETNQSWGASEFNSNETGKRYGFLQCARELDKEGCRQCLEAVLDLVPKCCGTKVAWEVVVPSCGIKFDDQKFFQLNDQSGSSSLKPNQAKQEGASNKKTLIIILVSVLMAVALLCCCVYYYWRKNGLCKGGFLLRKTLNIDDTLNGDLPTIPFSVIQHATNYFSSSSKLGEGGFGPVFKGTLPDGTEIAVKRLAETSGQGSEEFKNEVIFIAKLQHRNLVRLLGCCIEGNEKILVYEYMPNSSLDFHLFDEEQHKKLDWTLRLSIINGIARGLLYLHQDSRLRVIHRDLKASNVLLDDEMNPKISDFGLARKFEKGQSQTKTKRVIGTYGYMAPEYAMAGLFSVKSDVFSFGVLVLEIVYGKRNGEFILSEHRQSLLLYTWKLWCEGKSLELIDPIHKKSYIESEVMKCIHIGLLCVQQDAADRPTMSTVVAMLGSDTMPIPKPKQPAFSVGRMTEDDPTLKSYKDNYVDEVPITIVSPR >RHN79557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30109038:30112244:1 gene:gene3368 transcript:rna3368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FeS cluster insertion protein MGSKAMAMAAEKLTTAVRRQAVELTDAAASRIHFLLQQRQRPFLKLGVKARGCNGLSYTLNYADKKEKFDELVEDKGVKILIDPKALMHVVGTKMDFVDDKLRSEFVFINPNSKGQCGCGESFMTKPSTGASKQGNG >RHN73352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15601009:15602449:-1 gene:gene9154 transcript:rna9154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MCLELLWFENVKKIMLPAQIKLKNSERLTAQELFSNEHAKLREDAESWMKKTAESCMLISTVIATGVFAAAVTLPGGTDDTGKPN >RHN75913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46113684:46114090:-1 gene:gene12173 transcript:rna12173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exosome complex RNA-binding protein 1/RRP40/RRP4 MRGNQLQFSQFQKLRLQKALDKLESLSSDSSVLWSVLTSTYVFVRSLLSRYKPKAGDIVIGRVIEVGQNRWRLDINCGQNAYMMLSAMNMPDGLQVFCNSLYGISCFFVI >RHN73588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17915575:17916096:1 gene:gene9415 transcript:rna9415 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWRHKIQEMLRLFFLAFHRARIALCWIGLESQSLTFVILSVHLFLCLYSGDIATCDGVGGVEGPPSPPVVGIRVAPEVLGVPPAAPEVLEVPPAAPEVPVLLDALIPDPQREAELYSRFWINTLGENPTLRRISDTISVQSEIDRLIEAALIHSGFNPTRVLRNRHRIRGI >RHN48014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44314979:44317591:1 gene:gene42682 transcript:rna42682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RKF3 family MSLLFHFLFLLLTFPSISFSATTCPLNITILRHIGDGSTPSISSGTTQCQTVLQALHLVQADYLRRTGFFVPPLNASEACWSSFQSFIDEFQPNFDIRSTCGFQTSWISQGCLNMTTKEQFEKKIAKPALLSLQSSCNVSFDRNDACALCTPKRSVALTYLTEVTVSNVSDCNSYTAIYAASLSDHTSVGAANCLFNLDFSSSSKSSNKNRNLVIALVTVFAFLGLLFIVGFWFFLKRRKSMKEKVNHNRTEIVVRLGSGLDSMNQSTTLIRFSFDEIKKATRNFSRDNIIGSGGYGNVYKGLLNDGTEVALKRFKNCSVAGDASFTHEVEVIASVRHVNLVALRGYCTATTNLEGHQRIIVTDLMENGSLYDHLFGSSKKKLSWPVRQKIALGTARGLAYLHYGAQPSIIHRDIKASNILLDEKFEAKVADFGLAKFNPEGMTHMSTRVAGTMGYVAPEYAMYGQLTERSDVFSFGVLLLELLSGRKALETNEDGQPSAFSDLAWSLVRNNRALDVIEDGMPEPGTPEILEKYVLVAVLCSHPQLYARPTMDQVVKMLETDDEAVPSVVERPIPFIAGRLDIEKSASSNSGQLCSPTGYQAYTLQLQSRRASTCNEVEENSERASNCKEEEGNSRRASNCKEEEGSSKRASSCKEEEELI >RHN62267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42201763:42206833:1 gene:gene24822 transcript:rna24822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MIASSFCNADSMFSSEEGIDVRKMMGHKRSPCSVDQSNYNSIASKKQKPDLSISTKDRKEKIGERIVALQQLVSPYGKTDTSSVLKEAMDYIGFLHKQVKLLSAPYLETAPATQLQDTESCSLRSRGLCIVPVSFTNGVAEGNGADIWAPIKTTSPKHEKDVSQF >RHN63863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54576729:54581945:-1 gene:gene26618 transcript:rna26618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Bile acid:sodium symporter/arsenical resistance protein Acr3 MASMPRVIFRDHAILKPNYTYLPRRNLLNNHFDVKENGRNFAIRSELQGPNPVVAAAAKLPLVHLKSKRNSQILCSASTNISGDVPESAGGLSQYEKIIETLTTLFPVWVILGALLGIYKPAAVTWLETDLFTLGLGFLMLSMGLTLTFDDFRRCLRNPWTVGVGFLAQYLIKPVLGFFIAMTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIIMTPLLTKLLAGQLVPVDAAGLAISTFQVVLVPTIVGVLANEFFPKFTSKIITVTPLIGVILTTLLCASPIGQVAEVLKTQGAQLILPVLALHAAAFAIGYWMSRISFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVYWRNSPIPADDKDDFKE >RHN52706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37908610:37912053:-1 gene:gene37405 transcript:rna37405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MGSIMTEKVKLLVALLALQFCFAGFHIVSRLALNMGVSKVVYPIYRNLIALLLLSPLAYFLEKNQRPPLTLSLLVQFFLLALLGITANQGFYLLGLYYASPTFASAMQNSVPAITFVLASALRLEETNIARRDGLAKVVGTIASVGGATIITLYKGPPLLHLQMDHIQGDNTLLQVDESSTKMQNWTWGCIYLLGHCLSWAGWIVFQAPVVKKYPAKLTLTSFTCFFGLIQFLIIAAFTENDFEKWKIQSSEELFTILYAGIVASGIVISLQTWCIQKGGPVFVAVFQPIQTFLVAVMAALILGDQLYSGGIIGAILIVLGLYLVLWGKTNEKKANESALTKPLLDSKEENKVIDAASKDIP >RHN41780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32872385:32873439:1 gene:gene48139 transcript:rna48139 gene_biotype:protein_coding transcript_biotype:protein_coding MYTREIDRRANKSEFMENQFRLDLTEIMIFGFYFYILWVMISSIVCFCIVRNISASSVISLIKLQQSHVNNTKFVDMKKVIFVKNPQEISDLR >RHN42877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41598939:41599619:1 gene:gene49376 transcript:rna49376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein MIZU-KUSSEI 1-like, plant MATGVTTVDCHKQVRSWRLLRSLMQLLIPTCNCTTVVEDQDTISKYSSSSLTPSTTITGTIFGYRKGKISFCIQSNANSTTPILLLELAVPANILAREMRGGTLRIALESSSDNGRRFSSLLSTPFWTMYCNGKKVGYAVMRKASNTDIEVLSLMRSVAVGTGVMKCKEIVNKEEDNEVMYLRGSFKRVGGGSSECESFHLIDPEGDHNIHQELSIFFLRSM >RHN38662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1113535:1117049:-1 gene:gene44621 transcript:rna44621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A(2) MPINTLNTWKEESKPFKLCYLFEALISTQYIMAAFLLFVFIFASQVIGGFNTKLPPPAYGSTITILNIDGGGIKGILPTVVLEHLEKALQNVTKDENAALADYFDVISGASTGGLIAAMLAAPHPNDPSRPALYTPEILKFYLDFGPSIFNQTSARWWFNLSERPKYDGKFLHDKAREILQETRLHDTLTNVVIPTYDIKKVKPVVFSSFKVEKVPDLDAKLSDIAIGTSAAPTLLPPYGFKNGDIEFNLVDGALVASSPALLAVSEVIKLLEEKNSDFISVNENQPTKILLLSLGCGRDGEDKGVNATYATSFRALIEWPQLILPAIAGAVGDINEYHLESIFPSHRSSDNYYLRIEEYNLDPSIGGDDTTKENLDKLVKAGDDLLEETVKVMDVTSFVPYEKPTEGTNAQALERLAETLYKEKQLRLKTKSMEKMGRPFVEAVVSGMK >RHN44232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2610816:2615560:-1 gene:gene38324 transcript:rna38324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MYPGGYTAEITNLTPRATENDVQNFFGYCGVIERVDVIRSSDYESTAYVTFRDAYALETALLLNGSMILDRCISISRWETYTDDSNNWNNLTPNHEDSITYSQDMHMDKFVSSPGEALTMAQQVVKTMVAKGFVLSKDAFVMAKAFDESRSVSSTAANKVAELSNKIGLTETINSGIETFKSVDEKYHVTDITKSAATVTGTTAIVVATVTGRAAMAAGSAIANSSYFAKGALWVSDMLSRAAKSTADLGHHQNK >RHN68139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33160165:33160548:1 gene:gene16442 transcript:rna16442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLLEKHFNKRLLIHFHFKIAHDSLEVLARSCPLLKSLKLSVADPVYRLSHFYMKDYDRSEVGWLAILDRCPLLESLDITGGNVYLSENLRERCREQIKILHLPVWAHIEEHYYDDGVYLEMFLNNEI >RHN51593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20459513:20461763:1 gene:gene36058 transcript:rna36058 gene_biotype:protein_coding transcript_biotype:protein_coding MFQIYLSVSLFHELLLMFNVLLHSLEENAGALTNFKVLDFLRAKGASKDPSRVLAKVAMSEYKVYDYLVKTPAGSQTRESVKEYFTVIKQHDLSEA >RHN40027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12361458:12362707:1 gene:gene46117 transcript:rna46117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MGVASRTLEITVISGENIHVTEDAYVVVRGESLNCYTTKTLKDNNDNCGKNSSFLSWNEKFLLNMPLHARSITFEVQCKKFKSVRPIGVARIGVSDFLNGAVPENCSQILSYKLRDWEGRQNGVIHFSVRVVVPEERSVTVAEKQTVMHGKNCGGRLTGMDVGVKNCNGVAIGFPFWWNYPNII >RHN72748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10415704:10416942:-1 gene:gene8501 transcript:rna8501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MAAELPPDILAEIFSRLPVKSLLRFRSTSKSLKSLIDSLKFINLHLKNSLNFNLILRHNTTDFYQLHFPNLTKSIIPLNYPFTTNIDPSTRSPTDLLRNSKMSLIGSCNGLLAISTGVIVFTHPNNLNEITIWNPNTRKHHIIPFLPLPIPILNYDDKCSLCVHGFGFDQISGDYKLLRISHLLDLQNPFYDPQVRLFSLKTNSWKIIPAMPYDLQHLYDLGVFVENSIHWIMTKKLDGLHPSLIVAFNLTLEIFNEVPLPDEIGEEVNGESFEVHVAVLGGCLCITVDYKDTKIDVWVMKEYGCRDSWCKLFTMAESCFDLPLKLLRPICYSSDGSKVLLERAHVLLEVQHRKLFWYDLKSEQISYVEGIPPNMNETMFCVGSLVPPSFPVDNRRKKENHPSKRRYFLLFN >RHN57758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41523129:41526502:-1 gene:gene33342 transcript:rna33342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MELKFILLLIINLIMLLSSTVTADQYVRPLPRKNLNIPWPWDSKSQPYPQQVHISLAGDRHMRITWITDDKHSPSFVEYGTLPGRYDSISEGEFTSYNYMLYSSGKIHHTVIGPLEYNTMYFYRCGGQGPEFKLKTPPSKFPITFAVAGDLGQTGWTKSTLDHIDQCKYDVYLLPGDLSYADCMQHLWDSFGRLVEPLASARPWMVTEGNHEEENIPLLTDEFVSYNSRWKMPFEESGSTSNLYYSFEVAGVHVIMLGSYADYDKYSEQYRWLKEDLSKVDRKRTPWLVVLFHVPWYNSNKAHQGAGDDMMTVMEPLLYAASVDLVLAGHVHAYERSKRVYNGRLDPCGAVHITIGDGGNREGLAHRYINPQPKWSEFREASFGHGELKIVNSTHAFWSWHRNDNDESIKADGIWITSLISSGCVDKNKHELRSMLMTP >RHN64108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56619402:56620130:1 gene:gene26890 transcript:rna26890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MYLADLVPATAQRIIYFDSDLIIVDDLLKCSNSFDQSVSEMSA >RHN72755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10454859:10458585:-1 gene:gene8508 transcript:rna8508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CBF1-interacting co-repressor CIR domain, pre-mRNA splicing factor MGMKFLNKKGWHTGSLRNIENVWKAEQKHSAEEKKLDELRKQIQEERERSEFRLLQEKAGLVPHQERLEFLYDSGLSVGKTSEGFKSLEALPKSDPTDAPSSSASKDATVPGALFEEKPQSANDSWRKLHSDPLLMIRQREQEALAKIKNNPVKMAMIRKSVEGKEHKKKDHSKKEKKKHRSSKSKHKTQSDSDDDIAERRKRRTSDEDLDKKRRKARSDSEDDIAERRKSRISDEDLDKKRHKAQSDSEDDIAERRKRRTIDEDLDKKRHKARSDSENESSEGERKRRKNHYEDRKYKERSPSHHRSQSKVKDYREDADNRRKNQYEDKKYRERSPSHHQSQSKGKDYKEDVDNRNDNKPKSGRYAPEGQSNFDVSKRGNGSFREPSSTRSSANSLGRESHYKRRNVAPKLSEEERAAKLRQMQLAAELHEGERWKRIKKAEETDAQEANQNTSSSGKNFLDTAQKSIYGAAEGGSSSIAESVRRRTHYSQGRSGGEGNAFRR >RHN76927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2460555:2460812:1 gene:gene320 transcript:rna320 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRKFLYTKGDPLTNIVYNTLNTLKGKATENTADFVFQNTKQLLRHSLVEMVGVHRELKPSLTVTEAMWEFLVHCTRRSTEWW >RHN55515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19131591:19134322:-1 gene:gene30708 transcript:rna30708 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVIANQVLLVLQIMEIALLLLASNLKSLLLTNAAISKAMMLQLRLRSNNCSSTRCLNAKCYRFSF >RHN49776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1071411:1071820:1 gene:gene33987 transcript:rna33987 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLQFRLLDGFFKNICNTFYLIWLSCVWIVWRDRNARIFKHQEDFIHHLLDKIKLQSYWWMKATHPNFAFNYYMWWLNPLLCLGSCSLVSIVLRYISAACSRNVSPCSSFVFRCINC >RHN60652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29123606:29127084:-1 gene:gene23001 transcript:rna23001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shugoshin MPTENQKMAKKSIGNLMRKRLSDITNSSQTIQQENHNNYNTLSLDNDSIQQLLKERANLINLLSDRNKMIERSGAELQRLRADMKKLQMQNWNLAQSNSLMLAELNLGRDKIKTLQHELLWRAALINGNTFKMQEKVEIDTEKVEIDTEKNASLSQLQEEDEKEVQQSPRISNDDEKQCCLTRRRVRSRSIGSSTASRKNKSKEKVKDNRRSLRRHSAASKVHVHEPLENLFEIEDAQYAITQSGHNIKLSTPSVKTERGESSSLRNEAPRHSFGRPLRRAAEKVPSYKEVPLNVKMRRLA >RHN45786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25560775:25561879:1 gene:gene40185 transcript:rna40185 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRVVLIRSFTSFSNWRTTDSSTGTASLLKRFLYRGLLIKSEKFRDSSAKILTSFELSSLSFRA >RHN66198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9643914:9644761:1 gene:gene14136 transcript:rna14136 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMKFFPFFMVIIFAATVASAQNLSPSLAPAPGPDAGAAGSVTSSVAMIGVSIVLSMLAIFKH >RHN78661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17263062:17263482:1 gene:gene2295 transcript:rna2295 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVKDEIIDNENHVHRSDNNSEDFEEVNDGQYSSLKLIDDSCFISLELNDFFESNLPKLVKGCKRVLLYSTLKHGISLRTLIRNSSQLTTPGLLVCYNNYERKIYLLL >RHN50178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4867511:4868125:-1 gene:gene34439 transcript:rna34439 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWGKMDLTKEETEEGHVAVEIEGCGEEIFTGEHHPLAISSTSTKRPPPEPPPRVVMIIRKRRLKLQHLSILQKTEFGLLPLDLSLERPPRKPPDEVWITLLPHISSLSRPHPKPPWVILDWVSLTLFYFCSIFCVCVLSLSLVGFKHWPQLRFDCNYHTSFVAFYEDESRFNPFAFYRLISV >RHN49816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1384728:1392157:-1 gene:gene34038 transcript:rna34038 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWKWIVSWYRNEVESPPPVVLVPPLFDFPPIAARNRMLESSYDVVFGKLALRCLFNDYFQQPKHFVTRIMLKPIDDPHVDLIATVSGPLDQKPDENINGNALFRWQSDVNDPHTFMDLYVSTSDPVLQMRSCAYYPRYGFGAFGVFPLLLKNRETSQDSGVMGLRYGSGNLSCGVTLMPFAKKDELPKSVWLVSKIGRVTAGVQYEPHHENAKLSNLMNWSCAMAYGVGSQSPLSPSFNFSLELVKSSQFVASFYQHMVVQRRVKNPLEENTVVGITNYIDFGFELQTSVDDAIAANNISDSTFQIAASWQANKNFLVKAKAGPKSSTMALAFKSWWKPSFTFSISATRDRADGQVQYGFGLQSESLREASYQRADPNFVMLTPSKEHLAEGIVWETGKRPMLQSDIDAGHFDGLPRELRPLDKIL >RHN49480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55093447:55094011:1 gene:gene44317 transcript:rna44317 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYCQINPHVRCVRCNYSMCIFLLITGLELTLPYLSPLLVREKLVIGANFASTGLYSRFVSVIKLLIQFNSNCFKHQFCQTIFVMGNYE >RHN78839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19056312:19060313:-1 gene:gene2500 transcript:rna2500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arterivirus GP3 envelope glycoprotein MVMVLVHQETVTKPILADTLQASRVISEPGVWALVGHGDERRNDGDTFKINIHLNLMSESTILCIDFFWRSYVGNFYNERFGSGRFLLHQEMAGSKGRKFCLSGMNSLRIVLPMSSLSCISFWWGCMSGNVSCDPT >RHN42673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40199265:40200574:1 gene:gene49151 transcript:rna49151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MMGNQDVKLLNFLLSPVGRRVEWALKLKGVEFDYIEEDIFNKSSLLLEMNPVHKKVPVLVHGQKSIAESLIILEYIDETWKQYPLLPPDPYQRSRARFWAKLSDEKLALGSWIALIKKGNEWEKALKEAREIMEKLEEDIKGKKFFGGDTIGYLDLTLGWITCFLPIWEEIGSTQILDPLKCPSISSWKINFLSHPIIKECLPPRDEMILYCHRRIKEYSSTHRG >RHN39420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6979803:6982053:1 gene:gene45441 transcript:rna45441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, leucine-rich repeat domain, L MYFQNVLIKLNQVDQGKSKGLVGIEKKISPIESLLHLESEDVRVLGIWGMPGIGKTTIAEEVFRRLRSKYESCYFMANVREESERCGTNSLRLRKIILSTLLKEENLKDELINGLPPLVKKRLHRMKVLIVLDDIKDAEQLEVLIGTVDWLGPRSRIIITTRDKQVLAGKVDDIYEVEPLDSAESFQLFNLHAFTKHEHLEMEYYELSKKMVDYTAGVPLVLKALANLLCGKDKDIWESQAKILKIEQIENVHVVFRLIYTNLDSHEKNILLDIACFFDGLKLKLDLIKLLLKDRHYSVSTKLDRLKDKALVTISQQSIVSMHDIIQETAWEIVRQESVEEPGSRSRLLNPDDIYHVLKDDKGGEAIRSMAIRLSEIKELHLSPRVFAKMSKLKFLDIYTNGSQNEGRLSLPRGLEFLPNELRYLRWEYYPLESLPSKFSAENLVRLSLPYSRLKKLWNGVKDIVNLNVLILSSSTFLTELPDFSKAASLEVINLRLCVGLTSVHPSVLSQKAQGTGSEWMHFPYEPSKQ >RHN58933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6052437:6053224:1 gene:gene20890 transcript:rna20890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIHYKETNSFTIISVQIVKNMVKTPKLVYVLILFLSICFSITISNSSFGRIVYWNCKTDKDCKQHRGFNFRCRSGNCIPIRR >RHN50707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9666776:9667106:1 gene:gene35029 transcript:rna35029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b5-like heme/steroid binding domain-containing protein MSFEQTTIFCKLQLTTLGDSSLYVMNFYGSGGLYAMFAGKECNLPLALLSFKPQDINGNLEGFHKSELTVLEDWEYKFIDKYSKVG >RHN40159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13739098:13740753:-1 gene:gene46273 transcript:rna46273 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSKREEEEDDLNLFLPVPPDLSIHPPHQILPSTTTTQPPSEPPSFSLSEIVLFPSPSPSSSPHSHSSGDSPPQTTAPTLKPFFISPDPHISSQFYTFNRDSHSLMINCLLQNRLATPAEIRDATPSPVLKSWRTVWKDRNEETAYLTAWKRIQDKLTARVDQNGNQFLCFKNNTNQFVSHVNQWQDIVMNFHSDADLKHLGVKDTVERIKQVWTVGAKFYGIPESYVRVCIAACPVCSAAESGSSMSDAAAAARNKRRRFEYTESFDVPAKEVPSKLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGQPAANKKAKILKREPYASKRCGCGFRIRAIVPIANYNEKDKSFVYEEEGTAVFKLYAVHSGHEPGPLDGNARIMHRVVGHKGGYLMDQDAVVYGVSEEMENEGFGLMGKDDGDLQFSVLQQVQELRAEVGMLEGRVSKIPQELLGSVSRDLFDVVNRIRSIGKWA >RHN49489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55166488:55169386:1 gene:gene44327 transcript:rna44327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MQQHSVMAESKELKERLWKLVKTIVDSDDDYSLQTTDDAISTLSSLKDFKIKNKNKNSLSSKNGTTKSFSHKFDHFAPPSHFLCPISSQLMIDPVILSTGQTYDRPFIQRWLNEGKRTCPQTQQVLSHTILTPNYLVRDMIAQWCKERGLELPQPSARDTDEVVTNADRDRLNVLLHKLSCSVSDQKAAAKELRLLTKRTPSFRTLFKESGDVITQLLHPLSPGSACPHPDLQEDLITTILNLSILDDNKKVFAEDPTLINLLIDAMKWGTIPTKSNAAAAIFTLSAIDSNKLIIGKSGAIKHLVGLLDEGDTLAMKDAASAIFNLCLVHENKGRTVREGAVRVILNKIMNSILVDELLAILALLSSHPTAVEEMRDCGAVPFLLKIIRESTSERCKENCIAILYTICYNDRTMWREIKEEEKTNGTLSKLAQCGTSRAKRKASGILERVNRSPSLTHTA >RHN47737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42105857:42106626:1 gene:gene42370 transcript:rna42370 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISTSSTSSSVISTVQQKTPTKLTKPKKKKNNNNTYNKPIKVVYISNPMKVKTSASEFRALVQELTGQYAESPPNPSRFQEFDVNDSGTDQGGCENMMDCDKSDQTVVGVPSLVDPDDKGKPSEAGSSNESFDEDVLLMPEMMDNIWDLLPTSAFYESFQLDS >RHN60071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:18365657:18374520:-1 gene:gene22274 transcript:rna22274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MHLNQALMESKAEEYSDENCRLVEDTRYPADEGDGSYVRGRTCERLKHSDSETSNMPSIFSSHDSLSENTESKQIISEKCQDSKCLEGLDDSICCISGASNANLVSCSNQITSDKINISSSSASVSLCVPQGSGIAQSVDMLGSSEILSSKYADIPEKLSECCMENVDSSLTKDREPIIVSGEKSLPDKGEFVSGTAEVSQKMYPKSEADADNDVSVAEDGDHKCSAPDGLHEKAEEQDEVLGISVPQPEDESDESDFVEHDVKVCDICGDSGRENLLAICSRCSDGAEHTYCMREMLEKVPEGDWFCEECQYAEETANQRLEGKSGHKVSSTSQITGKRSSEIMELVAAAKRRALESRTGSPKASSPRRLVPLSRESSFKSLDNGKEKPVQTSRRMGTLLKSCSFNNFSSKSRVKLDDDVPQKQKGGGEHVSKNTETPIRTIGKPMSFKSSNLGRATESKVKMLSPKSGTAQDLKGSSLAKESGVFDRKSLSRIDRPVVCSTMASSVISTHNQKLTPRGETVKPLAINCNRDFKVNHDGKSSSLSKSVNNISNKSSEPQVISEKMSTSVDDTQLDGLPRLQETENQVDKTKDSYTHRVRSDTDASKSPFCHKCKDFGHATECCTVSVAQEFGTDGSLNAVSSPKESHTSNRLKAAIQEALLKRPEIHKKKNLNDQTDQFPPSGTILKCKVSSQDQVEVSASNTLKNSISVVEINARQEMLGNSTSETSKCLSGNDLKQLKTDFCSQLKKSDSGIPASEKPVLRDLPYHASANSSVTSEKSAIPEYKYIWQGVFEVNGSGMSPDLYNGIQAHLSSCASPKVLDVVDKFLPEISLHEVSRLSTWPSHFHQCGAKEDNIALYFFAKDIESYERHYKGLLDHMIRHDLALKGFFDGVELLIFASNQLPENSQRWNMLFFLWGIFRGRRINHSDSAKDIALPSLNVAVSNEKDFPTAVMTLSDTRCSPVRIDEESIACGDIFSELPATSVDQGHIMLSRDFDIKETIFDQTHLGSQVNFDRQDSRISAKSSSRISTNGIQPCPEMNSTGSSLKQKGSLSEHGLHRGSKPLEEVGIIVRAMTVETKANCGISVKQENSVSSRIPHVDNQEVLTANSTRKDKISERTNNNENHRRPKRKEREDGLNINVEATFQGDLAIEAVSCRLPNVIKVEHIDHSDTVMDASAAGCQEMPRNKIDGKLEDTDSSSKLQSGFSGIYGCYSSVARDSLNGSSASLVNDFGSAYSVEDKGCKEACDEKIIHEDLGTTEKTFFPIDTHNTNDSRLVLDSMSLKGPHWSGDQFEVGIPRLELALGGEMEQSLEGTRPFFAGIADKKSNQEKTPDCLEAEQEDGDSVAASLSLSLSFPSSNKEPTKHASKDEHLPDVHHMNSSLHLFGRFTDK >RHN57022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35830640:35831117:-1 gene:gene32505 transcript:rna32505 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVQLQLQGRRRRRVVTRIITSSTLFFLGLFKKFSTMIFAATMYSSFVSYSITLFLFFLALHFNRFFSRWIISVYRSIPLYNI >RHN76983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2928764:2930076:1 gene:gene384 transcript:rna384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MPDMDGFKLLELVGLEMDLPVIMFSANDDPKMVMKGIEHGACDYLVKPVRLKEVQIIWQHVIRKKKTSKRSNHDAPNSDSGNGKDSAGTGNSDQIEKPSRKRKDKNEDDGDEENEDDYDNDNSTAQKKPRTVWSADLHGKFVAAVNQLGAVPKKILELMNVENLTREKVASHIQKYRLYLKRISCAEDKQDHMAAAIASSSDASYQLNCQSFPVTFFSHPPYFSHIFHDL >RHN46077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28294019:28298907:-1 gene:gene40517 transcript:rna40517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MATMERRKTLTMNWDGLGDDEDDLHFFETYNRLSTAVPDDLASSSDEDDDYEDSRLSFASAVSSFHPSKHRKPELPPATGIAPNYDIWMAAPGSITERRRRLLGSMGLDENKENLKATSIVISRAITKKFENKNNNQETHLWSNSVKDNSSSSSSSSSSSSLVSANITTSSGSPQQKSDHSHSSYVLVRSRSEGDIDSFTTKLRKEEIIGKISKQRLTRTNTDIGMASTCARHADINRIIVRDSGDSSVRHLPSASPMVTSSSGLGAFFLIKNLDTGKEFIVKEYGENGTWNRLSDLETGKQLTMEEFENTIGHNQFINDLMRRRNYGRNNGYGKKLGSDSYISRSLRLSKRRGASLLKNIKGVASGIVGEREREVVVPQVVDQNKTQGKNKWVKVRQSGKSQKELSALHLCQEFQAHEGCIWTMKFSLDGRFLATAGEDKVIHIWEVQECEVMSMRGEEGNLTPIHPSLLSSMEREKNVDTHSLVKKKGKFGSKRGGGSAAIPEYVHVPENVFTFSEKPYCSFHGHLDEVLDLSWSRSQLLLSSSMDKTVRLWDLETKTCLKFFAHNDYVTCVQFNPMDEDYFISGSLDAKVRMWNISARLVVDWTDIHEMVTAVSYTPDGQGVLVGTQKGNCRTYGIEDYKLTQTSTIELRNKKKSQLKKVTGFQFAPCNPAEVLVTSADSRIRIVDGTEIVHKFKGFRNANSQMAASFSPNGRFIISASEDSQVYVWKHEEHRNSNTGKSRTVLTTQSHEHFPCKDVSVAIPWPFMIKGDPPEVPVPQSKKNSKRMLPPLPKKGNNNNHATEGASSSPEHDPASLSRSESGIGDSFENSKRMLPPLPKKTNNQVTESEVEEDHGAISRTNSGFGDSFSSDSASIRYGDALSMSNAATPSGSSWSSNYSAYDGSSAVHPSAWGLVIVTAGFGGEIRCYQNFGLPRRMGRQAHLFGSPA >RHN68516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36146323:36155770:-1 gene:gene16879 transcript:rna16879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutaredoxin, thioredoxin-like protein MAVARAVAVLAVAKPSTVLAGGVQTNRLHKFKPLCFSTTTTSPSSRKLILYSKPGCCLCDGLKEKLQDAFSLSGPHSLNDVDLQIRDITSNPEWEKAYQYEIPVLAKVLSDGTEETLPRLSPRLGVELLQKKIAAALGEQ >RHN68573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36659621:36663017:-1 gene:gene16942 transcript:rna16942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MSNLRTLCRTQTVFSFINCRHQFRFRASFWNPNCKPYLQSPWLYSTWLGSSGNSSRPMPQFRLNQKRTVAKATNWDQQKTPYETLELEGDADDEQIKIAYRRLAKFYHPDVYDGRGILEEGETAEARFIKIQAAYELLIDSERRKKYDMANQANPMKASQAWMEWLMKKRKAFNQRGDMAIYAWAEQQQRELNVRARQLSRSKVDPDEYRKILAREKKASAEHFSSTLKRHTLILQKRDIMRKKADEEMKKTIGHLLAAEGLELDDSDEEL >RHN69874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47021136:47021537:1 gene:gene18402 transcript:rna18402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MAFFLKLFIIISLSTIVTATSLSSTKTLASRLELFDGSGPNNKCWETMLELQHCTGDIVTFFLNGQTHLGSGCCNALLTIAQECWGNLLTSLGLTVEEAEILRGFCARVASVNNSLLPSITVDAPSPAPINNY >RHN69996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47836997:47850533:-1 gene:gene18532 transcript:rna18532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CDK8 family MADGNRSNSNKPEWLQQYDLIGKIGEGTYGLVFLARIKSTTNRGKSIAIKKFKQSKDGDGVSPTAIREIMLLREITHENVVKLVNVHINHTDMSLYLAFDYAEHDLYEIIRHHRDKVNQSINQYTVKSILWQLLNGLNYLHSNWIVHRDLKPSNILVMGDGEEHGVVKVADFGLARIYLAPLKPLSENGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGAEVKATPNPFQLDQLDKIFKVLGHPTLEKWPSLAHLPHWNQDTSHIQGHKYDNASLNSVVHLSPKSPAYDLLSKMLEYDPKRRITAAQAMEHEYFKMEPQPGRNALVPCQPGEAFVNYPTRPVDTTTDFEGTTNMQQSQPVSSGAAIAGNMPGGHASNRSVPRPINVGMQRMHQLQAYNLTSQAGMSSGINPAGIPMQRGVPQQAHQQQQLRRKDPMGMPGYPPQQKSRRM >RHN72929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11924051:11925685:-1 gene:gene8695 transcript:rna8695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MTKSKPFSLLFFFSLTLFLLTLLSSSLAARTKTDSLYTTFLHCLTQNTKDPSISNIVFSQTNLSFSTVLQNYIRNARFNTTSITKPLLIVTPKQPSHVQSTVICAKQVNIQIKIRSGGHDYEGISYISNQPFIILDMFNLRTINVDIKNEVAYIQAGATLGEVYYRISEKSKVHGFPAGVCPTVGVGGHVSGGGYGAMLRKYGLSVDNIIDAEIVDVKGRLLNRKSMGEDLFWAIRGGGGASFGVVLSYTIKLVAVPKTVTVFRIEKTLEQNATDLVVQWQQVAPTTDNRIFMRLLLQPKSSTVVKGTKTIRASVVALFLGRADEVVKILGKEFPRLGLKKKDCIELSWINSVLWYNDELSLKNGKKPVNLLDRNVNSAGLGKRKSDYVQKAISKDDLEGIWKKMIELGKIGFVFNPYGGKIAEIPADATPFPHRAGNLFKIQYSVNWDDPSPNATVGFLNQAKVLHSYMTPFVSKNPRSAYINYRDLDIGINSFGKNSYQEGKVYGTMYFNNNFDRLVKIKTAVDPGNFFRNEQSIPILPRKA >RHN52445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35363507:35368085:-1 gene:gene37126 transcript:rna37126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate decarboxylase MVISSTVSHPDEQNESIACTFASRYVREQVPKFKMPENSIPKDAAYQIINDELMLDGKPRLNLASFVTTWMEPECDKLIMDSLNKNYVDMDEYPVTTELQNRCVNIIAHLFNAPIGSEETAVGVGTVGSSEAIMLAGLAFKRKWQTKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLTEDYYVMDPLKAVEMVDENTICVAAILGSTLTGEFEDVKLLNELLTKKNKETGWDTPIHVDAASGGFVAPFLYPDLLWDFRLPLVKSINVSGHKYGLVYPGIGWVVWRHKADLPDDLVFHINYLGSDQPTFTLNFSKGSSQIIAQYYQFIRLGFEGYKNVMENCLANTRTLKEGIEKTGRFKIVSKDIGVPLVAFSLKDSSKHTVFEIADHLRKYGWIVPAYTMPADAKHIAVLRVVIREDFSCSLAERLVSDIEKVVNLLDTLPSSINSKDAHVAVIASETSEEVKKDITETQAEILRYWKKLVDGKRVGAC >RHN51522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19311977:19312877:-1 gene:gene35976 transcript:rna35976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MMKLVLKVDIHDDRTKQKAMKTVSGLSGVESVSVDMKDKKLTLTGDTDPVHVVSKLRKWCHAEIVSVGPAKDEKKKEEPKPDVKKDQIQLLEAYPHYYYMQQPQYIQYSSVSRVEQDPVGCVIC >RHN61909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39261675:39261979:1 gene:gene24422 transcript:rna24422 gene_biotype:protein_coding transcript_biotype:protein_coding MWHDQTDYKCINAGEKMKELGKPEAGLRWFWEPVEGSGLHDLIYTGCSSVTHAIIRVMCERWHMETSSFHLPVREMNDHCNIYQNSHR >RHN57342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38254200:38258579:1 gene:gene32870 transcript:rna32870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alkaline phosphatase MGVDQCPPRWLWLWRCFLVFIGTVTVATTAASDTHKNRQHLVSRIAFGSCSNQSAPQPIWDAVVDFNPQVFILLGDNIYGDNKRPFKIFGRERTIGPWKNVPRFLPASEQEMEAKYQKAKSNPGYARLKESAEVIGTWDDHDYGLNDAGKEFDGKKTNQKLLLDFLDEPHDSPRRKQAGVYASYTYGPMGKDVKVVLLDTRYHRDPIGSGGTILGNSQWSWLEKELKGPPTAITVIGSSIQVISNLSANLQPLFAMESWGRFPKERDRLFKLIADSKRGGVLFISGDVHFGEITRYDCASDYPLFDITSSGLTQSVEEVLPHFLRPLVRFVAWLTPSTMRVKGPNCKYKSCVYGLPNFGTIEIDWESQPVSLKFNVRDKNGVAVTGVNISLSELHPSNSETQVGDNQRHCTLEVSLPWIKRHRLVILFSFTIVALLLVIFLVLACACFSICQLGGCKRKRE >RHN40409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16032368:16036433:-1 gene:gene46557 transcript:rna46557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MTCTTRFLSFILLHHFLFMTLTNAQSPFYMYSYCQNSTEKTVNTSYQSNVNNFLSWTTSDSAKGTVSNHNTIGSNNSNYNDTVYGFYDCLGPNTRSFCQFCINTAFREIAKYCPNSVSAIIWYDVCIMGYTNQNPSGRVFLEPSWNVTGSKNVKDSTELGKAENSMTSLIRKVTTVASLNWATDEFNWSDTDKRYGLVQCNTELSKDGCRQCLEAMLERVPQCCGTKVGWVVLCPSCGLKIDDYNFYEQQTGSPSPLPNPGKQEGASHTKTLIIIVVSVLVAVALLSCYGYYYWKKKGLSEGGLLLRTVTPMSFRDHVQRDDSLHGDLPIIPLSVIQQATDNFSESSKLGEGGFGPVYKGTLPDGTEVAVKRLAEMSGQGSEEFENEVIFIANLQHRNLVKLLGCCMEENEKILVYEYLQNSSLDFHLFDKEKHKHIDWRLRRSIINGIAKGLLYLHEDSRLRVIHRDLKASNVLLDDEMNPKISDFGLARRFEKDQCPTKTERVFGTYGYMAPEYAMAGLFSVKSDVFSFGVLVLEIIYGKRNGEFFLSEHMQSLLLYTWKLWCEGKSLELIDPFHQKMYIESEVLKCIHIGLLCVQEDAADRPTMSTVVSMLGSETVDLPKPTQPAFSVGRKPKNEDQSSKNYKDNSVDEETITIVSPR >RHN80902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41738094:41738526:1 gene:gene4884 transcript:rna4884 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNSQRKSSKSSSFRSVFNIFKSSSNKQRGGGGYYYDNASYDNKVWPSDEDRGSWGVADPVIDMRATAFIAQYKKRISESQIHCQAQPDQ >RHN76748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:959309:960918:1 gene:gene121 transcript:rna121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MEFPYLSIFISLNLALVATHAALPPELYWKSKLPTTQIPKAITDILHPRKGGTWVDVGNAGRVQQLLFIYWPYDASDTQLHDYRNLALFFFEKDLHNGTKLNMQFTKTSDYGATFLPREVANSIPFSSNKVENILNYFSIKQGSAEYEIVKNTIGSCEMPAIEGEEKSCVTSLESMVDFTTSKLGNNVEAVSTEVNKESDIQQYIIAKGVKKLGENKIVVCHPMDYPYTVFYCHKLRATKVYYLPMEGVDGTKVKAVAICHSDTSQWSPKHLAFQVLKIQPGTVPVCHFLPQGHVVWFSK >RHN65320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1204484:1204705:1 gene:gene13145 transcript:rna13145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MPNGSLEKMLHDIEGSENHNLKLTKRVDFALDIAHALDFLHNDTKQVTVHCDIKPSNVLLDDDNVAHLGDIYN >RHN47073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36924963:36926231:-1 gene:gene41628 transcript:rna41628 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVSIQKIPTQNLTQKHKTPLTHECCVLKHLHLSLPQLDVTFVTPILCYLTSMASHLTLLHAPPPLSLQTKTFHSKYITIKPLKPTTTFSSSCSLFPCSLKTSHRGSCSSFIACSSSNGRSPNDSVDDGVVKSADQLLEEKRRAELSAKIASGEFTVKQESGAIRSEAFFIPLYELYITYGGIFRLNFGPKVS >RHN44728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7806621:7808213:1 gene:gene38884 transcript:rna38884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding, BAG domain-containing protein MFHLNNNRTSQPSSLYGTQWNYPRYNKKAQSPSKVVSIPVHFVGSERNKANSATKIEKVARGFLVRKSLNKMLKMKVELDEIEKKVNDEETVKMMKKEQKERIRIAETIMNLLLRLDSVRVFHCSALRDLRKSIIKRAIVLQEFVDQIQMVGPTEEVEGGEGKCVEVEENCLEKEEVGCEEENEGGNKIEALVNEDGEVNCMEKEERGYEEKNEGGEKMGPLMNEDGSEGKCVKEEDHFLMKEGGGEDEEGDKVEALWKKEEMEEEDRGCKEENEGGEKFEALGNEENNEDVKKMEVEEKEESVGTSLVEEGIKESVDVKEEEGRIGNEFEEENCYKEEDGGNRKMLKRMMEDNEKMMEMMAQLFERNEKQTTLLTSLTQRVEQLERAFTFDKLRRKNKKRRNVDAKHRHNGCI >RHN52944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40598491:40602391:-1 gene:gene37686 transcript:rna37686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosylhomocysteine nucleosidase MMEFLVVLVMVLLGSSIKAYGTISQISWREISNINSQGPYIGIVVPNAFELNPLLQSSSFVPHNKFPYFDFAGRHFRIGELEKKKVIVVMTGESMLNAGLATQLLLTLFNIEGVLHYGIAGNVNSKFQIGDVTIPQYWAHTGLWHWQRFGEDKGDFSTELGYLKFANYNNYTKHYKLEDNLLNKVWYQPERIFPIDGTPEVWQPAFWVPVDKTYFEIARKLKNVELSICVNTTCLPRKPMVVRVKKGVSANMFVDNKAYRDHLNSKFDATPTDMESAAVALVCFQHKIPFIAIRALSDLAGGGSALSNEVSIFISLASQNAFDVLVKFISLL >RHN58626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3444966:3446782:-1 gene:gene20553 transcript:rna20553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MSKSDSEDVEIETSKRNHEISTTKSAPAPIIIQSESSTFNAGIILNETNYDMWSQIMEMHLAEKEKLSFVRGNTQPPTEKDDGYEKWYTDNQKVKRWLLMSMSPDIMKRYIRLKTARDIWKALSKAFYDGADELQVFTLNQRAFSSKQNGKSVSVYYGELVEIFSELDHRDKVIMECEKDVAAYHKSVQRQRVHIFLAGLDGEFEQIRGEILRKDPVPELEECYSMVRREFVRQTTMSGEFEKPEASAMVSRNKTNQNVFSQKQDRTRSNKSNLKCTHCNQSSHTRDRCFELVGYPEWWDHNRDQRKKHPDRNSAAAIVETKTDLEDAAGKSSALITTGGHPNEADDWLWY >RHN45920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26787530:26790066:1 gene:gene40347 transcript:rna40347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSIAIDTITSSQFIKDPETLISKDGNFTFGCFSPINSTNRYVGIWWKSRTTVVWVANKNQSLNDSNGIVTISEDGNLVVLNG >RHN42179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36168220:36173933:1 gene:gene48590 transcript:rna48590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanine nucleotide binding protein (G-protein), alpha subunit MQHSIAAEYTGSSVSTAASELPSSDTPSLPSPAITFDRSNCSGRITVSPTSVIAFDDGADESNGVSESSSELSSRHSHECSMFKNFIVEKESFDYEDNDCEPVDSPPVRRESIRKGKCYRCLKGNRLTEKETCLVCDAKYCANCVLRAMGSMPEGRKCVGCIGYSIDESKRGSLGKCSRMLRRLLNILEVRQVMKAERFCEVNQLPPNYVCVNGKSLSFEELVTLQNCSNPPKKLKPGNYWYDKVSGFWGKEGQKPCSIITPHLNVGGPITQDASNGNTQVFINGREITKVELRMLQLAGVQCAGNPHFWVNEDGSYQEEGQKNTRGYIWGKAGTKLVCAFLSLPVPSRYSTSCGFLSSNVVTRTVPDYVEHGIVHKLLLVGYSGSGTSTIFKQAKILYKSIPFSEDERGNIKLTIQTNVYAYLGILLEGRERFEEESLRNLKKSQSLLHTTGTSPKLGDKTIYSIGTRLKAFSDWLLKTMVSGKLDAIFPAATREYTPLVEELWNDSAIKATYERRNEIEMLPSVASYFLERAVQILTTDYEPSDLDILYAEGVTSSNGLASVEFSFPQSTPEETGDTDYLHDSLARYELITIHARGLGDNCKWLEMFEDVGLVIFCVSLSDYNQFSTDGNGCVTNKMILSRKLFETIVTHPTFEKMDFLLILNKLDEFEQKIEQVPLTQCDWFSDFHPVISHNRPGSNNNSINNNPSISQLASHYIAVKFKRLYSSLTGQNLYVSLVKGLEPDSVDASLKYGKEILKWNEEKPNFSLSDDSMHSIEESSFSIDC >RHN82211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51991473:51994428:1 gene:gene6356 transcript:rna6356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcriptional coactivator Hfi1/Transcriptional adapter 1 MTVPKQNYTRINTLELKAVIVKKVGNQRAGTYFDQLGKLVSSKISKAEFDKICITTIGKENVPLHNQLIRAILKNVCLSKVPPHRSSAKKERVLNAKDSNRQQSRSIQMQYGDAFPPSLRRGGSLATCEGMLTGRQSALGQLGKPRNLGSKDLIYKTPEQQSATELNSLGSRPPISVEDGEEVEQLGGSPSPSIQSKSPVAAPLGVSMNSGYGRSPLSIASSCRKHPRETCFSNGYLPDTSSLRSRLEQKLKKEGLTVTVDCVNLLNNAMDSYLKRLVESSTGLSSGLRFGNEHRRQGNGQSIADSNILQPRRYMQTATQSSCASLLDFRVAMELNPQVLGPDWPTQLEKICIRASNE >RHN71896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3432195:3434116:-1 gene:gene7544 transcript:rna7544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative catechol oxidase MAASISPLAFIHSINVSSNSKISPSSYPFSQKQQKYSRHRKLSRRQVITLSGNNSNQNNPKEEQEPQNIVVGNRRNVLIGLGGLCGTFTTNPFALASPISPPDLSTCGPPDLPLGATPNNINCCPPNSTKIINYKIPSSNQPLRVRQAAHLVNDEYLQKYKKAIELMKALPSNDPRSFIQQANIHCAYCDGAYSQVGFPNLDLQVHNSWLFFPFHRWYLYFYERILGSLINDPTFALPFWNYDAPNGMQFPSIYTDRTSPLYDELRNANHQPPTLIDLNYDGDDENDENERISTNLTIMYRQLVSNGKTSTLFLGSSYRAGDQPDPGAGSIENVPHGPVHRWSGDNTQPNFENMGTFYAAARDPIFFSHHSNIDRFWSVWKTLGGKRKDFKDKDWLESEFLFYDENKNLVKVKVKDSLDTKKLGYVYQDVDTPWLNAKPKPCRKKIQKNVEVAQGIFFGIGEAHASEINSRSYVTCPLVLDNVVSTIVKRPKKSRSKKEKEEKEEVLVIEGIEFDKSLGVKFDVFINDEDDKVIKPVNTEFAGSFVNVPHSSHDHKKKKTNSCLRVGLTDLLEDLGAEDDDSVVVTLVPRYGKGIVKIRNIKIELED >RHN81909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49720555:49721222:1 gene:gene6006 transcript:rna6006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoglucomutase (alpha-D-glucose-1,6-bisphosphate-dependent) MFCLTGNRFCWCLYIEQFEPDVSKHDLDAQIALKPLIHLALSVSKLKEFTGREKPTVIT >RHN76949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2602664:2606610:-1 gene:gene345 transcript:rna345 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSASSLRPTSSPSYSQLLCSLRYNRKLRSQINFVVTQGRKGCWLRNGVTVKSVLNDNRPSFNNYGAPESARLLERLFEQTQKLDNRMIGEEPDLRDFESDLLSALMELKEKEDHLQEVERTVLLENGKLKDAKEELERQEGEIKAAREKYERLEDEMKEAMASLVSQAGQVEELKLRLRDRDSETDGLRDALSLKEEEMEKMKIGLAKKSEEAAYVDSELRQKVQLLSEANEVVKKQEIELQELRSVVQQREEELRLSVAARDVEGEKLKVAEASLEKQAMEWLLTQEELKRLEEEASKHAQERSETLEDFRRVKKLLSDVRSELVSSQQSLASSRYKMQVQEGLLEQQLAELADQRESVMLYMENLKDAQIEVENERTKLSVAEALNKELEQDLSVEKELMKKLQEELKKEKASLEQAVQEMALLQEELDIKSAEFKEKSALLDVKESELVDAKLQIQELKTEKASLQALLEEKDLELSSARKMLVELNQEISDLKMLMNDKETQLIEATNMLREKDEHVKVIQNKLNNTSLKAFEAETVVGRVLDLTNKLVASIKNEDINSSRPLNELGDQLMMPLSEDPTSELSWQQKQLENVLELAKENLKTKEMEVLAAQRALTIKDEELKMTLARLDAKEEELRKAKDMATEDANDHKMVYAMTQERIAEKTMDDLAIEKLQLEAAQLEDEVEAATSTLQKLAEMSQQLLNKAMPSVEADSYTSLMQNNNDINLNLITNINCIDCLAVVKAGVARLSALTEQLVMDAGLAAAS >RHN78610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16700581:16701747:1 gene:gene2235 transcript:rna2235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNFVNKQHHLIIYPVSQAHPPIQNHHDSSTNFPIVIIVVGMMVTSFLLMAYYTFVIKCCFNWNNIDLDRGRRFSFSRQHEEQSTSYSMTSDHRGLEQSVINSIPVIHYKLEKDYGELGISSECAFCLSEFQEDEKLRVIPNCNHLFHIDCVDIWLQNNANCPLCRRKVSMTREIQVEHVVTPRPSPYFERQNVENIIDGCEDFVSIDLDNIENGHEGQNLHERIEERGKELEVPRDSHKKALKLQKVSSMGNECIKDKDDGFLVQPIRRSFSMDLAIYKSLYQPNLHVHEVSSIEVCGDSSNRPKRSFFSFGHGSRSRSVV >RHN80048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34606384:34625892:1 gene:gene3924 transcript:rna3924 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFEFLFSNFFLPIFTLIWMTKYTRGKDNLLSLCQPSRRQGIARPTLEALASRHSN >RHN68433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35501149:35502199:-1 gene:gene16787 transcript:rna16787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTEIAKFIFAMVIFLKLFLVATNNDDDSCNYDYHCIYKSWLCPFGLVVRCITHHCKCIKILNPINFFST >RHN82203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51906997:51907525:-1 gene:gene6343 transcript:rna6343 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNHWYTDLKLFFFFLCFYKIFSVFFRTVLTDLITRDLHEPNP >RHN53707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3495780:3496290:1 gene:gene28644 transcript:rna28644 gene_biotype:protein_coding transcript_biotype:protein_coding MKILPLFPVRFRLRFRFTSFPSFSGSASSSFPVRLAPCSGSPPLLAFATVRFGFGLFGMMMICGCMNGLRLLFKSCVAGF >RHN42270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36911860:36916183:-1 gene:gene48690 transcript:rna48690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MSKKLAAAPFLTKTYEMIEDPLTNDVISWGESGNTFVVLKQLEFSRDLLPKFFKHNNFSSFVRQLNTYGFRKTVSEKWEFAQENFKKGEIELLPTIKRRKTQSPAVVRSVGVGKNSPSSSAAEDMGSTSTGSVDRSDLSIENKRLKMDNEKLTVELTLVKKKCEELLAYLQSNLNIGADEINRILGKGTDGSSHDTDNDDDNMVRECGKGLKLFGVWLKGEEGKDKVEMDTAKGSCHKRGREDPIDGANNEFNAVV >RHN71158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56842218:56842421:-1 gene:gene19808 transcript:rna19808 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNTRWSSSLSMNSAIWVWVRSGRGLLVLFQIADFDCVGLFLFVQIMSF >RHN58263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:407199:409638:-1 gene:gene20155 transcript:rna20155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase STE-STE7 family MRPIQLPPPTSTGSATASGSPNNNNSRPQRRRRDHLTLPLPQRDTNLAVPLPLPPSGGSGGGGNGSGSGSGGASQQLVIPFSELERLNRIGSGSGGTVYKVVHRINGRAYALKVIYGHHEESVRRQIHREIQILRDVDDVNVVKCHEMYDHNAEIQVLLEYMDGGSLEGKHIPQENQLADVARQILRGLAYLHRRHIVHRDIKPSNLLINSRKQVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDINDGQYDAYAGDIWSLGVSILEFYMGRFPFAVGRQGDWASLMCAICMSQPPEAPTTASPEFRDFVSRCLQRDPSRRWTASRLLSHPFLVRNGSNHNQSPPNMHQLLPPPPRSQSS >RHN69632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45085780:45089696:1 gene:gene18125 transcript:rna18125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLFRLFNFPSHFLLPLFKNSFSKTKTIHNTKFRFSSSTALAQSSTSETHFTKPSNSSSFHPNTSQILQKLHLYRNNPSLALSHFSELKNQHGFSHNIQTYVAIIRILCYWNLNRRLDSLFRDIIISHSKQNPLFEIHDLFEKLLEGVNVKDKNHYLLRAFVGFVKACVGLNMFDDAIDFMFMFQIRRFGILPNIFACNFLINRLVKCDQVNMAFEIFDRIKSLGLCPNHHTYAIIIKALGTKGGDLKQASGVFDEMKEAGVTPNSYCYAAYIEGLCNNHQSDLGYDLLRALRENNAPIDVYAYTAVIRGFCNEMKLDKAMQVFYDMEWQRLVPDCHVYSSLICGYCKTHDLVKALDLYEDMILKGIKTNCVIVSCILHCFAEMGEDSRVVDTFKEVKQSGVFLDGVAYNIVFDSLFKLGKMDEVAGMLEDLKSMHIDFDIKHYTTFIKGYCLQGKPDKAYIIFKEMEEKGFKPDVVAYNVLAAGLCGNRHVSEAMDLLNYMDSQGVKPNSTTHKIIIEGFCSEGKIEEAEGYFNSMKDESVEIYTAMVSGYCEADLIEKSYELFHELSNRGDTAQESSCLKQLSKVLYSKVLAELCQKGNMQRARSLFDFFLGRGFTPDVVTYTIMIKSYCTMNCLQEAHDLFQDMKSRGIKPDVITYTVLLDGKSKQARSKEHFSSQHGKGKDAPYDVSTIWRDMKDREVSPDVVIYTVLIDGHIKVDNFEDAIRLFNEVMKRGLEPDNVTYTALFSGLLNSGNSEIAVTLYNEMSSKGMTPPLHINQRILKVRKLQFQSSTGEL >RHN40990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25426097:25427626:1 gene:gene47253 transcript:rna47253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSMKVNKDSDIADNKSIKVRRKEVKLPLFSFASVSAATNNFSDANKLGEGGFGPVYKASSKGILLNGDEVAVKRLSRRSGQGWEELRNEALLIAKLQHNNLVRLLGCCIERDEKMLIYEFMPNKSLDCFLFDAIKRRMLDWGTRVRIIEGIAQGLLYLHQYSRFRIIHRDLKASNILLDTNMNPKISDFGMARIFGENELQANTNRIVGTYGYMSPEYAMEGLFSVKSDVFSFGVLLLEIISGKKNTGFYQTSSFNLLGYAWDLWNNDSGMELIDSELDDISNKHLVPRYVNIGLLCVQQSPEDRPTMSDVVSMIGNDTASLPIPKPPAFQNVRGIEYSRLSKSIEGNISLNGITDSLIEAR >RHN77818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9597753:9598904:1 gene:gene1311 transcript:rna1311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MYVIGHVIERGDIRETEKDRRKSRVIDLTLEDLENNRLHCSLWGEHGDKIVTFFGNHDNDTPTILILQFCKTRVYLGAMGVVNAFNGTKLILNGDLPDVAAYMTRMKNASIQFTRSVSQISTNSSASLSDDLLNTNRMTIESMIESTEVTSRGGLKILINDDDGDDTDVASSVVYREVFRNV >RHN48310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46523597:46526852:1 gene:gene43012 transcript:rna43012 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DENN domain-containing protein MQDLASFCFPEGVKAWLLERTPSLSELNEIVYGQEHLGRDDLSFVFTLKAEDNTPLYGVCLHVPEIVQRPPGILGRSSPISIPSVLSSRFLVSAPRCYCLLTKVPFFELHFEMLNSLIAQERLNRITQFVNEITLSGCIPSTPKLDDQLSSYTNSPDREPLSGCITSTPKLDDQLSSDTNSPDRESLSGCIPSTPKLDDQLSSYTNSLSPDRESFSDWMACAIPLDGAAAITAAAAGIISDDEILQLSPKIWDSRCQSPVSVTASDASDFCQFRDIEKDGKSNLQDHDTCTFDGPETHNSVERMHGNCEAGQASPSVGTPVPAQGRALERNGSSESLFRCPVRSTVSEDEDHPFPNNERDYGDDLLMEWAMEHKNDLLQIVCRYHAQTLPPRGSEFVFHPLEHLQAIQYIRHSVDSLGFKENFLDCSEPTEVNPKLAAAEEALALSVWTTSTTCRVLSLDSLLALVTGVLLEKQVVIVCPNLGVLSAVVLSLIPLIRPFQWQSLLLPVLPAKMIDFLDAPVPYIVSSFCCSINYAVSCDICCVQ >RHN73189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14226777:14229092:1 gene:gene8977 transcript:rna8977 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMSGGRKKQLENPQYGKFRQKGLPFYIELTTLFKDMVDTRQVALVPSSGIPPNGTDENNNVHRLCFESGGIDIEEGYGDTDLLEGAIAGVGAYFQDINFSTSRGNVSDTCSMKRRRVGSFDRTEKKKNIKVSDAERIADALSRIASVCESRTAAMKALIVPATSIPEVIAELNCIEVIGIDLDWHSRCCQLMLFKPAREMFVALQGLGNEQSLLNWLKYAAYTPLPFMKEVDWSMF >RHN63197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49279699:49282090:1 gene:gene25873 transcript:rna25873 gene_biotype:protein_coding transcript_biotype:protein_coding MISPLKVSLFHLLPTETCKKDLIRRRIIAAGDSPATRTNVRK >RHN82286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52578516:52581215:-1 gene:gene6437 transcript:rna6437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MTKEEDFKLLKIQTCVLKVNIHCDGCKQKVKKLLQRIEGVYQVQIDAEQQKVTVSGSVDAATLIKKLVRSGKYAELWSQKTNNNQNQKQKNNNNVKDDKNRGQKQGLVKGLEVFKNQQKFPAFSSEEDDEYYEYDEDEEEEDEEMRFIRERTNHLQMLKQANDANNVKKGIIAKMNNAGNGNSGKKGNPNQNMVMKEGANGIDQKTLAAMKLNNAHLVGNESLNLGESKRASDIGAMMNLAGFNGNNNNGAGSATVVGGNSNGLGGFPAGSTASIPNGGFVTGQYPPSMLMNMNGFNNHPSSLMNMQARHAMQQQPQMMYHRSPFVPPNTGYHYNYNNYIPANYSYANACYPTEDNSAAHMFSDDNTTSSCSIM >RHN41144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27466658:27469870:-1 gene:gene47434 transcript:rna47434 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRAHPYDNIIRLKSCYNKYLTASNQPLLLGVTGRKLIQTLPRTLQENTAIKFLIRIVFVANYKFFGCQSTK >RHN48948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51302501:51307686:-1 gene:gene43722 transcript:rna43722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDVEEQRPFPIPNNVKRFGLKNSIQTNFGDDYVFQIIPKDDWSSMAVSLSTNAVKLYSPVMGQYYGECKGHTATINQILFSGHSNPNILSSCSSDGTIRTWDTRTFQQVSIINAGPSQEIFSFSIGGPSGNLVAAGCDSQVLLWDWRNNKQIACLEDSHVDDVTQVHFIPEERGKLISASVDGLVCIFDTTGDINDDDHLESVINVGTSIAKVGFFGESYQKLWCLTHIETLGIWDWKEGRNEVNFSDTRTLASESWNLDHVDYFVDCHYSREAEKLWLIGGTNAGTLGFFPVNYSETTTIGGAEAILEGGHTDVVRSVLPMSRVHSSGIFGWTGGEDGRLCCWLSDDDSPQKNQSWISSSLVMKPERTCKKNRHHPY >RHN64139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56870572:56871726:1 gene:gene26925 transcript:rna26925 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWKLIGTRVLRHFSISARFSEDTLQKIGIDISVVERLVEMLNWTSHKEEEIRLSAAETLSKLAGMKQNSLRIAAIPGAMESISTLLRTNRSLIPAVDEIGEKNLEFDHLSYTLWKFNHFGLLILKNLAHDHDNCGKIGSTRGLLPRIIDFTHAKEKLLKSKNVAHSQILTVKRSLQLLKMLASTIGTTGEHLRREISEIVFTISNIRDILKHGERHPLLQKLSIEILTNLALDDDATERIGGTGGMLQELFNILFKHSEPEDWKHGVTVAAAEALAMLALESKSNCH >RHN44619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6896209:6896773:-1 gene:gene38758 transcript:rna38758 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKANNAAQAANASVQEGDQQMKANTQEAADAVKSTDGAHK >RHN50661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8944609:8946114:-1 gene:gene34971 transcript:rna34971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MIICQYSLFFTQVKIGLSMKSSFVAKLFGLCPCNTTSMTNLAVFSVIIADSLRFTSALSIGLAVLFLIIAVGISIIKIISGGIGMPRLFPVITDAASVFDLFTVVPVLMTAYVCHYNVQSIENELEDSSRIRGVVRTSLTLCSSVYLLTSFFGFLLFGEGVLDDVLANFDTDLGIPFGCALNDAVRLSYAAHLVLVFPVIFYALRLNVDGLIFSSSRRPLVVDNLRFSSVTIAFVLIIFLGANFIPNIWVIFQFTGATGAVCIAFIFPAAIALRDRYNIATKTDTILAILRIVLAVLSNAVAIYSDAYALINEKKA >RHN39561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8204841:8205422:1 gene:gene45592 transcript:rna45592 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAFQNIKSGMHTYFPEYIFRINAIMVVNERNLALPVGLRTFRNVNSGKIQSHYCGFGRLQPLTRFH >RHN77201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4941968:4942698:1 gene:gene627 transcript:rna627 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRIGTCLFADLIARGLLDYMLSSLLNKANMSFKLTDTYVSSFMLFD >RHN54924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13580090:13585774:1 gene:gene30023 transcript:rna30023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VI family MESKGNNKKQFSSDDEASPRAILDAPVSGTESDNSGSSSFSSYSSENSPPVEGKLGWKESNMMQWKSMIDVFKFKSVRRLTAIPLLAAVNNDIAKTGFPRKLARIRSAEESIDIGAIPTKPSWRNFDYDELAAATNDFSSENLIGKGGHAEVYKGHLSDGQVVAVKRLMKNDKDFADRAGDFLTELGIIAHVNHPNATRLVGFGIDRGLYFVLQLAPYGSLSSLLFGSECLEWKTRYKVVIGVAEGLHYLHEDCPRRIIHRDIKASNILLNDNYEAEISDFGLAKWLPNNWAHHVVFPIEGTFGYLAPEYFMHGVVDEKTDVFAFGVLLLELITGRRAVDSDSKQSLVIWAKPLLDSKNVQELADPRLEEKYDPTEMNRAMKTASLCVHHSSSKRPFMKQVAQLLKGEEAIIDSKHHSGASRSLSLEACDLEDYTCSSYLKDLNRHRELVME >RHN61385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35332647:35334902:-1 gene:gene23847 transcript:rna23847 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amidophosphoribosyltransferase MAATQTLSSSLAKPSLLPPNNNRFFSTSLHKPTLPSLSDTRKPLFLTSSSKNPISDIILSNKNHPQDEVVFINDDKPREECGVVGIYGDSEASRLCYLALHALQHRGQEGAGIVTVNNNVLQSITGVGLVSDVFNETKLDQLPGSLAIGHVRYSTAGQSMLKNVQPFVAGYRFGSVGVAHNGNLVNYRTLRAKLEEKGSIFNTTSDTEVVLHLIATSKHRPFILRIVDACEKLEGAYSIVFVTEDKLVAVRDPFGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVFPGEVIVVDNNGIQSLCLMAHPQPKQCIFEHIYFALPNSVVFGRSVYESRRRFGEVLATESPVDCDVVIAVPDSGVVAALGYAAKAGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRAVLEGKRVVVVDDSIVRGTTSSKIVRLLKEAGAKEVHMRIASPPIIGSCYYGVDTPSSEELISNRMSVEEIRDFIGSDSLAFLPINSLHSMLGNDSPNFCYACFSGKYPVEPRELKVKRVGDFVDDGLNGSLEQIDGGWVQANRNPPKEVNTATGL >RHN63981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55630053:55634003:-1 gene:gene26750 transcript:rna26750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MSTNQPKPNNNNKKNSMEMDNNKCGCWSVLKRGVCKPSASRHSPNTIPRTSVVHDAATETRYLNASNRELCPPNEARISSDNPDPPPQENKAPCQLLQFTFQELKSATGNFRPDSILGEGGFGYVFKGWIEEGGTAPAKPGSGVTVAVKSLKPDGLQGHREWVAEVDFLGQLHHPNLVKLIGYCIEDDQRLLVYEFMTRGSLENHLFRRTVPLPWSNRVKIALGAAKGLAFLHNGPEPVIYRDFKTSNILLDTEYTAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEILTGRRSMDKKRPSGEQNLVSWARPYLADKRKLYQLVDPRLELNYSLKAVQKIAQLAYSCLSRDPKSRPNMDEVVKALTPLQDLNDFAILSYHSRLSQQGRRKKKPDGTPHITYTHSKSMRASPLNTGRHIR >RHN49251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53559540:53562773:-1 gene:gene44063 transcript:rna44063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MKFGASTMLIIVTYMARDEDERQRVIREDRMRKGKAASTASAQKEKVDQNPYVPKTRKRRDARPGVESSQPHMDYSSQPEDEVEDEEVAGDAEVPEDFVADYMEAENVIPEGEPEPQTQRKCFNYIILCSTGYKCINAGKKMKELGKPEAGLRWFWEPVEGYGLHDLIYTGYSTVTHAMIRAMCERWHTETNSFHLPVWEMTITLDDVHNLLHIPIHSRMLDHDEAMSQERVIDLMTRLLGMSDVDARSEIRTESAGHISYPTLKRVYEDHLTEARRLDDPQTREELQERARRRQWCVRSFLLYLVGCAMFTNKTNRHIDLIYLDCMADLQAIGKWSWGGMALAYLFDYVDDSVILNNRTMAGSTTLFMVDDVRFSTYGDHRVVHPFQLIVTYSGWLMCGKDMVYRHLPERVKMQLFYVQDVSRHPSSVAQVPTHDLTTVLQNAQAWFFTAWGDACERPWHHVPGYMVWYAKVSHPRILPPDEGSPPRPANVEQIIEEEHAREMPDTLTIIRDVVHIVGDIVARQAEMTKEEIVQ >RHN58173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44324340:44325244:-1 gene:gene33790 transcript:rna33790 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILDLQIFAVFVGYNPVNMVKTAISEIVKDIDRKFSILAKAGEFILNVFS >RHN45348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17368962:17373045:-1 gene:gene39628 transcript:rna39628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAETAVLFALGELFQFLKKETNLLRGVHTDFTDIKDELESIQIFLKDADRKAADEADTNDGIRTWVKHMREASFRIEDVIDEYLRLIHRANPPGCGSLVCKIVSLIKTLISQHQIASEIQDIKLSIRGIKDRSERYNFQILHEPGSSSVSSSTGEAENGRWRDPRLSALFIEETEVVGFEGPREELYGWLLESPAERTVISVVGMGGIGKTTLAKLVFDSQKVTTQFDCRACIAVSQTYTVRGLLINMMEQFCRETEDPLPQMLHKMDDKSLIIEVRQYLQHKRYLIFFDDVWQEDFSDQVEFAMPNNNKGSRIIITTRMMLVAEFFKKSFIVHVHKLQLLPPNKVWELFCKKVFRFEPGGHCPLELEAVSKEIVKKCKQLPLAIVAIGGLLSTKSKTMVEWQKVSQNLSLELERNAHLTSLTKILSLSYDGLPYYLKPCILYFGIYPEDYSINHKRLTRQWIAEGFVKYDERQTPEQVADEYLSELIHRSLVQVSNVGFEGKVQTCQVHDLLREVIIRKMKDLTFCHCVHENSESIVVVKTRRLSITTSPSNVLKSTDNSHFRAIHVFEKGGSLEHFMGKLCSQSKILKVLDIQGTSLNHIPKNLGNLFHLRYINLRNTKVEALPKSVGELQNLETLDLRETLVHELPIEINKLTRLRHLLAFHRNYEDKYSILGFTTGVLMEKGIKNLTSLQNICYVELDHGGVDLIEEMKILRQLRKLGLRHVRREHSHALSAALVEMQHLESLNITAIAEDEIIDLNFVSSPPKLQRLHLKARLERLPDWIPKLEFLVKIRLGLSKLKDDPMQSLKNLPNLLKLSLWDNAYDGEVLHFQSGGFKKLMTLNLSRLSKVNSIVIDHGCLLSLEHLRLEIIPQLKEVPSGIKPMHNLKDIYITDMPAEFAKSIDPDEGQYYWIIKHVPIVFIRHWIGPNLLDYEIHTIHSYSGESQNI >RHN68248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33981934:33982191:1 gene:gene16578 transcript:rna16578 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MSSASCIRVSKALSSESNLQVTFSKRRSGLFKKASKFCTRCRAYLALIIFSLGEKVFLFGQPSVETVINLYHSDSTLKQWHNAIH >RHN43501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46338334:46340491:-1 gene:gene50091 transcript:rna50091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S6 MASSLLNSIPLSSSSYLCTPHTCSPFRAFPESISHFHQNRNNFSIKSQTLDFSGSFFEGGFGSGDDPVTPGTGFTAVEEKEEPQCPPGLRQYETMVVLRPDMSEDERLALTQKYEELLVAGGGMYVEVFNRGVIPLAYNIQKKNKEGETNTYMDGIYLLFTYFTKPESITALEQTLLRDDNVIRSTSFKIRKRKC >RHN47314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38759494:38767647:-1 gene:gene41896 transcript:rna41896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AH domain-containing protein MKSFNKLKRIALHKTVGKEKKEFLPSVKVDELALAAKYMQEMRDCYDSLLAAAAATENSAYEFSESLQEMGTCLLEKTALNDDEESGKVLGMLGNVQLDLQKLVDGYRSHVALTITRPSESLLNELRTVEDMKRQCDEKREVYEYMIAQQKEKGKSKSGKGENITSQHLKAAHDEYEEEATLCAFRLKSLKQGQSRSLLTQAARHHAAQLNFFRKGLKSLEAVEPHVRMVAELQHIDYQFSGLEDDDGEGSFEYDGNEYEYEATEGGELSFNYRSNKDVPTSPNSAEVEESDRSNIRASTTETAETSLDKSHVDFKVSNRDPPRVSSYSAPIFAEKKFDPAEKVRQLLSSSAAKPNAYVLPLPVNIKETKTAPRLSASASSHDLWHSSPLDEKKNGKDFADGKLSEPAIPRVSILKESNSDTSSAQLPRPSTEGQSLPQVDIFNASDHKKIKRHAFSGPLTNKPLSVKPVSGGFSRLPMPQPSSPKASPGASPPLVSSPRISELHELPRPPGNQTSKATKSSRVGHSAPLGLRNPEHPTTNKFPPVVSSSASPLPTPPITVSRSFSIPSSSQRAVVINVANKYLHTHQIPEKVEEAASPPLTPLSQRASALSDLASRSSEIQVDAGGN >RHN67889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31221793:31222401:1 gene:gene16134 transcript:rna16134 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSIFQLTTSQNLVLLEELRIIDCEQLENIITFDQGVKDSEDIIDGDNGMNMIDNSMFPKLKVLDMERCPLLEFIFPFFSAQDLLVHGTINISSCANLKYIFGQYQDVQLGSIKEPQLHEMPNFKGIFPEHHRTMSLSKDGCKAQKELDPVKCKCFSLSRICCYGRKPRSTSTEIPLASDDQLQDHSIATVPISVLCYLFI >RHN39917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11684239:11684977:1 gene:gene46001 transcript:rna46001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MKGVKMSSSSIQTMIILVILVSTLCSSIEASTRKPNRRRFKPEHRHKAILTLNSFEKGGDGGGPSECDNKFHSDKTLVVALSTVLFNHKKRCLKEITIFGNGKRVNAKVVDECDSSKGCKNNIVDGSAAVWKALGVPKKKRGEMDIFWSDA >RHN68002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32049961:32051965:-1 gene:gene16277 transcript:rna16277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-linalool synthase MESFYLIDIIQRFGIEHYFAEEIKVALEKLHLILNTNPIIDFVSSHELYEVALAFRLLRQGGHYVNPDLFDNLKCTKRMFEEKHGEDVKGLIALYEASQLSIEGEDCLNDVGYLCCELLHAWLSRNQEHKDALYVANTLQNPLHYGLSRFMDKSTFNHDLKEEKDLICLEELAKINSTIVRFMNQNETTEVSKWWKELGLDKEVKFSGYQPLKWYTWPMACFTDPNFSEQRIELTKPISLIYVIDDIFDVHATLDQLTIFTDAVNRWEITGTELLPKFMKISLNALYDITNNFAEKVYKKHGFNPIDTLKKSWIRLLNAFMEEAHWLNSGHLPKAEDYLNNGIVSTGVHVVLEHAFFLLDHVNGITKQTIDILDEKFPNVIYSVAKILRLSDDLEGAKSGDQNGLDGSYLDCYMSEHQDISSEDVQGHVAHMISNEWKFLNQEILVANQFSSSFSNFCLNAARMVPLMYHYKSNPSLSNLQEHVKSLINVGVGRN >RHN51963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29195359:29196559:1 gene:gene36546 transcript:rna36546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MRRAFLARFFPPSKTAKLRDQITRFNQKDGESLYEAWERFKEMLRLCPHHGLEKWLIVHTFYNGLTYTTKMSVDAAAGGALMNKNYTEAYALIEDMAQNHYQWTNERAVTTPTPSKKEAGIYEVSEYNHLAAKVEALTQKIEKLNVNAAPPSPASPTCEVCGITGHTGVDCQLGSAANIEQLNYAQYNQGMRPNQNFYKNPQGSYGQTAPPGYTNNQRVAQKSSLEIMLENCMMNQNKQLQELKNQTGSLNDSLSKVNTKVDSIATHTKMLETQISQVAQQVATSSQTPGVFPGQTETNPKAHVNAISLGGNKLEETITKAKSVKGESIKLLGEKDAIKTPLDKNKTLNPLRLTKLNLEAQSPLLKLYLVCLYTPNF >RHN48554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48406046:48411057:1 gene:gene43284 transcript:rna43284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PWWP domain-containing protein MGKKKMKTAPIDLVAKHSQQPRSYPPKRRTDFSFFNRIPPIESSPGSSSGEVRLSNVTANSSLERRMTVKQFSNDALVRCNNYQDGRPVCSSESFDVRVAELDSVYLSGNRDACTKMSESTPRESSVSDNSSLAVTPGTVVWARTACQMWWPAEIMEESCALSDRVNDGNVLVQFYGNHPSAWIDPATDISIFEDSFEERSNNPSSDFQDALKQALQRKTQLSSCQNLSPDRSTYSNQQDRSAGKCTSPSSSRTINDFQEKRRGKRERKPKVHFDEVTHPMKSETKDRRLKIMRYLGLAPPVGSPF >RHN78783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18307043:18312246:-1 gene:gene2432 transcript:rna2432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADP-dependent oxidoreductase domain-containing protein MQYNNLGRSGLKVSQLSYGAWVSFGNQLDVKEAKSLLQCCRDHGVNFFDNAEVYANGRAEEIMGQAIKSLDWKRSDIVVSTKIFWGGQGPNDKGLSRKHIVEGTKASLKRLGMEYVDVVYCHRPDVCTPIEETVRAMNFVIDQGWAFYWGTSEWSSQQITEAWAVANRLDLVGPVVEQPEYNLLNRHKVESEYLPLYSSYGIGLTTWSPLASGVLTGKYKKGVIPPDSRFALENYKNLASRSLVDDVLKKVDGLKPIADELGVPLAQLSIAWCAANPNVSSVICGATKESQIHENMKAIEVVKLLTPAVMEKIEAVVQSKPKRADSYR >RHN77979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11028545:11028922:1 gene:gene1492 transcript:rna1492 gene_biotype:protein_coding transcript_biotype:protein_coding MMERIRKKKMLPSSWVQRCLQLIFVVQLHLIVQDINVISHNDTLEVIPVEPLEVDSLNLQVFLTQYHGHCIQSGAWIESLCLLNFLLLINMIVFTVYFEQWDPGGHLNMFTPARSYGLKQWVPRG >RHN46639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33583757:33598000:-1 gene:gene41156 transcript:rna41156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MALGDLMASRFSQSTVLIVPNHHRDDSTSTTAFSASASSASAAALNDDGSDFNSSVPNRRDSEFGAASSSSAGVYGNAATTMVYLPQTSFFNELRHDAFELELPTGPSDSGLVSKWRPKDRMKTGCVALVLCLNINVDPPDVIKISPCARMECWIDPFSMAPQKALELIGKSLTSQYERWQPKARYKCQLDPTLDEVKKLCTTCRRYAKSERVLFHYNGHGVPKPTHNGELWVFNKSYTQYIPLPLNDLDSWLKTPSIYVFDCSAAGKVVNAFIQLHEWNASNSDGSPRDCIMLAACEAHETLPQSVEFPADVFTACLTTPIKMALRWFSTRSLLRDSFDYLLIDKIPGRPNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCTPVSHPMLPPTHQHHMWDAWDMAAELCLSQLPSLVEDPNAEFQPSTFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHIYFMKFLDSSEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLSHVCLKHLESSSPNDSQTEPLFLQWLCLCLGKLWEEFPEGKIIGLQGHATSILAPLLSEPQPEVRASAVFALGTLVDVGFDSCRSVGDEECDDDDKFRAEVSIVRSLLSVASDGSPLVRAEVAVALARFAFGHNKHLKSIAAAYWKPQTNSLINSLPSLTNIKDTGGGYSKQNQHMAHGSIVSPQIGPLRVGSDNSKVIRDGRVSSSSPLASSGIMHGSPLSDNSSHHSDSGILNDGFSNGVVNSFGPKPLDNALYSQCVLAMCTLAKDPSPRVGNLGRRVLSIIGIEQVVAKPLKPSGVRTSSVVPVSASLARSSSWFDMNGGHLPLTFRTPPVSPPRPSYIAGMRRVCSLEFRPHLMTSPDTGLADPLLGSGTFDRSLLPQSSIYNWSCGHFSKSLLTAADDSEEVLARREEREKFALEHIVKCQHSAVSRLTNPIAKWDIKGTQTLLLQPFSPIVVAADENERIRVWNHEEATLLNSFDNHDFPDKGISKLCLVNELDDSLLLAASSDGNVRVWKDYSLRGKQKLVTAFSSIHGHKPGVRSPNAVVDWQQQCGYLYASGETSLIMMWDLDKEQLVNTIPSSSECSVSALAASQVHGGQFAAGFVDGSVRLYDARTPEMLVCGLRPHTQRVEKVMGIGFQPGLDPGKLVSASQAGDIQFLDIRNHSSAYLTIEAHRGSLTALAVHRHAPIIASGSAKQLIKVFSLEGDQLGTIRYYPTLMAQKIGSVSCLSFHPYQLLLAAGAADACVCIYADDNTQAK >RHN74664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35576783:35582548:-1 gene:gene10771 transcript:rna10771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MADEVILLDFWPSPFGIRVRIALAEKGIKYKYIEEELMSLKKSPLLLEMNPVQKKIPVLIHNGKPICESLIAVQYIDEVWNEKSPLLPSDPYQRSQALFWADYIDKKIYSIGKNIYTKTGEEQEVAKKEFIDTLKLLEDQLGESSYFGGDKFGFVDISLIPFYSRLKVYETFGNLNLENECPKFIAWAKRCMQIESVSKSLPDQDKIYEFIMDMRRILGIE >RHN63800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54078383:54084270:-1 gene:gene26551 transcript:rna26551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipid-translocating ATPase MTGGRRRRHHFSKIHAFSCGKASMKQDEHSLIGGPGFSRKVYCNDAERAMSSLYTYGDNYVRTTKYTVATFLPKSLFEQFRRVANFYFLVVAILSFFPIAPYSAVSNVIPLLVVVAATMAKEFIEDFQRKKQDIEMNNRKVKVHSGDGVFNQSKWRDLKVGDIVKVEKDEYFPADLILLSSNYEEAICYVDTMNLDGETNLKLKQALEGTSNLQEDSSFQNFKAVIRCEDPNANLYAFVGSLELGDDQQYPLAPQQLLLRDSKLKNTDFIYGVVIFTGHDTKVMQNSTDPPSKRSKIEKRMDRIIYCLFFLLILVSFIGSIFFGIWTKQDIKNGRMKRWYLMPEHTEVYYDPDEAVLAAILHFLTALMLYGYFIPISLYVSIEVVKVLQSIFINQDLNMYHEETDKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIGGVAYGRGFTEVERALSKRKDSYFGRKMKNDQNVAKAAETKSNIKGFNFMDERIMNGNWVRQPNANVIQNFLKVLAVCHTAIPEVDEATGKISYEAESPDEAAFVVAAREFGFEFYERSHAAISLHELDLQSNMKLERSYNLLNVLEFSSARKRMSVIVRDHKGKLLLLSKGADSVMFELLGKNGREFEEQTKYHINEYADSGLRTLILAYRELDEQEYNQFNKELTDAKNLVSADQEQIVEDILQNIEKDLILLGATAVEDKLQDGVPECIDKLAQAGIKLWVLTGDKMETAINIGFACSLLRQGMKQIIINSDTPEIKTLEKMEDKSASEAAIKASVVQQITEAKKLLSKSDDNSEALALIIDGKSLAYALEDDVKNVFLELAIGCASVICCRSSPKQKALVTRLVKMRPGSTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYRRISSMICYFFYKNITFGFTLFFYEIYTAFSGQAAYNDWFMSFYNVFFTSLPVIALGVFDQDVSSKLCLKFPLLYQEGVQNLLFSWKRIIGWALNGVASSTIIFFFCIRAMEHQAFREGGQVVDFQVLGATVYTCVVWVVNCQMALSITYFTYIQHLFIWGSIVMWYIFLMAYGAIDSSISTTAYKVFTEACAPSPSYWILTLLVLVAALLPYFAYSTIQVRFFPVYHQMVQWIRKDGQVNDPEFCDMVRQRSIRHTTVGFTARLEASRRFEASRRSEISLVPVDGKPAENQ >RHN55172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15747473:15748933:1 gene:gene30309 transcript:rna30309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative matrilysin MAAAQPISNWQVGLLDQSMHIIDTLSYVCSLTKMMKLYQFEFFLFLLIIVNTTLSSSFPTLSQFAKPLEYIKKTTEAADYIWDKKIKKLVEDLKDKNLKNIKPSLPSQLPKYKGVDQIKQYLSDFGYLEQSGPFNNTLDQETVLALKTYQRYFNIQQDTLSEILQHIALPRCGVPDRILKYNLTNDISFPKGNQWFPKGTKNLTYGFDPRNKIPLDMTNVFRTALTQWSNTTRVLNFTETKSYDDANIKIGFYNITDDDGINDVAVGFTFIVLDSTNVKSGFITLDATKYWALPTEHRGFDLETAAMHQIGHLLGLEHSSDNKSIMYPTILPSHQKNVQITDSDNLAIQKLYSSSTKANANSDDSSGCFKLFGSSSSLLISLSIVFAFVALLN >RHN67480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27586709:27588176:1 gene:gene15673 transcript:rna15673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MMFKQIPNNFTRRYGVGLTNPVLIKAPDGTKWKVYWKKINGEIWFEKGWKHFTENYSLQHGCLVVFKYKGTSKFDVLILGNNAVEIDYDSSCDTDDENGNVGQNDDESLEISDEWRNQKIARKRPPLFYPRPHKKFSGENKKSTKRTSSLNRSNRARVEEVAAKFTSSNPFFTILILPNHLVAGRPRVPNIHLKGVIENKEKNLVLQIGERSWKVKLLASYERETGRRLSAGWSLFVNESGLQPENVCVFELINKENLVFKVHVF >RHN44646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7218988:7219257:-1 gene:gene38790 transcript:rna38790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-chaperonin molecular chaperone ATPase MSFITLKDGKIEIKAMDEETLFGGEDFNNRMVNHFVKEFTRKHKMNNSLHSRVLMRMRNECERAKRTLSYESKVAIEIDYTFQGKIRLK >RHN74449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33458223:33458495:-1 gene:gene10523 transcript:rna10523 gene_biotype:protein_coding transcript_biotype:protein_coding MQRASDHTGVLIFSTPINNRIIDCACSAINELCKIGLVENNVWHQHKDNRYKILHDIEYLKQFGRVDATLMEIIRLVEVGELQTLPSFDL >RHN42322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37391466:37392799:-1 gene:gene48750 transcript:rna48750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thebaine 6-O-demethylase MVNALKVDPTDIRELFGESAQSMRINYYPPCPQPELVTGFNSHSDGGGLTILLQGNNEMDSC >RHN47836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42906964:42914260:-1 gene:gene42482 transcript:rna42482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MIMMENCSRNGFHDFKMSSRKAEEAALRRYEATQWLENQVGPLGISNQPTERELVSCLRNGLILCKAINKIHPGAVPKVVDTQVPLQQSLAWDSQPLPAYQYFENVRNFLNAADELKLTAFEASDLERESVENGSAGKIVDCILSLKWFHESKQMSNQSGSSKRSKSPLVLQSINRLQQKATTALPSDACRRLDLSATSEIKPPAESNVQKQEAETVESLAKILVDRMLDAKENIDGKLFPSLHNGDLDRIGLFNQILTGCCGEQPSMKFPELLRKNFKKEGSSLPPHFTSKPTESDTSSARQNPKCYRACSGKCTCNHKHLIDIQKKELRDLKALKLKIKNEVEEMQSQFQRFFNDIGCQVNEMSTKALGYQKVVEENRKLYNMVQDLKGNIRVYCRIRPTFRAESKTVTDFIGEDGSLCILDPSKTLKDGRKLFQFNRIFGPTAGQDEVYRDTQPLIRSVMDGYNVCIFAYGQTGSGKTHTMSGPSGGTSKDMGINYLALNDLFQMSSERKDNIKYEIYVQMVEIYNEQVRDLLAEDKTENKYPFSYSFFNKYCQKYIHTIALKIRRRYMLNFYCNDDGLSLPDARLRSVNSTTDVMTLMKLGEVNRAVSSTAINNRSSRSHSVLTVHVSGKDTSGNCIRSCLHLVDLAGSERVDKSEVTGDRLKEALYINKSLSCLGDVITALAQKNSHIPYRNSKLTLLLQDSLGGHAKTLMFAHVSPESDSFGETVSTLKFAQRVSTVELGAARMNKETSEVMQLKAQVENLKIALANKENSKPFSRTKEFDTPLEKTPLRPRRLSIENYSVIKTNKPVKADDKSGAKSPSYIARSRRLSLEGPRTVKKAPACVNKTLQFEPIFQQKDCPLQDPEAVSKLNGQLSNGNSRSELHVKAPPSPTNMYQKRCIKVDTEIQIHPLDLPQTSEELDKNDSNRIVPSDIADSITAKGIGSTNGKGSQFRRSLRTIGKLINGPDKKNQQIMVEVKSPVKGSSAHGSQIKSPIAASERPKRRQSLTGIPSGPNNSRRSSLGGKPVPAAYEPERNARTPPPVRSESKTSKRWL >RHN79861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32944732:32948614:1 gene:gene3709 transcript:rna3709 gene_biotype:protein_coding transcript_biotype:protein_coding MSASNTRISLALFVFFANFPGLMLCAVVSLSSIEIFERHEWLKVTSTVYFLCEGENKNVFEDVKRARFVYAYNGQQSWKILSNFSSKNCKRCGLYEENSITSDDAFDEWEFCPSDFTAPHGEYVRFKEKQFNATFLCPDCLSFGASSSSDKRGKHIAILVFLGALAAGVLILGVFGAYKFWLKKRKEEDQAHFLKLFEDGDDIEDELGLGGVIV >RHN72322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6756979:6760962:-1 gene:gene8020 transcript:rna8020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3,8-divinyl protochlorophyllide a 8-vinyl-reductase (NADPH) MLNILLTSNSSIINISFDINSSRMGKEKRKSMICNQSQKMSLCYSSSTFITHPSLKHQTLSFNFSSHPPSHFINLFKVKSNRPIKYTKQKLKLYASLSQSEQIETTPTTFRIKNPKDVNVLVVGSTGYIGKFVVKELIQRGFNVTAIAREKSGIKGSIDKETTLNELRGANVCFSDVTNLDVFDEDLKNLGVGFDVVVSCLASRNGGVKDSWKIDYEATKNSLLAGRKLGASHFVLLSAICVQKPLLEFQRAKLKLEDELVKEAEKDDRFSYSIVRPTAFFKSLGGQVDLVKDGKPYVMFGDGKLCACKPISEQDLASFIVDCVMSEDKINKILPIGGPGKALTPLEQGEILFKLLRKEPKFLKVPIGIMDFAIGVLDNLVKVFPSLEDAAEFGKIGRYYAAESMLILDPDTGEYSDEKTPSYGNDTLEDFFARVLREGMAGQELGEQTIF >RHN70223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49817005:49821558:-1 gene:gene18781 transcript:rna18781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GRAM domain-containing protein MSSAGDQPTNPKPQDSDSHSHTPDYAPYPKLDPNDVAPPPPPVATESRATDAATTMPAESNPYVSPAPVPAPTSAKNTLDSVKDVLGKWGKKAAEATKKAEDLAGNMWQHLKTGPSFADAAVGRIAQGTKVLAEGGYEKIFRQTFETVPEEQLLKTYACYLSTSAGPVMGVLYLSTAKLAFCSDNPLSYQTGDQTQWSYYKVVIPLHQLRAVNPSTSKANPTEKFIQIISVDNHEFWFMGFVYYDSAVKHIQEALQSR >RHN54435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9533699:9534058:-1 gene:gene29474 transcript:rna29474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MQNPNPSKNSAPTTNKSPQDPPSDDESPKETNPTTLQLAADGEAGSDGQSKKSNIREVKHCHRCKHVFPTWAALMNHYREHYICCHPNGSPAPPGFSPLPSTLTPIPPKPDQHEGKTKT >RHN45100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11787806:11788384:-1 gene:gene39305 transcript:rna39305 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAAFEASFWHRDFNYRHYMENHIPFSAVDKDAAFHGKFDELVLDAGTSALRTLLYIQSMEKKHEALEKEYQDSVKDVEKFKHKASAFEERVEGLLKDKAALEKAVADAEKEKTDWQVEKSNLETQNAKLKDDLNKSQAEVEDGKMALAGFFEDGFQRAKSQVAHFYPNLDLSGLDSLKFVQDGELIEEP >RHN61341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34928102:34929212:-1 gene:gene23796 transcript:rna23796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor STY-LRP1 family MEKMHSTQLGEEEVLKGPKCQDCGNQAKKDCAYSRCRSCCKNKGFNCHTHIRSTWIPADRRRHRMDHPSDQHHLHEHKRHKQINTISSSDEFKFPAVTSSMTTLTCVQVRSMDETVNETAYQTSVEIGGHVFSGILYDQGPDEQSFNNIHPLDQQQNLNLFSSNVIHTGDDGASASATIAATASHRRLLYPPPHPLPSFRPGMPY >RHN74079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:26443003:26443158:1 gene:gene10038 transcript:rna10038 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLQRSWLPLLIFTLMMLLALPIELILLYIRCCYILEAFCCRIPYPEGM >RHN45663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24231870:24234677:-1 gene:gene40044 transcript:rna40044 gene_biotype:protein_coding transcript_biotype:protein_coding MITWPSHSLTGTSTCSTIHVLSVIQCLQKVLTSGIPFSPSCWVNVAVHDGLQHNGPGVFFPWAA >RHN82147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51530540:51531246:1 gene:gene6273 transcript:rna6273 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTLPCTKVGDWGVVGHQVYINANHFALSTLNNGLSTLRGARLALEGVNRLFQLLEHLSAVLGPKLLKGQATKI >RHN50038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3443191:3443720:-1 gene:gene34286 transcript:rna34286 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPSDSQLCKSMIVFPSLFVYSNFISIQFLESSVSNLRIPKPKLGTRDISGF >RHN62495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43854726:43859281:-1 gene:gene25077 transcript:rna25077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MALARIARSNLRRSGVSVGSNVHEKNMFNERTYTTKGSLPSHVDGPFSYISRNKEQNNMNFSKRGITGTAFHQLPNTQRVVEESESEFEDDPTRYAGLEATKPGEKPRVVVLGTGWAACRFLKGLDTRIYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVGRIQDALAKEPNSYFFLASCTGVDTNKHEVYCEAVTNGGLSKEPYQFKVAYDKLVIAAGAEPLTFGIKGVKEHAFFLREVYHAQEIRKRLLLNLMLSENPGISEEEKKRLLHCVVIGGGPTGVEFSGELSDFITRDVRERYTHVKDYIHVTLIEANEILSSFDVGLRQYATKHLTKSGVCLMRGVVKEVHPQKIVLSDGTEVPYGLLVWSTGVGPSEFVKTLNLPSSPGGRIGVDGWMRVPSVEDVFALGDCAGFLEQTGRPVLPALAQVAERQGKFLVELFNKIGKQNGGKALSADGITLGEQFVYKHMGSMASVGAYKALVDLRQSKDAKGLSLAGFVSWLIWRSAYLTRVLSWRNRFYVAVNWGTTFVFGRDNSRIG >RHN55730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21955562:21957977:1 gene:gene30961 transcript:rna30961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAUS augmin-like complex subunit 4 MSISCQVYQTLEHQMIVAEAAQQLRLPLISEDGEVHDDEIEKLSVASRSSLDSASTSGVVNSSINSSNYTTPSSSVSGVNSLASMDPVEPGVGGVPNRFLGITPAYLWQTQRQQTPLSVDITEYRMSLAREVDGRLKLKCDKLSDAFVLDDNDSFSSGSQSSSSRLPERVKLLIEDIEREEAALRDDLYSADRKFAEYYNVLEQILGVLIKLVKDLKLDCQHKYDELQKTWLCKRCDTMSLKLRALEYQLLHGTYTNESIPALHKIRYE >RHN72936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11980924:11987742:-1 gene:gene8702 transcript:rna8702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-glucosidase MVTSPRTKTRNILLPLLAFCFNVFVILSQTVADSPSKETQVGYGYTIISVKSDPTGKSLSANLKLIKSSSVFGPDIPLLNLSASFEAKDKLRVRITDSNNQRWEVPEELIPRDSSSSSLSHHFRQQNSQNSKYIITHPNSDLIFTLHNTTPFGFTITRKSNKDILFNTLPEDPLNPETFLVFKEQYLQISTSLPSKRASLYGFGEHTKSSFKLKPNQTFTLWNEDIGSSNVDVNLYGSHPFYLDVRKGSSDGRVKSGTTHGVLLLNSNGMDVVYSGDRLTYKVIGGVFDLYFFAGSSPELVLDQYTQFIGRPAPMPYWSFGFHQCRWGYKNVNDVQGVVTNYAKAGIPLEVMWTDIDYMDAYKDFTLDPVNFPKDKMRNFVDTLHKNGQKYVLILDPGISVNNTYATYVRGLQADVYLKRNGVNYLGEVWPGPVYYPDFLNPHSQEFWGEEIKLFRELLPFDGIWLDMNELSNFITSNDTPHSNLDSPPYKINSTGVQRPINNKTVPATSLHYGNITEYDSHNLYGLLESKTTNRALVEITSKRPFILSRSTFVSSGKYTAHWTGDNAATWNDLAYSIPSILNFGIFGVPMVGADICGFSADTTEELCRRWIQLGAFYPFARDHSDKSSIRQELYLWDSVAASARKVLALRYRLLPYFYTLMYESNTKGTPIARPLFFSFPEDITTYEINSQFLLGNGVLVSPVLQSGAVTVDAYFPKGNWFDLFNPSNSVSAESGKYVTLDAPSDHINVHVGEGNILALQGEAMTTKAARNTAFELLVVFSGNGNSYGQVYLDDGEALDLEGEKDQWTLVRFYGALYNNDSVSVTSNVTNGKFALDQKWTIEKVTFLGIPNYGRLNGNDLAESELNVVSGMNSTRKRVLITKFDRSSKFVTVEVSNLKQLIGEQFELKTKIRKKKLLLLLSGKC >RHN82155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51580925:51585064:1 gene:gene6281 transcript:rna6281 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate kinase MVSEGELMVKGFRGLRKTKLVCTVGPACSSLEDLEKLALGGMNVARLNMCHGTREWHRDVIRKIKKLNEEKGFSVSVMIDTEGSQIHVVDHGAPSSVKVEEDSIWLFTAENFVGSRPFTVQANYPGFSEGIEVGDEIVIDGGMACFVVIEKTGNDLRCKCIDAGLFLPRAKCSFWRDGKLVGRNYKLPTLSTKDWADINFGIAEGVDFFALSFVNHADSVKDLKNYLSGKSTKSIKVLAKIESLESLHNLEEIVKASDGIMVARGDLGVEIPLEQIPTVQEDIIHICRQQNKPVIVASQLLESMIEYPTPTRAEVADISEAVRQYADALMLSGESAIGSFGQKALTVLDMTSSRMESWSREENRQSLLNHHKLGASLPDCITEQICNCAVEMANKLGVDAIFVYTKHGYMASLLSRNRPDPPIFAFTDDESTRMALNLQWGVVPLLVDLSDDAESNISKSVQLMKSKGLINKGDVVLVVSDVAPTRSTPMALQSIQVKTII >RHN55852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24741611:24742324:-1 gene:gene31128 transcript:rna31128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MSSGKKTQGRQKIEMKKMSNESNLQVTFSKRRIGLFKKASELCTLCGAYIALIIFSPSEKVFSFGYPNVETVIDRFLSQVPPQNDDIMQLLEDYRRANVRELNDLLTRMNDAIGIDKNRENELIQVRMINETQFWWTRPICEMNKVQLELYKKALEDLLKLVAQHADRVEMQGTSTQNIPFYVGNGSSSRMPLEHQPNPQQDSTFSAGFFQNPMLQPHLFGFNNMGEECGHGPYGFF >RHN55978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26299729:26300167:-1 gene:gene31282 transcript:rna31282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKTLKFSYPMILFIFLFLVAYKIEGNPYFDPFKSSFFTLWFGSYTNFISFFFFCKFFYCSTN >RHN79202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25729472:25730172:-1 gene:gene2939 transcript:rna2939 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFRVFDLQMLRVGGLLIAGCPKWIIALSGFQSIRIIVFVHVSNFCPDRPFGFSVHRDAHFCLSRTFSGLRLIELLCLTEYFLTVPAFIGSASLSPSIVVKVLAHEPSWLGVHLPLESSWKCSTFSGTKSSS >RHN45203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12886470:12889292:1 gene:gene39432 transcript:rna39432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MELVFASNWQTKWKWLVEVLEHCPKLQNLTLDQLYGYGTGEDNWKKPKIVPECIYSQLRTCSLTSYKGNELQFAKYIIKNAKVMRTMTIIASPVDMNIKHQMIMKLSSCPKGSATCKFSFY >RHN49145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52785671:52787671:1 gene:gene43943 transcript:rna43943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MHAFLPDWYVIRNHQLWKILRISCSFYYLVASSACFVHNLKIQVLAEREQKIQTEVKEIRKVFFCELCNKQYKLAMEFEAHLSSYDHNHRKRFKEMKEMHGSSSRDDRQKREQQRQERELAKFAQIADAQKQQRLQLQQESGSAAVSVSSESKTATALTDQEQRNTLKFGFSAKGTASKSTFGVKKQNVPKKQNLPVASIFGNDSDEE >RHN76522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50927529:50929022:1 gene:gene12863 transcript:rna12863 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSQGLVFTTAMLVSSTMLYLAFSKHKINPSFQILGSHVSHDPHTQILRSCLYSEEKKRERKMNSKKNKKKVRFEESVKESREKSEVAKKEKQRKRNRVHSNCRSEATKTRGIPANRMALYNGILRDRVHRIE >RHN78992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20646328:20647429:1 gene:gene2668 transcript:rna2668 gene_biotype:protein_coding transcript_biotype:protein_coding MREVSHFNQAGYDGIETVLECDPDFWSYFSLLSTLKRLGYPMLRSLSYYDPSLLMELVRLRDDQGCRRMMHISIEFDRVHLYVVHTVGENPPLAPLNPLIEYPIEVGNVGVVVEEIVEEENNVVENDADNVGNEGVDDVVFEDAMNFGEDVGNEGPGVEVGNEGVEVEVGNEGVEVEEGGYVVEDKVVGGVNGEGQGVIDEGPSLVGEEDYVGSTVLNEGEHVGPTVLNEGPTMVDGEINFVINLGGPADVREDNGPSVDLRDAFVYGLANEYGSNLQGEESEGEDSALAISIDDSEGDIGVEDGSWFNFAEETNLESEVTVEGTNREGDVLGEELRDLLLKLYQRKVMK >RHN50078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3909364:3912933:-1 gene:gene34330 transcript:rna34330 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFTRGGNGDESVDDFDEYDPTPYGGGYDIHLTYGRPIPPSDETCYPSGSSNNDFDYDRPQFESYAQPSAYGDEALATEYSSYVRPKPRPAPSSFHPESGDGGGGYGRKQGSGYGGQESEIGSGYGGRKQEESGYGSGYGGAQESEYGRKNEESGYGSGYGGRKQESGYGSGYGGRKNEEESEGYGRKNEYESGESEYGSGRKKSGYGEEEGYGGGRSEYERKPSYGRSDEEEKPSYGRKTSYGRSDEEEKPSYGRSDEEEKPSYGRSEYERKPSYGRSDDEEKPSYGRTEYERKPSYGRSDDEEKPSYGRKTSYGRSDDEEKPSYGSERRGDDDEGYGRKKYGGNDGSDDDEGRKKHHHKSHHHKSYDDE >RHN73660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18656988:18658700:1 gene:gene9505 transcript:rna9505 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKSIISFFFVCALYFISVMATEPSKDEKQFGEIEEFKSKVSWNRGAWTWPKYGVKGNGGKGGSKGGSGSGENGGEGGAQGGGGQIEGGKDKGSGLDARGGGRGENNNIGWGYLKN >RHN40075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13029746:13034331:1 gene:gene46171 transcript:rna46171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MDSSGRTTKPTTINTTCTYWLAGRCNRNPCRFLHSLTPTNAAANTGYYNAARKRHFAYGPSTASSNAYTNTDAARKRHSSYVYDKNSDMDLNTKTKDNKDKKQVNEASLPKHNTEMVTKTVTQVDDASLPKHNTKKVLNTKMEDDVDSATQVTHASLPKHNTKTALNTKTGDNTNTAAQVTDASIAKHNTKMALNTKTGDDTHAAAQVTDASPPKHNTMTLLNTKTRDDINTTAQVTDACLPKHKTKTALNTKTGDGANAATLGHEKFITRMTIPDGSDKLYSGSSDGTLRTWDCQTGLCVNVMNLGAEITSLISKGPWIFVGLTNTVKAFHIPTSSQFTLDGTKGRVLAMTVANDTLGNDILLAGAEDGIISAWRSSSEANSPFKLVASLSGHTKSVDSLTVGGLKTLFSGSKDQTIKVWDLDTFECKMTLNAHTDAVMNAKTRGYKDTTQIVVEPSVPKHNTEIVFNSKPEGDDRDTRQVVEASLPKHNIETDLNRNRGRDQVIEASIPKHKTGDERYEAQVVEASVPKHNTDTVLKRKPEEDERDTRQVVEASLPKHNTGDENETQVVEASQNSSPSICKYWVNDSCVHGDQCQNLHSWFYGDGFATIAKLQGHKKLITGITIPNGSDKLYSGSTDGTLRTWDCRTGQCVNVTNLGTEVTSLISKGQWIFVGLPNTVKAWHIPTASQFTLDAPRGRVLAMTAGYDTLLAGAEDGVISAWRGNFKSNSPMELVASLYGHTKSVVCVTIGGVKTLYSGSKDQTIKLWDLDTFECTTTLNAHTDAVTSLICWDRYLLSGSSDFTIKVWYKNEEGALEVAYSHNVENGVVALSGMTDPDDKPIIFCSTRDNLVHLFEMPSFEERGRLFAKQEIGLVDIAPGGVFFTGDGTGLLTVWKWLEEYKVVASS >RHN44262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2996535:2997014:-1 gene:gene38360 transcript:rna38360 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFCNVESCFAGCPIVTRFSACWASFQDKIGRTLGRTHQTCHDLGKRDTILTAEDCYISVLCRF >RHN54620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10826612:10831390:1 gene:gene29689 transcript:rna29689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(A)-specific ribonuclease MLKALASLPHSLSATSVARKMSTSPTPMSYPKFISVEGVDIHSRTKPDGLRFSLVSYNILAQVYVKSSFFPYSPPSSLKWKYRSNSILDVLKNLGADFFCLQEVDEFDSFYKGKMQELGYSSIYMKRSGEKKRDGCGIFYKHDRAELLLEEKIEYNDLVKTIQDENSSNGDEQNNVQTTQPDKQKDDATKAGPTSGTVDRGDPNDPHVRLKRDCVGILAAFKFNGPSQQFLIVANTHIYWDPEWADVKIAQVKYLLSRLSQFKTLVSDRYECKPEVIVAGDFNSQPGDPVYRYLISGNPSSELITDCIEEHPIPLSSVYASTRGEPPFTNYTPGFTGTLDYILFCPSDHMKPISYLELPDSEAADIVGGLPNLSHPSDHLPIGAEFEIIKE >RHN80181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35896852:35907579:-1 gene:gene4078 transcript:rna4078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MREGLRSQTPARKGSVGGGGEVVRKKRVRVKNEGLVLGLEGLVEPTELNEKGEVEGLVLGDEGSGGDGGVVQKKRARLQNEGMVTGDEVLLGPTESIEKKGNVECADDVECAVKVEALVKPTSTIEKKGGVECAGDFECAGEVDALAKPTSSIEKGGVECAGDIECAGEVEAFTKPTSSIEKKGGVECAEDIECSGEVEALVKNTSSNDKKGAVECAGDVECTGEVEALVKPTSSTEKKVAVECEGNVECTGEVEALVKPTSSTEKKGAVECAGNVDCAGEVECAVRRGRDLDLNVSLCMDDDIAENGESSPQSSLKDRDINVEAKADKKEDEGVSGVRLLRSRSKNGGERISYNEENYGVFSGSDGKHGVLERIKVKIEEADEVLTDGNKNEKVKVKIGGKVKKKLKRKRGRPRKTEIKEKDELVDQPPRKLGRPHKTELKEDDRLVDQPQRKLGRPRKTELKDHDQSPRKLPRTRKSELKGDGQFVDQSPRKLGRPRKTELNEDDQSPRKRGRPFKADQQKHLMTVAHNSKGKMSRENGKKVLTVTDSASTNEVDDTCSGRSSGKKLKEKRFSPVRKNNPRKVLKTENDEMVSPLVSTTVKAAVAKEGPRRKEQQLVRDKIRECLFAAGWTVDHRPRNGRNYVDSVYVSLDGTTHWSVTLAYKRLKQHYEAGDGEGKLYKPGFIFTPILQEDFNRLTRVVTKSKKGSNVKCEPFEEKGGKKVGVKRKEKKIKPDSGAGKGKSVKGKMKRKLKRKRPLPEEGNTNVTSPNRDRKRHKTQNKTRSTLLVRDATEEVDSEINGYVPYSGKRTILSWMIDLGTILQNGKVHYTQDRLENASLEGKITGDGIHCGCCNEIVTISDFGAHAGSKQSDPLKNIYTEEETSLLQCLLDSWNKQDESELKSFHFFDVAGEDPNDDTCGVCGDGGDLICCDGCPSTFHKSCLDIKKFPSGDWHCAYCCCKFCRLVGGSSNQSVVNDEFTMPALLTCHLCEEKFHISCVEANGGKTDDSKDALFCGNKCQELSERLEMLLGVKHEIEDGFSWSFIRRSDVGCDLSLTNPQLVECNSKLAVALSIMNECFMPYIDHRSGTNLLRSILYNCGSNFKRLDYSGFITVILERGDEIICVASIRVHGNRLAEMPYIGTRYMYRRQGMCRRLLNAIESALSSLDVELLVIPAISELRETWTSVFGFEPLKQTSKQITNNMNLLVFPHVDLLQKKISKHAIANENLIHNEVSNHQKNLTAYKVACQDGEDSSGSDCCPKIEKNISVESGCLQLENSLNNAPDITSNNIQNIESQKDVTYHAACQIVNEKLVVDNKISDSCELRLTTDCAQPGCIGSKVYAESDDGCGSHSRPDGDSASGEAGLTSNILRHVESQNTTKDVPVNCENNSSVVSVLNADEELHYSKTIDLQTKKNPGDRSSILVSSGICDKIAHGVNGTNKASREADTDFLPADIELILDDKPGSHSRPNGHSAHGEAGVTTNVLMHLESQSITKDFPVNCENNSSVVGAPNADESERCYSKTIDLQTNKNPGDREKIADVVNERNKVSNAADADFLPIDLELVPNNKPGIKESSELSEVNLQVDQTESSNANTASGAALHCTSTGSTSCGSAEGIVLSNQAVE >RHN41029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26113607:26117059:-1 gene:gene47302 transcript:rna47302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein METERQLQVSSNSRQHNGGTSFFKTCFNGLNALAGIGILSMPYAVSQGGWLSFMLLIIFAMICWYTALLLERCMNQQPLIKSYADIGEVAFGYKGRVVIASFIYVELFLIAVELLILEGDNLEKLFPNMSFTIIGVKIGSKSGFMLITALIILPTTWLRSLGALAYISVGGVVASVILIGCVVWVGEVDGVGFHERGKLVNLGGLTTAMSLFAFCYCAHALMPTICNSMNDRKQFSKVLLVCFVASTIIYGTVAILGYMMFGDHLKSQITLNLPTNKISTKIAIYTTIVNPFTKYAIVITPIINAIEEKWHLCKRRPVSILVRTSIVVSSVIVALFVPFFGYIMAFIGAFLSVAISLLFPCLCYLKMHKAARRFGLELIIIIAIMIIGTFIGIQGTYISLVKIVNNIRT >RHN76305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49277622:49283663:1 gene:gene12607 transcript:rna12607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MARHLLPVVFLLYLTLPFIVHAQNNQSGFISIDCGLVDEPSYTDETTSIYYTWDVNFTDTGVSHNISSKHKASLERQFWNVRSFPEGTRNCYTLFVSQGSSNKYLVRASFVYGNYDGKDSLPEFDIYLGAKWWESMVFENSSSVISKEIIYAASSDYVHVCLFNTGKGTPFISVLELRVLSSDDAYLDNSLELLGRFDIGSKDGKKIRYPDDVYDRTWTPYNSIDWKKIDTSLTIDQAPSFSFTPVPPSNVMRTTAIPANASDNMEFSFLPKYNSSRYYVYMYFAEIQKLQENQIREFNIFVNGKLLSSEVNPLYLQNLYYSTAISETKLKLWLNKTSRSTLPPLFNAVEIYMSKDFLQSETYQTDVDAILTVKSTYGIKRNWQGDPCTSVSYLWNGLNCSYAGTDSPRIIYLNLTSSGLIGTIAAGISNLKSIEYLDLSNNNLTGAVPDFLSQLRFLRVLNLEGNQLSGAIPIQLLVRSENSTLQFNFGGNPDLCSSGSCNKSNGNKVVVPLVTSIGGAFLILAVAVISFHIYNTRHRVSNKVIMLGANSRIKQELESKKQEFRYEEVYRITRNFKTVLGKGASGTVYHGWIDHDTEVAVKMLSSSSAQGYLQFQAEAKFFATVHHKYLTSLIGYCDDGTNMALIYEYMANGDLANHLSDKNGNILSWNQRLQIAVDVAEGLEYLHHGCNPPIVHRDVKSKNILLNEKLQGKLADFGLSKIYPNEGETHLSTVIAGTPGYLDPEYNRLSRLREKSDVFSFGVVLLEIITGQPAITKTEDKIHIVQLVSDMLLEREVKDIVDPRLQGDFDINYATKALDTAMACVAQSSMNRPTMRNVVMELKQCLENKITYLSDSRYTYESFPGTLYSVSFDRISGESSLAR >RHN53545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2432068:2437046:1 gene:gene28461 transcript:rna28461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MNDADVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEADKKKIRQEYERKEKQVDVRKKIEYSMQLNASRLKVLQAQDDVVNKMKESAAKELLNVSRDHHVYKNLLKDLVIQSLLRLKEPSVLLRCRKEDLNLVEDVLDSAAKEYAEKANVHVPEIVVDKDVYLPPAPSHHNPHDLHCSGGVVLASHDGKIVFENTLDARLDVVFRNKLPHIRKQLFGQVAV >RHN40623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18286132:18287706:1 gene:gene46793 transcript:rna46793 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDDSLSINGRTFTFDFVVDVETSQGMKTSLLIVVGIVERIQSQKIFKDLSI >RHN73277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15077004:15078711:-1 gene:gene9075 transcript:rna9075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase domain-containing protein MRSLVATQLLNGYSIRDVNPVVVSHLQFVDDTLLGGTKSWANVCAMRAVLVIFEAMSGLKVNFHKSSLVGVNIAPSWLSEVASVLNCKMGKVPFLYLGLSIGGNPRRLCCWDPIVNRVKARLSGWNSRFLSFGGCLVVLKAVLTSRPVYALSFFKAPSAWSSVCLQKEFGGLWVRQLKEFNIALLGKWCWRLSVDRGGFWYRVLVARYGEVCGRLEVGGRSCSSWWREVGRIRDGDGDVRGGWFHYCVTRKVEDGNDTLFWFDTWLGSVPLCWQYLFSLGVKEGGEAWQWRRRLWVWEEEMLEEYQWLWIPDPKQGYSVRGAYYVLNSTDLSPVDLAAKMIWHRQVLLKVSIFAWRLLHDCLPTKSNLIYRGVISPDAGLCVSGYGALESAQHLFLSCSSFASLWLMVRDWIGFVGVDTNDLFDHFVQFVHTTVGSKATKYFLQLIWLLCVWVLWTERNNRCFNNHVTPLPRLLDKVKYLSLGWLKVRKVSFMFDTNSWWSSPLQCLGIG >RHN76618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51699103:51704602:-1 gene:gene12969 transcript:rna12969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UV excision repair protein Rad23 MKLTVKTLKGSHFEIRVHPSDSIMAVKKNIEDIQGKDNYPCGQQLLIHNGKVLKDETTLADNKVSEDGFLVVMLSKSKVLGSAGTSSTQTASNPPITVPTPDSTSVVQTQSANNNASPAVLAPTNVTTDTYGQAASNLVAGSNLEQTIQQLIDMGGGSWDRDTVNRALRAAFNNPERAVDYLYSGIPEAAEVAVPAAQYPSNPTETGGVTTGVVPGVPNSSPLNMFPQETISGAGAGAGSLDFLRNNPQFQALRTMVQSNPQILQPVLQELGKQNPGLLRLIDEHHSEFLQLINEPMDGTEGDNFDQAEQDMPHAVNVTPAEQEAIGRLEAMGFDRASVIEAFLACDRDEQLAANYLLENAGDFED >RHN57078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36287334:36290046:1 gene:gene32573 transcript:rna32573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MDLETLYSPYFMLDTNWLVEESYQTEWSREDNKKFESALAIYDKDTPDRWLKVAEMIPGKTVFDVIKQYRELVEDVSEIEAGNVPIPGYLASSFTFEVVEKQNYDGNRRRHVTVRGSDHERKKGVPWTEEEHRRFLMGLLKYGKGDWRNISRNFVVTKTPTQVASHAQKYYIRQKVSSGGKDKRRPSIHDITTVTLTETSSPSENKSLLVNVSPMQQKMGWSTSHYNDGSPQGQDLYDCSFHEAYAKLKVSGFATASRDFNKGAVFGIHAL >RHN58418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1586486:1587478:-1 gene:gene20328 transcript:rna20328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator Znf-B family MKMKCKECELCNQQASFYCPSDSAFLCRSCDVAVHGANFLVARHLRHILCSKCDGFTEILISGTALHHRLSSTCRSCSPENQSSGEPSSQSSSSCESCVTEKKKTKSRKIMKSFSVSNSVTDDISPAPGNKNMKKKMIGTEDAGSVAEEIFSKWRRELELDFPVNGDRVAVEAMAVCLRTWKLLPVKVAAASSFWFGLRFCGDNSFATCRNLMRLEKISGVPAKLILATHVKLARVFTQHLELQEGCDES >RHN72143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5343260:5345240:-1 gene:gene7823 transcript:rna7823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MMEEAQGSSSDKVPPFLTKTYNMVEDPSTDAIVSWGATDKSFIVWNKEDFEKDLLSRYFNHNNFSSFIRQLNTYGFRKIDTQLWEYANDDFVRNQKHLMKNIQRRKTVYSHSSQNADGQGVAAPLAESDRQTLNAYVQNLKHDREQMFLEIQRKEEVKKMNEITSQYMKGHLETLEKKHQSVLSSVGQVLKKPGKKEQKRKIPRNNPFCYVASTEDHVGTSHVIHKENDPGVSRLSLNLEQLDLLDSSMTFWEEITNDVGAEVQPKSLEVDMNSMPVVVVPDPVATETDVVVIPNHVATELDVIVVPNPVATEPAVINASDLVAVDPTVAVVPDFVEPKEQPVVTSLVTTDYNNEFWGQYLQDESENEDIPSRVGQYWQTKRV >RHN39078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3962875:3965465:-1 gene:gene45071 transcript:rna45071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GPI transamidase component PIG-T MTFAPATILSFILLFSMILSSSSAKVVEEEFSEELLLKPLPDRKVLSHFHFQTKAPIHQDSFARHHHLFPKSIAQLVKKYHIKEMELSFTQGRWNYERWGGFDTISSQNAKPPGVELWAVFDVPPNQVDASWKNLTYSLSGLFCASINFLESSSSYSAPKLAFQSAFENLTPWLKLLPCRDKAGLSALMDRPSIYGSFYHSQRLHLTASSAPADGLDSGVILEQTLTVVLQPNVQRAGMSYHDEIKIQPSWSLSSIFGQKVNGRCVLAKSSNVYLQVERGLVSQLENLQKNAVAYDANDRGTEVLRRNLGFDLSIMPDKIHRELGKSSTILNEYSIKGYNDTEQFDLGITWKYPVVWSSSHAPFYASRFLMGSGNERGSIAISLKSTELTKSFIAANNVEEKCKLQVNVLQIVPWYIKVYYHTLQLLVDGKPQAVTDFVEKMSVSPSEDKVSSGVMELILRLPCEIKSAVLNIEFDKGFLHIDEYPPDATQGLDIPSAIISFPDFHAGLQFSDDSITKSPMLSKFQEKSPVLSYTEVLLVPVATPDFSMPNMVITITCIVFVLYFVFLIKVLQGRVGDEEILLKNKGNS >RHN74252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31108872:31112101:1 gene:gene10284 transcript:rna10284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor, K-box MVAYDSIHILIRRHTLPTMCTILANFLFSFVNSMEKILERYERYSYAERQHVANDQPQNENWIIEHARLKTRLEVIQKNQRNFMGEELDGLSMKELQHLEHQLDSALKQIRSRKNQLMYESISELSKKDKALQEKNKLLTTKIKEKEKALAQLEQQNEDMNLASTVLVPQSLETLNIGSSLEDRDDGGNNEESQTHGNAHLPPWMLN >RHN61055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32564589:32566745:1 gene:gene23467 transcript:rna23467 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVMEMVEVETCKYKEAVVKAKEVAVTYSHMVEEVMEKVEVEICSSMEEVVKLKEVEVTYRHRVEGVKEMVGEEICKHMEEGVKEKEEEGTYRHMEVVVKGMVVVVTCRYMEAVVKEMVEEEIYKRMEVVGMETVEVVTCKHMVGEEREMEVVVTYIHMVEGVKVMVGVEICRHMEVVEMGMVEVVTCRHMEEEVKGTEVVVTCKHRVGEVRVMVEEETCRHMEVVVMERVVVDSYRHMAGEVKEMEEVVTCRRMEEEVKGKEVVVTCKHRVGEVRVMVEAETCRHMEVVVMERVVVDSYRHMVGEVKEMEEVVTCRHMEEEVKGKEVVVTCKHRAGEVRVLVEEETCRHMEVVVMERVVVDSYRHMVGEVKVMEEVVTCRHMEEEEREMEVVGTCTHTEEVVREMVEVEICSNKVGICGHMEVVAMEKVEVGICSNMEVI >RHN74266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31280535:31282078:-1 gene:gene10302 transcript:rna10302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MQTKSNLQQHASNKNTFMQKQQTNSHNLVQQSPNTSEPTFPSSFPQNPRNSSSRFLHSHHDSPPLASFIQNLLKFRRDKPTEQIEQALNLIGIHPNNNLVLQVLQRHRSDWKPAFIFFKWVSKTNNYTPSCEVYNEIINILGKMKCFEELHQVLDEMSQRKEFINEETFCILIRRFVAAHKLEEAINIFYRREEFGLDNELDSKAFRTLLMWMCRYKHIEEAETLFHRNLNKFRFCRDIKTWNVILNGWCVLGNTHEAKRLWKDIIAYKCKPDIFTYATFIKAMTKKGKLGTALKLFNGMWKRRVKRIPEALQVFHDMKERDCLPNVATYNSLIKHLFKIRRMEKVYELVEDMERRSGDCLPNGVTYSYLLQSLKAPEEVPAVLERMERNGCAMSDDICNLILRLYMKWDDLDGLRKTWDEMERNGLGPDRRSYTIMIHGHYENGRTKDAMRYFQEMTSKGIVLEPRTEKLVISMNGQLKERTEKQEGVEIEASNV >RHN59009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6632230:6633767:-1 gene:gene20990 transcript:rna20990 gene_biotype:protein_coding transcript_biotype:protein_coding MSILLSKYSNRMNFASCEVCPTLHEEIKSLKFKLEHDSKDPMIFAMNSKYERTRFRRPYRKTLVCSKINMTIANFMDTTLAVTKITIWSKK >RHN60236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24116852:24117403:-1 gene:gene22503 transcript:rna22503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEILKFVNVLILLIFIFLVIVACDSSIIFLPCITDKDCPDDKKIKGRCRKGFCTNGWLG >RHN67974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31891490:31894133:1 gene:gene16244 transcript:rna16244 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHEEYMDVADSDFLHNNKEQLTDDDSEFETVFENYFAFSVAKNRFFARKLPLHVVLGSGKAADIILWREKRITASILAGVTVIWLAFKMMEYTLLSIICDSLLILLAMLFLWTNLRSLIGISRPKSSAFIIPEGMVFKTAISMTKNINKLLKTFRVLASGRDFKKFLLVTWTLGVVSLLGIWFSVPTICYIATVIMLTVPAVYEKNQDIVDIISEKALIELNIQYAELMKKFFGKSRHLQDRDLE >RHN44254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2935393:2936474:-1 gene:gene38350 transcript:rna38350 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGDKWQQCSEDVALNIPLIAEHEGSQISGNNPSNNVTTSFLKTCFNGLNALSGNLINFSCFVSMFYKT >RHN81852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49341765:49343541:1 gene:gene5944 transcript:rna5944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein 161A/B MLEFVLFYKNPLLHASLSIILALTLSFFRIPILFLYALQTYIHPDSQPQSNGLKAAIRRPGTDGTTTELRKRNKSKEKPDFDENNAQIFRIRLDQSHLQSRLYIDQYCFLDCDDNNGLLANGVFVPILLSILSLYTWGMLFVKVTFERSASRRSEKQLSVVFGVLGVFLGLFFVPEVASLVLDFDFGVSVDGFWRVLISVMMGCLACFMFIPAIRSARSFWLGTDQIRCNLSMITCGFFNRTILYVNQILLIFVALMWITPLAEIFVNKNYNNSNGNSATRSGVGNVERVVGNVGFSPSDFDNFRRWCLLGSSLLQIVALRANLQMYLNEALLSWYQRLHGGKVPELDYSRAKMFLHNHYLCLVVLQFLGPPVLVLTFLGLSQIDGPSFENFPLALPGSAFFKEVALFLAWWVTFLWAIFSSVILLLHRHCILYVS >RHN71148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56790719:56790973:-1 gene:gene19797 transcript:rna19797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MLNSYIGNIRAAYTKGFTSKDITKQQIAVATYFIDKLALRAGNEKVQI >RHN69756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46041429:46043244:1 gene:gene18269 transcript:rna18269 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTTSLPILVLEGKSLRTLYCNRIISAAKDGLKILITYEDGDNTTMTSNVVYHEVVMTRAIMFYSTLTSIVTPCRTTLSISSSLFILGTTTSSILFSILAVIGCLQYCSVNSSV >RHN51910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28258118:28259244:1 gene:gene36477 transcript:rna36477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BAH domain-containing protein MPFSASFNLQEDTVLLAPETQDKKPFVAIIKDIEQSLKGNLKITTHLFYRPDEAERRRGHTWKSKIKRELFYSFHQYVVPAENVMHTCAVHFIPVHKKLPTSKEVPGFIVQKVYNTKEKKLRNLTDKVYDDNQQQEMDNLVQKTIQRLGELPDIIVDKKDLTKKSISPHYDILVKFNALTGETSRDEHLVMLLQNVQYLFDSDDNSDAISNGNSKSFVWPDVAVQAVVALEKASHDTFSPNYQNYSEKIQNLAFNLKVVSYFFNMNY >RHN76249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48851179:48857216:-1 gene:gene12542 transcript:rna12542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CK1-CK1 family MEPRIGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENIKTKHPQLLYESKLYKVLQGGTGIPNVRWFGVEGEYNVLVMDLLGPSLEDLFSFCSRKLSLKTVLMLADQMINRVEYIHSKSFLHRDIKPDNFLMGLGRRANQVYAIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIESLCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIATPSARAIGSGAGPSSGLPPAVVSAERPSGGEDGRHAGWSSSDPARRRNSGPIANDGNLSRQKAPVPSDSNGSKEVMLSSSNFFRPSGSTRRVGAVSNSRDAVVSSEIEPSLPLARDGSPGALLKTSGAQRNSPITSSEHKATTSSGRNTSNMKNFESTIRGIESLNFNDEKVQY >RHN62136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41013090:41015819:1 gene:gene24672 transcript:rna24672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative secoisolariciresinol dehydrogenase MASSSPAEVNRRLEGKVALITGGASGIGKRTAEIFVQQGAKVVIADIQDELGHSVAQTIGSSTCTYVHCDVTDESQIKNAVDTTVQTYGKLNIMFNNAGIGGPNNSRIIDNDKADFERVLSVNVTGVFLGIKHAAQAMIPARTGSIISTSSISSYVGGAASHAYCSAKHAVVGLTKNAAVELGQFGIRVNCVSPYALATPLATQFVGCNDGELETTMNMLANLKGVTLKTEDVANAVLYFASDDSRYVSGHNLLIDGGFSIVNPSFHMFQYSDS >RHN55271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16628868:16629715:-1 gene:gene30423 transcript:rna30423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSRKRENPSFSRHDFKRRRPLPPPPEEDKPTKRPTPPSAVVIMGLPLDCSVLDLKSRFEIYGSISRIRIDRDAVGYITYRTKDSADAAIAAGHDPSFGVTVNSKKFKTTIIKGI >RHN71001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55727780:55733472:-1 gene:gene19641 transcript:rna19641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gliding motility-associated protein GldE MAADVGCCGTKFWLYILMIIGLVCFAGLMAGLTLGLMSLGLVDLEVLIKSGRPQHRIHAAKIFPVVKNQHLLLCTLLIGNSLAMETLPIFLDAIVPPYAAVLISVTLILIFGEILPQAVCTRYGLLVGATLAPLVRVLLLVFYPIAYPISKVLDRMLGKGKAALLKRAELKTFVDFHGNEAGKGGDLTHDETTIIAGALELTEKTAKDAMTPISKAFSLDLDATLNLETLNSIMTMGHSRVPVYAGERTNIMGLVLVKNLFMVDSKAAVPLRKMIIRKIPRVSENMPLYDILNEFQKGHSHIAVVYRDLNDKKEISKKIKNEEQLEFKDSCRNKGKSAPLDKGTVLDSHDTVTAGSKTDGGPQVKKSPPATPAFKKRHRGCSYCILDLDNAPLPVFPPNEVVVGVISMEDVIEELLQEEILDETDEYVNIHNKIKVNMNASKEKVPDANLLQPSNLAVQGHTPTNSISTATSATGSPTTIDQISESESLRNQ >RHN59860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14104662:14107324:-1 gene:gene22025 transcript:rna22025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MKPFLFFLFTFSFFSQTQLLSLVSSATTTIPHQLITLLSIKSSLIDPLNHLNDWKNTSSNSNNIWCSWRGISCHPKTTQITSLNLSNLNLTGIISLKIRHLTTLTHLDISGNDFNGCFQAAIFQLTELVTLDISHNSFNSTFPKGISKLRFLRIFNAYSNNFIGPLPEELTGFPFLEKLNLGESYFNGTIPASYGNFERLKFLYLAGNALEGSVPPELGLLSELQHLEIGYNKFSGTLPVELTMLSNLKYLDISSSNISGQVIPELGNLTMLEKLYISKNRLSGEIPSNIGQLESLQHLDLSDNELTGSIPSEITMLKELRWMNLMLNKLKGEIPQGIGELPKLNTFQVFNNSLIGRLPPKLGSNGLLQRIDVSTNLIQGSIPINICKGNNLVKLILFDNNFTNTLPSSLNNCTSLTRARIQNNKLNGPIPQTLTMLPKLTFLDLSNNNFNGKIPQKLGNLRYLNGLWEFTAFQQLNFTVDDLFERMETADIIGKGSTGTVHKAVMPGGEIIAVKVILTKQDTVSTIKRRGVLAEVGVLGGNVRHRNIVRLLGCCSNKEKTMLLYNYMENGNLDEFLHAENNGDNMVNVSDWVTRYKIALGVAHGISYLHHDCNPVVVHRDIKPSNILLDGQMEAKVADFGIAKLIQIDELESTIIGTHGYIAPENAERLQVDEKTDIYSYGVVLMELISGKRALNEEFGEGKNIVDWVDSKLKTEDGIDGILDKNAGADRDSVKKEMTNMLRIALLCTSRHRANRPSMRDVLSMLQKRKYQPRRELNDIDIYNFDVGEGSGDGQT >RHN62474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43700301:43700939:1 gene:gene25054 transcript:rna25054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MVRPSCDVFINHRGIDTKKNIAGLLYDRLTKMGVRSFLDSKNMKPGDRLFDHIDKGILGCKVGVAVFSPTYCDSYFCLHELALLMESKKRVIPIFYDVKPSQLVVKDNGTCPVKELRRFSAALEEAKFTVGLTFDSSNRDWSVLLKDASEAVIMNLLELEEERKLLKGKH >RHN42874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41579521:41582840:-1 gene:gene49372 transcript:rna49372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-1,4-mannosyl-glycoprotein 4-beta-N-acetylglucosaminyltransferase MWWMMGETGGNYCSKKTDDLCSNVCGQESSQVSNMSRVRCILRGLDVKTYIFLFAFVPMCIFGIYIHGQKISYFLRPLWEKPPKPFNVIPHYYNDNVTMENLCRLHGWGVREYPRRVYDAVLFSNEIEILTLRWKELYPYIAEFVLLESNSTFTGLPKPLVFNGNREQFKFVEPRLTYGTIGGRFRKGENPFVEEAYQRVALDQLLKIAGITDDDLLIMSDVDEIPSAHTINLLRWCDEIPSVLHLQLKNYLYSFEFLLDDKSWRASIHRYRSGKTRYAHYRQSDNMLADAGWHCSFCFRRISDFIFKMKAYSHYDRVRFSHYLNPDRIQKVICEGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPAFLLENAEKYKFLLPGNCMRER >RHN40254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14619921:14620545:-1 gene:gene46379 transcript:rna46379 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIGESGNSSGKTVSRFRTGKSRHSANPTSESVCIDQMTSESVPSTSFPIRDRYKKPQKKIGFASFWTFSKYESKKESVKLIYSCSI >RHN75722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44551332:44552579:-1 gene:gene11961 transcript:rna11961 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSGLFAASVAAASATAVSFSSSANNSNHQDGTVERRGRDERSSSSSTEKFAPRFDGLRFIETLITAHR >RHN44799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8593833:8597280:1 gene:gene38963 transcript:rna38963 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFIGRQETKNTEILYIPFVINTLSEQSLPLETNLFQQSLRSYVVWTSISLQPYQIRKVLEYTRQKNLHCFGGYTLAPIVKPNSIT >RHN43808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48649896:48650481:-1 gene:gene50437 transcript:rna50437 gene_biotype:protein_coding transcript_biotype:protein_coding MLNCSGQRFEERLQGNYIKTESICPSFCIGGKLKLPGNDIVLNEEEESDDEMLYRRTVSL >RHN68051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32387276:32390155:1 gene:gene16341 transcript:rna16341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c oxidase biogenesis protein Cmc1 MHPPLTLHKHPMCAEIIEEFQKCHVEHPIAKFFGECTELKIKLDRCFRAEKAVKRKANFEKSKELKEQLRVLRKENAASNSQ >RHN66327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10919815:10921580:1 gene:gene14287 transcript:rna14287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MHSWLENIVNLYRNMRNDNFALFDEDPLGRSINLQSHCYGEFSSAVVQANTTMEDQSQIEVASNNAVFLGIYDGHGGTQASRFICEHLFKNLLRFANDNENDITEATLRNAVSATEEGFLDFAKMNYMHQPNLGYVGSCCLAGIIWKETLHVANLGDSRVVIGTMVNKKIRAEQLTRDHNCNDEAIREELRAMHPDDPNVVINDNGSWRVKGFITVSRAIGDAYLKRSEFTLRESFPKLEIVPEPFTRGVLSAEPEMHTRVLTDNDQFIIFASDGLWDFLSNKKAAEIVQKNPRNGIAKRLLSTALAVAAKRRKVTYRKIQAAATGRNNVSRRSFHDDISVIVVFLDKTSFPRQPVLNLALGLLWL >RHN39676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9514980:9515441:1 gene:gene45722 transcript:rna45722 gene_biotype:protein_coding transcript_biotype:protein_coding MIILKKVTVGEDHVVILMTKTKIWVQVHQLPFGFMDVSVGALVGSHIGKMVKYDEENN >RHN80124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35322732:35326222:-1 gene:gene4011 transcript:rna4011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanylate-binding protein MLKRVKGDDVAFEPAKLLWLIQRDFLQGKSVQEMVNEALQRVPNTNGDKNIDLVNQIRDSLAMMGNNNTAFSLPQPHIQRTKLCDMNDVELDQLYVTRREQLKELVTSIISPKIVQGKTLNGKEFVSFLEQILDALNKGEIPSSGSLVEVFNKGIIERCLKLYGEKMATLDLPLSEEYLQGVHNRSRNEVMKVFDQQHFGHHHAKKSTMQLNEEIKKMYKNVILQNEYQSSKLCEALYIRCEDKMDQLQILRLPSLAKFNAGFLQCNHSFDHECIGPSKTNYVTRINKMLGKSRSQFIKEYNQRLFNWLVVFSLIMVVIGRFVIKFILIEIGAWILFIFLETYTKMFWSVESLYYNSAWQFIVATWETLVYNPILDLDRWAIPLGVIMSLFIIYWWSYGRKYGSQWLLPLYRSNKNDPNRQRTD >RHN52506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36217142:36223093:1 gene:gene37194 transcript:rna37194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein Networked (NET), actin-binding (NAB) MERGPNIIVDMNKDKVISNSQLISSCIKGFKDKNITTNNMPSWLLTSISDLEERMKMLAIGTSEEEEEGDTFAERAETYYQKRPQLLSLLHDLYNGYVTLSDRYIQTLAKHKHHSRHSSQVSTLEEGFSDQEEVISGVSQVDSDMESSISYQQMLPMVKGSMVDVDAIVAELVMKNVMCDFLVHEVGVMERKYCESSRKSELQKSLLEVLESERLVLLNENAGLSYRVNSLVEENKELASESVFIKRKAGELAKCVLKMREDHRVYLLHRKIEDLQGQIHGLEKRNKEYYERLLRRESQENGGCIGNKGKNGGEGIALEVHVQMEKPRRFKWKEEGNSSRKNFAGKKGHSLWKKLKNMDLLLCGTNPTCA >RHN77963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10868709:10870983:1 gene:gene1474 transcript:rna1474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MALSGKVFTEIEIRALPAKVYNIFRKQLKKIPDISPDQVQGARLHEGGWENVGSVIHWEYTIDENEESAKVKIETIDDENKVITFSLFDGNVSESYKSFKGTLQVFDCELDINEYGSLVRWTFEYEKVKEDIIGACPDLYLDLVAEVTKDIDYHLELELEQDEQR >RHN69403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43190810:43195083:1 gene:gene17870 transcript:rna17870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SLC26A/SulP transporter MNLTTSSLPSLEVLMDLENENNDSENRILWVLNPPEPPGMLHRIIENINLRNRFFSLKHQPSTKLVFPLLQCVFPILNSFKNYNVQKFKCDVLAGLVLAIFAIPQAMGNASLAKMSPEYGFYTSIVPPLIYALLATSREVVIGPSTVDSLLLSSMIQTLKDPINDSIAYTHLVLTATFFTGVFQVAFGFLRFGFLLDYLSHATVLGFLAAVAIGIVLQQLKDLFGIANFTNKADLISVINSLWTSYKNNSEWHPFNFIIGFSFLSFIIFTRFLGRRKKKLLWLSHIAPLLSFIISTFIAYKVNVHQPKLEDYKIEVLGPIKGGSLNPSSLNQLQLDGNGKYLGPLIKIALTVAIISTTQSVAVGRLYASLRGYNIDPNREVLSLGIINIFGSFTSCYVASGSIARTAVNYNAGSQTMVSSIVMALTVLVSLKFLTELLYFTPKAMLAAIILSAVPGLIDFKKAYEIWKVDKIDFLACAGAFFGVLFSSVEMGLAIGVMVSFAKIIVISIQPGIAVVGRLPGTDAFGDVEQYPMAINMPGVLVVSIKSAWLCFANASPIRDRIEKWVIIDEAENGKGESIIKVVIIDTSCLVSIDTAGIASLVELNKNLILHGVTLSIANPRWQVIHKLRLANFVSEIGGRVFLSVGEAIDAILSAKMATI >RHN52116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31638479:31639433:1 gene:gene36740 transcript:rna36740 gene_biotype:protein_coding transcript_biotype:protein_coding MIMILLFYHFHIAKILQNIYYINISIFGSRFFKTNYPLEKLCRKEVLLMASCSLLCLLF >RHN49320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54007034:54026184:1 gene:gene44136 transcript:rna44136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Piezo family protein MVRFTPGFVLPVLLLTASILNWSLMSLVDLIAFLFIQYTVPRKGSRWHQQSLITWSVLILSSLTLLSHAIFHIVLAIEGDQWSTADAQWAQLIGFIRVQSWRTLPIEYFLVMQVLATFLSLIEIYGNGRGQDAWGNFYSGYLCSSVPLIGSHLKKLCCFLLPAVQLLAGISHASWVSLPFFICSSAGLVVWSWTSNYIGIFRWWRYLLYYAGFNIILLYIYQLPIDFPETLRSLFYHFGLFKLSTKSEWSEICSVLSLLLFYIMISWIKNELTEMEVITSTREGDLTEELLPKRHSLFVHEFRFGVRHKSFILQGAISQTFSINFLTYGFPIFLLVLSLWSFHFASLISFGLLAYVGYILYAFPSMFRLQQLNGILLVFILLWAASTYIFNVALTVSNYKPRKDMKIWETIGLWHYPIPAYYLLAQFGLGFLVTLCNLVNNSVLLCIADQGQLTADESVVEEEEETAVLVVATIAWGLHKCSHAIILTLIFLLAIRPGLIHVVYMIFFLIYLLSNAINGKLRQAVILLCEAQFALQFILQLDLISKTLDQKGSYAFQILSRFGLLNHIHSVDFFKISILACFCAIHNHGLQTLLIFSAIVRHTSCPPVGFGILRAGLIKPVCLSGYSPRSSEIQGTHERKTIAYLKVIRQKFLSVYQSCGKYIAFLTILLSVYLSTPNYASCGYLFFLLMWISGRQLAGKTKKHLWYPMKVYAIFVFLSIYSIDVFSSSKMSFPGIIDLQTAFGYNPEASTLQNIWQSLAVLVVMQLYCYERRQSKSYGSSNYDSPEIKPFPFTRRLLIRHTETILYAALFYASLSPISAFGFLYLVGLIHCSRLPKSSQITAKVFLVYSGLLIMVEYLFQMWGDQAEMFPGQDHFQLSLLMGLQLYKPGFKGVESGLRGKVAVIVACILQYSVFRWLEKMQHVDGNGGRWNEHCPLFSPVEDPDETTFCTLLSKQEENPTSTIKTGTRSRSWPTTNSASPQGTDSAQRDGVKKLKLLHFWESFKDSSKWNRKRLLFLRKERLEMQKTVLRVSLKFWIENMCNLFGLEINIIALLLASFAVLNAISLLYIASLAACVLLNRLLIKKLWPVFVFLFASIITIEYLAIWMHLAFAHEQIDEQVPCRDCWRVSDIYFSYCKRCWLGIVVDDPRMLISYYGVFMFSCFKFRADQSSTLTGLEMYQKILSQWKSASVLSDLSFETKGYWTFLDHLRLYGYCHLLDFVLSLILITGTLEYDMLHFGYLGFALVFFRMRLKILKQGNNIFRFLRMYNFVVIVLSLAYQSPFVGDFSEIKSGSIKLINEMVGFHKYDYGFRITSRSAFVEIIIFMLVSLQSYMFSFPEFVYVSKYLEKEQIGAILRQQEKKAAWKTAQLQHIRKAEELKHVRSLQVEKMKSEMLNLQDQLHNMSTEANCSNVSLEIYGLRERGNSSQDFHKGNEFQKHGLDLNTESIGPIDVNQSLLSEKSPSPLVPEYWKHPMDSPHGIVELKEKTKTNDLLDLGIRNRYKLRVRKNALVSAVHFIGNGVSQVQSLGNMAVNNLMNYLKIERKELKSAEDSSDDEEYYEIENQNTSAEPLESTFSIHSVNEHTVPDTACPQIGIIFRYMWSQMRSNNDVVCYCCFILIYLWSFSLLSVVYLAALFLYALCQNIGPSYIFWVIMLIYTEICILLQYLYQIIIQHTDFKFHVSLLQELGFPAKKITSSFVTNNLPFFLVYIFTLLQTSITVKDGGWIIAADSNFCKRRNQSFIEDVKCSTFKERLQRLFLPLKNVLKRLVRSLCRYWKSLTWGAETPPYFVQLSMEVNSWPQEGIQPKRIESKINKSLKILHYRRCKEDNLFNLHSASRVRVQSIEKSEENENLCLIVFEVLYASPSIEFTAEEWYSSLTPAEDVSNELRKAQHIGIFKEIGFPYRIISIIGGGKREIDLYAYIFGADLAVFFLIAVFYESVMKANSEFLEVYQLEDQFPEDFVSVLMVVFFLIVLDRIIYLCSFATGKVIFYLFNLVLFTYSVTKYAWDMDPLNRYSGRLAIRAIYFTKAISLVLQAMQIHFGIPHKSTLYRQFLTSSVSRVNVLGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIHASLFLVKCDVVLNRASRQQGQKQTKMTKFCSGICLFFVLMCVIWAPMLMYSSGNPTNIANPIKDASARVDIRTLSGKLTLFETTLCEKISWEKLEARTSLDPQGYLSAYNEKDIQLICCQSDASTLWLVPPVVQARFMKSLRWNMDITFSWEFTRDRPKGKEVVKYELTIQEQDLPTSSEVTKVFNGTSNSFSVFNIYPRYFRVTGSGDVRSLEQSVELVSGDLVLNHGNPEWWSFYDLDISDEHGCGKFPGPMAIIVSEETPQGILGETLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLMAICEDIYAARAEGELEVEEILYWTLVKIYRSPHMLLEYTQAE >RHN80480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38473201:38478974:-1 gene:gene4413 transcript:rna4413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase MAIRLMAMMFVLHIILLLNANVPSTYARLLGNKTMSKEKVIRRYLVENGLGLTPPMGWSSWNHFGCDVNESIVRETADAMVSSGLANLGYHYLNLDDCWAELNRDSEGNMVPNTATFPSGMKALADYVHNKGLKLGIYSDAGTLTCSKRMPGSLGHEEQDAKTFASWGIDYLKYDNCENNGISPKERYPPMSEALMKSGRSIFFSMCEWGWEDPATWAKSVGNSWRTTGDIEDNWNSMTSIADSNNKWASYAGPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALAKAPLLVGCDIRAMDNTTYELITNSEVIAVNQDKLGVQGKKVKSTNDLEVWAGPLSENKLAVILWNRSSSNATVIASWSEIGLEPEAIVDARDLWEHSTQLFVSKEISAEIDSHACKMYVLTPTS >RHN82294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52635360:52636533:-1 gene:gene6448 transcript:rna6448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endonuclease/exonuclease/phosphatase MIILSWNCRGLGSPSAVPNLRNLARGHKPDILFLSETLANTRSMENIRVMLGYDSCLAVDVVGRSGGLAVFWKDVSNCRVLNYSRNFINMIVEDKEAGDWRLTYYYRFPERARSRDAWDLLRELRDMSSLPWCIIGDFNDLLSQQDKSGIHPHPNWLCMGFREVVDDCALSDIKLHGHSFTWIKSPGTNRVLEERLDMAMGNSEWLQRFPEVKLTNLLTSHSDHTPILLDTVPGGRRFINNPHHRLCNTGRIECKLTTQIGRNRVRRG >RHN60014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16613617:16615942:-1 gene:gene22202 transcript:rna22202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannan endo-1,4-beta-mannosidase MTSIIKNLFSIYMVFHLISTLACEARSLRVTPNFVQRKGTEFMLNGSPFLFNGFNSYWMMNVASDPNQRYRVSNVFQKASAAGLTICRTWAFSDGGNQSLQISPGLYNEQMFQALDFVIGEAKKNGVRLILSLVNNYKDFGGRPQYVEWANSTGIPVNNDDDFYTNHVIKGYYKNHVKTVLTRINTITKIAYKDEPTIMAWELINEPRCQVDYSGKIINEWVKEMAPYVKSIDKKHLLEVGLEGFYGDSIPDRKQYNPGFQVGSDFVSNNLVKDIDFGTIHAYPDNWLAGQNDTMQMEFMQRWITSHLQDSRTILKKPLVFTEFGMSKNDSGYSIEARDSFMNTVYSSIYSLAQNGGTFAGGLVWQLLDEGMDSYDDGYEIVLTQNPSTSSVISQQSSKMIALEHTLSNKH >RHN43728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48026213:48029042:-1 gene:gene50349 transcript:rna50349 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLDPHTNKKTENNLQRQNNIFIFSYLFVVNNSGQIVAVFGAECESGDTFADGSVRYSMTSVNILTCSVSKDSGGQFSTALNGFQRKDPTFPVGLAY >RHN69327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42647689:42652323:-1 gene:gene17778 transcript:rna17778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MHLELETWTALVAILCLLYFILKEIVMGGNSSKGSPRRRHVPSYEASGSSSSWNNNYDGYGYPPHPQQSPYQTPQHQFSSASAPFYENSQPKKKLDKRYSRIADNYQSLDEVTAALANAGLESSNLIVGIDFTKSNEWTGKSSFNRKSLHHIGSGQNPYEQAISIIGKTLSTFDEDNLIPCFGFGDASTHDQDVFSFYSEERLCNGFEEVLARYREIVPQLKLAGPTSFAPIIEMAMTIVEQSAGQYHVLLIIADGQVTRSIDTEYGNLSPQEQKTINAIVKASEYPLSIVLVGVGDGPWEMMKEFDDNIPSRAFDNFQFVNFTKIMSKNVNPSRRETEFALDALMEIPSQYKATIELGLLGARRGHSPDRVPLPAPLYNRTSSSISGNSFRANSFQQSIPRDTGYEYERSVHTEPSTSSLHDNKVCPICLTDAKDMAFGCGHQTCCGCGEDLEFCPICRSTITTKIKLY >RHN70629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52854917:52855414:-1 gene:gene19234 transcript:rna19234 gene_biotype:protein_coding transcript_biotype:protein_coding MELASSGKIDPDLDLREERPMKKRVERSKVGVRTVFQIYGNWERERK >RHN77475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7114418:7118899:-1 gene:gene938 transcript:rna938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MSSLRNENEEGRDLKKPFLHTGSWFKINERKQSSLFGSTQAIRDSSISVIACVLIVALGPIQFGFTAGYTSPTQSAIITDLGLSVSEFSLFGSLSNVGAMVGAIASGQIAEYMGRKGSLMIASIPNIIGWLMISFANDSSFLYMGRLLEGFGVGIISYTVPVYIAEISPQNLRGSLVSVNQLSVTLGIMLAYLLGLFVEWRFLAILGIIPCTLLIPGLFFIPESPRWLAKMGMTEEFENSLQVLRGFETDISVEVNEIKTAVASANRRTTVRFSELKQRRYWLPLMIGIGLLVLQQLSGINGVLFYSSTIFQNAGISSSDVATFGVGAVQVLATTLTLWLADKSGRRLLLIVSSSAMTLSLLVVSISFYLKDYYISADSSLYGILSLLSVAGVVVMVIAFSLGMGAMPWIIMSEILPINIKGLAGSFATLANWFFSWLITLTANLLLDWSSGGTFTIYTVVCAFTVGFVAIWVPETKGKTLEEIQQFFR >RHN49792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1161832:1167583:1 gene:gene34008 transcript:rna34008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTTILKFAYVMIICLFLLQVAAQEVLVIHECNRDRDCHISCVPPELPKCIAHMCFCFNRL >RHN73298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15206152:15206364:1 gene:gene9097 transcript:rna9097 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRHRFINMQLTNPNYAVLPEASQALSLPVITQSDASRNENAEELSVKKEGCKSKKRKSKGVVTTPKVF >RHN61701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37633348:37639541:1 gene:gene24190 transcript:rna24190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MASKRERATGDRVEEEGLDFESKRQRVDEDSSPSPPPVNIANPLSGLANNYADIDEEEDYYQRAKGAVSDKRNGESQQNGHKYEGDDDSDEEEDSNQQLFGGRSSRQVEVRKDCPYLDTVNRQVLDFDFEKFCSVSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLLTEKVFCLPDGYEIDDPSLDDIRHVLNPRFTAKDVEQLDKNKQWSRALDGSSYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHCESQLVQRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGAQSDPVEFVSWLLNTLHADLKPPKKNMSIIYECFQGELEVVKDIPNKNASAKETSKMPFLMLGLDLPPPPLFKDVMEKNIIPQVPLFNILKKFDGETITEVVRPHIARMQYRVTRLPKYMILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPTPKANEKLRTKYDLIANIVHDGKPGEGSYRAFVQRKSEELWYEMQDLHVSETLPHLVALSETYMQIYEQQQ >RHN45801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25754892:25756073:-1 gene:gene40207 transcript:rna40207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKKRREQRESKKKVEERVQLTSSSSTIQHALHNCRNSSVDRISDLPDELLIYILSFLPTKLAFTTAILSKRWTQLCYSLPIIDLSYKTITKCRTLKRFRCFVNNIMLSPVSTNKPMKIFRFKCLLRYHQRNSKFNVTKWLEAAKQRHIEEIHLSLPSHTLMPDLFVSQTLVVLKLESLYVGKGTSCVHLPSLKTLNLTSVYFQNWNNYVNFLYACPILEDLYAEPIHFIRFDENNASEEGIKSLTLSKLVRASIRIRDGVVNGINNVKFLRVLICAKAFSLNAIPLFENLISMELVFPRCSYICWDDVVELLRHCPKLQILFIEKVFLFMCYVVFCFSIYFDKSYFIDHNGVMRSML >RHN80013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34319812:34330403:-1 gene:gene3886 transcript:rna3886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-NDR family MDSARSWLSKLQPRDKMKAKKRDEDGNNDGNGDLTSPVDDGSLSDVTKQKVAAAKQYIENHYKEQMKNLQERKERRTILEKKLADAEVSEEDQNNLLKFLEKKETEYMRLQRAKMGVDDFELLTMIGKGAFGEVRVCREKTTDSVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDDEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTLEEKDFSVGQNVNGSTQNEERSAPKRTQQEQLQNWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMTTCRKIVNWKSHLKFPEEAGLSPEAKDLISRLLCNVNHRLGSKGADEIKAHRFFKGVEWDKLYQIEAAFIPEVNDELDTQNFEKFDEADSQTRPSSKTGPWRKMLSSKDLNFVGYTYKNFEIVNDYQVPGMAELKKKPSKSKRPSIKSLFDSESETSELSEVSDTSASDRPQGSFLNLLPPKLEASHSERNLTHKS >RHN44209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2431029:2435525:1 gene:gene38299 transcript:rna38299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteamine dioxygenase MGIERNMVERKGRELCELLDETNTNNMKPRKNRRHLRRRTEMTPVQKLFLACKHVFANAAHGIVPSSQHIEMLRSVLAGIKPEDLGLKPDMPYFSNINGGTPKITYLHIYECEKFSMGIFCLPPSGVIPLHNHPGMTVFSKLLFGTMHIKSYDWAGDLPADVSQTQIPEKRLAKIKVDADFTAPCNPSILYPDDGGNMHCFTAVTACAVLDVLGPPYSDPDGRHCAYYRSFPFSNFPVEGISIPEEEKKDYEWLQEREKPESLQVIVKMYSSSKTMEN >RHN79098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22599634:22613366:-1 gene:gene2802 transcript:rna2802 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHADSFNDASDLCQQLMDRYAKSPAPHHRHLLAAAAALRSNLSSESLPLTPPAYFAAAISTASSSESLDSVSLSSLASFMAIALPLVPTGAISTEKAREAAEIVGMLLVREEEGLVVSSLRAAVKCVGVLLGFCDLEDWDSVKLGFQTLLKFSIDKRPKVRRCALESLEKFFRSLKSSTVIKEASKLVLSELKRCIDLTMKVTASRTVNECKDNGTSKPEHLEVLHVLNVVNLVAPNLYPKVVPKVLSEVHKLFGSQVSALTRHVLKTIEAIFETSRIRSIVLEIENIVVSLASYVSLGDKNPLDTVIVGATILRLAMDLLYIGQPSLWVKNLPLVCQPMMGLLTSEGNTASQASSILNDVLKHRIGSQSLLISTDQTVHDDSQLSLEGDAIKSTCAVFENTLSVTDGLPNEHLLSVISVLFHELGEFSSVSMRNIVLKLADLMIQTSDSKANNEHLQKCIGSAVFAMGPEKFLILVPISLDEHSYTYSNIWLVPILKQYITGASLAFYMEHIVPLAKSFKKASRKVKKSKVSQDLLVRAQELWGLLPSFCRHATDTYKSFARLSDVLTTFLKKNLSMHENVSTALQILVNENKAVLNPKKEETECDAEQDSSLEFSMLPAYSKKAATRNMKALESYSSQLLHILSDLFISSPPQTRISLKGAISCLASITNSSVTKEVFVSLLKRFELVDCEGEGEGEVLTSNSSVLDIEPSDETGCSQRCSILEISSCLVKGANDNLVEIIYNLTIHSFQATNESVHYEAYNTLSKILKEHPCYSSKYMELIDLLLSIKPPTDVASLRNRFVCFHTLMIHIVKISFEEDVNSKAFLILNEIILTLKDGKDEARKEACDLLLNISSSLRDLSCVGPTEPYHKLVSMIMGYLSGSSPHIKSGAVSALSVLVYKDANLCASIPDLVPSLLSLLHTKDTEIIKAVLGFFKVMVSCLEARELQNILSDVVSEIIRWSSVSRHHFKTKVTVIFEILIRKCGSAAVRVVTPEKYMDFLKTVLENRHGKSNEAAANDTENEVSAAKGLERRKPDILNTQEKDSFQQRKRKGKFERDMSSKTEPTKFRSNDEFRSAKRSRHSNDTNSNLRSEGSMKGNKSQFKSFPHGDGKRRGKAPNVRIGASKSNKFKRK >RHN74644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35422218:35430597:-1 gene:gene10748 transcript:rna10748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, C3HC MKEDDVVTSSKKKNHKPHSAASSAGASSPPYDTTGEASRRDKSSADSYMLIASALHGASNPSCRPWERCDLLRRLSTFKIAGKLPKVGGPLACAKRGWVNVDVSKIECELCGVQLDYALPSASSAEADASSEELSKQLDRGHKINCPWRGNSCPESLVQFPPTSHSALIGGFKDRCDGLLQFYSLPIVSSSAVEQMRVTHGPQIDRFIAQLQIQTAGELGYRAETSLTGEQAPHSYSHAQKLISLCGWEPRWLPNVLDCGEQSAESAKNGYNSDPAKGSAPGPAPSKEFSNSSRKDTGDNDVLGSEFNCESRSPLLDCSLCGATVRIWDFLTAPRPVHLTPCGTDTPQTSKKIASMRGISAASGINEWAAADGVEKERTGDRDEATTSGKRKLVSNKGLDLNLKMASGPRRSLINVTSTLDHVQYAGEGSNLRNRGPSGSDVGGPAASYESQGPNVRKRRLDDGATRADRPPLSMQQADSADRTVVNHDNNEISGGQQYSAGPSKRARDANHLETLQFSLRNTSGAVPSYSANIQSEAEENTVNQLNAEKDHVTSMPFTRESTHASSVIAMNGRYHSSDDESMESVENSPADFNEVNFPSVDLNETSELNSSYQAQQSACNQPPLERTGGEAGLSSSNVCGEVLNTEILTAQARDGPSFGISGGSVGMGASHEAEIHGTDVSVHRVDSLGDAEQIAEVIENHGHVSEFTPYHGHNGDFVPEEMSREDPQGDSQAVVSQSTARVDSGSKTIASTKVESVESGEKTSCSMETPGLENSAHPSLSCNAVVCSAYEVSKEEVAQTGKPSYIDDGAHPSLSCNAVVCSAYEEEVTQTGKESYIDVSTYHESGNLDADVVGTPYRDNSSGRVEFDPIKLHNDYCPWVNGVVAAAGSDSPCSTSDVGPAARCGWQLTLEALDSFQLLGHLPVQTLESESAASMCKGDRFTSSQKLLARNSFVRHQGKN >RHN41813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33232202:33245298:1 gene:gene48175 transcript:rna48175 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNQQDITVAIDGDDEYTQALLSDLLKFRTYIMELYPPSASVFVLLNAYNNCF >RHN79052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21974888:21975270:-1 gene:gene2746 transcript:rna2746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MVDNQAAIAISKNPVFHGKTKHFSIKLFFLRDVQKDGDVCLKYCKTEDQLSDIFTKALPKGRFELLREKLGISNY >RHN70306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50447187:50448891:-1 gene:gene18873 transcript:rna18873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MMSTHCLKLVSECFIKPYPPIEDSKQICYLAPWDIVMLSANYIQKALLFKKPTSSLNQQHFIDKLKHSLSLTLFHFYPLSGRLVTKKSEDPHSYTVFVDCKNSLGAKFIHATLDITINDILSPIDVPPIVHSFFDHHRAVNHDGHTMSLLSIQVTELLDGVFIGCSMNHAVGDGTAYWNFFNTLSEIFQKVVDVDVHIPVPISHQPIHNRWFPEGYGPIINLPFKHHDEFIHRYEAPILRERIFHFSAEFIAKLKAKANKECETTKISSFQSLSALVWRSITRVRRLHHDQRTTCKLAINNRTRMEPSLPKEYFGNSVYAVSTETTKGELLENGLGLASWKIHLAIAKYDHRVVRQLVEEWLRSPIVVRMDMLVDPYSVMMGSSPRFNMYGSEFGMGKALGVRSGYANKFDGKVTSYPGQEGGGSVDLEVCLSPEKMTLLETDEEFMNSVSVFNPLF >RHN46058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28129838:28138924:1 gene:gene40498 transcript:rna40498 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGPIHHGNKSLKLGEKYKLMWAAKYIKNTGSIQRDLHKNIVDNIDKLKGHFDDDVLTLTGNSLEGFDSLEEKLSWMLLVDGCSLLYILEKDRLVEPGPMDIKVDQLAIVMVDVLLLENQLPYEVLELLWKDNNKSELIKSTTNFLECLWARPGKSQPEKEKDVVPNESQLEKEDDEEGQHNVSIPNESHSKTPTHLLDLQHKMIATASKPKTKSNETADMKGSQEKNKFEMITYRNIQDLKAVGIRLKSSKTQSPRDMDFCEGWFAATLTLPEIVVDDTLAFTYLNLIAYEMCPDFKNDYVICSYVAFMESLIDHPEDVKELRSKRILLNCLGSDEEVAKLFNIIGTDLTTNIDTYYDVRCKINKHYSNRYKTWIAKGFENHFSSPWTIIAFSAASIALVLTLIQTLFTIHPACK >RHN62636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44856760:44857379:-1 gene:gene25231 transcript:rna25231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MKKEEVKVEIEDDMVLQISGERNENAKMDQVKAAIGEWCSHCYRATRRG >RHN39189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4849010:4850009:-1 gene:gene45192 transcript:rna45192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MLVDTITNIKDAYGVARNWQGDPCGPVKYMWEVLNCSIDGYSIPRITSFGLTGEISSSISKLTMLQYLNVGKNKLSGLVPSELHERHKSGSLSLRYALYSKLRIHIFYNFSTIFKVDPYPSFSFLKFRF >RHN80138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35448693:35450375:1 gene:gene4025 transcript:rna4025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MSHKLTKDQIAEFWEAFCLIDKDSDGFITVDELITIIKALEGNLTKEEIQEMIRKTDIDGNGRVDFEKFLHIIEIKMKEYLTEELKDSFKVFDSNNDGYISATELRHVMMKLGERLTDEEVEQMIREADLDGDGRVSYEEFVKFMMLN >RHN64422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58917582:58920798:-1 gene:gene27238 transcript:rna27238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leghemoglobin reductase MAMANLARRKGSALLSSAETLRYTFSLRSRAFASGSDENDVVIIGGGPGGYVAAIKAAQLGFKTTCIEKRGALGGTCLNVGCIPSKALLHSSHMYHEAKHSFANHGVKVSNVEIDLAAMMAQKDKAVSNLTRGIEGLFKKNKVTYVKGYGKFLSPSEVSVDTVEGENTVVKGKHIIIATGSDVKSLPGVTIDEKKIVSSTGALALTEIPKKLVVIGAGYIGLEMGSVWGRIGSEVTVVEFASQIVPSMDGEIRKQFQRSLEKQGMKFKLNTKVVGVDTSGDGVKLTVEPAAGGEQTIIEADVVLVSAGRTPFTSGLNLDKIGVETDKIGRILVNERFSTNVSGVYAIGDVIPGPMLAHKAEEDGVACVEYLAGKVGHVDYDKVPGVVYTNPEVASVGKTEEQVKETGVQYRVGKFPFMANSRAKAIDNAEGLVKIIAEKETDKILGVHIMAPNAGELIHEAAIALQYDASSEDIARVCHAHPTMSEAVKEAAMATYDKPIHI >RHN40055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12820326:12821192:-1 gene:gene46147 transcript:rna46147 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVHFIVFEGLYGLYIPLFFPFHRPTSTKLFPYRQIKSTKYQVSKLCWNSEGVRKSERPKKSSRSLKDDYMSDVEEEVEVKKKKNGKHEGENVKPECRDQQQIVPKISKRNSKVTTSDDLFANFFFGFHTGFQPIKGGRLIQLIRTSQVLFLKEVPHLAWLNSKERNKNTVKLSDVAKMYMLN >RHN43904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49341676:49342828:1 gene:gene50539 transcript:rna50539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MATIFGSSSIFLLPNTRTSHHITSSSATPPPQQPQPSGPSSPQSTTNLNEDQSVQVSAKAQQQIPIKPVVSSTKVDSTDWIATSLTRRFGLGAGLAWVGFLAFGVVSEQIKTRLEVSQQESNTRNVEEEKEVILPNGIRYYELKIGGGDMPRRGDLVVIDIMGKVESTGEVFVNTFEGDKKALALVMGSRPYSKGVCEGIEYVIKSMKAGGKRKVIVPPELGFRENGADLGSGVEIPPLATLEYVVQVDKVSIAPA >RHN77772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9318856:9322340:1 gene:gene1260 transcript:rna1260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MSRGKSFSCYAESISLLSFLQIVTVVAVLLFHHCQTCDAITNSNNQICPPSSCGKISNIKHPFRLMNDPTSCGDPKYELSCENNITVLTLFSGKYYVKAINYNNYTILLVDPGIEEGSCSSLPRYFLSSSNLTYDLGYGYNQANEVDPYQIFFDYLHIIYLKCSKAVNDDPEYVDTAPCINSDSKSYLYAFASDFSSSDHYFSVGRLKDYCQVKLVAMSSSDFPSDSAKVREGVPDRHQHRPLSYEEIHGMLLYGFQLSWLSRACRESCVGYRGCGLNDTGDLECSQSIESCTLPLGTLVSKTCDQVPKQFILMEDIILGIVKDGSIEKQSWYQSHQR >RHN54419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9401570:9403341:1 gene:gene29457 transcript:rna29457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endonuclease/exonuclease/phosphatase MKCIYWNIRGVGNLETQIDLFHMIKTHKPDILFLDEPLMHFTSIPSWYWKRLNLHLNKKWTGTLLAFTQWWPSAPNFLLNFLSRDRLGMPFTRFHMS >RHN59697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12663827:12663970:1 gene:gene21787 transcript:rna21787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I assembly protein Ycf3 MTRSRINGNFIDKTFTIVANILLRIIPTTSGEKEAFTYYRDGAI >RHN80620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39495268:39497447:1 gene:gene4565 transcript:rna4565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ALOG domain-containing protein MDLVSSGSTNQSVESPTSGSSMTNNSTGSGSSTSTPTSSRYENQKRRDWNTFCQYLRNHRPPLSLALCSGSHVLEFLNYLDQFGKTKVHNHPCPFFGIPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGRPETNPFGSRSVRIYLRDVRDFQAKSRGVSYEKKRKRPKPKVSATTSSAT >RHN44313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3456756:3464196:1 gene:gene38416 transcript:rna38416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ent-copalyl diphosphate synthase MSFSSVCLSFDLLPTLNSQVPPINTHIMSTHHLLPSFYSSNYHFSFPSNISSSSSLYSKSSLGSISFVPKENKEKRCKAISKSQTQEYHEEFETNVKTLKLCETNVEDDKVIDDEEDQDIVVGLVNSIKSILSSLEDGKTNMSAYDTAWVALVEDVHTSGTPQFPSSLEWIVKNQLQDGSWGDSQLFLTHDRILSTLACVIALTTWNMHPEKCDKAMSFFRENLDKLEDENEELAGFEVIFPSLLDRARRLNIDVPNDSPILKNIFAKRDEKLRRIPREMMHKIPTTLLYSLEGMSDLDWKQLLKLQSQDGSFLTSTSSTAFALMQTKDENCFKYLNKIVNKFNGGVPFAFPLDTFERTWVVDRLERLGISRYFQQEIKDCMCYVYR >RHN44735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7930934:7931513:-1 gene:gene38894 transcript:rna38894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MASKSFSISATSQDEGDSSHTKEGVNMKANEDQPSKSNSNKSVGSVKLSKDDVVSPNSNDGKDKKKYFTCSYCKGQFSTFQGLGGHQNAHKAERALEKQLKERYDAGALGLGQSHFKPYLNYSSTLFTPYNNYRGLGVRMETTIQKPTYTNPRFIPTGSGYGYEIILRLVILELEL >RHN69014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40319139:40319321:1 gene:gene17440 transcript:rna17440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcriptional adaptor 2 MGITDGKVSLYHCNYCKKNISGKIHIKCAVCQDFDLCIECFFVGAELTPHKSNHPYRVMV >RHN46665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33891934:33892380:1 gene:gene41184 transcript:rna41184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MATSMLVKITCLSVICLVLAIPLANAAIACNDLLETLYPCVEFITSPGFSDPSSPCCDGIKRINDEAITTLDRQNVCKCLKPVVPVLPGLNPDNFATLPDKCGVNLLFSISPHMNCNKYISHYQPSFFNFFFVCLYIYIYIYYILLNK >RHN48939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51265506:51272371:-1 gene:gene43713 transcript:rna43713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl-P-Man:Man(5)GlcNAc(2)-PP-dolichol alpha-1,3-mannosyltransferase MEIGGRRLKNIETMAVESVTQSAPPPRSKRNNTFTENPKIPIAIALLIADAIFVFLIIAFVPYTKIDWDAYMSQVKGFLGGERDYNNLKGDTGPLVYPAGFLYIYSAFLYLTGGHVYPAQILFGFLYIINLAIVLLIHVKTDVLPWWALCLLSLSKRVHSIFVLRLFNDCVAMTLLHATLLFFMHRRWNLGLIVFSAAVSVKMNVLLYAPPLLLLMLKAMDIGGVLLALAGAALVQILLGLPFLVSHPLAYISGAFNLGRVFIHFWSVNFKFIPEPVFVSKGFAIFLLAAHLIALAAFAHYRWCKHEGGLLKFLHSRYVFMRMRFALLFSSSFKKHGKSSSSSIKILTKEHIVTTMFVGNFIGIVCARSLHYQFYSWYFYTLPYLLWRTRYPRLIRLILFVGVELCWNIYPSNNISSALLFCLHLIILWGLWSAPPEYPYPEKETSSQKDK >RHN72326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6806015:6811954:-1 gene:gene8024 transcript:rna8024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TUBBY family MAIIRNIIEDMRSRSQRVVVAAEKEEKVAVGDSLRQSCWANMPQELLREVLLRIEASEDTWPPRKNVVACAGVCRSWRVITKEIVKKPEISAMITFPISVKQPGPRENLLQCFIKRNRSTQTYYLFLSLTSSLGDDGKFLLAARKCRRPTCTDYIISLDADDMSRGSNNYVGKLRSNFLGTKFTIYDGQPPHAGAKFTKSRSTRLVNLKQVSPKVPTGNYPVAHISYELNVLGSRGPRRMHCVMDSIPSSSIEPGGVAPTQTEFSLNNIEMFPLFPFFRSKSNRVVENSLSGPLVGDKKDGMLVLKNKAPRWHEQLQCWCLNFHGRVTIASVKNFQLVASAENGTAGPEHDKIILQFGKVGKDLFTMDFRYPISAFQAFAICLSSFDTKIACE >RHN48022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44347191:44350104:-1 gene:gene42690 transcript:rna42690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GH3 family protein MMTDDELMQKLEELTMDAKHHQLETLRSILQHNGSVRYLQSLNNNKSVDPATFTSLVPLSSYDDYVDFIHQMADGEHDDHHDLLSVDPLLCFFYSSGTTSMKPKLIPYFDSSLSKAASFLGHRGCIAVLHRMFPPRPNVNKILWFLYADKITTTTKRGLKVMAASSYSLQSGKVTPQQLALFSSPLEVIIGSNVEQQMYCHLLCGLRNLDVIDGISTPYAIGLIKAFSFLESKWEQLCVDLDCGFPSHEISDEKMREAVINTIGGPQHELSNRIRLVCEGKNWGGIVHRLFPNVRFIKCVTTGSMKQYYQKLKFYAGDVPIVGGDYFASECCVGLNLEITQPPETTRFVLLPTFAYFEFLPFEMNENDEDVIGEQTVDLCGVEVGKMYEVVVTTYRGFYRYKLGDIVRVVGFHNSSPQVEFVMRAPKSSAEILNEKDLISAVENFQLALRGAMGIDSIEIVEFASFLYQEPASKQLKVFIEVEEVQDESNNLEEWVKVFKSCISSLENGLGALYKVQREKGHIGNLMIIILRQGAFDQLSDLALRNGTPASQYKPPKIIRNHEVVKFLEKFAFVTISMDD >RHN45669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24288088:24289549:-1 gene:gene40052 transcript:rna40052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MNNLRLNDNSLSGFIPREIRTMRNLLEINLSNNSLSGKIPPTIGNMSNLQNLTIFSNHLNEPLPTEINKLSNLAYFFIFNNNFTGQLPHNICIGGNLKFFAVLENHFIGPVPMSLKNCSSIIRIRLEKNNLSGNISNYFGVHPNLYYMQLSENHFYGHLSLNWGKCRSLAFLNVSNNNISGGIPPELGETTNLYSLDLSSNYLTGKIPKELGNLTSLSKLLISNNHLTGNIPVQITSLKELETLNLAANDLSGFVTKQLGYFPRLRDMNLSHNEFKGNIGQFKVLQSLDLSGNFLNGVIPLTLAQLIYLKSLNISHNNLSGFIPSNFDQMLSLLTVDISFNQFEGSVPNIPAFHKATFEVLRNNKRLWQCLWLGALPNIKRY >RHN72450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7767157:7803169:1 gene:gene8162 transcript:rna8162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MASFATLFSLLTIAILSFSCSSQFILPIEKDPLTNLFYTSVGIGTPQHNFNLVIDIGGPTIWYDCNKNYNSSTYNPISCDSKLCPKFSGCTGCSGPFKPGCSNNTCAANIINPLVNAIFVGDTGNDVLFISHSKVSNLLSGCTESEVFTGEDVPLKNLPKTSKGILGLARTKLSLPKQLSSSSSHKLPNKFALCLPSSNKKGLGSLFIGGIPQQSSFFKFQLTKIPLVINPFSTAPIFTKGDASYEYFIDVKSIKVGGEILNFKSSLLSIDNKGNGGTKISTMKSFSVLHSSIYSTLVRDFVKKASDKKIKKVALVAPFGACFDFSTIGRTNTGLDVPTIDLVLQGGVEWTIYGGNSMVLVNKKVASLGFVDGGKKPTTSVVIGGHQLEDNLLEFDLYVYGGNSMVLVNKNVACLGFVDGGKEPRRSIVIGGHQLEENLLEFDLPFTYINHSSISHSIELTMASFSTLFSTLILTIALLSFSCSSQQFFSPVEKDPITNLFSTLLWVGTEPTHEFNFVIDIGGPILWYDCNKAYNSSTYNPISCESKHCTNDAGCTSCNGPFKPGCSNNTCGANIINPLVDAIFSGDTGSDALFIPKSKIKVSDFISGCTDSNAFADSADSDFPLKNLPKTSKGILGLARTPLSLPKQLSLAPQKILNKFVLCLPSSNKLGSLFIGGVGSSLSKFQLTKVPLVINPFSTAPIFTEGDASYEYFIDVKSIKVGGEVLNFKSSLLSIDNKGNGGTKLSTMNPFTVLHSSILKPLVRDFTKKASDKKIKKVSSVAPFDTCFDLSTIGKTNTGLDVPTISLVLEGGVEWIIFGGNSMVLVNKNVACLGFVDGGKEPRTAVVIGGHQLEDIVLEFDLVSSKLGFSSSLLLQNASCSNSNSVNKMVKSDYTFSTHIHFFKKEPFTDIKHSSITNSIELTMASFSTLFSTLILTIALLSFSCSSQQFFSPVEKDPITNLFSTLLWVGTEPTHEFNFVIDIGGPILWYDCNKAYNSSTYNPISCESKHCTNDAGCTSCNGPFKPGCSNNTCGANIINPLVDAIFSGDTGSDALFIPKSKIKVSDFISGCTDSNAFADSADSDFPLKNLPKTSKGILGLARTPLSLPKQLSLAPFKLLNKFALCLPSSNNLGSLFIGGVGSSLSKFQLTKVPLVINPFSTAPIFTDGDASYEYFIDVKSIKVGGEVLSFKSSLLSIDNKGNGGTKLSTMKSFTVLHSSIFKPLVRDFTKKASDKKIKKVASVAPFDTCFDLSTIGKTNTGLDVPTIGLVLEGGVEWTIFGGNSMVLVSKNVACLGFVDGGKEPRTAVVIGGHQLEDIVLEFDLVSSKLGFSSSLLLQNASCSNSNSVNKMVKSSESFISVE >RHN55748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22545821:22546633:1 gene:gene30990 transcript:rna30990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative uridine kinase MENSNRYGISSIQDQLSFEKGFFIVIRACQLLAQNNDGIILVGVAGPSGAGKTIFTEKILNFMPSIAVISMDNYKDASRIVDGNFDGKSSSGLRCFFYHSLCGSLSGCRLDSMINNCFLAEDATKVLDVIFFFLIVVLFLSLC >RHN63414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51056049:51060589:-1 gene:gene26111 transcript:rna26111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative initiation factor eIF-4 gamma, MA3 MASNEGFLTEGQREMLKIASQNAENLSTSPKSPSTLLADHHHIKAPAGGKAQTAGIAVRHVRRSHSGKLGRAKKDGAGGKGTWGKLLDTEVDSHIDRNDPNYDSGEEPYELVGTTVTDPLDEFKKAVVSLIDEYFSNGDVDLAASDLRELGSSEYYPYFIKRLVSMAMDRHDKEKEMASVLLSALYADVISPTQIRDGFFMLIESADDLAVDILDAVDILALFLARAVVDDILPPAFLARARKALPESSKGAQVVQTAEKSYLSAPHHAELVERRWGGSTHITVEEMKKKIADLLKEYVDSGETLEACRCIRELGVAFFHHEVVKKALVLAMEIPSAEPLLLKLLKEAAAEGLISSSQMVKGFSRLEEGLDDLALDIPSAKALFQSFVPKAISEGWLDASFDNPAGENGEFQVEDENVRKYKKEAVTIIHEYFLSDDIPELIRSLEDLGAPEYNPIFLKRLITLALDRKNREKEMASVLLSALHIEIFSTEDIVNGFVMLLENAEDTTLDILDASNELALFLARAVIDDVLAPLNLDEIGSRLPPKCSGSETVRMARTLSSARHAGERLLRCWGGGTGWAVEDAKDKITKLLEEYESGGVVGEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLDLLQECFSEGLITTNQLTKGFTRIKEGLDDLALDIPNAKEKFAFYVEHAKTKGWLLPSFDSSAPDV >RHN81781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48838328:48839122:1 gene:gene5869 transcript:rna5869 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKMLMFSVILTWSNLSSKVNQLPLFPNVANVVLPKAAYCSEV >RHN80573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39150160:39150634:-1 gene:gene4513 transcript:rna4513 gene_biotype:protein_coding transcript_biotype:protein_coding MFYNMVSEPGLASTLNDIFRCIFLRKFNFLVYFFLYSFPLLSFLFDFPLISREKKNCFSSFLS >RHN47162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37598974:37602258:-1 gene:gene41726 transcript:rna41726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiolester hydrolase MDLNVSPSNNTTITIPVNGTIPVSSTFENPIPVTGSGDRKPIALWPGMFHSPVTTALWEARGKIFERLLDPPRDAPPQSELVTRTPSQSRTSILYNFSSDFVLREQYRDPWNDVRIGKLLEDLDALAGTISVKHCSDEDSTTRPLILVTASVDKIVLKKPISVNIDLTIVGSVIWVGRSSIEIQLEVTQSKQEGSDSDSVVLTANFIFVARDSKTGKAAPVNRLSPETAREKLLFEQAEARNNLKKRKRGGEKKDHENEEEKKLKDLLAEGRIFCDMPALADRDSILLRDTSLENSLICHPQQRNIHGRIFGGFLMNRAFELAFSTAYAFAGLVPYFLEVDHVDFLRPVDVGDFLRLKSCVLYTELHDPDQPLINVEVVAHVTRPELRSSEVSNTFHFTFTVRPEAKAMKNGFKLRNVVPATEEEARRILERIDADNLNEFFRT >RHN72898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11620297:11623567:1 gene:gene8664 transcript:rna8664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MSSLLASYMEKKISRPEFEQALKSIKSFSRDYECSSHVHGNLATWGGVLGQTSTQNYDAQKITMSQVVDAAMDELVRLVRVNEPFWVKSPNTQDGYTFHRESYEQVFPKNNHFKGANVCEESSKYSGLVKISGIDLVGMFLDSVKWTNLFPTIVTKAETIKVFEIGSPGSRDGALLLMNEEMHILSPLVRPREFNIIRYCKKFDAGVWVIADVSFDSSRPNTAPLSRGWKHPSGCIIREMPHGGCLVTWVEHVEVEDKIHTHYVYRDLVGNYNLYGAESWIKELQRMCERSLGSYVEAIPVEETIGVIQTLEGRNSVIKLAQRMVKMFCESLTMPGQLELNHLTLASIGGIRVSFRSTTDDDTSQPNGTIVTAATTLWLPLPALKVFEFLKDPTKRSQWDGLSCGNPMHEIAHISNGPYHGNCISIIKPFIPTQRQMMILQESFTSRVGSYIIYAPSDRQTMDVALRGEDSKELPILPYGFVVCSKSQPNLNAPFGASNNIEDGSLLTLAAQILSTSPHEIDQVLNVEDITDINTHLATTILNVKDALMSSI >RHN59875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14299682:14300191:-1 gene:gene22040 transcript:rna22040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MNMDNQRKKSVGHKKIEIKKIDKASNRQVTFSKRRQGLFKKASELCVLCDAHVAMVVFSPSDKLFCFGQPNIDTILNSYINETTEFEDSKTSSYEEYNRRYEEALKMLESEKKKLADVQNLNKSDWWNDSIDDMSIEELEQFMESIKELKTNLNEPMLCRTMEFLDLDE >RHN40613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18185654:18194678:1 gene:gene46783 transcript:rna46783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative digestive organ expansion factor, predicted MLHWGEVNQEYGGLYSEHGESISTQQVWFFDQCSTYRDILFANKTPFYLEGSEDVDIMGAYIVHALNHVFRTRDCIDKNDAKIAELGADVDSERFQDQGFTRPKVLILLPFASIMYDVVMSLIKLTPSAEVDEESLKLFSDKYGGEEQKDSKEKGQEPDNEDPKPEDFKLLFKGNSDEKFITGIQFTGTTIKLSDDLFSSDFIIASPCRLVDEIDVGNVDILSSIEILIIDHADIIAMQDWSHVNTIIQQLNRVPDELPNATMRIRPWYLEEEAQFYRQTMILGSYSNKDILESFNRSSNYEGKMMRLMSEYKGVNEWFSKLWEMDKADVRTRMFS >RHN40252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14615503:14616513:-1 gene:gene46377 transcript:rna46377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MNVENVNWPWYVSKWWKDIVTIDQGGGASWFNGEVIRRVHNGLNTSFWNTKWRGEMIFCSKYPRLFAISNQKDAKVAEMWEDRGTETELIFNWRRRLFVWEEEILNNLLRDLHGFDRTQGEDEWCWKLEDGGRFTVSLTYKKLAEVLLVEDEWGEAEYRVFGQIWKSPAPSKAVALSWKGFLNRVPTRVNLVRRNTLPTNASSICVFCNVEEESTNHLFLHCKETRKVWKKLENWLEVNLITPSNLFSHWTCWEGQLSNWKELKRGMRFIWHTAIWVIWNFRNNIIFKNGGIEVEVVAEEIKMLSWKWSLSRLKTQPCLYYEWRWNPRWYLGVLRG >RHN64858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62483854:62491008:-1 gene:gene27724 transcript:rna27724 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSITGSSVNGSQLLVHIAENGHSFELECDENALVEAVMRSIESVTGINFNDQLVLCSDLKLEPQRPLSAYKLPSDEKEVFIFNKARLQSNAHPPPPEQVDVPENLEPPSPSSSHDPHPLDDALDPALKALPSYERQFRHHYHRGHAIYTGTSMKYEHCERLLREQMVQERAVEVARCNLDQYYRIINQNYGDFMKRYMQQHRMHSDLLANFGKDVEKLRSIKLHPALQTVNHKCLLDLVKEENLRKSVENCTSSHKQFENKMSQFKQSFGEVKHRVEDLLTSGPFLATKNLEQAIKEHHRYINEQKSIMQSLSKDVNTVKKLVDDCLSSQLSSSLRPHDAVSALGPMYDVHDKNHLPKMQACDRAISKLLEFCKEKKNEMNFFVHDYMQRITYVSYLIKDQKLQFPVFKEAMVRQDGLFGDLKLFHSIGPSYRACLAEIVRRKASMKLYMGMAGQLAERLATKRELEVSRRDDFMRVHGSCIPRDVLSSMGLFDSPNQCDVNIAPFDDGLLNIDISDVDRYAPEYIAGATYRLEKHGSYKSASGSISDSSHLAEAVDISGNSFQKYDSEDLLDDSVLVEIAGTCKMEVENAKLKAELAARIALICSLCPQIEYESLDDEKVGNILKNATDKTAEALHLKDEYIKHVQSMLKMKQMQCGSYEKRIQELEQKLSDQYVQGQKMSSVNDAADFPLLAGSGKTDNCKSEYVSGEANMPSISTTEPMDEVSCISSSFDAKLGLFTERAGKSLDGVDENMLDSSGMQNPHLDSSMMEPHREEMQSSDKDKKDKITGQLGLSLTNSSTAESMPLSHDLVPCGSLVCPDLGSKVNDDKLLELQSALADKSNQLNETDTKLKAVMEEVAVLKRELEASRKLLDESQMNCAHLENCLHEAREEAQTQKSSADRRASEYSLLRASVIKMRSFFERLKTCVYAPGGVPDFADSLRNLAQSLANSANDRDDDDIVEFRRCIRVLADKVGFLSTHREEFHDKYTRMDAANEQLRKELEEKTDQVKTYYNKLQLEKQANKEKISFGCLEVHEIAAFVLTPSGHYEAITKKSSNYYYLSAESVALFTDHLPSRPNFIVGQIVHIEHQIVKSLPEHGRATTPDKGTTDWLTLNSGSTPNPYGLPVGCEYFVVTVAMLPDTAIRSSSPTS >RHN57799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41784081:41788096:-1 gene:gene33387 transcript:rna33387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MSAANLRYAMFFVGKAVKMVLEDDEGKKYLSDWFGKVFEEVETEKNRLISNRDLVRVKVEATDHKTEKVNDAVFEWLKETDILMQEVENLTLQSRKRQWNEFRKLLRKITALNVKCEFDPFSTPIPSLEHFSSGNILCFKSRDKTSDQILEALRDDNCSMIGLYGSKGSGKTALAKAMGEKVKHLKIFHEVLFATVTQNLNIRTMQEEIADLLDMTFDKKSETVRARRIFSRIESMSRPILVIFDDVRVKFDPEDVGIPCNSNRCKILLTALAQQDCELMHSKRNIQLGPLSIEESWTLFQKHSGIHDEGHSSSFDLLNVAREVSFECEGLPRTIKDVGSSLRGKPIEEWKASLDSLRHSMAKWQIFLSFRGEDTRYSFTGNLYKALCQGGFKTFMDDGGLHTGDKISPTLLNAIEESRLSIIILSENYANSSWCLEELVKIMECMKLKNQLVWPIFYKVKPSDIRHLRNCYGEDMAQHENNFGIDSERVQKWKSALFEVSNLSGKAYTTGYEHEFIQKILEDANRKKSRLQIRST >RHN77131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4318013:4318457:-1 gene:gene555 transcript:rna555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MMPKQRRRKQLLVLPKRRKQMLASAAKKKKIKTTTEYELYPSFKPKYPRMRVSNIWLTITVCFDCDTNTTPLWSSDPTGSKWLCNACRLRRRREEAKADKGLDS >RHN45308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16420136:16420982:-1 gene:gene39573 transcript:rna39573 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSSTNKVTLKLLIDTMNEKVLFAEASKAVIDFLFNLLRLPVGTVVKLLNKNGMVGSIGNLYNSVETLSDNYMEQEQTKEVLLNPRAPIYSTEIAGLLPANNTDANNLGGRGNLFYRCRGGCSYNVTCDSSTRCSCCSSAMNVQVNYVGKKVVEDNVSIKNGFVKDVVTFMVMDDLVVQPMSTISSITLLNKFNIKEVGTLQEKVVEMGMDEGIKLLKASLQSKAVLTSVFIKKDV >RHN60581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28452374:28462816:1 gene:gene22912 transcript:rna22912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling DDT family MEKGGGKPRGRPRKRRKEEEEKEGKLVNEAKRQVVETKPIPLVGRYVLKEFPRNGVFLGKVVYYESGLYRVNYEDGDFEDLESVEIRPILVRDDSFDGSLVKRRNKLDKLVLQNSAKVANKSDKGSLKSQKDEHEPAAVGATDPCESKGENDEDEDDEDDVDLSSGSVSNVETVPLPPPLHLPPSSGTIGVPEPSVSHLLSVYGFLRSFSTRLFLHPFTLDEFVGALNYRGPNTLFDAIHISLMRVLRRHLESLSSEGFELASQCLRCNDWSLLDTLTWSGFVILYLVVNGYTKGPEWKGFYDEVFSSEYYLLPVSRKLMILQILCDDVLESEELKTEMNTRKESEVGMDDDAEDILPAETGPRKVYPRYTRTSFCEDKEAVKLVSASNAGNQPGNSVSNCRDTESTGDGDVDRNGDECRLCGMDGTLICCDGCPSAYHSRCIGVMKMFIPEGPWYCPECKIDMAGPTIAKGTSLRGAEVFGKDLYGQLFMGTCDHLLVLNFENNEVCLRYYNQKDIPKVVQVLYESMLHRPMYHDICMAVLQYWNISENFLPLCASIETNLKDETKSSALLCPPSSEDNHTPVSLVKVENSPTTASLIPNDNMVPSLDALQVIPQSLAFNSSGIDRSEKGLTVNKKLSEEIKTEAIISAGSVGHPSDMNFQNSVNMSTAVDAAKYSVANSQSSNCGHANDMELPSNFSLQNKENTQVGFGKCERTASDDFCYMGFSFKPMSYINYYMHGEFSASAAAKFASDSSEESKPEGHASDSQKKTLSAYTYLQAKSFSQVASRFFWPSSEKKLVDVPRERCGWCLSCKANVVSKRGCMLNQSLIIATKSAMKTLAILPPLRNGEGILPSTIATYILYMERCLHGLVVGPFVNASYRENWREQVKQATTFSAIKPLLLKLEENIRIVAFCGDWVKLTDDHLVEFSNIKSATSTQGTTQKRAPSGRRSKKRSSSDEATDDGSKESMWWRGGRFSRLISQNITVPKSMVRKAARQGGSRKISGIFYADDSEIPKRSRQLVWRVAVEMSRNASQLALQVRYLDFYLRWSDLIRPEQNTQDGKGQETEASAFRNANICDKKLVQGKICYGIIFGSQKHLPIRVMKSAVETEQGPEGREKYWFFENRIPLYLVKEYEEGNEKAPCEEHYSGTYQLHRRQLKCSCNDIFFYLICKRDNLAYSCSSCQMGISIRYAHKCNACQGYCHKDCSISSVSRLSTKGGVECLTTCKQCHHAKLLAPNITSDESPTSPLILQGQENSSGTSFKGARPKTSHSDMKQVNSKSVSKGSKRKCQDQTLTSARKKNGHPETKLAESDSTSASINRRNNNCSWGVIWKKKMNEDNFNFVIKNILFKGASDIPDSGPTCHLCGKAYRSDLMYICCEACQNWFHAEAVELEESQISAMLGFKCCKCRRIKSPVCPYSDSKPKSEVKKPRKRAVKKEHSGANSDSGTFNDMRECEPATPVYPVGNDHLTYSLENFELITEPNKLDSDVEWNTVSVPGPRKLQVRRHVKHEGDDDGSVAGIPLQAEFPTYSEASYLSNPADSTLPLEYDPAAFDSNLMIDFEDNNNTIFDTNELLRSDDDGQIGGVDLSGELLEYDFDNFDTANLEEFGDGSFSCCVCLQGEPGPDLFCETCGTLMHSHCLPTWHEPVSDPEHWRCLTCREWQ >RHN65523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2874987:2876188:1 gene:gene13370 transcript:rna13370 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRFLLLMMVLCCLVLRIGFGCCCWWWWWWCWGLRMKRRNGRRKRRRLHRRYCCFCVWVFSCLTFFRFCFLHFGSVVLFPRGSSETISISFILLIVSCIENDFRLVFVYKMRITFDWCLSSIFIKI >RHN63505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51828000:51831425:1 gene:gene26208 transcript:rna26208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triose phosphate/phosphoenolpyruvate translocator, sugar phosphate transporter MQATLLPLSPSFSLYKSRSSLSSSPSLSTSSFSSWTPLVSSHSSSKLISLTPLHSSTRFQTKATTTIDAADSSDSSSPLFKALELGVLFCLWFSFNIYFNIYNKQVLKVYHLPVTLTAFQFAIGTLLVAFMWGLNLYKRPKLTSSQLLAIFPLALVHTLGNLFTNMSLGKVAVSFTHTVKSMEPFFSVLLSSTFLGEMPTAWVVASLVPIAGGVALASATEVSFNWVGFLSAMASNLTNQSRNVLSKKLMVNKEESMDNITLFSVITVMSFFLSVPIALFMEGVKFTPAYLQSTGLDVQQVYIRSLLAALCYHAYQQVSYMILERVSPVTHSVANCLKRVIVIVSSVIFFQTPVSPINALGTGIALSGVFLYSRAKRIKPKTD >RHN62611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44681000:44681347:1 gene:gene25205 transcript:rna25205 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKSQKWPHFFCVSKIPLPLTRQNIVKSLFCYCVSVAASASCPYSISDTVPTPSLTLTLLRLVAPVRIFCFAAYVAICFAAYVVSALLHPPSFQRRSSYVAICELDSHIMVGRF >RHN79524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29848725:29852748:1 gene:gene3325 transcript:rna3325 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPLVAEKCPVTSDSLFVMSDPLLAERVAKRVEEEGPLETTNLVCNPFPAVKTNIADNHTLPFPKLLPNEGLKVDSYEGGPFGTFFNNEKMKSKLCCCQSSKMSLNFNSLLDAKQLGKKVEVAKMEYTDWSPFLKENCERYLAKKKEEDRKYFSEFLRNKLKRPAVKTNVADNHSPAVTSGTLFVMSDPFLAERFTKRLEEESLETTNPVCNPFAAKKEKESKFVMTKPLVAEKRPLTSDSLFVMSDPFLAERVAKRQKVESLEEELLETTNPVCNPFPAKKEKESKFVRTKPRVAEKHPVMSDPFLAERPPHMFLNIFFAYKQKVEELAEVLKIESMEGEPQVTPDPLVAKKHHVSTMFLNLFLAYKQEVEGVAKMLKKDSIDGEPFLTTDPILAEKPPVLAYQQEVESSKKKEEESNTTNIAEKHTLTYFDDKPVSQPASSSDTAT >RHN56084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27502931:27505350:-1 gene:gene31415 transcript:rna31415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDEILKFVFCMIIFLSLFLIATKVGGEHNECETDADCPKHTTIFFVMKCIDHICRCMKTSI >RHN59058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7157105:7157782:-1 gene:gene21042 transcript:rna21042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll, aldehyde/histidinol dehydrogenase MSANYLVVLKGGVEQIVGEAKTGELCGDIGVLCYELQPFTVRTKQLSQLLKLNRTTLNIVQANVGDGTIIMNNLLQHLKELNDPIMEGVLVETENMLARGRMASPVSLCFAAERGDVCEQLLLQKWGKIFFTGSPRVASIVMSSAARYLTPVTLELGGKCPAIFDYLSNPSYFKMAVKRIVGAKWGVCTGQACIAIDYLLVKEKKHSSELLELLKKFMRKFCCKF >RHN38865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2507380:2508510:1 gene:gene44836 transcript:rna44836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MKILLTALCFFLFVLIAAQEAVVQIEGCEVKSPTFNGHCNDPISDKICDINCRFGEGLINGSCKNQECMCVC >RHN64474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59392033:59400564:-1 gene:gene27293 transcript:rna27293 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQVTQQHYALLQNKLLVETMQQQQQQQNQQSSSPNKINKQIIMEDDRASELTHTVPGPTIVAIWDWEQHHIMSSS >RHN76948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2597903:2601622:1 gene:gene344 transcript:rna344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-Pl-1 family MCMHVPKLNGMSREVMASSSAASFDYEILDKDTDVPKTAAVPTNRANPWIEPETLKLQHRIGRGPFGDVWLATLHQSTEDYDEHHEVAAKMLHPIKEDHVKIVLKKFNELYLKCQGVSSVCWLHGISMLNGRICIIMKLYEGSIGDKLARLRNGWISLPDVLRYGIDLAQGILEHHAKGILVLNLKPCNVLINDNDQAILGDVGIPNLLLGSSFVSSDIAQRLGSPNYMAPEQWKPEVRGPMSFETDSWGFGCTIVEMLTGSQPWYGCPVGGIYGSVVEKHEKPHIPSGLPSPIENILSACFEYDMRNRPLMVDVLRAFKRSLNELANDGGGWRYQGNMKVIPKSGSTYYTEWFLSKDQLQVGDMVRSRKPPNSCKAQNMNVPDGTVVGLERTADYGFVLVRVHGIHDPIRIHTSTLERVANGLAAGDWVRVKDEKEKHSPVGILHSINRNDGRASVGFIGLQTLWNGNPSELEMAESFCVGQFVRPKENLLSPRFEWRRKRGGASATGRISWILPNGCLVVKFPGMMSFGNESTTFLADPSEVEVVDFNTCPGMVEKYQHVENHHWAVRPVLVVLGIFTALKLGILVGNKVKRCKRFKAVESKNQYVEGQNTNSPTRIITHGNTTWGVPSVANILFKDGA >RHN64190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57171599:57175887:1 gene:gene26977 transcript:rna26977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate, phosphate dikinase MVAVYDSSNSNKRVFTFGKGRSDGNKSMKSLLGGKGANLAEMATIGLSVPPGLTISTEACQEYQENVKNLPNGLWEEILEGLNFVQNEMGAFLGNPSKPLLVSVRSGAAISMPGMMDTVLNLGLNDEVVAGLASKSGERFAYDSYRRFLDMFGNVVMDIPHSLFEEKLEKLKQSKGIKHDTGLSADDLKNLVEQYKNVYVEAKGEKFPSDPNKQLELAVKAVFNSWECPRAIKYRNINQITGLMGTAVNIQSMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLTNAQGEDVVAGIRTPEDLGTMKTCMPDSYKELEENCRILENHYKDMMDIEFTVQENRLWMLQCRIGKRTGKGAVKIATDMVNEGLVDIRSAIKMVEPQHLNQFLHPQFEDPSKYKNKVVATGLPASPGAAVGQVVFTAEDAEEWHAQGKSAILVRTETSPEDIGGMHSAAGILTARGGMTSHAAVVARGWGKCCVSGCSNIEVNESRKVVVIGDKVISEGEWISLNGSTGEVILGKQTLSPPALSEDLETFMSWADQIRKLKVLANCDTPEDAITARRNGAQGIGLCRTEHMVQIIFNSLL >RHN47035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36634996:36635722:1 gene:gene41585 transcript:rna41585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MCPKEKLCKDVQEEQVDETLYRSLIDCLMYLTTTRPDILYAVNVLSRFMNCAKESHFKGAKRVLRYVKGTLNYGIKFCRSEKFKLQGYSDSDWAGSLDDMKSTSGYCFSSGTGIFSWSSKKQEIVAQSTAEAEFIAATAAVNQALWLRKVLTDLHLEQKETTEVMVDNQAAIAISKNPVFLGKTKHFSIKLFFLRDVQKDGDVCLKYCKTEDQLSDIFTKALPKGRFELLREKLGISNY >RHN44282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3153657:3154830:1 gene:gene38382 transcript:rna38382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MGSNENVSNQKMENEKVKFDAGVRFFPTDEELINQYLVKKVDDNSFCAVAIAEVDMNKSEPWDLPEMAKMGETEWYFFCVRDKKYPTGQRTNRATNAGYWKATGKYKEIYKGNSLIGMKKTLVFYIGRAPRGEKSNWVMHEYRLEGISLSKHNLFPEHNLSTHGMVILLYFLLLYFCQ >RHN58210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44646567:44646896:-1 gene:gene33829 transcript:rna33829 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVFTYSYKTYDKENTKNYISSSYVLIFLISVFSFLLTIWDGGSALESHEC >RHN74298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31624517:31627972:-1 gene:gene10336 transcript:rna10336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MFILRRTISSTNSFSTISHQTSHYRKQITLANLFLSFGFPSSSLPHFLSKNHFLFNSDPSHLRQSLTTLFSFKIPQKNLISLVRDFPSVLEPQFLHSWELGFPKFKSKLFNPSPLMIANLLRCSRTFHLNPLEISRKIEIFRGLGFSDDVLIRVLEEFPSAVVMGETQIVGVINFLMEFRVPKDEIDRVVRLFPKVLGFSIEDRLKPLIHELRGLGFSSREVRAEVVRDPRILGMEIGEFSRCLKLLQSLKCREAIKERIFGEGLVRACFEVKLRVDCLCGRGLIRRDALKVLWKEPRLIAYDLEDIEKKIEFLIQRMKYGVDCLHEVPEYLGVNFEKQIVPRYNVIEYLKGKGAIGFEVGLKDIIKPTRLRFYNLYVKPYPECEKIYGRFSEKVEVKRKHPAGLWKMFQPPKFPQTSKDVKNMKAFMDSLV >RHN76625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51765161:51765965:1 gene:gene12976 transcript:rna12976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-coumarate--CoA ligase MASSKQETKEIIFKSKLPDIYIPNHLPLHSYCFENLSQFGSRPCLINAPTGKVYTYHDVQLTSRKVASGLHKLGLQQGEVIMILLPNSPEFVFSFLAASYLGAVATAANPFFTASEIGKQAKSSNTKLIITQQCYYDKVKDLLNNNDHKVVLVDSSIDDNNNDHVHFSTLIIQEADENDHLPDAKIQPDDVVALPYSSGTTGLPKGLC >RHN77371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6408263:6409305:1 gene:gene818 transcript:rna818 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDTRFNNTPNLLFIKNKILRISIYLFLSLFDEVPTTVTLVAIIHKVLRCGVQFMPCVSLVISTLIMSFSR >RHN61630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36972150:36972640:-1 gene:gene24114 transcript:rna24114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MLSAKRLIEMAKKWQKMAVEKRKRISYPRNEVDMNSSSVNKGHFVVYSIDRKRFVVPLKYLSTNVFRELFKWSEEVFGLPGNGPIMLPCDSVFLDYAISLVQEQIPEDMEKALITFMYACHNEASSNPCHDLRQKNEQIIIYGF >RHN53291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:710805:711873:1 gene:gene28182 transcript:rna28182 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCFFLVGLCYPVVFVCGCWCVLCCSAGLLFSAGGGSVVLVRLVVVSGGGGATVVDHPHRIASRRCPCGGVLVEASFLSAFLLRLSCSCLHLFLLVVLFCWDNIVAGCDVGGLCGVIYGVCYFPCCGGCVVGASTSPVVWLGLLLVMLCCWCRLCCCAVCVVYGEACYFSWRLSTLLSCACVC >RHN54594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10671626:10675033:-1 gene:gene29659 transcript:rna29659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MFPTFSFWLSLLFTLNFVQNTITSTLGNKTDYLALLKFKESISNDPYGILASWNTSNHYCNWHGITCNPMHQRVTELDLDGFNLHGVISPHVGNLSFLTNLILAKNSFFGNIPHELGQLSRLQQLVLSNNSMTGEIPTNLTSCSDLEYLFLSGNHLIGKIPIRISSLHKLQLLELTNNNLTGRIQPSIGNISSLTIISMDMNHLEGDIPQEMCSLKHLTKITVFSNRLSGTFHSCFYNMSSLTYISVTLNKFNGSLPSNMFNTLSNLQCFYIASNQFSGTIPISIANASSLKELDLSDQNNLLGQVPSLGNLHDLQRLNLEFNNLGDNTTKDLEFLKTLTNCSKLTVISIAYNNFGGNLPNFVGNLSTQLSQLYVGGNQMSEKIPAELGNLIGLIHLSLEYNHFEGIIPTTFGKFERMQRLVLNGNRLSGMIPPIIGNLTHLFFFSVGDNMLEGNIPSSIGYCQKLQYLDLSQNILRGTIPIEVLSLSSLTNILNLSNNTLSGSLPREVGMLRNINELDISDNYLSGEIPRTIGECIVLEYLSLQGNSFNGTIPSTLASLKGLQYLDLSRNRLYGPIPNVLQSISVLEHLNVSFNMLEGEVPKEGVFGNISRLVVTGNDKLCGGISELHLQPCLAKDMKSAKHHIKLIVVIVSVASILLMVTIILTIYQMRKRNKKQLYDLPIIDPLARVSYKDLHQGTDGFSARNLVGLGSFGSVYKGNLASEDKVVAIKVLNLQKKGSHKSFVVECNALKNMRHRNLVKVLTCCSSTDYKGQEFKALVFEYMNNGNLEQWLHPGIMNAGIQRMLDLDQRLNIIVDIASVLHYLHHECEQAVIHCDLKPSNVLLDDDMVAHVSDFGIARLVSAIDNTSNKETSTIGIKGTVGYAPPEYGMGSEISTYGDMYSFGVLMLEMLTGRRPTDGMFEEGQNLHMFVGISFPNNIIQILDPHLVPRNEEEEIEEGNCGNFTPTVEKCLVSLFRIGLACSVKSPKERMNIVNVMRELGMIKKAFLSGGV >RHN63548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52126808:52128514:-1 gene:gene26260 transcript:rna26260 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPSLREPKQILSRSEEESEEFPKSKKRKDDFMSSDKLAEGPKSSDYGFDYESITTLLAGIFHFLMFHHAQKRKEKLENEFKDVLSDFICLNLECFIMIFECVVVVTVEGEYYVFKDKSNSIKYMTPDDFHYFYGKKTKEEQFEDNYDERDEEFGITKMKKILTTTTFQTGKSKDQPKPIKTKFSDGDFILQAMEETMLKRRSPRGGKGKESEDISTLEELSSDVPKWKSADANDDSEDD >RHN47772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42420922:42422824:1 gene:gene42411 transcript:rna42411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKKTIRFHQFSTTSIQSHTKTHSPYLPIPHRTLPQPKGQDLDFINIFHSHFIHSQWEKLTPFSKTLTPFKIQHILLKLQNDAVLSLKFFNWVQTHNPNSHTLHTHSFLLHILTKNRNFKTAQSIFSKIITTNSNLFESLLHSYTLCNSSPLVFDTLFKTFAHMNKLRNATDTFVKMKEYGFFPTVESCNAFLSSMLYLKRPELVVSFYRQMRRNRISPNVYTINMVVSAYCKLGELNKASEVLEKMKDMGLCPNVVTFNSLISGYCDKGLLGLALKVRDLMMGKNGVFPNVVTFNTLINGFCKEGKLHEANRVFSEMKLANVAPNVVTYNTLINGFGQAGNSEMGIGLFEEMERNKVKADILTYNGLILGLCKEGKTKKAAYMVKELDKGNLVPNASTFSALIAGQCVRNNSERAFLVYRSMVRSGFSPNENTFRMLASAFCKNEDFDGAVQVLRDMLERFMTPDSSILSEVYSGLCRCGRKQFALMLCSEIEAKRLLPQGFDREKIVITGSEHDTSNSTC >RHN48519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48157313:48160089:1 gene:gene43243 transcript:rna43243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine adenosyltransferase METFLFTSESVNEGHPDKLCDQVSDAILDACLQQDPESKVACETCTKTNMVMVFGEITTKATVNYEKIVRDTCRGIGFVSADVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCAWLRPDGKTQVTVEYQNDNGAMVPIRVHTVLISTQHDETVTNEKIAADLKEHVIKPVIPAKYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDKDILVLIKEHFDFRPGMISNNLDLKRGGNFRYQKTAAYGHFGRDDPDFTWETVKILKPKA >RHN58218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44718960:44724841:1 gene:gene33838 transcript:rna33838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MDYRKIKDQKDIDVEAAAKDDVEESYPFVPSASSSIGEPKIDINRIKPQWKRKSLVTFALTILTSSQAILIVWSKRAGKYDYSVTTANFLVETLKCAISIIALASAWRTEGVTEQNKLTASLKEVIVYPIPAALYLFKNLLQYYIFAYVDAPGYQILKNLNIITTGVLYRIILKKKLSEIQWAAFVLLTAGCTTAQLNSNSDHVLQTPVQGWVMAIVMALLSGFAGVYTEAIIKKRPSQNINVQNFWLYVFGMGFNAVAILVQDFDAVVNKGFFHGYSFITFLMIFNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFGFHLSLAFFLGTIVVSVSIYLHSAGKMQR >RHN61347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34978853:34980777:1 gene:gene23802 transcript:rna23802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator Znf-B family MKIQCDACHKQEASLFCPADEAALCNQCDRNIHYANKVSAKHKRFTLHHPTSKDTPLCDICKERRAYLFCKEDRAILCRECDIPIHEINKLTKQHNRFLLTGVKIGASSSCSNPTISNGSELRTSSPRPSSFSSENNSCSQSSFKENMVCDTVSTSSISEYLIETIPGYCMEDLFDASFAPNNVFCNKDYYEQNQDLQVINMSDWVPQSQVRFPQLSANSNVPN >RHN76609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51632425:51635117:1 gene:gene12960 transcript:rna12960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-XI family MPSRQLTSTTSNIFTKPKFLFIALTISASVVIFFSILYFLYHLWLSLVHRAKTIPFDASSPLKLQRFSYKELKVATNVFDTANIIGKGGSGTVFKGVLKDGKFIAIKRLDSLSLQSEREFQNELQILGGLRSPFLVTLLGYCVEKNKRVLVYEYMPNTSLQESLFGDECFGLSWERRFCIIMDVARALEFLHLGCDPPVIHGDIKPSNVLLDAEFRGKISDFGLSRIKVEGEFGVDLFSQDLGKSQDLWKSQDLSGNLTAETPVIGTPVESVSEVDFALALQASTSSKNSRSCLNVKALNLNSLNYNANIVGESESRNVNAKGKEISSLDTGGGGGGDDCWNTNKFVPYDDEFCSTDYSKDAYLVDEEKENGKQWGEDWWWRQDGSGELCSKDYVKEWIGSQICPSNADWDDGIGSAKINNIQEKSELENSSPIDKASDANGTQLLQVSVMENADNKVVDMKELKGKKNHKKKKNRKMQEWWKEEHIAELSKKKSNKLKSLQTKWKKGLKVPHFGLGRRFYLCQRSKNYGEEGQNECEQNGEFSFRRGWRKKSSRSIGSDMWSGDLFSRELSSTTSMRGTLCYVAPEYGGCGFLMEKADIYSFGVLILVIVSGRRPLHVLASPMKLEKANLISWCRHLAQAGGNNILELVDEKLKEDNYNKEQASLCINLALSCLQKIPELRPDIGDIVKILKGEMELPPLPFEFSPSPPSRLYSRSRRKQKGNGE >RHN60792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30482928:30487565:1 gene:gene23171 transcript:rna23171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MDSIAESQRTLYPYVTGSSVVAIKYKDGILMASDMGGSYGSTLRYKSVERVKPIGKHSLIGASGEISDFQEIQRYLDELILTDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKNGQKYLGTVNMIGINYEDDHIATGLGNHLARPILRDEWHENLSFEEGVKLLEKCMRVLLYRDRSAVNKIQIAKMTEEGATMFPPFSLKTYWEFSAFKNPTVGAEGSW >RHN43058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42878797:42885829:-1 gene:gene49580 transcript:rna49580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase chromatin regulator PHD family MHTGGRLIAGSHNRNEFVLINAEENGRIKSVRELSGQICMICGDEIEVTVDGEPFVACNECAFPVCRPCYEYERKEGNQACPQCKTRYKRLKGSPRVEGDEEEDGDDDLDNEFDYDLDDMGQQAHSDSLFSGRLNTGRGSNTNISGANSEHGSPPLNPEIPLLTYGEEDPEISSDRHALIVPPYMNHGNRVHPMPYTDPSIPLQPRPMVPKKDIAVYGYGSVAWKDRMEEWKKRQSDKLQVVKHEGDNNDGSGSFGDDFDDPDLPMMDEGRQPLSRKLPIPSSKINPYRIIIVLRLVILGLFFHYRILHPVNDAYGLWLTSVICEIWFAVSWIMDQFPKWYPITRETYLDRLSLRYEKEGKPSQLASVDVFVSTVDPMKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEWYFGQKMDYLKNKVHPAFVRERRAMKRDYEEFKVRINSLVATAQKVPEDGWTMQDGTPWPGNDVRDHPGMIQVFLGHDGVRDVEGNELPRLVYVSREKRPGFDHHKKAGAMNSLVRAAAIITNAPYILNVDCDHYINNSKALREAMCFMMDPQLGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRYALYGYDAPVKKKPPSKTCNCLPKWCCWCCGSRKKKNLNNKKDKKKKVKHSEASKQIHALENIEAGNEGAIVEKSSNLTQLKMEKRFGQSPVFVASTLLDNGGIPPGVSPASLLKEAIQVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSKHCPIWYGYGGGLKLLERFSYINSVVYPWTSLPLIVYCTLPAICLLTGKFIVPEISNYASLVFMALFISIAATGILEMQWGGVGIDDWWRNEQFWVIGGASSHLFALFQGLLKVLAGVDTNFTVTSKAADDGEFSELYVFKWTSLLIPPMTLLIMNIVGVIVGVSDAINNGYDSWGPLFGRLFFALWVIIHLYPFLKGLLGKQDRMPTIVLVWSILLASILTLLWVRVNPFVSRDGPVLEICGLNCEDT >RHN81245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44321596:44324696:-1 gene:gene5258 transcript:rna5258 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLSRAKGQPGLFSGVNKTLNTETHFPRDKYGSYVYGLPTIASNEAQGNNGIQRYIPDESIDTKVSQQNQANQETDEAREEDMEIGYDESPPTPTYEGLEQRFIDEIMKLVQDRSDKEDAEFARHNERIVEINTEFQEKLSSLRALQETRREEFLRKEAQARLNQDQHVKRNRYPAIKVEDANGYLSPSTTFIAREATSSSRFHGLTEYNKYVGDPKECLTTTSNGMQTTQRNETRVPLPPGRVYKNSSVNN >RHN50053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3666014:3669324:1 gene:gene34301 transcript:rna34301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MARLVVVFILVLIAISMLQTVVMASHGHGGHHYNDKKKYGPGSLKSYQCPSQCSRRCKNTQYHKPCMFFCQKCCNKCLCVPPGYYGNKAVCPCYNNWKTQQGGPKCP >RHN61743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37963545:37968565:-1 gene:gene24234 transcript:rna24234 gene_biotype:protein_coding transcript_biotype:protein_coding MEASATLAASSSLTSYSNVVLIMLESLPFSITSIRTLNSVNPRSKHPPSTTSTSLMCFSLSILVNFSFKPVGPGKPDQTPTKIINVMLTQNTIDLYTYCVVP >RHN56665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32673778:32674270:1 gene:gene32102 transcript:rna32102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70 MKIKLQLFILGAWSSQYISELQDGNSVILYVDSLQSPSVNNNTSSSATNSIDSPQLVINTNNGSMMEQLRTCVKALKHDNLNLIQIISEHVKKYVEEHSQLVVTYPNLIMDALKPETMNDLEEIAKVMMMAGCEKDFSHV >RHN43467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46104207:46105902:1 gene:gene50049 transcript:rna50049 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMHLNLKRMINCHRFSKTKLEPRSTRWFLVSIGELDARDSLQSVSCTTHRSNKANKTNLRFQNSTTQLNSLKCLTQPLTSVEL >RHN43823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48770456:48771131:-1 gene:gene50453 transcript:rna50453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ccc1 family protein MACNSSNEHRLTLEPEKQILLNNHTEEHFTAGDIVRDIIIGASDGLTVPFALAAGLSGANVASSVILTAGIAEVAAGAISMGLGGYLAAKSEADHYNRELKREQEEIIAVPETEAAEVAEILAEYGIKPHEYGPVVDALTKNPQAWVDFMMK >RHN63129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48701382:48707405:-1 gene:gene25792 transcript:rna25792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol-polyphosphate 5-phosphatase MKARKGKRSEVFWPSTVMKKWLNIKQKVYDFSEDEADTETESEDDDTSCKGYNMIRRHRRGKSETLRAQYINTKEVRVTIGTWNVAGKHPCNDLEIEGWLCTEEPSEIYIIGFQEVVPLNAGNVFGAEDNKPIPKWEEIIRRTLNKSSEPETKQKSYSAPPSPIRTNSFDTQINPLEKEGEKSIIGIEKNFELRKIYDIDLQTILDWPERPLDAIHDVDSTPKLRRVLSSSARIGLNLMDSASLYGYGMKRSHQSSGNLNLLWREKQQEMMPKVFDSLADVSDEENDTFSELLIDKDVNGIGSVKSQPKYVRIVSKQMVGIYVSVWVQRKLRRHVHHLKVSPVGVGLMGYMGNKGSVSVSMSVFQSRMCFVCSHLASGQKDGAEQRRNSDVHEILQRTRFSSVFDTDQPRTIPSHDQIFWFGDLNYRINMSDGEVRKRVALKKWDELMNYDQLSNELCRGHVFEGWKEGLINFPPTYKYEVNSDKYVGEDTQEGEKKRSPAWCDRILWLGKGIKQLKYQSAENQLSDHRPVSSIFLVNVEVIDHRKLQRAINFASAVVHPEIFLEEDRDLAC >RHN54737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11730600:11736564:-1 gene:gene29815 transcript:rna29815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanine nucleotide binding protein (G-protein), alpha subunit MDHNRGDNWKEVVKKMLPPGASVPDDASNLDYSTASEYLGPPVSYEVPKVEPLDVKFRGIERIPLPMSRISGVTGSPNHSPRVSGSSESVVSVLLNPDLSSGSPSASPASVHNPSNVASKPVINEAKRMPVVTFNTVERTQRKEVVEVVKPAYPEYVGVVKEKKKKKIRVCYRCGKGKWETKESCIVCNAKYCSSCVLRAMGSMPEGRKCVTCIGQPIDESKRLKLGKYSRVLSRLLSPLEVKQIMKAEKECSANQLQPEQLSVNGFLLKPDEMEELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFSGKLSTDASNGNTEVYINGREITKLELRVLRLANVQCPRDTHFWVYDDGRYEEEGQKNIRGNIWEKASTRFVSALFSLPYPHGQPHGSRDEASNYTTVPKYLEQKKTQKLLLLGIQGSGTSTMFKQAKFLYGNKFTDQELEDVKLMIQSNMYKYLSILLDGRERFEEEVVSRMNGQGSPAHIMEAGSNGEASNTSECIYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETFKRKDELHFLPDVAEYFLSRAVEISSNDYEPSERDILYAEGVTQGNGLAFMEFSLDDRSPKFDAYTDNLDAQLQPMTKYQLIRVNAKGMSEGCKWVEMFEDVRAVVFCVSLSDYDQLSLAPDSNGSGTLLQNKMIQSKELFETMVRHPCFKDTPLILVLNKYDVFEEKMRRVSLNTCEWFKDFSPVRAHDNSQSLAHQAYFYVAMKFKDLYASITGKKLFVAQVRARERITVDEAFKYIKEVLKWDEEKDENYYGPPEDSITDMSSSFYIRQE >RHN74976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38388764:38389588:1 gene:gene11120 transcript:rna11120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pollen allergen Ole e 1 family, immunoglobulin-like protein MARSFSVVALIVSAFCFSSVLAARVAPNAAPNGDDVFIVVGQIYCDPCGFQFQSRLSQPLDGVKVTLKCTKGDKNVTFVKESTTDKNGVYNIECKGDHEEEVCKVKAENVKGACTKIMDNESDSIVLTKNMGVPSLIRFVNPLGFMTQTIDAGCGKVVTELGLDKLDD >RHN75390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41869418:41876109:1 gene:gene11580 transcript:rna11580 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQTNPSIEEEEEEEEHEHEHNGPTHHPSPPSHEFFDLSTTVDPSYIISLIRKLLPLDSSSVNQVVSDDPNRGSITDDKEEEAPSASICNNEHIELSKSTSENMDVDVSCESSHTRGECQDTGDGVERFGASVGEDAWEEYGCILWDLAASKTHAELMVENLILEVLYANLIACKSERVTEISIGIIGNLACHEVPLNQIVSTKGLIEIIVEKLFLDDPQCLCETCRLLTVGLQSGESIRWAEALQSEHILCQILWIAENTLNLQLLEKSVGLILAILESQQKALDELLPPMLKLGLASILINLLTFEISKLKRDERIPERYSVLDSILRAIEGLSVIDEHSQEICSNKELFHLVCDMVKFPDKVEVGNCCVTAAVLIANILSDVADRASEISHDSCLLGGLIDIFPFASDDLEARNALWNVLARIFVRIHETEMNSSSLFHLVSVLVRRIDLIEDELLNQQCVDSSPGSTADPRKTSLMRITSIVNQWIAVKEDAENNGNAEGPVSEIDVKKLLDCCHKFSK >RHN57645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40736490:40738265:-1 gene:gene33223 transcript:rna33223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MCIGKGEFTVKVTNKEIVAAALPMQEHWLPLSNLDLILPPVDVGVFFCYKNPIITTATHHSIVGCLKNSLTEALVSYYAFAGEVMTNSMGEPELLCNNRGVDFVEAFADVELQSLNLYNPDETVEGKLVPKKKHGVLAVQATWMKCGGLVVACTFDHRIADAYSANMFLVSWAEIARPNDNKSLIPTTQPCFRRSLLTPRRPPSIHPSIYDMYVPISDLPPPPEPESDIKTDPIISRIYYVTSKELNNMQSLANSNNNGGSSKRSKLESFSAFLWKMVAEAASINNENIVAKMGLVVDGRKRLSNGDKNKEELMNSYFGNVLSIPYGGRLAEELVDNPLCWVADRVHEFLEAAVTEEHFLGLIDWVEEHRPVPGLARIYCGSTGGEEGPTFVVSSGQRFPESKVDFGWGKPVFGSYHFPWGGSAGYVMPMPSPKRNGDWLLYMHLPKGHLHFMEAQAPHFFRPISWDYLIN >RHN70438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51438893:51440182:-1 gene:gene19017 transcript:rna19017 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFHVMCFHLSFGLGCHQQIISHHHFMHLLPSSSSHELSSFSNHLQRLRSLWVDCNSECQLSLDAKNILDALIATVFKDLESIATTSQLSNMTTSTVSGSKYSFKSLLIQIFFVDIHSLCLHLYACLCVYHLIHLNSLVYMSINNTRKSTQQFAKGVKYLKI >RHN42750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40644488:40644789:1 gene:gene49234 transcript:rna49234 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPSKPIFYQLFYYRCYPHSLSNGVIPNPILSSLTTHPTHHPHLCYTYLILILTLNRPTLRTIQQRRSDCCPIELSFQLKWYFLIAQNT >RHN59059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7205140:7225080:1 gene:gene21043 transcript:rna21043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MSQPKVYDVFLSFRGDDGSAKFVSHLHSSLQNAGISVFRGDEIQQGDDISISLLRAIRHSRISIVVLSINYANSRWCMFELEKIMEIGRTGGLVVVPVLYEVDPSEVRHQEGQFGKALEDLILEISVDESTKSNWRRDLIDIGGKDGFIVTDSRNESADIKNIVEHVTRLLDKTDLFVVEYPVGVRSRVEDVTNLLNIQNSNDVLLLGIWGMGGLGKTTLAKAIYNQIGIKFEGRSFLLNIREVWETDTNQVSLQQQILCDVYKTTELKILDIESGKNLLKERLAQKRVLLVLDDVNKLDQLKALCGSRKWFGPGSRVIITTRDMRLLRSCRVDLVYTVVEMDERESLELFCWHAFKQPCPPEGFATHSRDVIVYSGGLPLALQVLGSYLSGCETTEWQKVLEKLKCIPHDQVQKKLKVSFDGLKDVTEKQIFFDIACFFIGMDKNDIIQILNGCGYFGDIGIEVLVQQSLVTVDIGNKLRMHDLLRDMGRQIVYEESPFHPEMRSRLWFREEVFDMLSNHKGTEAVKGLALEFPREVCLETKSFKKMNKLRLLRLAGVKLKGDFKYLSGDLKWLYWHGFPETYVPAEFQLGSLVVMELKYSKLKQIWNKSQMLENLKVLNLSHSLDLTETPDFSYMPNLEKLILEDCPSLSTVSHSIGSLHKILLINLTDCTGLRTLPKSIYKLKSLATLILSGCSMLDKLEDLEQMESLTTLIADKTAIPEVPSSLPKMYDVFLSFRGEDNRPRFISHLHSSLHSAGIYAFKDDDGIQRGDQISVSLGKAIEQSRISIVVLSTNYANSRWCMLELEKIMEVGRMNGRVVVPVFYDVDPSEVRHQKGRFGKAFEELLSTISVDESTYSNWRRQLFDIGGIAGFVLVGSRNESAAVKNIVEYVTRLLDRTELFVAEHPVGVEHRVQAATKLLNIQKSEDVLLLGIWGMGGTGKTTLAKAIYNQIGSKFEGRSFVLNIREFWETNTNLVSLQQKVLCDVYKTLTFKIRDIESGKNILKERLSQTSVLLVLDDVNKLDQLKALYGSREWFGPGSRIIITTRDKHLLSSCPVDVVYTIEEMDESESLKLFSWHAFKQSSPKEDFAKHSTDVIAYSGGLPLALEVIGSYLSDCKITTEWDSVLEKLKCIPHDEVQEKLKVSFHGLKHFTEKQIFLDIACFFIGMDKKDAIQILNGCGFFADDGIKVLVERALVTVDNENKLRMHDLLRDMGRQIIFEESPEDPEKRSRLWRHEEVFDILEKRKGTEGVKGLALEFPTRDCLETKAFKKMNKLRLLRLAGVKLKGDFKYLSGDLKWLYWHGFPETYIPAEFQLQSLVVMELKYSKLKQIWNNSQMLKNLKILNLSHSLDLTETPDFSYLPNLEKLVLKNCPSLSTVSQSIGSLQKILLINLRDCAGLRKLPRNIYKLKSLETLILSGCSMIDKLEEDVEQMESLTTLIADKTAITKVPFSIVRLKSIGYISFRGFEGFSRDVFPSLVWSWMSPSNNVISLVQTSVSMSSLGTSKDLQKLRILCVECRTDLQLTEEIARFLEVLKATNCENLEGSASSTTSEISDMYASPFIDDCPGQVCISGSKNHLLIRMGTKCHVSNIAEDSFLQTTDGTSDPSLLPCDDKFNRLIFSCNGCSIIFDVPTMKGSNLKSIMLFVVYYSSPENITSEGCKGMLIINYTKRTVLVYKRDTLTSFEDEDWQSITSNLEPGNKVEVMVVFAKGFFVKKTTLSLLYDEPVDKEMQRCFVVDEEDVIVSGNDDNNVSVSGGDNEAVHRFGKGFTVNRMLITKRADGLYADVMGLVQLILHDPDLPAEVVAEEIHAAEAECDTEQQVPPTDGQLEQQAEVVAPVGIGPALTQILDALRELKVDFVRLEQTVTSRLNAVEVRLEVLEDVITQIPRGSSSD >RHN69973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47684846:47688636:1 gene:gene18509 transcript:rna18509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MHMLLLPLLLTFLNLSQAQPPSDAPLSSTVCIIGAGIGGSSVAHFIRKYSPDLTPTTKIRVFERNTIVGGRIATVNVAGETFEAGASILHPKNLHAVNYTKLLNLKAREPSSGFSLAIWDGNKFVFKTIEISSNLPLFDKLLKLPFIENLVSLVNSGLMFVRYGFSLFKMQNFVQSAVGSFSKYYEDTGSRPIFETVDEMLKWAGLFNLTTRTLQDELVDAGLSPLLINELVTVITRINYGQSVYMSGLGGAVSLAGSGGGLWSIEGGNRQMAVGLIDRSDVALHLNEGIKSVADLGDHYELNSTKGNNYICEVAVVATPLDEINIQFIPPISIPERKLQHTHATFVRGLLNPVYFGLKATAKIPDLVATLEDPELPFTSISVLKKHNEEESTYKIFSRKPMADTLLNSIFSVRKETIRIDWAAYPQYHAPEIFAPFILDGRNLYYVNAFENAASTMETSAVSAENIARLILSRYFGKEVNP >RHN66768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18493054:18495801:-1 gene:gene14847 transcript:rna14847 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNMVVKMTSDREIVKEWIETVTVDVGTWTELLDAELRNELVIGYTAAGSEVEGSAVAIILSYGSSVLIYKVPPTHDIPTELTPPIHV >RHN76840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1714947:1718763:1 gene:gene220 transcript:rna220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 23S rRNA (pseudouridine(1915)-N(3))-methyltransferase MGSAFQLSAGLNLTGTNLVHIQASSNSKFAPQSVRALPIRILSVGKKRSPGLQLMVDEYIEKIKYYCSVEDVQIRSNPRNARDHRAQVDDEDMAVMNLIRSDDWVVMLDERGQDLRSEQMAELVADAGNTGASRISFCIGGPYGHGRKIRERANLSIKLSSMVLNHQIALLVLVEQLYRSWTILRGQKYHH >RHN65486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2603078:2604091:1 gene:gene13329 transcript:rna13329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor EIL family MFKMMEFCDVRGFVYGVVPDNGKPLIGCSENLRGWWKDQVNFEKNGLAAIAKYEEERGVSTMNGMLLDEQVKPYSLYDLPDTILGSIVSSLMQHCDPPQRKHPLESGIPPPWWPTGNESWWIEMGFSKDIGPPPYKKPHDLKKVWKVCVLTAIIKHMSPNIQKIKSIARRSRSLQNRFTAKDTAIWLAVIDYEERLAREMYPESFLKSSCVGESSYASVETDDYDVECDEHNLEKPLSSCEGSDTNMVHQLEETNSSHHETSICIDPHYQDHDHGGSSMNNLVIESRGANKRKADQIGGSSNYSNQFQHGAPLDQHEQAAVPAVANQITIHAGNHGY >RHN63047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47925986:47928286:-1 gene:gene25699 transcript:rna25699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MPSSTSTSVAFKGCYYVRPMEPTWCGRLPLSEWDQIGIIAHVPTIYFYQPPKNWLTSPTKIATTLKESLSKVLVPFYPLAGRLHSKGNGRFDLECNSLGVQFIEAQSSLTLSELGDFSPSSEYYRYLIPHIDYTLPMEDIPLVIFQLTNFNCGVVSISLLISHAVADGPSALHFIFEWARIARGEPLKMVPRFLDTNMLSPKRCNSVNKWEPDQLPLLLGNLDNVEERKKKTTVAIINLSKTQVEKLRKTANESWNKPSNGRGYSRYETVTGHIWRSACKAREHKNDQPTALGVCVDWRRRVEPNLPKGYFGNAILDVLATSLAGDLMSKPLGYASSRIREAVEKVNDEYIRLGNEYFKKQEDLTKFQDLQVVGNGEKGPFYWNPNLGVTSWLSLPIYGLDFGWGKEVYMGLGTHEELDGDSLLLPSPNDDGSLLVIICLQEIHMDVFKKHFYQDIV >RHN77710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8869412:8880272:-1 gene:gene1193 transcript:rna1193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target of Myb protein MVNSMVERATSDMLIGPDWAMNIEICDMLNHDPGQAKDVVKGIKKRIGSRNSKVQLLALTLLETIIKNCGDIVHMHVAERDVLHEMVKIVKKKPDFHVKEKILLLVDTWQEAFGGPRARYPQYYAAYQELLRAGAVFPQRSEQSAPVFTPPQTQPLTSYPPNIRDADARPDAAEASAESEFPTLSLTEIQNAHGIMDVLAEMLNAIDPNNKEGLRQEVIVDLVEQCRTYKQRVVHLVNSTTDESLLCQGLALNDDLQRLLARHESILSGTSTQNGNQIEDSKPASARQLVDIDAPLVDTGDTGKQTDGRSSSGAEAGSQTLNQLMLPAPATSNGSAVPAKADPKWDLLSGDNYDSPKADTSLALVPLGEQQPATPVSNQNALVLFDMLSSGDNAPTSANTQPTQQPNVGGQSGPFTPQYQQQQQTFTSQGGFYPNGNVLNAGLPQYEQSLHTQSTAPAWNGQVAEQQQPASPYGAQNSGSLPPPPWEAQPADNGSPVAGGQYPLPPQYPQPPQFSHVQSSTNHQGPQAMGYGQAGGMYMQPNANGHMSAVNNQVGSNQLGMHPQHMQGVAGHYMGMASHQAQGGPAASMYPQQMYGNQFAGYGYGQQQQGVPYIEQQMYGMSVRDDSYLRNSNQGYTSYVPSGKPSKPEDKLFGDLVDMAKVKPKTTPGRAGSM >RHN58574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2954319:2959139:1 gene:gene20496 transcript:rna20496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ADP/ATP carrier protein MVAVLQTRGLLSLPTNPKTRVLLQPNSIKHRFLSLRPKTLDGFSLNSNGFSLNSNGFSKINSFSSKVNGFGEKEKNLFICKAEAAAAAGADSDGKPVFGEIEVDKKPKILGIEVDTMKKILPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSKQALFYSVILPFIAFFGAFGFVLYPLSNYIHPEAFADKLLNVLGPRFLGPLAIIRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKRFYPLFGLGANVALIFSGRTVKYFSNMRKSLGPGVDGWAISLKAMMSIVVAMGFAICFLYWWTNNYVPLPERSIKKKKKPKMGTMESLKFLVSSKYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFIMMLLSQYIFDKYGWGVAAKITPTVLLLTGVGFFSLILFGGPIAPGLASIGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGVLLVIVLAWLGAAKSLDTQFTALRQEEELEKQMERAAAVKIPVVSENDGGNGSLSGDASSSPSEASTPRNN >RHN50653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8872434:8872880:1 gene:gene34963 transcript:rna34963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MGFITAQDRSKESMESGFSIQNSHAIGSGKVYLGRPWGVYSRVIYSYTSMDNLIFPQGWDDTMDNQNRSLTVYYGEYKCTGLGSNLAGRPPWVHRLTDTEARQFIGTQFIQGDTWLVRPS >RHN62143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41107417:41108767:1 gene:gene24683 transcript:rna24683 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMEKSILGLRSAEEQELHSLERDIEQCSKELLKKKKQASGIKRRNQNYKKMKNKIEECAEDLAADKARVGLLEEFNKKNEHGLKKNKKELCKVMDGNNDIDCGGRKEEELETLSQKIVEITEEIKTKKKELGDAKISVSDNVKELVSLRSKLIKVMSKRRTDKCDQMKDFESSKKQYEGRVTEFKSKEEEFKDDQPSPTIDGRSLQLLPIEQIDELESRGNDILANLLASSSSDPSKYVLDIIQNPIIPLCKGDNAAIIDDFHIDLLEQLMRISPHVKPHVQEDAMNLALKILSRFWVFCYFCQFMGWFHLLMKMKF >RHN81089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43214614:43217228:-1 gene:gene5086 transcript:rna5086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Homeodomain-LIKE family MGAPKQNWTSEEEAASKAGVVKHGVGKWCTVLKNPEFNRVLYICSNVNLKVFEN >RHN82538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54620668:54621042:1 gene:gene6709 transcript:rna6709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inorganic diphosphatase MTRYSLSVHEIQGKKDDKIFAVCADDPEYMNYNDIKELLPHRLVEIRRFFKIISFRKFQNAVVWSILWFFRITTNSLKYMDFSFSAVYIRYLCFSLIKGTRQEEGEGICSIYTIPMRQSSIPCK >RHN64837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62368220:62373224:-1 gene:gene27703 transcript:rna27703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexokinase MRISVRSLRQLRHVYQRQSCVMINNLVAEKPCYFEKCCQIHNSAYRVLDQAESNIFKNHPFINTSTRSISADAVKITNGVTKKSGPLVEYERRIANGELVDGDSCQVETLTELQRLYDELVEHADECQLDRNSEKPVRHGWLWSRLLSHPSHSPVKGLYLYGGVGTGKTMLMDLFYDQLPSNWKKKRIHFHDFMLNVHSLLQKHKGLSDPLDVVAGEISDEAVLLCLDEFMVTDVADALILNRLFRHLFSKGIILVSTSNRAPDNLYEGGLQRDLFLPFIATLKERCIAHEIGSSTDYRKMTSGGQGFYLVGSDSSGFLKKKFQQLIGEGTPTPQEVEVVMGRKLHVPLGANGCAYFPFEELCDKPLGAADYFGLFKKFHTLALDGIPIFGLSNKAAAHRFVTLVDVIYENKARLLCSADGSPLDLFQKIVTISEAKQRAPRTSSRSRKNDEADLCVDNELGFAKDRTISRLTEINSREYLEHHALAEKKEREDQNVVEA >RHN79906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33408831:33409957:1 gene:gene3761 transcript:rna3761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MADLPPEIITGIISLLPVQSLLRFRSTSKSLQSLIDSHNFIKLHLRNSLNRFLILRHNSDFYQINDFSNLTTRIKLNLPFKIPNNFISLFGSCNGLLCISINVGIAFWNPNIRKHRIIPNLPIQTPALSKPNTIHVGFCVHGFGFDPLTDDYKLSESLVSSNYTTTLMIHMLHSSARKRTPGKYFLLIVNYQTSKIDVWLMKEYGCRDSWRKLFTLVRSCFTLQLESLRPLGYSSDGSMVLFEVDHEKLFWYDLKSEHVNYVEGIPNLNEAMICVGSLVPPSFPRNENRTSKRRYFLLIV >RHN64437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59080020:59082532:1 gene:gene27253 transcript:rna27253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MMVVQPILSPDTLALFGNSTACLSHCVGSWILDFGASKHVVGNPSLISNLSSPNIPHSITLANGSKAQVTGIGQASPLPSLSLNYVLFVPGCPFNLISNSLNCSITFSSKSFCIQDCNTGKTIGTGSVSQGLYYHHSQSSTICGVSASPDMIHCCLGHPSLDKLKVLIPQFSHLKSLDCESCQLGKHVRASFSSSPNKRSKSPFDIVHSDVWGPSRVLSTLGYRYHVTFIDDFSRCTWITFLKDRSQLFGAFQTFCSEIKNQFGKGIRILRSDNAKEYFSAPFNSFMASLGIIHQSSCPHTPQQNGVAERKHRHLVDTARTLLINAHARFKLWGDAILTACYLINRMPSSVLDNEIPHSLLFPKDPLYRVPLRVFGSTCFVHDLTPSHDKLYARAVKCVFLGYSRTQKGYWCYSPSTHRFYISANVTFFEDTPFFASPTTSSSTTDVTDSQVIPIPLFHPIFEPSVSTQSSPQLQGNHEFRRYGNIYERRHVEAPETSPIDSNDSATKTIATDSSDSATVPISSPIVVPPEPSNDLPIALHKGKSQPLILILFTTF >RHN74814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36847102:36849703:-1 gene:gene10934 transcript:rna10934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MEEIKNPSGTSLLVPSVQELAKEKISTVPPRYIQSQHDELVINEANSILEIPVIDMKKLLSLEYGSLELSKLHLACKDWGFFQLVNHDVSSSLVEKVKLETQDFFNLPMSEKKKFWQTPQHMEGFGQAFVVSDEQKLDWADIFFMTTLPKHSRMPHLFPQLPLPIRDTFELYSTELKKLSMVIVDYMGKALKMDEKEMSMFFEDGVQSMRMNYYPPCPQPEKVIGLTPHSDGSALTILLQLNDVEGLQVRKDGMWVPVKPLPNAFIVNIGDILEVITNGIYRSIEHRAIVNSEKERMSIATFYTSRHDGEMAPANSLITEETPARFKRIGLKEFLRNMFARKLDGKSFLDELRI >RHN50177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4862717:4866646:-1 gene:gene34438 transcript:rna34438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XV family MFSSSILIKWSFFLLFLSSSNDAVSSFSDKSTLLRFKASLSDPSAVLSTWSSTANHCSFYGVLCDSNSRVVTLNITGNGGVQDGKLISHPCSDFYKFPLYGFGIRKSCVGFKGSLFGKFPSLISEFTELRVLSLPFNGLEGFIPKEIWNMEKLEVLDLEGNLIGGSIPLSFQGLRKLRVLNLGFNKIVGILPSVLGGIDSLEVLNLAANGLNGSVPGFVGKLRGVYLSFNQFSGVIPVEIGKNCGKLEHLDLSGNLLVQEIPISLGNCGGLKTLLLYSNLLEEDIPAEFGKLKSLEVLDVSRNTLSGHIPRELGNCTELSVVVLSNLFNPVGDVEFVALNDELNYFEGSMPEEVVTLPKLRILWAPMVNLEGGFPMSWGACSNLEMVNLAQNFFTGEFPNQLGLCKKLHFLDLSSNNLTGELSKELQVPCMTVFDVSVNMLSGSVPVFSNNGCSPFPLWNGNPFESVDVTSPYASYFSSKVRERLLFTSLGGVGISVFHNFGQNNFTGIQSLPIARDRMQEKSGYTLLVGENKLTGLFPTYLLEKCDGLDALLLNVSYNRFSGEFPSNISKMCRSLNFLDASGNQISGPIPPALGDSVSLVSLNLSRNLLLGQIPSSLGQMKDLKLLSLAGNNLSGSIPSNLGQLYSLQVLDLSTNSLTGEIPKFIENMRNLTIVLLNNNNLSGHIPAGLANVTTLSVFNVSFNNLSGFLPSNSSLIKCSSAVGNPFLSSCRGVSLTVPSANQQGQFDDNSSMTAADIEKSSDNGFSAIEIASIASASAIVSVLIALIVLFFFTRRWKPNSRVGGSTKREVTVFTDIGVPLTFENVVQATGNFNASNCIGSGGFGATYKAEISQGILVAVKRLSVGRFQGVQQFHAEIKTLGRLHHPNLVTLIGYHACETEMFLIYNYLPGGNLEKFIQERSTRAVDWKVLHKIALDIARALSYLHDQCVPRVLHRDVKPSNILLDDDLNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFSSYGNGFNIVAWGCMLLREGRAKEFFAAGLWDVGPEHDLVEVLHLAVVCTVDSLSTRPTMKQVVKRLKQLQPPPC >RHN54775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12112367:12112981:1 gene:gene29858 transcript:rna29858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MQAVYRLVNPKFLEAKNKDGKKPFEVFIESHQELVKAGEKWTKDTVTSYIAAASLILTAVFTAALTVPGEGNEEIEKSNDSHELMFNLFLTTDVLSILCSAISLFFFIKIRTSRYDEVNFLMTLPTRLLLGVMFLLVSVSSTMVAFYAALDMILEGHRRSTWQMILVPILISSFLPFFPVIGFLVQSFKEILQSRVKKPHHSMS >RHN68015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32184705:32186102:1 gene:gene16291 transcript:rna16291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MMTDHQRIHPASDLESQTKPTVPLIPRNTSKSDRRSPSYPPLPKRHFPVRHTHPPKKKRSCCCRFFCCTFTILLILIIAIGITVGALYLAFRPKLPKYSVDRLRITQFNLSDDNSLFVTFNVTVTARNPNKKIGIYYVSGSHISAWYKETGLCEGSLPKFYQGHRNTTVLNLPLTGQTQDATGLFNTLQQQLQEAGNIPLDIKVNQNVRVKLGKLKIFRVKFRVRCSLQVDSLGADNDISISDSRCKFRLRL >RHN78450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15268851:15269204:-1 gene:gene2017 transcript:rna2017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzyl alcohol O-benzoyltransferase MHSVADLMVIKGRPPLTTAGLYLVSDVTRAGFRDVDFGWGKAVYGGPAKGGDGALPGQAVFHIPFTNDKGEKGLVIPVFLPTQVMERLVKELDSVLKNNINQPTKGDHKFGIIKSSL >RHN58108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43797045:43799680:-1 gene:gene33717 transcript:rna33717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MTVEYNALVKNGIWSLVPCPTNVNVVGCKWIYRTKRKSDGSIERHKARLVAQGFSQQAGKDFFETFSPVVKPTTIRLVVSIALSNQWCLRQLDINNAFLNGELSEVVYMRQPKGFEDSHHPDHVCQLRKALYGLKQAPRAWFTKLKHYLITQGFRACQSDTSLFVHHSAAATIYILVYVDDLLITGTDSSLINNFIINLNKVFALKDQGELHYFLGLQITRTSSGVQLTQEGYVRDILESTNMSAAAPITTPADPQHRLVKAGEPFDDPALYRRTVGSLQYATITRPDITYAVNRVCQFMHSPTLDHWRAVKRILRYLAGTLSHSLHFSPTQATSFLAYSDAGWISDSDDSRSQFGYAIFHGSNLISWTSRKQKVVARSSTEAEYRSLAYTAAELLWLNLLAAELHVPITGPPLLLCDNVGAIFLSKNPVISTRSKHIALDFHFIRDQVDSGTLKIGHVSSVDQLADIFTKPLSKDRVFFLRSKLRVLPNHQLAGGFVQNSLVNMYSKFGDMGLARLVFDKIGDKDLLVWNCLVDGYVRNGEVEFALKVSDEMPKRDKFTWTCLVDGLCKCGKVEVAREIFDRMDDGDKSLVTWNVMIHGYMKSGKIELAAELFETMPKRSLISWNSMIDGYQGNGCFYEAMKLFEALLNEGFVPINATLSSALSAVSGLAVLGNGRWIHLFVCGSIESALAVFKGIAKKKLAHWTAIIVGLGMHGLADQALELITEMRRIGMKPHAITFIGVLNACSHAGLVDEGNQIFQMMINEYKIEPTVEHYGCLVDILC >RHN43720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47961931:47965281:-1 gene:gene50338 transcript:rna50338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MATKLVNNGLAEDEEKLLSVKVDHDYSHIGICLNEEQVETSMMMKCLKTMEGIANKACQMGRSDPRKIIFAAKMGLALTIISLLIFLKEPFNKDIGRNSVWAILTVVVVFEFSIGATLSKGFNRGLGTLSAGGLAVGVGELSALAGEWEEIIVIITTFIVGFCATYAKLYPTLKPYEYGFRVFLITYCYITVSGYHTGEFLDTSISRFLLIALGAAVSLGVNICIYPIWAGEDLYNLVIKNFMGVATSLEGVVNHYLLTYQAAADDPVYSGYRSAVESKSNEETLLGFAVWEPPHGKYKMLKYPWKNYVKVSEALRYCAFVVMAMHGCILSEIQAPADKRQVFHKELKRVCSEGAQVLRELGNKVQKMEKLDRRDLLHKVHEAAEELQLKIDKKSYLLVNSELWEIGNHSREETNDDLPEGHINMDEDKQFLEYKSLSEAALDLRSIEVQNNWDEITRDNNSNNHDVPPSIANENMFVKQTSWPAHVYYKPEVKAKEEVSKTYESASSLSLTTFTSLLIEFVARLQNLVDSFEELGEKANFKDPLEQQGAIASGWFRRRLFNCFISQD >RHN66764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18486547:18487172:1 gene:gene14843 transcript:rna14843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MKIFGVVCESVYSSMITIYTRLRLFEKAESVVELMEREVMASNMDGAEGVFLTLGGRIEPDETSYRSMIEGWGRAGNYEKARWYYEELKR >RHN49387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54463169:54465151:1 gene:gene44211 transcript:rna44211 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MtN26 MGSNKFLVSLVVVSILLLHLTAEGSLFDYLKDKACKMAVDCGKGKCVVRSNHKHPFKFVCKCEPGWKQIKAGPKHMFLPKACVIPESECSFYTELNPGQEACI >RHN76156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48080590:48080919:1 gene:gene12440 transcript:rna12440 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEFLKQPIARFRQNNLNASADWFLKGASGIMIACLTILGIVLDDSDVKKNILWLCNHDAFSADLFLIPSVRSYVVSMASIIQSDLDFKYFCIAIVLYFWPFVYDLTT >RHN65942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6759278:6760133:1 gene:gene13843 transcript:rna13843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MADKVRQLLDEIATDAPVKKLKAESQPSTSNIFNFIPTLANPFESRIKDLLKNLDYLAEQKDVLELKNETRVGKEIRVSSKPLERLPTSYLVDAYGIFGRDNDKDEMIKTLLSNNGSSNQTPIISIVGLGGMGKTTFAKLVYNHNMIKEHFELKSWVYVSEYFDVVGLTKAILKSFNSSADGEDLNLLQHELQHILTRKKYFLVLDDIWNGNAERWEQVLLPFNHGSSGSKIIVTTREKEVAYHVLK >RHN73305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15248191:15253405:-1 gene:gene9104 transcript:rna9104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative choline-phosphate cytidylyltransferase MNEQDDSEVGKNDKPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCSDEITHKYKGKTVMNEQERYESLRHCKWVDEVIPDVPWVINQEFIDKHKIDYVAHDALPYADTSGAGNDVYEFVKAIGKFKETKRTEGISTSDIIMRIIKDYNQYVMRNLDRGYSRKELGVSYVKEKRLRMNMGLKKLQERVKKQQETVGKKIGTVRRIAGMNRTEWVENADRLVAGFLEMFEEGCHKMGTAIRDRIQEQLKAQQLKSLLYDEWDDDVDDEFYEDESVEYYSD >RHN52044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30775667:30775933:1 gene:gene36658 transcript:rna36658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M48 MIVISLFTIFRQEIEADYIRLLLLASAGYDPRVAPKVYEKFGKIFGDSFLNYFSTHPSGQKRAKLLSQDEVMKEAVTIYENARAGHEK >RHN61256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34194611:34206068:-1 gene:gene23697 transcript:rna23697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MIERREREGPLMKNSPQSLLISRIITTVIIGVLTGCIIVFFFPKDFFVSQSITSNRHLPVAVPKTQESSAESESSDKVNMLKSEFVALLSDKNAELKKQVSELTERLQLAEQGKDQAEKMLLALGKQEKAGPFGTVKALRTNPNVAPDESVNPRLANILDKIAVKQEIIVALANSNVKEILEVWFTNIKRVGISNYLVVALDDEIAKFCELNQVPFYKRDPDNGIDAVGKRGKRTAVSGLKFRILREFLQLGYSVLLSDTDIIYLQNPFDHLYRDSDVESMSDGHNNMTAYGYNDIYDEPVMGWSRIVHTTRIWVYNSGFFYIRPTIPSIELLDRVAARLSKEKAWDQAVFNEELFYPSHPGYDGLHAAKRTMDMYLFMNSKVLFKTVRNDAKLSKLKPVIIHVNYHPDKLPRMKAVVEYYVNGNQDALKPFPDGSKW >RHN60082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:18951173:18951929:-1 gene:gene22296 transcript:rna22296 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPQASKATCDRHETNDSDLSLRPWHPLINSTCPVMRWIPLLLSLFNLINR >RHN81798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48945799:48950175:-1 gene:gene5887 transcript:rna5887 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSSRNFDVDQLISISNDLIEVLQDPANDRDLNIISQCLHHTLSVSSSCHSDLNEVLSSFQDYQKKIDSHKQKIEHARSETAADADLELLQRELDEELEKESLLKEDTVISNEFDDLEQQQISVREQKKKLLKIEQEKQRTRMLLSMYASVTNIVPNLDDQSKISGYIVEKEKNAAEKFEYDTSQLTVLDVCNDIWKTISD >RHN69842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46723450:46725507:1 gene:gene18363 transcript:rna18363 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLQFQASTSKPPISLSLKNPFPNHSQRTLSFTTKPLALPQSLSSSSFIPHSPQPSHYISTVGSSSPHLFHWNLPQRHLILLQALAVVTAICTTWLFCSAIPTLLAFKRAAESLEKLMDTAREELPDTMAAIRLSGMEISDLTTQLSDLGQEITQGVKRSTRVVRSAEQGLRLLTTMPSSSSASLQGIEQRPKTEPDSGALAAARTARGAREGIIKGRSMLKMFFSLAQFSSFALKFITERGKR >RHN77895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10285172:10293546:1 gene:gene1399 transcript:rna1399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MPPSPAVLSEDLVAEVLSFLPVKSLVCFRCVSKSWKTLISEPTFVKLHLQKSQSQSLCTLITMHKDHILHGIYEVEDYSLVRYPINRIFENPSFTLVHDSHSHHLKMEGNPTFIVGSCNGLVLLVRKSKSIKDDHKSYCLRVWNPATWTSSDFFGHFRDIETFHFAFGCVNSTGSFKVVAFCFRKETMEVRVLNLDHGDYLWRNIETFPVVPYRVFESHEHVYLSGTLNRLSIPNETVEHSVIVSLDLETETYNQYMVPCGFDQVTHSYNTPTIGVLGGCLCFSFLHKETDFVVWQMKKFGIEDSWTQLLKISYHTLRIGYDFIISTLRSFFQLVPSLLSEDGDSMILESNLESLTVQTILYNMRDNTAKQTQIIASRTTIDNRNGDRVYWSHANDYVESLVPIP >RHN42230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36546325:36550510:1 gene:gene48646 transcript:rna48646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L25 MAQWWRSAASHLRSTEVNLFSSSALRRNHYHTIQAIPREITGSRVAARDRKQGRIPAVVFFQDLLEKSPEARSTSRKQLLTVEKRQIKAVLNSIEAPFFCSTRFPLQIRAGSGSTHLLESGTVLPIKIHRDEESGNILNLVFVWAEDGMNLKVDVPVVIKGEDVCPGLKKGGFLNKIRTSLRYLGPSEHIPSKIEVDISNLDIEDRIFMRDIEVHPSLKLLNKNENMPICKIVPTSLGKQEVVPTSLENQEPVGA >RHN80033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34513124:34521879:1 gene:gene3909 transcript:rna3909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative structural maintenance of chromosomes protein MKFLLVLINFFDPSLEKAILFAVGNTLVCEDLEEAKILSWSGERFKVVTVDGILLTKSGTMTGGTSGGMEARSKQWDDKILEGFVKKKEEYESELEGIGSIRDMHVKESETEGKKSGLEKKIQYAEIEKKSIEDKLSNFSREKGTIKEEIKRISPELKKLRDAVEKRNKELHTLEKRINEITDRIYKEFSKSVGVANIREYEENRLKDAQSLAEERLKLSSQLSKLKYQLEYEQNRDMSSRIQELESFVSALEIDLKGVHTKEAEAKLAAEKVTEEINQLKDEVKEWKSEAEDREKEIQEWKKKASAATTSLAKLNRLISSKEAQIEQLIGQKQEIVEKCELEQISLPIIPDPMDTDTSTPGPVFDFDKLSRTLKDRRQSDRDKIEVDFKQKMDALISEIERTAPNLKALDQYEALLGKERAVTEEFEAVRKEEKEKADRFNEVKQKRYDLFMDAFNHIAGNIDKIYKQLTKSNTHPLGGTAYLNLENEDDPFLHGMKYTAMPPTKRFRDMEQLSGGEKTVAALALLFSIHSYRPSPFFILDEVDAALDNLNVAKVAGFIRSRSCEGARTNQDADAGSGFQSIVISLKDSFYDKAEALVGVYRDSERGCSSTLSFDLLKYRES >RHN79180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25269069:25272303:1 gene:gene2911 transcript:rna2911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MSNLYGDYNQKIDYVFKVVLIGDSAVGKTQLLARFSRNQFNVDSKATIGVEFQTKTLVIDNKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMARWLEELRGHADTNIVIMLIGNKCDLGTLKAVPTEDAQEFAQRENLFFMETSALESTNVETAFLTILTEIYRLISKKTLTANDEADPGGGSGLLKGTRIIVPNQDASAYEKKGGCCG >RHN63781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54001673:54003172:1 gene:gene26527 transcript:rna26527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase transcription factor WD40-like family MSKSMVVTVILLAIFLLCSPSSVAILLNKLQLPPPVIGPEALAFDRNGGGPYVTSSDGRIFKYVGPSEGFKEYAYTSPNRNRTICDGFSDFSNIQAICGRPLGLGFNHQTGDLYAADGYYGLVKVGPNGGKATQLVGPAQSNSTVFANGLDVDSNTGIVYFTIASTKFQPKDFPTALLTGGIGDNSGSLLSYDPSNNQTTVFLRNLTFASGVAVSGDGSFVLVSEYFANRIRRVWLKGPKANSSDLFMLLAGRPDNIKRNSRGQFWIAVNTVTLSSGVRVTENGIVLQIVSLVEEYGLEAASEVQEYNGTLYGGSLLASYAIIFTP >RHN44318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3492009:3499107:-1 gene:gene38421 transcript:rna38421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MSTTTPSSADTSPPPPRPTITLPPRPSVEAFFTSNAVSPGPMTLVSSFFATESATFSQLLAGAMASPLAFSSSSSLAGEYSFGKEDDGGSLNGGFKQSRPMNLVIARSPVFTVPPGLSPSGFLNSPGFFSPQSPFGMSHQQALAQVTAQAVLAQSQNMHMQPEYQLVSYEAPTERLAEQPSYTRNEAPEQQVTAPVSEPRNAQMETSEITHSDKKYQPSSLPIDKPADDGYNWRKYGQKQVKGSEYPRSYYKCTHLNCPVKKKVERAPDGHITEIIYKGQHNHEKPQPNRRVKENNSDLNGNANVQPKSDSNSQGWFGNSNKISEIVPDSSPPEPESDLTSNQGAIRPRPGSSESEEVGNAENKEEGVDCEPNPKRRSIEPAVPEVPPSQKTVTEPKIIVQTRSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTSAGCNVRKHVERASTDPKAVITTYEGKHNHDVPAARNSSHNTASSMPSKPQALVPEKHPLLKDMEFGNNDQRPVHLRLKEEQIIV >RHN55313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17164089:17172168:-1 gene:gene30478 transcript:rna30478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MLSLRFILLLAFASSISSFEPQMGSTRVVFQTNYGDIEFGFYPTVAPKTVDHIFKLVRLGGYNTNHFFRVDKGFVAQVADVANGRSAPMNEEQRRVAEKTVVGEFSDVKHVRGILSMGRHDDPDSGGSSFSMLLGNSPHLDGKYAIFGKVTKGDDTLTKLEQLPTRREGIFVMPTERITILSSYYYDTEAETCEQDRSVLKRRLAASAIEVERQNEMLPLIEARRIWSWNECYIALFDFCILSKRNTI >RHN56090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27585303:27585731:-1 gene:gene31424 transcript:rna31424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSSSSSVDTKKGTWSKEEDEILKAYVENHGTRNWNEVSKNAGLIRCGKSCRLRWYNHLQPDVKKGPFSEEEETKVFVFYKKYGEFKWSKLAEER >RHN46160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29280382:29282490:-1 gene:gene40603 transcript:rna40603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MQFFLHLAQNLINNKTSNRTTEALFIHKLIIMFLKHVFMLFFLVTLVASVDNSFIYNGFQSSHLNLDGIAELTSNGLLKLTNDTERAKGYAFYPNPIVFKNTSNESVSSFSTTFVFAIRPQYPPFSGQGIVFVLSPTKGLPNSLPSQYLGLFNDSNNGNSNNHVFGVELDTRQDLQFDDINDNHVGIDINYLKSANSTPAGYYNDHGQFRELSLSNGFPIQVWIDYDGVKKKIDVTLAPMSVGASNKPTQPLLSLTKDLSSILNNRMYVGFSSSTGLLVASHYILGWSFKVNGQAQNLEISELPKLTVFAEVKKSKFLTVGLPLILLSLLFMITLGVIYYIKRKMFAEILEDWEHEYGPHRFKFKDLYFATKGFREKGLLGVGGFGRVYKGVIPSSKLEVAVKRVSHESRQGMREFVSEIVSIGRLRHRNLVQLHGYCRRKSELLLVYDYMPNGSLDNYLYNQPKVRLNWSQRYRIIKGVASGVVYLHEEWEKVVIHRDIKASNVLLDSEFNARLGDFGLSRLYDHGADPHTTHLAGTIGYLAPEHIRTGKATKFSDMFSFGAFLLEVACGRRPISNVGENESVILVDCVFECWKRGDILEAKDVNLGTNYVSEEVELVLKLGLLCSHSEPLARPGMRQVVQYLERDIPLPDLPSLSLSSSGLTFGYQEYFEDFPLSYPSSMDKTMSHTSVSISDSLLSGGR >RHN42529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39057148:39059770:1 gene:gene48977 transcript:rna48977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase 2 MASNSTHNEFHVFMLIAIMVSSMVATCAGSFYQDFDLTWGDNRAKIFNGGQLLSLSLDKVSGSGFKSKREYLFGRIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNTSGDPYILHTNIFTQGKGNREQQFYLWFDPTRNFHTYSIIWKPQHIIFLVDNMPIRVFKNVESIGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTAYYRNFKATQFSTKSSLSSNSDAEWQINELDAYGRRRLRWVQKYFMIYNYCNDLKRFPQGVPVECSH >RHN81822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49165322:49169666:-1 gene:gene5913 transcript:rna5913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MTPFIVTNNVVSIDTSQHHRTRKRVVHKFFHCFVISRRLCKDTFAGSNNNGFECIEEPLKKIVSDFDSNLDKGFHLNEDSNFDQNPFSLRQGFIESVKLDAKRALEVLRQDGPGLDARLVLEELGIRPSGILVREVLFGILKNINSENKTRCAKLAYKFFVWCGQQEDYRHTANAYHLIMNIYAECKEFKALWRLVDEMIGKGYKATARTFNILIRTCGEAGLAKTLVERFIKSKSFNYRPFKHSYNAILHSFLVLNQYKLIEWVYEQMLLDGGFSSDILTYNIVIYAKYRLGKVDQVLTLLGEMDRNGFSPDFHTYNILLHAISKGDIGKGDLDKEDLGKEKEQFKALKLLNYMRETGIEPTVLHFTTLIDGFSRAGKLDACQYFFNEMKKNGCMPDVVAYTVMITGYVVARELEKAQEMFEEMLSKELVPNVFTYNSMIRGLCMAGKFDEACSMFKEMERKGCSPNSVVYITLVSCLRNAGRVADAREVIKQMTETGKYAHLLSRFKGYKM >RHN44649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7255821:7263657:1 gene:gene38793 transcript:rna38793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MRISFFISLLLLLTISTVAANHGVFNVQYKFSDDQQRSLSVLKAHDYRRQISLLTGVDLPLGGTGRPDSVGLYYAKIGIGTPSKDYYLQVDTGTDMMWVNCIQCKECPTRSNLGMDLTLYNIKESSSGKLVPCDQELCKEINGGLLTGCTSKTNDSCPYLEIYGDGSSTAGYFVKDVVLFDQVSGDLKTASANGSVIFGCGARQSGDLSYSNEEALDGILGFGKANYSMISQLSSSGKVKKMFAHCLNGVNGGGIFAIGHVVQPTVNTTPLLPDQPHYSVNMTAIQVGHTFLNLSTDASEQRDSKGTIIDSGTTLAYLPDGIYQPLVYKILSQQPNLKVQTLHDEYTCFQYSGSVDDGFPNVTFYFENGLSLKVYPHDYLFLSENLWCIGWQNSGAQSRDSKNMTLLGDLVLSNKLVFYDLENQVIGWTEYNCSSSIKVRDEKTGTVHLVGSHTISSSFALNTNLMTILFLIVLLLFMLIY >RHN74823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36933447:36938431:-1 gene:gene10943 transcript:rna10943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Bile acid:sodium symporter/arsenical resistance protein Acr3 MQTSIASYSSLPNTLINFNLRTKFSNLNPIFHRTKPCSQITLQNLQPQSPLISSTSKSPRILGPLRCGISSNGESANAGGRSIREWVEVSGEAISTAFPLWVTIGCVLGLMRPSSFNWVTDKLSIVGLSVIMLGMGMTLTLDDLRSALSMPKEVLSGFFLQYSVMPLSAFFISKLLNLPSHYAAGLILVGCCPGGTASNIVTYLARGNVALSVVMTAASTLSAVIMTPFLTAKLAGKYVAVDAAGLLISTLQVVLFPVLAGALLNQYFQPLVKLVSPLMPPLAVATVAVLCGNAIAQSSSAILMSGGQVILASCLLHASGFFFGYILARMLGLDVASSRTISIEVGMQNSVLGVVLAAKHFGDPLTTVPCAVSSVCHSIFGSILAGMWRRSVPPEKKN >RHN46424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31616779:31618538:-1 gene:gene40907 transcript:rna40907 gene_biotype:protein_coding transcript_biotype:protein_coding MENQTTEQKLVMCMDTLLHSVDNRYVQAYSISMVPCELKISPNDDAYIPRVVSMGPRYKNSREELMHLEEIKLRCMLSLLHRTGKGEADANLMKCCNTICGLNEEIRASYVDDIHLQEQELAKIMVVDGCFLLELLITKGFDSELPSHLYPPTTAALQVLQNDDVLSDLVLLENQIPISIVHALSKTLFPQFFKEDFKQRANKINSLALRILGYSLPQVQSLDINSPHLLDVVHSFVNNNNNNNNHHNDHYAVVLDIDLDDTQTQPVNVMEFKLKHCASRLQAAGVNIQLTRQDSRNISCFGWIRNFFGGVLIWLGNMIVKNKKVDMLAKGGVRGLNFEFKFENGKLQIAPLHITKTTKAKWRNMIAWEHHKMDWKKTSSNNGRNQINMISSGSSTCSKFASAALIFNDLICCADDVKLLKNKNIIVGHMKMSNKELEECMRTVSSGVDHGVVGSGYFKMVDDLNNYSKVVFPIRIWKTLCHLFTYYLEWFTKFMKRDYNFVAAVLAILTVHYKKLDL >RHN61149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33469456:33470628:-1 gene:gene23583 transcript:rna23583 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSLHSIQHHSLSKRHYYPSETAPPSTLSSSLFLKPTTINPTNITLSHSSSSNSSITFSISQTTSSTPSSTSQTSSFELLQQHLSAKNFREADEETRRLLIVLAGEAAQKRGYVFFSEVQFISEEDLKTIDQLWRDHSDNKFGYSVQKKLFGKAKKDFTKFFIKVGWMKKLDTEMEQYNYRSFPTEFIWELNDDTPEGHLPLTNALRGTQLLSYIFNHPAFDSVPDEVEVSFGSTEGNGALKGLKDSSKPLATKIFKTDYSF >RHN70908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54966270:54966737:1 gene:gene19542 transcript:rna19542 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIKREFDMVEILIRNCERMKNVEELKLQSLERDVKERSNELLNKKKQVGCVRRISQYYTKMQSKIEKCVKDLEEKEAPVCLIEELIKNYKHELKKNEIELRKIEANNNKDGGRKRSSRLLRKKVDKSTKEIKTKEEEFDALKIFVSGKIEELQ >RHN77593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7975762:7977268:-1 gene:gene1063 transcript:rna1063 gene_biotype:protein_coding transcript_biotype:protein_coding MFDEPSSYLDVKQRLKAAQVVRSLLRPNREGINIFLSGFVPTENLRFREVSLTFKIS >RHN52274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33344466:33349045:1 gene:gene36928 transcript:rna36928 gene_biotype:protein_coding transcript_biotype:protein_coding MSNILSKLWKRIIKLCSINVVVGFELNNHTTLLKHSIIRVLINILRIKVYKTMEALGVIWEVAKCLFSCTNAQAAYIYKLQENLESLNKKWDDLQNKEKDVLTKIDKDESTGVMKRTNEGIGWLQEFQTLQEVILSSRWWLKVSRALIRGMGFYCFPSFYVSNFGYCCCSPMVDELF >RHN76453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50377315:50380068:-1 gene:gene12780 transcript:rna12780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NPH3 domain-containing protein MKKQCFTEPETSPNQQVTLEYCCWFEDACILDMDCFIKTLSNIKQKGVRSDLIGSIITHYASKWLPDLESSNSNSNANANSPESVTTSWMKKRFFVETLVSVLPPDKDSIPCNFLLRLLKTANMVLVESTYRTDLENRISWQLDQASLKELMIPSFSHTCGTLLDVELVIRLVKRFISLDHDGVKTGAALVKVAKLVDCYLAEAGLDANLSLSQFVALAGALPNHARATDDGLYRAIDTYLKAHPSVSKQERKSLCRLIDSRKLTPEASLHAAQNERLPVRAVIQVLFSEQTKLNRHVDWSASFSSLRSPSGYGGVLDPQARCLSKREMNTQQMEIKKLKEDVYRLQSQCNVMQAQMDKMAEKKKSFFKWKKLAFSKSIGEMENVEQDEAETEFGFGRQTPMDMKTSTVVKSRTPHKWRKSMS >RHN39952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11886639:11887055:-1 gene:gene46036 transcript:rna46036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MASFNGFYDVVTIMIGFTLLFTTSLCSEISQPPSGGPSNDVASAPKPLSPYEKYLTNCASKLKPAECGKQIFSGVFVGNQIISDYCCHSLVNDVGKSCHHDLTRNALQWPAYAKNKTEILKRNDKVWNDCIAVRPILP >RHN53990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6002152:6004055:-1 gene:gene28965 transcript:rna28965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MEDQTKPKLKSIFLPFLSTSHIIPLVDMARLFALHGVDVTIISTKYNSTIFQNSINLDASRGRSIRTHIIDFPAEKVGIPAGIEAFNVNTPKEMIPKIYMGLYILQPDIEKLFETLQPDFIVTDMFFPWSADVAKKLGIPRIMFHGASYLARSAAHSVEVYRPHLKAESDTDKFVIPDLPDELEMTRLQLPDWLRSPNQYAELMKVIKESEKKSFGSVFNSFYKLESEYYDHYKKVMGTKSWGLGPVSLWANQDDSDKAARGYARKEEGAKEEGWLKWLNSKPDGSVLYVSFGSMNKFPYSQLVEIAHALENSGHNFIWVVRKNEENEEGGVFLEEFEKKMKESGKGYLIWGWAPQLLILENHAIGGLVSHCGWNTVVESVNVGLPTVTWPLFAEHFFNEKLVVDVLKIGVPVGAKEWRNWNEFGSEVVKREDIGNAIRLMMEGGEEEVAMRKRVKELSVEAKKAIKVGGSSYNNMVELIQELRSIKLAKV >RHN43180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43811981:43813105:-1 gene:gene49718 transcript:rna49718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MKVKKGWLAVQVGSEEEHSQVDGVTVSDSQRFLIPISYLYHPLFNHLLDKAYEIYGYNTDGPLKLPCSVDDFLHLRWRIEKESTPYHHHHQHHHHHLIPHALYFNSC >RHN56262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29344063:29344332:1 gene:gene31634 transcript:rna31634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MLCWSFFADQPTNCRFICNEWKIGMEIDMNVKIEDLEKLINELMVGENGKKMRQKAMELKKKAEENTRPGGCSYMNLDKVIKEVLFKQN >RHN52204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32654295:32658459:1 gene:gene36849 transcript:rna36849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MTKSNKQMTKSKKKLKNAKKKVATEGKRNDAKKKNMKVATEGTTQMNKEGGNASEKLLFNCIFHHGGEFVRDDILFYRGGKQAIVGDIDLQTWGMDAIDEIVTGWGYDKQHYRIWGAVHGEDGKFFQIYVDHLAEEVAVRAIGDEIDGHIYLEHNQQDVLERDLEIREPIYFDMKFNSDVDDSGYSSYDVELDDSENERATALDDGFDVVETEEVPVQKLLIGNMSSANGGKGNSKAHMEEPHKQNLCNGKGKGKGPMVEPEQKINLSKGHGKGPMVEAMDEYFSEELDSSDPDDSDHEAGPIYEKFRKEQLNKDYEFMLGMEFNSLKEFKDAIIEWNVLNGHEISFEKNESYRVRVVCKEDALKKGEKRKEGEKKCGYLCLCSKVGDRHTYQIKSYKKNHTCGRVTKNRSAKSKWVANFAVVNKLQTTEKVTIKDIMNDMRKNYSVDITKGRAWKAKQIAQKIVDGDADRQYSMVWRYAAELIRVCPGNTAKVNVERLGPTIQPRFGSFYFSFDGCKRGFKAACRPFVGVDGCHLKTKYGGQLLLAVGRDPNDQYFPLAFGVVEVESKASWKWFMELLMNDIGNDKRYVFISDQQKGLMSVFEEMFDSIEHRLCLRHLYANYKKKFGGGTLIRDLMMGAAKATYFQAWKAKMDELKKVDVGAWEWLMSHPTKSWCKHAFSHYPKCDVLMNNLTESFNATILVARDKPFLSMCEWIRTYLMNRIGTARNKLDRWQHSIMPMPRKRLDKEVFLSGQWAPNLSINDEWQVTHHYGEQQFIVDTAKRTCSCGFWELVGIPCRHAVAALSYRKQNPSDFVDQCYSRAKYIECYSYGVSPINGMEMWPEVDIEEPLPPHYKRGPGRPKKLRIREVDELGSRMRRPGVSYRCTKCDKFGHNKRRCKSTIQDPNAAKRKRKPKKQTEGGENVDVHENGETSNGQTETNTAKRQKQVSSQIGVDNMFDDITDELIASIPDVHVSGNENMPVSDSENMPADVPLSGTTTTPTDVPVVAAATDVSVSGTTAQNGPRMLNGPRMLNGPRMLNGPRMFNGPRMLNGPRMFNGPRMLNGPTVTNAAKLKNTSQQVRRRTSNRLRKLKSKNITGPGATAAAPMVLDESEEGVLTQEETTQGTKE >RHN49273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53717690:53732524:-1 gene:gene44087 transcript:rna44087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MSDSESNSDVFSVRIVSVDHYMASPIPSIDISHSTFHRGKVNEVPVIRVYGSTPAGQKTCLHIHGALPYLYVSCSDIPLQLDQEGDAYTYMVASSLEKALKLKGSADSSRQHVHGCSLVRAKKFYGYCSFEEFFVKIYLYYPQDVSRAANLLLAGAVLNKSLQPHESHIPFILQFLVDYNLYGMGHLHLSKMRFRHPMPDSIHKKSDINSQHRKADPGAEACLESKIWMSSTISFDWMWSLPSESGGSSNDNTHSPKRQSICELEGDISVDEILNQQFKMFSSLSQTSSNVNMVQSLVSIWEEQRKRSGIHEATMLSDSGKPLPEDVMKLLSSGLDFEKKLIQLCSESDTTLSCTPFEKELRETDIIGSASPPASLCENAKLLEEGTDTSLKLLKIGQMQSAEKIEMLDIKDADMEAQNLLKWLATSQAAEDINSDDELACETILSPLPPAATIDKMLEKANMAYESESQQECQDILDSIDDMLALDLPKKKPSRSFDHNCPIEASSDNMIPQVDGSNDDEFSSPCASLAEISSAVEINSEYKRASENHLLHNTDTSTVNTDKRNRQWGSLPFSMTGKVNNDGEHATSQVAHLFERETEDSSLSDYLTRNEIKNNKYIKRNVGEGASDSKEVHSLVNCSLRDLMRRKRSYRVEHDERESGTAKKLNLDRHGGTKTCLWPKLELETMQTDEVEKELQKNSDHEVRSRDNLVCRKQSFPSDSDSFLNISKDECLVQHERHYLEAGMVLKNSANQSSSSMHERPVLLETSHLADSIDKSVACREKNTKDRTTYEKHAASDAYTPNPSLDTHLRTDDDHKVRAPERCQETDSVASGSRQNSLVDDEVSGKSKCIDKTSSGSIFFVQHDQMKSYEHAVGKSAASDTRVLLTDKVDNQKLDKNLLCKTVGSEPIVDDLKSNHMKLTKVVIGNSSLVDKNLKSHLSLPTFPNNLHLDEDDEMPGNALDVFLPISARNSQKQKKPWNKCVTIETPRSSGTKGVSTYYQNDGSHLYLLTPNILPPSAGSVQRWLFCDEREPDAEDQDVPKCTSGPLRHTPDQMRQEPGAKDKDISKCASGPTLRPELHQDTEKKLPCINEGQTERIKAHMDHSQDISQISGPGEKSSFTPLSQIGFQDPASAGHGQQLALLSIEVLAESRGDLLPDPQFDAVNIVALGFQKDCDATVEVLVLLHSKFVPCQRSLDGLSDCKVLNFTDEKHLLKEFTKIVSSSDPDILMGWEIQGSSLGFLAERASHLGFGLLNDLSRTPSNSWINSQDIKTSEKSILEPDIPDTTSLDCCARESSIIEDEWGRTHASGVHVGGRIVLNLWRLIRGEVKLNLYSVEAVAEAVLRRKVPSLNHNVLTKWFSRGPGQARYQCIKYIVDRAKLNLEILNQLDMVNRTSELARVFGIDFFSVLSRGSQYRVESMFLRLAHTQNYVAISPGNQQVASQPAMECLPLVMEPESGFYSDPVVVLDFQSLYPSMIIAYNLCFCTCLGKVVPSKTNTLGVSPFVPEQHILQDLKDQILLTPNGVMFVPSKIRRGVLPRLLEEILSTRIMVKQAMKKLSPSEQVLQRIFNARQLALKLISNVTYGYTAAGFSGRMPCAELADSIVQCGRCTLEKAISFVNLHEKWNAKVIYGDTDSMFVLLKGRTAKEAFQIGSEIASAITAMNPSPVTLKMEKVYHPCFLITKKRYVGYSYENPNQIEPVFDAKGIETVRRDTCEAVAKIMEQSLRLFFEHQSLLEVKTYLQRQWKRILSGRVSLKDFIFAKEVRLGTYSARISSLPPAAIVATKAMRVDRRAEPRYAERIPYVVIHGEPGARLVDMVVDPLEVLAIDSPFRINDLYYINKQIIPALQRVFGLVGADLNQWFAEMPRPVREASVKHAFTPNFQRTRIDYYYLSKHCVLCGGLVQASARLCSQCSENEVAAATAVIGKTAKLEQEMQHLVSICQHCGGGDRLLESGVKCTSISCLVFYERRKVQKELLAATHVAADKGFYPRCTVEWF >RHN43294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44722178:44725194:1 gene:gene49842 transcript:rna49842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MHASYILFSHYIQHMHDFCYSPLRTTFLITSKPMEKHEVLLPISSSPDASPFNVKPGNGSNIHVKIAEYLKTKKKETSSQKGGKEIMKHKYVFQTRSQIDILDDGFRWRKYGEKMVKDNKFPRSYYKCTYQGCKVKKQIQRHSKDEQVVETSYEGMHIHPVEKSAESFDQILRNFITNNQL >RHN50017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3175147:3181577:1 gene:gene34264 transcript:rna34264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSNEIVQKPPPSILVGRFKALLNQRREEDPRLRNSQPSTEEIVQIYELLLSELTCNVKPIITDLTIIAEQHRNHAKGIAFAICNRIVEVSADQKLPSLYLLDSIVKNVGQEYVRYFSQRLPEVFCVAYRQVDPSLHSAMRHLFGTWSKVFPPSVLRKIDVELQFSKPVNNQPSGVNSLRASESPRPTHGIHVNPRYVRQIEHSTSVIDSVAGERLDSTGTAGNANFGLLASKINQFVPSRVGRSSSPPRIGLDRHMPSYMDEYLADNSTGRTVERESPHHAVDYGLVKGLGREEESSEWSRKPFSGDSRKRFQTSMTYSLSNGQPRQNPRALIDAYGSDKSQETSGSKPLLVERLDRNGMDKVMTTSWQNTEEEEFDWEDMSPTVVDHGRSNGFLQPTIGFSSEKPVTVAANATSSVSRVFPGLNSNIEYRPPVLPAAFETRHSVNVHAPRPPSITPIFPSKNPVRNPFESINANSTIVSHGLINRPFPMHEQSLHGVENNDINKRNLYQLPNQLPGLISSNPPNSVQTPSFQFFPPQDPAASQFTYRPSLPGHGPAMSNPLPNVRPVMPLPLPGQRIGNNSFHFQGGALRPLPPPGPHAPSQMPPHPNPSPFVPNQQPTVGYSNLINSLMAQGVISLTNQAPSQDFVGIEFDPDTLKVRHESAISALYGNLPRQCTTCGLRFKSQDEHSSHMDWHVTKNRMSKNRKQKPSRKWFVSETMWLSGAEALGTESAPGFLPTETTEEKKEDEELAVPADEDQNTCALCGEPFEEFYSDETEEWMYRGAVYLNAPNGITTGMDRSQLGSIIHAKCRSESTPCEDFVMDEGGTYEEGSQRKRMRS >RHN53700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3454215:3457319:1 gene:gene28635 transcript:rna28635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deoxyribodipyrimidine photo-lyase MYVYWFETTHQNIKTVEIPSKRRTLATYATQEKSPIMATTPSPPSMLPSVNSGRIRTLKEGSGKTGPVVYWMFRDQRLKDNWALIHAVHQANKAKVPVAVVFNLFDHFLGAKARHLGFMLKGLRQLCHQLQHSLHIPFFLVRGEAEETVSKFVRECGASLLVTDMSPLREVKKCKAEICERVSDLVTVHEVDAHNVVPLWVASEKLEYSARTIRGKINKKLSDYLVDFPDVEPPNTKWVITEDHVIDWDDIIAQVLRSGSEVPEVDWCEPGEIAAMEVLMGSKNGFLTKRLRNYSTDRNNPCKPTALSGLSPYLHFGQISAQRCALEARKLRASYPQAVDTFLEELIVRRELADNFCYYQPHYDSIQGAWEWARKTLLDHASDKRQHVYTREQLEKAKTADPLWNASQLEMVHYGKMHGFMRMYWAKKILEWTRGPEEALEICIYLNDKYEMDGRDPSGYNGCMWSICGVHDQGIV >RHN76216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48628982:48635706:-1 gene:gene12507 transcript:rna12507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Homeobox domain-containing protein MANPSGTGGNHQEQHTQVNPSSFNGNSVPETSSGLVMNMKHNPGISLDWSPEEQSILEDGLTKYASESSIARYAKIAQQLNNKTVRDVALRVRWMNKKENSKRRKDDHNLSRKSKDKKERVSDPAAKSSHFAARPSVPPYAPPMITMDNDDGIPYSAIGGPTAELLEQNAQTMTQISANLSSLQLQENINLLCQTRDNIIRIMNEMNDSPAVMKQMPPLPVKMNEELSRSILPGTSLHPQS >RHN42435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38338044:38341004:-1 gene:gene48876 transcript:rna48876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEMMLSRLPYHDSLKLLEADIHHANALAAAIPRGKGGSVFQMKLVYSQLAPLFLLLLQWMDCSCSCFLHRYLNFFHIIIYKVHNDGRPSITSHGRKATIQDFYAVILPSLQRLHGSLEKLEICMKGHTSLDGPSYGKKMIEANGKLTTNVDLEREDECGICLEPCTKMVLPNCCHAMCIKCYRKWNTKSESCPFCRGSIRRVNSEDLWVLTCDDDVVDAETVSKEDLLRFYLYINSLPKDYPDALFLMYYEYLI >RHN71746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2384915:2388184:1 gene:gene7378 transcript:rna7378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MSSHMEISSIRGLPEMGIMEDPNFLHHFNNHLSSIDTNNLTASAFGDALQKHILSNNPNFNNKTCMETSPTGNERPAKQLRNNSWNYNNSPPTSDTQYDNCCSNNLLSFADLNYTNQLGLLKPKSEMVCPKIDNTSTLANMLITQGNLFGNQNHVFKAVQEAKDIENRPNKLSQAHDHIVAERKRREKLSQRFIALSALVPNLKKMDKASVLGEAIRYLKQMEEKVSVLEEEQKRKKTVESVVIVKKSQLSMNEAEDRADTNNSTYDETLPEIEARFCERSVLIRLHCLKSQGVIEKIMSEIEKLHLKVINSSSLTFGNFTLDITIIAQMDVGFCMTVKDLVRKIRSAYSSFM >RHN52153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32070566:32070742:1 gene:gene36782 transcript:rna36782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MDKTDWYWKMFLDGSNRDHEAVNVNGPKALDILNVDYPKSLLFFGGFDSLVNLERKWN >RHN44295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3298133:3299530:-1 gene:gene38396 transcript:rna38396 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFIVRCVLSDIQAKKVAEEEEARRLAEEALAKKKAEEEEARRLAEEAFAKKKAEEEEARRLAKEALAQKKLQARKAKEAAEKTLTLNSLWALADAFQRRSFPDMTRETLFRKLVKLNPALDHEDDTASPIQPVHMPTQYGKEVNYENDMKPLDASSSQPLDELNKSTQNVSLEDKAAVQEGGIGSAQLL >RHN57711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41218334:41219957:-1 gene:gene33293 transcript:rna33293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase, Xyloglucan-specific endo-beta-1,4-glucanase MASYANNYVFLLLCLGLSFCTIAFGGNFNTDFNYLFGDFRANIQTGGNVASLQMDKYSGSGFGSKNAYLFGRFDMQIKLVPGNSAGIVTAYYLSSEGDHHDEIDIEFLGNVTGQPYILQTNIYANGVGGREMQYYLWFDPTQNFHTYSIDWNPQRIMILVDNQPIRVSRNKQGSGVPFPTNQPMRLYTTLWNGEAWATQGGTMKVDWSKGPFTAWFSNFNANACVPSQSNNCVGFNGGTNRGLSIDSRKKLNQIYSKWLVYDYCHDVRRYANGLPNECRRKSPRRMALED >RHN81631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47667398:47672300:-1 gene:gene5702 transcript:rna5702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MSFILQLHPCNSSLCFSCNSFIFSKKSYKKVCSFPNTVLSTPHGSIVSKKVSILSNTHFNFCSKNGGFDEVSSDLAGEAIDDEIQVELLNKPSPVLEVEIEQERPSEEEALAPFFKFFKGSKDYVKEVEEDNEVLELEVSEEKDGVSDEVEKEDEGKEEGEDKKVNVEYYEPKPGDFVVGVVVSGNENKLDVNVGADLLGTMLTKEVLPLNGKEMDHLLCDVNKDAENFTVQGKMGIVKNDEVMSGVSVPGRPVVETGTILFAEVLGRTLSGRPLISTRRLFRRIAWHRVRQIQQLNEPIEVRITEWNTGGLLTTIEGLRAFLPKAELVTRVNSFTELKENVGRRMFVEITRIDEAKNSLVLSEKGAWEKAYLREGTLLDGTVKKIFPYGAQIKIGKTNRSGLLHVSNITRAEVTSVSDVLFVDEKVKVLVVRSMFPDKISLSIADLESEPGLFLSNKERVFMEADMMAKKYKQKLPPHIVSTKLEPLPTSALPFENEALYANWKWFKFEK >RHN66708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16952656:16955096:1 gene:gene14764 transcript:rna14764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A2 domain-containing protein MNLGFLGDIPWVKPRSNQDSAIHLVQTTAFTSQVKHESSGIDIDPKFTPDSATHLVQKAQQESSVNDPKLLGWPLSFLSLFPWTNKDGEKFQRPTTINKELKRHAQNRENVVGKDNMATPLRFRPYVCKVPWHTGVRAFLSQLFPRYGHYCGPNWSSGKDGGSLVWDKRPIDWLDYCCYCHDIGYDTHDQAKLLKADLAFLECLENRHIMRTKGDPHIAHLYKTMCINGLKNFLIPYRTNLVSLQQSGRSLIQFGWLSNLKWRSWNYQKE >RHN38946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2977499:2980160:-1 gene:gene44923 transcript:rna44923 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEYHFKRNHVPAFGSWDWNDNLPFTQCFESARQGGFLHYSYSESNEDQDLYVAGDLYDNHVVTPAMIVVPRRREKVRSQHEKDVKKQNWVSHVVKEPPSPTPMSRPTPKPVDEDLYKISPDLLYVKTRKKRGLCFFQSCFTCIA >RHN40260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14646956:14660390:1 gene:gene46385 transcript:rna46385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MMYNDPHNPQHQQHQQHQQQQQQQQQQYQQHQQQQQPPHFHHQQQQQQSGPGPDFHRGPPPPMPQQPPPMMRQPSASSTNLGSEFLPGGPPGPPGPPPHYDVHNDSHGAKRMRKLTQRKAVDYTSTVVRYMQIRMSQRDSRDRTVLQPTPAAAIDMLPAAGYSDNPSTSFAAKFVHTSLNKNRCAINCVLWTPTGRRLITGSQTGEFTLWNGQSFNFEMILQAHDSAIRSMIWSHNDNWMVSGDDGGAIKYWQNNMNNVKANKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEECSLTGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKSGKELSSFHGHKNTVLCVKWNQNGNWVLTASKDQIIKLYDIRAMKELESFRGHRKDVTTLAWHPFHEEYFVSGSYDGSIFHWLVGQDTPQIEISNAHDNNVWDLAWHPIGYLLCSGSSDHTTKFWSRNRPGDSARDSRYNNGIQGYAEQNPVAGRVPGHFVMPEGPTTPGPFPPGLMTRNEGTIPGVGVAMPLSIPSFDGSQGEQKQPHPGSIGAPPLPPGPHPSLLNPNQQQPFQQNPQQIPQHQQQLQQHMGPLPMPPNMPQIQHSSHSSMLPHQHLPRPPPQMPHGMPGSLPVPTSHPMPIPGPMGMQGTMNQMGPPMPQGHYGGMNQMHSGSLSSSGGPPMGGFPNNMQGPPNNNYPQGAPFNRPQGGQMPMMQGYNPYQSGNQPGMPPNSQQGGPHSQMPQ >RHN52897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40087862:40088905:-1 gene:gene37630 transcript:rna37630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGWFWNVIRASGLYPLLETNYGQVDHGLLIAFSLRWHSETSSFHLPVGEMTIALDDISCLLHIPVGGNLLFHESLSIHQGTEYLVNYLGLEFEEIAAETKRLKSAHITYDTLLSIYTSYLTEAKSYANQPGEEDSMEWYRTRCIRAFLLYLVGCTLFSDKAGSSCCVVYLKYFDELTTVNQWSWGATALAFLYNYLGDFTKPSCTSLSGYTCLMQAWIYEHFPDICPRDLDISYTEDRPRATRYMLRQGHAIQQCYRKALDRLEVDDIRRRTSFGFQGG >RHN74003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23380544:23388174:-1 gene:gene9927 transcript:rna9927 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDTCWMDAKKSECEHVVVLYPTLCENIQHQFELTFLCLNFDVLNWKMLEFEQKLGGYHLFEMVEGHLNEQEEVL >RHN57261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37634083:37635372:-1 gene:gene32783 transcript:rna32783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine rich protein MDSKKAILMLGLLAMALISSVMSARDLTETSTDTKKEVVEKTNEVNDAKYGGYGGGYNHGGGGYNGGGYNHGGGGYNNGGGGYNHGGGGYNNGGGGYNHGGGGYNGGGYNHGGGGYNHGGGGYNHGGGGYNGGGGHGGHGGGGYNGGGGHGGHGAAESVAVQTEEKTNEVNDAKYGGGYNHGGGSYNHGGGSYHHGGGGYNHGGGGHGGHGGGGHGGHGAEQTEDKTQN >RHN76999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3104528:3107698:-1 gene:gene406 transcript:rna406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative enhancer of rudimentary MANRNHHTIILLQTSHHRATRTFMDFDSISLAIDGICGLYERKLKDLNPAVRNISYDIMDLYNFIDGLADMSALVYDGSKHAYFPYDREWIKERTFQHLKKLAR >RHN55650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20625463:20626292:1 gene:gene30866 transcript:rna30866 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMHNLYSILIDATFFTTEKKVSMRACLRDEKGTFVAALTIYCEAAMIIVEGEAWDLYQDIQWISSLGYHDVIFELDCKMVADDVHNSKMNLSEYGSIIQTLEPYLITITTL >RHN57928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42620253:42620504:1 gene:gene33519 transcript:rna33519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tabersonine 16-hydroxylase MCNLWVVLDLFSAGTETSSVVVLWAMSEMAKNPNVMEEAQAEVRRVFDMKGYVDETELH >RHN74256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31193894:31194235:-1 gene:gene10291 transcript:rna10291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NTF2-like domain-containing protein MDPNALSKAFMEHYYTTFDTNRPNLAAALYHCQRQIMGSQNIVAKLTSFPFQQCHHSITTVDCQPSGADGGMLVFVSGNLQLADEQHGQQIMFLFLLNLLFMNPFSREELKMR >RHN49609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55900031:55903543:-1 gene:gene44458 transcript:rna44458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MHRSFTVLSKNLKSIASSSSSDGIFSFKFHSSSSSSSPIGSPTRVQKLIASQSDPLLAKEIFDYASLQPNFRHNYSTYLILILKFGRSKHFSLLDDLLRRLKSESSQPITPTLFSYLIKIYGEANLPDKALNTFYIMLQFNIKPLTKHLNRILDILVSHRNYLRPAFDLFKDAHKHGVFPDTKSYNILMRAFCLNGDISIAYTLFNKMFKRDVVPDIQSYRILMQALCRKSQVNGAVDLFEDMLNKGFVPDSFTYTTLLNSLCRKKKLREAYKLLCRMKVKGCNPDIVHYNTVILGFCREGRAHDACKVIDDMQANGCLPNLVSYRTLVNGLCHLGMLDEATKYVEEMLSKGFSPHFAVIHALVKGFCNVGRIEEACGVLTKSLEHREAPHKDTWMIIVPQICEVDDGVKIDGVLEEVLKIEIKGDTRIVDAGIGLEDYLIRKIRAKSRQF >RHN75568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43367256:43372029:1 gene:gene11795 transcript:rna11795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MASLKQNSAEEPLLFNHSGTSQKHHESDGELERILSDTTVPFFSRIGSATWIELRLLFLLAAPAVFVYLINYVMSMSTQIFSGHLGNLELAAASLGNTGIQIFAYGLMLGMGSAVETLCGQAYGAEKYGMLGTYLQRSTILLTITGFFLTIIYVLSEPILVFIGQSPRIASAAALFVYGLIPQIFAYAVNFPIQKFLQAQSIVLPSAYISAGTLVFHLILSWVVVFKIGLGLLGASLVLSFSWWVIVVAQFIYILKSEKCKRTWNGFTWEAFSGLPEFFKLSAASAVMLCLESWYFQILVLLAGLLPQPELALDSLSICTTVSGWVFMISVGFNAAASVRVSNELGARNPKSASFSVKVVTVISFIISVIAALIVLALRDVISYVFTEGEVVAAAVSDLCPLLSLSLVLNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGIPLGAVLGFYFNFGAKGIWLGMLGGTTMQTIILMWVTFRTDWNKEVKEAAKRLNKWEEKKKEPLLN >RHN78045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11486255:11495571:-1 gene:gene1560 transcript:rna1560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuole morphology and inheritance protein MADALTVMPAAVLRNLADKLYEKRKNAALEVEGIVKQLASAGDHDKITAVITLLTTEFTYSPQANHRKGGLISLAAATVGLTNEAAQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIVFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAGSPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEELRAINADPAEAFDVGAILSIARRQLSSEWEATRIEALHWISTLLNRHRIEVLTYLNDIFDTLLKALSDPSDEVVLLVLDVHACIARDPQHFRQLVVFLVHNFRLDNSLLEKRGALIIRRLCVLLNAERVYRELSTILEGESDLDFASIMVQALNLILLTSSELSEIRDLLKQSLVNPAGKDLYISLYASWCHSPMAIISLCFVAQTYRHASAVIQSLEEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLDPARYLWLFKALYGLLMLLPQQSAAFKILKTRLKAVPSYSFNRAQLNREPSGDYYQFLPQMPDGTKEDGDVTEDGGSSYNAINFAARLQQFQKMQQQHRVHTKSRRTSRSLSTSLPKVAGLSLSTSLSKEAQREEEPPKPQSIDLNVPPSRSRRNSGQLQL >RHN39486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7463169:7471397:1 gene:gene45511 transcript:rna45511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-associated protein MAEVSGDGAEMATPAPLSVPGSFTKSSSSRRRGSARQPSMDADEFMNLLHGSDPVKVELNRLENEVRDKDRELSEAQAEIKALRHSERLREKAVEELTDELSKVDGKLKLTESLLESKNLEIKKINDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALIEAEKTVQVALVKASMVDDLQNKNQELMKQIEICQEENKILDRMHRLKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDSNDKVMPVKQWLEERRFLQGEMQQLRDKLAIVERAAKSEAQLKEKYQLRLKVLEESLRGNSNGSSRSTPEGRSVSNSRRQSLGGADNFSKPTSNGFLPKRLPSFQLRSSPSSSSVLKNAKGTSKSFDGGTRSLERNKMQLNGAPQSYSFNQSLEETKERETDVNWKGNSDDKANDFPTVDTEDSVPGILYDLLQKEVMTLRKAGNEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVANMEKEVAAMRVEKEQESRAKRFSNIKGPGPGPVNSAQNQLISGRSVTRGGLTRSTQ >RHN59971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15499493:15499888:-1 gene:gene22146 transcript:rna22146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEVAKRGTMENNMVIWNLLMKNHEDVLIEIFKRLDIFESPSSVRRFCETWGRASSNQSFWQTLDFSMLRSDFIKSTAPPYVWVNSNFDNTLYNLLFISLNPSQGNIKTLIFHYYLYLTNDQFLYTAKRYVY >RHN46548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32656568:32661596:1 gene:gene41046 transcript:rna41046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-III family MQIILFSFFILLFFFHPVVSLSSDGLALLTLKSAVDGGDTATTFSDWNENDLTPCHWSGISCSNISGEPDSRVVGIGLAGKGLRGYLPSELGNLIYLRRLSLHTNLFHGSIPVQLFNASSLHSIFLHGNNLSGNLSPSACNLPRLQNLDLSDNSLAGNIPQSIGNCSQLQRLILARNNFSGYIPVTPWKKLKNLVQLDLSANVLEGSIPEQIGELNSLTGTLNLSFNHLTGKVPKSLGKLPVTVSFDLRSNDLSGEIPQTGSFSNQGPTAFLNNPKLCGFPLQKDCTGSASSEPGASPGSTRQRMNRSKKGLSPGLIIIITVADAAAVALIGLVVVYVYWKKKDKNNGCSCTLKRKFGGNGSNERSNSCCLCLALGCVKGFKSDDSEMEESEKGGREGNGRGEGEGEGELVAIDKGFSFELDELLRASAYVLGKSGLGIVYKVVLGNGVPVAVRRLGEGGEQRYKEFATEVQAIGKVKHPNIVKLRAYYWAHDEKLLISDFVSNGNLANALRGRNGQPSPNLSWSIRLRIAKGTARGLAYLHECSPRKFVHGDLKPSNILLDTDFQPLISDFGLNRLISITGNNPSTGGFMGGALPYMKSSQTERTNNYKAPEAKVPGCRPTQKWDVYSFGVVLLELLTGKSPDSSPGASTSVEVPDLVRWVKKGFEQESPLSEMVDPSLLQEIHAKKEVLAVFHVALSCTEGDPEVRPRMKTVSDNLERI >RHN57193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37219627:37220069:-1 gene:gene32702 transcript:rna32702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MILIEAFNATLNKTFTNASKSDFDTVSTKANIEHGSWLVIYLYSHENIHGNLTSSNVLLDENTNAKITDFGLSSLMTTAANSNVIATAGALGYLEPELSKLKIANIKTDVYSLGVILLELLTRKPLGEACSSA >RHN82458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53952794:53953855:-1 gene:gene6626 transcript:rna6626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MTALTSNLNLIPQHGKNHQQHQGSCVVEEIKGLIKVHKDGYIERPNIVPCVTSDLCPKINVTSRDIIIDSVTNIWARFYVPNSPQKKLPLLVYFHGGGFCVGSAAWSCYHEFLAMLSLKVGCLIMSVNYRLAPENPLPAPYDDGLNALMWLKKQFLYQNESSEFEWWTKKCNFSNVFLGGDSAGGNIAYNVAKRVGSCEGAFLRPLNLKGLILVQPFFGGKERTLSEKCMEQLSGSALNLAASDTYWRLALPYGEDRDHPWCNPLVKMEELKLLMMPMLVCISEMDILKDRNMEFCDALGRTGTRVECEVFKGVGHAFQILSKSQVSKIRVVQMMDCVKSFMGFDSQFLFDLD >RHN60545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28004350:28004750:-1 gene:gene22866 transcript:rna22866 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYPERLVNFPHRHRQFQMVKSFDKGGTVVPTKVSRGLNQVISLKTRDEVSF >RHN45394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19312242:19315793:-1 gene:gene39705 transcript:rna39705 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIYPNNNNNNNKQPQISEMFQKFALAFKTKTFEFFNDEENNLDESDGFSLLDSTEEIITDQKVIIIKPDPPKTTPSSSPPKTTPSSPKTTPSSSPPKTTPSFTPNQLTLPETASFDLIDSSPKTTPLFTQTQSPLPKTTSHDLISSIFAAVSAFEASYFQLQTAHVPFIEENVKTTDKVLISHLQRLSEFKKFYCNPNLYTSFPFGSSLEAEVEENQSKLRTLGTVSNRLQLELEKKHDEVFRMRKKLDEVQKGNVNLSKRLCSGNVNSCDVLLSVRVFESVLHDGFRAAHKFTKILIGLMRKAGWDLGLAANAVHPGVVYSKKGHNQYALLSYVCLGMFQGFDLVSFGLSSERRDEEELMSSGEFFDLDLKGRDSCLKQLLEHVSSNPMELLSIHPGCEFSRFCEMKYERLIHPSMESSIFVNLDQNEAVLNSWRSLSLFYEAFVGMASSIWTLHKLSHAFDPAVEIFQLEGGAEFSMVYMDDVTKRLTWPNKERAKVGFTVFPGFRIGKVVIQSQVYVSSFSLEE >RHN42264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36863542:36866593:1 gene:gene48684 transcript:rna48684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin-dependent kinase inhibitor MNENGVGKRPRTQDDRLSYEPQPTKRVMTTYRDIIRMLFMKPSAVEEQCSSTVTSDESSTSFYSSNNNLNLNRITDGEVDSAAAAQAGTSRHCGDQTIRRREMGLTRAEEEEEVDSHSMEKKKKKPQNMPEESELDEFFSAAEKDIQKQFQNKYNYDIVKDMPLEGPYEWVQLKL >RHN77589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7961643:7962092:1 gene:gene1059 transcript:rna1059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-alpha MSRPKFPPPVPLNFLFIGHVDSGKSTTAGHLIYKLGGIEKDVIEKLEKEAAEINRRLFKYAWVLDKLKAERERGITIDISLWKFQTTSYCCTLIDAPGHRDFIKNMITGASEADCAVLIIDSTTGGFEAGFCKDGQTTEHVQLAFALGV >RHN74584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34893213:34896308:-1 gene:gene10678 transcript:rna10678 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEKISKTKDSSWVKDQKRVLFIFITKCTREIGRMSYTLHNRM >RHN57023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35833023:35834215:1 gene:gene32506 transcript:rna32506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial glycoprotein MVDLVTGEEHDKDDESERATQSSIDFSVSVSKKNGTSLEFCCDAYPDEMVFSGLFITNRGEQIPYYDRLDFQYVLLSDYFILFINMCIQYLKPGLHQ >RHN64495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59536724:59546198:1 gene:gene27315 transcript:rna27315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MVKSYLRYEPSVSFGVIASVDSNISYDSSGKHLLSPALEKIGVWHVRQGICTKTLTPFVPCRGPSLAVTSIASSPSQLIAGGYGDGSIRLWDTDKGTCETTLNGHKGAVTALRYNKLGSLLASGSKDNDVILWDVVGETGLFRLRGHRDQVTDVVFVGFGKKLVSSSKDKFLRVWDIDTQHCMQIVGGHHSEIWTLDVDPGERFLVTGSADKELRFYSIKQDSVDGESVKDGGDSSVSNKWDVLTHFGEIQRQSKDRVATVQFNKSGNLLACHVAGKMVEIFRVLDDAEAKRKAKRRVNRKKEKKHGKEPTEGTENGDVENKGDNTTVTDGPIETSKITVTVPDVFKLLHTIRASKKICSISFCPTTQKNSLATLALSLNNNLIEFYSIESGETKKTLGIDLEGHRSDVRSVTLSSDNTFLLSTSHNAVKIWNPSTGSCLRTIDSGYGLCSLILPTNKYGLVGTKEGTLEIIDIGGSTRVEAIEAHGGSVRTIAALPDKHGFVTGSQDHDVKFWEYQIKQKPGQATKQLTLSNVKTMRMNDDVLVVAISPDAKYIAVALLDSTVKIHFVDTFKFFLSLYGHKLPVLCMDISSDGDLIVTGSADKNIKIWGLDFGDCHKSIFAHKDSVMAVQFVPKTHYVFSVGKDRVVKYWDADKFEQLLTLEGHHADIWCLAVSNRGDFIVTGSHDRSIRRWDRTEEQFFIEEEKEKRLEEMFDADLDKAFESNYAPKEEIPEEGAVAVAGKKTEETLTATDLIIERLDIAENEKKRISEHQEEEKINKNAVFQANLLMNGQSPSDYVLSAFKDVHSNDLEQTLLALPFSDALKLLSYLKEWTSYSDKVELVCRIGTLLLQTHYNQLLSTPAARPVLTDFSDIFYERVKGWKDIFGFNLAAMDHIQQLMASRSDALFRDARSKLLEIRSQQSKRLQDRSDTGEFLRKKKKKTK >RHN51282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15753291:15754446:-1 gene:gene35696 transcript:rna35696 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIILALIFLLLQITSFVVFAEELETLHHKPTTPLHPPTKSPVHKPLAKPPTHAPHHHHHHSPSHAPLPPPHPAKPLTHHHHQHQHHSPAPSPYHVPTPLQRPAKPPTLHHHQHPPAHAPTHMPRVSRSSIAVEGVVYVKSCHHAGFDTLKGAVVKFQCHNAKYKFVLKAKTNKEGYIYIGSSKNISSYASGHCNVVLESAPNGLKPSNLHGGLTGAHPKSVKRIVSKGVSLIRYTVDPLAFEPKCNH >RHN61140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33397858:33402092:-1 gene:gene23573 transcript:rna23573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-secretase subunit Aph-1 MTVAAGIGYALIALGPSLSIFVSVISKKPFLILTLLASTLLWLISLIALSGIWRAFLPFNPTAVWPYAILILSSVAFQEALRLFFWKIFKRLEDMLDAFADRVSKPHLFLTDKMLIALAGGLGHGVAHAVFFCISLLTPAFGPATYFVDKCSKIPFFLLSAVIALAFVTIHTFSMVIAFNGYAEGNKVDRYFVPIVHVIAGMLTMVNLAPGGCAVGIPLLYFTAILTLIHCGRMVWRRLTENPIRPSHSYRFIKALVREAEVFRHFMSSKTK >RHN69993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47826089:47828703:1 gene:gene18529 transcript:rna18529 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLKLVRSLILGETINQNPHFLNHVNDDDDDKQEQPKETRFKKRPGLLFLPTQEIITNTYKLATIARDLGMNLHPTPSLSHIIFSNPSSTPSTSSSSPSTPSTSSFSVSSASSSLLNHAVPIPFPSFSTTPLTHLRFFVTLFPRAFKLVLFTSDGDSDAVGVSNWDCCSVSLCSRVTGIRVDTMEGFCRILAGKGWTFFKTKENPSVDHCGGGVVYLFRKVDVNRVRVGRVGAPDGACRVRELRLPHLDFENAPLKILQYILLMTDDVFCLA >RHN54126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7116211:7119462:-1 gene:gene29116 transcript:rna29116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S4e MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVLVDGKVRTDKTYPAGFMDVVSIPKTNESFRLLYDTKGRFRLHSVRDDEAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPVIRANDTIKLDLEENKIVDFIKFDVGNVVMVTGGKNRGRVGVIKNREKHKGTFETIHVQDATGHEFATRLVNVFTIGKGTKPWVSLPKGKGIKLTVIEEARKRAAAAQQAVAA >RHN55848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24706746:24709093:-1 gene:gene31123 transcript:rna31123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MGIFHQLSEFFTSCTKPPEKRIPKKTVHIRVKMDCEGCEKKVKNAVKDFDGVESYNVTKNQQRVTVTGHIDANEILDEVRSTGKTADMWSLVPYNLVAYPYAIGAYDMKAPTGFVRGVPQAVGDPKSPELKMMALFNDDNANACSIM >RHN70308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50451149:50454714:-1 gene:gene18875 transcript:rna18875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MNTPSLNLVSECFIKPYPPIEDSNQICYLGPWDIAMISSHYIQKGLLFKKSSRGAKFILATLDITVNVILSPVDVPPIVKSFFDHHRAVNHDGHTMSLLSIQVTELLDGVFIGCSMNHCIGDGTAYWNFFNTFSEIFQNDVHVPFDTNKISSFQSLSALVWRSITRACQQQCGQRTTCKLSLNNRTRIEPPLPNEYFGNSIHAVGAETTVGELFRNDLGWAAWKIHLAIANHDDKVVQNYVEEWIRSPIVYRMDLFFDPYTVMMGSSPRFNMYGNEFGMGKALAYKTILYLSIVGACGTVVHIVFICHIYTDNALSFGLIIFYY >RHN64082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56428356:56428954:-1 gene:gene26862 transcript:rna26862 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMATAGDGLFWSLHEGSISGYDNTFEKRPYHRKCGCALHNKKSRMNYKHMLPSCCNRTVSYPIKKERRSLVVKTASTTLSSSFSFPTNSSSQVMMSLVNLQEQEEEPNHKYF >RHN48962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51410106:51410526:-1 gene:gene43738 transcript:rna43738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAEVNANPEPPQNMTIYINNLNEKIKIDELKKSLHAVFSQFGKILEVLAFKTLKHKGQAWVIFEDVTSASNALRKMQGFPFYDKPMVLSYALQFHFSNLKLCFTSYF >RHN69260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42164502:42169312:1 gene:gene17703 transcript:rna17703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MMALVSPSTGLRKQVFPIDYETEMSQRLVDAAHRGDTDSAIECLANPLVDVNFIGTVMLKSKTMEIVLQDESPHRVNSVYEEFKIEVTALFLAAHSGNLTLIRKLLNVGANVNVRLFRGYATTAAVREGHLKILEVLINGGASQLACEEALLEASYVGHARFAELLMQSNMIRPHVAIHALVSACCRGFTEVVDVLIKHGVDVNAMDRTLLQSSKPFLHANVDCNALFAAVVSRQINVVRLLLQVGVRLDTKVKLGAWSWERDTGEEFRVGVGLAEPYPITWCAVEYFESTGTILNMLLYHLSPNSFHIGRTLLHHTIMCNNERALNILLSNGVDTELVVQTTEETNVHPIHMAARLGSCNILRCLINGKCNLDSQTKFGDTALMICTRNKNEKCLRVLVSSGADLGIVNLSGHYATSISSSNQWTQVYQKAILDIIRSGTGVKSSNASRFSALLFVTRANDIEALKKLIEYRNINLDEQNGNGLSAVMIAAAEGNVEAFKVLLHAGADVINLKNRYGLTALNLIDLNQNGENKENFHKVMFEYALKKGCLNISTLTEPNPLHRAACYGDISIVEKLLKEGYYDVNGFDGNGYTPLMLAARESNGEMCEILISYGAKCDVKNERNETALLLARENNKGNDAERVILDELARRVVLRGACVKKHTKCGKGLPHKKQLVMIGAAGILRWGKSNKRNVVCKEAEVGPSERFRWNRRRKFDVDELGMFYVVTAKNKEVHFVCEGGVEMAELWVRGIRLVTREAIFGSRANTG >RHN39274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5477883:5484832:1 gene:gene45282 transcript:rna45282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-methanol-choline oxidoreductase, FAD/NAD(P)-binding domain-containing protein MASVGTVKFLLCLILWLWNCNFLPHCQGKQEYPFIKKASTFSSPSISTTSINKAYDYIIVGGGTAGCPLAATLSQNFSVLVLERGGVPFTNPNVTFLENFHITLADLSPTSASQYFVSTDGVFNARGRVLGGGSSINAGFYTRASSRFINKAGWDVKLVNESYPWVEKQIVHRPKFSPFQRAVRDSLIDNGVSPFNGFTYDHIYGTKVGGTIFDRFGRRHTAAELLASGNPDKLTVLVHATVQKIVFDTTGKRPKAIGVIFNDENGKQHEARLGNDMQSEVILSSGAIGSPQMLLLSGIGPKDELQNLNISVVLNNPFVGKGMIDNPMNTVFVPSNRPVHQSLIQTVGITKKGIYIEASSGFSQSNSSIHCHHGIMSPEIGQLHTIPPKQRSIEAIEAYKKNKRDIPVEAFKGGFVLSKVGSAWSVGELKLVNTNVNENPAVTFNYFSHPYDLKRCVEGISMAIKVVQSEHFTNYTLCKRETAERLLNLSVKTTVNFIPKHANDTSSLEQFCKDTVITIWHYHGGCHVGKVVSPDYKVLDVDRLRVVDGSTFTESPGTNPQATVMMMGRYMGVKILRGRLGRLAGI >RHN81500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46491208:46492672:-1 gene:gene5551 transcript:rna5551 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLDIHNEDLTQHAGLFFSHLTGKSISLIHAHLADSATAATTTNDLRSNEGPHSYTYLNLKRAQVY >RHN69501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44000588:44001837:1 gene:gene17980 transcript:rna17980 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCISKCRPNKHSLNHFNKDKLVISHQPPPTPTTLLHSSNKISPSPPSPTSSISSFTCTTSKTISSASSFSSTNSSSLSSKDRSFSNDFLWSCYKENPHIITRINSLTKPTPQPKKIINPSPTKQNMPQKRVRSNSPTNLTRQKSFRKEVEPQLPLRPNRMLGSPSPSRRFNGSVVSTTISDNSVSKRMSNSPKASVAHSSRSVNSTSIRKESVRAAAISPNNSLRRVQSSGLSLRHRETVVKDVISGNHHNIDSIMEDIDNPLISLDCFIFL >RHN53400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1468882:1469694:-1 gene:gene28302 transcript:rna28302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MRFLRSIKQAANKYVTQVEDLLWSHDDEEKEENSEISLSDDVWVICPFNDCSILMSFDGLEIVTKAECPSCHMLFCVQCKVPWHEGLNCQQFQRKLACSNKTYMIVDSQNTVPKKRSSPFEGRDNDEKKKAKKKDAIAVDSNQASYQNLVKVNCNEFQQQKSKEKATVESGRKCSSQSPKSKSICDLCYDIVPDANIVRGSTICNHQFCANCISKHVAEQLSQNIKKICCPNPVCSVELKPQYLQHILPKEVVGRWEYESYMAWWNRDFK >RHN46600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33121778:33127410:-1 gene:gene41104 transcript:rna41104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MESSIGKDGNGRFPLSGVVADCVKRWFKDTLREAKAGDVNMQILVGQMYCSGYGVAKDAQKGKLWLTKASRVRSSVWKVGDKRPGYNASDSDSDESNEDS >RHN81148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43649877:43650896:1 gene:gene5150 transcript:rna5150 gene_biotype:protein_coding transcript_biotype:protein_coding MRYNPSASSLIDVPVAPYPVGSLLSNLVPSTSSEFRPELKSGSNSFSARMHSSGNGSGTSVGLIFSQGGSVSLSGAQLSRQSSASLNGSRGSRQSGEIH >RHN65454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2358722:2366426:-1 gene:gene13293 transcript:rna13293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative STAR protein, homodimerization region MMSSSGAGRYMAFPPSPSQSLPHLSGLRSPASSVISEHDQYLSELLGERQKISPFMAVLPHCYRLLNQEILRVTTILGNASVLGQSGLEHGSPLAAGGMFSKGGLDPNGWVSRFQSEMPSLIQSSPTPSWLSPQGSSSGLLVKKTIRVDIPVDSFPNFNFVGRLLGPRGNSLKRVEANTECRVLIRGRGSIKDTAREEMMRGKPGYEHLNEPLHILVEAELPAEIIDARLMQAREILEDLLRPVEESHDFYKKQQLRELAMINGTLREEGSPMSGSVSPFHNSLGMKRAKTRG >RHN44125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1883508:1885004:1 gene:gene38190 transcript:rna38190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MSELWAQLGSILATIMFMYAIIERFLPSSFRDSLQIYSQKVITLFYPYIQITFHEFSGERLKRSEAYTFIQTYLSENSSQLAKRLKAEVIKDSQNPLVLSIDDDEEVTDEFQGVKLWWAAIKIETSSHGFSSFSNYKRYYKLTFHKKHRDLITISYIKHVLKEGKEIAMRNRQRKLYTNNPSSGWYGYKQSKWSHIVFEHPATFETLALEKKKKDEVIKDLLKFRNGKDYYAKIGKAWKRGYLLYGPPGTGKSTMIAAMANFMNYDVYDLELTAVKDNTELRKLLIETSSKAIIVVEDIDCSLDFTSQRKINKNEKNDEEDSFMEQKDYYHRKKEEEENNSKNSKVTLSGLLNFIDGIWSACGGERIIIFTTNFVEKLDPALIRTGRMDKHVELSYCCFEAFKVLVKNYLDIESHYLFDEIGDLLEVINMTPADVAENLMPKSVNEDVETSLKNLIQALERKKVEEQEVEEDKEDSVGIVEDVKENGYIVSVKNNMLL >RHN71135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56729081:56729447:-1 gene:gene19784 transcript:rna19784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MVATFSSRGPSALSRNILKPDIAAPGVAILASWIGNDVTDVPKGKKPSPYNFKSGTSMSCPHVSGVAGRIKFKNPIWSASAIRSASG >RHN75121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39539326:39540582:1 gene:gene11280 transcript:rna11280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-disulfide reductase MTTVTITMSSFIFIILFHTLSTSMATAQLLNAATQDASNGVAYVTDENFGSLVLNSETLVLVEFFAPLCSPCKNVDFKMVELANEYAGEVEFFKFNVDDNQLIPSKYGIKGIPNVLIFKNGEQRDTLFGNLPKATFIRRMEQNL >RHN73439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16426727:16432450:-1 gene:gene9253 transcript:rna9253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MKTAKFCRLSTGDMQILPGSRYRPPMKKPMWIVVLVSVVAVFLTCAYLFRPQNSTDCNMFSSRGCNTFSDWLPPIPSRDYTDEEIAAHVVFRDILNSPVVMPPNPKVAFMFLTPGSLPFEKLWDNFFQGHEGKFSVYVHASQTKPVHVSRYFVNRDIRSDQVIWGKMSMVEAERRLLANALQDPNNQHFVLLSDSCVPLYNFDYIFDYLMYTNISFVDCFWDPGPVGNSGRYSEHMLPEVELKDFRKGAQWFSLKRKHALIVMADHVYYSKFQAHCEPGVDGKNCIPDEHYLPTFFTIVDPGGIANWSVTHVDWSEQKWHPKSYRAQDITYELLKNITSIDESVHVTSDEKKEVQIWPCLWNGIQKPCYLFARKFSPDTEDNLLKLFSNYTSA >RHN73960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22177451:22179763:-1 gene:gene9863 transcript:rna9863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MHRNQSYAFVVVSLLSTCFMLLCSSSHSSFGCIEQERQALLELKGSFNDTSLRLSSWEGNECCKWKGISCSNITGHVVKIDLRNPCYPQRRKQYQSNCSFPNYELKAPEIHPSLSNFKRLSHLDLSGNNFNSSPIPTFIHFMNQLQFLSISDSHLSGMIPNSLGNLTKLTFLDLSLNSYLHSDDVYWVSKLSLLQNLYLSDVFLGRAQNLFKVLAMLPSLLELELKNCSITKMHSHDHQLVSYTNFSSIKSLNLADNRLDGPDLNAFRNMTSIEIIYLSNNSLSSVPIWLSNCAKLDYLYLGSNALNGSVPSALRNLTSLRLLDLSQNNIESVPQWLDGLESLLYLNISWNHVNHIEGSIPAMLGNMCQLLSLDLSGNRLQGDALIGNLQSARCNGSGLEELDMTNNNFNHQLPTWLGQLENMVILTLQSSFFHGPIPNILGKLSNLKYLTLGNNYLNGTIPNSVGKLGNLIHLDLSNNHLFGGLPCSITALVNLKYLILNNNNLTGYLPNCIGQFISLNTLIISSNHFYGVIPRSLEQLVSLENLDVSENFLNGTIPQNIGRLSKLHTLYLCQNNFQGKFPDSFGQLLNLRNLDLSLNHLKCMFSEIKFPKSLAYVNRTNNQITGSLPENIAHRLPNLTHLLLGDNLINDSIPNSMCKINSLYNLDLSGNKLVGNIPDCWNSTQRLNEINLSSNKLSGVIPSSFGHLSTLVWLHLNNNSLHGDFPSLLRNLKQLLILDIGDNQLSGTIPSWIGDIFSLMQILRLRQNKF >RHN54423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9435716:9439422:1 gene:gene29461 transcript:rna29461 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVQALYATHTVSSSNNNEVDPNQDKDIQCQHELQPYYEWSSMVH >RHN45246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13644808:13646375:1 gene:gene39484 transcript:rna39484 gene_biotype:protein_coding transcript_biotype:protein_coding MYMFNSNYLSLSHLYILMAVVYGHNMLVANNTINMKTEPSTSFVVQGESNPPCLNMKQIIPMNKKVDLEEGAKNSIVQQVPQINYYVSDIMKPTISSFKKQMNVEKGKGRKATNKVIGPISVSFELPRCYLDIDVQRVIATRPRSKDVPRRSTVFDRIKNWTIELRKPADKKRYDIELDSSSNAPNKRMKNCPKIPQVVKKENQMRELSIEEIWKNDMTEDNMSITGLELCEIEDYFDGPDQNPEYF >RHN77557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7697805:7704589:-1 gene:gene1024 transcript:rna1024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MLLVHDHRFSIVKEFLNECVIGFIMEVLFLVYLLPILIILIIHIHKTKSTRRASSTPPGPKPFPLIGNLHQLDPSSPHHSLWQLSKHYGPIMSLKLGYIPTLVVSSAKMAEQVLKTHDLKFASRPSFLGFRKLSYNGLDLACAPYSPYWREMRKLCVHHLFSSQRAHSFRPVRENEVAQLIQKLSQYGGDEKGANLSEILMSLTNTIICKIAFGKTYVCDYEEGVELGSGQRRSRLQVLLNEAQALLAEFYFSDNFPLFGWIDRVKGTLGRLDKTFKELDLIYQRVIDDHMDYSARPKTKEQEVDDIIDILLQMMNDHSLSFDLTLDHIKAVLMNIFIAGTDTSSAAVVWAMTALMNNPRVMNKVQMEIRNLYEDKDFINEDDIEKLPYLKSVVKETLRLFPPSPLLLPRETIESCNIDGYEIKPKTLVYVNAWAIARDPENWNDPEEFYPERFIISSVDFKGKNFELIPFGSGRRMCPAMNMGVVTVELTLANLLHSFDWKLPHGFDKEQVLDTQVKPGITMHKKIDLYLVPKKRKP >RHN77403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6627961:6633180:-1 gene:gene850 transcript:rna850 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSNTLPISISDDETDELGRMRVRARRKRKKLGNKRFFKKLLVKYWMLLIIIPAAFLLFYEITRIGLRPGSSSSSSNNASTTRNQDHDDRSKDTIVKTNLNRLDPTTHVVAGVRERCLKLLPPEKLEQLEITVEEESSLPIGKVLYMSATDASLIGGNATLSQLRAEDTSFNLFTGNQTFEERDRSFEVKETTTAHCGFYSANGGFRISDKDKSFMQGCKVVVSTCAFGGGDDLYQPIGMSEASLKKVCYVAFWDEITLKAQELVGRRVGDNGFVGKWRVIVVQDLPFSDQRLNGKIPKMLSHRLFPQAKYSIWVDSKSQFRRDPLGVLEALLWRTSSVLAISEHGARSSVYDEAKAVVKKNKAKPEEVEVQLNQYRKDGMPVDKRFNGKKALCEASVIVRKHTPLTNLLMCVWFNEVVRFTSRDQLSFPYVLWRLKAFKNINMFPVCTRKDLVNSMGHIRKAKPLQN >RHN81833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49231857:49232235:-1 gene:gene5925 transcript:rna5925 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSRSHHMAVNNMLVPFLSRVTTKTPLFGIIWSFHAYMSFWCGEISREGIIQVP >RHN54284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8309120:8314284:-1 gene:gene29293 transcript:rna29293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MEQNHHQPIQQPVIPPPIPVTGGEITVSEQTQMIGVNATDADVAVNKKRGRPPKGTVHAPVVKKPKDEEDVCFICFDGGSLVLCDHRGCPKAYHPACVKRDEEFFRLAEKWKCGWHLCSDCGKSCHYMCYTCPYSLCKGCTKQESDFVSVRGNKGLCGACLRTIMLIENSAQGIECEVDFDDRSSWEYLFKVYWLYLKGKLSLNFDEILRAKNPWKGAVRVSCKVQTPRKRHHLKVDNGCGSENSCIVDSNSPINKKAKGNVWDSVVDVVGRSAQELSTTCELNVNTCTIKNEMNTNESAINDGTDAGVSRLGDSGVAEDISSLLHSTGMEQPVWHYQDPTGKVHGPFSMSLLCKLKGTEYFSPDLRVWRVDEKQENSILLSDALSWKCSQNVSLPLNCEQQSLGASVTLENKENSQDGLGNATRSEICANNQIFKQSDEEKVGDTCTPPNGTDESVKSNGGYTPSPGVTTQADGNISDGQSGYFERREESPKCEISCHGGPDVHLALPSTAFDENLNDKPSDEVVEGLGNDKKLEVNGNLGSNGSSEGPSNSGQSDQKQSDNEENPGQSSGQNWMMAALFGDDFLVDDSVSNLLDAVAAEEKHSVTEPSAEEWDIDVFTDGAITDCLQGIILPDFDAGKGDALSSSGDLHLPSQSTVANGQPFQQADVHNQQTISGEQSSKTAEVEAPFPGICWNQTHQFPWGPTR >RHN40928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24482757:24484425:1 gene:gene47174 transcript:rna47174 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSLSSSNPKCFSNSKTEHQRQGLRNTPESSKELQTNHCYPMVQLN >RHN56646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32548242:32548652:1 gene:gene32078 transcript:rna32078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLSHQLIRKFHFKCGSVHWDRFNVDSWIEIAKRHPLENLCISCSRQLILSGRSIFSFPTLVVLKLTRLKVAGNISVDLPSLKTLYLDQVYIMNQENFSKLLSGCPILEELHTRIHYREEDRGVSTDGFQTLIFIFI >RHN62758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45667010:45669333:1 gene:gene25365 transcript:rna25365 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSLEKPLKLESYDGIRDPAVHIEHVDTINLSRGGGGGVKCKLFVLALKIAMMTWFTGLKDKSINLWKTMCEEFTSHFTTRESTKH >RHN62724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45443773:45448238:-1 gene:gene25325 transcript:rna25325 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLIQGYSSAEEEEEDQPHIHNSVSDDQDDADYNSAEPSAASHPSLGDRSIFDHHPPPPSASGLPSAFDAFSEIPGPPQFLNHSTGEFNPPQNDHQRSSRRHRKEKRDLPTGAVVEAKAQLVGIHERVRSDINGGQPPTPATVSTSETGKRVPTATNPNAEDAAELLRMCVQCGIPKTYSSARGMVCPVCGDRPPPEPSAEAKKKGSTVKDKEKSKRMRGQSSHASWKSETEMQLRQHFD >RHN72925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11895201:11898088:-1 gene:gene8691 transcript:rna8691 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLDDVACLLHIPITRRLIEEEELSHERGIRRMSYVIQRRRQWMRWKNNVVLMSITLN >RHN55856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24775328:24776591:-1 gene:gene31132 transcript:rna31132 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKDNEHSYSQIPTKSFSFSLCLLANIYKRKSILGSVQSNPLSEKYCCMIMRINVDCNACCRKLRRIILRMKVIETHLIEKQQRRVCVCGRFVPADIAIKIKKKMNRRVEILEVQEFEGEEQNELPN >RHN53387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1379718:1384747:1 gene:gene28289 transcript:rna28289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MEADNKLRRLIFLDGKINPLFYSLFATKMRNKTNSKLPPGPFPLPIVGNLFVMNNKPHKSLAKLAKIYGPILSLKLGQVTTIVVSSADLAKEILQTHDSLLSDRTVPHALTAFNHDQFGVGFLPLSPLWREMRKVCKNQLFSNKSLDANQCIRRTKIDELIGYVSQRNLKGEAIDMGKVAFRTSINMLSNTIFSVDFANNSAGTNENKENKDLVMNMAETVGKPNMADFFPLLRLIDPQGIKKTYMFYIGKLFNVFDNIIDQRLKLREEDGFFTNNDMLDSLLDIPEENRKELDREKIEHLLHDLLVGGTDTTTYTLEWAMAELLHNPNIMSKVKKELEDTIGIGNPLEESDITRLPYLQAVIKETLRLHPIAPLLLPRKAKEDVEVNGYTIPKDAQIFVNVWAIGRDPEVWDNPYLFSPERFLGTKLDIKGQNFQLTPFGSGRRICPGLPLAMRMLHMMLGSLLISFDWKLENDMKPEEIDMEDAIQGLALRKCESLRVIPTKISN >RHN77952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10796994:10808341:-1 gene:gene1462 transcript:rna1462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase class IV MPGSRFLFSNGILSQTLDVPPVKLFLEANPGAYTTSRTHNNASCLLFWERHMKRLSESIRILSNLAPQLLFKSNNAASLLPLAPNFQVSPPALQMLVNDSVGKVLPIALKERVNSKELAITTLVGGNLEELNIYYKTMSDENMSKSFDVHVHIETYVSPRFGIRGNGAHLAVAGYGRNVAAAKYSDWVRIRKTLEKLRPPSVTELLLSYNGDQILEGCVTNFFVVCRKNFLVCRNEGIPFREVSPSWSEHETWEEAFITNSLRLLQHVDSIQVPTEWHSAHFKTWKDISWTKKQFQGGPGFITTLIQEKVMEKAILEGCPINNICTR >RHN61076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32764287:32765574:-1 gene:gene23491 transcript:rna23491 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWLASIIVCDEVAEEPGQLYLLVICMYFVHATNTIIEV >RHN60051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17749703:17754891:-1 gene:gene22250 transcript:rna22250 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGSARNMTEEERRGYKEVSWDDKEVCGFYMVRFCPHDLFVNTRSDLGACPKVHDPKLKENFENSPRHDAYVPKFEADLAQFCEKLVMDLDRRVKRGRERLNQEVELPPPPPLTAEKSEQLSVLEEKIKNLLEQVESLGEAGKVDEAEALMRKVETLNTEKAVLTQPQNEKVLMLQEKKMALCEVCGSFLVANDAAERTQSHVAGKQHVGYGMVRDFINEHKIAKEKAKEEERLTREKEVEERRKQKEQDPERKRRSDSSDREKYRDKDRDRQRDRYRDKDSDRERSREYEGRGNRDRGRGMVSRLRNEKNGSRDRYHNRSRSRSPIKHNYRRS >RHN71162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56851315:56855540:-1 gene:gene19812 transcript:rna19812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MKGNPILLILVFYSLFLLLGESRSYLANKSKNENQIYIVYMGATDSIDGSLRKDHAYVLSTVLRRNEKALVHNYKYGFSGFAARLSKNEVNLVAQQPGVVSVFPDPILKLYTTRSWDFLDLQTNAETNNTLFNSTSSSSNVVIGMLDSGIWPEAASFSDKGMGPIPPGWKGTCMASKDFNSSNCNRKIIGARYYRLDEDDDNVPGTTRDKDGHGTHTASTAAGNVVSGASYFGLAAGTTKGGSPESRLAIYKVCNMFCSGSAILAAFDDAISDGVDVLSLSLGGGPDPEPDLKTDVIAIGAFHAVERGIVVVCAAGNAGPERSTLTNDAPWILTVGATTIDREFQSNVVLGNKEVIKGQAINYSPLSKYAKYPLITGESAKKTTADLVEARQCHPNSLNKKKVKGKIVICDGISDDDYSTNNKIKTVQGMGGLGLVHITDQDGAMIRSYGDFPATVVRSKDVATLLQYANSTRNPVATILPTVTVIDSKPAPMAAFFSSKGPSYLTKNILKPDIAAPGVNILAAWTGNDTENVPKGKKPSPYNIESGTSMACPHVSGLAGSIKSRNPTWSASAIRSAIMTSATQVNNLKDPITTDLGSIATPYDYGAGEITPTESYRPGLVYETSTIDYLNFLCYIGYNTTTIKVISKTVPDNFNCPKDSTRDHISNINYPSIAISNFTGIGSVNVSRTVTNVGEEDETVYSAIVDAPSGVKVQLIPEKLQFTKSSNRISYQVIFSNLTSLKEDLFGSITWRNDKYSVRSPFVISSM >RHN80244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36454935:36459091:1 gene:gene4146 transcript:rna4146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TLDc domain-containing protein MHAFKDKVTQKLSDLFPTNSPTSFSSSSQASHHPKEGKSLSSYLSYIIPSGSNTDKHQYDKKATQSSSSSGYNYEDFDYQDVPPDAYVDCNPTSNSIDLTKDEIVNEDHTSIRSSSSSDVFEEANGQQSPNASKKSLRNLSDDSTFISPELYEFFESCLPNIVKGCQWVLLYSTLKHGISLRTLIRKSAELSGPALLIVGDRQGAVFGGLLDCPLKPTPKRKYQGTNQTFVFTTVYGQPRLFRPTGANRYYYMCLNDLLGLGGGGNFALCLDGDLLTGTSGPCDTFGNQCLAHSPEFELKNIELWGFTHALPG >RHN82430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53731402:53732420:1 gene:gene6597 transcript:rna6597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MKLSCNGCRVLRKGCSDDCIIKPCLEWISCPESQGHATLFLAKFYGRTGLLNLLTNATNQNDNPPAGRLVNPTYGALGLFWTADWSRCEAAVEAVLTGSNINDSFTMIDGQTSSGTFNAENHVHPKTYDIRHVAKGTNVDIKGKTQFKRVGQLLKLKPRVGSVDSSTMLKSLLKNTNMEIGETSSRVQTEKINEAVENQVNLKLTLGFDCQSTKGKKILDKRSIYYM >RHN61073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32738458:32740656:-1 gene:gene23487 transcript:rna23487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKIIKFVYIMILCVSLLLIVEAGGKECVTDVDCEKIYPGNKKPLICSTGYCYSLYEEPPRYHK >RHN60355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25768951:25777873:1 gene:gene22660 transcript:rna22660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MELRSRRQRASDEIDSVTDKGKQPMEDIDEKFDFNTDEDDHVASVKCDSDYEAEEIGGQLPFDLNRDPTIIISDSDGEDNTSDSSDFSGPSSKRRKTRSRKRGSKSKIESADLSGVESALEFDLSGVERALEFDQSCVERALEFDLSGVERALLEFDDELFPMVSAEEAPFDLPLPKLPRKKKAKKTKKGDPKPVLLWHAWKQEHEKWIDQNLLEDVTLDQSEVMNETAEASSDLIVPLLRYQREWLAWALKQEESVTRGGILADEMGMGKTIQAIALVLSKRELQQMCCEPFEHSDSPGSSKVLPVIKGTLVICPVVAVTQWVSEIARFTLKGSTKVLVYHGPKRWKSADKFSEYDFVITTYSTVESEYRKHVMPPKEKCQYCGRLFHPPSLVFHQKYYCGPDAIRTTKQAKQTKKKKRGQSSKLDGELEQGSIKKKEEDLEGNDKSFLHAVKWQRIILDEAHFIKSRHSNTAKAVLALESFYKWALSGTPLQNRVGELYSLVRFLQIVPYSYNLCKDCDCRTLDHSSSKVCSNCSHSSVRHFCWWNKNIATPIQSSGYGDDGKRAMILLKNKLLKSIVLRRTKIGRAADLALPPRIVSLRRDSLDIKEQDYYESLYNESQAQFNTYVEENTLTNNYAHIFDLLTRLRQAVDHPYLVVYSPTAAARQGGNLASNGDVEQECGICHDTVEDPVVTSCEHTFCKGCLIDFSASLGQISCPSCSKLLTVDLTSNKDAVVDKTTTIKGFRSSSILNRIQIENFQTSTKIEALREEIRFMVERDGSAKAIVFSQFTSFLDLINYSLQKSGVSCVQLVGSMTLTARDNAIKKFTDDPDCKIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVERQAQDRIHRIGQYKPIRIVRFVIENTIEERILKLQEKKELVFEGTVGGSSEALGKLTVADLKFLFVT >RHN50634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8707002:8707526:1 gene:gene34944 transcript:rna34944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MVRFSSITIMFLLFIASSNAAKIVNVHTICKKTQNPSFCSTFLKSRPRSVHGDLVSLAKYSIEDAHAKITNTINLITKLIARSRFNAEKSHYRRCSIVFTGILDEIKEAQGFIESGEYQGLYEDAESIREAAPDCLNKAYETPSFDENTLLPKYAHDVEKVADIILVISNILRH >RHN54313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8554141:8557180:-1 gene:gene29324 transcript:rna29324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKRKKGSIAPARAGQRRNKSKGKVDEAESHQLCPYFDNLPSHVIAHILLQLPFKSLLICKSVCKIWKTLISESHFAKSHFEQSPLSLMIRTNDYSRVSRTMYLLESDPQKFEIGSNDHVKLVPMFKLPLRSFRDKRDQINNESKRPFRAARLVSGKNDENSYTGRQSLYIACKRDFDKFDIVNSCNGLLCLSDPSFGNPLVICNPVTGEFIRLPESIADQNRVRRLGQAGFGFQPKTNEYKVINMWVRHVKRANVWEFERLTLEINTLGTPSWRNVEVDPQISFSSLKYPTCVNGALHWLRFDGLQRSILIFCFESETLKSFPSPPQMFGNHNNGFLSNRHISMGELKGFLYICDSTFLSDVSMWVMNEYGIGESWTKIYNIDTSFNPSESRVPRRYGLSWPIKHFEEGAAILLYHSCNCFIYYEPEKYGFEVFRIYGSSSNFFEVIPHIPSLISLKDVLKGDNIEVLNIHSRCAKFKLREEKEVLSLRSQRLFET >RHN55018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14438832:14441596:1 gene:gene30135 transcript:rna30135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MRYSNTDFLNKEVENGSSGGNVVVIVVAVVSSVIVVVVAVTFGAYIWKQRYIQKKRRGSNDAEKLAKSLEQKSLNFKYNTLEKATGSFNDNRKIGHGGFGTVYKGVLPDGREIAIKRLFFNNRHRAADFSNEVDIISGVEHKNLVRLLGCSCSGPESLLVYEFMPNRSLDRFIFDKNKGRELNWEKRYEIIIGTAEGLVYLHENSKIRIIHRDIKASNILLDSKLRAKIADFGLARSFQEDKSHISTAIAGTLGYMAPEYLAHGQLTEKADVYSFGVLLLEIVTGRQNNRSKASEYSDSLVIVTWKHFQSRTAEQLFDPNIELHNDSNSDVKNESLRVVHIGLPCIQEVASLRPTMSKALQMLTKNEEHLVAPSNPPFLDESTMELHDTSGDPFYLHKTADSVATMSNSSFYGNAEKINHCTH >RHN52451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35440185:35441675:1 gene:gene37132 transcript:rna37132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MAKEIQALESNNTWILCPLPEGKSAIGCKWIYKIKYHSDGSIDRYKARLVAKDYSQVQGIDYHDTFAPVAKLVTVRLLLSIAAIKNWPLYQFDVNNAFLQGDLSEEVYMKLPPGFSHKEKPCVCKLNKSIYGLKQASRQWFSKFSTTLIQKGFRQSISDYSLFTYNCDQTTIFVLVYVDDIIITGNNENAISKIKKFLAQSFSIKDLGNLSYFLGIEVSRSKKGIFLCQRKYTLDILSDSGMTGCRPSDFPMEQHLRLRPNDGTPLSDPTVYRRLVGRLLYLTVTRPDIQYAVNTLSQFMQSPYSSHFDAATRVLRYLKGSVGKGLFLSASSSINLVGYADSDWAGCPTTRRSTTGYFTMLGSNPISWKTKKQPTISRSSAEAEYRSLATLSSELQWLKYLLSDLGIDHPQPITIYCDSQAAIHIAENPVFHERTKHIEIDCHFVREKIKSGLIAPSYIRSSDQLADIFTKPLGGDAYKRILGKLGVIEISIPSPT >RHN48252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46116393:46121186:-1 gene:gene42949 transcript:rna42949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEAIEEKGPLEEDYTQDGTVDLQGRPVLRSKTGTWKACSFLVGYELFERMAYYGISSNLVVYLTKKLHQGTVESSNNISNWGGSVWLMPLAGAYVADAYLGRYWTFVIASCIYLMGMCLLTLSVSLPSLKPPECDIGVVAFENCPKASPLQKGIFFLALYIIVLGTGGTKPNISTMGADQFDDFDPKEKSDKLSFFNWWFFSILIGVLFATTFLVYIQDNIGWELGYGLPTIGLAFSILVFLLGTPYYRHKLPPGSPITRMLQVFVAAIRKWKARVPEDKKELHELSMEEYTCNGRTRIDHTSFFSFLDKAAIKTGQKSTWMLCTVTQIEETKQMTKLVPISIFTIIPSTLGMHIFTLFVKQGMTLDNKMGPRFNISPGSLSSITIIFMLIFIAIYDCIFVPMIRLYTKNPRGITILQRIGIGLVLNIITLVIACLVERKRLNVAREKNLLDMHDKIPLTIFILLPQFALSGIADNFVEVAKMEFFYDQAPETMKSLGTACSTASYGLGGFLSTFFLSAVADITQRHGRKGWILDNVNVSHFDYYYAFIAVISLLNFLCFVVVAKFFVYNDVKHNKSGLEMNATSSQENAGLSQSISQPDTKF >RHN50158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4564567:4566319:-1 gene:gene34413 transcript:rna34413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MSYFANNNKKPHAVLIAYPVQGHINPLFKLAKLLYLKGFHITFVNTEYNHKRLLKSRGPKAFDGFTDFNFETIPDGLTPMEGDGDVSQDIPSLSDSIRKNFYHPFCELLAKLHDSATAGLVPPVTCLVSDCYMSFTIQAAEEHALPIVFFSPASASTFLSVLHFHTLFEKGLIPLKDKSYLTNGYLDTEVDCVPGLKNFRLKDLPDFIRITEPNDVMVEFLIEAAERFHKSSAIIFNTYNELETDAMNALYSMFPSLYTVGPLPSLLNQTPHNHLASLGSNLWKEDIKCLDITVMTRDQLLEFAWGLADSKKPFLWIIRPDLVMGGSFILSSEFENEISGRGLIAGWCPQEEVLNHPAIGGFLTHCGWNSTTESICAGVSMLCWPFFADQPTNCRYICNSWEIGIEINTNVKREEVSNLINELMSGDKGKKMRQKAMELKEKADETTSPGGCSYNNLDKVIKEVMLKQY >RHN46420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31564686:31566335:-1 gene:gene40903 transcript:rna40903 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNQQRIGEQTQATPNNQSRSGAQTHATSSNQTTETDTDMNKWLVSTMTLLKSLDNGYIQSCSIPIVPEELKNSTNKEAYMPRVVTIGPRFKGSREDLLLMEEVKLRCLFYLFHRSDGDVKQFLLSCSEAIWKADEKIRASYVYMPDIKLSQQKLANIMLIDGCFLLELLIAKGMDSELRCQSSPPSPALKVLKDEDVLSDILLLENQIPIIVLHILSEILFPTKFKTADPKERIKKINNLFLSITGYSHSQVQDPNYFNSPHVIDIVHVFVNREGERKSHVVGNYVVPIDSTQHLKPKLMRCASRLQAAGVTIKLAEETANGNCCFNFLWKCFGGICIKLHNMLVTNNQVDALEEVKGMDFYFNFEKGTLEIAQLEITKTTKAKWCNVIAWEHHKNNWKCSTVVSGINGNNQINTTHLSRNFTASALIFDGLICCAADVKLLKDKNIIVDHLKMSNEELEEFLHSMSFGVDLGIVDSSYVKIVDDLNDYSHSFFVLRNLKIFSHLFKGRLEWLFKFLKQNYNFVAAMLAFLTLVQTVYTVLSYHLPK >RHN42846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41431963:41433487:-1 gene:gene49343 transcript:rna49343 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPPMYTHSTYWLWAAGFYPLAMMQETADRLIYAVTFHTVSGHALKHLSAGMVPLILTIMLAKRRLLHAKST >RHN74861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37264365:37272217:-1 gene:gene10989 transcript:rna10989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MKLIIMNMNFPLSFHLLLLAFCLISSFASGATLLEEEVQVMKDIAKTLGKKDWDFSIDPCSGQSNWTSSPMKGFANAVTCNCYFANSTVCHIVSIVLKSQNLSGTLPRELARLPYLQEIDLTRNYLNGTIPPQWGSMHLVNISLLGNRLTGPIPKELGKIITLKSLVLEFNQLSGQLPPELGNLHRLERLLLTSNNFTGNLPATFAKLANLKQIRLGDNQFSGTLPDFIQSWESLERLVMQGSGLSGPIPSGISYLKNLTDLRISDLKGSDSHFPQLNNLKNLETLVLRSCNLIGTVPEYLGNITNLKSLDLSFNKLSGQIPNTLGGLKNINILYLTRNLFTGPLPNWIARPDYTDLSYNNLSIVNPEQLTCQQGTLNLFASSSKGNNSGNKVECLGNNGCPKTWYSLHINCGGKVITSNESLIYDDDSNEVGPAASFHRSGSNWALSNTGHFFDSSLVEDYYTWSNKTNLSIDNGELYMDSRVSPLSLTYYGFCMGNGNYTVNLHFAEIMFTDDQTYNSLGRRIFDIYIQTRLVLKDFNIAKEAGGVGKAVVKKFTAIVTSNTLEIRLHWAGKGTTAIPFGSVYGPLISAISVDPDFTPPVEKGSSMPVWKIAVIVLAGGLVILLIFGISRWIRCLRHIGPLERELKGLDFQPGLFTLRQIKAATNNFDIAYKIGEGGFGPVYKGSLPNGTLIAVKQLSSKSKQGNREFLNEIGMISALQHPYLVKLHGCCVEGDQLLLIYEYLENNSLARALFGPEEHQIRLDWSPRKKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDKDLDPKISDFGLARLDEEDNTHISTRIAGTYGYMAPEYAMHGYLTDKADVYSFGIVALEIVSGRSNTMYQSKEEAFLLLEWAHLLKEKGDLMELVDRRLGSDFNKKEAMVMINVALLCTNVTSNLRPPMSSVVSMLEGNTVVPEFVSDSSEVMDEKKLEAMRKYYYQIEENKISKTQTQSQNLLTDGQWTASSSSARDLYPVHLDSSYWEKRN >RHN77747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9154174:9159823:1 gene:gene1234 transcript:rna1234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MLYAELLPTLLQRGHCTTRQGKPPPDPLPSRFRSDLKCDFHQGALGHDVEGCYALKYIVKKLIDQGKLTFENNVPHVLDNPLPNHAAVNMIEVCEEAPRLDVRNVATPLVPLHIKLCKASLFSHEHAKCLGRLRDPLGCHAVQDDIQSLMDDNLLTVSDVCVVVPVFHDPPVKSVPLKKNAEPLVIRLPGPIPYVSDKAVPYKYNATMIENGVEVPLASFATVSNIAEGTSAALRSGKVRPPLFQKKVATPTIPPVEEATPTVVSPIATDVNQSGKSIEDSNLDEILRIIKRSDYKIVDQLLQTPSKISVLSLLLSSEAHRNTLLKVLEQAYVDHEVTVDRFGGIVGNITACNNLWFSEEELPEAGKSHNLALHISVNCKSDMISNVLVDTGSSLNVMPKTTLDQLSYRGTPLRRSTFLVKAFDGSRKNVLGEIDLPLIIGPENFLVTFQVMDINASYSCLLGRPWIHDAGAVTSTLHQKLKFVKNGKLVTIHGEEAYLVSQLSSFSCIEAGSAEGTAFQGLTIEGAEPKKAGAAMASLKDAQKVIQDGQTAGWGKVIQLCENKRKEGLGFSPSSRVSSGVFHSAGFVNAISEEATGSGLRPVFVTPGGIATDWDAIDIPSIMHVSELNHNKPVEHSNPTVPPNFEFPVYEAEDEEGDDIPYEITRLLEQEKKAIQPHQEEIELVNIGTEENKQEIKIGATLEEGVKQKIIQLLREYPDIFAWSYEDMPGLDPMIVEHRIPTKPECPPVRQKLRRTHPDMALKIKSEVQKQIDAGFLMTVEYPEWVANIVPVPKKDGKVRMCVDFRDLNKASPKDNFPLPHIDVLVDNTAQSKVFSFMDGFSGYNQIKMSPEDREKTSFITPWGTFCYKVMPFGLINAGATYQRGMTTLFHDMIHKEVEVYVDDMIVKSADEEQHVEYLTKMFERLRKYKLRLNPNKCTFGVRSGKLLGFVVSQKGIEVDPDKVRAIREMPAPQTEKQVRGFLGRLNYISRFISHMTATCGPIFKLLRKNQPIVWNDECQEAFDSIKSYLLEPPILVPPVEGRPLIMYLAVFDESMGCVLGQQDETGKKEHAIYYLSKKFTDCETRYTMLEKTCCALAWAAKRLRHYLVNHTTWLISRMDPIKYIFEKAAVTGKIARWQMLLSEYDIVFKTQKAIKGSILADHLAYQPLDDYQPIEFDFPDEEIMYLKSKDCDEPLINEGPDPNSKWGLVFDGAVNAYGKGIGAVIVSPQGHHIPFTARILFECTNNMAEYEACIFGIEEAIDMRIKHLDIYGDSALVINQIKGEWETHHAKLIPYRDYARRLLTYFTKVELHHIPRDENQMADALATLSSMFRVNHWNDVPVIKVQRLERPSHVFAIGEVIDQAGENMVDYKPWYYDIKQFLLSREYPPGASKQDKKTLRRLAGRFLLDGDILYKRNYDMVLLRCVDEHEAEQLMHDVHDGTFGTHATGHTMSRKLLRAGYYWMAMEHDCYQYARKCHKCQIYADKIHVPPHTLNVMSSPWPFSMWGIDMIGRIEPKASNGHRFILVAIDYFTKWVEAASYTNVTKQVVAKFIKNNIICRYGVPSKIITDNGTNLNNNVVQALCEEFKIEHHNSSPYRPQMNGAVEAANKNIKRIVQKMVTTYKDWHEMLPYALHGYRTTVRSSTGATPFSLVYGMEAVLPLEVEIPSLRVIMEAKLSEAEWCQSRYDQLNLIEEKRMDAMARGQSYQARMKTAFDKKVHPREFKVGELVLKRRISQQPDPRGKWTPNYEGPYVVKKAFSGGALILTHMDGVELPNPVNADIVKKYFA >RHN80472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38373518:38374345:1 gene:gene4404 transcript:rna4404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MNFVYKTTASHPTSMYHFLVLGTNILTHLFLLFFCASIMSSGKKSQGRQKIEMKKMSNESNLQVTFSKRRSGLFKKASELCTLCGAYIALIVFSPSDKVFSFGHPDVETIIDRYLSQVPPQNNGIWQFIDAHRSVKLHKLNVMLTQINDAQGIERKRENEQSDLRKKKEAQFWWACPIEGMNRVQLQLLKNALLDLKKRIAEHAGMVVNQGTPTQTLPFFVGNDSSSNMPIEHQSNHQQASIFPAGFFQNPMLQPHLFGFNNIGGDGGHGPSGCY >RHN51253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15423832:15424760:1 gene:gene35663 transcript:rna35663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MDSNGHSKGHGFVQFDNDQSAKNAIEKLDGMLMNDKKVYVGYLFGVKKGHHLSSLMYSAATAVEKLNGSTTNDGKQGRRFCCFYTPEEASKAINEMNGKMIGQKPVYVSVAQRKEERKAQLQVKLVFIL >RHN72852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11232259:11234658:1 gene:gene8614 transcript:rna8614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MNSLRAVAIALCCIVVVLGGLPFSSNAQLDPSFYRNTCPNVSSIVREVIRSVSKKDPRMLGSLVRLHFHDCFVQGCDASVLLNKTDTVVSEQDAFPNRNSLRGLDVVNQIKTAVEKACPNTVSCADILALSAELSSTLADGPDWKVPLGRRDGLTANQLLANKNLPAPFNTTDQLKAAFAAQGLDTTDLVALSGAHTFGRAHCSLFVSRLYNFNGTGSPDPTLNTTYLQQLRTICPNGGPGTNLTNFDPTTPDKFDKNYYSNLQVKKGLLQSDQELFSTSGSDTISIVNKFATDQKAFFESFKAAMIKMGNIGVLTGKQGEIRKQCNFVNSKSVELGLVNVASTDSSDEGMVSSM >RHN45496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21445549:21446235:-1 gene:gene39846 transcript:rna39846 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLSVTMLQPFLTDISGIKRKRANSLSLSSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLLSLFSLFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFSFSLSLFSLSLSLSLSLSLSLKEHPRYQAPFQHSG >RHN55467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18657547:18664344:-1 gene:gene30649 transcript:rna30649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prefoldin alpha MDNLRQQKIQKYEEFVDKRLKPDLLHVTAQRDKVFEQQKIFADLRSNIENIEKNSVTSLRTMVNLGSEVYMQAEVPSTQHIFVDIGMGFHVEFTWSEALNFIEKREEKIARQIEEYTKLIASIKAQIKLVCEGIRELLDLPAEKPLPERRF >RHN54304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8496420:8498834:-1 gene:gene29315 transcript:rna29315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-mannose 4,6-dehydratase MADSSSKTPAPSTTNGDTTPPPRKVALITGITGQDGSYLTEFLLNKGYSVHGLIRRSSNFNTQRIDHIYVDPHNAHKAHMKLHYADLSDASSLRRWLDTILPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHIDATGRSHIRYYQAGSSEMFGSTPPPQSETTPFHPRSPYAASKVAAHWYTVNYREAYGIFACNGILFNHESPRRGENFVTRKITRAVGRIKIGLQSKLFLGNLSASRDWGFAGDYVEAMWLMLQQEKADDYVVATEDSHTVEEFLEVAFGYVGLNWKDHVVIDKRYFRPTEVDNLKGDASKAKKVLGWKPKVSFEELVRMMVDNDVEMAKKEKVLVDAGYIDAQQQP >RHN58402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1493385:1498288:-1 gene:gene20311 transcript:rna20311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative double-stranded RNA-binding domain-containing protein MASSSSAPQNRTSVSPELHPHLSPAPTYKNDLIEFALRSKMKSPEFFVRNKGSSHAPAFESTVMVGDLFFTSQLTFFHRKAAEQEVSRFALEHLTKKIKDEAYSIMSEAVTFCKAVLNDYASKLRIQLPTYNSVEYIEVIPYFLCTLDLNGTSYTGVAARRKNDAEELAARAAILSILGNSNSGVLLAQIIKTKAELLDSIKPKALQSTCDSVLVLPEESSERSSLLLQLSGAKDKDEIEMACPESGPIISISQQPEMQTHEPTPEAAKSSNEPEQPSVALPIDTGVSAKRRRRLKYKANKKARIEAELKVLNDSSCVAQ >RHN75838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45457469:45457879:-1 gene:gene12092 transcript:rna12092 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEGAIVKKGHDECLKMAISLLKEFEIPEGLLPVADIIEYGYVKATGYFWVLQKKKVEHKFNMINKVVSYDIEITGYISKKNIKMLKGVNVKELMLRPPINEIIVDEEPTGKIHFKSYGGITKTFPVEAFAVCQ >RHN78521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15937788:15938198:-1 gene:gene2098 transcript:rna2098 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVGVVCRILDDFSFGFDDLLLRSTKTKTKKIVFKKKHVGSKPFLINRTGGSICKPSVGWVGFSAPPGGFVDLYSFICIKFKIPHIIRLYDKELKTN >RHN80076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34867975:34871909:1 gene:gene3952 transcript:rna3952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target SNARE coiled-coil domain-containing protein MMNSRRDTRNNRVALFDGIEEGGIRASSMYSSGSSHEIDEHDNEQAMDGLQDRVNLLKRLSGDIHEEVDNHNRMLDHMGNDMDSSRGVLSGTMDKFKMVFETKSSRRMFSLVASFVVIFLIIYYLTR >RHN82666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55556691:55560011:1 gene:gene6845 transcript:rna6845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MAETNNTMRSSSHWKSFYSFVYKVKRFPGSMRKTIWNVGKDDPRRVVHSLKVGLALTLVSLLYLMEPLFKGIGENAMWAVMTVVVVMEFTAGGTLCKGLNRGLGTLLAGSLAFFIRYLADVPGQIFHAIFIGAAVFILGAAATYVRFIPYIKKNYDYGVMIFLLTFNLIIVSSYRVDNVWSIGKDRIYTICIGVGLCLVMSLFVFPNWSGEELHKSTISKLEGLAKSIEVSVMEYFYDSEKQANDDSSEDLIYKCYEAVLDSKSKDETLAIQANWEPRYSRCCHRIPWQQYAKVGAALRHFSYTVVALHGCLQSEIQTPRSIRDLYKDSCIRLAQEVSKVLRAMANSIRKKHKFSLQILSNNLNEALQDLDGVLKSQPQLLLGSNNGRSRTPRTPKTPRTPNSYKLEEESRILLSRVKSDCCSPVGSKSKEHSREQTKEGQRHKVLRPQLSKIIITSLEFSEALPFAAFTSLLVEMVAKLDHVMDEVEELGRMSHFKEFRDDDDNNANIVVTCDRPKMNIVDNNDLPSYGAE >RHN49853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1691163:1694161:1 gene:gene34077 transcript:rna34077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-URK-2 family MESGILILIGAATVGAILISFITAYIIYLRFFRLASLQNKVTFGNLLKKISCPKISIKEVYAATNNLNEMNIIGKGTSGKVYKGTMKNNQQVAVKHIINDDGNIETFVREVTSLSHVKHQNLVSLLGCCVDGDECLLIYELCPNGSLSEWLFGKNKVLSWIKRLEIAIDSARGVWFLHTYEGGCIVHRDIKPTNILLGSNFEAKLSDFGLSKLIEIGETYASSEVRGTFGYVDPEYQSNHHVNSSGDVYSFGMVLLQILSGKRVINLKLKRPMSLSKMAKTFTRNGSITEFADPRLEGNYSEEAFDFTLQLALSCTSLDQQRPSMEQVVKTLEEALLISKGRKNFTKETLEDLSIPIGP >RHN47338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38994341:39001440:1 gene:gene41926 transcript:rna41926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, V1 complex, subunit C MANRYWVVSLPVQNNNSSSSIWNQLQQNISKHSFDTPLYRFNIPNLRVGTLDSLLSLSDDLTKSNAFMEGVSSKIRRQIEELERVSGVNTAGLTVDGVPVDSYLTRFVWDDAKYPTMSPLKEIVDGIHSQVAKIEDDLKVRVSEYNNIRSQLNAINRKQTGSLAVRDLSNLVKPEDIITSEHLTTLLAIVSKYSQKDWLESYETLTSYVVPRSSKKLYEDNEYALYTVTLFNRVADNFRTSAREKGFQIRDFEYSPETHEGRKQELDKLMQDQESLRGSLLQWCYASYGEVFSSWMHFCAVRLFSESILRYGLPPSFLACVLAPSVKAEKKVRSILEGLSDSSNSAYWKTDEEVGAGMAGLAGEADTHPYVSFTINLL >RHN42546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39205188:39208222:-1 gene:gene48998 transcript:rna48998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative G10 protein MPKVKTNRVKYPEGWELIEPTLRELQGKMREAENDPHDGKRKCETLWPIFKIAHQKSRYVFDLYHRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCMQPRDHNFATTCVCRVPKQLREEKVIECVHCGCKGCASGD >RHN64148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56916331:56921319:-1 gene:gene26934 transcript:rna26934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MKLALFSVLTLLYFQAVVSVKPPLRQHPLDPLTNEEFLTVQTLVHNKYPTSKNKVAFHYIGLDDPAKDYIAIYERSLIPVVIRRKSFVLAIINGQSHEIVIDIRLKTIDSDNVHKGYGFPILSVEEQGVAIELPLKYPAFIASVKKRGLNLSEVVCSTFSMGWFGEEESKRTVRVDCFMKENSVNIYVRPISGLTIVVDLGLQKIVEYHDREIETVPTAENTEYQVSKQSPPFGPNQHSLTSHQPQGPGFQIKGHSVSWANWKFHIGFDVRAGIVISLASIYDLEKHIYRRVLYKGYISELFVPYQDPTEEFYFKTFFDSGEFGFGLSTVSLIPNRDCPPHAQFIDTYIHSDDGTPSILKNAICVFEQYDSIMWRHTETGIPDEFIEESRTEVNLIVRTVVTVGNYDNVLDWEFKTSGSIKPSIALSGILEIKGTNIKHTNEIKGELYGKLVSANSIGVYHDHFYMYYLDFDIDGPENSFEKTSLKTVRITDGSSKRKSYWTTETQTAKTESDAKITIGPTPAELVVINPNKKTAIGNHIGYRLIPAIPAHPLLTEDDYPQIRGAFTNYNVWITPYNRTEKWAGGLYVDHSRGDDTLAVWTKQNRNIVNKDIVMWHVVGIHHVPAQEDFPIMPLLSTSFELRPTNFFERNPVLKTLSPKDVPWPGCSN >RHN74628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35300342:35300871:1 gene:gene10729 transcript:rna10729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Band 7 domain-containing protein MVTMMIARRALCSLASKQNPSLSSSVRFLALLSSTSPRNPSLSSFVRYLCTGKHIIDVHPRLINCGVWIVPENKAFVIERFKKYHKTLYPGLHFMIPLVDRIAYVHSLKKEALQVSNVSSVTATRLPITMNCVLYVKVFYSCFFIFECCGS >RHN77407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6645907:6647839:-1 gene:gene854 transcript:rna854 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDSPLLNNGVNSPPSVVHHEEEKPLKIVHKIGVESKKLWKIAGPTILTSLSQYSLGAFTSTFVGHVNELDLAAFSVENSVIAGFAFGFLV >RHN77891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10257623:10262597:1 gene:gene1395 transcript:rna1395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RKF3 family MSFDHSMPRLTSKTNSSLKNMSIISLKCFQKNQSTMFVSSTQIQKMNNKPMFLLLLFTIFIATPSASAASCPMDLSYVETFPWDSSSCRDPIDSQHCCQSLLSLFGIGLAKHLKETSIFQLPDENTSTTCLQDFQLKLSSLRIQPELVPSCFQNSTQFVTNTSYCAGITNLTDWREKVGALVGVVLAFVLIIMYRKWDKMRKENIYHRSIENSVRDSVLPNTGAKWFHISELEKATNKFSQKNMIGQGGDGVVFKGTLSDGTLVAVKEIFDLDTRGDEEFIYEVEIISKIKHRNLLALRGCCVASHNVKGKRRFLVYDYMPNGSLSYQLSVNGANKLTWPQRKNIILDVAKGLAYLHYEIKPPIFHRDIKATNILLDSKMKAKVADFGLAKQGNEGQSHLTTRVAGTYGYLAPEYALYGQLTEKSDVYSFGIVILEIMSGRKVLDTMNSPVVLITDWAWTLAKTGKIHEIFDQAVKDEGPEKIMERFVLVGILCAHAMVALRPTIAEAIKMLEGDIDIPNLPDRPVPLGHESFQSSLLNGMQSGRSTPYYNSSYSTSMVSFK >RHN41210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28201822:28202583:-1 gene:gene47507 transcript:rna47507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MYHPISPLPSSLIHTHYKKIKMVNLLSRLLLFLLSLHCFVACLAANTKNITTDQSALLAFKSLITSDPYDMLANNWSTSSSVCSWVGVTCDERHERVHSLILQNMSLKGTVSPNLGNLSFLVILDLKNNSFGGQFPTEVCLLRRLKVLHISYNKFEGGIPAALGDLSQLQYLYLGANNFSGFIPQSIGNLYQLKELDTAQNRFAGPIPQSILNLSSLEYIDLSSNYFSGNPNTDIMCHICYIFLVVTLFLMLE >RHN47057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36848204:36848692:-1 gene:gene41611 transcript:rna41611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSSQTASDTSSPSMNTIHELDTFTRLFLLLIFIALLVRVCYVYFFSHGDHRIQNPNLNPASIQHVVDDKNTVEGLPRSIINSYHTFTFNKNNIATINHDYDTVCSICISDYKESEILRMMPQCHHYFHRDCVDTWLKVNGSCPVCRNLLLPASKNVPNLESV >RHN68309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34480092:34483633:-1 gene:gene16645 transcript:rna16645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEIAVSLVIDQLLPLLREEANLLRGVHKEFSDIKDELESIQAFLKDADKRAAAAEGVKTWVKQVREAAFRIEDIIDDYLIHVRQHPHDPGCVSLLHKIKTVIPRRRIASEIQDIKSSVREIKERSERYGFQRSFEQGSSNSRGSQNAKWHDPRAAALYVEEAEVVGYETQRDMLIDWLVKGRDERTVISVVGMGGQGKTTLTKKVFDNRNVIGHFDCRVWIIVSQSYTVEGLLRDMLLKFYKQNEEDPPKDIFQMDRGSLTDEVRNYLRLKRYVVVFDDVWSVHFWDDIEFAVIDNKNGSKIFITTRNLDVVLSCKKSSYIEVLELQPLNEQQSLELFNKKAFRFDHGGCCPKELIGIAYEIVKKCNGLPLAIVAIGGLLSAKEKNVFEWQRFSENLSLELMKDTHLVGIKEVLGLSYDDLPYSLKSCLLYFGIYPEDYEVKSKRLIRQWVAEGFVKEERGKTLEDVAEGYLTELIHRSLVQVSSVRVDGKAKGCRVHDLIRDMILEKFEDLNFCKLISEGGQSYLSGTFRRLSITTTSDDFIDRIESSHVRSILVITNEDSYLSFPRRIPTKYRWLRVLDYQFPRLLNVPKELGSLIHLKYLSLGYVTTGKIPKSIGMLQNLETLDLKATHVSELPKEISKLRKLRHLIGTGLSLIQLKDGIGEMTSLQTLRYVNLGMEGAVDVIKKLGKLKQIKDLGLLNVCREDYDILSSSINEMQHLEKLHVKSRSTDNDEFIDLNLISPPTKLRKLTLRGKLLKLPEWILELQNLVVLRLKLSCLTKDSMQSLKSLQHLLILSIGVGAYGGSHMYFQDGWFPKLKELYIGSSDELTDIIIDKGALSSIKMLQLYGLSNLKNITGIQHLEKLEVLLIRSMQVEVLQHNSPKDWNWIMEHVPLVEISRVDGKIIRNSRN >RHN70142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49083783:49084681:1 gene:gene18696 transcript:rna18696 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGAVLTRKNPFPSPNFSLILYYKYSFPFFFIISQFSHFLSSKFLSSKKKEIRKLRINSSTMSSNVAFFFVFALLATTAFGEAPSTSPTAAPKASHAAPAPKATATPPSSTTTPPKSSATSPTSSPAPKVSSPPSPTPTSAEAPVESPTESPPAPVSPTVSPATSPVASGPAVSDAPAEAPAGSSAAASFRVSFVGGSVAAFVAAALLM >RHN56254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29298498:29308339:1 gene:gene31626 transcript:rna31626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MEHTEMNGGKNADSTQCVRVAVNIRPLITAELLHGCTNCITVPPGEPQVQIGTHAFTYDFVYGGTGSPSSAIYNDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYTGEESAGGIIPNVMRTIFKRVQDLKEESNEFLIRVSFIEIFKEEVFDLLDPNASKGESVCNAKFAAPARVPIQIRETLSGGITLAGVTEPEVKTKEEMSSYLSRGSMSRATGSTNMNSQSSRSHAIFTITMEQKNGDDVLCAKLHLVDLAGSERAKRTGADGMRLKEGIHINKGLLTLGNVISALGDERRRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAIINRDPIGAQQQRLQSRIDQLEAELLCFKGVGGVPFEEIQILKHKVSLLEASNAQLQHELHARGQICESLKERACDAQVEKDQLIMKIEALRNGRSWDEIDSNSNQDCDLVKSYVSKIHDLEGELLRLKNLNVKSSNFVDWVDSDDLGLQSKTGLFGDGNEYSSDCDVKPVDITDVEPVEIHEKELEHSSAQQKLDRELKELDKKLEQKEAEMKLVNNASVLKQHYEKKLNELEHEKKFLQREIEELKSTSGDSTHKLKEEYLQKLNALESQVSELKKKQDAQAHLLRQKQKGDEAAKRLQDEIQRIKSQKVQLQHKIKQESEQFRLWKASREKEVLQLKKEGRKNEYERHKLLALNQRTKMVLQRKTEEASLATKRLKELLESRKASSRETGISGNGPGIQALMQTIEHELEVTVRVHEVRSEYQRQMEVRAEMAKESARLKEEAEMMKLNNTSDVSMSPAARSSRIFALENMLATSSTTLVSMASQLSEAEERERVFSGKGRWNQVRSLADAKNLMNFLFNLASSSRCSLREKEFICRDKDMEIFDLKQKIVSLIRSLEQLKRQRDGLDHQLKLMRSESMRDSGYYVGGHPYDLRKLENRRSTILFEDMEISDAESDEYGVDATDDEWVMSAKLHGRKRKSKGGEHSNLEKNHSNTSSDDAKTISTEAPDGPPVKTASDVCCSCSKSSSCKTSKCACRAMGIGCGSSCGCRETKCANREGGTVNDPGSDEADKNRLLATQGAELLQGALVGPAEANTDHGPRKALSDIGNTLTKSNAMKGNQRKKWRKSSIVLVTEPPPSSSQPGNSDAPKKEKNNNSEANIYEDIPQKTHPPKFENASLPPKPEINYIDTKIPLKIPRAMQKQGFSNTILPLGDKNANKQDESIKKEPEVDATIPIRPKRTLEKENNGL >RHN81400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45575137:45575343:1 gene:gene5431 transcript:rna5431 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIRAVGGGWWWCDGWFCTFVSPLVNGGGCLWWIWAWVVIGGFGLVCGALGVVVVMWCEEVKGFGIR >RHN66397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11829726:11830826:-1 gene:gene14367 transcript:rna14367 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHILKLHSISLLHLMIRFSDTWLGFKIVCEFLILISINVVVSITRNIRPWFKIFGSFLLFLSVNVIVIIS >RHN71364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58521655:58526248:1 gene:gene20038 transcript:rna20038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylthioadenosine nucleosidase MAAVQQSDAPLASTTDEKPISNIVIVIAMQTEAQPVVNKFELIEDPNSPFPEGVPWVRYHGKFKGLNLNLIWPGKDPSSGVDSVGTISSALVTYAAIQSFKPDLIINAGTAGGFKARGASVGDIFIASDCAFHDRRIPIPVFDLYGVGSRKAFETPNLVKELNLKVAKLSTGDSLDMTPQDESSITANDATVKDMEGAAVAYVADLLKVPAIFVKAVTDIIDGDKPTAEEFLQNLAAVTSALDLAVEQVINFIDGKCISEL >RHN71354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58473483:58474633:-1 gene:gene20027 transcript:rna20027 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEAHYSLTTPVPAHILPNPPSQATKVSFQQTKTLKKLSAVNKYSNQKIQIDQRMQKSA >RHN65343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1335197:1342181:-1 gene:gene13169 transcript:rna13169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 8-amino-7-oxononanoate synthase METPIPIAWWEKWVEEALTTLDSLRVLRSLRPICLQRVVDDHAFQVFDEMHQWDRSSVEVEIGETTFRKWMHDTPSSGEEIVYGAASGDNEPGVCHEKFRKLILFSGNDYLGLSSHPTIGKAAAKAAQEHGMGPRGSALICGYTNYHRQLESSLADLKKKEDCLLCPTGFAANMALMTAIGSIGSLLAGNSIPSDDEKIAVFSDALNHASIIDGIRLAERRKGVKLYVYRHCDMSHLHTLLSHCSMKRKIVITDSLFSMDGDFAPMVELAELRKKHGFLLVIDDAHGTFVCGKNGGGVAEEFNCEKDVDICIGTLSKAAGCHGGFIACSTSWKLLIQSRGRSFIFSTATPVPVAAAAHAAVRVAKHETWRRKAIWNRVKDFHLLTGIPVTSPIISLIVGTEDKALQASRHLLQAGFHVTAIRPPTVPPNSCRLRVALSAVHTREDLENLAAALSNCINFQDTRIYGCNGYARL >RHN38857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2465456:2471601:-1 gene:gene44827 transcript:rna44827 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKDSIAKMIDSTSSKIQQLQKAFAELESYRAVTLNLKWKELEEHFQGLEKSLKRRFNELEDQEKEFENKTRKAREMLEKQEAAVFAKEQALLQRLQRKRDASVFTIVDARKKYRKVSSKDLGTVFNGSQAAPDVKEKPVDAVSTGAECNIENGKLSPANVSVDMMSYPELVKLCKEMDAAGLHKFISDNRKNLAAVREEIPLALRAAPNAGCLVLDSLEGFYCTEVSSQDIKKDANLLGLRRTSIMLLECLSDFLTNLGSVSNVISKDIKDRAKAVAEEWKPRLDDLDMDASNGNSLEAHAFLQLLASFGIASDFNEEELSRLIPMVSRRRQTADLCRCLGLSEKMPGVIKVLVKNGRQIDAVNLAFAFDLTDQFSPIPLLKSYLNDAKKTSSPVKSGNSSPTAQLDVNERELFALKAVIKCIEEHKLEEQYPIDSLQKRMIQLEKAKADKKRETEATKPQPKRPRANGAGYGPRVTNIPFDKTSYGRVADRYPQYVYDRPYMYPAPTENHCAPFLASATYNVSPNPGTYFGNGYQYQATYLH >RHN45381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:18803044:18804309:-1 gene:gene39686 transcript:rna39686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronate 4-epimerase MDIPSSPGKFKMDKRTRWHKSLPKLAFWSILFFGVIYFLFFRTPSSFSSDTSRRSLRTYNYGGAAWEKKVRSSAKVTSKNGVSVLVTGAAGFVGSHVSIALKRRGDGVVGIDNFNDYYDPMLKLGRQALLERTGVFIVEGDINDPTLLKKLFEVVPFTHVMHLAAQAGVRYAMENPGSYVHSNIAGFVNLLEVCKSAEPQPAIVWASSSSVYGLNSKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILRGKSITIFEGPNHGTVARDFTYIDDIVRGCLGALDTAEKSTGSGGKKRGTAQLRVFNLGNTSPVPVTDLVSILERLLKVKAKRNVMKMPRNGDVQFTHANISYAKKEFGYKPTTDLQTGLKKFVRWYVNYYSAGKKADE >RHN81649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47789957:47790205:1 gene:gene5720 transcript:rna5720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MLDLSNNRLYGKIPIGTQLQSFNTSYFEGNSNLCGEPLDNKCPGEEPSKHQVPTTDAGDDNSIFLEAFYMSMGIGFFTSFIH >RHN59433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10699489:10708168:1 gene:gene21462 transcript:rna21462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA oxidative demethylase MLSSRSFHSPLFLNHRFYLRFLMGETNKNATSSPTESVSQGGNVDNANVRPDSPLSDVSHDNEKYFIPLHKYTKKNSLCESMSSCDHLPSPPSSTVSEQSKFKRKIRVDLGLGPEKENKSSKSSFCSSFHDSDGNISTTKTLSVTKEFKSHCESHQQGQFWKKDNAASTNRLYNNSHRKINFDICFRGIRNSGLTGATPLEKNKDSCIEFEMQDGGTNKETNDVILRPGMVLLKHHLTHEEQVEIVKKCRDLGLGPGGFYQPGYGDGAKFRLKMMCLGMDWDPQTRKYGYKREIDGSKPPSIPHYFSKLVIRSIQEARNLINQESVEHILPSITPDICIVNFYLTNGRLGLHQDRDESRESLQKGLPVVSFSIGDSAEFLYSDQRNVEKAENVLLESGDVLIFGGESRHVYHGVSSIIQNSAPDELVQDTCLCPGRLNLTFRQY >RHN68913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39583256:39586252:-1 gene:gene17334 transcript:rna17334 gene_biotype:protein_coding transcript_biotype:protein_coding MQKYRRRNHGFYISCIEDYIQITKFVDLYPPMDHLHHYKFRAWSTIMEINLRMKDKFIDMHK >RHN66677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16216518:16218756:1 gene:gene14720 transcript:rna14720 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSSIDLCNHISFSPNKININNLNTITSFQQSNIPLLFDTVRQLLQVNKGRKCKFILAYVSRAKMMDSMIISEASKFQMQMKEVPKTKCIVGNHEGVIYEITLK >RHN48797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50368179:50369670:1 gene:gene43550 transcript:rna43550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MKGMRSNFLRKLQLFPTKTNSKQSLILQLNTPDMFCIQKCPTSPFFDDHKVNDSRWTSAHSGTVNNLTELEFSLDRSENDDDDKIHHFEELQEFNFKLATTKQVACIKGYPSLKDFEEKCPPGGSNSIILYTTSLRGIRKTFQDCNTIHFLLRSLRVMYHERDVSLDLEYRQELWNILGEKVIPPKLFIRGRYIGGADEVFGLHEMGWLGKILEGTPTVSSDCLCIGCGNMGFTICSTCCGSCKVFINNGDSTDTSNNECFLRCHGCNENGLVKCTICC >RHN72535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8459992:8460264:-1 gene:gene8261 transcript:rna8261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative petal formation-expressed MTTVANTFEQVGMMFQMYRNCSGFFELLEETVEETIEDKDLNKRENGEVFEMKIALKLGRSVMEMREFASKSALYRMEGREIDEFANKLF >RHN81818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49142160:49143382:-1 gene:gene5908 transcript:rna5908 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFFSFFNLPFSLFFPMLSPHFFIFSDWRVLAGIALARRLAVEDGTAVVVNVTNKPNVFYYLF >RHN48384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47125699:47126616:-1 gene:gene43096 transcript:rna43096 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKKQLISDNSKMMNHADHAALSCDEDDAPPHDIIDASSSPPLPKHLPSEYTPSTPRTRLPIDPQTFFYSELITNLPHPPNFDSDLDNLALIPKSFHKDSHQEQEQDHVSLLPPSTTSKMLGRCEFILPPSGPAKKRRLMKSLTVPPPFLWATSKRATLYTLDHLLSVLKLQTISGTLECKFCKFQQDIQFDLVENFRKVTRFIEERRNEMCDRAPGEWMNPVIPNCETCGKEKAMHPLMTKKRNINWLFLLLGQMIGCCKLDQLKYFCKHADIHRTGAKNRLIYSTYFGLCKQLQPNKSFLP >RHN47789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42530012:42530616:1 gene:gene42430 transcript:rna42430 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQQYNFFPTDLFYPRPQPQQSTASPNVLPLKTPNSEDLPQTQQQQPASSMIKATPSTSSLVYTHNTQSFAVVDNNISKLSLNPLSLMVWMDQEDEE >RHN52878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39853751:39857595:1 gene:gene37610 transcript:rna37610 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLTYKVFNLYYFIRCGTYNSHLCTTTLILDLSPKRHLRPKGVHFLISPF >RHN47428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39672530:39677064:1 gene:gene42027 transcript:rna42027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside-triphosphatase MEFLITLITTVLLLLMPAITSSQYLGNNLLTNRKIFQKQETISSYAVVFDAGSTGSRIHVYHFDQNLDLLHIGKDVEFFNKITPGLSSYANDPEQAAKSLIPLLQQAENVVPIDLHHKTPIRLGATAGLRLLNGDASEKILQAVRDMFSNRSTFNVQPDAVSIIDGTQEGSYLWVTVNYALGNLGKKYTKTVGVMDLGGGSVQMAYAVSKKTAKNAPKVADGVDPYIKKLVLKGKPYDLYVHSYLHFGREASRAEIMKVTRSSPNPCLLAGFDGTYTYAGEEFKAKAPASGANFNGCKKIIRKALKLNYPCPYQNCTFGGIWNGGGGNGQKHLFASSSFFYLPEDVGMVDPKTPNFKIRPVDLVSEAKKACALNFEDAKSTYPFLAKKNIASYVCMDLIYQYVLLVDGFGLDPLQEITSGKEIEYQDAVLEAAWPLGNAVEAISSLPKFERMMYFV >RHN67241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25110704:25115707:-1 gene:gene15410 transcript:rna15410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase MWKFMALPHLLLLLVLHCSLFVALVSGWKTLQGSPPVVIARGGFSGIFPDSSLASYNLALKASSPNITLWCDLQLTKDGVGICFPDVKLDNATDISVVYPGKAKDYSVNMVPTRGWFSFDFNFKELQIVSLVQGVYSRTPKFDGSNYYILSVENVAKLVKSSSAGLWLNVQHDTFYKHHNLSVEKYLHSLSAEKVTISYISSPNANFLQRVRKKSSLKKTVTVFRFLDQYKIEPTSNKTYGALLKHLKFIRTFASGILVPKSYIWSVDSELYLHQHTSLVSDAHKHGLKVFVSDIVNDVPFSYNFSYDPMAEVLSFIDNGNFSVDGVLSDFPVTPSAAINCFAGIGRNAKKQVETLIITKYGASGDYPACTDLAYKKAKSDGADVIDCPVQLSKDGVPFCLSSIDLSTSTTVADTKFRNRTAIIPEIQNGSGIYTFSLTWNEIKTLTPSILKPYAKYTLFRNPKFKNQGKFVTLSDFLSLAKGSRVLISIENAAYLASKRGLSVTKSVLNALQKAGYDKQKSRKIMIQSTHSSVLKIFKDKSKYERVYKVDENIHDADDKAIKDIKTFADSVVLQKASVFTQNLAFLVNSTNTVARLQSFKLPVYVETFSNEFVSQAWDYYSDPSVEINSFVVGAKVNGIITDFPKTAVRYTKNRCLKHAKKAPYISPIPPGKLLKHIPKLDLPPPTPPLPVLNDSNVTEPPLPSVSGKFSISGAAR >RHN38735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1647515:1651305:-1 gene:gene44700 transcript:rna44700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxolaurate decarboxylase MFKRGRHVIVLILLFFILSFSVDVNGKHFVLVHGAGHGAWCWYKVATMLKSAGHNVTTIDMAASGINPIQVQEIHSISKYYEPLMTFMESLPPKEKVILVGHSYGGIPLSVAMEKFSKKVSVAVFVTALVMSETLNFTSVIQENERRTQQNPPQLLFFNGPNSPPTALLLGSKLLASHLYQLSPNEDLTLGSSLVRPHPIFNDIKLVLKETRVTKQRNGRVPKVFIISKGDNIIKEDMQLWIIERTGPYVEVKVIKDSDHMVMFSKPKKLTSHILKIAHKY >RHN67055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23318924:23319505:1 gene:gene15187 transcript:rna15187 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQEQIIRGKFVWRYVPTRLRRLILKYPCELEMNEFTEEVLREYSLYLEVAAVNYFRSKLDISSSENEEDVVVLPCQVGERVRDQRLANTVDESFLMYMAVLEEFGVTITFTAFEMDVLMLLNVEPSQIRPNSLAFIRGFEILCKALSLEPSAASSFISMGLKMSIKGCGFRLVPILEKILFPPYASNFKEW >RHN64298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58008185:58010171:-1 gene:gene27101 transcript:rna27101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MAGGAIETQSHASKKKFAILGVSSILLIAMVAAVAVGVNDAGQVESEGDNQITKSQKNVKVLCGTTEYKQTCEKSLAKTGNKDMKELIKQAFNATAEELVKQIHNSTLYKELATNDMTKQAMDICKEVLGYAVDDVHQSIHSLDKFDLNKIDEIAYDLKVWLSGTLANQQTCLDGFENTTTHAGQTMAKVLNASLELSNNALDIINGLSGFVKDLNLSSFASALNNNRKLLSEDGLPSWVSQSQRKLLAAPQNVKPNVVVAQDGSGQFKTLTEALATVPKKNKVPFVIHVKAGIYKEYVALDNHRDHVTIIGDGPKKTIFTGSKSYGDGVQTYNTATFSVNSAHFTAFNVGFENSAGAAKHQAVALRVTADKALFYNCEMNGYQDTLYTQSKRQFYRDCTITGTIDFVFGDAVGVFQNCKLIVRKPMATQQCMVTAGGRTKVDSVSALVFQNCHFTGEPEVLTMQPKIAYLGRPWRNFSKVVIVDSLIDGLFVPEGYMPWMGNLFKETCTYLEYNNKGAGAATNLRVKWPGVKTISAGEAAKYYPGKFYEIANATARDDWITESGIPYAMGAQPAGPLPRAA >RHN43188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43887465:43895338:1 gene:gene49728 transcript:rna49728 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKSTKGNKALTKKVEHYGNHKSGIGNKKNNHKHTSDLTSAKEGVDSKKKEEEEEEAVSVSAGTRNDDFYDGIPRYADSFSHKSRSVRSRQAAVAKVSEVSSRLGRAGTIGFGKAVDVLDTLGSSMTNLNSGGGFAYGAVTKGNEVGILAFEVANTIVKGFSLMESLSTKNIKHLKEEVLKLEAVQDLVSKDMDELLRIVAADKRDELKVFSDEVIRFGNRSKDPQWHNLDRYFEKISRELNSQRQTKEEAELLMQQLMSLVQHTAELYHELHALDRFAQDYQHKRDEEDNSSAAQSGESLSILKAELRSQKKQVKHLKKKSLWSRSLEEVMEKLVDIVHFLHLEINKAFGSPDGRKPFIRTISNRQRLGPAGLSLHYANIVLQMDTLVARSSSMPANTRDTLYQSLPPNIKLTLRSKLPTFHVAEELTVADIKQEMEKTLHWLVPIATNTAKAHHGFGWVGEWASTGSDLNKKSMKTEVMRIETFHHADKEKVENYILELLLWLHRLAVKSKAGGDVGEVKSVIKSHVGTVLQKTNKQSTNAVSPLLTTDEQIMLKDVNNKIPVRGISKSKSLDFDSLKMELTDNSKLIKSSSYSTTSRSKELSFNKIHSKVPAIDFCIDKKRALDVIDRVNVTR >RHN45442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20905495:20914320:1 gene:gene39783 transcript:rna39783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain, reverse transcriptase zinc-binding domain-containing protein MLGKQGWRLLTNQDTIVARIYKAKYFPKTNFLGACLGHNPSYIWRSIIASQVLVRGGQRWRIGNGKSIAIWTDPWLREDGNSYVSSEKVQGTESMKVADLMDINGASWNWKLIAGIFNEQDREAISKLVLLNRDRDDKLIWKFNNQGNYTVKSAYRYAMETLVDNEEYRVPGDCTRMWKMKIPQRIKVFLWRALRGVLPTRMRLQDKGVPCTDSYPFCETNYENDWHIFIGSEAKKVWRTAGLWQLIKDTVDAAASFADCFFSLLCRLSSAESMDVTVMLWCLWRCRNDKVWDGELKPINIAIQLSREELFQWQEVRKRSAEPVQNQQQQVAIWQPPDEKFVKCNVDAAMFEEQNYFGIGMCIRNHRGMFVKAAAIWYEGKPLPREAEAVGLRDFISWLGRLGLSKVLIELDCKLVVDSIFDRNSNQAEFGIISECRSCLKHYPNFKISFVRRQANFVAHTLARASRLNARHQEFDLIPFYIETIVRNEII >RHN68669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37628544:37630740:1 gene:gene17051 transcript:rna17051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthine/uracil/vitamin C permease MGGGKACGVFSRLKNTCTQMEKSINNSVANSFIGTYFKLQQRKTCFTKELRAATATFLTMAYIITVNATILTASGGTCSVADCSPPATPNCTLKPNAGYEACLAKTKNDLVVATSVTAMVSSVAMGFLANLPLGLAPGMGPNAYLAFNLVGYHGSGSISYQTSMAIVLVEGCAFLLASAFGLRGKLAKLIPKSVRLACAAGIGLFIAFVGLQVNQGVGLIGPDPANLVTMTACKSIDPETGACLGGKLQSPKFWLGAFGFLITSYGLMKNIKGSMIYGILFVTFVSWFRHTEVTYFPDTPLGDGNFSYFKQIVDFHKIESTAWVFSFGDFNKREVWEALATLFYVDVIAMTGIMYTMAEIGEFVDEEGSFEGEYMAYIVDAAGTIVGSALGVTTTATFVESSAGMREGGRTGLTAVIIGLFFFLSLFFTPLLSSVPPWAIGPSLVMVGVMMMKVVKDIDWTNIKEAVPAFAIMILMPLTYSIANGIVAGIGLYVALSLFDYAASIINWLGKMRRRMIKEHNQVSATATTGVDSNSIVEII >RHN75377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41740032:41741303:-1 gene:gene11566 transcript:rna11566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription repressor PLATZ family MDMMIVPWLKNLLAITAFFTSCEVHPNESKNERNMFCLECNDNPFCGSCIKSHHKDHRVIQVRRSSYTNVIKTIEIYKHLDILGIQTYVISNFTVVFINKRPYSQPTKKTIGIIGCNSDYLCKTCQRNLVGPYYFCSLACKFECIKKDGGFFLSAKETEEMERLLEESIKAPKQKAKKSREQNLKRKVDEAGINNEENEEDEENEQEERENMLPIQKPNSRRKGIPHRAPFF >RHN67470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27502315:27504390:1 gene:gene15663 transcript:rna15663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MQAFEDAISDGVDIISCSLGQTSPKEFFEDGISIGAFHAIENGVIVVAGGGNSGPKFGTVTNVAPWLFSVAASTIDRNFVSYLQLGDKHIIMGTSLSTGLPNEKFYSLVSSVDAKVGNATIEDAKICKVGSLDPNKVKGKILFCLLRELDGLVYAEEEAISGGSIGLVLGNDKQRGNDIMAYAHLLPTSHINYTDGEYVHSYIKATKTPMAYMTKAKTEVGVKPAPVIASLSSRGPNPIQPIILKPDITAPGVDILYAYIGAISPTGLASDNQWIPYNIGNNTRQ >RHN38499.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:104681:105702:-1 gene:gene50608 transcript:rna50608 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGAASVASKKPVHVPSRKNLCPCNVVGRLAFAYAVQVNKHSRTRGHVSGSVDVCVCWLNALALNGLHMILIIFHC >RHN67174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24587406:24594194:1 gene:gene15328 transcript:rna15328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MGSEEERVLENQLELQLHEQRDSLTAIDHALLLDPTNSELLEVHEELVQAIKDAEEGLLHLKRARLLSEADTVLCSTDIFAEEEKVEPLDPADVEPEPLEEKSFSVGSKCRFRYKDGRWYNGQVMQLDNSVAKISFLTPTSENMLMCKFFLQQRCRFGSNCRLSHGVDVQLTSLKEYAPTIWKPSLAGSSIWAVSNANAGIWRAAELESWDEKAGVGQVVFRDDGSSVKLGAQDMVLSEYADMSDIDSDSSLEQSDYSGSEEEEPQGLGFMDSTNLQKGVQTETAIFAKWENHTRGMASKMMANMGYQEGMGLGLTGQGMVDPIPVKVLPPKQSLDHALKSHKVEGNTEKQRKKRTRGGKRKREKRFAEAIRAAKEEEESAPDVFSLINTQLAMHGEASNGSMKKQQSKGSGEGKKVDRKMLVAYENDVKDLKVQVLKFEQMAEANKREKPVYDAAMKKLVQTRKALAEAEAVHASASDDVVSKEKDKRWLKF >RHN49415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54655617:54658735:1 gene:gene44242 transcript:rna44242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MYLFSSKMHKHTSLLLYFVGISILLHVIHGASIEEPGSLILGCGLDEGGAKDEDGRQWSPDNKFLATQNGSTTYKAAYQDPSLSSVVPYMSARIFTSETTYKFNVQPDKRYWLRLHFYPSLYGTFNPSDSYFSVAANGITLLSNFSASITCQALSQAYIDREYSLAPLNSDTLTLTFKPSDKQNGTFAFINGIQLIQMPELFDSASLVGFGDQTMDIKTLNLQTMFRLNVGGQYVSPAQDSDLSRMWYDDTPYLYGSSYGVTNEAKKDVLIDYQTMPKYIAPPTVYSTSRSMGTDKDVNMGYNLTWVFQVDPNSMYLVRLHFCDFYYSKVNEIVFNIFLNNNTAQAQADIIGWTGGKGMTTYQDYVVYVQDGEGDEQLWLALHPAPDSKPQFYDAILNGVEIFKLNDTDLSGPNPQPSDMLLEGESQETSFHTHKTYDKKAVIGGAAGGAAGFAFMAAICIAVYNKKKRVPGAVTQTSWLPIYGNSHTNGSKSTSGKSTTSANLTAMTQGLCRYFSLQEIKQATNSFDESNVIGVGGFGKVYKGVIDNGMLVAIKRSNPQSEQGVNEFQTEIEMLSKLRHKHLVSLIGFCEEDNEMCLVYDYMALGTFREHLYKGIKPLNILTWKQRLEICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDENWNAKVSDFGLSKTGPDLNAGHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEALCARPVLNPNLPKEQVSLAEWALLCNQKGTLEDIIDPNLKGTINPESLQKFVDTAEKCLSDHGADRPSMNDLLWNLEFALNLQENKDGSTHSATRTNDNEFEEIHLGDNEMANHYKNLSLGGQDELSQDATSSQGPTEIFSQLVSPKGR >RHN60403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26250702:26256298:1 gene:gene22712 transcript:rna22712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MGKNQKTELGRALVKQHNQMIQQTKEKGKIYKKKFLESFTEVSDIDAIIEQADEDVDEQQLLDIPLPPPTALINLDHASGSDFNGLTVEEMKKEQKIEEALHASSLRVPRRPFWSAEMSADELHANETQHFLTWRRSLARLEENKKLVLTPFEKNLDIWRQLWRVVERSDLLVMVVDSRDPLFYRCPDLEAYAKEVDVHKNTLLLVNKADLLPASVREKWAEYFRAHDILFIFWSAKAATAVLEGKKLGSSQADNMASADNPDTKIYGRDELLARLQSEAEAIVDMRRSSGSSKSSDDNASVSSSSSHVVVGFVGYPNVGKSSTINALVGQKKTGVTSTPGKTKHFQTLIISEKLILCDCPGLVFPSFSSSRYEMITCGVLPIDRMTQHRECVQVVANRVPRHVIEEIYNISLPKPKSYESQSRPPLASELLRTYCASRGQTTSSGLPDETRASRQILKDYIDGKLPHYEMPPGLSTQELASEDSNEHDQVNPHVSDASDIEDSSVVETELAPKLEHVLDDLSSFDMANGLASNKVAPKKTKESQKHHRKPPRTKNRSWRAGNAGKDDTDGMPIARFHQKPVNSGPLKV >RHN47416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39588112:39588618:1 gene:gene42013 transcript:rna42013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MGTTNKETKPLLDMKVTIHKTSMIFPSKQTENKSMFLSNIDKVLNFYVKTVHFFEANKDFPPQIVTEKLKKALEDALVAYDFLAGRLKMNTETNRLEIDCNAEGVGFVVASSEYKLNQIGDLAYPNQAFAQFVHNAKDFLKIGDLPLCVVQVQIYSCQFIILSKGFLK >RHN48726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49767308:49767594:-1 gene:gene43473 transcript:rna43473 gene_biotype:protein_coding transcript_biotype:protein_coding MANNTDTFLFSVIFCFFPSLLFFPFIHSLLIILLVFSSFYSINLPFLLISKFSHFKNVIIIFI >RHN54355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8950577:8951320:-1 gene:gene29374 transcript:rna29374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MGALDIISELCEFCHVHHGRKLVKRNQLQVVEIKVKMDCEGCERRVKKSVEGMKGVTKVEVEPKQSKLTVTGYVEPNKVLERVKHHTGKKAEFWPYVPYDVVPTPYAPEAYDKKAPPGYVRNVLQDPEASTLARSSPFEVKYTTAFSDDNPNACTIM >RHN79670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31100038:31100701:1 gene:gene3490 transcript:rna3490 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIAGNEPSLLMGFSLWKGQLRSFGIFQFLHHNSHSCDLMELSYGNTPIM >RHN58415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1561870:1567807:-1 gene:gene20325 transcript:rna20325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FMN-binding split barrel MESLSSTHSTLHHHRTASSVAVGGGVAPRPSFLKINQLQPSNSSHSLVAPPLRLSALPNEDGGELNTFPALPSLPQENLSHSESIDDDDTHHEQIQTGMSWSTITTPGGSGSGGGTRAGLFRTPISGGVQSATSAHGLPRPALAVRNLMEQARFAHLCSVMSRMHHRREGYPFGSLVDFAPDSMGHPIFSFSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVYPLSEDQQEWAHKQYIAKHQQGPSQQWGNFYYFRMQNISDIYFIGGFGTVAWVDVKEYETLQPDKIAVDGGEQYLKELNAIFSKPLKKLLSNEIEVDDAALISIDSKGTDIRVRQGAQFNIQRISFDEGQSVETLEEAKAALQKLIHKGKVCNLHK >RHN68505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36053800:36059713:1 gene:gene16868 transcript:rna16868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TFIIH subunit Tfb4/p34 protein MTTSAPSKNYADDVSLLVVTLDTNPFFWSSFPFHFSEFLSQVLAFLNSILLLGQLNQVVVIATGCNSCSYVYDSASDKNHASTNGTMPAIYSNLLHNLDEFVAKDQQLTTPHHKPGTIPSSLLSGALSMALCYIQRAFRSGPMHPQPRILCLQGSTDGPEQYVAIMNAIFSAQRSVVPVDSCFIGSNNSAFLQQASYITGGIYYRPPQMEGLFQYLSTVFATDLHSREFLRLPKSLGVDFRASCFCHKQTIDMGYVCSVCLSIFCEHHDKCSTCSSVFGQTQSEAASEENRKRKAC >RHN45243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13584997:13587712:1 gene:gene39479 transcript:rna39479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MYSWAFLCGSHLPNKEIFNSSLRFLGIHFHSMKQTHPSITFINKTKSSSFRVMDSIKKRKIMTKEYEGRAVGIDLGTTYSCVAVWLDEHQRVEIIHNDQGNRTTPSFVAFNNDQRLIGDAAKNQSATNPENTIFDAKRLIGRKFSDPVVQNDIMLWPFKVTSGVNDKPMITAKCKGQEKHFCAEEISSMVLTKMREVAEAYMGSPVKNAVVTVPAYFNDSQRKATIDAGAIAGLNIIRIINEPTAAAIAYGLDKRRDCDGKRNIFVFDLGGGTFDVSILTIMGDVFEVKATAGNTHLGGEDFDNRMVNYFVEEFKKKNNVDISGNPKSLRRLRTACEREKRILSFSFVTTVEVDALFMGIDFSSSITRAKFEEINIDFFIECMNIVDSCLRDSKIYKSDLCAAILSEGFKTVPNLVLRDVIPLSLGISVYEHIMNVVIPRNTSIPVKKTKGGYYTAEDNCCVSIDVYEGERARASDNNLLGSFLLDYVPGPRGQPLEVCFSIDENGILTVTAKDISTGNMNAITITNDKERLSMLEIEKMIKEAKEYHVEDKKFLRKAKVMNALDSCVYNMKNTLKKKDVNLILSPQEIKKISNAITFAMNLLDKNNKEKEIDVLEGHLEELESMSKHLISKTNNFIFL >RHN80654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39805450:39807960:1 gene:gene4603 transcript:rna4603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prenylated rab acceptor PRA1 MPTTTTTATYGSIPSPSPSTATWQPPTSTPIPTPRSWREFFSLSSLSRPYSYDDAMLRLRHNLTYFQFNYTTTILLIVFLSLLWHPVSMIVFLILLVAWFFLYFSRNGPLVVFNRTLDDRIVICGLGVVSVVGLVATHVGVNVLVALIVGVVVVGLHGSFRVIEDLYVDQESGLLSVVGGTQAAPTRTNYTRIG >RHN40330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15395802:15399101:1 gene:gene46475 transcript:rna46475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase Aur family MDREWSINDFEIGKPLGKGKFGRVYVAREVKSKFVVALKVIFKEQLEKYKFHHQLRREMEIQISLKHPNVLHLYGWFHDSERVFLILEYAHNGELYKELSKRGHFSEKQAATYILSLTEALTYCHENHVIHRDIKPENLLLDHEGRLKIADFGWSVQSKDKRKTMCGTLDYLAPEMVENKTHDYAVDNWTLGILCYEFLYGVPPFEAESQADTFERIKKVDLSFPPSPLVSSDAKNLISRLLVKDSSRRLSLQKIMEHPWIIKNANRMGVC >RHN45227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13267008:13270916:-1 gene:gene39461 transcript:rna39461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MHGIMQDILTLMIEPNNIMCNLCIGNLLLQIISSVTLMHHFLQQKKKVSMGACLRDEKGTFVAALTTYCEVVITIAEGEAWGLYEGIQWISSLRYHNVIFKLDCKMVVDDVHNGKMNLSEYGSIVQNCRTLLDHYNDFVVVFTRRQGNGSAHALAREVLSHVSRSTFDVIPFCIATIIMNETP >RHN76223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48731466:48732983:-1 gene:gene12516 transcript:rna12516 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVNDRGLPGGPQAARTAINWAQAHVDKDWNEWTGGNSNQ >RHN58897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5794880:5798920:1 gene:gene20853 transcript:rna20853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTQISNCFYTLFILLSLFIVAARGITRMNEPVPTFIPCKYTSDCPENTCWPPRKPRCIEQYCNCV >RHN53821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4387259:4388411:1 gene:gene28771 transcript:rna28771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNYFDRLDEDIVPFHLLPRLDGETLIRLSCVSPEFRHLIINNEDLMRKICISTWPSLLYGDGIGCRKVSRFPSSYRSFFCQAFPSIDEHHPLPPPPPSPRPVTFFMYNMDIFLQGQREPCYSGLYLDQVDTTEMEQVDTTMERLLGPKLYYDTRRTFKIHWPCGLARADRRKFFSVKKEKEGCEEYLKQNMSLSCVVVEIYRSCAGSLFHPSTCKPVSVKETRIRLPISVEVEVVFETFIPAPVGFYTEMVKCEVKITCCGWEEGDEEKFYMDTIEFTMDDMNGEQLTRKDGNLIISNAIENGERKINKQT >RHN52558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36613276:36614698:1 gene:gene37249 transcript:rna37249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MTNNIGKGFIHYKVTDPNDDPINPQSDTLRYGASVIQGKVWITFKKDMNIKLMKPLLISSFTTIDGREFNVHIVTVSKIWIDHNTLYNCEDGLLDVTRGSANVTISNNWFREQDKVILLGHDDGYVRDINMKVTFVYNHFGPNCNQRMPRIHHRYAHVANNLYLGWMQYVIDGSMGPSLKSESNLFIAPKCGSKEVTWRKIGHTNGDKWQFHSVRDAFENGASFEVTKGSVCQSQIIAKNKFFKLLMLNQ >RHN68804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38730846:38731971:1 gene:gene17208 transcript:rna17208 gene_biotype:protein_coding transcript_biotype:protein_coding MNHDSSSLSNEETSNTRCTFCFPCFGSRHSTTTEPWWERVRASSVSRGFMKIREWSEIVAGPRWKTFIRRFNRNKSGGFRHAGKYQYDPLSYALNFDEGQNGEFENDSPDGFRNFSARYVAAVPTLKSDSTDLEQNVAVSSSE >RHN79853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32855096:32855949:1 gene:gene3700 transcript:rna3700 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISIRKIKISSVNSSQHNCNIASNYNLQTLFSGYTFSLYQAGLF >RHN53741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3733981:3738434:-1 gene:gene28683 transcript:rna28683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major sperm protein (MSP) MMMSTGDLLNIEPVELKFLFELKKQISCSLQLSNKTDSYVAFKVKTTNPRKYCVRPNTGVVLPQSTCEVIVTMQAQKEVPPDMQCKDKFLLQSVRVNDGADAKEITPEMFNKEAGHVVEECKLRVVYVAPPQPQSPVPESSEEGSSPRGSVTENGNANGSDSTTVMRGFTERNGTPEKSAEAKALMLRLTEEKNNAIQLNSKLRQELDMLRRQSNKSRGNVSMIFVILIGLLGLIMGYLLKKT >RHN71433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:128614:130016:1 gene:gene7037 transcript:rna7037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MCGIIKGSEWMKGKMVGCGSFGSVHLAMNKSTGGLFVVKKAHSEAGRDALENEVNILNTLNSSPSPYIVQCLGTDYDHQDNQLHVFMEYMSGGSLADVSHKFGGSLNEDVVRVYTRQIVHGLYHLHQHGIVHCDLKCKNVLLASSGNVKLADFGCAKRVKKNMNMNKSSSCIIANGGTPLWMAPEVLLMKNNSSINDESRVVDFAAADIWSLGCTVIEMATGRPPWVDDDLISISNPMAAMFKIACGDGIPQFPIHFSQEGFDFLRRCLVRDPKKRSTALELLNHPFLVSTTLTHHKHYSASSPASVLEVHQFEDTYDDDDDDDDSDDNDELISPAGGNHFFITKELLCPQGTTMWQLEDSASGNHWITIRSR >RHN69721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45795135:45799586:-1 gene:gene18231 transcript:rna18231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MESPAIWGFYATMFMSPMLLVFFLGIIVGWLWKPKWISSLAKSFDLASPISDSPIFSPLKFYSSLSPCVNSSITMQTPNPDSLCINKEINKKGSSSSSPTNFDSSTSSNKSGEDTSNGVTIDDLHHLYKLVEEKDGGLPWIQMMDKSTPTMSYQAWRREPKDGPPQYRSSTIFEDATPEMVRDLFWDDQFRPKWDDMLVNSTTLEECPTTGTMKVQWIRKFPFFCKDREYIIGRRIWECGRSYYCITKGVDCPSIPRQEKPRRVDVYYSSWCIRAVESKRDNGQLTACEILLFHHEEMGIPWEIAKLGVRKGMWGMVQKIEPGLRAYQEAKASGAPLSRSAFMAGVNTKISPEYLQSIGSSDDESLQTESAITSDDKPKGMTVPKMLVIGGAVALACSLDKGLVTKAVIFGVAKRFGFANMGKR >RHN48493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47918594:47919505:-1 gene:gene43213 transcript:rna43213 gene_biotype:protein_coding transcript_biotype:protein_coding MLASKRTIEAKPEPTAVPLTSARPSFGCNSKNSALIPAKLKASFALSTSPDGP >RHN60888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31388089:31390043:1 gene:gene23281 transcript:rna23281 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKSLSLSSPFREPSSITLVFLLDSSRSEIIFSNKASYLLCMSKALFLKPCKSKFLKNPIKSETLNSPKICVTSRTKALACSAWLSVPEVSMLNIIRDIMLFESFNKACVRFKLSLALDLFVNV >RHN46055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28104468:28107834:1 gene:gene40495 transcript:rna40495 gene_biotype:protein_coding transcript_biotype:protein_coding MMASQTTLEKKIVELENSKQIPQSSRPKIQRVPHNLRNRKNFEKYYSPKFLSIGPIHHGNTDLKLGGKYKLMWAAKYIENTRLNPRDLHKKIFDNIDELKGHFDDDVILTLTGKSLEGFGSLEEKLSWILFVDGCSLLYILDGCCTWMDDPEPMDIKVDQLVLVMMDVLLLENQLPYQVLKLLWKDEDKSGLIESIMNFFKYILARPGESQSEKEIHVVLNGGEHIVSILNESQLETPTHLLDLLRKITLIGSKPKTKSNEAITSKEASEETGPQKLIKKLLQKIKKWSQKKKEFKLITYRNIQDLKAVGIRVKSSKTRWLRDLDFSAGWFAAKLTLPEIVVDDTSATIFLNKIAYEMCPDFKNDYGICSFAAFIESLIDHPEDVRELRSKGILLNCFGSDEEVANIFNIITTDVMTNINTYHELRRKINEHYCNKYKTWIAQGFHTYFSSPWAITAFLAAFIAIVLTFIQTWFTIHPAC >RHN42657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40030814:40031269:-1 gene:gene49126 transcript:rna49126 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSVTESLDSVWFYTNILTNSTYEPSLTHPFSPSSPPKTDLQSESQNIHNKTAACQKSSSSAVEEEVVKKSERETKKRERRIRKFKKKGSSSSNNNKHEIVQMLETGQQNEMPPLDDDVAMKKHLKAWAYAVAISNEPISKVHLMNSQV >RHN40154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13689116:13702993:-1 gene:gene46268 transcript:rna46268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Sec7 domain, mon2, dimerization and cyclophilin-binding domain-containing protein MASASASSEAESRLNQLLLPALNKIINHASWRKHAKLAHECKSIIDRLSNTDNRTIPGSPSDTEPETPGPLHDGGPVEFSLTESESILLPLINAASSGVSKIAEPAVDAIQKLIAHGFLRGEADPGGSSSEAKLLSKMIESVCKCHDFGDDAMELLVLKTLLSAVTSISLRIHGDCLLLIVRTCYDIYLVSKNMVNQTTAKASLIQMLVIVFRRMEADSSTVPIQPIVVAELMKPAEKSEVDSTMTQFVQGFITKVMHDIDGVLHPVTPSGKVSLLGGHDGAFETTTVETTNPTDLLDSTDKDMLDAKYWEISMYKTALEGRKGELVDGELIERDDDLEVQIGNKLRRDAFLVFRALCKLSMKTPPKEASADPESNKGKIVALELLKILLENAGAVFRTSDRFLGAIKQYLCLSLLKNSASTLLIVFQLSCSIFISLVSRFRPGLKAEIGVFFPMIVLRVLENVSQPNFQQKMIVLRFLEKLCVDSQILVDIFINYDCDVNSSNIFERMVNGLLKTAQGVPPGVTTTLLPPQEATLKLEAMKNLVNVLKSMGDWMNKQLRIPDPHSPKKVEATDNGYEVVGFTMANGNGVDPVEGSDSHSEIPNDASDVSTIEQRRAYKLELQEGISLFNRKPKKGIEFLINANKVGDSPEDIATFLKDASGLNKALIGDYLGEREELSLKVMHAYVDSFNFQEMEFDEAIRVFLQGFRLPGEAQKIDRIMEKFAERYWKCNPKAFSSADTAYVLAYSVIMLNTDAHNHMVKNKMSADDFIRNNRGIDDGKDLPEEYLKSLFDRISRNEIKMKDDDIAVQQRQTVNPNKLLGLDSILNIVVLKRGDESHMETSDDLIRHMQKQFKEKARRTESVFYAATDAVILRFMIEVCWAPMLAAFSVALDQSDDEVVVCLCLEGFRYAIHVTSVMSMKTHRDAFVTSLAKFTSLHSPADIKQKNVYAIKEIITIADEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPSDATFFAFPQNDLEKTKQAKSTILPVLKKKGPGRMHYASGTLMRGSYDSAGIGGNASRAVTSEQVNNLVSNLNMLEQVGSSEMNRIFTRSQKLNSEAIIDFVKALCKLSMDELRSPSDPRVFSLTKMVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSANLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVIVMRKSNAVEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFTTAAYDDHKNIVLLAFEIIEKIIRDYFPYITETETTTFTDCVNCLIAFTNSRFNQEISLNAIGFLRFCATKLAEGDLGSSSRNKDKEVSGNISILSPQEGKDGNQDSGEVTNKGYHVNFWFPLLAGLSELSFDPRPEVRKSALDVLFETLRNHGHLFSLPLWERIFESVLFPIFDHVRHAIDPSGSSPQVNEVENNGELDQDWLYETCTLALQLVADLFVNFYNTVNPLLRKVLMLLVSFIKRPHQSLAGIGIAAFVRLMSNAGELFSDDKWLEVVLSIKEAANATLPKFSFLESEDFVARNEEHASTADDDRGDLVESGSPDDLESLRVRRIYAYFTDAKCRAAVQILLIQAVMEVYNMFRPHLSVKTMLVLFDALHGVALHAHNINNNTILRSKLQEYGSMTQMQDPPLLRLENESYQICLTFLQNLVIDKPPSHEEVDSHLVRLCQEVLEFYIEVAGCEEKLESSRRIKPHWSIPLGSVKRRELAARSSLVVATLQAICSLDDTSFEKNLSHFFPLLTSLVSCEHGSNEVQVALCGMLRLSVGPVLLQSC >RHN50610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8560361:8560681:-1 gene:gene34918 transcript:rna34918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAESSFTNSNLRLAGKIAIVTGGASSIGKETAHVFVEQGACMVVIADIQDELCDILFKPNNFIFLFRILCLAMLGLQVHLTRLFWNSTFLKLTIYSQLISEEWHCA >RHN59904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14572602:14572907:-1 gene:gene22072 transcript:rna22072 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDKELIVVLLCFRSHFNRWINLNPTIFSFLFLLLPLSLSLAENNLENTKKRPFGVTSEASVSGFGVVTCEACESGFDRGYVTCVTDETSESSLGCALVI >RHN73420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16249072:16255874:-1 gene:gene9232 transcript:rna9232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAMQINIGASSSSTLEVASNSFDVFISFRGDDTRRKFTSHLNEALKKSGVKTFIDDSELKKGDEISSALIKAIEESCASIVIFSEDYASSKWCLNELVKILECKKDNGQIVIPIFYEIDPSHVRNQIGSYGQAFAKHEKNLKQQKWKDALTEVSNLSGWDSKSSRIESDFIKDIVKDVLEKLNQRRPVEANKELVGIEKKYEEIELLTNNGSNDVRTLGLWGMGGIGKTALAKKLYDNYCSQFEYHCFLENVREESTKCGLKVVRKKLFSTLLKLGHDAPYFENPIFKKRLERAKCLIVLDDVATLEQAENLKIGLGPGSRVIVTTRDSQICHQFEGFVVREVKKLNEDESLQLFSCNAFQEKHAKEGYEELSKSAIGYCRGNPLALKVLGANLCAKSKEAWESELEKIKEIPYAGIHDVLKLSFYDLDRTQRDIFLDIACFFYPTINEFDCYTQREYIIDLFNACKFYPATSIEVLLHKSLMTFGYCDRIQMHDLVVEMGREIVKQEAPKDPGKRSRLWDPELIYEVFKYNKGTDAVEVILFDTSKIGDVYLSSRSFESMINLRLLHIANKCNNVHLQEGLEWLSDKLSYLHWESFPLESLPSTFCPQKLVELSMTHSKLRKLWDRIQKLDNLTIIKLDNSEDLIEIPDLSRAPNLKILSLAYCVSLHQLHPSIFSAPKLRELCLKGCTKIESLVTDIHSKSLLTLDLTDCSSLVQFCVTSEEMTWLSLRGTTIHEFSSLMLRNSKLDYLDLSDCKKLNFVGKKLSNDRGLESLSILNLSGCTQINTLSMSFILDGARSLEFLYLRNCCNLETLPDNIQNCLMLSFLELDGCINLNSLPKLPASLEDLSAINCTYLDTNSIQREMLKNMLYRFRFGEPFPEYFLSLLPVAEVPWGFDFFTTEASIIIPPIPKDGLNQIVLCVFLSEGLNLTFSGVDCTIYNHGDRSNEWSISFVNVSGAMISDHVLLICSPAICHQTRVDNDHYSLSFEVKPYGKVGEQLSSTKGIKGCGVILVPSLERSLGLDGSSSRSKVEIVELPFNAHQVSDEFDQHSNIDDDENEDAQQQLLITPKKMRKT >RHN42610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39674381:39678431:1 gene:gene49067 transcript:rna49067 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLQKSLSLRLNRRGDRTNQNPQAKDFDIGLFSSGRVPQDYPMSMKIVWKKGFVRLVLVGGILWMLLILVALLFHIWSCQSSVSFLSVMCNKDSKVYSMLDTYGLVPKLHRCPIPLFDDPDKIVIPTRRTSDKIVKNLSYFTEDEIPNDSSQSSPLFGGHLSWKQREESFKLKSNMKVHCGFIQGGGAEMDPIDIKYVKKCKFVVASGIFDGYDIPHQPSNISLRSKKLFCFLMVVDEVSLKFMRENTTVEEDSAGGKWVGIWRLVLLKNQPYDEPRRNGKVPKIITHRLFPQAQYSIWIDGKMELVVDPLLILERYLWRGKHTFAIAQHKHHRSIYEEADANKRRKRYARPLIDLHMKIYYYEGMKPWSSNKKTNSDVPEGAIIIREHTAVNNLFSCLWFNEVHLFTPRDQLSFGYVAYRLGESFEFFMFPNCEYNSLFVLHPHTREHSSPIEWVKQLDQLKKNSNLKESRGGLGLFTPYPGDLKSVVLPQITRTSKAG >RHN47431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39695345:39699907:1 gene:gene42030 transcript:rna42030 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:APY1 MEFLITLITTFLLLLMPAITSSQYLGNNLLTNRKIFQKQETLTSYAVIFDAGSTGTRVHVYHFDQNLDLLHIGNDIEFVDKIKPGLSAYADNPEQAAKSLLPLLEEAEDVIPEDMHPKTPLRLGATAGLRLLNGDAAEKILQATRNMFSNRSTLNVQSDAVSIIDGTQEGSYMWVTVNYILGNLGKSFTKTVGVIDLGGGSVQMTYAVSKKTAKNAPKVADGEDPYIKKLVLKGKQYDLYVHSYLRFGKEATRAQVLNATNGSANPCILPGFNGTFTYSGVEYKAFSPSSGSNFNECKEIILKVLKVNDPCPYSSCTFSGIWNGGGGSGQKKLFVTSAFAYLTEDVGMVEPNKPNSILHPIDFEIEAKRACALNFEDVKSTYPRLTEAKRPYVCMDLLYQHVLLVHGFGLSPRKEITVGEGIQYQNSVVEAAWPLGTAVEAISTLPKFKRLMYFI >RHN56334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29953024:29955292:1 gene:gene31718 transcript:rna31718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MINIEVLSNIGVIYYAFLSGLEMNLNTILHVKKKSATIAIFGIIFPMVMGPALYLLHRNFYGKGDGSELEENTTNACVIWTLVLTVTGFPVVAHTLSELKLLYTGLGKVALTTAMISDTYAWILFILFVPFSVNGTSAIYPVLSTVIFVFICIFVVHPIIAKVINRKTERDEWDGNQLVFVVMGLFVFSYITDILGTHDVVGAFVYGLILPHGKFADMVTSMTNDFGGGFLAPIYFIGSGLKLMFVPVFYQPSWPFTLIIILLMCVLKILSTLFSTFLFGMRTRDGFALGLLLNTKGVVALIMLNISWDRMIITPPTYVVLTSAVILMTIVVAPIINVIYKPKKRYEQNKLKTIQKLRLDAELRILACVHNTRQAVGVISLIESFNATRLSPMHIFALYLVELVGRDGALVASHMEKPSGQSGTQNLTRSQIELESIDNKFEALREAYDAIRVETLNVVSEYATIHEDIYNLVNEKHTSLILLPFHKQLSPEGAFETTDVAFKDINLNVMQSAPCSVGLFVDRNLGSLPKMNFRICMIFVGGPDDREALSVAWRMTGHLGTQLLVVRMLLFGKAAIVDTTSHDKARGILSIVMDSEKQKELDDEYVNGFRLTTMNNNDSISYSEVDVHSAEDIPTSLNEIEKIGCDLYIVGQGNRRNTRVFLNLLEWCDCPELGVLGDILASNNFGSGSSVLVVQQYGYGGMVLGKQPNQVNDNNDAFEVL >RHN64424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58928704:58931704:-1 gene:gene27240 transcript:rna27240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MVAETEFICQQSISMLNVKYHLCVTQQHNVKVDVSPTSPPTSSLPIFAHAVSCPEIIKDSILETPAKMFLPNVHSGSYTDIGPRGSMDDEHIQIDDLACHLGFVSNYPMPSYLPERRRVEELGGFIDDGYLNGYLSVTRALGDWDLKFPLGAASPLIAEPDVQLVTLTEEDEFLIIACDGIWDVMSSQVAVSFVRRGLRRHSDPQQSARDLVKEALRLNTSDNLTAIVICLSPVEKFAESCPPQRRRFKACSISEEARNRLKSLIEGN >RHN80400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37710906:37711531:-1 gene:gene4325 transcript:rna4325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MVGGLVNKAKLTAPLTCPLCSNIFREPTTIPECLHTFCRECIERKFIDERLNHCPVCKVDLGYYPLDKLKYSP >RHN42576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39469176:39470424:-1 gene:gene49032 transcript:rna49032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative X8 domain-containing protein MASPKLHSVMIMLTIFIAMILMNVMIVESKTWCQVRSSATGPALQNALNYACSNGADCGPIQPGGSCFNPNTLQSHASYAFDSFYRNKGQNPSACNFGGLATIAVTDPSYGQCRYP >RHN45228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13297924:13312494:1 gene:gene39462 transcript:rna39462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin synthase MWRLKIADGGNDPYIFSTNNFVGRQIWEYDPKAGTLEERAQVEEARQNFYNNRFKVKLCSDLLWRFQVLRENKFKQVTGGVKIEDGEEITYEKATTTLRRGAHHLAALQTSDGHWPAQIAGPLFFIPPLVFCVYITGHLDLVFPQEHCKEILHYIYCHQNEDGGWGLHIEGHSTMFCTALNYICLRILGEGPDGGQDNACARARNWIRDHGGVTHIPSWGKTWLSILGVFDWSGCNPMPPEFWILPSFLPMHPGKMWCYCRLTYMPMSYLYGKRFVGRITPLILQLREEIHNQPYEKVDWFKSRHQCAKEDLYYPHPLIQDLIWDSFYIFTEPLLTRWPFNKLIREKALQVRMKHIHYEDENSRYITMGAVEKALCMLACWVEDPNGDAFKKHLARVPDYLWVSEDGMTMQSFGSQEWDAGFAVQALLATNLIEEIGPALARGHDFIKKSQVRDNPSGDFKSMHRHISKGAWTFSDQDHGWQVSDCTADGLKCCLLLSMLPPEIVGENMEPERLYDSVNLLLSLQGKKGGMPSWERARAQEWLEVLNPTEFFADIVIEYEYVECTGPAIQALVMFNKLYPEHRKNEIENFIDNAVRFIEDTQKDDGSWYGSWGICFIYGTYFALGGLAAAGKTYSNSVVIRKAVQFLLSTQREDGGWGESYLSCPKKKYIPLEGSQQSNVVQTAWGLMSLIYAGQAERDLTPLHRSAKFLINSQLKEGDWPQQGITGVFFKNCMLHYTMYRDIFPLWALAEYRRYVPLSSTGVKLEKKM >RHN54227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7937712:7940629:-1 gene:gene29227 transcript:rna29227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pantetheine-phosphate adenylyltransferase MLAKKQFAELIEPIETRIHNVKTFIKSVKPELEVQAVPITDPYGPSIIDEKLEAVVVSKETLPGGLAVNRKRAERGLSQLKIEVVDLVSGESGEIKLSSSMLRKLEAEKAQKQSTIP >RHN81524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46720430:46723263:1 gene:gene5583 transcript:rna5583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-alpha MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKGRYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFAPTGLTTEVKSVEMHHEALTEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKEIEKEPKFLKNGDAGIIKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKAVEKKDPSGGLKQTKSALKKK >RHN46363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31009119:31010749:1 gene:gene40845 transcript:rna40845 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGKRNKVVYAEAGKDFVDALFSFLTLPLGTIARLAAKQSNIGAVTVGSLSSLHQSVADLDEQYLWTHACKEMLLKPRNSMEVYSQNMKLNIDDTEPMNYFLCQNRSCYCSSISGFLSTFRNQNCSCGRVMDRVVIPGSGLIQENGYVKETATFIICDDLYVMPNVIRTSVLDLLKLSILSNTPSTDFIFGNNNQFLHSNLRHQSEFVIGDVSPYEFRQMSVKVLVRRSTREVLYAEAEEDFADFLLSFLTFPLGGVLHMLQGFSSISCIDNLYTSMTALSSDRYLMSQDLKNKLVKPLCAAQFELRNQILPISAVSLPLYYCHTYQLNGVHGLRGPVPGTLTSTPKYMNDCITQQNTQLSFVDPKFCMSKSSNSGEYARGPSMFMVTDDLAVTPMSSVTALSYLISSNVPLSDLEEMVIRIGVTEGLSILKASLISTNGLSQIIRTNLVEL >RHN56248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29241790:29261512:1 gene:gene31618 transcript:rna31618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MARQKIKIKKIDNATARQVTFSKRRRGIFKKAEELSILCDAEVGLVIFSTTGKLYEYASSNMKDIITRYGQQSHHITKLDKPLQVQVEKNMPAELNKEVADRTQQLRGMKSEDFEGLNLEGLQQLEKSLESGLKRVIEMKEKKILNEIKALRMKEIMLEEENKHLKQKMAMLSMGKSPIFGDSDITMQENVSAESMNNVSSCNSGPSLEDDSSDTSLKLGLPFPN >RHN39010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3527673:3542418:1 gene:gene44995 transcript:rna44995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar sorting protein 39/Transforming growth factor beta receptor-associated domain 1 MAKPESTTTTRTVIEPHSQFDLSTHSRTTTVRSIAITTISNRTILFIGTHSGTIFSLSPNQNDTNNPQNDTVLPNLSFIRSVSVTHTSIDTVLVLSDLGKVLILSDGSLFLVDSDLSNGAVKLGFSKGISVVTRRKMRNNESENLGLGLDTNNKKFLQKLGGLNLNSNLQSEGFSGCVLAISIGRRLMIVEIVLKDVNNASLVVLKEIQCVDGDISTMVWIDDSIIVGTANGYSLISCVSGLSSVIFSLPDVSRPPRLKLLYRDWRVLLLVDNVGIIVDEKGQPVGGSLVFRRGLDSVGELSFYVVVVSDGKVELYNKKNGGCVQVLAFGGEGIGPCVVASEEDKGGKLVAVATATKVVCYRKLPSVDQIKDLLRKKNYKGAISLVEELEYEGEMSNELLSFVHAQVGFLLLFDLHFEEAVNHFLLSETMQPSEIFPFIMRDPNRWSLLVPRNRYWGLHPPPAPLEDVVDDGLMTIQRASFLRKAGVETIVDNDHFLNPPNRADLLESAIKNISRYLEACREKKLTQSVSEGVDTLLMYLYRALNRVEDMERLASSTNWCVVEELEHMLEESGHLRTLAFLYASKGMSSKAVSIWRILARNYSSSLRKDPALETIIQDSGENLISGKAIAAAEASKILELSSDQDLILQHLGWIADISQVLAVEVLTSDKREIQLSPDEVVTSIDPKKVEILQRYLQWLIEDQDCFDTQLHTLYALSLAKSAIEASEFENISESLASGNTERNNMTTLRNSIFQTPVRERLQFFLQSSDLYDPEEVLDLIEGSELWLEKAILYRRLGQETLVLQILALKLEDSEAAEQYCAEIGRSDAYMQLLEMYLDPQDGKEPMFTAAVRLLHNHGESLDPLQVLEKLSPDMPLQLASETLLRMFRARVHHHRQGQIVHNLSRAVDIDARLSRLDERSRHMQINDESLCDSCNARLGTKLFAMYPDDTVVCYKCYRRQGESVSVSGRNFKEDIIIKPGWLVSR >RHN73023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12820071:12823110:1 gene:gene8796 transcript:rna8796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S6 MEALFKGSSYLLPSSKPPKTITMLASNPLRFNFPNHHLSSSSSFSRQFSLQNNPRKSFSLIMNARKKDKKEDTHSFVPKSYEATGFFPESVLLKKKTVEEDGKLLPEFEDDDERKLYESLKLDVETDMDVELLRHYEIVYLIHEKHAEEVVAVNEKVQDFLREKKGRVWRFSDWGMRKLAYKIQKANNAHYILMNFEMDAKYINELKTLLDRDERVIRHLVIKRDEAITEDCPPPPEFNTFVGNTDDEEESDDEGEWDDEDEEDGSDYDDIEGGDGIFIIDDDDLEISEGQKIA >RHN64150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56942720:56948964:-1 gene:gene26936 transcript:rna26936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primary amine oxidase MAFSTTMKLVLFSALTLLSFQSVFSVTPLHFQHPLDPLTKEEFLTVQTLVHNKYPTSKNTVSFHYIGLDDPEKDAILKWETLKPSVITIPRKAFIIAIINGQNHEILIDLRLKRIVYDNVYKGNGFPTLTVDEQAIAIELPKKYPPFIASVQKRGLNLSEVVCSSFTMGWFGEKENRRTVRVDCFMKESTVNIYVRPITGITMVADLSLMKIVEYHDRDVEAVPTAENTDYRVSKQSPPFGPKQHSLASYQPQGPGFQINGHSVSWANWKFHIGFDVRAGIVISLASIYDLEKHKSRRVLYKGYISELFVPYQDPTEEFYFKTFFDSGEFGFGLSTVSLIPNRDCPPHAQFIDTYIHSADGTPSLLKNAICVFEQYGNIMWRHTETGIPNEFVEESRTEVNLIVRTVVTVGNYDNVLDWEFKASGSIKPAIALSGILEIKGTNIKHKDEIKEDLHGKLVSANSIGIYHDHFYMYYLDFDIDGVQNSFEKTSLKTVKITDGSSKRKSYWTTETQIAKTESDAKITLGLAPGELAIVNPNKKTIVGNDVGYRLIPAIPAHPLLTEDDYPQIRGAFTNYNVWVTPYNRTEKWAGGLYVDHSRGEDTLAVWTKKNRDIVNKDIVMWHVVGIHHVPAQEDFPIMPLLSTSFELRPTNFFERNPVLKTLSPRDVAWPGCSK >RHN52890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39989650:39991146:-1 gene:gene37622 transcript:rna37622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase MSEALLKSGRPIFFSVCEWGKEDPATWAKSVGNSWRTTGDIKDNWHRMTSIIDVNDKWASYAGPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALAKAPLLVGCNIQAMDNITYELISNSEVIAVNQDKLGVQGKKVKSNNDLEVWAGPLTNNKVAVILWNRSSSNATVTASWLDIGLKSETIVDARDLWEHSTKSLVLGKISVELDSHACKMYVLTPKRS >RHN79880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33137729:33145512:1 gene:gene3731 transcript:rna3731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MMKRQRENEEKESINLATTLMLLSSRITQQNKTYSPVEFECKTCNRKFSSFQALGGHRASHKKLKLLDSEEAHKVNIHNNKPKMHQCSICGQEFKLGQALGGHMRRHRINNEGFSSSSINYQVIAKSSPVLKRSNSKRVMIMELDLNLTPLENDLKLLFGNKAPKVDNSLF >RHN78462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15377289:15378234:1 gene:gene2030 transcript:rna2030 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEKLKNIKGCLKLWHQQHFQNFDGNISEVKDRISTLDTRGEDFDLMAKELKDLYSLTSNLFTLCKLNSSKLW >RHN51989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29686257:29687477:-1 gene:gene36585 transcript:rna36585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTFLLIKLLKRFCLLHSNDNNMAKIFMFVYVLIIFLSLFMVEANIPGARCATDEDCPVGEKCIGGNCVE >RHN52154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32087091:32087622:1 gene:gene36783 transcript:rna36783 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQSNTGIDMGDLGAFLSTRNDDNNVRHSSTSNHESVMKLTVKTLKGSHFEIRVHPSDYVCCTSFFSIFFLSNSIKIR >RHN40107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13341169:13348049:-1 gene:gene46210 transcript:rna46210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polynucleotide adenylyltransferase MNGGGGSNFPPPQPNGGDFLLSLLQKPRPNPHPSQSSTTQQSPIIDPAVAMMGPTIPTNGHDHPNHHPHHNHHQHHQHLPPWSHTPSPHVFGLTHNPFPLQRVPETHYSNFTNANGASLTEDLRRLGFPIQSNNNNGFIQQQQHQHQQQELKLQFGSLPTVSYAAATSHDVSTNGFVDRNKIEKRGVIGDFRLTEQIRVPPPPGFGNNKGDGELGGGRNVRLGIGDRGNVGHELRLPDQLDHPGPPSGSNLRSGYDDVDAVGERLADSLLLEDEIDEKSGNSMKRRGPKEKDGRSSDSRGNQLLSQRARTFKRQMMCRRDIDSFSVPFLSIYESLIPPEEEKLKQNQLLGLLEKLVCKEWPMARLYLYGSCASSFGVSKSDIDVCLAIQDADVDKSKIIMKLADILQSDNLQNVQALTRARVPIVKLMDPVTGISCDICINNLLAVVNTKLLRDYANIDPRLRQLAFIIKHWAKSRGVNETYHGTLSSYAYVLMCVHFLQQQRPAILPCLQGMNPTYSVRVDNVDCAFFDQVEKLGHFGRHNKDTIAHLVWGFFFYWAYCHDYANSVISVRTGSTISKRDKDWTRRIGNDRHLICIEDPFETSHDLGRVVDKRSIKVLREEFERAADIMQYDPNPCIKLFEPYVCSSD >RHN50430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7082853:7085211:-1 gene:gene34712 transcript:rna34712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c-type biogenesis protein CcmF MVQLHNFFFFITSMVVPRGTAAPLLLKWFVSRDVPTGAPFSNGTIIPILIPSFPLLVYLHSRKFIRSMDGAKSGVLVRASRPILLPDIIGRSSSETRAGNASFRFVPVLHFLLIESKGDFSYLESFCGVLCLLFFRTLFSLPRDRSAKRERARRRIHQRLRPNPNGNEQQRNDKMGCSGHPHLERRVEGFGPVAFPVPPSSGGACVGGVPPEPEIGLEALALPTSRQLMAVGHDYHQKAPMKMNISHFGVCICMLGVLLSTNTKKIQFTQRLPLGSELHMGKERCCFRGLDHLHGPTSHSICGNLMIYKPSLTNDRLMFEHDESLRADLLPINFPASYENGKLDNFLHRWMKNSENNNLWLTMFPEKRYFRETTSTTEVAIHTNPFTDRYASIGTGSSRTGGWYTTIIKLPFIFFIRIGFMLASSGGSRSLLRQLQKDKLRWNRESSVEFLIALKKSKESSTLSINRG >RHN82699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55775114:55776580:-1 gene:gene6880 transcript:rna6880 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTLETEAEVKVPVIYYLSRNGQLEHPHLMYVSISSSHGTLCLQDVINRLSFLRGQGIANMYSWSTKRSYRNGFVWQDLSENDLIYPSSSHEYVLKGTLLIEEPSSFRSYETILSMPSSKSSNETNNSSSMDADSPSSTAKGSRRDYKLYKATTYREFAEKATNASTQTEEKGRQRMDIETCEGFGGNAVRKIDKNGGSMSYSRSSFGSLEGCLESADIRNQKIENERPSGRMRATQVLMQLVSCRSPREEL >RHN71370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58560038:58562621:1 gene:gene20046 transcript:rna20046 gene_biotype:protein_coding transcript_biotype:protein_coding MELPEKLLFAIFLILSVAIDGTKADTMLTGTVICDQCKDGQRSLYDYPVNGAKVTLSCSDSNGQVTMSREETTNWFGSYTMRFDGAPDLGGCSAHVSGSNNRQGGPMSCGEGAGPAQNPRLMFRMFDMEMYAVDPLLAQPSQPMQYCSTSSNPSPPPPISSPPPHFNLPPMIFPEASACPSQKWTMPEYECYWRGVNRDTKVGVAFGMVAARRYGTDMTLWYGLKGRGDPYRTLLREGITALLNSYNSIQFSYHPLGVITHMNYALMGSTRDVLVTAYHFMRANSGAGNVSCKFTSCN >RHN58392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1377366:1377836:-1 gene:gene20299 transcript:rna20299 gene_biotype:protein_coding transcript_biotype:protein_coding MKVILSVLFILMISSAQALNHHDGFAESRNDHKHELSHTIRKGGGGFGGGGFGGSGRGGGGFGGAGKGGGFGGGGGKGGGAKGGSGGRKGGGGGRKGGVGFGGAVAGGIIGGVIGGTLANGGHNGGHNGTHNSASTLSGGPHICVSTLILCMSFWL >RHN60923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31609439:31614513:1 gene:gene23319 transcript:rna23319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin target of PRMT1 protein MSAALDMTLDDIIKNNKKSGSGNPRGGRSRPGPASGPGPARRILNRAGNRAAPYSAAKAPETTWQHDLYADQHVAAAAYPAAQGGRAPSIETGTKLYISNLDYGVSNDDIKELFSEVGDLKRHGVHYDRSGRSKGTAEVVFSRRQDAVAAVKRYNNVQLDGKPMKIEIVGTNISTPGAAPVVNAPIGNFNGIPQSGQGRVGEFRGPGGRGQGIRRNRGRGRGSGGPRGGGRGGGRGRGRDDKVSAEDLDAELEKYHAEAMQLN >RHN59365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9875257:9881447:-1 gene:gene21391 transcript:rna21391 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLIHTHYKLHTSTFERAYPRSHGLLKSGKPFQSRRFNFPSIRLNQSFICCTKLTPWEPSPGVAYAPTDNQSDNFLQSTASVFETLESSKVDESPTANVEGLVEEKDRPGPELQLFKWPMWLLGPSILLATGMVPTLWLPISSIFLGPNIASLLSLIGLDCIFNLGATLFLLMADSCSRPKNPTQEIKSKAPFSYQFWNIVATLTGFIVPSLLMFGSQKGFLQPQLPFISSAVLLGPYLLLLSVQILTELLTWYWQSPVWLVTPIIYEAYRILQLMRGLKLGAELTAPAWMMHTIRGLVCWWVLILGLQLMRVAWFAGLSARARKDQSSSSEASTAND >RHN44163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2112746:2113281:-1 gene:gene38250 transcript:rna38250 gene_biotype:protein_coding transcript_biotype:protein_coding MLADFFVCVVEKEADSINDYSFVRTKSQNFFGAMPQWAKPGHFSRTIAKRPHTTT >RHN49121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52600297:52601122:-1 gene:gene43915 transcript:rna43915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKSCENLENELPDHIISYIFSKLALKDLVKTSALSKQWIHDWGLRMDLNFDHHTMFDYNTIQDLPKSLPLFQSEFATRLDQFMLHCKGAMIVPSE >RHN82796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56474502:56476431:1 gene:gene6984 transcript:rna6984 gene_biotype:protein_coding transcript_biotype:protein_coding MRLETQHTVADIPPQIPLYSLGYISEFIAHGTGPIPGEKKAIYSIKPKTASHPVAFDAAAEV >RHN58492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2227184:2229607:-1 gene:gene20411 transcript:rna20411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGIRKPKSLFNHLPTELQTKIFGGLSMKDQSKAMCVSHSWRNRILTTTLPKEYPLQPLVFPHLSPHPFYGLQQFFNWCSLVMCCNVSSRNIIDTCNGLFLFCHKDGQARNIVRGVYHYYVMNPIRKQCVAILKPSGQFFGGNSYAALVYDPSESWFFKIVHFQDHGHINIFSSMTGLWTTLTINFLQYINESYWVRKSVYLKGSIYRLSCSGQYLLKIKVDPQENASNQAEIITLHPDCVFDNCQREINLKDGKILLVSFRGVNFVCFELVECVTMGVSSYTWHTIHRKVNRKLLILNTNGDLLSFNSYGGVAFFKFRNLLYFYYYEFNGNGTNFGMVSYDPSVYDYIINCGHLLLKCLAPFACCLDKENPRLIQRLFVPC >RHN43364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45195743:45198103:-1 gene:gene49925 transcript:rna49925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative unspecific monooxygenase MDASNALMILTAIAAYLIWFSFITRSLKGPRVWPLLGSLPGLIHHANRMHDWIADNLRACGGTYQTCICAVPFLARKQCLVTVTCDPKNLEHILKLRFDNYPKGPTWQSVFHDLLGEGIFNSDGDMWLFQRKTAALEFTTRTLRQAMARWVSRAIKYRFCPILATAQEEKNPVDLQDLLLRLTFDNICGLAFGQDPQTLSVGLPENDFALSFDRATEATLHRFILPEIVWKLKKMFRLGMEVSLSRSLKHIHKYLSNIIQHRKFELESGSGALNDDLLSRFMKKKEKYSEEFLQHVALNFILAGRDTSSVALSWFFWLCMKNPEVEEKILIELCTVLMETRGGDLSKWTDEPLAFEEVDRLVYLKAALSETLRLYPSVPQDSKHVVNDDVLPNGTFVPAGSMVTYSIYSVGRMKFIWGEDCLEFKPERWFSTDGEKMQSSYKFVSFNAGPRICLGKDLAYLQMKSIAAAVLLRHRLEVVPGHCVEQKMSLTLFMKYGLKVNVHPRDLTPVLEKITSK >RHN53434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1644701:1647441:1 gene:gene28338 transcript:rna28338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MASGSLSSPAIKTQSRFPLQEHLQRKSSKENLDRFIPNRSAMDFDYAHYMVTEGAKGKENPEVCSPSREAYRKLLAESLNMNRTRILAFKNKPPTPVDSIPHELTSSSLQEDKTIKPRRIIPQTSERTLDAPDLVDDYYLNLLDWGSANVLAIALGNTVYLWDASNGSTSELVTVEEEDGPITSVSWAPDGRHIGIGLNNSEVQLWDTASDKQLRTLKGGHRQRVGSLAWNNHILTTGGMDGKIINNDVRIRAHIVETYRGHEQEVCGLKWSASGQQLASGGNDNLLYIWDRGTSTSSSPTQWLHRLEDHTSAVKALAWCPFQGNLLATGGGSGDRTIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFAQNQLTLWKYPSMVKMAELNGHTSRVLYMAQSPDGCTVATAAADETLRFWNAFGTPEVVAKAAPKARAEPFSHVSRIR >RHN63126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48683451:48698339:1 gene:gene25787 transcript:rna25787 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSLTQHKWALPQGEPFQKFSKAKDKPRPKRGFGNSFQPAIDAPRLEPH >RHN59345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9732376:9733122:-1 gene:gene21359 transcript:rna21359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQIGRKKMGETPKLVYVIILFLSIFLCTNSSFSQMINFRGCKRDKDCPQFRGVNIRCRSGFCTPIDS >RHN39044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3697295:3699244:1 gene:gene45035 transcript:rna45035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MAMRVIEKSEVAPPPGLVPSPTILPLTFLDIPWFCCRTIKRIFFYHFPHPTHHFLQTILPILKHSLSITLEHFFPYSSNLIIPSHSENVPPYIRYLNGDSLSFTIAESLANFNLLISDSSQDVKNLHPFFPNLPSPHTEHNDTRVVPLMAIQVTILPNSGFAICLTFNHIAGDGKSLHQFMKLWSYVSKTKTINNRLSLEHSLPLDLLPSHERDRVRDPKNLKLTYLQELKDVISKSTGHVQDSNNYVSKVRITLVLSHEQVLKLKKWVADHSCKETSLQKHMLSTFVVTCSLIWFCLIKSEKQRKGCCVFVDGDDLCYLVFHADCRDSPEISLPKNYFGNCLASKIVVVKRAELVGTNGIVAAANGIERKIRDFKSDNALLGPKWWTSDHSELSKPGKSVVGIAGSPKFDVYETDFGWGKPKKSDAVHLDSSSSISISLSDCRDGGGGIQVGLALEEIQMTNFSKIFQQQVDQICL >RHN52598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36998834:36999382:1 gene:gene37292 transcript:rna37292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative caffeate O-methyltransferase MASLLNSKNLNCENESIEKREDQETIEDDGDDDESFMYAMQIAISIALPMALQCAIDLGVFEVLQKAGKGAQLSADDIVSRLSNINNPKAFKMLDRILALLASHSLLNCSIIPHEQNHINSFKRYYSMTPVAKFFAPNSDGVSLGPLIALNTDKILLASWLVTTPSAHIYIHTYIYTYIGVC >RHN51912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28315354:28315737:1 gene:gene36479 transcript:rna36479 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVLEPLLCFCVWVLSILICCMYSSELLSSFVCFDLFSNGSVLCVGFTTFGAALKQWHFQELCNGLVCPLPKAQKTVTYSIGNGRIFVKSWPKRLGNGYWATSHDFRSLPKAKNVVVNEDCEVQST >RHN69117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41171233:41173987:-1 gene:gene17546 transcript:rna17546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MRGRSYSPSPPPRYSRRGGGGGGRSPSPRRRYAPRQSDLPTSLLVRNLRHDCRPEDLRRPFGHFGPLKDIYLPKDYYTGQPRGFGFIQFVDPADAADAKYHMDGQVLLGREITVVFAEENRKKPTEMRVRERSSGRHSDRRRSPPRYSRSPRYSRSPPRHRSRSRGSRDYHSPPPKRREYSRSVSPEDRRHSREGSQHSRERSYSRSPPKNGDARSRSQSPVKGSVESRSPSPSRDVRAASRSRSPSQ >RHN67984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31939202:31940035:-1 gene:gene16256 transcript:rna16256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MESNSYPLNIWECAQCLSRQSHILCNVTEITLSNVSKMKSLFNLSTAPRMLLETLNIWNCNEWRHIITIDTGDHHDNPDGNNWGTVFPKLRKLVVYNCAQLEYIIGHYNDGHQNNTKIHLHLPALEDLYLENLPSLVANYPKQYHTTFPQLKKLVVEECPQFIGDFLTHHSVTRSVDDTIIKVFILISIYLCCL >RHN73150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13831390:13836308:-1 gene:gene8936 transcript:rna8936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MVSIPSLVNFISRTMSSNKDKSYGKDDDARKVVEAMAKEAKKNEMLLSSSGIVKSDKSNNFSCVFTKKGQKGFNQDCLIVWEEFGCQEDIILCGVFDGHGPWGHFVSKRVRKMLPKFLLFNWQENLAEKSLDLSFKMETDMNLHEYDIWKQSYIKTFAAIDQDLKRHTGIDSFQSGTTALTVIKQGEYLIIANVGDSRAVLATTSENGTLTPIQLTTDLKPNLPNEAERIMESNGRVFCMEDEPGVYRVWMPNGKSPGLAISRAFGDYCVKDFGLISVPDVTQRKLTTMDQFVILATDGVWDVISNQVAVKIVASTPNREKAADRLVKCARHEWISKRKGIAIDDISVICLFFHSLPSHQVP >RHN45710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24817334:24817864:1 gene:gene40097 transcript:rna40097 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRGSIHISMFSNSNLLVGLYRPRRRLRRRRGSSVRLGNKQRRCFCLGSRRVVQWGVIVPFRMLKKIIMEIVPKGNWIEAYCWSLPLLRPQLFPLC >RHN81021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42671618:42674553:1 gene:gene5011 transcript:rna5011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-carotene isomerase MKAVGIVVGGVPPLCSNGIRKRQFCVSASSSSGPKTVGVAAAPKSEYKPGVIDDLFLNLFRTKLVQEVGWESKKPGYDGLIEVANRLMMKGTTNSDTIEATVRILRSLFPPFLLELYKMLIAPIGGGKVAAIMVARVTALTCQWLMGPCKVNSVELPNGTSWNSGVHVERCKYLEESKCVGICLNTCKFPTQTFFKDHMGVPLLMKPNFADYSCQFKFGVLPPLPEDDTVLKEPCLEACPNASLRRMASRNKGVTACPKT >RHN52668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37543235:37543813:1 gene:gene37363 transcript:rna37363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MVDEAMNLFEEMHFKQIYPDMVIYNSLIDGLCKSGRTPYALKFIGEMHYRGQPPDIFTYNSLLDALCKNYHVDKAIELLTKLKDQGIQPSVCTYNILINGLCKSGRLKDAEKVFEDLLVKGYNTDVYTYNAMIKGFCKKGLFDETLAMVSKMKDSGCSPDAKNCEIIIRSLFDKGENDKAGKFREMIVRGLL >RHN56140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28104180:28105183:1 gene:gene31493 transcript:rna31493 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIFRAAHEVSGETSSSPTEVRWVPAAVGRPVRRHHRAGTLIF >RHN46730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34400377:34405338:-1 gene:gene41252 transcript:rna41252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane transport protein MMATMGRFLMALETEGPGGQSLLGSIKIAVLPIVKVFTMCALGLLMASKYVNILPASGRRLLNGLVFSLLLPCLIFSQLGQAVTLQKMLDWWFIPMNVVLSSIVGSIIGFIVASIVRPPYPFFKFTIIHIGIGNIGNVPLVLIGALCRDQNNPFGDSLKCSTDGTAYISFGQWVGAIILYTYVFNMLAPPPEGTFDIDNERLPIKSTPVKTDVAPEQTPLLAQEEGDTEGDNLVSSSASGKSKIKVILALVYDKLKLKQILQPPIIASILAMTLGAVPFLKKLIFTPEAPLFFFTDSCMILGEAMIPCILLALGGNLIDGPGSSKLGFKTTAAIVFARLVLVPPVGLGIVMLADKLGFLPPDDKMFRFVLLLQHSMPTSVLSGAVANLRGCGREAAAVLFWVHIFAVISMAVWIVLYLSILF >RHN55883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25113590:25114336:-1 gene:gene31162 transcript:rna31162 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHTTEPMSLTPNRHFHKFTLPKWETQHNLTCTNISIIDTAGASTSADRRSSREDDDDEGVANVREKLMHEATRMKSPNLRKESDDDAETLKPWFVRRGRQKRVMKAPITASQVRNDGVVARDDDLVSSRLRSIVDSNKIERPKFCIPISRKEKEEDFLTFLGRAPRQRPIKRPKKVQKQINVFPGLWLREVTAEMYEVHDTNQNGRFGKRKMRGKGF >RHN41632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31646021:31648535:1 gene:gene47974 transcript:rna47974 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVAVRSTTTNSLSDEWEISFARFIPFPHSSITSSSSSDLHPLPVRLRNRPPRGTWISSSTSAFLRFSSDLNFSDVVLTVAFNAKLLEEHYVSKLNFSWPQVSCDPGFPARGIRTVLVSYRDSRGQIQKFAMRFPSIYETQSFISALKEILKDDKEPEPLNIDFGSEISSQSEFMSTNKHSYSFSVPDPTLQKPSEELSFMIPAASRPSQELSFMTPADTYIPQMPICMTNEGVQPSGLGSQNKETAPVHNFENILPALPPSFASFLMDCSGLNHGW >RHN66678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16227824:16228688:-1 gene:gene14721 transcript:rna14721 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIDNGAEFRHDVNQSTFISGEPVNRSFPWGVLVSPFIFIAGLTIALSFIWMIFAIIDRWLSPPKLPRSVVAPLPTIELVNVAVPEPVPELAP >RHN65784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5310452:5316686:-1 gene:gene13673 transcript:rna13673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEGIKVEKRLSLSTSVKVLFEKIVSSDFADKFRGTKLDVSLLINLKTRLLRLLIALNDAEEKPITNPTVKEWFYMLRYVVFEVANFIDEINQVLNDSSSHFKRFDVLINSIMIKLLERLEFLSSRGEQKGVSNSFWNGSPPTNSVVVNESSIYGRDSDVEKLKNLLLLEDATDGDSSKTGIISIVGMGGIGKTTLAKLLYNDRKVEEKFRVRGWAHISKDFVISRVLETILESITSQTEISDGNLNSQLLQCLNEKRKDETSDVYSNLLVLTVQQILRTHVFLLVLDDVWDAKSVNWIYLMDILNVGETESRIIITTRDERVALSMQTFLSVHYLRPLESNDCWSLLARHAFGAWNNHQRSDLEEIGKEIAKKCDGLPLAAIALGAFLRINFSPDYWFNVLKSDILELMDYDVKHALQLSYHYLPAPLKQCFGYCSIFPKKSILEKNVVVQLWIAVGLVDTSADQEKVKEEYFDELVSRSLIHRRSIGDEEANFEMHSLIHDLATTVSSPYSIRLDEHNPSEMVRNLSYNRGLFDSFNKFDKLYGVKDLRTFLALPLQQKLPFCLLSNKVVHDLLPTMKELRVLSLSNYKSITEVPNSIGNLSDLRYLNLSHTKIERLPSETCKLYNLQFLLLSGCKRLTELPEDMGKLVHLCHLDVSDTTLREMPAQIAKLENLQTLSDFVVSKHDGGLKVAELGNFPHLQGKLSISELQNVNDPFEAFQANMKMKERIDELALEWDCGSTFTDSQIQIQSVVLEDLRPSTNLKSLTIKGYGGICFPNWLSDTLFINLVYLKISNCDDCLWLPPLGQLGNLKELIIEGMHSVQIIGPEFYASDCSPSFQPFPSLETLHFENMQEWEEWNLIGGTTNEFPSLKTLLLSKCPKLRVGIIPDKFPSITELELTEYPLMLQPLPSFDHGFRKLMVPLNSLRQLTIEGFPSPMSFPIDGLAKTLKFLIISNCEYLEFLPHEHLDNYTSLEELKISYSCDSMISFSLGALPVLKSLFIEGCKNLKSILIAEDASEKSLSFLRSIKIWDCNELESFPPGGLATPNLIYFAVWKCEKLHSLPEAMNTLAGLQELEIDNLPSLQSFVIDDLPISLQELTVGSVGGILWNTEPTWEHLTCLSVLRINGNDMVNSLMVPLLPASLVTLCVCGLSDTNFDGKWLQHLTSLQNLEIVNAPKLKSLPKKGLPSSLSVLNMTGCPLLKASLRRKRGKEWSKIAHIPAIIIDHELIT >RHN45616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23774758:23784296:1 gene:gene39994 transcript:rna39994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative initiation factor eIF-4 gamma, MA3 MSYNQSKSDKSDATYRRTTGRSASFNQHRGNTGGASYVKAGTGAAAPSLSSSRSFNKKPNNHAQGGPSRVNPTQANSAESNYASAVRATPNGSHVQPQFHGGSGASVTNATAKPSESSAAQRSTVVPKAPISQSPSVSSDSVAPTTPVKGDASKAFPFQFGSISPGTVNVMTIPARTSSAPPNIDEQKRDQARHDSSRPVLPVPIPPVPKQLPVNKGAGVTGQSKGGEAHTGTRAKQDTQVSSLPPASLMHKPSVIPHPGISMSMPYHQSQAPVHFGAANPQIQSHALPMPFPIGNAPQVQQQVFVHPMHPQGMMHQGQNIGYGPQIGHQLPHQFGNMGMGINPQYSPQQGGKFAVPRKTTPVKITHPDTHEELRLDKRADDGGSSGARSHSGMPSQSPSVQPFAASHAHITPNIQPPRINYAVSHGSQNVGFTNSSSHTSQPDNKTVTSIPGNVVPRNLEFSRDAPKAISPTLIGVSSVSIKPSGASDKVDSSFSNSNISGAQKGGSPSSSVISSGAHPLVPHKGPVICSEISSPQSNAASASTEKITSASLLPSSTAFSEHSVSVVSNNEGRNKESLSRSNSLKGNQKKLQKKGQLQHQVAVQSSTVANEPSLPVDGGISDCVVSEVVGNKTTYSAAIAKEDLLTTVASAFSATSESMSSVEEKTNGSTQISACASAEGPVTQAVDSLNNHKIDELDELSQEDKLLRQNELVGDKTEISTVQSDDTADFNPLKKGASELSTGVIPLRTGLQGQDEIESASCNTDCDRMADNLGISTSVLDSKNVSLSRNDSVVSNEDTSTNSGTSDHQSSGYLETTSKQCKDSSEDSGTGSESLPAASVTVDRPILEPSKVKGTSKGKKKRKEILQKADASGSTSDLYNAYKGPEENKEAVATSESAANVSTSENLKQLLMDAAQPATVANEQSRQSKAELEDWEDAADISTPKLEVSDKPQLDSNGSAITDKKYSRDFLLKFAEQCSDLPVGFEITADIAEALMSSNIGSHVLERTHSSTGRNTDRSGGVTRMDRRGSGVIKDDKWNKVSGAFRSDLRLDVIGGNTGFRPGQGGNSGVLGNPHTPTALPYGGAILSGPMQSMVNQSGVQRNSPDSERWQRAANFQQRGLIPSPSQSPLVTMHKAEKKYEVGKVTDEEQAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNAVTLTGVISQIFEKALMEPTFCEMYANFCFHLAVALPDLSQDNEKITFKRLLLNKCQEEFERGEREQEEANKADEGEVKQSDEEREEKRTKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQCQNPDEEDIEALCKLMSTIGEMIDHPKAKEHMDVYFERIKLLSNNMNLSSRLRFMLKDTIDLRKNRWQQRRKVEGPKKIEEVHRDASQERQSQAGRTGRGMGINAARRVPMDFGSRGSSMLSSPNAQMGGLPTQVRGYGSQDARGYERQSYEARTLSIPLPQRPLGDDSITLVPQGGLARGMSIRGPPAVSSFAGPNGYSTSSDRTSFNPREDLTSRYVPNRFSSPNQTSAHEHNMNYGNRDLRNADRLLDRPVVISPPARAQETTVSQNTSSEKGMSEEQLQNMSMAAIREYYSARDVNEVVLCIKDLNSTSFHPSMVSVWVTDSFERKDTERDLLAKLLIDLVKSHGGTLSQAQLIKGFESVLSNLEDVVTDAPKAPEFLGRIFAKSITEHVVSLKDIGRLIHNGGEEPGSLLQIGLAADILGSTLEVIQTDKGDAFLHEIQTNSNLQLQSFRPPEPIKSRKLEKFI >RHN75330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41332706:41335697:-1 gene:gene11513 transcript:rna11513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MSCFNQKNQYNILTLFFILTLWTSLVISSRLLEKHEQWMEEHGKFYKDAAEKEQRFQIFKENLEFIESFNAAGDNGFNLSINQFGDQTNDEFKANYLNGKKKPLIGVGIAAIEEESVFRYENVTEVPATMDWRERGAVTPIKHQHLCGSCWAFATVAAIEGIHQITTGRLVSLSEQELVDCVKTNTTDGCNGGYVEDACDFIVKKGGITSETNYPYTRVDGKCNVRKGTYNVAKIKGYEHVPANNEKALLKAVANQPIAVYIAATKRAFQFYSSGILKGKCGIDLDHTVTIVGYGTSDDGVKYWLVKNSWGTKWGEKGYIKIKRDVHAKEGSCGIAMVPTYPIV >RHN50123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4253698:4257135:1 gene:gene34378 transcript:rna34378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MWNFASNCIAGNVGLKKDSSNPTHSASECSDDETSVVGREEGLECPVCWESFNIVENVPYVLWCGHTLCKNCILGLQWATVKFPTLPVQLPLFISCPWCNLLSFRLVYRGNLRFPRKNYFLLWMVEGMNGDRVKSHSTCCGDNQQQCASKGNLTLGGQVNHGNLQGGQVHPPDTSNSSQPRANTRNHLDMERVHISLRKSLIFFVQLTAKFPLIIIFLLIILYAVPASAAILALYILVTILFALPSFLILYFSYPSLDWLVREIIA >RHN47016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36493746:36494363:-1 gene:gene41561 transcript:rna41561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MLTSCRVVCCKECVAQRLKTLCHSSKLCCWMLLDEDSLLKAVANQPVSVSIDMRGMFKFYSSGIFTGECRTKPNHAVTIVGYGTSKDGIKYWLVKNSWSKRWGEKGYIRIKRDIDAKEGLCGIAMKPSYPINYQQHRIKLSKYRISTSWLPTLTGPV >RHN78188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12557073:12559542:-1 gene:gene1718 transcript:rna1718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDFKFFDLIVLASFFLFILVALKIGKNLKEKRSAPKLPPGPWKLPIIGSIHHLVTSTPHRKLRDLAKIYGPLMHLQLGEISAIVVSSPEYAREVLKTHDVIFASRPKLLTIEILSYDYTDIAFSPYGNYWRQLRKICTMELFTQKRVSSFQPIREEELNNLVKKIDSQQGSPVNITQLVVSSTFSIITKAAFGSKGKGREEFGSIGDGESIAGGFDIAELFPSAKWLQRVSGLRPKLERLHRQIDQILENIVTEHKEAKSKANESQGGEEEDLVDVLLKFQGGNDSNQDICLTDNNIKSIILNIVGAGGDTSASTIVWAMSELVRDKRVMKKAQDEVRDIFNMKGNVEENCINELTYLKSVVKETLRLHPPGPLLLPRECGQACEIDGYRIPIKSKVIVNAWAIGRDPKYWTEPEKFYPERFIGSSIDYKGNNFEYIPFGAGRRICPGSTFGLINVELALALMLYHFDWKLPNGMKGEDLDMTEQFGATVKRKDDLYLIPTAPLPSVAR >RHN65111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64271107:64273762:-1 gene:gene28011 transcript:rna28011 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSLFGKLNKSDISCMFSSDDIPFRGRHILCYYKLCVVLLHLIFHYI >RHN51043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13330740:13339450:1 gene:gene35413 transcript:rna35413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MLEPNCLKKAVIPSTLIKNPSPGTIQSTRLALHVTQLRHYCYLYIASGSNIYKLQIALQGTSVSKGKDSLLIPLSTEVIDPSRVKRCPHRSEIQSIVLADAESLGYYLLGSVDSYGHLIVSKLDASGRGIDMLTYSALPPDNGIGEGSWAGLSFSPYQISMAAVARGFCKTIDIFDQDMHVRRLRPLWDPTSVSFVQNVVNGDRSSLLAITEGSQLTMWDLRVKENGGCVHRISGTPGDTLYSVCSSSTGNIAVGGVDRTVTIYDPRRWSSLSRWVHCSKFEITGLAFSTVDPDYIYIQGVDYEVFCGQWKERNKLFSFRGDSNWLGFSKCSNKDVLGGWCDSGSIFVVDVA >RHN47913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43516053:43516361:1 gene:gene42567 transcript:rna42567 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIHSRWFELHRIIPLLKIIFFPSHFYISNLKTFNVLHHYPCSLMFNQFLASWPIVKEPTSITGEPSVSDIHVDEAQVS >RHN81030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42750309:42750623:1 gene:gene5024 transcript:rna5024 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLFEVFSSENEEVLLVFIWSIWKSRSATTWEIKRIDQLNLFIAAECLLEELLQACDTCLHWTSSSKIVIRKTHLILELLIALSLATFLISRFIFTSHFNNLM >RHN60625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28966721:28970910:1 gene:gene22969 transcript:rna22969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKILMFVYALIIFISLVITGRSTINVMCYYDHDCPFVLDHIAECKGGVCEYTAFFYE >RHN58122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43891806:43895396:1 gene:gene33731 transcript:rna33731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MQASEQHRSSSMYYQPLQQIEAYCLPQYRNLNHQLYNNDGGQATQFSAPTSSDLYYCTLESSSAAGSFAVYNSPSTVSFSPNDSPMSQQESQSYPSDKYHSPDNTYGSPMSGSCITEDLSSFKHKLRELESVMLGPDSDNLDSYDSAISNGTNFASLEMDSWRQTMVAISSKNLKHILTACAKAIADNDLLMAQWLMDELRQMVSVSGEPIQRLGAYMLEGLVARLSASGSSIYKSLRCKEPESAELLSYMNILYEVCPYFKFGYMSANGAIAEAMKNEARVHIIDFQIAQGSQWISLIQAFAARPGGPPHIRITGIDDPTSAYARGGGLHIVEKRLSKLARHFKVPFEFHAAAISGCDVQLHNLAVRPGEALAVNFAFMLHHMPDESVSTQNHRDRLLRLVKSLSPKVVTLVEQESNTNTAAFFPRFLETMDYYTAMFESIDVTLPREHKERINVEQHCLARDLVNIIACEGVERVERHELLGKWRSRFAMAGFTPYPLSSLVNGTIKKLLENYSDRYRLQERDGALYLGWMNRDLVASCAWK >RHN53945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5583252:5596556:1 gene:gene28919 transcript:rna28919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MSCNGCRVLRKGCSESCILRPCIQWIDTPEAQGHATVFVAKFFGRADLMSFISNVPLPQRPALFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCQAAVETVLRGGTLKPLPEFLGLDALASTVDDSSEGEVTCNDARKIRDPNPKVRFMSSGGKRKRSGGEVLKLPATTDLNLRLTPQSTLTNGCRKEIRRPGTPSMNSEESVTTVTCLESGIGEDNYVHDGDRKVLNLFV >RHN66980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22400233:22400754:1 gene:gene15107 transcript:rna15107 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNDSKENPVDFKCLAFHGCDIRRFYEAQGLLDYFKMLNGPTYQTLVRHFWVRASVYNKEAAKVEEAEKVLIDPSLEGKSREEMGLEPFVCTEIRSSIMGIPVHISEDIIAFLIIRESEGSYKGGIGNSKTSQWNEVVNLSMFNNLQLSIRFSSTSSSLEREQMCPDTSSGT >RHN66640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15474538:15483144:1 gene:gene14677 transcript:rna14677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative helitron helicase-like domain-containing protein MFNNCQDVMAICKKFGYPDLFLTFTCNPKWVEIQCHLSKSGNQAVYRPDICCRVFHIKLEQMMADFKSGKFFGTVIAGMYTIEFQRRGLPHEYILLWLDPRDKLEFPDERIYPKLYASVTSFMIHGPCGFARPNSPCMKDRRCTKFYPKKFVSRTSFDERGYPVYRRRDLGYKVLKKDVELDNRSVVPYNPMLIMKHNAHINIEYCNKSNCIKYMLKYITKGVDRVTATLKMNDEECVDEIQQYHDCRYLSPSESIWRIFKYDIHKRWP >RHN55085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15046494:15049325:-1 gene:gene30213 transcript:rna30213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYC/MYB MEEHLTPLAVTHLLQHTLRSLCIHENSQWVYAVFWRILPRNYPPPKWEGQGAYDRSRGNRRNWILVWEDGFCNFAASAAPEINTGDCPSSSSVYGNCELIQPYQGLQPELFFKMSHEIYNYGEGLIGKVAADHSHKWIYKEPNDQEINFLSAWHNSADSHPRTWEAQFLSGIKTIALIAVREGVVQLGAVHKVIEDLSYVVLLRKKFSYIESIPGVLLPHPSSSAYPYGNPAEQWHNFQGSIAPQHQNDQLYHEHFNNIMPMKVTPSMSSLEALLSKLPSVVPPQQIQTQTQHVLAPQQQRALEFTGRMQKVAKEELDNEEDEVYRPEQLDVGESSSSMPGYHQHHFHQDHLGNGSNNNNGF >RHN60801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30520080:30520986:1 gene:gene23182 transcript:rna23182 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGAIEQQDTTNFSRTTRTVTTYRRVAAIFTATITTTVEP >RHN43301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44789798:44791038:-1 gene:gene49849 transcript:rna49849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MTVILELTLISTEGLNNYTSYLNPTIKPFITLTKFPPATSTPPKACDNINMFHVPLDPTFFSDPSSCLYLQVFTKRRIMGQAQLGWCFIPASDIGLLTPGSVRYLSYRLRGKDGSRGHAIINISVRLEITTLMSSNMDTCHTVIGIPVTTFRGT >RHN45824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26038657:26039201:-1 gene:gene40243 transcript:rna40243 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGVCVSGTINCLAIRYEFPLDCDYGSEWPFMTIDQFVIILLDLITETYHQLLPPRGFDNVPPMKEFEVEESWTQFLKISYESLPIDYDAVRGFVLFPLCLSEDGDALILVWDEAEQAIFYNLRANIGEKAKTTKEIQWFGANEYVESLVSTN >RHN50110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4129873:4130797:1 gene:gene34365 transcript:rna34365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cleavage/polyadenylation specificity factor, A subunit MENGGEDEDKDDPLSDEHYGYPKAESDKWASCIRILDPKTGNTTCLLELQDNEAAFSGCTVNFHDKEYGTLLDVGTAKGLQFTPRRSLTAGFIHIYRFLEDGRSLELLHKTQVEGVPLALSQFQGRLLAGIGPVLRFYDLGKRRLLRKYENKLFPNTIVSIQTYRDRIYVGDTQEVFFPLLQV >RHN57035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35910097:35910663:-1 gene:gene32523 transcript:rna32523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MLTIDIYIYIYIYIYIYIYIYIYIYICFCSKIIGARYYGISFNDVGSPRDYVGHGTHVASTAAGNIVSQASMLGLGHGTSRGGVPSARIAVYKVFRSSACDASNILSAFDDAIADRVDMLSVSIGGEIENHHSIFKDPLSIGSFHAMKNGVLTVFAAGNDGPQPTSLDNFSPWSIVVGAGTIERKFVT >RHN63996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55782423:55786955:-1 gene:gene26768 transcript:rna26768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BSD domain-containing protein MSWLFKSNNPDTESSNLHRTATHSSPPPPSTAVDHGVKEDLSLIFRGVANFLAPPPSSSSSSASSGESTSPSKTLTGIRNDLVEIGGSFKSSLSLLSPRKAVTGISKLASQLLQSERDHEEDDDGAVPGTTDDVVRFVKEISSRPECWTEFPFPLHNADCSLSNSQREHALAIEQLVPEFVTLRMNLCSYMNVEKFWMIYFLLILPRLNQHDFECLSTPKIVEAREVLLRKLEERKDMQAGECDKSDVVGTHQEGKEDSGTESITVDQNKILTEVTNAAKGLEIDDAVNSEKWLTDTDIDVTSLTSCTKHQQEDISFSDLEEDGSYSSDNKLSSHGEVIRGSSPDGSSDWVQLSERQRGGRQKAIRLKGKDSEDESNDWLAV >RHN49004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51684100:51687090:-1 gene:gene43783 transcript:rna43783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRAPCCEKVGLKKGRWTAEEDEILTQYVKANGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADLKRGNISSEEESIIIKMHASFGNRWSLIASHLPGRTDNEIKNYWNSHLSRKVYSFRGTSTTNYNNIKEIEIPSEEGIIVDTPPKRKGGRTSRWAMKKNIRYISQNVFQKSEPASIVTLPPTPTLETEKMVMGSVNGPCSSGENNDIEADCDRMFGPDLKVINDGELLDFNDIIMDALEVEEVKESNDENVVVINEIVVGDKDTNTNGTKGIERNDNVTNQCSNEELNSSGLDDNLDWESVMPLLNQKGQSLLWEQDENMLTWLLDDDQWEKDFQRFRDIDPQKQNALVSWF >RHN72479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8000448:8004803:1 gene:gene8195 transcript:rna8195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosine deaminase MEKNWCLSMPKVELHAHLNGSIRVSTLLELAKSLNETQKDAIDFSQVEHLITKCDLTLTEVFQLFDLIHNVITDHNTVTRITKEVIEDFASDNVVYLELRTTPKRNDSIGMNKRSYVDAVIEGLRSVSSVDVDFIPKTGDSKFLTNDKCNGNSRKRIIFRLILSIDRRETTEAAMETVKLALEMRHLGVVGIDLSGNPKTGEWTTYLPALKFAREQGLNVTLHSGEIRNSKEIKNMLEFHPQRIGHACYFEDEHWRKLKSSKIPVEICLTSNIRTFSVASIEVHHFAYLYKAKHPLVLCTDDTCVFNTTLSEEYKYAADSFGLGRWEMFELSRNAVEYIFADNGVKNDLRKYFNSVSKNMEV >RHN47211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37929054:37934727:-1 gene:gene41777 transcript:rna41777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MGRSILSLHHLLFSSLLIFILMLNHVHASKKCYIVYLGAHVHGPTPSSVDLETATYSHYDLLGSILGSHEEAEEAIIYSYNKQINGFAAILEEEEAAQLAKNPKVVSVFLSKSHKLHTTRSWEFLGLSTNDVNTAWQKGRFGENTIIANIDTGVWPESESFHDRGIGPIPLRWRGGNICQLDKLNTSKKVPCNRKLIGARFFNKAYEAFHGKLPSSQQTARDFVGHGTHTLSTAGGNFVQNATIFGIGNGTIKGGSPRSRVATYKACWSLTDVVDCFGADVLAAIDQAIYDGADLISVSAGGKPNTNPEVIFTDEISIGAFHALARNILLVASAGNEGPTPGSVTNVAPWVFTVAASTLDRDFSSVMTINNRTLTGASLFVNLPPNQDFLIIISTDAKFANVTDVDAQFCRPGTLDPSKVNGKVVACDREGKINSIAEGQEALSAGAVGVIMRNQPEVDGKTLLAEPHVVSTINYHDPRSITTPKGSEITPE >RHN52381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34578719:34589335:1 gene:gene37049 transcript:rna37049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase with an alcohol group as acceptor, FAD-AMP lyase (cyclizing) MALAKKLINDPNDAETEFIDGLMETYPSLQYLDGYPNVKVVFRKDVYPGPPYDKVAIISGGGSGHEPAHAGFVGEGMLTAAICGDVFASPTVEAILAGIRAVTGPMGCLLIVKNYTGDRLNFGSAAEQAKSEGYKVESVIVADDCAIPPPLEMVGRRGLAGTILVHKVAGAAAAAGLSLADVAAEARYASENVGTMGVALKACTLPGRVLTDRLGASKMELGLGIHGEPGGLVTDIQPSETVVSHLLNQILSKETNYLPISRGERVVLMVNGLGGTPLMELMIAAGKAVPQLMVDYGLAVDRVYTGSFMTSLDMEGLSISIMKADPSILQRLDAETKAPYWPVGVSGNRLAAKIPAPIPPSPSAKIVEAQSQPLELTEQGQLLELAIVAAANALINLKDTLNEWDSEVGDGDCGSTMHKGATAVLEDMKNYPLNDAAETVGEIGSTIGKSMGGTSGIIYSILCKAACTQLKTSSHSAITSKQWAEALAAGIAAISRYGGAKAGYRTLLDALIPALSSLEERLKLGDDPATAFLTSSGAALDGAELTKKMRAKAGRTLYVSQDTQLSIPDPGAYATASWYRAAALAINNKYKNQ >RHN69033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40479413:40479727:-1 gene:gene17459 transcript:rna17459 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAPPPPYQPSQIHLSPTKTTLITVLSTTVSLYIHYCLREMSLSSDNHWSQPPPPVILSDLTTTITYDLLQQPSFPPCFATTRSGRERKVRNEKSENVSVVVV >RHN76980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2898442:2901732:1 gene:gene381 transcript:rna381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial glycoprotein MSVLIRTIQRAHRLKQTFSSPRISNVLSQTLGFSNSAHVAESVTKSPFESNILRILRNEIEYQAEYAPPHQPVKEFKSFIVEERPGEQVVTLKGKFGDNEDIKIEATMFDGFEHVPAYGDDSSGVNVRLHLSLIVDISKGEGGNELEFVCSAWPDSLDVQKVFMLKRGKMSTRPYLGPDFRNLKPKIREKFCEYLDARGVNNELSAFLHEYMMNKDRIELLRWMDRLKSFVEK >RHN50938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11999408:12000100:-1 gene:gene35294 transcript:rna35294 gene_biotype:protein_coding transcript_biotype:protein_coding METSHPLLVESFSYSWLVNLEPSSLEESLDDDDEGSSFIEMDPRMPPSRRFFINSQDLKFDFPTSQHSPLTTLVDADQLFSNGYLIPLFVESLNIEPYEYDSFNSNSNSNSTLPCSSSHVPKKLVPIENPRTPSLKRCRTLSRKMFQKYLNFLSPLCRRLRGQNSGTKHENVVKRTQSVKNIRRNYCESSPRMSTAYSCDSDSSIYEAVLHCKRSIGMSKKSKFHVYVNR >RHN59667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12605810:12606088:-1 gene:gene21740 transcript:rna21740 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps19 MTRSRKKNPFVANHLLKKINKLNTKGEKEIIITWSRTSTIIPTMIGHTIAIHNGKEHLPIYITDRMVGHKLGEFSPTLNFRGYAKNDNRSNR >RHN54765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12021109:12023597:-1 gene:gene29847 transcript:rna29847 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MPPQEAWEKTGEETELEPAIVGRKKEIIDQLIPLHTGAESVGKVSVVSIVGFAGIGKTKLARLICEDEQVKAHFGLQIWIYDVEFLNTTDMSSTYTDGGKGNLVVLDNLKTEILGDEMLSDLDKILMTSNGASAILITTRSKLVANNITVSLSNYRLRHETTRPDTVFTTFKPHVILGLNEEESLSLFWKVRGQSSSIIDMKKEMQRKMVMDCGGVPFLIIFKAIFVNNHCADLAVADLNKEEFLKELKVRYYDKLPSPQKFCFEFCSLFPQDHLIDVERLIHLLTAEGFQSDLENSTEDKFRQYFNDFVGMPIFKDMEEDECGAVRRCRMQPLMHDLARFVSDQIENVTVDPEGEKVTEGVLRASFDFSLDVSRGIPPSLFKKAKKLKAILFWKTQSLLPKDMKTGYSTCGQIFKSFKATLRMLDLHDMGIKTLPNSIGDMNNLRYLDLSLNSIEKLPNSITKLSNLQTLKLSQCYPLEELPKNIDELVNLKHLEIDGCLALTHMPRKLHKLECSLQTLSLFVISDGHHVGGLSELARLNNLRGHLEISHLESLNLSKADNCLNGKNDLQRLTLRWCHEDDYGKKEEEDDQKRLDFLEPPSTLRAIFVVGYKGKTLSNWFSSIACLVKLSLYDCTSCIFLPHLHELPNLRFLELLRLDKLEYIADQSNDSDRHNDKLQAAAVHFPSLEELTISDCPNLKRWWRKDKMEKDLPFFACLSKLNVNYCPELTCMPLFPGLDEELILVGSSVKPLLDSINHGHRKCYPFSKLKSMKIANIEDSRSPAKIWIEYFNSLEKLDIKEWKHLKSLPEGA >RHN45562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22914061:22914919:-1 gene:gene39928 transcript:rna39928 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEISLVMYHGGRFVRNGRGNCEYTGKGRRVWDVDPDLVCIPDLKKMVVKCDNYGNVEGMQWLREEFGEDYDLGLRPLSVDSDFINMVDATERNGNCRWSISLKLKGKRLKKH >RHN71254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57622126:57624250:1 gene:gene19911 transcript:rna19911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MTSSLYTCSCSSSSLTWLLKKRNVDHYGGFSLRRRALPVVALSQSRRSTAILISSLPFTFVFLSPPPPAEARERRKKKNIPIDDYITSPDGLKYYDFLEGKGPIAEKGSTVQVHFDCLYRGITAVSSRESKLLAGNRVIAQPYEFKVGAPPGKERKREFVDNPNGLFSAQAAPKPPQAMYTIVEGMRVGGKRTVIVPPEKGYGKKGMNEIPPGATFELNIELLQLAST >RHN52821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39197123:39199166:-1 gene:gene37538 transcript:rna37538 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CAS31 MSQYQQGYGDQTRRVDEYGNPLTSQGQVDQYGNPISGGGMTGATGHGHGHHQQHHGVGVDQTTGFGSNTGTGTGYGTHTGSGGTHTGGVGGYGTTTEYGSTNTGSGYGNTDIGGTGYGTGTGTGTTGYGATGGGTGVGYGGTGHDNRGVMDKIKEKIPGTDQNASTYGTGTGYGTTGIGHQQHGGDNRGVMDKIKEKIPGTDQNQYTHGTGTGTGTGYGTTGYGASGVGHQQHGEKGVMDKIKEKIPGTEQNTYGTGTGTGHGTTGYGSTGTGHGTTGYGDEQHHGEKKGIMEKIKEKLPGTGSCTGHGQGH >RHN72713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10098104:10100632:1 gene:gene8462 transcript:rna8462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine/threonine-protein phosphatase with EF-hands MLRCLDGLKNLCVGVVNWCNSEVSGSKPPPSGLENPEEISRITVFSVSEVEALYELFKKISSGVVDDGLITKEEFQLALFKTSNKRSLFAERVFDMFDTNSHGVLDFKEFASALSIFHPIAPIDDKIDFLFRLYDLKQQGYIDREQLKQMVVATLSESGMRLTEEMLNSIMDKTFEEVDTNHDGKIDREEWKNLAMQQTSLLKNMTLPYLT >RHN80985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42417938:42419514:1 gene:gene4973 transcript:rna4973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MVRMHSILSIATLVIVILSVSTTLASSTSLKYGFYKTTCSSVEAIVRRAVNKAVSLNPGIAAGLIRMHFHDCFVRGCDGSVLLDSIPGIQSERDHPANNPSLRGFEVINEAKAQIEAACPKTVSCADILAFAARDSARKVSGGRIDYSVPSGRRDGRVSIFDEVTQNLPPPTFSAEQLIDNFDRKGLSVDEMVTLSGAHSIGVSHCSSFSKRLYSFNLTFPQDPSMDPNFARLLKSKCPPPQSQSINPTVVLDGSTPNDLDNMYYKRLKNNRGLLTSDQTLLNSGLTRRMVLKNARHAAIWNVKFAKAMVHMGSLDVLTGSEGEIRERCSVVN >RHN53278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:604472:605987:-1 gene:gene28169 transcript:rna28169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MQMETHLGEHTMRSHGFAVAKTHLYDWIILLLLVLIDIGLNMIYPFFRFVGEDMMFDLKYPLKSNTVPVWSVPILAVVLPMVIFLVVYIRRRDIYDLHHAVLGLLFSILVTTVITDAIKDAVGRPRPNFLWRCFPDGKDVYGEWGNVICNGDKLVIKEGYKSFPSGHTSWSFAGLGFLSLYLSGKLKAFDRKGHVAKLCIIFLPLFAASLVGISRVDDYWHHWTDVFAGSLIVATFCYLQFFPPPYHPEGMPQSRFYYFR >RHN55441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18379107:18388964:1 gene:gene30622 transcript:rna30622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cell cycle and apoptosis regulator protein MYSSRGSGNYGQSYTGQSAYGQNLSANYSGASAGGHDATQHSAASRHSGILGSSQDAADVGSYSRAHASVAQYGGQYSSVYGSAALSTAPQAPSLTAKGAGSSSALDARGSYSLGVSDSPKFASSDYLSSSTHVYGHKSDQLYGDKSLDYSGLDRRQYGERQSGYTGRDLASDPASRYATDAGGYSHQHQATLLRQEQLLKSQSLQAAASLDGATRQTDYLAARAAASRHPTQDLMSYGGRIDSDPHASSMLSATSYSGQHAPSILGAAPRRNVDDLLYSQNASNPGYGVSLPPGRDYASGKGIHGNAMDLDYPGSLLSHDRKDDRASYLREFELREEERRRDRLRDKDRDRERDKERERLRERERERDREKEREKERLERREKERERERKRALEVRLERTPVRSSKDPRSTSKDPRSTSKDPRGSSLTKEGKSSRRDSPHRGALHRHRSPVKEKRREYVCKVYPSCLVNIERDYLSIDKRYPRLFISPEFSKAVVSWPKENLNLSLHTPVSFEHDFVEEESARDSSSKLLVGQPTGTEQGNTVWNAKVILMNGLSRGALEELSADKLLDDRIPHVCNILRFGILKKDHSFMAVGGPWGPADGGDPSNDDNSLIRTALRYTKDIIQLDLQKCQHWNRFLEVHYDRIGKDGFFSHKEITVLYVPDLSDCLPSLDQWRDQWLAHKKAVAEKERQIALKKEKARAIKESNDKLGKKDSPASGKSNAKKKEKDNTVKEGKEKKAGVSINTIAKNDASGTVEAKSAEKKPGETTPGQTTGSAKSVKKKIIKKIVKKVVNKTNDSAKRETDKPGEKDVADKVATSEVPVDEVKSSVDPTGVQTSGKDIVAEDIPIGKADGEGKNGKEINSIEDNTGTNDATVKTIKTRKIIKRVPKKKVVGEASKFVVNEGNVVASQAQAGADSTDKQTAEADTIETEGKKPAKVVTKRKLKTPTSGVQDDATVVNEGNTVAVQAQDGTDSPGKQTADGDTTVTEGKKPAKVVTKRNLKTPTSGVQDDATGSNKKVAKSTDKTDDENAVAAPANDDTQSTDKQAANADTKIVSVAKKIVKVVPRKKLKVSTSEKQEGARGAGDSNKNEMKSDNNDKKDGKGTGEKSGSKIDKKKTSEKDTQIVTGKLKVGEKSKDEKVTKEKDGKDEPKSKSSKEVKEKKKSDEPPRHPGFILQTKSTKDSKLRSLSLSLDSLLDYTDKDVDESTLELSLFAESFYEMLQFQMGSRILTFLQKLREKFVMKRAQRKRQREDGLDKDSANKSPAKRKKGDDPSVKSETDVDASNPTQADNKKTVAEIENSGNKEDDDVKMENASDDEDPEEEDPEEEPEEEPEEEMENGTPQHDSSNGKNAEHVDANNESENATSNEKAADETSKGEIKVKEEVQELKDDIQLKEAKESKVDTVKKETRAVKEAVVNKELLKAFRFFDRNRVGYIRVEDMRIIIHNLGMFLSHRDVKELVQSALLESNTGRDDRILYIKLVRMADI >RHN51424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17597004:17598113:-1 gene:gene35856 transcript:rna35856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MADALLGILIQNLGSFVQEEFATYLGVGELTQSLSRKLTLIRAVLKDAEKKQITNDAVKEWLQQLRDAAYVLDDILDECSITLKAHGDNKRITRFHPMKILARRNIGKRMKEIAKEIDDIAEERMKFGLQVGVMEHQPEDEEWRQTTSFITESIVFGRDKDKEKIVEYLLRHASNSEDLSVYSIVGLGGYGKTTLAQLVYNEESVTTHFDLKIWVCVSDDFSMMKILHSIIESATGQSHNFLTLESMQKKVQEVLQSKKYLLVLDDVWNQEQVKWEKLKHYLKSGNTTKGASILVTTRLDIVASIMGTHPAHHLVGLYDDDIWSLFKQHAFGPDGEEREKQ >RHN63854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54510663:54515968:1 gene:gene26609 transcript:rna26609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oleoyl-[acyl-carrier-protein] hydrolase MVAAAAAFFPVTSSLPDSGGNKIGAGNANLGGLKSKHVSAGLQVKANAHAPPKINGTKVSTSVENYKHEDVLPSSQSSRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRSDMLIDPFGIGKIVQDGLVFSENFSIRSYEIGADRTASIDTIMNHLQETALNHVKTAGLLGDGFGSTPEMCKKNLIWVVARMQVVVDRYPTWGDVVHVETWVSASGKNGMRRDWLLRDYNTGEILTRASSVWVMMNKHTRKLSKIPEEVRGEIGSYFVESAPILEEDDRKLAKLDDSTADYIRSGLSPRWSDLDVNQHVNNVKYIGWILESAPQSILESHELCAMTLEYRRECGKDSVLQSLTSVYDAGVGNLAQSGRIECQHLLRLEDGAEIVRGRTKWRPKPVNHFDIANQVPAGST >RHN55705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21397651:21397992:-1 gene:gene30931 transcript:rna30931 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEGSKTSASVLSLSFESASAGASPSSSVLPHLPPKANAIIP >RHN63690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53233470:53235786:1 gene:gene26423 transcript:rna26423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan 6-xylosyltransferase MGKENGSLHNRSSSAVLPTTATNSNSKNRRGRQISKTFNNVKITILCGFVTILVLRGTIGVNLISSDSDAVNQNMIEETNRIIAEIRSDADPSDPDDKDNTFFNPNATFTLGPKISDWDSDRKAWLNQNPEYPSFVRGKARILLLTGSPPKPCDNPIGDHYLLKSIKNKIDYCRLHGIEIVYNMAHLDMELAGYWAKLPMIRRLMLSHPEVEWIWWMDSDAFFTDMVFELPLSKYDDYNLVIHGYPDLLFEQKSWIAINTGSFLFRNCQWSLDLLDVWAPMGPKGPVREEAGKILTANLKGRPAFEADDQSALIYLLLSKKEKWMDKVFLENSYYLHGYWAGLVDRYEEMIEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLSSMERAFNFADNQVLKLYGFRHRGLLSPKIKRIRNETVTPLEFVDQFDIRRHSTESIESKS >RHN76640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51939450:51947981:-1 gene:gene12995 transcript:rna12995 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAVVWERVIEITKCGRSTEVWSIEVTSWLKTAGVLLPSVELAHRLVSHICWDNNVAVTWKYLEKAMESRMVPPFLVLALLSTKVVPNRHPLLHPPAYSLYLHLLNTHAFSLSSLINSPNYPSLMNSIHQLLRLSQLYHDSSSYPPPPPPPHPGVVLVQFLFTLVWQLLQASLQDEALLQHKNSLLFLDHPDLTMELDNHHHHPLHAKNTATAIQFIAHFLHNKLTSRILSLVQRNMSTHWGAFVDDLEQLAANSLILRSLKHDVSPELFYPLNLKLNWPLSCESNKKMKLSSVLCASGSGSADQSHNDSSLWLPIDLILEDAMDGHHHVMAASAVELLTDLVKALQAVNATAWHNAFLGLWFAALRLVQRERDPSEGPVPRLDTCLCMLLCITTLVVANLIEEEEGELIEEAERSPRNQRKDKLAMGKRRGELVASLQLLGDYEDLLNPPQPVTWVANQAAAKATMFVSGHSGYLEYMNVNDLPTNCSGNLRHLIVEACIARHLIDTSAYFWPGYVSAPSNQLPRGIPNHLPSWSSLMKGSLLNPPWVNVLVATPASSLAEIEKIFEFAINGSDEEKISAATILCGASLVRGWNVQEHVVFFIIKLLSPAVPPKYAGTENHLISYAPFLNVLLIGISSVDSVQIFSLHGAVPLLAAALMPICEAFGSCVPSVSWTAATGEKLSCHVVFSNAFVLLLRLWQFNHPPVEHVMGGAATPALGSQLGPEYLLLVRNSTLASFGKSPRDRIKSRRFSKMISFSTEPVFMDSFPKLNTWYQQHQECLASTRCALVPGGPILRIVDALLSMMCRKINRSAQSLTSTTSGSSNSSGSSLDDALMKLKVPAWDILEAAPFVLDAALTACAHGRLSPRELATGLKDIADFLPASLATIVSYLSAEVTRGVWKPAFMNGTDWPSPAANLSIVEQQIKKILAATGVDVPSLAIDGNAPATLPLPLAAFLSLTITYKLDKSSERFLVLIGPSMINLSAGCPWPCMPIVGSLWAQKVKRWSDFFVFSASRTVFHHSRDAVVQLLKSCFTSTLGLGSACIYNNGGVGALLGHGFGSHFLGGISPVAPGILYLRVYRSIRDAMFLTEEIVSLLMLSVRDIASGGLPNGEAHKPKMTKYGMRYGQVSLAASMTRVKHAALLGASFLWISGGSSLIQSLITETLPSWFLSAPGLEQEVGESGVVVAILRGYALACFAVLSGTFAWGIDSLSAASKRRPKIIGIHLEFLANALDGKISLRCDCATWRAYVSGFMSLMVSCTPLWIEELDVDMLKRVSKGLRQMNEDGLALQLLEIRGKSLMGEVAEMIIQNEL >RHN53758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3904424:3917877:-1 gene:gene28702 transcript:rna28702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Agenet-like domain-containing protein MDYDDSDFESQNLHLAAEGNTKFPPVYALPKFDFDESLQSNLRFDSLVETEVFLGIESNEDNQWIDDTFSRAGSNIEFNSTAAGSCSISRYGNVWSEAASSESVEMLLKSVGQGEYIPRQTRESGACDELACLAKQMDSNSKPDDRNEFNNNVTNLQPPFDTHTSFSGLKKHVGMEQSQTGISQSHDGEFSFDGSSGIPEPNDMFRNIDLPMAEGSPTLFTNDKSNITNQREVETVADVSDHGETHDSSALVVETNITESSLQNIDLPMSEGSPTIFTNDKSNISNQSEVETVADISGHGEAHDSSALVAEANITESSVQNMVDEQQGSQQAQTNNQNVESSMMKEEAVVDTQTLDQNAASVDAHHPDKSLFPTPPQDSLEGGSLAKGPETGLSSLEDSMGIRTVAVSDMQKEERCSEDICSRDLSQENPSENLVLLKDAEMEDQSVPDTCISPKVSVKDDSFSAGQVIEDSKSSHGICPNLQQTVDVIENKTYSASNVLKENISLNTGDHMDSGILSSKLESSMFPAEENSISIVSEGNNDNRVGGFSSFSLVASSRNSSIVGESTQTCVNNEPDRQSDPEKFDQDVSVNDEKNTKILSDSSQMHFDVAQSHLGDKGVVSSPLSAGSMESELTTSTVSINVKPAYNSASQIISENTSLTSCEMMNDPPPSEVVSIHRATGDNDIQRVTTVESPSAEGKEEIEMTITEKAGISALVGSSEQETAPSPVKETEKLHPSGTTGHLKCDLTVTEEAGISALVGSSEQETAPCPVKEAEKLHPSGTTGHFICDIASDSRPDVATHGAAKIGEPQRTTNEKVTEECTKDISMPPVLCESSEKQGDGVIISVIKNDKETLQEVHEKSSSKELGDVLLGNKDSTSSAPLPDSCVELPETGTLPANSSCSPSSTFRSPSQTEKDDSRVTASANRNPPEPDLKNTGARNTMSTAQVIKRNTASKDERSSTPEINFVAVDLFKKDIADLDTDVGKRQSAPVIATNNASIALAESPSTSELGPSKTKTVANISHGSPQISDGGVALSASKATPKRKARQPSNKATGKEPARRGGRMKNASPASEKGDKSTKVSLSPSPGFKLMQSNEVQQYGHIDSNSAKAYSLVNTSTSSLPDLNTSASSPVLFHQPFSDLQQVQLRAQILVYGALIQGTTPDEAHMISAYGGTDGGRNLWENVWRVCMERQRSQKSHPNTPETPLQSRSAARTSDSTVKQSVLQGKGISSPLGRASSKATPTIANPLIPLSSPLWSLPTLSADSLQSSALARGSVVDYSQALTPLHPYQSPSPRNFLGHSTSWISQAPLRGPWIGSPTPAPDNNTHLSASPSSDTIKLASVKGSLPPSSSIKDVTPGPPASSSGLQSTFVGTDSQLDANNVTVPPAQQSSGPKAKKRKKDVLSEDHGQKLLQSLTPAVASRASTSVSAATPVGNVPMSSVEKSVVSVSPLADQPKNDQTVEKRILSDESLMKVKEARVHAEEASALSAAAVNHSLELWNQLDKHKNSGFMSDIEAKLASAAVAIAAAAAVAKAAAAAANVASNAAFQAKLMADEALISSGYENTSQGNNTFLPEGTSNLGQATPASILKGANGPNSPGSFIVAAKEAIRRRVEAASAATKRAENMDAILKAAELAAEAVSQAGKIVTMGDPLPLIELIEAGPEGCWKASRESSREVGLLKDMTRDLVNIDMVRDIPETSHAQNRDILSSEISASIMINEKNTRGQQARTVSDLVKPVDMVLGSESETQDPSFTVRNGSENLEENTFKEGSLVEVFKDEEGHKAAWFMGNILSLKDGKVYVCYTSLVAVEGPLKEWVSLECEGDKPPRIRTARPLTSLQHEGTRKRRRAAMGDYAWSVGDRVDAWIQESWREGVITEKNKKDETTLTVHIPASGETSVLRAWNLRPSLIWKDGQWLDFSKVGANDSSTHKGDTPHEKRPKLGSNAVEVKGKDKMSKNIDAAESANPDEMRSLNLTENEIVFNIGKSSTNESKQDPQRQVRSGLQKEGSKVIFGVPKPGKKRKFMEVSKHYVAHGSSKVNDKNDSVKIANFSMPQGSELRGWRNSSKNDSKEKLGADSKPKTKFGKPPGVLGRVNPPRNTSVSNTEMNKDSSNHTKNASQSESRVERAPYSTTDGATQVPIVFSSQATSTNTLPTKRTFTSRASKGKLAPASDKLRKGGGGKALNDKPTTSTSEPDALEPRRSNRRIQPTSRLLEGLQSSLMVSKIPSVSHNRNIPKGNNHQS >RHN76188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48387944:48388595:1 gene:gene12474 transcript:rna12474 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEKQSSKLEVTESHVEKVCSTRMQITEDGIKDQPISENESEGCASDVKDQGQQDRPKVLKIYARRNKKDQKVVKPSMQHHSNECTRTIEACVTKQIGSHDTNQEGPNTRNKNGPIKVDTNSSDRPNQRPKRLIKRPSRFNT >RHN47833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42875633:42881103:-1 gene:gene42479 transcript:rna42479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIB MSDAFCSDCKRATEVVFDHSAGDAVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPSNPLLTDGGLSTVIAKPNGASGDFLSSSLGRWQNRGSNPDRGLILAFKTIGTMAERLGLVPTIKDRANEIYKRVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSIANGATKKEIGRAKEYIVKQLGLENGGQSVEMGTIHAGDFMRRFCSNLGMNHQAVKAAQESVQKSEEFDIRRSPISIAAAVIYIITQLSDDKKPLKDISVATGVAEGTIRNSYKDLYPHVSKIIPNWYAKEEDLKNLCSP >RHN56553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31841783:31843688:1 gene:gene31969 transcript:rna31969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MKAMVDNQLFTGYSVGVANPVVVSHLQFADDTLLLGHKSWANVRALRATLAIFELMSGLKVNFNKSLLMGINISDSWLSEAASVLSCKVGKIPFLYLGLPIGGNPRRLSFWDPVVNRIKSRLSGWNSRFLSFGGRLILLKAVLTSLPVYALSFFKAPTDRWLWLPDPVGGYTVRGAYVILIEGAHPLLTEAMDLVWHRQVPLKVSIFAWRLLRDRLPSKANLAARGVLSSEATLCVMGCGHVETAEHLFLFCPNTVLLWQQVRNWLGSMGADPNNLHDHLVQFTYSTGVGKAKRSFLQLIWILCTWIVWIERNNRLFGNVVTDVPRLLDKVKLLSLRWLKAKKKRVCLWYSYVVV >RHN74126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28808667:28815216:-1 gene:gene10119 transcript:rna10119 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTFTNVVDTLKNIRIHTLIIASTNEIFLTPKKCCNENKDKIIMLK >RHN76063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47367669:47370316:-1 gene:gene12338 transcript:rna12338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Protein-PII] uridylyltransferase MMRVRGGDDDSVQIQLGNKPGDPFIITVNCPDKTGLACDICRFILHFGLCILKGDVSTDGVWCYIVLWVIPQSILLPRMSYSYLKDRLQAICPPCVASFYLVQKPTTSSPVYLLKFCCLDRKGLLHDVTKVLCELELTIQRVKVTTTPDGKVLDLFFVTDNMELLHTRKRQNETCERLNAVLGDSCIKCELQLAGPEYEHNQGISSLSPVLANELFQCELSDNDVRSQALSPDMKKLKKANAALDNSLSQAHTLLQIQCADHKGLLYDIMRTLKDMNFKISYGRFLPNVMGYRDLDIFIQQKDGKKILDPEKQNALCSRLKLEMLHPLRVTIADRGPDTELLVANPVELSGNGRPRVFYDVTFALKTLGICIFSAEVGRYLASEHEWEVYRFLLDENCEFQLTGVAARNQIVSRVRRTLMGW >RHN46012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27738667:27744126:-1 gene:gene40447 transcript:rna40447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA/RNA-binding protein Alba MDRYQKVEKPKPETPINENEIRITTQGAIRNYITYATSLLQEKQAKEIVLKAMGQAISKTVAIAEILKKRISPLHQDTAISSVSITDVWEPIEEGLVPVEMTRHVSMISITLSTGELNTNSPGYQAPSTAEQSKPHSNYQQQPLKPAQDSYNAVNEDSYGRGRGRGRGRGRNWGRGGYGYQGGYGNYQGGYGYYQGGYANYQENGGYSNRGRGGGRGRGWGYRGGYGGGRGGGYEGGRGGGGYEGGRGGYEGGRGGGYEGGRGGGYGGRGGGYEGGRGGGYGGGRGGGYGGGRGGGYEGGRGMGYEGGRGGGMGYVGGRGGGGMGYEGGRGGGMGYERGRGGKGYGRGRGRMDGRGGRGGDNQA >RHN82416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53554769:53557132:-1 gene:gene6580 transcript:rna6580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclease S(1) MEHNKIILVTIISFMFLFQNIQGWGTDGHAITCKIAQSRLSDTAAAAVKKLLPDYAQNDLSSVCSWADRVKFYLKWSSALHFADTPPKLCTFQYDRDCKDLNGVKDRCVVGAINNYTTQLLDYGKDTKYNLTQALLFLSHFMGDVHQPLHTGFTTDKGGNLIDVHWFTRKQNLHHVWDANIIETAEERFYDTNIDKYISAIQENITKTWSDEVAGWEACSSNKTTCPDIYASEGIKAACQWAYKDAPEDSVLEDDYFLSRLPIVSLRLAEGGVRLAATLNRIFQ >RHN47539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40411770:40433619:-1 gene:gene42152 transcript:rna42152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyribonucleotide nucleotidyltransferase MLACPSTTFHCPTTFHRHSHPSKLLLSKSKPLIFPPRSSFAAFGKLKLSSIFSGKNCRRFNVKASIDSSSELLESADGGSSHIAPHSVKIPVGDRYILVETGHMGRQASGSVTVTDGETIVYTTVCLDDIPSEPSDFFPLSVNYQERFSAAGRTSGGFFKREGKTKDHEVLICRLIDRPLRPTMPKGFYHETQILSWVLSYDGLHIPDSLAVTAAGIALALSEVPTSKAVAGVRVGLVGDKYIVNPTSEEMENSELDLMLAGTDSAILMIEGYGNFLPEEKLLKAVEVGQDAVRAICNEVEALVKKCGKPKMVDAIKLPPPELYKHVEEIAGDELVKVLQIRNKIPRRKALSSLEEKVLKILSENGFVTNDTAPRNNAETIAEILEDEDEDEEVIVDGEVDEGDVHIKPTPRKPTRLFSEVDVKLVFKDVTSKFLRKRIVEGGKRSDGRTPNEIRPINSSCGLLPRAHGSALFTRGETQSLAVATLGDNKMAQRIDNLMDVDDYKRFYLQYSFPPSCVGEVGRIGAPSRREIGHGMLAERSLETILPSDKDFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPIKSSIAGIAMGLVLDTKEFGGDGTPLILSDITGSEDASGDMDFKVAGNEDGITAFQMDIKVGGITLAIMREALLQAKDGRKHILGEMMKCLPPPAKRLSKYAPLIHVMKVRPDKINLIIGSGGKKVKSIIEQSGIEAIDTEDNGTVKIFARDLASLEMSKAIISNLTMVPTIGDIYRNCEIKSIVPYGAFVEIAPGREGLCHISELSSAWLAKAEDAFKVGDRIDVKLIEINDKGQLRLSHRALLPDADPDNSNSTDKGSLEEVISLTNAGLVEEKTEQNAKVNTRKGRTSSKRSSEDNSALPSKKFIRRSSEDKPVTNKDKIKKSDNKDESSLVSEEA >RHN49157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52881510:52882731:1 gene:gene43955 transcript:rna43955 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLSATTSFNDHIYDDVALVILSKLPLKSLIRFRCARKSRNLLSNDHSYYDDTSLLLHHSTPWHISRIYAPVLYSLAGERFDNIVKLDWPNPFQEQFDFNFNIYGNASVNGILCIEDTGRVGGIHCIQELQRVVLWNRATSEFKVTPLSPFAFDSPCWHLSISLHGFSYDQVRNDYKVIRHIVFFPKTYEDEICPTQYDCSGGVQVYADGVCHSWGESETQDEVYLVSFDLSNEVFVKTLIPSTMDDIDSRVVFRHLNVLNGSIECILNYVNTGIFHISILGEIGVKESWIKLFIVGSLSCVDHPIRIGKTGDIFFRKEDDELVSFNLSTQKIEELGVKGYSLCQIIVYKESLLPIARINN >RHN55275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16653380:16654531:1 gene:gene30427 transcript:rna30427 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKRDWANLDSLALNMILEKLTEPIDHIWFGSVCKNWHSIANLSHQHDHQFRSNIMPMLTIPSEKSPEKRCLYSIPANRVYPLESTMLNNKRCCGSSHGWLATLDEEDVITWVNPFKDVAPISLPPIDNYMVCKDYDFNVHKVTLSVDPITSPNDYVVAAIYTTRSCLAFIKAGQEFWTYIQDTDHFGFIDITFYKGLVYAVTRWKKIVCFDLCYSDDPWDVEQRIPNVLLQRSDDATYSPLTYLVKSLDGELWMVRRFINRVKNINKGTNSLHVFKLELNDKGDKLMHLSKLESLGDNVLFVGDGDSMSVSTSYFSSYLQKDSIYYSDNYYNEVPVPYPRGPFDMGIYNIKHGSFGVHCPYKSYFKGMAPPIWVVPPFQWN >RHN42998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42472370:42474827:1 gene:gene49516 transcript:rna49516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain, hAT-like transposase, RNase-H MLLCLLQMFLRLMSPICTRIRNSSLSKNWLPFLINRISLTFDLWESNTTETYICLTAHFVDANWKLNSKVLNFCLVYPPTGAEMCERMVEFLSDWGIEKKIFSLTLDDSSENDILQEQLKNQLCLQNGLLCDGEFFHVHCFARVLNLIVDEGLKLVESVVCKIRESILFVRHSKSRWKFFKECVEKVGGVDSSVRLHLDMSMRVNSTYLMLQSALKYQRAFESLHLYDDDYDSCPAAEDWKRVEKICAFLLPFCETANMINGATHPTSNLYFSQVWKLQCVLVDSLGDEDEFVARMAKSMMGKFKKYWDE >RHN78794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18491697:18495440:-1 gene:gene2447 transcript:rna2447 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRIQNKEVGMSSGINSHHTHPGRVWGILHVIKYHHWRHVKRRITHRRHCSYDADEIPRTSDASGADNHSMAVDFKPDTTLSNVEENKLVHSTPHARHSIKSRLKSLLNEDTYKKMGRHKRSSTCPGKSQLTRVDSVHHLEVDPLSEMLLTGENPEPVLETFQNHLAAGTLEVLSPVFSGSKKPNTDNNDKCVECGAMFSSDALEQHDNIHHHKHLSLGDGLQEEKLMNATILTTDASPLLFKDFLDALDVINTNKDFLLKYINDPGSPLPFQIHNQQPNRKSRRAKSISLPICGSSSRSKDSEQMVDDWFDIKSVIESKIQNFGDFHKPSTSSSNKVDHQLLDSDQKSVGRDQNNISSDSSQIQNNVKTKNFKDLRNRIKHIIEESKNEKRRITMDAIVDKIPRGSKFSKNVRKLMNHNQFKNRNGEGNESGTTSSHNGNHLSSYSNNKRPLTGMRTTSLKEAVNRYSQLYDTCFHNEDKNNEVKYPKTESLKLKTEERPSILKTPKSFDRFLSLPNIKSYVIPSEEPSVRLSPQNSVRKSEDRTIGTSANDDYTNSQTLSPTISDHTNEENILNDDKKQVIVKSPSETESGSDVNDDIKSEKSIGLRDNECAASNDHEIGSAIDSSTMLVEANSAFSSDTSFLDGTFELENLNILEEPDKELKPVSADNELNNMYDQQETKVENFLKCGYEIPCMEVDTSKEAAFNYVKKVLELSGFTANESLGVWYSDNQPVDPSIYDELEGCLLLDPDCSGNCDEGGQCNHLLLFDIINEGLLEIFGRSYSYYPKPLSSLSYVHHLPTGGNNVLHKVWKLISWYLTTCDEAYPSLDYYVSKDLAKHDGWMNLQFDSECVGLEIDDLIFDYLLEEIIYT >RHN54360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8994002:8998847:1 gene:gene29379 transcript:rna29379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diaminopimelate decarboxylase MVGTHLLSHSCSLPKTFNHSFTKNPLPQNFILPLKSKSTTKPIVLRAVLSKTPPETTKITNFDHCFSKSEDGYLHCENLKVAEIMESVEKRPFYLYSKPQITRNVEAYKDALEGLTSIIGYAIKANNNYKILEHLRSLGCGAVLVSGNELKLALRAGFDPTRCIFNGNGKILEDLVLAAEAGVFVNIDSEFDLENIVAAARIAGKRVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAVKEHPVELKLVGAHCHLGSTITKVDIFRDAATIMVNYIDEIRAQGFEVDYLNIGGGLGIDYYHAGAVLPKPRDLIDTVRELVLSRGLKLIIEPGRSLIANTCCLVSRVTGVKTNGSKNFIVIDGSMAELIRPSLYDAYQHIELVSPAPANAEITTFDVVGPVCESADFLGKGRELPTPAKGTGLVVHDAGAYCMSMASTYNLKMRPPEYWVEDDGSLSKIRHGETFEDHMRLFDGL >RHN49448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54868547:54870136:1 gene:gene44280 transcript:rna44280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ALOG domain-containing protein MALVSAESTNPSSYNGSDSSGGNKINRYESQKRRDWNTFCQYLRNHRPVPLSASMCNGTHVLEFLHYLDQFGKTKVHNPTCPFFGMPNPPSPCACPLRQAWGSLDALVGRLRAAYDQEINGGSSNPFGDGAVRFYLRDVRDFQSKARGVSYHKKRKRPNRNITTISQSSS >RHN72959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12134206:12134967:1 gene:gene8728 transcript:rna8728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative folate-biopterin transporter, major facilitator superfamily domain-containing protein MFMFFSLVVALQFFTTISVRESSLGLPRNPSIGIKKQLSELLVALRKPEIAYSISWFALSYAVVPLLNGTMFFYQTQYLKIDSSVLGISKVFGQATMLLWGVIYNRYLKSISPRKLISAIQVTIAFLMISDVLFVRGFYRQMGVPDTLYVVIFSGFLEVLFFFKFLPFTVLLAQLCPQGCEGSIMAFLMSAVALAFIVSGYLGVALASYIKITGSDFSGLSLGLVIQASCTLLPIFWSSCIPEYVKTKDKRKD >RHN69798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46330270:46333262:1 gene:gene18313 transcript:rna18313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MNVILPETVSAKKKKLLIKELLQGKEYATQLKLLLKNPVCSDGSPSVKELVTNVLRSFSETISVMNSTEDCSLDVNDSGSLVEADDLKSEDTSESKKRLSPTTKDRRGSYKRRKTDETRTIVSKTIGDTHSWRKYGQKEILNSNFPRSYFRCTRKHDQGCKATKQVQLIEENPEMYQITYIGFHTCKSTLHTPQMVSFSEDTNWDSILVNSNPHSKEVLTNYDQQDSHVISSERSIVKQEYPNNDDSTTPRSDVTDNLLDPNLWSDFKDFELSKDIVYSCTESQNLEIDFGVFSSDFSNDLIYFDESHLL >RHN49281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53781371:53788585:1 gene:gene44095 transcript:rna44095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MNMRSLSSSSSSSPATVTKLALSIHSSFPTLNLNPIFFYKFKSNKHNKPPFFSIRNCTTSNSISAKPSSQLRKNRSSNSDSDPKLTALRRLFSKPDVSIDAYIIPSQDAHQSEFIAQSYARRKYISAFTGSNGTAVVTNDKAALWTDGRYFLQAEKQLNSNWILMRAGNPGVPTTSEWLNEVLAPGARVGIDPFLFTSDAAEELKHVISKKNHELVYLYNSNLVDEIWKEARPEPPNKPVRVHGLKYAGLDVASKLSSLRSELVQAGSSAIIVTALDEIAWLLNLRGSDIPHSPVVYAYLIVEIDGAKLFIDNSKVTEEVDDHLKKANIEIRPYNSIVSEIENLAARGSSLWLDTSSVNAAIVNAYKAACDRYYQNYESKHKTRSKGFDGSIANSDVPIAVHKSSPVSLAKAIKNETELKGMQECHLRDAAALAQFWDWLEKEITNDRILTEVEVSDKLLEFRSKQAGFLDTSFDTISGSGPNGAIIHYKPEPGSCSTVDANKLFLLDSGAQYVDGTTDITRTVHFGKPTTREKECFTRVLQGHIALDQAVFPEDTPGFVLDAFARSFLWKVGLDYRHGTGHGVGAALNVHEGPQGISYRYGNLTPLVNGMIVSNEPGYYEDHAFGIRIENLLYVRNVETPNRFGGIQYLGFEKLTYVPIQIKLVDVSLLSTTEIDWLNNYHSVVWEKVSPLLDGSARQWLWNNTQPIIRETV >RHN77445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6898586:6899535:1 gene:gene899 transcript:rna899 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKDNKSSLFSSIQRFFTLLVCGDVKTTKTSTKDTATSGPKASIVAASKHFSSAHKVKFH >RHN47941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43725494:43726812:1 gene:gene42599 transcript:rna42599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MDRFDVGFNFLNGSFPSSLISWRGISTLVLRDNHFTGGIPGFLAEFSNLSELQLGGNSFGGKIPRSMGTLHNLFYGLNLSDNGLTGGIPSEIGMLGLLQSLDISLNNLTGSIDALEGLVSLIEVNIYYNLFNGSVPTRLIRLLNSSPSSFMGNPLLCVRCLNCFKTSFINPCIYKPTDHKGIINVQIVMIELGPSIFVSGVAVIIILTYLRRNELKKGSDPKQQSHTERKLPDLHDQVLEATENLNDQYIIGIVYKAIVYRRVCAIKKVQFGWNKQRWLSIMRSKIEVLRMIRH >RHN65236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:428162:428611:-1 gene:gene13055 transcript:rna13055 gene_biotype:protein_coding transcript_biotype:protein_coding MKIELPCNETSPRIIEEDCSVQSQATILDPKLARSKGRPPSKRKTSKFDQIVKKKLAQKKTKKNNQNSKKTQGPEEGPCISRGQEIEYEVCYRSQLGDGIGTQESIQVNKEYSSQVNQVQI >RHN74005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23444021:23450135:1 gene:gene9930 transcript:rna9930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MLLHKWFSRDPFIQPFILYVGLPNISNAQNVSCNTFGLLPAVPNGGLDMLHQMGLQGTLRTPIDSSLNVNIPCQRCRDFEECGFCLRGDMCPMEHGVNRIVVEDVQSFIVQPSCFTYKCTPNWSTYCIWITSVNNLTASMNSKCKPGIISKSIVSDVGLPMDGAYPGPGCTSGADLYDPDQPLWNDRGLESSNALLNMQSSKIDDAEPMSSDAPNRVCPSEATRTSGSLHGASSSVWGRIGGSKTRFYTKRKI >RHN49563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55683205:55684014:1 gene:gene44407 transcript:rna44407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MDNQPTPFIEDDVTAADRQRGGTRHPVYRGVRKRRWGKWVSEIREPKKKSRIWLGSFPVPEMAAKAYDVAVYCLKGQKAQLNFPDEVENLPLPATCTARDIQAAAAKAAASMMKASLDEKGCIVSDEDDFWGEIELPELMESDSSWSCSGDIMTWPEVEVLAQQHFMSSCL >RHN69621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44985421:44986908:1 gene:gene18112 transcript:rna18112 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQQSNTQECVLCLCNVIVLGMKIIPFLLLEYSYVQRGPIHVCQIYQSKPVHFRSSGIIKCTATKVRNKPLKQII >RHN66527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13383059:13386024:1 gene:gene14531 transcript:rna14531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MALLVLFFVGLLYLASSVFASNDGWINAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNSGLSCGACFEIKCVNDQKWCLPKSIVVTATNFCPPNNALPNNAGGWCNPPLHHFDLSQPVFQQIAQYKAGIVPVAYKRVPCQKKGGIRFTINGHSYFNLVLITNVGGAGDVTAVSIKGSKTNWQPMSRNWGQNWQSNSNLDGQSLSFKVTSSDGRTVIANNVVPAGWSFGQTFTGLQF >RHN47534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40362502:40365838:-1 gene:gene42147 transcript:rna42147 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGMAQAFDLLSQMDTFETVIPDINETVNILAGFRRSLLDEGSISEHTLRCLEIMVEIPMKRSVTSYQYPQADCLPKYYHLYLEDLERMCTRIVEKAKNPFRHMSALEADVTPFMNKLSEHNVVGSIFLKKLTAARVAFDTGDRECFRRLLDALNADIIILFLKLTPYFKLVKKLKRCLRFDDQFQFGFVANSNVANAVRVSDRAIIQWFTRILIELLD >RHN50691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9533502:9534447:1 gene:gene35013 transcript:rna35013 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFKKRLQEADDAKEKGDNEEMQVKRNQTLRIYLLYLVWVTLFTNKSANYVEKEEEPNKHEEEENFQQMVKVLSKLKVKMLMEEC >RHN45354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17635986:17637115:-1 gene:gene39638 transcript:rna39638 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGANLINKQIFGVVPKHNIVVSVPKQVAVPVDSLVNNNEWVTLDNFDEERPIKEGGNVEVAEISAI >RHN77493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7212761:7218809:-1 gene:gene956 transcript:rna956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ornithine carbamoyltransferase MAILSFNFSVQSERSSFFPAVLPSSSSSLSQISCPRISTSHFPLKISCHVTSIESASVVEKTKVKDFIHINDFDKETIKKILDRAIEVKELIKSGERTFQPFKGKTLAMVFAKPSLRTHVSFETGFSLLGGHAVYLAPEDIQMGSREETRDVARILSRFTDIVMARVFTHKDILTLSKYATVPIINGLTDYNHPCQIMADAITLIEHLGRLEGSKVAYVGDGNNVVHSWLELASLFPIHFTCATPKGFEPDAKTVAKARQAGISKIVITNDPKEAVKGADIVYTDVWASMGQKEEAQKRRLMFKGFQVDQNLMDLAGSNALFMHCLPAEKGVEVTEEVIEGPKSIIFAQAENRLHAQNAIMLHVLGE >RHN59598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12369180:12369746:-1 gene:gene21649 transcript:rna21649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MKSFCSKASFCFLVFMIITNLLHSEAQQCRPSGRIKGEKAPSRQCNQENDFDCCVQGKMYTTYKCSPSVSTHTKAFLTLNSFQEGGDGGGPSACDNQYHSDDTPVVALSTGWFNNKSRCLNKIKISANGRSVVAKVVDECDSRAGCDKEHDYQPPCNNNIVDASKAVWKALGVPHDQWGGLDITWSDA >RHN78501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15718276:15724965:-1 gene:gene2075 transcript:rna2075 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEMGNNNTSAIKEEDNISEADKKNLIEDISENANKISQEENQNIPKFLSKDDVMEKASNTSSDIKIELGKDVHQEDDHANDDVKEKIEPISIAEANDGYEKATRFDSSNTSRMLESDYSLDVDAHASDTNMENQIDPTAEKDDAITELANVSLQEESHGDDVKEKSQMILTDEAKDVEEKDTKLVSHNIESKLEIDSLEGYTHDHESDINMENQMHPKAEEEDVQEKGSGLNIEDATMELEKVLLQEESCGDDAKERSPMIPTDKAKDVEEKATKLISNYIESKPEIDSFEGDANKSDIYMENQMHPTDEAEYAEEKAVGGASEHTRSSIENDLLKGTSYDQEIAAGLAYDDKTSELDVQDESQEDRQDGKMTIPLNDDKDVQGKTTILASDDDPFDLKNSFAGEEEDNISETDKKNLLEDTSEHANEISQEENQNIPQEDAHDADDVKEKIQTISIVDANDAYEKATWFDSNNKTSMLESDYSLEDTHASDISMENQIHPKAEEEDIEEKAKGLDSEDATTELGKVSMQEESHDDDVKEDTQMIPTDEVKDVEEKAIGHISHYIESKVENDSLEGDTNESDMDMENQMHITDEAEDVEEKAIGMDSEHTRSSLENDLSKSNDHEIAAGLSSDGKTSELEDEDKNDGNLTIPFNNDIDVQGKTTILASSDDQTISGNSFGGEGEEITGVIQPEKSPCAESVEGESCESLSSSSFEGSDECAKQEDSCLRKNLSVTYNHCLNEVSSIKQDEEETSVLTLNAMNTSSDSEPQESPNVHHDDVVEFPSDHSLQGNESLRKDTFPDADSHFQHIKHDVSEKYMESQELLCSNKFDESDGNEFVNDLMDTSETLSDPASIGINNNEEKSKVLNEENKSSETGSTNENPQDCKQDQCIKELQEDKSDMANTSEIAIGSNGDCNGDTHAALDSSVFDTYVSNSIKVSDESSASQEENNHVVHEVEPVFLISGSTDVDCIHGKGENNGNKVEDTDEKTESSYVMLSKFEETNMLEQCNSDMLTISQEESFSLQNSSSLLHIYKYQQGNVEQTKSFTATTMLKSDEEEIEKEREDYSQHSEATSLIVEKLTTSTELSSNNSTFANGGYETRENVTRLSTESNSDNPNITCQMQKSPSFNLNLRMESRREESDQIPLLDKSSDDSLPNKASLNISNSMSHDEYGLIEEKIVTMERSYSEISKASFIGFLKEEEAHVLVMAQTQDINVGSKIEVKEVSSTSPKGKEKRKSRSYFFTSCMCCATVPN >RHN79584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30302510:30303035:1 gene:gene3397 transcript:rna3397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MDMQVEATRHLNHPSKKLFVFGDSYVDTGNTIKPISGSWRAPYGITFPRKPSGRFSDGIVLTDFLAKYLGLRSPIIHKLWYNNIVPEHDLKYGMNFAYGGTGVFDTFSS >RHN56868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34356045:34359945:1 gene:gene32331 transcript:rna32331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-IX family MNMNKNIIVHPLTFFTLFFSIITFTHSQTNDASIMQTLKNNLKPPLSLGWSDPDPCKWTHVSCSDDNRVTRIQIGRQNLHGTLPQTLQNLTNLQHLELQFNNFTGPLPSLNGLNSLQVFMASGNSFSSFPSDFFAGMSQLVSVEIDDNPFEPWEIPVSLKDASSLQNFSANNANVKGKLPDFFSDEVFPGLTLLHLAFNKLEGVLPKGFNGLKVESLWLNGQKSDVKLSGSVQVLQNMTSLTEVWLQSNGFNGPLPDLGGLKNLEVLSLRDNSFTGVVPSSLVGFKSLKVVNLTNNKFQGPVPVFGAGVKVDNIKDSNSFCLPSPGDCDPRVNVLLSVVGGMGYPLRFAESWKGNDPCADWIGITCSNGNISVVNFQKLGLTGVISPDFAKLKSLQRLILSDNNLTGLIPNELTTLPMLTQLNVSNNHLFGKVPSFRSNVIVITSGNIDIGKDKSSLSPSVSPNGTNASGGNGGSSENGDRKSSSHVGLIVLAVIGTVFVASLIGLLVFCLFRMRQKKLSRVQSPNALVIHPRHSGSDNESVKITVAGSSVSVGGVSEAHTVPNSEMGDIQMVEAGNMVISIQVLRSVTNNFSEKNILGQGGFGTVYKGELHDGTRIAVKRMMCGAIVGKGAAEFQSEIAVLTKVRHRHLVALLGYCLDGNEKLLVYEYMPQGTLSRYIFNWPEEGLEPLGWNKRLVIALDVARGVEYLHSLAHQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKASIETRIAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMELITGRKALDDSQPEDSMHLVAWFRRMYLDKDTFRKAIDPTIDINEETLASIHTVAELAGHCSAREPYQRPDMGHAVNVLSSLVEQWKPSDTNAEDIYGIDLDLSLPQALKKWQAYEGASQLDSSSSSLLPSLDNTQTSIPNRPYGFADSFTSADGR >RHN74498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33983776:33986694:-1 gene:gene10583 transcript:rna10583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MELKQQLVRATRVDGNYVKVKNCVLTPKFRGRAVSAFLSHHFSEVTDYSSTAAMEFELDNVSAGTTNWKSLVRDYSTRFKTCCERTSNVHIRQVEEMLQNKFADYLFGSLPDQSRLCPSCMEGTLTFKVRRLSAAGYCIGCDQHPRCT >RHN78563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16281675:16286328:-1 gene:gene2181 transcript:rna2181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-NDR family METARRWFRKRWLKKKEATSTSNDHQCLALDLVNEEPPSNETKLKVEAAKHFVESYYKNQKQNQQERKERRNILENKLADAEVSKEDKKNLLKNFEEMETEIMRRQRLKMGADDFEPLTMIGKGAFGEVRICREKTTGQVYAMKKLQKSEMLRRGQVEYVKSERNLLAEVDSNCIVKLYCSFQDDEYLYLIMEYLPGGDMMTLLMRREVLTENEAKFYIGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHVKLSDFGLCKPLDCSNLQEKDLSDGVNRSGVLQSDELTLSPNQSQQQQLEHWRKNHSRMLAYSTVGTPDYIAPEVILKRGYGVECDWWSLGAIMYEMLVGYPPFHSDDPRTTCRKIAHWKTYLKFPKDKLSPEAKDLICRLLCNVKQRLGAKGADEIKAHPWFKVVEWEKLYQMRAPFIPKVNDELDTRNFDKFEEEDQKTEPSPKAGPWRKMLQSKDINFVGYTYKNYEMVDANAIPGFVELKQKPKPQRPSINSLFEDESAEASSHQPAREREI >RHN74512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34170044:34172713:-1 gene:gene10597 transcript:rna10597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial import receptor subunit TOM7 MAPRVSLKAKGKSSKKTAEDRSVIDSVKEWTTWGMKKTKVIAHYGFIPLIIIIGMNSDPKPQISQLLSPV >RHN54493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10015214:10016460:-1 gene:gene29546 transcript:rna29546 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLSTTYSSLLRLPPLPNKDLIRRRRITFYRKEKNLAITALAGSSLGKSASRLTAVSPTLTAVSFPLSTDSHGHLSLNVPMS >RHN39269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5442311:5443330:1 gene:gene45277 transcript:rna45277 gene_biotype:protein_coding transcript_biotype:protein_coding MLELKGTVAEAASECLSSISEAPQIGGVTNKCSSKKKNKNKKQVAMKRPKCFSPKYTTFCDHTIVRKMKGPRLDVDAICASMLEGYEKNKVLWAAKQQLEAAATHMGPSSRKKNETTKRKMKKNKRSKMKIPFNF >RHN54395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9235358:9239091:1 gene:gene29424 transcript:rna29424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like, vitamin K epoxide reductase MATFTLTTLSPSFSSHFFAPVRFNRSPNLFYKNLHFPKLQSLKCSSSSSEPETSTIDWTYKLISGIAGIGFIETSYLAYLKFTGSDVFCPVGGDTCSSILNSDYAVVFGVPLPLIGMAAYSFVAALSLQLTTKKNLPFGVNRSNAQLVLLGSTTSMATASAYFLYILTTAFPESSCSYCLLSVLLSFSLFFLTLKDIGLQEKYKQLGLQLVIASLVILTLNTSYSSAKSTSSMAKIELPYFATEITTPSSPFALSLARYLHSIGAKMYGAFWCSHCLEQKEMFGREAAKQLDYVECFPDGYRTGTKMIDACLDAKIEGFPTWIINGQVLSGEVELSELAQASGYSESDQPS >RHN56125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27982394:27983508:-1 gene:gene31472 transcript:rna31472 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGGFPISKSLDRFKSLYGSASGTAKPLSSSISARQSSDSVSSGSFANLKLTAGFDSDFTSIFVFFLLLLIVIGKFERNAVMRCLISSSAEKLVKDQASVKTDLDIANTKLKKSLEHIRALEEKLQNAFNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLQQLAGIVQDAEKDKEKLESKLSASSEALESLNEQMNGLSLKLDSTEETIKARDNELVKLKFAAEEREKFHSDEKCRAANVIEEKGES >RHN49989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2922159:2923876:-1 gene:gene34235 transcript:rna34235 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDLVDDINAMLEGAEPPSTGDCCIYKVPSKIRKLNADAYTPTVVSIGPFHHGHPQLQNMEPQKLIHFKAFLQRTEACLNDLVCYVDSILSNFKRCYSETLPFSHDELVKLILIDSGFIIELFLRYHYGGFVFELWFDDGITTNLMLLENQLPFFVIEKIYSLSFSSTNASNPNTMIPCFLELTINFFFSFNKSNLFFDDGDISIRHFTDLIRIFHLQHPFENRPGRIDIKDINIGEWMLHLPSATELLEAGVKFKVNTKSKCLLDLRFSGEVLEIPSLIVEDRTEALFRNMVALEQFHYTNKLYITDYVSVLDYLINTGKDVDILVHKEILENWLGDSDSVANLFNGLCINVVHTNISSQFSILCKDLNAFCRNPWHKLKATLRRDYGKTPWQAAASFAGIVLLVLTFIQSVCSVLQVVQAS >RHN42531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39064273:39065471:-1 gene:gene48980 transcript:rna48980 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESQKQRPEEVKSSAEDPSLLCSFINIFKVKEQAATEESKEVEEKKQEKPNAEGKQAATTMTASSKNVKLSQKKDQKEQKQNKNQKVEDVVQIEDQQKEHNNKKDGDEKKSSGECETSQNQENESEDAETKVDAETKVDSETKVDAEKGMEKPTKFNFDFAVSYGSHGSSIIP >RHN54989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14240605:14248949:-1 gene:gene30105 transcript:rna30105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MPPKPLDYESINENVKKAQYAVRGELYLRATELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLLFPADAIARAKQYLSFTSGGLGAYSDSRGTPAVRKEVAEFIQRRDGYPSDPEFIYLTDGASKAVMQILNTIIRGEVDGIMVPVPQYPLYSATIALLGGTLVPYYLEETANWGLDTNELRRSVREARYKGLHVKAMVIINPGNPTGQCLSEENLREVLQFCYEENLVLLGDEVYQTNIYQDERPFISAKKVLMDIGPPLSKEVQLVSFHSVSKGYFGECGQRGGYFEMTNIPPETVDEIYKVASISLSPNVPAQIFMGLMINPPKPGDISYDRFVRESKGVLESLRRRARIMTDGFNSCRNVVCNFTEGAMYSFPQIKLPPKALETAKQAGKAADVYYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEEMPAIMDSFKKFNDDFMEQYDDHRGYSRL >RHN68201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33638683:33644108:-1 gene:gene16518 transcript:rna16518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MGLGGVLMQNRQVVAYASRQLKVHEKNYPTHDLELTAVVYTLKIWRHYLYGSKFEVFSDHKSLKYLFDQKELNMRQRRWLEYLKDFDFQLSYHPGKANVVADALSRKTLHMSALMVKELELIEQFRDLSLVSELTSDGVRLGMLKLTNNILEEIKNGQKEDLELVDRVTLVNQGKGADFRLDENNVLMFRDRVCVPDVLELKRQILDEGHISSLSIHSGATKMYQDLKRLFWWPGMKKEIAEFVYACLVCQKSKIEHQRPSGLMQPLFVPEWKWDSISMDFVGALPKTSKGFDSIWVIVDRLTKSAHFVPIKTGTEPAYEVRIAPTYKHIIRPSPIRYEILSRN >RHN50883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11401545:11414892:1 gene:gene35232 transcript:rna35232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione reductase, cytosolic MARKMLKDGEPDLNKGAEEGTNFDFDLFIIGAGSGGVRAARFSSNHGAKVAICELPFHPISSETIGGVGGTCVIRGCVPKKILVYGASYGGDLEDARNFGWELSENIDFNWKKLLQKKTDEINRLNGIYKRLLSNAGVKLFEGEGKIAGPHEVEVTQLDGTKLSYSAKHILIATGSRAQRPNIPGQELGITSDEALSLEEFPKRVVILGGGYIAVEFASIWRGMGANVNLVFRKELPLRGFDDEMRAVVARNLEGRGINLHPRTNLTQLIKTEDGIKVTTDHGEELIADVVLFATGRAPNSKRLNLEKAGVEVDKTGAIVVNEYSCTNIPSIWAVGDVTNRMNLTPVALMEASHFANTVFGGKTQKPDYRDIPYAVFSIPPLSVVGLSEEEAVEQTNGDLLVFTSTFNPMKNTISGRQEKTVMKIVVDAQTDKVLGASMCGPDAPEIVQGIAIALKCGATKAQFDSTVGIHPSAAEEFVTMRSVTRRVTGSAKPKTNL >RHN77836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9771208:9775316:-1 gene:gene1329 transcript:rna1329 gene_biotype:protein_coding transcript_biotype:protein_coding MIFHSKGRKLDELSFETEECCGKNMAASTTPTGAKSSSVNVIHIIDSDDEPDISHNISLDRQGSGKISLATCFAKEEGKNLDNNHAQNNKEKLDFGEDILFTANTKRKRPCNVVMSESESDQDDDGMSNSVTSANLEVDKVTDSQMPRRRLQTLRKLVSKNRDNKMSSVRPHKVKYQQSIPKNDDDDLGVDLSYSEEDNLSDFIVDDVDASDCEDISDKSQEESNSDLDANSSSSQDLQDNNKDTYVQDASDRQGSGNISLSTCTVAERGEDSKSNYAQKSEENSDLGEDYSCTVVPKRKQARNVVLSESENDDEDDDLPISKLIRKHVEEVSVDDLVNAVDDAAADIDDDDDDDDDMPISQVIRKKKASRRRLKRLTKCVSKSNDDKTSLCFPTNNDAHDDDDDDEELEEDISNSEGENLSGFIVDDSDVSEINSNKSQDECNGDADSDDSNISQDLPDHSKDSDSQDVSDGEIDLVKILSKIKREKGQKIKWEDEHDMLKEFGNDAVLCMKAVCVLYRQEVLGDQRYEETFGRDGRGFSRHDTARGCALGRFLTDDSPYDGLKKTVEELEEYNPEGVKTCGTLAFKYSKQIFEIFKNKEDPNFC >RHN62084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40619804:40624073:-1 gene:gene24617 transcript:rna24617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MARGLKVLSALDSAKTQYYHFKAIIIAGMGLFTDSYDLFSITLITKMLGRIYYSDHQEIRQVNPVVVSALVSVALLGTAFGQLLFGRLGDLKGRRHVYGIALLLMLTSSLASGFSICTTKRACVLLSLGFFRFFLGLGIGGDYPLSSTIMSEFANKRTRGSFIAAVFSMQGFGILASATVTMVVCLVFRSGSKPATAFDVPPEADVAWRLILMIGSVPAALTYYWRMMMPETARYTALVEQNVLQAAKDMEKVLDVSMSQITEEHPLPPATNVAYPLLSREFLWRHGRDLFACSANWFLLDIVFYSQVLFQSEIYKRYLNEKDDEDVYQEAFHLARIQAILAVCSTIPGYFFTVYFIDRVGRVKIQMMGFFFMAVSFFALGFPYYSHWTKGENHDNKGFMVIYGLAFFFANFGPNTTTFIVPAELFPARFRSTCHGISGAVGKVGAIIGSVGFLWASHKEKEEGYPKGIGMKASLIILGGVCIVGMFVTYFFTKETMGRSLEENEDEQSHHAEEYNDL >RHN77238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5312348:5312956:1 gene:gene674 transcript:rna674 gene_biotype:protein_coding transcript_biotype:protein_coding MECIKRWFFKRLSKESWRLKNFLSALKWKRNYWPFSFINYVNFKIKLVFETMVLFIMLSFFYLCCGCTF >RHN71754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2440788:2443266:1 gene:gene7388 transcript:rna7388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MEEILLWFPILLILILLISNVGKRIKSKKITSNLPPGPWKLPIFGSIHHLIGSLPHHRMRELSLKYGPIMHLQLGETSAIVVSSKEIAKELFKTNDVTFSQRPRFLGAEIVSYGSTNIVFASYGDYWRQLRKICTLELLSAKRVRSFQSIREEEVLNLTRCISINTGTIINLTHEILSMQYNIISRATFGDKCKEQEAYTKFIKETIKLAESFSVTNLFPSQHWLHVISGMVCKLKKIHKTGDMILENIINEKKTKTDGDGSLLSYLLSLNDHGSSNPDGFHLTINNIKAVIQDIIFAGSETTSSTLEWAFSEMMKNPRVLKKAQAEVRQVFGNKGYIDEINFQELKYVKAIIKETLRLHPPSPLLLPRECIETCEINGYTIPSGTQVFVNGWAIGRDQKYWREGEKFYPERFMDCLVDYKGSNFEYIPFGAGRRICPGITFAEPNLEFPLAQLLYYFDWGLPYGITHENLDMTEVFGASVKRKNGLFLIPSLYNHVPLE >RHN54972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14087479:14088549:1 gene:gene30086 transcript:rna30086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MKCSHEHTLFVKNESGGKLLIVSRYMDDLIYTENDVTVFETFKHSIKGKFAMTDLGNMRYFLGVEVKQDDQGIFIGQSKYATKILTRLGMENCNMVYSPIVIGRKLVKDETEKAVDAIKYKQMVGCLM >RHN77488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7188845:7191519:-1 gene:gene951 transcript:rna951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSYRSLFLSLIKRRNTGLISNNYHHNHRSARFFTSNAVTSDYVEETPNSSEGDDDLRSRILRLRLPKRSATNVLQKWVLQGNSIPVSELRDISKELRTSQRYKHALEISEWMVSHEEYELSDSDYADRIDLMNKVFGIDAAERYFEALPLSAKTCETYTALLHSYAGAKMTEKAEELYERIKDSNLPFDAVTYNEMMTLYMSVGQVEKIPSVVEDLKQQKVVPDIFTFNLWISSCAASLNIDEVRRILEEMRNGAGSDESWIRYLNLANVYFTAGHLDSASSNSLVETEKGITQSQWITYDLLVILYAGLGNKDKLDQIWNSLRMTKQKMISRNYICIISAYLILDHAKEAGEVIDQWKQSTTTDFDVLDCKRIMDAFTEIGLDEVANNLNMILIEKNLNPDNN >RHN71925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3634912:3636382:1 gene:gene7578 transcript:rna7578 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dodecenoyl-CoA isomerase MCSLEKRGNLWILTITGDDQNRLNPNLISSLLSTLTTLSSQSTPGSVLITTATGTRFFSNGFDLLWARSATSPSAATERLHSMVQSLKPVAAALMSLPMPTIAAINGHASAAGFLLAICHDYVLMRSDQGVLYMPEVNLGLPLPDYFAAVFGEKIKSPVVLRDVLLGGVKIKGKEAVKLGIVDSAHDSVESTVEAALRLGEEFAKRKWAGEVYAEIRKSLYPQACLVLGLTPKSLVSKI >RHN66216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9811394:9820184:1 gene:gene14159 transcript:rna14159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MDDNDISNNAVKNKWTMPLSVFFKDASLVFKMDSLAKEILGIAFPSALAVAADPIASLIDTAFIGHLGPVELAAAGVSIAVFNQASRITIFPLVSITTSFVAEEDTMDRINTKAAEKQFNESGKAKSNEVMPDDHLLQDIEAGATKQDSTLKNGDDANSNISKSSIVTNSSNKSESKPIRKKRHIASASTALLFGTVLGLIQAATLIFAAKPLLGAMGLKYDSPMLVPAVKYLRLRALGAPAVLLSLAMQGIFRGFKDTTTPLYVIVSGYALNVAMDPLLIFYFKLGIRGAAISHVLSQYIMATLLLFILMKKVDLLPPSMKDLQIFRFLKNGGLLLARVIAVTFCVTLSASLAARLGPIPMAAFQTCLQVWMTSSLLADGLAVAIQAILACSFAEKDYNKVTTAATRTLQMSFVLGVGLSLVVGGGLYFGAGVFSKNVAVIHLIRLGLPFVAATQPINSLAFVFDGVNYGASDFAYSAYSLVMVSIASVTSLFFLYKSKGFIGIWIALTIYMSLRMFAGVWRMGTGTGPWRFLRGQSLS >RHN65323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1208954:1213777:-1 gene:gene13148 transcript:rna13148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 18S rRNA (adenine(1779)-N(6)/adenine(1780)-N(6))-dimethyltransferase MAGGKIRKEKGKPSSQHTPYQGGISFHKSKGQHILKNPLLVDTIVQKSGIKTTDVVLEIGPGTGNLTKKLLEAGKKVIAVEIDPRMVLELNKRFQGTPSSRLTVIQGDVLKTELPYFDICVANIPYQISSPLTFKLLKHQPAFRCAIIMFQREFAMRLVAQPGDKLYCRLTVNTQLHARISHLLKVGRNNFRPPPKVDSSVVRIEPKKPRHEVNQKEWDGFLRICFNRKNKTLGAIFRQKNVISMLEKNYKTVQALKLSQEGLLKEADTKVDFSNFADFVDDQGMEMDDDGVDDNDEDEMDVEDGGPSEFKDKVLGVLKEGDYEEKRSSKLTLLEFIYLLSLFNKSGIHFT >RHN80494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38590191:38590775:1 gene:gene4429 transcript:rna4429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEASHEQQLSLGSREQQSPIPFSINIAYSSTEGGTRESARYNVYACKTCKKTFTSFQALGGHRKVHKKPRYDRQVQEFQFKTNNKSISLKLNSINGMGNIYASSSSSSNNTSTTTTTNNNSNTNNNKTKVYGCSICGSKFTSGQALGGHMTFHHAPVETTSSTPMALQPDEEDEEPPRKKMNVSLDLDLNLPAA >RHN78452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15271005:15271448:-1 gene:gene2019 transcript:rna2019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzyl alcohol O-benzoyltransferase MAKSLVFKVKRRDPELITPSKPTLHETKLLSDIDDQDSLRWQVPLIQFYNHDPNMAGKDPVDVIRKALAKTLVFYYPFAGRLREGPGRKLMVDCTGEGVLFIEADADVTLKEFGDALHPPFPCLDELLYYVPGSSDVINTPLMLIQV >RHN51964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29232958:29237035:1 gene:gene36549 transcript:rna36549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MIANQPNSMASSKIFFFSMFVSFFYFATIKAQDPPVYLVIKRCSPNDNTTINSTFQINLNTLLSTLSSKAIDNTEFYNTTVTSVNPTDSVYGLFMCRGDVYSQLCHECVVNATQKLSLDCPLSKQAVIYYDDCLVRYSDNYFFSTLTISPGIDIFNQYNVSNTKSFMPILFSTMNKTAEKAAGPLTGDKDTKFATIEKQISESQTLYCLAQCTPDLSFNDCRTCLGCAIRKLSNVYDGKQGGRNLYPSCNIRYELYPFYRSTNAPSLNELVPQTNDSKQDSNFTQDPVYLSDNCPRNHSTITNKNFKLLLSYLSSNATNGTTFHIAKVEEKVYGLFLCRGDLPNRLCGQCVKNAAEQIYSKCLSCPKGIIWYSHCLLRYSDRKFFSNIETSPMYRDINITEDSITYQNSFTSTLSNQLYQLANDTGDSDEMYLTNSLKLNDKQTLYSLGQCTRDLSSEDCASCLNTVIVTAIPWPNLGSVGGRIMYPSCNLRFELFPFYMDKAQPPGSPSPLPGNAEKQKIIFIVVPTIVLVMLFSIGCYLLKKRGRKSRRTILRENFGEESATLEPLQFDWVVIEAATKNFSTDNYIGKGGFGEVYKGILLDGREVAIKRLSKSSNQGVEEFKNEVLLIAKLQHRNLVAFIGFCLEEQEKILIYEFVPNKSLDYFLFDSQQQKLLTWVERFNIIGGIVRGILYLHDHSRLKVIHRDLKPSNILLDENMIPKISDFGLARIVEISQDEGSTNRIVGTFGYMSPEYAMVGQFSEKSDIYSFGVMLLEIIAGKKNKSSFTPHHVAYDLLNYVWRQWMDQTPLSILDPNIQEDYSTNEVIKCIQIGLLCVQHDPDARPSISTVSSYLSSYAVELPTPKEPAFFLHGRKNSNILAQESSSTQSANSSALFSNNQMSASTFIPL >RHN53864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4808633:4810319:-1 gene:gene28824 transcript:rna28824 gene_biotype:protein_coding transcript_biotype:protein_coding MRCILMKTIPYTTEKKIDVVVETTVCCQTCDHSGTWSLNGAKPIPSAKVSITCKGHVSYYKVFRTDKNGYLYAQLEGFKMQHYIKDHPLHSCFVKPVCSPPESCSVLANVNYGLNGSPLRYENKRLRGSRY >RHN59684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12642867:12643838:-1 gene:gene21768 transcript:rna21768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein CcsA MIFSTLEHILTHISFSVISIVISIHLITLLVNEIVGLYDLSKKAMIITFFCVTGLLITRWFFSGHLPFSDLYESLIFLSWGFSIFHMVPCFKKEKNLLSTIIAPSVIFTQGFATSGLLTKMHQSVILVPALQSHWLMMHVSMMILAYAALLCGSLLSVAILVITFQEAIQILAFTKNLDFLNKSVDFVEIKYMNMNERNNVLRKTSFYSSRNYYRSQFIQQLDRWGYRIISLGFLFLTIGILSGAVWANEAWGSYWNWDPKETWAFITWTIFAIYLHTRKTKKFEGVNSSIVASIGFLIIWICYLGINLLGIGLHSYGSFTSN >RHN61830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38673388:38675704:-1 gene:gene24330 transcript:rna24330 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFSTGMAMVPHAISTKKRPAKNEKICLEDYIHLLHSRHTVDLTMNQLNQVIRIHGFKKIHHAPKKVLTDAVDAIDLVDLPRSTLSESISAFAVLTVEEAVADLSDLNWQECCVTSIQKFGCCEDRRSFPASMDQNPGVANQSHSQSRSLTPETFKRKLEAAKLVPRRKRSSIQSLQSSVSIVDSASC >RHN52158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32110776:32111642:-1 gene:gene36788 transcript:rna36788 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWTATSSIKKSFNVFVTKRIIVQTVKTQTSSPSYQPKSSKPIPFSSTFDPSNPTAFLKNVFDFIAKESTSFFDNDSAEKVVLSAICTVKVKKAKITVEEKMKVDKAAAVAEKKAKDVYEKEDEKKDEESGLTAPNQGNLMRVIIGG >RHN52872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39778347:39787463:-1 gene:gene37603 transcript:rna37603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA mismatch repair protein MutS, type 1 MIRQKSILSFFHKTSPENRTSAAAKTTAPSAPQSNPEDRTIKPVVNPPPPSDDVRGTDTPPEKVPRQVLPANYVANTKNSGSCLFESIMHKFIKVDDGEKVNQRSQSSNPDSFPKPSPSSNIFTDTNRKGLQKEVAAAFEPLVKAKDNAVNFKEKVSHDNTFRSQSSNHDSFPRPSPPSSIFTNTNRKGLQRDAAAFEPVVKAKDNAVNFKQKVNSGNTFLFENDDDITGPETPGMQPLTSNVKRKVEDVSKLSSLRDSGKRVRFLDDSISLDMTKKELEIASKFEWLDPSRIRDANGRRPDDPLYDRTTLYIPPEVLRKMTASQKQYWSVKCKYMDVLLFFKVGKFYELYEMDAEIGHKELDWKITLSGVGKCRQVGISESGIDDAVKNLVARGYKVGRVEQLETSEEAKARGANSVIQRKLVQVVTPSTNVDGNIGPDANHLLAIKEESNGSDNGSVTYGFAFVDCARLRLWVGSIDDDASCSALGALLMQVSPKEIIYERRGVSKEAEKAFRKFSLNGSTTLQLTPMRSITDLVTSEISDLIHSKGYFKGSSNSLDHVLTNVIHCEITLSALGGLIGHLNRLMLDEVLQNGDIYPYQVYKGCLKMDGPTYINLEIFGNSNDGGKAGTLYKYLDNCVTSSGKRLLRNWICCPLKDAEGINNRLDVVDHLIASPVIVSHIAQHLRKLPDLELLLGRTKSSLKVSSPILLPLLVKKILKQRVKVFGSLVKGLRTTLSLLLILQKEQPLISSLTKVFKLPVLTGSDGLDQFLTQFEAAVDSDFPNYQNHDVTDTDAETLTILAELFLEKANQWFEVVHAINCIDVLRSFAVTSSFSCGTMSRPVIVPTSKSTSKDSGAPVLKMKGLWHPFALGETGREPVPNDMILGENEGGHHPRTLLLTGPNMGGKSTLLRATCLAVIMAQLGCYVPCENCVLSVVDIIFTRLGATDRIMAGESTFFIECTETASVLHNATQDSLVILDELGRGTSTFDGYAIAYAVFRHLIEKVNCRLLFATHYHPLTKEFASHPRVTMQHMACAFKSKSDTLSKQDQELVFLYRLAPGACPESYGLQVALMAGIPEKTVNVASKASQQMKISIGKNFRSSEQRSEFSSLHEEWLKTLMSIARIEDVESFDDDVLDTLVCLRYELKSSFKSGN >RHN77402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6599846:6615106:-1 gene:gene849 transcript:rna849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Sec3 MAKSTGDDVELRRACESAIEDPKQKIILSIRVAKSHGILGKSSKLSSRHMAKPRVLALSTLTKGQTTTAFLRVLKYSTGGVLEPAKLYKLRHLSKVEVLTNDPSGCTFTLGFDNLRSQSVAPPQWTMRNIDDRNRLLLCILTISKDVLGRLPKVVGIDVVELALWAKENRAPVSTQSNVKDGGPVASAMTERELKVNVEKDLVSQAEEEDMEALLGTYVMGVGEAEEFSERLKRELQALEAANVHAILESEPLINEVLYGLEAATNVVDDMDEWLGTFNVKLRHMREDIASIETRNNSLQMQSVNNKSLIEELDKLLERLRVPSEFATCLTGGSFDEERMLQNVEACEWLTSALRGLEVPNIDPTYAIMRAVKEKRAELEKLKSTFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEGSTGSGQNVNATDTSTVSDAYAKMLTIFIPLLVDESSFFAHFMCFEVPTLVPPGGVVNGNKAGYDDDDDLGIMDIDENDSKSGKNSAELAALNESLQDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRLLLGDLESRISMQFSRFVDEACHQIERNERNVRQMGVLSYIPRFAILATRMEQYIQGQSRDLVDQAYTKFVSVMFTTLEKISQTDPKYADIFLFENYAAFQNSLYDLANIVPTLAKFYHQASEAYEQACTRHISMIIYVQFERLFQFARRIEDLMFTVAPEEIPFQLGLSKMDLRKMLKTSLSGVDKSINAMYKKLQKNLTSEELLPSLWDKCKKEFLDKYEGFAQLVAKIYPNETFTSVAEMKGILANM >RHN39566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8240616:8244756:1 gene:gene45601 transcript:rna45601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative remorin MNMYSKNKDYYNNKNNPFVDDFIDPLCKLNLKETSEFVKSLPVSNTNAENRRLSNDSVTQMRKLEAPSTPGRPLFSFSSSSSSSIVGRNLPRKSFPSKWDDAEKWLISTSCHDSPAHNNNTLKGVSSLESGTRHCDNGFKQKMEEGFSEKSRVIEEKVLSKSVTNFQSSSSSLDHNNSVGAFNGISCPPTDIVLKDKYTDSIEPILPKFRYSEPTKEGFLFRNQACEAMHESYTEVIHEVKHKDVGTEMTPLGSSTTSRCHTPFKSSSPARHNTPASRSGPLALSNIDSNGCSVDAIQLEECHFSKLQFGTTKYDLVAPNWSSSEEEEKEISKSLRHNASLKADSDCIAASWEEDEKNKCCLRYQREEAKIQAWINLQNAKAEARSKKLEVKIQKMRSNLEEKLMKRMSVVHRKAEDWRETARQQHLEQMEKSTQHAKKIIHRHNSQFSRHSSCGCFPCNNNH >RHN64743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61469628:61469921:1 gene:gene27594 transcript:rna27594 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCVNNVKNIFHLKTPSEMWFGFVFKYSGLQDLSATDCALIDYGLLSTFYASEVALGDIKLPFSNWGCDNDIGRRVIYVSFAGPRLFVEPYPTNLS >RHN66907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21279813:21280007:1 gene:gene15025 transcript:rna15025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MQDANLMFVKGIDSKQDLSSGYFYDVTLEAKDGEKVNVYQARIRERPWQQLWEFKLVGEAPLLI >RHN46816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35112165:35115435:1 gene:gene41347 transcript:rna41347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MITVHRAYKLQGMMSVEIIETSEQDQITPVPVEVTTNEEITEEEAVCRICLDVFDERNIFKMECSCKGDQRLVHEECLIKWFSTKRNKKCDVCLAEVQNLPANLVHECRSVQPRNIRLSAWQNFVVLVLISTLWYFHFIVDLLYRDLKTRGIIIAAAVSFTLSLLASVFAFFLAIREYMWLYALLEFGLVDATFLLFYTLL >RHN40098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13265813:13273196:1 gene:gene46200 transcript:rna46200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, thioredoxin-like protein MGPEANGTEPEKPTEAVQLQPINIPLHFVLPENHANGHETVTNNEISYEQGGVDSKNEGFIHHPHSLLPTPVPPEIYQTNKNNESPTSLSVTGGTMPDFGTFIRQRSNDLSAAIAKRVSSFRQSVEEENVTEFNLSGLRVVVKTKPGEEEDEKMKGRITFFSRSSCRDCTAVRKFFKEKKLKFVEINVDVFREREKELRERTGTVSVPMIFFNEKLIGGLVALNSLRNSGEFERRLTEMVVEEYADNDAPVPPVYGCDYVEDDRTDEMVGVVRVLRGRLLVQDRIRRMKIVKNCFEGNEFVEVVVQHFKCARNEAVEIGKELSRKHFIHNVFGENDFEDGNHLYRFVEHEPFIHKCFNFRGAVNDNEPKTAALICDRLTKIMSAILESYASDDRKHVDYAAISRSEEFRRYINLTQDLQRVNIVELSENEKLAFFLNLYNAMVIHAVISVGSPEGVIDRRSFFNDFLYLIGGHPYSLAIIENGILRCNQRSPYSLMKPFSTGDKRLEVALVKLNPLFHFGLCNGTKSSPTVRFFSPHRVVDELRGAAREFFENDRIEVDLEKRTVHLARMFKWFSGDFGQEKEVLKWILDYLQPNKAGLVTHLLSDNGSVNISYQNFDWSLNS >RHN62244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41969553:41973343:-1 gene:gene24798 transcript:rna24798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MRRTRCFLDISIGEELEGRILVELYNDVVPKTAENFRALCTGEKGSGPNTGVPLHFKGSCFHRIVKGAMIEGGDISTGDGTGGESIYGLKFEDENFEMKHERKGMLSMANTGPNTNGSQFFISTTRTAHLDGKHVVFGKVVKGMGVVRSIEHVTTGDEDRPVLDVKIVDCGEIPEGEDDGITNFFKDGDTYPDWPADLAEIPSELEWWLKSVDSIKAFGNECYKKQDYKMALRKYRKALRYLDICWEKEGIDEEKSSGLRKTKSHIFTNSSACKLKIGDVKGALLDTEFAMREGHNNAKALFRQGQAYIVLNDIDAAVESFKKALTLEPNDAGIKKELAAARKKISDRTDLEKKAYSKMFQ >RHN69826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46557196:46558057:1 gene:gene18345 transcript:rna18345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MGYTKKAIESFVRMREFGVEPDAHMYNTILREMLNEKLLELALALYTTMLKSNVEPNFYTYNMLIDGLCKRGEVKGAQELLDEMKRVGIVPCVLSMTSILYSCWFPPSDMISCNVVLNGFCKTGRLKEALSFVWLIKKDGFSLNRNSYTSLINGFFKARRYREARVWYTKMFEEGIVPDVVLYAIMIRGLSEEGRVGEAGKMLEEMNQIGLTHDAYCYNVVIQGLCDVGLLNRAQSLHLEISERNVCTHTILICEMCK >RHN40295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15016666:15017976:-1 gene:gene46434 transcript:rna46434 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGAATLWIPLLAGEEITCDYHFSHEDEEKKIPCSCNFELTDIKVVKLYIMSLNILLSVTC >RHN80046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34595027:34595860:1 gene:gene3922 transcript:rna3922 gene_biotype:protein_coding transcript_biotype:protein_coding MKADFQLASAAYVRNIGHSLGAWVLCFWLFLLMLARPVCEMHGLCARRVRQHPILC >RHN40762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20464256:20466846:1 gene:gene46963 transcript:rna46963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MMRFINYKAIKGKNMAQFSKLFYVIIIFLSLFLVAMSADHQFECTTDHDCREVECFLDTLVAKCFVSFVLGRFLSKGICSCV >RHN63458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51444243:51444365:1 gene:gene26157 transcript:rna26157 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L24 MYRKQHKDIAQEVVAKRHRATKKPYSRSIVGATLELIQKK >RHN78796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18518597:18520213:-1 gene:gene2449 transcript:rna2449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain-containing protein MKFGISFFVVLDFVAYCVVAVESAGRQIPIAFLERVKEEFSKKYGGGEAATASARSLNKEYGPKLKQQMQYCVDHPEEINKLAKVKAQVSEVKGVMMENIEKARPSKLSLHRVLIERVLDRGEKIEMLVDKTDNLRSQAQDFRTQGTKMKRKILT >RHN38706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1401293:1402883:-1 gene:gene44667 transcript:rna44667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Actin family MYGGVSAIVIDLGSHTCKAVYAGEDAPKAVFPSVVGAIDQMDVDESDDAEKTLAPGNQRTILEMLMGIKPRERENCM >RHN72252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6128613:6131273:1 gene:gene7944 transcript:rna7944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DOMON domain, cytochrome b561/ferric reductase transmembrane, DM13 MLRETLLIFLSLFFFGYADPAPKCTRNSSFIDFESDFIMVQHQLRGHFKIIDDCSFRVSQFDMLSGSDVHWWGAIDTDFDNFTNGGFIVSDHKLNHTYANLTFVVQLMKNVTWDMIPVLSVWDIPTASNFGHVLIQNITTKNDGGEEKEKRKVSVHTEPTMFDNCKVLSKDFRVRWSLNLKEDSIEIGLEGATGVMNYMAFGWANPNATDSELMIGADVAVTGFKEDGLPFVDDFFITKYSECVKNSEDGSVEGVCPDSIYEGPDRVGLVNDTRLIYGHRSDGVSLVRYKRPLSQVDGKYDQSVVQSANMTVIWALGKMRAPDTVLPHYLPQNHGGLPFETFGHLVLNVSQNVNDCKGPLDAGDKEDQDVIIADAKVPLVVSTGPALHYPNPPNPAKILYINKKEAPVLRVERGVPVTFSIQAGHDVALYITTDPIGGNATLRNLTETIYAGGPEAHGVQASPTELVWAPDRNTPDQIYYHSVYEKKMGWRVEVVDGGLSDMYNNSVVLDDQQVTFFWTLSKDSISIAARGEKKSGYLAIGFGSGMINSYTYVGWVDDNGVGRVNTYWIDGQDASSIHLTQENLTHVRCKTENGMITLEFTRPLVPSCSRGKRPECNNIIDPTTPLKVIWAMGSRWSNEHLTERNMHTVTSSRPILVQLMRGSAEAEQDLLPVLAVHGFMMFLAWGILLPGGILAARYLKHLKGDNWYKIHVYLQYSGLAIIFLALLFAVAELRGFHVSSTHVKFGIAAIVLACIQPANAFLRPQNNQMESSQHLKG >RHN40242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14543198:14543510:1 gene:gene46366 transcript:rna46366 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHGNIVMYIFVPLWLQGWQISMISMGSMIWDSFLIRCFAFASIILFCFVLFLRV >RHN70427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51383528:51384321:-1 gene:gene19006 transcript:rna19006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin activation peptide MNIVPFSAQTSYIIFVLFILNVYKFIECEMGRYKAGMLLLWVFFALCTLEFKVEAKASSPLEREIEAKLKLLNKPAVKSIRSKDGDIIDCVNIYKQLALDHPALKNHIIQVRY >RHN70492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51776324:51778117:-1 gene:gene19074 transcript:rna19074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MTMENNKDQQSITSYLKFFIAKLYSRNFFAFNLAFCCCCLLVGITLTFCAKTFSFNIQLQQLQNPPLIFNEPSHFSPPPISSNNSTKSHYQNNQTKFSINENSLEDYSKIPMVTHDMNDDELFRRTSLISMIHEPPFNQTPKIAFMFLTKGPVLLAPFWEKFFKGNEGMYSIYIHPSPSFNQTVYNERSVFHGRRIPSKEVKWGETSMIEAERRLLANALLDFSNQRFVLLSESCIPLFNFSTIYTYLMNSNETFVEANEIKNSQWKKGSQWFQIDRYLGLHIVSDKTYFSMFKKYCNTPCYSDEHYLPTFISNEFGKRNSNRTLTWVDWSKGGPHPSSFTGKDVTTEFLERLRFGSTCEHNGRTSICHLFARKFTPHALDILVRYAPKLMQFN >RHN79266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26859084:26859913:-1 gene:gene3033 transcript:rna3033 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLFFFLSDFAPHLFPPFLILHSVFLFNCTFVPYLFSNCTILHPLFFFLNDFAPYL >RHN48915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51101203:51111816:1 gene:gene43683 transcript:rna43683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling CW-Zn family MISAGDRDEIKGLGLGLGLGLGSRRREMVEFELEEGEAFSYQNREQDFDTTVDPDVALSYIDDKIQDVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYQRSPAWTHPRTPQKNHSQNSPRSPNNLHSESGQVDAVQCSTGTQLSRLGPGSATSSRLAAIKGLSLDDGTNNESCMSITNAEALNSKYQSLNTKAASISDQKTLKVRIKIPDDLSTRKNAAIYSGLGLDVSPSSSPDDSPSESEGVSRGPLDAPFESPTSILKIITTFPVPLSPLPDDLIELTEKEVRTRDSIPGLVHIDDPESSGMLLNESNIVKGDRKLLGGKKVKSLEDYESSMEFKGCSKKNTRNDVGRPSRKEQAADALTMEELVSNTMKLPLLSNLHSLGEDSVKDVNGTCNSLKEANKGVVKEKTLSDQAQKEGVDQASSEVNGFSERAKGGSGRKVVGDKVLLDDTKVRTTSNTECVEPPKKPNQKRGSLGEQDSTTLPFVTEHSYPAGKKKSKGIHDTVIIEREKENMKVGSSSIPKTKRSTDDSYTSRNEIEDVKVQKGSGKARDAYRDFFGELEEDEDKTDSPETPYEAKPKESEAVERSTPETNLGAKETSGGKKMDKSLTAEVYPRTATNVWCTGIAPSTDAENGNGVPAILPPVEMEDNWVQCDRCHKWRLLPAGTNPDSLPEKWLCSMLNWLPDMNRCSFSEDETTKALFSLYQVHSLDAQSNPQNISGSVMMGGTGSTFQHPGQRHLNNDMHAVPGGKKKIAKEISSVNAVITDGVSHPSYSIKKNMQSSVKSRSLNDVNKSPVVSEADAPGERHKNKPRMPEYNSDRGDAKNKKSRRDPDQDCSRPSKKGKTDKVHSADKDWIPEQNGTGRKISHSSNNTMPTTSAGKDRPRQKGRSSSSDSKFRKDRPPVSTEKRNDKGQGSLDEGSLDLGNYGSIGSVKKRKLKEYQDAQTRSTGNPRPHESRISEHEFSDSRKEKKARNSRSEGKESSASKGSGRTDKKVSHTKNQNFRQNPGSNHSHRSMDRMDSSKRDLGSVQVSVAATSSSSKVSGSHKTKASFQEVKGSPVESVSSSPLRILSTDKLSNREIMGKDEPHNTAAVDSPRRCLDGEDDGASDRSETARKDKSFTMAHRSDFQGKGVDHTTDTKPKGQTSSHYPDSGAETVALEYPAAEQIKHHGEDRTGVYYANDNVSHARKTGTQSGLEENKQGCKSEPPKVKVKSSSSPSQLPDQSPLHDANDRDEKVKLEKFGLNPDQNENIASKKDLTVKNESRKKENHVKREHDIQEVRIDALCKQEPLHAPSKNQLADRDTGRSSKRSLSERPADQEVLGKGKSQVETLSHCPRPAASSQKGNGDMEVDPAKVDDASKLQKKQFKKADHINGTQQIGSRNPALNGHRSKEPDAPSPVRKDSYSHAANNAVREAKDLKHLADRLKNSGSTLESTNLYFQAALKFLNGASLLESGNNDNAKHNEMIQSKQMYSSTAKLCEFCAHEYEKSKDMASAALAYKCTEVAYMRVIYSSHTSASRDRHELQTALQMIPLGESPSSSASDVDNVNNPTVADKVALSKSVNSPQVAGNHVISARSRPNFVRILNYAQDVNFAMEASRKSRNAFAAAKASLGVGKNSDGISSIKKALDFSFQDVEGLLRLVRLAVEAINR >RHN66536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13503542:13506028:-1 gene:gene14540 transcript:rna14540 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETAPEIVDPNTAAAAATTVDMEVENVSESKEKRTREEEGEEEPKDDVVSKKAKVDEEKSVEEERLEKLEKNEGDVKDEKEASGDVKLGPKTFGSSLDMFHYFHKFLHAWPQNLNVNKYEHTMLLELLKNGHAEPDRKIGVGICAFQVRDHPRYNSRCYFLIREDDTADDFSFRKCVDHISPLPEEMQLKSEGNKKFGGGGGKHHGGNGGRGRGGRGGWRGGGGRGRGGRGRY >RHN81300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44673539:44676710:-1 gene:gene5316 transcript:rna5316 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLLHYRIQKKSKKHKHMDAFTERQEALVNSSWEAFKKNIPQLSILFYTLILEKVPDAKDMFSFLKNFDGIPHNNSTLEAHAELIFEMTRDSAVQLRAKGKVDVADDVTLEYLGSVHVQKGVIDLHFMVFKEAMLKTIKKAVEDKWSEELDCAWGIAYDELAAAIKKAMGWP >RHN55353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17586053:17593536:1 gene:gene30523 transcript:rna30523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MKKKAEQNGMFSGMVVFFVSKGVQARRLQIWKQRMVQMGAVIEDRFSKRVTHVFAVDSHTLLHEFDSQRLSRFKGSLLLYQWLEDSLKSGEKESEDLYVLKLDPQGEGINEIDTSLDPEPIDGSLSSEPQQLQNKKIKSSSEDAEIVNLKSNEDRRENAPLSLANTASSHGEVEHLNCVDSRPQHLDSENAASSLPYCPPDLNKKIIEIFGKLVNIYRALGEDRRSFSYYKAISVIEKLPFKIESADQIKNLPSIGKSMEDHIQEIITTGKLSKLEHFETDEKVQTISLFGEVWGIGPATALKLYEKGHRTLDDLRNDDSLTNAQKLGLKYFDDIRHRIPRHEVQEMEQILQKVGGNVLPGVTIICGGSYRRGKATCGDIDIIVTHPDGTSHKGFLPKFVKRLKDMSFLREDLIYSTHSEEGTDSGVDTYFGFCTYPGRELRHRIDLKVYPREIYAFGLVAWTGNDVLNRRLRQQAESKGFRLDDTGLFPAIQGSGGKRGTKGTANMKLYTEKEVFEFLGFPWLEPHERNL >RHN66431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12217757:12219229:1 gene:gene14417 transcript:rna14417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MRLTPTTSDTEVSGLEKKNIGRITQIIGPVLDVVFPPGKMPNIYNALIVQGRDTVGQEINVTCEVQQLLGNNRVRAVAMSATDGLKRGMVVINTGAPLSVPVGGATLGRIFNVLGEPIDNLGPVDTGTTSPIHRSAPAFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGELTREGNDLYMEMKESGVINEKNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLGTEMGTLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFKLILSGELDSLPEQAFYLVGNIDEATAKAANL >RHN43682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47678337:47681265:1 gene:gene50296 transcript:rna50296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MDSNGKEENTSIRINRYTLLCALLSSTNSILLGYDIGVMSGASMLIKENLKISRIQQEILVGSLNLCSLIGSLASGKTSDTIGRRYTIVLAAATFLIGAIFMSLAPSFLFILTGRMIAGIGVGYALMISPLYTAELSPTTTRGFLTSLPEVFITLGILIGYVINYALTDLPINLGWRIMLAISALPAILIAFGVIFMPESPHWLVFKGRVSEAKRVLLSLSTTPEEAELRLQEIVKNKNDLAHGPGNWIGQGVWKELFLRPSKPIKRMLISAIGINFFMQASGNDAVIYYSPEVFKAAGIHGKKKLFGVNVIMGLSKSFFVFLSAIYLDKFGRRPLLLIGSFGMAVSLFGLGFGSKILEGSGKPVWAVVVCIVAVCADVSFFSIGLGPITWVYSSEIFPMRLRAQGSSIAISVNRLVSGVVSMTFLSISKKITFGGMFFVLAGIMVAATGFYYVSMPETKGKTLEEMETLFVEDDDDNNNNNNEKQGSHDKGVDKGVV >RHN60597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28655092:28656493:1 gene:gene22930 transcript:rna22930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MNQLEFYKDCQEELVKLVGKENATSIISGAAYLLVDGSGDFAQNYFINPILQNLYTPYQFSDVLIEEYYNFIQNLYALGARKIGVTTLPPIGCMPFIITKFGYHSNKCVETINNVAIYFNKKLNLTTENLIKKLPGVKLVIFDIYQPLYELIIRPSDYGLFEARKACCGTGLLEVAILCNKISIGTCADASKYVFWDSFHTTEATNKILMDHLIPTATSLLYSNQTVR >RHN56913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34718685:34719077:1 gene:gene32378 transcript:rna32378 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPKLVVHNSFAMLQVDCENPSGETLLADHELHAESQDMQRVINDSGIEASNGNAGLESGFDIEALATLASSSLDSTLLPGAMPQPITTYHASLSADKLPILELVNTPVHDGVVCSSADQRCVDILQQF >RHN65149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64527462:64529142:-1 gene:gene28055 transcript:rna28055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MERKTKKERRRCKKEAEEVGFVDENIQILDIPIHILFDIMLKLPAVSLIRCSSVCYKFKSIIFDPSFQQSYLIKAPLSFVVLSDNLRLTSIDSQFHTLNPNISNHSSSCIAIQPSLDSKPCNPTSSTTSTASTAATPTIHHHHHHHHHQQQSRNNRTNSRTWSLIPDAPSTRPMVNSSFDPSLNGALHWLTEDASICSFDLNNNKFKSVPPPSHFDDEYVSRISTISVGVLKGCLCLCYVIEGARLETWVMSKYGEKESWSKAFSIEIKSYCGLSPQDKHRPIGFNTSGEMWVTADSDSRSFTKCLVSFNPETGVFRNIEIGGAASNIQATPQVLSYFSIKKMVNIRHSKLQLQTLRSAKNHALGFDFLLMGNFR >RHN53683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3315874:3322404:1 gene:gene28616 transcript:rna28616 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGWVGVLNVRQQHVCQLRTLFHLEQISVWTNLTMLSHIMRIKNKIDDDEEGRPRSLPTNYEDCCYTPTNYLQYPNNSTSTWWNILCMHMTFLL >RHN50697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9561685:9562323:-1 gene:gene35019 transcript:rna35019 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRFCTIRSRPRKFGITFTIPDRITSEINDKKRIIGKNPLRITRIPSGGPREFHNLVQEAEKGSSTILTLKKKNGVGNKLFSHKRAYHRNRFNDWCVDVFYFESFGKSEDQPSENNSLG >RHN54813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12577766:12579606:1 gene:gene29901 transcript:rna29901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >RHN50027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3245758:3248930:1 gene:gene34275 transcript:rna34275 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNINPNPNRFDQKSNYTNLMVKIYVAMCLENMKVTTKNLISPT >RHN74504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34035939:34043367:-1 gene:gene10589 transcript:rna10589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfurtransferase MASSLFTKILLRPRSIHTSSFFSHNPPILSSFFNKRLFSIRAVPTSTAVNTKSTGWSPCMASSIVSRTATYSTRSAPSNEPVVSVDWLYDNLKEPAIKVVDASWYMPDEQRNPIQEYQVAHIPGALFFDVDGIADRTTNLPHMLPSEEAFAAAVSALGIQNKDDLVVYDGKGIFSAARVWWMFRVFGHDRVWVLDGGLPRWRASGYDVESSASSDAILKASAASEAIEKVYQGQAVGPITFETKFQPQLVWNLEQVTKNIEEKSHQHVDARGKPRFDGIAPEPRKGIRSGHVPGSKCIPFSQMLDGSQTLLPADDLKKRFDQEGISLESPVVTSCGTGVTACILALGLHRLGKTDVPVYDGSWTEWGAHPDTPVDTAKQTQ >RHN70104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48801626:48802324:1 gene:gene18653 transcript:rna18653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGNIKGKCKKNKILKAWRSLGRGGDNSNMRSLLLNKSSSKSFSENAKGRIVKIPNGCFTVYVGLQSQRFVVKTKFVNHPKFKMLLDEAEVEYGFQNDGPIRLPCNVDMFYRVLDEMNNIEEDYNIDNCTCRSFKKVMGFSFFCSSKPHS >RHN82353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53122382:53126344:-1 gene:gene6515 transcript:rna6515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MHNKDVCSPSSKSGYGIGVNNAGHMQSNAGVFASLYSALSNDLPSSLAGNSSNGGGFSLYPYSDLGTEYDSCVIQKHQDMVNRHSMFLSRLLESSKEVEALQQENGQLRAVNKELQKNLNLLVQASLENRFNGGGSSVQTQSTPFDVLQGFRGLNLGDGKENCADWNSNNINNNNKELQEASESDESPTSVIENNGVETERFSLPKSISVRSNGYLKLAPPPAVVTNNNACRTKGATRSRASSTQSDTVQKVFVRGGQKEEEPLEMVVYNQGMFKTELCNKWQETGTCPYGDHCQFAHGIGELRPVIRHPRYKTEVCRMVLAGVVCPYGHRCHFRHALTEQEKAMSQPKPRSMKLER >RHN53914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5279027:5285631:1 gene:gene28879 transcript:rna28879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NEMP family protein MACTRTPQSSSFLRLILLWASAVLVLANEQRSLFIVENTTLPLSRGLPVRNSPGSKPGVTLIVERVHIHGLSRFRNLVKFAHSVKVKVFPANSNVRVPNIEVCFHRNASLATGMCPQGQWEKAVKGSWVRTMSPFDHKLLDIRTAGSTLENFEVSAEEEFFAYRIVLLILGITLMSSAAFLSQSLTFYYSSAMAIGIILVILIILYQGMKLLPTGRKSSLAIFLYSSAIGLGTFLLRYIPGLVRSILTELGIDEDMYNPLAIFLLTFVAIAGAWLGFWVVKKLVLTEEGSVDMSTAQFVAWAIRILAAIMILQSSMDPLLGTLALLCGSLVPSLKRILRLRFLRRLRRRLFKSPEKNRRRSQVYNPSPFDYEDDEYIDNIEDSTPNRPQVKSSSMTPCKSSERGFNRSLPKMLTEELYPSIIHTTPERRKYSPAEWDAFTKESTEKALEELVQSPDFGKWLSTNADRISVTPNSETNRARRWLWS >RHN76493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50757063:50766970:-1 gene:gene12832 transcript:rna12832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoporin interacting component Nup93/Nic96, tetratricopeptide-like helical MANEDLSSWTDLLHSSSKLLEQAAPSAQFPPLQRNLDQLEALSKKLKSKTVRAEAPSQSIAATRLLAREGINAEQLARDLKSFELKTTFEDVFPVEATSVEEYLQQVHEMAMVSAVQEAQKDNLRSFNDYMMKVLEEDWQKEKRDFLQSLSRISTLPRTNMIANSNVGTRPGQIVSMASTPQVSSGSMEIVPMTSRPIADKKASVYAEVVKNLNRARQSGLPFKLAATFKGAYESLGVDAGGGKSVTMRKIWHLVQMLMDEDSTLRRVSKRMSLIIGARRHLEWGHEKYIMDTIHNHPAQASLGGGVGNLQRIRAFLRIRLRDYGVLDFDAGDARRQPPVDTTWQQIYFCLRSGYYDEARNVALSSRASHQFAPLLTEWINTGGMVPEEVATAASEECERMLRTGDRVGRTAYDKKKLLLYAIISGSRRHIDRLLRDQPTLFSTIEDFLWFKLSAVRDCPSGSSSIVLSDGLIPYSLDDLQSYLNKFEPSYYTKNGKDPLVYPYILLLSIQLLPAVLYLSKEAGDEGYNIDAAHLSIVLADHGVLSEGIGTGQKLGVMDAYAEVSTIIRQYGSMYLRLGDLQMALEYYAQAAAAVGGGQLSWTGRGNVDQQRQRNLMLKQLLTELLLRDGGIYLLLGARGAGEEGELGRFVADPNARQQFLIEAACQCQESGMYDKSIEIQKRVGSFSMALDTINKCLSEAICSLFRGRLDGESRTAGLIHSGNEILETYTYYPDVSHQEREQVFEQQTILRQLESILSIHKLSRLGNHVDALREVAKLPFLPLDPRGPDTAVDVFENLSPHVQACIPDLLKVALTCLDNVTDSDGSLRALRAKISSFIANNVKRNWPRDLYERVAQRL >RHN41191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28001860:28002727:1 gene:gene47483 transcript:rna47483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP deaminase MAEYRISVYGRKQSEWDQLASWFVNNALYSKNAVWLIQLPRLYNIYWSMGIVTSFQNILDNAFIPLPFEATVDPNSHPQLHFFLNQVRQSVYLCSCAHLYFVCLYVLVHSFLYVAW >RHN65450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2334542:2337508:-1 gene:gene13289 transcript:rna13289 gene_biotype:protein_coding transcript_biotype:protein_coding MACSVNQQSFLLCSSLLLIMAVSVSSFHPTFLPNTIFDAETLTGRHLLQAKKGCSVNFEFLNYTIITSKCKGPKYPPKECCGSFKEFACPYADVINDLTNDCASTMFSYINLYGRYPPGLFASECREGKEGLACDALPPSVSADDTANQIVHTPSLVLVLTACIFLILLF >RHN77527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7434862:7435674:1 gene:gene991 transcript:rna991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MKSGMGIEERKQLKRPAQASSRKGCMRGKGGPENASCTYKGVRQRTWGKWVAEIREPNRGARLWLGTFETSHEAALAYDAAARKLYGSDAKLNLPELSTPPQNTTSSPSPTPPQMQQQQQHPHIQIQPNNNNNINNSFNICNNINMNNNNNNSPVFVSLSSQQVGGDITPIYSSDNSVMSFPLDSNSTITNTMESKGMEISSDSFFGTVNYETMPVIDDDSIWTEAAMSLDAAISMDFPMIVDDADGIYNSGANFAEVGAWDSLQTPWCM >RHN50965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12376390:12380697:-1 gene:gene35322 transcript:rna35322 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSQKDFPVDDQQRTKIPNKNCKRKNKKSKIKSFTTATRARGTGFPINPFNFSSLLYDTNIKKLAEQAEKYPSFNQMAQQDGLLKSHKQKTFSIMSRINKSRDLWTLAERLSDALVQDPSTSSMLEIYVKLSFEGRRKQGKAQVDRDPCLKLILDEIENGGPAVLMRYWNDEWVLKMFGLVMGISLGPDSGDAVYHENSGSFVHHTAIIGNVKLCCQNLKELASNRVSPQSSSKYKCKQTERTQETQEEHSLAVLMLKLNLVDLLFRRAPCIPHLYYWCGYVRTHSGGCSRVKSSASTHNLPDQETQLGAGKEGLLTILARVTSETPSVRFAQEFALVLFLYHCLCTMPCEQCDAVLKAVEAAIEAARYQIDNPQLDRESSGVE >RHN49283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53801767:53802552:1 gene:gene44098 transcript:rna44098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MGTMSNKLGHFSYLMRGLYTYYKFAFGFDNSSETYKVVMLTLDVVENRTHVQVLSVGDNIWRTIQSFPAVPLPNCYKNQGGSDGVYLNGRLNWLAIQDRLVSVYGWEENIKAKEFAIVSLYTETKSFTRLMPPRGFDEMSNVKPSVCILKGSLCFSHDFKRTEFIMWQMKIFGVEEPWTQLLKISYQNLRTRFHDFADLEYCQLSPLHLYDQSDTRILANNQEQRAILYNLRDNTAKRTKIINEIHWFSAKVYVESFVSYI >RHN77258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5475953:5477528:1 gene:gene696 transcript:rna696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MKPAFAAMLLVCLILSSFMFEMSIAGTDSGRFCSSKCGQRCSKAGMKDRCMKFCGICCGKCKCVPSGTYGNKHECPCYRDMKNSKGKPKCP >RHN59368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9897367:9897891:1 gene:gene21394 transcript:rna21394 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSTWKLSRDLPFIHLHSYITKHTRSKSNVQKSCNKLQRRSA >RHN54315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8573823:8575171:-1 gene:gene29326 transcript:rna29326 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:WUS MEQPQQQQQPQTQQHSPNNGIMGSRQSSTRWTPTTDQIRILKDLYYNNGIRSPSAEQIQRISARLRQYGKIEGKNVFYWFQNHKARERQKKRFTSDVNVVPIIQRAPNNNTIISAANWKPDHHEQQQNINVHTNHSTYNISSAGLSSASCSSAEMVTVGQIGNYGYGSVPMEKSFRECTISAGCSSSQVGSTINPHIGWIGHHVDPYSSAYANLFEKIRPNEEIMEEYDQGQENGSPEIETLPLFPMHGEDIHGGYCNLKSNSSNYGGWYQAEDAGFMYGSRTTSLELSLNSYGCRSPDYAN >RHN80874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41472099:41474600:1 gene:gene4850 transcript:rna4850 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQLRMMKLHLFLEAPEKHNILGVPNNFCNNTNPPAIIVKSINFVEKFELSNIISLWWTRPNMPDIQLLSQRLRIIIDQGLILDDKPKMSL >RHN65152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64557835:64558144:1 gene:gene28058 transcript:rna28058 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRGGLGVCKLRERKELLLNLPEASVVSEGRRGVDDEANSALIRSVSRIRIS >RHN39549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8132420:8132977:-1 gene:gene45580 transcript:rna45580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MASSNGNNTSTKSNNKRKRSTIKIKKAEQSNKPLDTFSKRKLGLFNKVTELSILCNAKTAMIITSPNGKLYVCGYPNPNTVIKHFLDRENPVIDADKRKQDHEGVVETLRFQHEAIEERLKEENNYLEGVKERNKSSSCFSCWWGHSIDDMALESLEQFKTSLVKLKLNLDASLQGKNIHITTQS >RHN71725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2245999:2247010:-1 gene:gene7356 transcript:rna7356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine-rich transmembrane CYSTM domain-containing protein MSEIKYGYPYPAQGPYQGPPPVAAPPQYYAAPPPPPKREPGFLEGCLAALCCCCLLDECCCDPTIIFAS >RHN41105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27007420:27007659:1 gene:gene47394 transcript:rna47394 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRMRWMTATVVRTACSDLKDWRSKTMVVRAKTKGVMVRTVVVIGEVVRSVVVVKTVVAVREMKIDTGREEEEGRKRE >RHN63832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54326354:54332499:-1 gene:gene26586 transcript:rna26586 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRLFHVHDAVHVSTTAPSPGMLAMENLVSSLAPKSESSSEPSPQRSSKSLSSYAPNSPLLMPAPAPIQEPNDIDVSTPTPNQAPHRSPNIFMEILLWLVIIVFVFAIIHATITHVRETSQPIETNTSTTNINIISINVHH >RHN57101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36471334:36473175:1 gene:gene32599 transcript:rna32599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MDPQEPKRVDREIWQCLAGPSFKIPKLNSQVFYFPLGHLEHACPSPNTEALSLINSYRPIIPCVVSDVDLLADLQTDEVFAKLILTPITNDSVHEPQEPEVRENEHGGDRLVFSGKTLTQSDANNGGAFSVPSECAKLIFPPLDLTSPMPSQVLPIKDIHNFVWNFRHTYRGSPKRHLITTKWSKFVDTKKIIGGDSLVLMKISKDKDKDKIFIGIRRHKLSAAAKITEKSVMEAAELADKNMTFEVIYYPTASHWCNFVVDAEAVKKAMQINWQSGMRVKHCLKTDESSKRSSIFQGTVSALSDPSHHPWRMLQVNWDESEVSQNPSQVSPWQIELISHTPALPLQFPPQKKLRIAHVSALSTNIERPSIPEIEFNFFNPASMNCDAFLNSMQGTRPNLFSASTSSTSLNDNDNGFVEGTHNLNTKKVNPRSIKLFGKTIEVVEKDLHESRINGEDGSD >RHN41121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27206024:27209336:1 gene:gene47411 transcript:rna47411 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSNVSHRNSLSQMLADIVKFAVGSLKIIPGRKQVDKMVPEGLINIPMPTTPLKTKKHLDKKVANELDFETKMEKVTEDMNNVKQQYKTSTKLVEESQQPPNKMDGDVFKGINLLQKNGRRVFIRSRL >RHN75607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43682191:43683048:-1 gene:gene11838 transcript:rna11838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MDPNSHSIFSGDTTVDPIFSNFTLQSLLTLNPSYFSDNYHHILTDDSPPLPNFPESIDQTQDLFNNVNKKHFLVPKPEHPFNLPSLEEYLPFQPRQQQPFNFFPKHLPPFEPLHRLPQLRSPEPSNRKRLHPETTPSPPPPSSLIPQSNLARQRRQKLSEKTRCLQKLMPWDKRMDQATLYEEAYKYVKFLQAQLSVLQSMPSYGVGYGGGGTTASGGVFDDLEKLKRNQALQILVNSPVAQTKLCERGYCVFSMEQFSLLKKLSEKRQQQENFSEHGSSKTFFH >RHN43023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42614622:42615116:-1 gene:gene49543 transcript:rna49543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MSWPDGDVFDGCWSNRLVHGYGVYRSVNGDVNTGNWKAGRLDGRGILNWTNGDQFDGCLSHGLRHGLGVYRFVNGDVYTGNWKEDEMDGTGIMSWANGDVFDGCWSNGLIHGSGVFRFANGDVDIGNFRSKQLHGNGKYTFSNGTMYEGCICSNGKVIEKRLMI >RHN76255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48902973:48904771:-1 gene:gene12548 transcript:rna12548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGHHSCCNKQKVKRGLWSPEEDEKLINYITNYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSPQEAALIIELHTILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKLLSHDFVPTSLATFSDIHCPRNGSVESFFPLFNDNPILNSNHQYHFDNHLYLPINIPTPILQGIDHQNDIKNIDINSYNPNFLHVQNNPILPETLPSSNIPSSYELDTWSLIHHLNPNIQENHQITTKSDAATQNYNIVDQNFINIPNTNTYTWQQQQQHYDSNSHLVNQLEPIVQKVFDSETIKDNYVCSIPFSSSASSQEHDHHHHEVVNQIADQCYNTDHYQGAIICPKQDHHQTMAAPNNDHQVEYNIEALIMSSLPSSTKTSSSSPLSFYQPVTLTKPILP >RHN76319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49347720:49350837:1 gene:gene12621 transcript:rna12621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MVSSVEPQHVSLGKKRKLKIKDNTNSVVEGTGKKRKLKMKDNTNSVVEGDGPKEIQMAEDAGQMVQSVESVDRISELPNHVIHHILSFLRNVKDAIRTRSLSKRWRTMWFSFAALMFYEQKFVAGIGPEDGSNKENLFRQHVADSLHTYLANNAQIHKFLLHMTSFDLTDAPLVDSWLTSAVSQDIKEIDLQVGFKDSKLYTLPEVVLSSETLTGLRLSGCILQSFSNIMLPRLQKLYLRKIHLSELILLSLISRCPSIEDLRLIQCSGLKFLCILHPSLSRVDIHNCNQLKKVDIIAPNLDTFWFCGKKSTPCKVGLQGCNDSLKNLTIEHPLVSRDFCKNQFSRFSLLEKLDLCIFDKTKSFTIFNRSLQRIALKGGKKLTYAQIHAPKLVSFELKGENMSYFDFTAPLRLTDAKISLASITESKDVEVLDGNKLWFKMVPFIERFGPEGYKLIMHSNKHIIIHEDWSSILYPPLRDLTFEIIKSSACVEDILYGILRTTHPESVSIISSYDSKFHESVYEMIKIKDEDPVCCSYNTSTNKCWRHFLKGVKFESWKEMLDVMGASEDESANRLYLWLQSSYTPTSRHQMTNLRLSWNSHEPDVET >RHN52700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37850082:37855279:-1 gene:gene37398 transcript:rna37398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MIIGLCRKDITEEVKKQLWLAVPMIFASVFQYSLQMISLMFIGHMNDEVLLAGAALANSVTSVFGYSVLVGFSCALETFCGQSYGAQKYHMVGIHLQRAILINMLLTIPQSIILANLRPILIFLYQDPNIAAEAGFYGRYLIPNVFANAIFSCIVKFLQTQNIVVPMLLASGITSLVHFLNCWIWIIKLRHGIKGAAIATCISNWLYTVLLVLYIKFSSSCRSTWTGFSRESLHNIPQFLRIAFPSAIMVCLESWMYEIMVLLSGTLPNPKLQTSVLSLCMSIAAVVWMISFGLSGAASVRVSNELGAGNARAARLAVCVVVVIVVTQAILVGTVMILLRNIWGYAYTSKVEVVKQIAIMLPILAAGNLIDALQSVLAGIARGSGWQKAGAIVYLGSCYLVGIPAAIIFAFVLHTGVKGLWFGIICALIAQAFSLMIITLRTDWEKEANKAKDRVYKCITPESLVS >RHN68030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32244120:32248467:-1 gene:gene16316 transcript:rna16316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MSSEPHRRAVHGDVESHDSGAVTPVSNDDQPEEFSIEKGFEGKLVPTWQKQVTVRALFVSLMLSVMFTFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKLLEKAGWLTQPFSRQENTVIQTCVVASSGIAFSGGFGSYLFGMTPTIAKQIPEFDGSNDVKILGLGWMIAFLFVVSFLGLFSVLPLRKIMIVDFGLTYPSGTATAHLINSFHTSEGAKLAKKQVKALGKFFSFSFLWGFFQWFFTAGDACGFANFPTFGLEAYHRKFYFDFSATYVGVGMICPYIINISLLIGGILSWAVMWPLIGSKKGDWFSAELNENSLHGLQGYKVFISIAMILGDGLYNFVKVLSTTLIGMYKQWNKKDKGAESNDPNAPLQPTLSFDDKRRTEMFLKDQIPSWFSIAGYVIIGIISIITIPHIFHQIKWYHVILIYIIAPALAFCNAYGCGLTDWSLASTYGKLAIFTIGAWAGSANGGVLAGLAACGVMMNIVSTASDLMQDFKTGYMTLASPKSMFVSQVIGTAMGCIISPCVFWLFYHAFGTLGQPGSAYPAPYALVFRNIAIIGVDGFSALPKNCLRLCIAFFFSAIVINFVRDLVPKKYSKFIPVPMAMAIPFYIGSSFAIDMCVGSLILFIWQKVDRAKADAFGSAVASGLICGDGIWTLPSSFLALAGVKPPICMKFLSKAKNAKVDAFLEH >RHN53603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2796844:2806476:-1 gene:gene28527 transcript:rna28527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cu(2+)-exporting ATPase MAKLLSLACFRNEGWHNLSARSHYPSMPSFPKSEPGTSTVEEPSKVTALFSVHGMTCSACAGSVEKSIKRLHGIHEAVVDVLHNRARVIFHPSFVNNLEMTGSSDAALVAALEAVAQAVQQQPKVETGGDGTRMLETFLRNHPPTFKGRYDPDGAQNWLKEVERIFRVMQCSETQKVRFGTHMLAEEADDWWVSLLPVLEQGDTVVTWAMFRKEFLSRYFPEDVRGKKEIEFLELKQGDMSVTEYAARFVELAKFYPHYSVETAEFSKCIKFENGLRADIKRAIGYQQIRVFSELVNRCRIYEEDTKAHYKIMSERRGKGQQNRPKPYSAPADKGKQRMNDDRRPKRRDAPSEIVCYKCGEKGHKSNVCGRDDRKCFRCGQKGHSLAECKRGDIVCYNCNGEGHISSQCPEPKKTRIGGKVFALTGTQTPNEDRLIRGDPE >RHN42666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40150883:40152381:1 gene:gene49142 transcript:rna49142 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKLYTLMDKDFCLRILRLMGSEISLGLILLSYGPHGKRYTLIQETCGLRNLRNNFHFVHRMMCGLERTLKDEVQQY >RHN66833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19823725:19827949:1 gene:gene14935 transcript:rna14935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MKRIMRRRFVPSYYHRDLHNKLQRLTQGSKSVEEYFKEMEVLKIRANVEEDDEATMARFLHGLNHDISDIVELRHYVEMDELVHQAIKVEQQLKRKSQTRRSSTTFNSQNWKDKIKKEGVSSSSSKEPMVENKGKAITPPQNVSTNKKLTCFKCQGKGHSASECPTKRTMLVEENEVIGREEGENVEEYDEEEEEEIPSGELLMVRRMLGNLVKEGDTTQRENLFHTRCLVQGKVCSLIIDGGSCTNVASTRLVSKLNLKTKPHPKPYKLQWLNESVEMVVNRQAEVCFKIGKYEDVVLCDVVPMEACHLLLGRPWQYDRNVSHEGYSNKYSLVHHGQKIVLVPLSPSEVREDQKKMREKNEKEKNEKEKEKNEKEKNKKEKEKNDEKKESLVAKKGEVRNAIVSQQPLYLLFCKEVALLTNTPNKQNLPICVETLLQEFEDMFPKEVPSGLPPIRGIEHHIDLNPGASLPNRPAYRSNPQQTQEIQRQVTELVSKGWVRESLSPCAVPVILVPKKDGSWRMCTDCRVVNNITIKYRHPIPRLDDLLDELFGACLFSKIDLKSGYHQIRIREGDEWKTAFKTKYGLYEWLVMPFGLTNAPSTFMRLMNHILREFLGKFVVVYFDDILIYSKNLEDHCIHLRAVLQVLRQENLYANLEKCVFCTDHVIFLGFIVSSKGVHVDESKVKAIQEWPTPKNVSEVRSFHGLASFYRRFVKDFSTLAAPLNEIVKKEVGFKWGEKQEQSFAALKEKLTQAPILALPNFSKSFEIECDASNVGIGAVLMQEGHPIAYFSEKLKGAALNYSTYDKELYALVRALQTWQHYLLPKEFVIHSDHESLKQLKGQGKLNKRHAKWVEFLEQFPYVIKHKKGKANVVVDALSRRYVLLSTLETKVFGLEHIKDLYESDLEFSSNFFACEHTAVNGYFKHNGYLFKEKRLCVPKSSIRELLVKEAHEGGLMDHFGVSKTLEFLQEHFYWPHMKIDVQKFCDRCIVCKKAKSKVMPHGLYTPLPVPEFPWIDISMDFVLGLPRTRNGKDSIFVVVDRFSKMAHFIPCKKVDDACHVADLLFKEVVRLHGLPRSIVSDRDPKFLSHFWRTLWGKVGTKLLFSTTCHPQTDGQTEVVNRTLSTLLRVVLKENLKMWEEWLPHVEFAYNRVVHSTTQHSPFEIVYGFNPLTPLDLLPLPNTSILKHKDGKAKAEFVRKLHEQVKLQI >RHN51594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20465214:20469571:1 gene:gene36059 transcript:rna36059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase II, Rpb4 MKILEENAGALTNFEVLDFLRAKGASKDPSRVLAKVAMSEYKVYDYLVKTPAGSQTRESVKEYFTAIKQHDLSEAEVLNVLNIRPASEVEIYHIIEDCEERFPDEEVTEIVEKVGNTLPAPPDKATPEEITKGDEETETQKHDEISQDQTEDGEQMDTS >RHN78306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13905474:13905689:1 gene:gene1844 transcript:rna1844 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRSYKCLSSYIKILGFYYHFITIFMAFYASLPLATLYALFH >RHN56917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34749951:34751599:1 gene:gene32383 transcript:rna32383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding pseudobarrel domain-containing protein MAAPPNNVDLPEGGNDAERTFETVVVPDVDEIHVPMLFVSRWRSVLASQRYGWIKDPAGNYIRIDLSKIVTENLIPGGLMISRTCGFAEPQRVVLFYQTFDNQFNMRIVDDRGLDIPYFGFHYPINHHVRRVADPSYVSPKSFISVVDSPNDDGEVGLPIPFEMFGEFVAVEDVGHEAGNTVVNGPYQIPENDEPVHIPAVDGEPEEYIWTLKVTQAVADGRSVMHFPRYVIDNFEFSVGNEIDVLDDASGEIVSCRLKTFTKPSGYVMKYLSGGWHQYVRSKELNVGDRILFGVVNPVMDVVFRIHRQ >RHN58129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43942121:43947142:1 gene:gene33739 transcript:rna33739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DAZ-associated protein 1, RNA recognition motif 2 MQSDSGKLFIGGISWDTNEERLREYFSTYGEVKEAVIMKDRTTGRARGFGFVVFIDPAVADIVVQEKHNIDGRMVEAKKAVPRDDQNVLSRTSGSIHGSPGPGRTRKIFVGGLASTVTESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDQVLLKTFHELNGKMVEVKRAVPKELSPGPARSPLSGYNYGLSRVNSFLNGFNQSYSPSTVGGYGLRVDGRFSPVAGGRNAYAPFGSGYGMGMNFEPGLSPGFGGNASFNGNLSYGRGLNPYFIGGSNRFGSPVGYESGNGGSNSFFSSVTRNLWGNGSLNYGTSSANSNAYIGSGSGNVGGNAFGNTGVNWSSSPISGHGGGNNVSQGSGNLGYGGGNNGYGLGTEGYGRSSGSTLAPTSSYSTSNGGGVDGAFADFYNNNSVYGDTTWRSSNSERDGSGPFGYGLGGAPSDVSAKTSPGYVGGYTVNKRQPNRGITT >RHN52852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39548004:39552473:-1 gene:gene37576 transcript:rna37576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MEPNTKPNMLEQQHNHLSTLLNARTLGTGTETIVFAHGYGTDQSIWDKITPYFTEKNYRVVLFDWPFSGAIKDQNLYNPSKYSSLDAFADDLISLLDQMELKVVTFVGHSMSGMISCLASIKRPQLFKRLILVGASPRYINTDDYEGGFTSSDIDNLLKNIESNYENWVSYFSTNVVDPNDEPSVIKFRECLNKMRNEVPLSLAKTVFCHDYRDILEKVETPCTIIQTSSDMVVPYSVALYMEKKIKGKVTLEVIDTFGHFPQLTAPLQLVDVLKGVLGFDHFP >RHN55010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14343690:14346877:-1 gene:gene30127 transcript:rna30127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transaminase MVVAKSSSASDPINNNCFPCSNGTTISLSTLSPNSIIDAQKGDPLAFESYWKQMSDECTVVIKGWELMSYYSDSSNMCWFMLPELRDEIERLHHLVGNAVTKDKYIVVGNGSSQLFQAALFALSPLDVPDHPINVISPTPYYSEYKNAINILHSRMFQWGGDAAVYDKNESYIEVVTSPNNPDGTLRVPVVNSAAKGKLIHDLAYYWPQYTPITYEADHDVMLFTFSKCTGHAGSRIGWAIVKDIEVAKKMVTFVQSSSMGVSKESQTRAAKIIGVICDGYQNFKSIESELFFEYSKRLMRERWENFRGAVEQSKVFTVTKYPRAYCNFTNEISETYPSFAWLKCEEGIENAYNFLRKMNICAREGERFGAADSKYVRVSMLVMEDEFNELLKRLSNAKIE >RHN64634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60634000:60634292:1 gene:gene27467 transcript:rna27467 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPFPAINLHNLPFTSLEGSSHNLNFILLTNGKRTHDVL >RHN52522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36307938:36308840:-1 gene:gene37210 transcript:rna37210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MAANFYLPDDCWRTVFTFLIKNDEDDKENSQTFKSLSRVSKQFLSITNSLRFTLTIYDETPFFLPRLFQRFTNLTCLDTSCYSSDMDLLLNQISHFPLNLKSLILEFQLTFPTKGLRAFSQNITTLTSLTCSRFESLDKCDLCLIADCFPNLQSLDLNHCDDVCEEGIVYVLMKCLNIRHLNLAYCLGLKLNLNGLMKFDVPQLEVLNLSHTRVDDEALSVISKSCHGLMRLLLLNCDSVTKKGVKHVVENCTQLREIYLDDCVKVHPNLVASLVLPRSSLRKNLEPITDFMPSFNNILV >RHN63182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49159417:49162149:-1 gene:gene25856 transcript:rna25856 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTMSMSMASFSPLTRISTTYSSLSKPHHHPNHSNNHFTLTLRPKNLPLLSLSPLRASAGTSDSAETAVKPPPENISVGTNGSASAVPVAEEVKVSSAFVDPRWVAGTWDLMQFRKNGTTDWDAVIDAEARRRKWLETNPESSSNDNPVVFDTSIVPWWAWIKRFHLPEAELLNGRAAMIGFFMTYLVDSLTGVGLVDQMNNFFCKTLLFVAVGGVLLIRKNEDVDTFKKLLEETTFYDKQWQATWQDENSSTSRKD >RHN47917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43531968:43539014:-1 gene:gene42571 transcript:rna42571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEQATVGLSGSIHDDSVQFDEEIQGIMIPAPAPENASSFTALLELPPTLAVELLHLPEQKPYLPNSSNGNLTFPTNAALIERAAKFSVFAGENSSPGDSRLFPVESVKNEPQETDSNPCSTQECVSDPAENKNQRNVKRKEREKKGKASSSKKSKSIADETSGAGEKLPYVHVRVRRGQATDSHSLAERARREKINARMKLLQELVPGCEKISGTALVLDEIINHVQTLQRQVEILSMKLAAVNPRIDFNLDRLLAADGSSLMDSNLPSTMVTPLVWPEMPLNSNRQHYQQQWQFDAFHQPLWGREEDNHNFTTPENSLLSYNSSANSASLHSNQLKMEL >RHN57197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37245939:37251369:1 gene:gene32706 transcript:rna32706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative biotin/lipoyl attachment MDSSAAIRSSHCFMSHMQSSLQKPGLIHVQNVGCNFQSRSFVQNLAISDKHIVSHNKWNRVLVSCTKTAKEIDAAKSEASLESIAKESLVKKPLQTFPNGFEALISEVCDETEIAELKLKVGEFEMHMKRNIGLSAAPVSNISPTKPMVDSASSTPTPSPSKSSPAKTNPFVNDSNDKSPKLAALEASGNKNYVLVASTTVGSFQRGRTVKGNKLPPVCKEGDMIKDGQVIGYVDNFGTSLPVKSDVDGEVLKLLFQDGEAVGYGDALIAVLPSFHDIK >RHN45038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11047905:11048803:-1 gene:gene39234 transcript:rna39234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MDKVSQYERVFNRFDENGDGKISPAELRQCVEAIGDEKLSAEDVEAAVAVLDSDGDGLLGFDDFVKFVEGAKEEEKVNDLKEAFKLYEMDGSGCITPRSLKRMLSRLGDSRSIDECQLMISKFDLDGDGKISFDEFKVMML >RHN44376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3995154:4001580:-1 gene:gene38492 transcript:rna38492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucoside 5-O-glucosyltransferase MGNPHFLAIPFPILGHMNPLMQFSHVLAKHGCKITFLTSDENYNKMKTTSIIGEQGKVKESNINLVSLPDGVSPQDDRKDVAKVILSTRTTMSSMLPKLIEEINALDSDNKISCIIVTKNMGWALEVAHQLGIKGALFWPASATSLVSFNSMETFVEEGIIDSQSGLPRKQEIQLSTNLPMMEAAAMPWYNLNSAFFFLHMMKEMQNMNLGEWWLCNTSMDLEAEAISLSPKFLPIGPLMENEHNNMGSLWQEDETCIEWLDQYPPKSVIYVSFGSLISIGPNQFKELALGLDLLERPFLWVVRKDKGNETKYAYPSEFKGSQGKIVGWSPQKKILTHPSIVCFITHCGWNSTIESVCNGVPLLCLPFFSDQLMNKTYICDVWKVGLGFEKDENGLITKGEIKKKVDELLEDEGIKERSSKLMEMVAENKAKGGKNLNKFINWAKE >RHN40088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13120014:13128607:-1 gene:gene46188 transcript:rna46188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase D MDNHGSAPPYGYPNPYAYPPYPYPPPNPSHDPYAPSPVSSPYPYESYPPNSSHSFHPYQPNPLELPTSQPSHVYPYPYQQYPPINDGSNPHHSSAYPPYQQYPPISDGSNPPHGSAYPHQYPPISDGSHPPSSPYPHLNDLMSNVQISDNQPSAPVMTHSYSALNEGKKEEFYGHSSSNSFSGYEHSYSGLGGSPNLSAYPGSNDESVHSQSLQIVPAQYKGSLKYLLLHGNLDICVHSAKNLPNMDMFHKTLGDVFGKFPGNVGNKIEGTMTRKITSDPYVSISLSNAVVGRTFVISNSENPVWEQHFHVPVAHHASEVHFVVKDSDVVGSQLIGTVAIPVEQLYSGEKVQGTYPILNSNGKPCKPGAVLSVSIQFLPMEKLIIYHQGVGAGPNYIGVPGTYFPLRKGGTVTLYQDAHVPDGCLPNVMLDHGMHYVHGQCWLDMVDAIRQAKRLVYITGWSVWHKVRLVRDAPAGYGKTSDYTLGELLRSKSQEGVRVLLLVWDDPTSRSILGYKTDGVMATHDEETRRFFKHSSVQVLLCPRMAGKRHSWAKQQEVGTIYTHHQKTVIVDADAGNNFRKIVAFVGGLDLCDGRYDTPHHPLFRTLQTVHKDDYHNPTFTGNTGGCPREPWHDLHSKIDGPAAYDVLTNFEERWLRAAKPTGIKKLKSSYDDALLKIERIPDILRVSDAPSVGDDNPVAWHAQIFRSIDSNSVKGFPKEPRDGSIKNLVCGKNVLIDMSIHTAYVKAIRAAQHYIYIENQYFIGSSYNWSQNRDIGANNLIPMEIALKIAEKIKANERFAVYIVIPMWPEGVPTGAATQRILFWQNKTMQMMYETVYKALVEVGLEAAFSPQDYLNFFCLGNRETIDMHENISVSGIPPPPNTPQANSRNNRRFMIYVHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMGAYQPEYTWARKHSYPRGQVHGYRMSLWAEHTGTIEDCFLQPESLDCVRRVRTMSEMNWKQFSSNDVTEMRGHLLKYPVEVDRKGKVRPLPGHEEFPDVGGKIVGSFIAIQENLTI >RHN63357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50639091:50640268:1 gene:gene26047 transcript:rna26047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MASLQHTTASIYSKSIPKTTTTLTPKPILNLILSRTTFTSPKLKLSKTKPRRTGGGALGSTMNSPAAASYASALADLAKSNNTLDATTADIDKIEELFTDPKVFDYFSSPTVEDSTKRNLIAEFATSSSFQPHTQNFLNLLIEANRIDIILEIVKEFELHYNTLTDTELVVVTSVVKLESQHLAQIAKQVQKLTGAKNVRIKTNLDPSLVAGFTVRYGNSGSKFIDMSVKKKLEEIASQLDLGDIKLAV >RHN51371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16851963:16856135:-1 gene:gene35799 transcript:rna35799 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNNNSIDTVNAAATAIVSAESRVQPTSSPKKRWGSCFSLPSCFGSHNKTSKRIGHAVLVPEPVAPTVPVANAAPNPSTAIVIPFIAPPSSPASFLQSDPPSSTHSPAAGLLSLSSLSANAYSTSGPASMFTIGPYAYETQLVSPPVFSNFTTEPSTANFTPPPESVLMTTPSSPEVPFAQLLASSLDRARKSNHKFALYNYEYQPYQQYPGSPGAQLVSPGSVISTSGTSTPFPDRRSSLELRKGEAPKILGFEHFSTRKWMSRIGSGSLTPDGTGQGSRLGSGSLTPDGVSHTSRLGSGCATPDGLGQDSRLGSGSLTPDGVGPTTRDSIDVQNQIPVGVSVANSDHGSQTNATLVDHRVSFELTGEDVARCLANKTGALLRNMSSSSQGILAKDPIDREKILKETNSCCDVCSGKAIGGEHCCPKRNSVSSSKEFNFDNRKGDVSGTSANGSSWWTNKKVDGKESKSVNSWAFFPMLQPDIS >RHN38987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3319729:3325786:-1 gene:gene44970 transcript:rna44970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MYSSSSSSSTNPQYLHDVFINFRGEDVRRTFVSHLYAVLSNAGINTFLDNEKLEKGEDIGHELLQAISVSRISIIVFSKNYTESSWCLNELEKIMECRRLHGHVVLPVFYDVDPSVVRHQKGDFGKALEVAAKSRYIIEEVMVKELGKWRKVLTEASNLSGWDGSAFRSDRELVKKIVEAILPKLDNTTLSITEFPVGLESHVKQVVGVIEKHSGDVCMVGIWGMGGSGKTTVAKAIYNEIHRRFDCTSFIENIREVCEKDTKGHIHLQQQLLSDVLKTKEKIHSIASGTATIQRELTGKKALVILDDVTDFQQIKALCGNHKFFGAGSVLIVTTRDVHILKLLNVDSVYKMEEMQKNESLELFSWHAFRKASPRGGFSELSRNVAAYCGGLPLALEVLGSYLFERTKQEWISVLSKLERIPNDQVHEKLRISYDGLKDDMVKDIFLDICCFFIGKDRAYVTEILNGCGLYADIGIAVLIDRSLLKVEKNNKLGMHDLIRDMGREIVRESSAREPGKRSRLWFHEDVHDVLAKNTGTETVEALIFNLQRTGRGSFSTNTFQDMKKLRLLQLDRVDLTGDFGYLSKQLRWVNWQRSTFNFVPNDFDQENLVAFELKYSNVKQVWKETKLLHKLKILNLSHSKHLKRTPDFSKLPNLEKLIMKDCQSLSDIHPSIGDLKNLLLINLKDCASLVNLPREIYRLRSVKTLILSGCSKIVKLEEDIVQMKSLTTLIAENAGVKQVPFSIVRSKNITHISLCGYQGLSRDVFPSIIWSWMSPTMNSLARIPSFGGISMSLVSLNIDSDNLGLVYQSPILSSCSKLRCVSVQCHSEIQLKQELKVFLNDLTELEISHASQISDLSLQSLLIGMGSYHKVNETLGKSLSQGLATNDSRASFLPGNNIPSWLAYTCEGPSVCFQVPKDSNCGMKGITLCVLYSSTLKNMATECLTSVLIINYTKFTIHIYKRDTVMTFNDEDWEGVVSNLGVGDNVEIFVAFGHGLTAKETAVYLIYDQSTAMEIESSIAMEVEPIPEVQVQSSPDVVMELSPGVEAQPSSDVETESPIAVKSEPIPKPNDKIFVKLAKRVGGCLCLNQN >RHN77424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6782351:6783725:-1 gene:gene875 transcript:rna875 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNLSGIKFINGWAFMWLLLNTGRRILFNLVLLVAHQRCVNPSYRLYDLRLYGKYGKKETTGCSQTKFIR >RHN46921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35780374:35781330:-1 gene:gene41456 transcript:rna41456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MKILSSKASFLLLIITLILTNCVYSEAQNCRPSGRIRGKKAPPGQCNQENDSDCCVEGKMYTTYVCSPYVSTHTKAYLTLNSFEKGGDGGGPSECDKQYHSDDTPVVALSTGWFNHKSRCLNNITISANGRNVVAMVVDECDSRKGCDEQHDYQPPCTNNIVDASKAVWKALGVPKEQWGGLDITWSDA >RHN56297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29573436:29578792:-1 gene:gene31673 transcript:rna31673 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLDQATSTCSHCDRAIPVANVDLHYAHCSRKLQKCKVCGDMVPRNNAEDHYLTTHAPISCSLCSETVDRDIIDIHTGENCPKRIVTCDFCEFPLPAIDLAEHQEVCGNRTELCHLCNKYVRLRERYNHEAGCNGIQDSTVGSSRNVRETERNEGVPRRQPRNEYSTKRLLFSIAVTGGIAVILGSMFLQKKTDPSEMH >RHN81870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49466934:49470050:1 gene:gene5965 transcript:rna5965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molecular chaperone regulator BAG-1 MMKMKNVTNHNGGSVPEPRGLEWEMRPGGMLVQRRTLDSDRDSVPPPTIRIKVKFGSTYHEVNISSQATFGELKKMLTGPTGLHHQDQKIFYKNKERDSKAFLDIVGVKDKSKLVVMEDPIAQEKRYLEMRKNIKMERAAKSISEISLEVDRLAGQVSALETIISKGGKVVETDVLSLIEKLMNQLLKLDGIVADGDVKLQRKMQVKRVQKYVETLDMLKIKNSNGGHVPKKKPQQKVKLPPIDEQLEGMSIGNHKLQPSLEQQSQRNSNGNSQVFQQLQHKPSTNSTSEVVVTTKWETFDSLPPLIPVTSASSSSSSTNNSVHPKFKWEHFE >RHN46720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34322864:34326854:1 gene:gene41241 transcript:rna41241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MGYGYAATLRCRMVQARSLCSNTSAISRYGRIGDIHNARKVFDNTPLPQRTIASWNAMVSAYFESHKPRDALLLFDQMPQRNTVSFNGMISGYVKNGMVADARKVFDVMPERNVVSWTSMVRGYVQEGMVEEAEKLFWEMPRRNVVSWTVMIGGLLKESRIDDAKKLFDMIPEKDVVVVTNMIGGYCQVGRLDEARELFDEMKVRNVFTWTTMVSGYAKNGRVDVARKLFEVMPERNEVSWTAMLMGYTQSGRMKEAFELFEAMPVKWIVACNEMILQFGLAGEMHRARMMFEGMKERDEGTWNAMIKVFERKGLDLEALGLFARMQREGVALNFPSMISVLSVCASLASLDHGRQVHARLVRSEFDQDLYVASVLITMYVKCGDLVRAKGIFNRFLFKDVVMWNSMITGYSQHGLGEEALNVFHDMCSSGVQPDEVTFIGVLSACSYSGKVKEGFEIFEAMKCTYQVEPGIEHYACMVDLLGRAGRVDEAMELVEKMPMEPDAIVWGALLGACRNHMKLDLAEVAVEKLAKLEPKNAGPYVLLSHMYATKGRWRDVEVLRKKINRRVIKFPGCSWIEVEKKVHMFTGGDSKSHPEQHMITQMLEKLSGFLREAGYCPDGSFVLHDVDEEEKTHSLGYHSERLAVAYGLLKVPEGMPIRVMKNLRVCGDCHSAIKLIAKVTGREIILRDANRFHHFKDGSCSCKDFW >RHN48940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51275535:51277726:1 gene:gene43714 transcript:rna43714 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTADLKQRNITPDQTTTTTNDRNGTISHKRDKKMKMAKGGLRSLIIAVSFPLSITLLSIYISSSFTFSNHNKEVITGSKKPFWFPPSWALHLLLPSSSFLMGLSAWMVWAAGGFHRDLTALLLYLLQILYTVLWNPLVFRFGATSFGLLVCFGNFVTLFGCMRLFKKVNPVAANLIKPCLALIAFLFIVNLKLIFI >RHN68418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35321962:35326291:1 gene:gene16768 transcript:rna16768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L1 MSKLQSEAVREAISGIMADSKEKNRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKLCMLGDAQHVEEAEKIGLESMDVEALKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRLLGPGLNKAGKFPTLVSHQESLEGKVNETKAMVKFQLKKVLCMGVAVGNVSMDEKQIFQNVQLSVNFLVSLLKKNWQNVRCLYLKSSMGKSYRVF >RHN66145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9004109:9005150:-1 gene:gene14068 transcript:rna14068 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain, legume lectin MAFYRTNLPTQELVLGMIYTTFFLLLATNINSVQALSFNFTKFTPNAQTFPSGITFQGDAKTLNNGVIALTKRIKLPYGTTIPSTGRILTPPVSLWDTAGNVASFVTSFSFLIEGTGGYGVPTDGLVFFIAPQDTVIPPNSESLHLGVVDSKSSYNQFVGVEFDLYPNSFDPNTRHIGIDVNSLISLKTVNWQFASGSLTKVSIAYDSSSNTLSVVVTYANGKFSTIAQIVDLKTVLPNKVRFGLSGASITGFAHDIHSWSLTTSDLKTTASSASDKLQAI >RHN42388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37935633:37935896:-1 gene:gene48824 transcript:rna48824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MISAEAPILFAKACEMFIMELATRSWANAEVNKRNTLQKTDIASAVSSNAVFDFLVDIVPREKTMERDIFMGIPRRENVRVNGQFPP >RHN81031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42751918:42754364:1 gene:gene5025 transcript:rna5025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c oxidase subunit 5c MSGPRIAHATLKGPSVVKEIIIGITLGLVAGGVWKMHHWNEQRKTRTFYDLLEKGEISVVVDEE >RHN43149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43557497:43560376:-1 gene:gene49680 transcript:rna49680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MSHSYTSYSTWFIPPNRIVMTVKATPTTTSLRTFDIEGELISHDDDGRLKRTGSVWTASSHIITAVIGSGVLSLAWAIAQLGWIAGPAVMILFSLVTVSTSSFLADCYRAGDPHSGKRNYTYMDAVRSILGGAKVTFCGIFQYLNLFGIVIGYTIAASISMTAIKKSNCFHQHGDKSPCHMSSNLYMIMFGVIQIFLSQIPDFDQIWWLSSVAAVMSFTYSLIGLALGIAKVAENGTILGSLTGISIGAVSETQKIWRTSQALGNIAFAYSYAVVLIEIQDTLKSPPSEAKSMKKATKISIAVTTVFYMLCGCMGYAAFGDDAPGNLLTGFGFYNPYWLIDIANAAIVVHLVGAYQVFSQPIFAFVEKSATQRWPNIEKEYKIELPCLPPYKLNLFRMLWRTVFVTLTTVISMLLPFFNDIVGVIGALGFWPLTVYFPVEMYIAQKKIPKWNKKWICLQIFSFACLVVSIAAAVGSIAGVLVDLKKYTPFQSSY >RHN78326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14160032:14160908:-1 gene:gene1868 transcript:rna1868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myosin ATPase MPPKWMDVDKFKLGNPRNFHYLNQSNCIELDALDDAKEYLATRRAMDVVGISSDEQDAIFRIVAAVLHLGNIEFIKAVDEGMDSSTPKDEKSHFHLKTAAELLM >RHN61011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32319738:32321088:-1 gene:gene23421 transcript:rna23421 gene_biotype:protein_coding transcript_biotype:protein_coding MLMCHVIINLHPSNHYQLTFFTMLCLRLNLFGYVTRPHTQESHTYGRDDKVPIVTQSSKLDASRS >RHN47925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43607974:43612015:-1 gene:gene42580 transcript:rna42580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFDYNTIQDLPKSLPLFQRFHFQSEFATRLDQFVLHYKGDMISSIRVKFPLGNEHRDAIDRLISKGIAKGAKRIELLFSSETNDTTHSILPYKFSLTLLSDNDSLTYLHLQNCLVLEPMNLSGLKNLRTLVFHLVDVKQKLLQSLSSNCSHLLDFTLDDCHFTSSLIINIPTLLRLNIVNCRVNIERYIDIIASNLSSFEYSCNDRFRVHPINIKSHMLSKFIYRGARFSKPIGFFGLKNVTTIVLDGLTENLSGNILNNLFSDCFKLENATFKNCCLTSSTDITSQKLRHLSIIDCGFGEYSPYAITIDALNLLSFEYSGQNTHIIYVTAPKLLKIFWNAAVREKIPHPFGPIESLPHIENLAMIIYPSQVEKLKQIL >RHN73176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14128617:14135000:1 gene:gene8964 transcript:rna8964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MADPSFFVGVIGNIISILMFLSPVPTFWRIIKKKSTEEFSSFPYICTLLNSSLWTYYGTIKAGEYLVATVNGFGIVVETIYILLFLIYAPPKMRVKTAILAGILDVLILAAAVVTTQLALEGEARSGAVGIMGAALNILMYGSPLAVMKTVVKTKSVEYLPFLLSFFFFLNGGVWLLYAVLVRDSILGVPNGTGFVLGAIQLVLHGIYRNGKQSKHVSNKLEEGWQHEHLISSSTTRSHDRENLPI >RHN41570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31154530:31160431:-1 gene:gene47904 transcript:rna47904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative caffeate O-methyltransferase MANHSNVIDLGVNGNGERRSEKKELEDEESFSFGIQLCNSMVLPMVLHSASQLGVFDVLQKAGKGAQLSADEIASRISCSNPDAPKMLDRILALLASHDVLKCLVIQDEQKLGSFRRLYSMTPVARFFAPNSDGVSLGPLLALYQDKVFLASWSDLKNAIREGGVPFNMVHGTHAFDYASFDSRFNQVFNTAMISYTKIVMKKVLESYNGFKGIKRLVDVGGGLGININLITSKHPHIHGINFDLPHVIQHAPSYPGVEHVDGDMFKSVPKGDAIFMKSILHDWSDELCLKILKNCYDAIPNDGKVIVLEAQIPTVHENDYASKSTSQLDVLMMTQTLGGKERTKQEFLDLATRAGFRGINYECCVRNKWVMEFFK >RHN66086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8439659:8441624:1 gene:gene14000 transcript:rna14000 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTMKASASICSNSLIFSSPPSTQNSHTFSPFLVKSMATPKPVTVTSKKNSTVFPLGEKPRSAVTSTQSVKLLTRMEQLRLLTKAEKAGLLSAAEKAGLSLSTIEKLGLLSKAEELGVLSAATDPSTPGSLFTLSFVLLLLGPLFVYLVPEDNVVEVGLQAVVALICVVGGSAGFAGSSFVSNLQKIK >RHN69231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41983382:41986809:-1 gene:gene17673 transcript:rna17673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative YbeD-like domain-containing protein MACRSMLRSAMIVEPLQFQFQFQFQFKPLLFNLKPNKRGGFRCRTIKARPFHRGNGVLRCSRNDTPSSSFHDDQGPPQEAVLKAISEVSKTEGRIGQTTNMVIGGTVTDDSTNEWVALDKKVNTYPTVRGFTAIGTGGDDFVQAMVVAVESVIQQPIPQGSVKHKVSARGKYVSVNIGPVQVVSSEQVQAVYNAMRRDDRMKYFL >RHN65680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4315301:4315921:-1 gene:gene13552 transcript:rna13552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MSKTIMFLYAMTLFLFLLHIEKSSGVLIDCKTVKDCPPSYTKIYRCIDNKCRLVL >RHN43570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46958171:46962365:1 gene:gene50173 transcript:rna50173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP synthase, F0 complex, subunit G MASKLKALQSKACQASQLISKHGSGYYKQLLEQNKQYIQEPATIEKCNLLAKQLLYTRLASIPVRCEAFWKELDYVKHLWRNRQDLKVEDAGIAALFGLECYAWYCAGEIVGRGFTFTGYSV >RHN57537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39926983:39927571:-1 gene:gene33096 transcript:rna33096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Agenet-like domain-containing protein MRPPVKRVDYKVGDKVEVCSKEEGFVGSYFEATIVSCLESGKYVIRYKNLLKDDESELLMETLFPKDLRPLPPRVRNPSRFELNQKVDVFDNDGWWVGKIASEKILMEKSYYYSVYFDYCHQTIYYPCDQIRVHQELVWGDWIFEA >RHN66896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21059850:21060083:-1 gene:gene15010 transcript:rna15010 gene_biotype:protein_coding transcript_biotype:protein_coding MQGITNEIEQYDYPAVKFVMMRLIKSYDIVCISFLSLFQTFLSMVY >RHN39309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5759984:5761465:-1 gene:gene45319 transcript:rna45319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGLCISGASLAPSTDTNYYYESPSFSSYTHKRDSGVEMGLHRVPGRIFLNGSSHVASLCCKQGRKGINQDAMLLWENFGSMEDVVFCGVFDGHGPFGHVVAKKVRNSFPLKLMEEWNSCLRDDYNNNNYNNNHFEILRESFLKASKFMDNELKLQYFMESYGSGTTAVTLLKKACTLFHFFSSYFVKRMREKNTYVRIPHSFFLFQGDKLVTANVGDSRAVLGTLDPNGSLIALQLTTDLKPNLPREATRIRICKGRVFALDNDSAVPRLWLPNADSPGLAMSRAFGDFVLKDSGLISVPEVSYHRITDHDQFVVLATDGVWDVLSNNQVVNIVASAPRSSAAKLVVEAAVQAWKTKIPSKPDDCSAVCLFFHSNTNTNTPNTKTGIGTWEKRAKESPYKTKQKHL >RHN50358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6460731:6463363:-1 gene:gene34635 transcript:rna34635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MLKKLRHKLTTKLSIRTLNRKPKPSKPYKTYETSPQPQQQPQLTLPVQPPSPTMHHFTKKTKPFLFPQTHSTVLPDPTNFFSPNLLSTPLPTNSFFQNFVLKNGDQPEYIHPYLIKSSNSSLSLSYPSRFFNSSFIYQVFNPDLTISSQKIEPLSHSNHKISSYNDLSVTLDIPSSNLRFFLVRGSPFLTFSVTQPTPLSITTIHAILSFSSNDSLTKHTFQFNNGQTWILYASSAIRLSHGVSEIVSEAFYGVVRIALLPDSDFKHEDVLDRFSSCYPLCGDAVFTKPFCVEYKWEKKGWGDLLLLAHPLHVQLLYDSDCDNVTVLNDFKYRSIDGDLVGVVGDSWLLKTDPVSVTWHSTKGVKEESHDEIVSVLLKDVEGLNSSAIATNSSYFYGKLIARAARLALIAEEVCFLDVIPKIRKFLKETIEPWLDGTFNGNGFLYDGKWGGIVTKQGSNDTGADFGFGVYNDHHYHLGYFLYGIAVLAKIDPIWGRKYKPQAYSLMADFMNLSRNPNSNYTRLRCFDLFKLHSWAGGLTEFGDGRNQESTSEAVNAYYSAALMGLAYGDTHLVAVGSTLTSLEIHAAQMWWHVKGGDNVYDEVFAKENKVVGVLWANKRDSGLWFAPPEWKECRLGIQLLPLLPISEFLFSNVDFVKELVEWTLPALNREGVGEGWKGFVYALEGVYDNESGLKKIRSLNGFDDGNSLTNLLWWIHSRGNEDEEFGHGKHCWFGNYCH >RHN62657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45011961:45013493:1 gene:gene25254 transcript:rna25254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Costars domain-containing protein MNVEEEVEKLREEIKRLGNVQTDGSCKVTFGTLFHDDRCANIFEALVGTLRAAKKRKVVAYDGELLLQGVHDNVEITLNPAPAAAAN >RHN71248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57577143:57582359:1 gene:gene19904 transcript:rna19904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative periplasmic binding protein-like I MNDIILQTDSVEREPHSHSQVLFVLILNTKYHFSLFRPTDIITLDMNFYYYWLWLVFLFMLPYLEQVYSNSRPSFVNIGAIFTFDSSIGKVAKLAMEQAVKDVNSNSSILHSTQLVLHMQTSNCSGFDGMIQALRFMETDVIAILGPQSSVVSHIVAHVANELRVPMLSFAATDPTLSSLQFPFFVRTTLSDLYQMTAVAEIIDFYGWKEVITIYVDDDYGRNGVSALDDALAERRCRISYKVGIKSGPDVDRGEITNLLVNVAMMQSRIIVVHAHSNSGFMIFKVAHYLGMMQEGYVWIATDWLSTVLDSTSLPLETMDTLQGALVLRQHTPDTDRKKMFTSKWNNLTGGSLGLNSYGLHAYDTVWLVAQAIDNFFSQGGVVSCTNYTSLHSDKAGGLNLDAMSIFDNGTLLLNNILRSNFVGLSGPIKLDSERSLFRPAYDIINVVGNGVRRVGYWSNYSGLSIVSPETLYANPPNRSSANQHLHTVIWPGETTSRPRGWVFPNNGKQLRIGVPIRASYREFVSPVKGTDLFKGFCVDVFVAAVNLLPYAVPYRFVPFGDGHKNPSYTEFVNKITTGYFDGAVGDIAIVTNRTRIVDFTQPYAASGLVVVAPFKKINSGGWSFLQPFTPFMWIVTACFFFFVGIVVWILEHRVNDEFRGSPKQQFVTILWFSLSTLFFSHRENTMSTLGRGVVLIWLFVVLIINSSYTASLTSILTVQQLSSRISGIESLKASDEPIGFQVGSFAEHYLTEDIGISRSRLVPLGSPEEYAKALQLGPNKGGVAAIVDERPYVEIFLSTQCTFRIVGQEFTRSGWGFAFPRDSPLAVDLSTAILQLSETGDLQRIHDKWMTRSTCSLDNTEIESDRLQLKSFWGLFIICGAACFIALVIYFLQIMLLVRHSTPPESPSNVGPLQRFLSLIDEKKGPSRSERRKRNGDEISPEDQLGRQPKRIQRVMAA >RHN56048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27168709:27173979:1 gene:gene31371 transcript:rna31371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MAVGVLLWLLLAKGFVLETVIAVTFSSRIIHRFSDEAKVHLRNNGGENVQSWPKRGSSEYFRLLLNSDLTRQKMKLGSQDQSFYPSEGSKTLSFGNDFVWLHYTWIDIGTPNVSFLVALDTGSDMFWVPCDCIECAPLSAAFYNALDRDLNQYSPSLSSSSRHLPCGHQLCNQNSNCKGFKDRCPYIKEYTSDNTSSSGFLIEDKLHLASNNATKNSIQASVILGCGRKQSGYFLEGAAPNGMLGLGPGSISVPALLAKAGLIRNSISICLNEKGSGRILFGDQGHATQRRSTPFLLDDGELLNYFVGVERFCVGSFCYKETEFKAFIDTGTSFTYLPKGVYETVVAEFEKQVHATRITSQIQSDFNCCYNASSRESNNFPPMKFTFSKNQSFIIQNPFISMDQEDTTICLAVVQSDDELITIGQNFLMGYDMVFDRENLRFGWFRSNCQDSMGESANFTSPSIGGSPDSIPSNQQQRVPNNTRSVPPAIAGKTSPKPSAAKPGLNSWHLLNSLSLICLLLFW >RHN39996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12121988:12123907:-1 gene:gene46083 transcript:rna46083 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGRQNASMKFDRDLSYNPTQSELDLELAMIMSLSSQEAATYAAQAFAEVEALLEEAEEATEERRLIQTLEGRNSVIKLADRMVKMFCECLTMPGQVELNHLTLDSIGGV >RHN76337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49453433:49455086:1 gene:gene12646 transcript:rna12646 gene_biotype:protein_coding transcript_biotype:protein_coding MNELVANPLLVQEQFCFLVLETAVTLLLSPFCNSTLLGQQVCDDEDSLLPLTC >RHN40729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20041649:20045333:1 gene:gene46923 transcript:rna46923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MISFKRNKQIVWIYLWLWWNTTANICVKATSDSLKPGDTLNSKSKLCSEQGKFCLYFDSEEAHLVVSSGVDGAVVWMYDRNQPIAIDSAVLSLDYSGVLKIEFQNRNVPIIIYYSPQPTNDTVATMLDTGNFVLQQLHPNGTKSILWQSFDSPVDTLLPTMKLGVNRKTGHNWSLVSRLAHSLPTPGELSLEWEPKEGELNIRKSGKVHWKSGKLKSNGMFENIPAKVQRIYQYIIVSNKDEDSFAFEVKDGKFIRWFISPKGRLISDAGSTSNADMCYGYKSDEGCQVANADMCYGYNSDGGCQKWEEIPNCREPGEVFRKMVGRPNKDNATTDEPANGYDDCKMRCWRNCNCYGFEELYSNFTGCIYYSWNSTQDVDLDKKNNFYALVKPTKSPPNSHVNAGKRRIWIGAAIATALLILCPLILFLAKKKQKYALQGKKSKRKEGKMKDLAESYDIKDLENDFKGHDIKVFNFTSILEATMDFSSENKLGQGGYGPVYKGILATGQEVAVKRLSKTSGQGIVEFRNELALICELQHTNLVQLLGCCIHEEERILIYEYMPNKSLDFYLFDCTRKKLLDWKKRLNIIEGISQGLLYLHKYSRLKIIHRDLKASNILLDENLNPKISDFGMARMFTQQESIVNTNRIVGTYGYMSPEYAMEGICSTKSDVYSFGVLLLEIICGRKNNSFHDVDRPLNLIGHAWELWNDGEYLQLLDPSLCDTFVPDEVQRCIHVGLLCVQQYANDRPTMSDVISMLTNKYKLTTLPRRPAFYIRREIYDGETTSKGPDTDTYSTTAISTSCEVEGKYK >RHN49578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55767157:55768584:1 gene:gene44424 transcript:rna44424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribulose-bisphosphate carboxylase MSPQTETKATVGFKAGVKDYRLTYYTPDYETKDTDILAAFRVSPQPGVPAEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVAGEESQFIAYVTYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPVAYVKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIYKAQAETGEIKGHYLNATAGTCEDMMKRAVFARELGVPIVMHDYLTGGFTANTTLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRMSGGDHIHAGTVVGKLEGERDITLGFVDLLRDDFVEKDRSRGIFFTRDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAREGNEIIREATKWSPELAAACEVWKEIKFEFPAMDTI >RHN53895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5118278:5120212:1 gene:gene28856 transcript:rna28856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRAPCCDKANVKKGPWSPEEDAKLKSYIEQNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDDIICSLYVSIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKQRKEQQARRVSNMKQEMKRETNQNLMMAALGVNSMSSAPYWPAEYSVHPMPVSNSSIIDYDTNNQTSFTSLMNIPNPFSMVSNTITNNGGNCQPSHIFQGFENFPRDLSELVCVNQQQIMDRTIDGFYDMSNGGSTITTTSTESTSWGDMNSLVYSPLVSDYEGCCQQGSIPQDAIAFEESRYFAMQMQ >RHN77830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9699169:9699504:-1 gene:gene1323 transcript:rna1323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MICLDGVHFEEGNSSTNIESQNTCLSEFTSSITSEASERCKIEHRKIITANDLIWAMDRLGFDDYVGPLVFYLQRYRNYEAQCNDVPIKFGFDKDGSSARGSNNGSDNVQG >RHN60380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26014294:26020224:1 gene:gene22687 transcript:rna22687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MANPNSLPLYEKYWYKHNYKRVLDSLILILLLLLLGYRVMYVNNYSIPWFIALICETWFTLSWIFTISTQWSPAFIKTNPDRLLQSVQELPAVDLFVTTADDELEPAIITINTVLSLLALDYPSHKLACYVSDDGCSPLIFYALHKASKFAKHWVPFCKKYNVQIRAPFRYFCDDNDCTTNDEEFRQEWLQMKDLYENLSHKIDVDPKSIPSLLEEEFAVFSNTNRTNHPAIIKVIWENKEMAEDGLPHLIYISREKRPKQPHHFKAGAMNVLTRVSGLITNAPFMLNVDCDMFVNNPNIVMHALCILLDSKGEKEVAFAQCPQQFYATLKDDPFGNQMAILYKYLGAGLAGLQGIFYGGTNCFHRRKVIYGLSPDDVEKGNNLSEVELKQKFGTSKELMKSVGHALEDRTYSASDISVNKAVEEAIHVASYGYEYGTGWGKQVGWMYGTMTEDILTGLTIHKKGWRSELCTPDPIAFTGCAPIDGPTCMAQHKRWATGMLEIFFSKHCPVFGAIFDKLSFRQFLAYMWIMNWGFSPVAQVCYACLVAYCIITNSYFLPKDWGMYIPTAIFGIYKVYTLYEYLASGLSIKAWWNNQRMSRITPMNAGFCGFITVLLKLLGISNTVFDITKKELPPSRDDRHDKNAGRYTFNESLVFLPGTTILLLQLTAIFIKLFGFQPQGLSRNYECGLAEMLISVYLIICYWPFLRGLFETGKFGIPLSTICKGATLTCIFVCLSRRTISG >RHN41579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31204334:31206704:1 gene:gene47913 transcript:rna47913 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTQHHTLYQLFSLPFSPLFSFQTSYAMAMKPSCFPSPPHILSKKHPIQKLALSHFLSYHHHPTCSMMKKGSMT >RHN44493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5355352:5358108:1 gene:gene38619 transcript:rna38619 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTLPNVNHKSIWNWLNNNPFPIFEYLKSIYIIFLKQNGNKVRIPVLGCSQS >RHN81558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46992813:46996658:-1 gene:gene5623 transcript:rna5623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative receptor protein-tyrosine kinase RLK-Pelle-LRR-XI-1 family MNMLRYEYTTTLLLLFLFCPMVLSLLSQNQTNTMTTLSNLLSIPGWNITIQSNPCTWKGVTCDLTNSSVIMIDVSKNQLSSIPDGFISACGKIESLKLLNFSGNVLSGFLPPFHGFPELETLDMSFNNLSGNISMQLDGMVSLKSLDLSYNNFIGKIPTKLGSSMVLEELVLSNNSFQGTIPDQILSYKNLTMIDFKSNNLSGSIPLDIGNLSRLKTLSLSSNSLGGKIPMSLVNITTLVRFAANLNSFTGAIPLGITKFLSYLDLSYNDLSGSIPEGLLSPSQIVLVDLSNNMLKGPVPRNISPSLVRLRLGENFLTGEVPSGTCGEAGHGLTYMELEKNNLTGLIPPGLSSCKKLALLNLADNQLTGALPPELGNLSNLQVLKLQMNKLNGTIPIQISQLQQLSTLNLSLNSLHGPIPSEMSNSLVLLDLQGNNLNGSIPSSIGNLGKLMEVQLGENKLSGDIPKMPLNLQIALNLSSNQFSGAIPSSFADLVNLEILDLSNNSFSGEIPPSLTKMVALTQLQLSNNHLSGVLPAFGSYVKVDIGGNNVRNSSNVSPDNCPRTKEKGKSVVAAVLIAIAAAIFLVGMVTLLVVLISRHYCKVNDERVQSSEGENLDLPQVLQSNLLTPNGIHRSNIDLSKAMEAVAETSNVTLKTKFSTYYKAVMPSGSIYFAKKLNWCDKVFPVSSLDKFGKELDALAKLNNSNVMIPLGYIVSTNNAYTLYEFLSNGSLFDILHGSMENSLDWASRYSIAVGVAQGMSFLHGFSSGPILLLDLSSKSIMLKSLKEPLVGDIEHYKLIDPSKSTGSFSAVAGSVGYIPPEYAYTMRVTMAGNVYSFGVILLELLTGRPAVTEGTELVKWVLRNSRNHDIILDLNVSRTSQAVRNQMLAILEIALVCVSSSSDTRPKMKTVLRMLLNAR >RHN56780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33701337:33705180:-1 gene:gene32231 transcript:rna32231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CBS domain-containing protein MQGGLRSFLSNGNVIKNAVLQRVRMVNPLLQPVAFSRFESATPARIEEHGFESTTISDILKGKGKGADGSWLWCTTDDTVYDAVKSMTQNNVGALVVVKPGEEKSIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPDTKVLRAMQLMTDNRIRHIPVINDKGMLGMVSIGDVVRAVVGEHRQELDRLNAYIQGGY >RHN70760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53802437:53807538:-1 gene:gene19375 transcript:rna19375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidyl-tRNA hydrolase, PTH2, peptidyl-tRNA hydrolase II domain-containing protein MCEQQFSHFRYHNVYGVRVSLSMAYTIPTLRLFNPSGSYLAFSRTKFSVTLHAASFSNKTSQLNRNSMSQPAATDSTNLSTPTDSPENVDVVVQYVVLRRDLIDTWPLGSVVTQGCHASVSAVWFNKDDPVTIDYCSPEKIDSMRKVTLEVKGELQIKNLSEKLTSGGIIHKLWIEQPENIPTCLATKPYPKSIVSSYFKKLKLCK >RHN49330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54072630:54073863:1 gene:gene44148 transcript:rna44148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2AX MSSTGTTKGGRGKPKAAKSVSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGTVTIANGGVLPNIHQTLLPKKVGKGKGEIGSASQEF >RHN77916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10493933:10496931:-1 gene:gene1421 transcript:rna1421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MRVSSLHLENQTLGGTLGPSLGNLTFLRILKLKKVDLYGKIPKQIGRLKRLQVLVLRFNHLQGEIPIELTNCTNIEVIDFALNQLITGRIPTWFGSMMQLTTLILKSNNLVGTIPSTLGNVSSLQTLDFTENHLEGSIPYSLGRLSGLTLLGLSVNNCSGEIPRSLYNLSNIQIFDLASNMLFGSLQTNLHLAFPNLEELYVGGNQISGTFPSSVSNLTELKRLDISYNTFNAPIPLTLGRLNKLELFNIGANNFGSGGAHDLDFLSSLTNCTQLSNIFVFGNNFGGVLPSFIGNFSTNLRFLHMENNQIYGVIPETIGQLIGLNFLQIADNLFEGTIPDSIGKLKNLGILGLESNEFSGNIPIVIGNLTVLSELDLYGNKLEGSIPITIRNCTKLQLLNFATNKLSGDIPDQTFGYLDGLIFLELANNSLSGPIPSEFGNLKQLSHLYLGLNKLSGEIPKELASCLTLTELWLGENFFHGAIPLFLGSSLRSLEILDLAENNFSSIIPSELENLTFLNTLDLSFNNLYGEVPTRGVFSKVSAISLTGNKNLCGGIPQLKLPPCLKVPAKKHKRSLKKKLILISVIGGFVISVIAFIIVHFLTRKSKSLPSSPSLRNGKLRVTYGELHESTNGFSSSNLVGTGSFGSVYKGSLPSFERPIVVKVLNLETRGAAKSFMAECNALGKMKHRNLVKILTCCSSVDYNGEDFKAIVFEFMPKGSLEKILHDNEGSGIHNLSLAQRLDIALDLAHALDYLHNDTEQAVVHCDVKSSNVLLDDDVVAHLGDFGLARLILGATEHSSKDQVISSTIKGTIGYIPTEYGTGVPVSPQGDIYSFGILLLEMLTGKRPTNNMFSESQSLHEFCKMKIPEGILEIVDSQLLLPFAEVETGIVENKIKKCLVMFGAIGVACSEEVPSHRMLIKDVIDKFLEIKQKLPC >RHN70218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49740027:49743731:-1 gene:gene18776 transcript:rna18776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative divalent ion tolerance protein, CutA MFSTKMASSTSRIFSSPSTLRRRLPIVGAFCMLTLGLTNFYTPLYSSALKTESKLSSRFSTKSSNFIRMEGNTNNTTVPSIVVYVTVPNKEAGKKLAESIVTEKLAACVNRVPGIESVYQWEGKIQTDSEELLIIKTRQSLLEALTDHVKANHEYDVPEVISLPITGGNLKYLEWLKESTRE >RHN63777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53971103:53982687:1 gene:gene26523 transcript:rna26523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phenylalanine--tRNA ligase MPTISVGRDRLFAALGKTYTQEEFEDLCFSFGIELDDVTTEKAIVRKEKHLEKEADEDEEIIYKIEIPANRYDLLCLEGLAQALRVFCGFQEIPTYILSDISKDAMLKMHVKPETSLIRPHVVCAVLRGLTFDKVRYNSFIDLQDKLHQNICRRRTLVAIGTHDLDKLEGPFTYEALPPSSINFTPLKQERSFRADELMEFYKSDLKLKKFLHIIEDSPVYPVIYDSKRTVLSLPPIINGAHSAITLDTKNVFIECTATDLTKAKIVLNTMVTAFAEYCENKFVIEPVEVISSDGKSHIYPDLSVYNMEVSLSYITGLIGVSLEAEEVTKFLNRMQLHAKQSTSDNKQCNFIVSVPPTRSDVLHPCDVMEDVAIAYGFNAIKDQAIVDNKGSKRLAASLTLLPLNELSDLIRKEVAMIGFTEVLTFILCSKKENFSMLNRKDDKSKAVIIGNPRSSDFEAVRTSLMPGILKTVAHNKDHPKPIKIFEVGDIAILDDNDVGAKNLRQLAALYCGANAGFEIIHGLVDKVMEKNGITFVSPGDKSGYYIERSDEPEFLAGRQARIIYKGKQVGTFGIVHPEVLNSFDIPDPCSFVELNIESFL >RHN64720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61257111:61261030:-1 gene:gene27569 transcript:rna27569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysine--tRNA ligase MNKDSSDAKLVFYDLKGGVKVIADAWISDLDEVEFCKFHSTVERGDTVGVIGFPGKSEEGDLSIFPKTFTVLSHCLHLMPDQKSTAAAAANNANLMKSVGTRNPETYILKDQETRHRLRPLDLILNSDIREIFHTRNRIISYIQRHLDDLDFMEVETPMMNKIAGGAAARPFVTHHNELDLKLFMRIAPELYLKQLVVGGMERVYEIGKQFRNEDIDLTHNPEFTTCEFYMAYNEYNDLMEITERMLSGLVQELTNGSYKIKYHANGVDKDPIEIDFTPPFRRIDMIEELESMAGLSIPKDLATDEANQYLKNACLEYDIKCAPAQTTARLLDKLAGHFLEETCVNPTFIINHPEIMSPLAKWHRTKPGLTERFELIVNKLKLSNAYTELNDPVVQRQRFAEQLKLIRQSLHLWKPPRA >RHN66753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18299150:18300781:-1 gene:gene14830 transcript:rna14830 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRVTTISITVLLFLFTTTLSRARDLQNPLPESNSETTTRFHSSSKTQQHDTVSFNLNSIDHVPLTYLNFRPINRHIHPHQRILPSNFPLSHRRCRHGHRRQIPYGNDMILSKDASNSDRRIQKRLARIHGGGVMYRPMVMTYNDFVRRDEEDRRHHDEKGWFEKKIHELLNLF >RHN44264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3022461:3023117:-1 gene:gene38362 transcript:rna38362 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTQQEIGIKIYNTTPPPQEVMGAVTQQPSDPPEHGKKRRAIMAKGVQKTLSKTSLLGNFLPSGTLLTFEMVLPSIYRNGQCTHVHTIMIHFLLIICALSCFFFHFTDSFHGADGNVYYGFVTPKGLSVFKPGLAVLVPNDDKYKVGFQDFVHAVMSVMVFVAIAFSDYRVSNCLFPGHEREMDQVMESFPLMVGIVCSGLFLIFPTSRRGIGCMSA >RHN62826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46077168:46079427:1 gene:gene25444 transcript:rna25444 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLWTNKNRVTRVFQIVADLHSSKRASSFTVQTGFPTSLIDLLIKNRTRFTKPNSSKPFQTQTSDPLPPETPCFNDCKVDCAEPDHTESQNLTQLITDDENLHVNDDGICRIGKSNDGSGSKLVLGIFLMMFVIIASIASFEKLTVGITVSAFVLLFLEYAMKHKVAVNDSLSFEEIKVVGVSSEDTSSYDGVKLDCVKEDKKLDCSGKVSLHENKVNNSVKFKSMLKKLLGQKFQRSSRKEEKECKVEKDFELKEEVVVVDSGSKSPLLLNVKPEDMRVTSEVKRVGNSDYMILFGIALVGLVLGRFPALVLAMTWCLMVKIGAVRGRSKKSLIKSYVPSS >RHN56462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31034389:31035301:1 gene:gene31868 transcript:rna31868 gene_biotype:protein_coding transcript_biotype:protein_coding MIITIFQCTHQNHINFSTQKPNEHYGRGEIVPTANGYRHQWVGDAEHPLHRGDYHTYEQLHDCILTTTIATNNSEMVHYVIHGVIILLFCFHDGIVSYHKARIDHAQLHEQG >RHN65092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64128631:64129319:-1 gene:gene27989 transcript:rna27989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative costunolide synthase MHLQLGEISTIVVSSPDLAKEILKTRDLAFVQRPKLIAPNILAYDSTGIVFAPYGDYWRQMRKICTSELLILESVEMASGFDVVDLFASFKAIHFITRTKARLQSMQKKSDKILESIINEHQTNSIHAGMQDENLVDVLLRVQQSGYLEVPITQENVKAVIWLS >RHN53181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42564096:42565241:-1 gene:gene37957 transcript:rna37957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation transporter MNILSTSCQKLVCLSKSIYISAACLYRFILLRSNPLCVQIVYFISISTLGFGFLKALKPLGQTQKNLDLFFTSVSSTTVSSMVTVEMGIFSNTQLIIITILMFIGGEVFTSLVGLFFIRSRLKIDLDKIASSHARLASRNPFIIVDRFHLEDEMVTNEVHKHESLSTTNENLRYLSMKYLGYVVIGYILFLHVIGVIGVSLYLTIIPSTKQLLKNKGLKMLTFSVFTIVSSFSSCGFVPTNENMIDFRKNSGLLLMLIPQLLLGNTFYPPCLRLCICGLGKFYKKRECRYLLKHSEKVGYKHLLQRKHTIFLVATNCGLIVVQVTLFCVMDWNSKGLKGLNFYQKLIGVLFQSVNSRHAGTSIVDISILSQAILVLFVVMM >RHN49287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53820154:53822348:-1 gene:gene44102 transcript:rna44102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MKSRWKLNTNLPSWVDSLNLPFNIKPPHSQYLFPSTTKSLLNHADLTSLLTLCGRDRNLTLGSSIHARIIKQPPSFDFDGSQRNALFIWNSLLSMYSKCGEFRNAGNVFDYMPVRDTVSWNTMISGFLRNGDFDTSFKFFKQMTESNRVCCRFDKATLTTMLSGCDGLRLGISTSVTQMIHGLVFVGGFEREITVGNALITSYFKCECFSQGRKVFDEMIERNVVTWTAVISGLAQNEFYEDSLRLFAQMRCCGSVSPNVLTYLSSLMACSGLQVLRDGQKIHGLLWKLGMQSDLCIESALMDLYSKCGSLDAAWQIFESAEELDGVSLTVILVAFAQNGFEEEAIQIFTKMVALGMEVDANMVSAVLGVFGVGTYLALGKQIHSLIIKKNFCENPFVGNGLVNMYSKCGDLSDSLLVFYQMTQKNSVSWNSVIAAFARHGDGFKALQFYEEMRVEGVAPTDVTFLSLLHACSHAGLVEKGMELLESMTNDHGISPRSEHYACVVDMLGRAGHLNEAKKFIEGLPEHGGVLVWQALLGACSIHGDSEMGKYAADRLFSAAPASPAPYVLMANIYSSEGNWKERASSIKRMKEMGVAKEVGISWIEIEKKVNSFVVGDKLHPEADVIFWVLSGLLKHLKDEGYVPDKKFILFYLEQDKED >RHN43915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49449867:49451954:1 gene:gene50551 transcript:rna50551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSRMRVSKLHGFLQNLFVPSKNIHSQRVPSSREYMRNVIGNIYRTLKYSSWDSAQQQLQNLPLKWDSYTVNQVLKSHPPMEKAWLFFNWASRLKGFKHDQFTYTTMLDIFGEAGRISSMKHVFNQMHEKGIKIDSVTYTSMMHWLSTSGNVDEAIALWDEMKSKGCCYPTVVSYTAFIKILFDNHRVKEATAIYKEMLHNGCVPNCYTYTVLMDHLIASGKCKEALEIFQKMQEAGVEPDKAACNILIDKCSKVCGTVFMTKILQYMKENRLVLRYRVYVKAMEALKIAGESDTLLRQVNPHFYLDSSFKEKAHDRNTVIADSSSNIDKELLLVLLRNRNVVAIDHLIQGMMDKKISVDNKVISTIIEVNCNCCRPDGALLAFNYSVTMGISIERTGYLSLVGLLNRSNMFSKLVEIVGEMTRAGHSLGIYLASLLIYRLGCARQLSIALKIFNLLPDNHKCVATYTALISIYFSARRVNKALEIYKIMCQKGNCPTSGTFNILVAGLERNGRFSEAGVHRKAKKNLNSNIGSQENLSTEGRICDLLFAGDVIL >RHN69062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40701895:40703520:1 gene:gene17490 transcript:rna17490 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTMCRIVLIMPLNMDIDMAVFTRMMHNTPSDCAGRLDRPILMNKFVIHFMMQCVF >RHN55628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20231087:20232148:1 gene:gene30835 transcript:rna30835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MPPLPIDLVAEILCRLPIKLLLQLRCLSKSFNSLITDPKFAKKHLRLSTTLHHLILESRDNLGELHLIDSPISSFSNFRVTLTKLSHPFILNTFSWRMCTCDGILCFTPSTSKDNFVVLWNPSIRKFKRVPPLGYQCRLFSNHYSFGYDPFIDNYKIIVVYFSRESEKNEISVHTLGTEYWRRIQDFPFSGHIGGPGIFVKDTVNWLAFENVDNNGLFAIVSLDLETESYEIISILDVNSDKYWSLEVLRDCLCIYVTSDLDLDVWIMKEYGVKESWTKLYSVSFVGGQMYDIRTLYIFEHDQILVELHDWERTQHLIVYDSKIDTFNIQDIENGSLLKNPKVYIESLISPSA >RHN61360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35140838:35141284:-1 gene:gene23819 transcript:rna23819 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRLGGASWVGFGLFFGRWLVDFWVVGCFLHCSWSQVSGFFTDLLIYVDFLAFSLQPPRRWSCGWLRRLSCHNLIVMGGYGSWVCSIPKFKFLVMVFCFGEGHQLKHRVRRCVVPQILVAITKVHVPGFVDSVWGRDVAFKWMVLP >RHN52148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32010446:32011501:1 gene:gene36777 transcript:rna36777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MIDEEDNMSHSNSTNKPKPLLSWKPRATISLLSFLTDACCRSNGTFNRRLFNFFIRKSSPNATPVNGVSIKDITVNSENNVWFRLFTPTVGGEVVGDGGATKTTSLPVVIFFHGGGFTYLCPSSIYYDAFCRRLCREISVVVVSVNYRLTPEHCYPSQYEDGEAVLKYLEENKMVLPENADVSKCFLAGDSAGANLAHHLAVRVCKEGLQEIRIIGLVLIQPFFGGEEQTEAEIKLEGSPLVLMERTDWWWKRYYDWLKKCGKKAELIQYPNMIHAFYIFPDLPESTQLIVQVKEFVNKVSGSK >RHN52194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32582432:32586048:1 gene:gene36838 transcript:rna36838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small nuclear ribonucleoprotein G MSRSGQPPDLKKYMDKQLQIKLNANRMIVGTLRGFDQFMNLVVDNTVEVNGNEKNDIGMVVIRGNSVVTVEALEPVVNRIG >RHN65276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:781245:787355:-1 gene:gene13098 transcript:rna13098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MILTSLSQMAETQLPTLPIDVLPEILCRLPIKLLGQLRCLCKSFNSLISDPKFAKKHLQLSTKRHHLMLTCIRDQISSSSEWILCDSPIPSIFSTSTVFTQTQLYPPNTITTLFSHGQKFVNLRCSCDGIFCGELNDNDDASYFLWNPSITKFKLLPPFQNSFQGFSVSFGYDHFIHNYKVISVSTKNEVCVYTLGTDYWTRIEDIPNNYRIHSIGTFVSGTVNWFATDDSSMHFILSLDLEKESYQHLLLPNSNYDSSMLGLMRDCLFLSGSSSSDMFMDVWIMKEYGDQESWTKLYIVPDIQDHGLKPFQPLYIYEDDQLLLRFYAMEGGNIKLVVYDSKTGTLNIPEFQNNYEQIGSDVYIESLISP >RHN74747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36337479:36338608:1 gene:gene10855 transcript:rna10855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative persulfide dioxygenase MLIPHMQETFKNIMANLNLSYPKMIDVAVPANMVCGVQSKTS >RHN55105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15194292:15195309:-1 gene:gene30233 transcript:rna30233 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVIRYSVVILEISIRRCVFELDFGKILKSVHVTTSVSAYLLK >RHN65772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5213905:5214323:-1 gene:gene13660 transcript:rna13660 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFHCRFSPFLALLFLLDIIIDFGYPCFSCFVLLFMMDQPC >RHN40799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21290578:21299589:-1 gene:gene47011 transcript:rna47011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKHCMLSSSFVISLCVFSLINFAHFEQAQAQTQTSNATTDPSEARVVNSIFSKWKISANQTQWNVTGDICSGGAIDTVIDDNTYNPFIKCDCSFNKNTTCRIIALKVYAIDVIGEIPAELWTLTYLTNLNLGQNYLNGSLPPAVGNLTRMQYMSIGINALSGKLPKELGDLTELIVLGIGTNNFSGSLPSELGKLTKLEQLYMDSSGISGPIPPTFASLKNLVTLWASDNELTGRIPDFIGNWTKLQSLRFQGNSFESPIPSSLSNLTSLTDIKISGLLNGSSSLDVIRNMKSLTILDLRYNNISGSIPSTIGEFENLTYLDLSFNSLSGQIPGSIFNLTSLTSLFLGNNTFSGTLPPQKSSSLINM >RHN64505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59611568:59613957:1 gene:gene27325 transcript:rna27325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MGFQRFSTCFLLALCILSQSLAKGTLGFACNWGTITSHPLPAQIMVKLMRDNGINKVKLFEADPMALKALGNSGIQVMVGIPNDLLDSLATNVNAAIAWVDQNVSAFISKNGVDIRYVAVGNEAFLKTYNGRFVQSTFPAIKNIQAALIKAGLGRQVKVTTPLNADVYQSDTGLPSGGHFRPDIQNQMMSIIKFLSQSNGPLTFNIYPFLSLDADPNFPKEFAFFDGSAAPVVDGSITYTNVFDANFDTLISALEKNGFGSMNVIIGEVGWPTDGTSNANIKSAQRFNQGLVDRIVKKQGTPKRPTPPEIYMFALLDEDLKSIDPGPFERHWGIFNFDGSMKYPLNLGGGKSLVGAKGVKYLAKQWCVISTQANVMDPNFAQSMSKACTYADCTSLAPGSSCSGLDTKGNASYAFNMYYQRLDQRKDACQFNGLSVVTNIDPSPSQGSCHFEIMIDIGKHETKSTSFAAPKIGLAYKFMLVSSFSFTLSLFI >RHN61876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39039416:39045369:-1 gene:gene24384 transcript:rna24384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha,alpha-trehalose-phosphate synthase (UDP-forming), Trehalose-phosphatase MMSRSYTNLLDLASGNFPAMGSGSGREFKERRRMPRVMSVPGIVSEVDDDQAVSVSSDNPSTITTDRIIIVANQLPLKANKREDNKGWNFSWNEDSLLLQLKDGFPEEMEVLYVGSLRVDIDPAEQDDVSQYLLEKFKCVPTFLPPDVLANFYDGFCKRQLWPLFHYKLPFSTDKSHRFDRHLWEAYVLANKLFFQKVVEIINPEDDYIWVHDYHLMVLPTFLRRRFNRVKMGFFLHSPFPSSEIYRTLPVREEILKALLNSDIIGFHTFDYARHFLSCCSRMLGLEYQSKRGYLGLEYYGRTISIKIMPVGIHMGRIESVMRMSDEECKARELKQQFEGKTILLGIDDMDIFKGINLKILAMEQMLKQHPKWQGRAVLVQIVNPARGKGIHVDEIHSEIEESCSRINRVFGRPGYEPIVFIDRSVPITEKVAYYSLAECVIVTAVRDGMNLTPYEYIVCRQGISGPESSSNANSPKKSMLVISEFIGCSPSLSGAIRVNPWNVEATAEAMNEAISMSDGEKQLRHEKHYRYVSTHDVAYWSRSFLQDMERACTDLLRKRCWGIGLSFGFRVVALDPNFKKLSIDAMVSAYKRARSRAILLDYDGTVMPQNSINKSPSKEVISLLESLCADPKNVVFIVSGRGRDSLSEWFTPCKKLGIAAEHGYFLRWSKDGEWETCGNCSDFGWMQIAEPVMKLYTEATDGSSIERKESALVWQYRDADLGFGSSQAKEMLDHLESVLANEPVAAKSGQFIVEVKPQDVSKGLVADKIFSSMTESDKQADFVLCVGDDRSDEDMFEIVSSAISRNILSSNASVFACTVGQKPSKAKYYLDDTSEVINMLESLAEESDSSPCIEESGDSS >RHN43793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48510297:48511329:-1 gene:gene50418 transcript:rna50418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flagellar calcium-binding protein calflagin MPMGLKSMFNKPSSSSDPPSRSTSLSVRSRTRLAGELEEVFKKFDVNGDGKISASELGSIMGSLGQQTSEQELNNMIREVDGDGDGCISLQEFIELNTKGVDSDEILENLKDAFAVFDMDGNGSITAEELNTVMRSLGEECSLAECRKMIGGVDSDGDGTIDFEEFRMMMMMGSRHDTTDRVKPEPMPTE >RHN48798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50372526:50374037:1 gene:gene43551 transcript:rna43551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MTRIRKKKITSKLIENNTRRKAVYKRRLKGLFTKMKHVTILCGIEACAVVFGPGDTKPSIWPSPTVAEDLITKFESMSLDVQSKNKTDQLTFLKDKGKKLEASLEKINKENEETLMGAYLHQIENEGKSLNDFDHDVQNRLIAFTLEKIKITRKMSRHLEKEILPLNNPPPPLAPISFTLDNGDGVNMYGHVSNQQPLSDLVKKVDQMSSGFNNNPESCMGIPPLADLRGGGCDNLANQADFGSFDKGMGIPSPEYSSGGFDASFFQDNLENFDSIMEIPSHENHSGSVHDMFLPRGNLENFINNIGSNIGIQSHENPSGGVGVLPPQGYFGGQSNFQGFDDITGSNTRILPHENLNGNVDSLPPQKKFEGQENFRGFDDNTGSGMWIPHSGGDTLLPQENFESQFNFEDVDNNSGSGVGDVDVLSHQGNFGVQENFRGFDDNTCSNMWKPPHENPSNGGVDMMTFHQNNFGGNINGDGMWSFNANFVDNNYGSNFNPEFPSE >RHN49244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53525474:53528038:-1 gene:gene44055 transcript:rna44055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MEGIEHRTVEVNGIKMHIAEKGKEGPVVLFLHGFPELWYSWRHQIAALGSLGYRAVAPDLRGYGDTDVPSSVNSYTIFHLVGDIVALIDSLGVDQVFLVAHDWGAIVGWYLCLFRPERVKAYVCLSVPYLPRNPKVKPVDGMRALFGDDYYICRFQELGKMEAEIAKDSSEQVIKSMLTGRTTGPLILPKERFLSHPSTKPLPSWLSQEDVAYYASKFEKTGFSGGLNFYRNLNLNWELTAAWTGAQIKVPLKFITGDSDLVYTSSGTKQYIESGGFKKDVPNLEEVVIQEGVAHFNNQEAAEDISNHIYDFIKKF >RHN57140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36800646:36801148:1 gene:gene32641 transcript:rna32641 gene_biotype:protein_coding transcript_biotype:protein_coding MARLKSLRHLNPKPPSIVDLRSFSFLTQPLKMNISRFFVNKYPKCII >RHN81399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45566877:45567228:-1 gene:gene5429 transcript:rna5429 gene_biotype:protein_coding transcript_biotype:protein_coding MLICNFIYTCLKVCVCVFCCCIDNLLERHYRHRITTSSSQ >RHN42837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41384033:41386120:1 gene:gene49331 transcript:rna49331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MRLRWLLDTCSSANNCATATHNSTISLHPSKKQQHASDSSTSSSSTLTSDDSTSTLYSNYSIQTLPSVPSLQKLSSQNLNNFSVSYHCITSLTPHSSRPITSLALHNNLLYAATENQINVYDRQTCTYLHTFNTKSISSGSTKTIAFSKDMVFTTHQDCKIRVWNHHHHKNNNNHRKLTTLPTVNDRFRRFLLPSNYINIRRHVKRLWIEHADAVTDLAVSNGVIYSVSWDKTLKIWRISDLRCLESLKAHEDAVNAVAVSNDGTVYTGSSDKRIRVWAKPVGEKKHVLVATLEKHKSAVNALALNDDGSVLFSGACDRSILVWEREDSANHMVVSGALRGHQKAILCLINVSDLLLSGSADRTVRIWKRVYDGSFCCVGVLDGHRKPVKSLAAIQEYNDDDESQSSPNGVVSVFSGSLDGEIKVWQLSIGCDLASQGQSIFSEFLE >RHN53439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1668878:1670928:-1 gene:gene28346 transcript:rna28346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MANILCFHLFLFVLFTFLSQTPTEAYNKGFSFKLIHKNSPNSPFYKSNNFHKNKLRSFYQVPKKSFVQKSPYTRVTSNNGDYLMKLTLGSPPVDIYGLVDTGSDLVWAQCTPCGGCYRQKSPMFEPLRSKTYSPIPCESEQCSFFGYSCSPQKMCAYSYSYADSSVTKGVLAREAITFSSTDGDPVVVGDIIFGCGHSNSGTFNENDMGIIGMGGGPLSLVSQIGTLYGSKRFSQCLVPFHTDAHTSGTINFGEESDVSGEGVVTTPLASEEGQTSYLVTLEGISVGDTFVRFNSSETLSKGNIMIDSGTPATYIPQEFYERLVEELKVQSSLLPIEDDPDLGTQLCYRSETNLEGPILTAHFEGADVQLLPIQTFIPPKDGVFCFAMAGSTDGDYIFGNFAQSNILMGFDLDRKTISFKPTDCTNQ >RHN48636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49034834:49036380:-1 gene:gene43375 transcript:rna43375 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNNHNNEESNDNHYVIDICKVDNERLASLQHKISENPKLLSKSAGKISCCIFKVPQTFVEANVKAYHPRIVSIGPYHRGQPRLNMIEEHKYLYLGSLLTRTQLPLEEVLKAISLLENEARECYSETIQLDSHEFVEMMVLDGCFIIELFRKVARLVPFEVDDPLVNMAWILPFFYRDFLKLENQIPFFVLQRLFEISKPPNENSTVTLSYLAMEFFNNSLQRPEEEVIMMITKQNESKHLLDLVRSSFIPISIKEKELKRVTTPTHIIHCVTKLRRSGIKINPGKSNERESFLNVKFKHGVIEMPTITMDDFMSSFLFNCVAFEQCYSGCTSSMQLYFTTYVTLLDCLINTYRDVEYLCERNIVENHFGTEGEMAHLINNAGKDVAVDLDMCYLSGLFDEVHQYYGNSWHVQWASFKYTYFDTPWSFISALAALVLLVLTVGQTYFAAYQYFDA >RHN51862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27182439:27183438:1 gene:gene36411 transcript:rna36411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MYPSNNSVFSSSSDMSLPNSGGSHWMSICNEEMRLAATTPKKRAGRKKFKETRHPVYRGVRKRNLDKWVCEMRKPNKKTKIWLGTFPTAEMATRAHDVAAMALRAATPVSTLQTQHGGSPNLQLLRQRIYKRLLQRPPRLSDQTRLY >RHN64574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60132831:60138463:-1 gene:gene27402 transcript:rna27402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNLTNQNTQKTLTPNPNSIQQQQPCSVPPLSDVDSVTKQLKGHGFSDKEIGIILNLTPSSSSSNVPFSLDSPIHEPFLKRGIEGDDDDDDDTDSFYMDPLPHESYASSSSSKRLKLYNFFDPKGKKPLIDDNNNNTIVISDSDDEDDQRKEITENQISLDLDINDYIITNETETNDSDGADDEIQTNVSIRRNRYRKYNKDAAKRYASQIHTVSVNEDDHHVGHEIDDDVEHEVDVDDASPTPFSEAIKAIQERIAKSKKKGVVKESFIWVPKRNGEDLVRERFRVPSLQEMSLKILANHADGMVSLDGVSDELKQRLSALVCDSRKMNCRFLELLLSGFPTHIRLKDCSWLTEEEFTKCFGMLDTSILEVLQLDQCGRVITEYTLLSTLAKSPSCLSRLISLSLTGACRLTDKGLQLMVSSATTIRSINLSQCSLLTYASLNILADSLGSILKELYLDDCILIDAALILSALKQLKQLEVLSLAGVPTVCDKFIKSYIIACGQNIKELVLKDCLNLTDASMKVIATHCPGLQALDLMNLYKLTDLTIGYLTNSCRALRTLNLCRNPFSDEAIAAFLELTGKSLEELSLNNIKKVGQQTTLSLANNAKKLHTLDLSWCRNLTDNEFGLIVDSCLSLRSLKLFGCSQVTDVFLNGHSNLEIQIIGLKLCPLLQHIKEPNPNQGALRYSSASE >RHN81393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45505360:45505822:1 gene:gene5422 transcript:rna5422 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPEASIGPMVDPHPMSFLTTNSYIGRLWIFPTSLKRRDVIPLVA >RHN53402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1483947:1488915:1 gene:gene28304 transcript:rna28304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MMRLKTYAGLSLMATLAIAYHAFNSRGQFYPAMVYLSTSKISLVLLLNMGLVIMCVLWQLTKKVFLGNLREAEVERLNEQSWREVMEILFAITIFRQDFSVTFLAMVTALLLIKALHWLAQKRVEYIETTPTVTTLSHIRIVSFMGFLLLLDSIFLYSSLKHLIQTWQASVSLFFAFEYMILATTTVSIFVKYVFYVTDILMEGQWDKKPVFTFYLDLVRDLLHLSMYLCFFFVIFVNYGIPLHLIRELYETFRNFKARIADYIRYRKITSNMNDRFPEATSEELNASDATCIICREEMTAAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPPENGTTVAGGQQGSQSDGHQQGTGSTGQTELGNGVATDSLSRHQARLQAAAAAASIYEKSYVYPPATSFVRSPGYTNYPPVAAESTSVDLNGEQASSQQAQNQFHIPGGPINAPFPSTGHFHYLPSQPYMAPLNYGEGFENDPNISKSQLEAHKKILQQQIEILQNQLQNLQSTEAKRSVDDGAPSSESKGKLSSSSEIQDGKA >RHN63315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50335352:50335528:-1 gene:gene26001 transcript:rna26001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonoid 3',5'-hydroxylase MSERTVLYFVATLVHLFDWTVPQGENMDVSEKFGIVLKKKTPLLAIPTTRLSNPDLYN >RHN59205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8434648:8438401:-1 gene:gene21203 transcript:rna21203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, phosphoribosyltransferase MKLIVEVINAHDLMPKDGEGSASTFVEVDFENQLSRTRTVPKNLNPTWNQKLVFNLDTTKPYHHKTIEVSVYNDRRQPNPGRNFLGRVRIPCSNIVKEGDEVYQILPLENKWFFSSVKGEIGLKVYIASESKSKDFSPISSSKLAKLSPSTPKQEPESTATNLVPLHGTPSTTETLEADPNEEGSALDASKETTEVEKVHFVAASNYSIEESQSSSIDIDQEPKIEIEEPVEQISSQKLDKHQVHQQPRISIKKRPQDNLFTMHSVDPQLQSSRAENYNHSNDGNMQPRISIKRRPRPQGIPPSTTHSVNPQVHPRYDERYNLKGPNQQPRILVETPRHVSSPPRHCEDPQGIPSSTTYSVNPQVHSRYDGRYNLKGTNQQPRILVETPRHVTSPPRHGVDPQVNTSNDENYSVEETTNPQIGEKWPSDGAYDGRKWTSSGERLTSTHDLVEQMFYLYVRVVKAKDLPPGTITSSCDPYVEVKLGNYRGRTKHLEKKLNPEWNQVFAFSKDRIQSSVLEVFVKDKEMVGRDDYLGRVIFDLNEIPTRVPPDSPLAPQWYRLQHLRGEGMVRGDIMLAVWMGTQADEAFSDAWHSDAATVYGEGVFNIRSKVYVSPKLWYLRVNVIEAQDVIPSDRNRLPEVSVKAHLGCQVLKTKICSTRTTSPLWNEDLVFVAAEPFEEQLTITVEDHVQPSKDEVLGRISLPLNLFEKRLDHRPVHSRWFSLEKFGFGALEGDRRNEQKFSSRIHLRVCLEGGYHVLDESTLYISDQRPTARQLWKQPIGILEMGILGAKGLLPMKMKDGHGSTDAYCVAKYGQKWIRTRTLLDTFSPKWNEQYTWEVYDPCTVITLGVFDNCHLGEKAPSGSSIKDSRIGKVRIRLSTLEANKIYTNSYPLLVLHQHGVKKMGELQLTVRFTALSLANMFHIYGQPLLPKMHYLQPFTVNQIDNLRYQAMNIVAMRLGRAEPPLRKEIVEYMLDVDSNIWSMRRSKANFFRVMSLFSGLITIGRWFNDVCHWKNHITSILVHILFLILVWYPELILPTCFLYMFLIGLWNYRFRPRQPPHMDTKLSWAESVHPDELDEEFDTFPTSRSHDAVRMRYDRLRTVAGRIQTIVGDIATQGERFMSLLSWRDPRGTTLFVLFSLCAAVIFYATPFRVVVLVTGLYNLRHPKFRNKLPSVPSNFFKRLPARTDSLL >RHN57444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39204104:39205162:-1 gene:gene32989 transcript:rna32989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hyccin MSDDEATATTPTTSAVTFTPNSSDKIQFALQSLCTIVNPTLSITSSTTPLSILNELKIYNQIATLLRQPSSGAGDNNLCRWLYDTFQSNVGDLQLTVLRFLPIIAGVYLSRVADRKPQAGFEAVLLALYAHETNSRAGEAVTVTIPDMSHPSVYHEFKAVVNKNGATDLNIVVLSPSLEPHGTVRSTRRARIVGIAFELFYNKISQMPVAPKIDFCKFCKIWAGEDGDMYKNFEDEDEDEEEEEEEENNEVEIQKVGEGGEEFVKSGRVPMPWELLQPVLRILGHCLLGPNNRDTMLFENASEACRCLFARAMHDVNPKAILPMRSLLRLSKNVDVDDFDPTEPPKTDVITL >RHN70861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54565102:54567421:-1 gene:gene19490 transcript:rna19490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lactoylglutathione lyase MISSLMLPSATTLRPCCSCSITPSSSSSSSRRIALFHLLTTGGIALPQSQLLGGKGSDLFQIAEANAAVNLAQPDQNLFNWVQNDNRRFLHVVYKVGDLDKTIKFYTECLGMKLLRKRDIPEDKYSNAFLGYGPEDSSFTVELTYNYGVDNYDIGTGFGHFGIIAEDVSKTVDIVKAKGGKVTREPGSVKGGSIVTASVEDPSGYRFELLERRPTREPLCKVMLRVGDLDRVIAFYEKAVGMKLLHKIDNPEEKYTVAKLGYGPEANGPVLQLTYNYGVTNYDKGNGYAQIAIGTDDVYKTAEAIKSCGGKIIREPGPLPGINTKIVVCLDPDGWKLVFVDNVDFLKELE >RHN75349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41478564:41479071:-1 gene:gene11534 transcript:rna11534 gene_biotype:protein_coding transcript_biotype:protein_coding MASISMVIALNCKQHAYGEGNWFDYTSVSCIEEDYHNGDRDSYQEGDDDDDGGYDYAPAA >RHN67739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29911256:29911852:-1 gene:gene15962 transcript:rna15962 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDFKSFTTFMGGSGCEAMVPQFTPIASEIEAMLKMVKKKKVNVMKEETVDIVEVSLGFFLGNCKMFGCFCGTFVGVLSVVLFENVVSSVFEFINVINFCIRLRVYHKIFMGKRG >RHN58140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44032879:44033280:-1 gene:gene33752 transcript:rna33752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MIRCTHCSSQTNRRFGLQFSSFSEIYSLNNNSWRKIDCGMHHSDKCGEEVYMDGMSHWWDIEVTHTYLVSFDFSNESFITTPIPSFEGDTFDFDFNYNKKRQLVVLNGSIAFIANYKETTGGIKWIRCFYSKL >RHN72752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10444025:10444320:-1 gene:gene8505 transcript:rna8505 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMRLRRRFWARSLSFPTRLPLHLPTSIYVFRRVFISRRSRQRHSRGRFPASEGVFR >RHN39578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8395069:8397366:1 gene:gene45616 transcript:rna45616 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNSSAQPMHYDDYGFDLQQDFSLFLAEAKEHGHESKLKSSSVYPEEGSKKTGPEKVRKGKKSWKSSLVSWWKIDKKSKDKETTNKNSIAKVSEKRQGHVSGPILNSYKGYDGKQKNPFSGPLITSLFKPTKRSEENEIPYMTLHQQNNPHPVQNYGPLYVVT >RHN48557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48462692:48464635:1 gene:gene43288 transcript:rna43288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MASQDPKLHFVLFPMMAQGHMIPMMDIAKILAQHHNVMVTIVTTPHNASRYTSILARYLESGLHIQLVQLKFPFKESGLPEGCENLDMLPSLGAATNFFNSSKFLQQEVEKLFEELTPSPTCIISDMCLPYTVHIARKFNIPRISFGGINCLYLLCLHNLHVNNIMQTMANNEFEYFDVPGIPDKIEINIAQTGLGLKGEAWEQFNSDLAEAEMGTYGVIMNSFEELEPAYAREFKKVKNDKVWCIGPVSLSNTDYLDKIQRGNNNKVSIDEWEHLKWLDSQKQGSVLYACLGSLCNITPLQLIELGLALEATKIPFIWVLREGNELEELKKWIEESGFEERINGRGLVIKGWAPQLLILSHLAIGGFLTHCGWNSTLEAICAGVPMVTWPLFADQFLNECLVVQILKVGVKIGVKSPMKWGEEEDGVLVKKEDIERGIEKLMDETSECKERRKRIRELAEMAKKAVEKGGSSHSNISLFIQDIMKKNKDMMSSFTNGNANSK >RHN41232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28456214:28459048:1 gene:gene47532 transcript:rna47532 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGSLLKYKQLSKTSRSSLVKRKMDSGNFTMAVLSAASVFSDLSMPMFSGNAFNLEHSERMRLSRCFSPDTSLGSSIKFSQRVKFKLSNVVKLPMDS >RHN73641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18426540:18430460:-1 gene:gene9478 transcript:rna9478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MKLKWKSIFPASCFKGEYPSPKPKKVVATKPRSASTSTHRISIEELSNPNATEDLSISLAGSNLYAFTVAELKVITQQFSSSNHLGAGGFGPVHKGFIDDKVRPGLKAQSVAVKLLDLESKQGHKEWLTEVVVLGQLRDPHLVKLIGYCIEDEHRLLVYEYLPRGSLENQLFRRFSASLPWSTRMKIAVGAAKGLAFLHEAEQPVIFRDFKASNILLDSDYNAKLSDFGLAKDGPEGDDTHVSTRVMGTQGYAAPEYVMTGHLTAKSDVYSFGVVLLELLTGRKSVDKNRPQREQNLVDWARPMLIDSRKISKIMDPKLEGQYSEMGAKKAASLAYQCLSHRPKSRPTMSNVVKILEPLQDFDDIPIGPFVYTVPIDNNEVAKEKDQAKEYETNRERRRENDKYHRNGHRHHPLKSPKSPSPQSQSQSRPQSRPQPHLQIDKHRNGRESGLNSPLSHMNRNGRESGANSPSPHMNRNGRESGSNSPLPRINRNGRETGSNSPMPRINRNGRESGSNSPLPHINRNGRGSGSDSPSPDKKSYEN >RHN81516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46634329:46638364:-1 gene:gene5573 transcript:rna5573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MGYSIFYIVLFVSIFCVGISLASSIEDQKRDKIRQLPGQPKNVGFEQYSGYVTVNEQSGRALFYWLIEAPLNRGPNSRPLVLWLNGGPGCSSIAYGASEEIGPFRIRPDGKSLFLNRYAWNNLANILFLDSPAGVGFSYCNKTTDLYTFGDQKTAEDAYIFLVNWFERFPQYKHREFYIAGESYAGHYVPQLAQIVYQRNKGINNPTINFKGIMVGNGVTDDYHDYVGTFEYWWTHGLISDSTYRILRIACDFGSSLHPSVQCFQALRVAVAEQGNIDPYSIYTPPCNNTASLRSGLNGRYPWMSRAYDPCTERHSDVYFNRPEVQKALHANVTGIPYIWKTCSDIVGNYWTDSPLSMLPIYHELINAGLRIWVFSGDTDSVVPLTATRYSIDALKLPTIINWYPWYDSGKVGGWSQVYKGLTLVTIRGAGHEVPLHKPREAFILFRSFLENKDMPSSS >RHN44105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1717944:1726610:1 gene:gene38164 transcript:rna38164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative palmitoyl-protein hydrolase MGSNQQQQRVVNVVAVFFFLVLIPVTQSLPFIVLHGIGDQCKNGGVTNFVKLLSDWSGSQGYCLEIGNGMWTSWTKPLLKQTAIACEKVKKMSELNQGYNIVGLSQGNVIGRGIIEFCDGGPPVKNFISLGGPHAGTASIPLCGSEKVCTLIDSVIKFGVYSSIVQNSLAPTGYVKMPIDIAGYLKGCKFLPKLNNEIINKRNSTYSKRFASLENLVLIMFDHDTILIPKETAWFGFYPDGALHSVLPPQQTKLYTEDWIGLRALDEAGKVKFFNVSGDHLDISHSDMKSYIVPYLKDHNHIVPYLKNQMKEY >RHN79210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25866695:25867201:-1 gene:gene2952 transcript:rna2952 gene_biotype:protein_coding transcript_biotype:protein_coding MHDYAPRMGKTLTHYGYYRLTGLTLGPTIFVRKFHLSVVSRVNLFQDCSWLVTALHPKRPRWVKGKSKGLQLHGTLILKVITPTQLLETTEYYLHEPSTEQGYITCTQCVRLILVWHWDYTPSYLIVSLKFGYMTYLTTHVKRKKTHRHAFPSFIFYFYFKQVIAQDK >RHN63509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51847882:51849395:-1 gene:gene26213 transcript:rna26213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase with an alcohol group as acceptor MSEGDAASRVGYALEPKKVHSFIQPSLLHYAKQNGIDLIQIDLTTPLSQQGPFHCIIHKLNTQNWKKQLQEFSTQHPKTVIIDPPELINRLHNRLTMLESVTHLHLSIENENFIVEVPKQVVVKEPKSFDLGAIEELGLRFPVIAKPLEANSTVDSHNLFLVFDFDGVKSLNNPMMLQEFTNHGGVVFKIYVAGKHFNCVKRKSLCDISEENMKTVKGSVPFSQVSNLSAQNYEEGVDGDAVDMAEMPPQSLIAELASGLRERLGLNLFNVDVIRDGKNPRRYLVIDINYFPGYAKLPSFEPFFTDFLLDVVQQTKTD >RHN48661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49301020:49305759:-1 gene:gene43402 transcript:rna43402 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSGGVLCGGSSRCNAVPLFLNHRAASLRISSSSSIPEHVSFVKDVAVTQPPQHLSQLLTILKTRGETIISPGAKQGLIPLAIPLSKNSSGSVIALLRWPTAPPQLEMPVVEVKKHGVWLLAKTVDQYIHRILVEEDAKDSQERNEELFHASADVGEKLYRKGDFAESGISNLDSYLLKKVGIFPDVLERKVKRHFDEGDQVSALVTGEFYTKKEHFPGFARPFVFNAEVMLRVGRKVEAKDAARGALKSPWWTLGCMYRDVADIAQWDDEQIEYIKEKVTEEGRQEDLKKGKAPAQVVLDEAAFLLDLASIEGNWDGYLERIGKCYEEGGLDDIAKFILYKQ >RHN77965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10873222:10874490:1 gene:gene1476 transcript:rna1476 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQSKIPLRILNLILLLVCVTLPINAMESRKLVEKCTPCGDTPIYSPPPIIYNSPPPPIVYPSPPPPSPSPPPIVYYSPPPPSPKKPPSANCPPPPSSPSSSSSPYVYMTGPPGNLYPVDVNFSGSGATPNGRASFAGFLPLLVCLLSLLVL >RHN60732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30000376:30000777:1 gene:gene23088 transcript:rna23088 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSRNPISIRISDRNFIDLIPNPRLFFFFFNFIIMFDLNCGTGGGTSATSTFSGVTATTRA >RHN62857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46261635:46266092:-1 gene:gene25482 transcript:rna25482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MPSQNLSLTLVILISILSIIVSHAETSTIKVEIEALKAFKKSITNDPNKALANWIDTIPHCNWSGIACSNSSKHVISISLFELQLQGEISPFLGNISTLQLIDLTSNSLTGQIPPQISLCTQLTTLYLTGNSLSGSIPHELGNLKMLQYLDIGNNYLNGTLPVSIFNITSLLGIAFNFNNLTGTIPSNIGNLVNTIQIGGFGNSFVGSIPVSIGQLGSLLSLDFSQNKLSGVIPREIGNLTNLQYLLLLQNSLSGKIPSELALCSNLVNLELYENKFIGSIPHELGNLVQLETLRLFGNNLNSTIPDSIFKLKSLTHLGLSENNLEGTISSEIGSLSSLKVLTLHLNKFTGTIPSSITNLRNLTSLSMSQNLLSGEIPSNIGVLQNLKFLVLNDNFLHGPVPPSITNCTSLVNVSLSINSLTGKIPEGFSRLPNLTFLSLQSNKMSGEIPDDLYICSNLSTLLLADNSFSGSIKSGIKNLFKLMRLKLNKNAFIGPIPPEIGNLNKLIILSLSENRLSGRIPIELSKLSLLQGLSLYDNALEGTIPDKLSELKELTILLLHENKLVGRIPDSISKLEMLSYLDLHGNKLNGSIPKSMGKLDHLLLLDLSHNRLSGLIPGYVIAHLKDMQMYLNLSYNHFVGSVPSELGMLEMVQAIDVSNNNLSGFLPKTLAGCRNMFSLDFSVNNISGPIPAEVFSGMDLLQSLNLSRNHLDGEIPESMSQIKNLSSLDLSQNNLKGTIPEGFANLSNLMQLNFSFNQLEGPVPLTGIFSHINESSMMGNQALCGAKFLSPCRENGHSLSKKSIAIIAALGSLAVLLLAVLLILYFNRGTMFGNSIKSVDTENHESVNGSALALKRFSPKELENATGCFSSDYIIGSSSLSTVYKGQFEDGQIVAIKRLNLHQFSANTDKIFKREASTLCQLRHRNLVKIHGYAWESQKIKALVLEYMENGNLDSIIHDREVDQSRWTLSERLRVFISIASGLDYLHSGYDFPIVHCDLKPSNILLDRDFEAHVSDFGTARILGLHLQDGSALSSTAALQGTIGYLAPEFAYMRKVTTKVDVFSFGIIVMEFLTKRRPTGLSESTSLRDVVAKAVANGTEQLVSIVDPELITKDNGEVLEELFKLSLCCTLSDPEHRPNMNEVLSALVKLNTAMLSCCVVK >RHN62477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43708948:43709241:1 gene:gene25057 transcript:rna25057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC transporter, P-loop containing nucleoside triphosphate hydrolase MGGPLLIGVSGGEWKRVSIGQELLTNSSLLLVDEPTKCLDSTTAKRIVMNLCELAKGGRTVIMTIHQPSSKLFHMFQKILLLADVMNYFSVCRHKSY >RHN53966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5808983:5813330:-1 gene:gene28941 transcript:rna28941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NRAMP family protein MSHQQPLLEQQEEEGEETAYDSSEKVVVVGTDEEEAYEAEVDSGVRIPPFSWKKLWLFSGPGFLMSIAFLDPGNLEGDLQSGAIAGYSLLWLLMWATAMGLLIQLLSARLGVATGRHLAELCRDEYPTWARIVLWLMTEVALIGSDIQEVIGSAIAIRILSNGLVPLWAGVVITALDCFIFLFLENYGVRKLEAFFAVLIAIMALSFAWMFGEAKPDGVDVLVGILVPKLSSRTIQQAVGVVGCIIMPHNVFLHSALVQSRQVDPKKKGRVQEALNYYSIESTIALVVSFIINIFVTTVFAKGFYGTEIANRIGLVNAGQYLQEKYGGGVFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLKLKKWMRALITRSFAIVPTMIVALIFDSSEESLDVLNEWLNVLQSVQIPFALIPLLCLVSKERIMGTFKIGSVLKTVSWLVAALVIVINGYLLLEFFSSEVSGAVFTTVVCAITAAYVAFIIYLISSSITFSPWQSLTRSKTVTTTES >RHN40506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17038051:17040283:1 gene:gene46663 transcript:rna46663 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIKSLDPEINPNFDKKEKQLASLKQPLKAASSLKALVDLQLDCEKKALMKKYIFYNLLQVTYRRESSASLISLFCEVYDPVKKSFVFNNNVSFSFCAEEVAEVLGIKNTGNSLEYTAAERFPEFVYELKENFDPDGSRQIKTKGIKIFLEKMTIDDEQSRSNFKQLLSYFLIERFLLCCPDPKKTRVSSWGMVEDINAFEEVNWAKTIYDNICESFGKLKAVMHKQQQHYFLGCARVFEAIVFKRIKPLEPKANSGFAYLPIESYKPKRDWKPLEIIKASEITPCSYCGNLAENFLASGAESDSASSLKGVHDCLAEDSSLEDSSLVSAFVDICIDDVDICIYVASSQPSSPVNDAQPSAPVNDAQPPAIPPLRRSLRARKEVDRFSPS >RHN73040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12933432:12934076:1 gene:gene8816 transcript:rna8816 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MAYTVTDLGRDRYENLRTYHVYIHESQINFEVTVTATASVVTNWLRTMLDHHLQYLRNCNLVVGLGVQWTNRNLDPPADTLQLCIGGSCLIFHLSRADMIPVSLCNFLRHPKNTFVGFWNAADRRKLERFDHRLQMWKNPQDLRNYEFNGEALSRLSMDEIVRKCLGFKVDQSIEVGRSNWNQENLYAHQVAYASIDAYFAFLIGICFQAWRYP >RHN74477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33844692:33847803:1 gene:gene10562 transcript:rna10562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MSQSAPMPTPSLTHLGLAPIALHCGGGYVPVGRVTLGRVMNVIGETIDDYGELTEKMLPIYRKAPSFYERNKTPVILQTGIKVIDMLAPYQRGGNIGLIGCAGVGKSILMMELMNIVADIYGGLSVFAGIKEQSQEGEDLYRRMVSDGIIKLGDKQASESQSKCAFVCGQINDPPGARSRVVYTGLTVAEGFRDEGRKVLLFVDNHFRFTQADSELSTLLGRIPSAVGYQPTLSIDIHSLQERIAATSKSFITSFHTIYPGDDVDVHLDAATVFSKEIFDRGIYPAIDPLKSTSSLLSPHCLHEDHFEVADGVIRNLQHYKNLQDIIAILGVDELSEDDQLIITRARKIELFLGQPLSVVAYPRSQETYVHLDDTLKGFQGLLDGEYDYIPDAYFHMTCGIKDVIAAYENHLLAGMQCN >RHN46737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34472036:34472736:-1 gene:gene41259 transcript:rna41259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stigma-specific protein Stig1 MTTFLHFFNMSTLAIHLVTIVALLLIFQIKIEANPISLIPKDEVKENPINTNVVVKVGDLDQESYNCALRPWICSAGENPPRSVCCRNRCVDVTSDADNCGFCGIRCPFIGNWQCCNRFCANINFSPFNCGACGIRCLGCLFGRCPSTNPAQPPFLPLGLQKQNAQMIPGH >RHN51348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16533627:16534375:1 gene:gene35774 transcript:rna35774 gene_biotype:protein_coding transcript_biotype:protein_coding MINRTASKSKLLFFFVLNIMINHIPIMLIITHISFFILVTTSNSQQPPQSFYNYSSCKDIKNSYNCGNISNISYPFWGQSRPLYCGARNPFYLNCGKSYGA >RHN66273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10236336:10237949:1 gene:gene14222 transcript:rna14222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEKYFTATGEKVRNYIHDELAFSILSKLPLKSLKRFRCVRKSWTLLFENHHFMSTFCKNLISNHHYYYGDVSLLLQIGDRDLLSLSSDRYENMVKLDWPNPFQEEDPWFCILDSGSITGILCLYNRNNRNNERTVFWNPATKEFKVIPPSPLEAVPTYQGFGTVLHGFGYDHARDDYKLIRYLYYFLPSSRDFEDLGISLQDVPWGDISNDSFWEIYSLRSNSWKKLDINMYLGDIRCSFSGFDCVKSQRLYLDGRCHWWHLIDHPDAKRALASFDLVNEVFFTTLIPLDPPLDVDDIFSVFSRPLYLVALSGSIALILWDFGTPTFDIYVLGEVGVKESWTKLFTIGPLACIQRPIGVGSKGVFFIKEDGEIVWFNWNSQMTEDLGIKENTLNFSHVLLYKENFLLMGG >RHN70070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48442907:48444971:1 gene:gene18613 transcript:rna18613 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Uni MDPDAFTASLFKWDPRTVLPTAPPLRPQLLDYAVTPSTAPSPYYPARLPRELGGLEELFQAYGIRYYTAAKIAELGFTVSTLVDMKDDELDDMMNSLSQIFRWDLLVGERYGIKAAIRAERRRLDEEEIKRRGLLSGDTTNALDALSQEGLSEEPVVQREKEAVGSGGGSTWEVAVVEERRKRQQIRRRRMKMKGNGDHGENEEGDEEEEDNISGGGERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCREFLIQVQAIAKERGEKCPTKVTNQVFRYAKKAGASYINKPKMRHYVHCYALHCLDEEVSNELRRGFKERGENVGAWRQACYKPLVAIAARQGWDIDAIFNAHPRLSIWYVPTKLRQLCHAERNSAAASSSVSVGTAHLPF >RHN43565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46901670:46909107:-1 gene:gene50166 transcript:rna50166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xaa-Pro dipeptidase MASSLSPPKVNFEVHIKNRDKLLKSIRQHLSQSSLPLHGFVLLQGGDEQTRYDTDHLELFRQESYFAYLFGVQEPGFYGAIDVGSGDSILFAPRLPDEYAVWMGKIKSLSHFKEHYMVTTACFTDEIATVLQQNYQGSGKPLLFLLHGLNTDSNNFAKPAEFKGIDKFHKDLTTLHPILTECRVIKSELEIDLIQFANDISSEAHIEVMRKAKVGMKEYQLESIFLHHTYMYGGCRHCSYTCICATGDNSAVLHYGHAGAPNDKTLEDGDMALLDMGAEHHFYGSDITCSYPINGKFTSDQSLIYNAVLDAHDAVISSMKPGVSWVDMHILSHKVILESLKKGHIVVGDVDDMVTSNLGPIFMPHGLGHLLGIDTHDPGGYPKGLERRKEPGLKALRTARVLQEGMVITVEPGCYFIDALLLPAMNNPEISKFFNQEVISRFTGFGGVRIESDVLVTAAGCYNMTKCPREIHEIEAVMAGGPWPVKKT >RHN58957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6224698:6225462:-1 gene:gene20922 transcript:rna20922 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKKHRRRMQHKRLFYVDLCKLTRPSMWDLFCANSLCCPLKFATCCKYIFVIKSVHELSELNFQMS >RHN63731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53583863:53587583:1 gene:gene26471 transcript:rna26471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative replication factor A protein MAKSVSPNAISTLLSNPSPDSSSDLPDIVVQVLDLKSSGNRYSFSASDGKKKLKGIIPSNQYSEVLSGKIQNLGLIRILDYTLNVIPNKSEKYLMLIKCEPVSPALETEIKSEQSGITLKPKEDDVVKREGSTAGIVLKPKLDMVSKSAAQILHEQHANSAPARLAMTRRVRPLVSLNPYMGGWTIKVSVTSKGTMRTYKNARGEGCVFNVELTDEDGTQIQATMFNDAARKFYDKFAMGKVYYISKGSLKVANKNFKTVVNDYEMTLNENSEVEEVANEAAFVPETKFNFVPIDQLGPYVNKSELVDLVGVVKNVSSTMSIRRKSNNETVPKRDITIADETKKTVVVSLWGDLATNIGQELLDMADKSPVVAIKSLKVGDFQGISLSAISRSLVLVNPEVPEAQKLRCWYDSEGKDAAMAAISSGSISSSNSGSRSVYSDRVPLSYITSNLSLGAEKPAFFSIRGNISFIKADQAMWYRACKTCNKKVTEDMDAGYWCESCQKNDAECNFRYIMVAKVSDASGEAFVSTFNEEAEKIIGCPAEELDNLKSQDGEENPYDMKLKKATWIPHLLRVSVSQNEYNGEKRQRITARAVVPIDFAAESKFLLEDISKMVASH >RHN73706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19169789:19170817:1 gene:gene9556 transcript:rna9556 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLDSNVEALAFNYLNFGLITILNNLWTWLAITAALSFWKIRSSGCPKSLDPVSVKPDQSVSVSNVTSPVEIKPDESVLISTENVTSKTETPPTLSDVSDDVDGVRKGKFTLYYKEDMQCGFNKNSSNCYRQLPVVEGWEPEVEVEWWKCWEKVLRLRNGENENGWYTCQDLTELNGNVVKIWDGGLTFVGSCITNESWSSSRCMSFE >RHN53978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5930188:5932490:-1 gene:gene28953 transcript:rna28953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MNNTVINRKEMDRIKGPWSPEEDEALQKLVEKHGPRNWSIISKSIPGRSGKSCRLRWCNQLSPQVEHRAFTPEEDDTIIRAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKRKCSSIMIDDSESPPLKRSVSAGAAIPVSTGLYINANSPGSPSGSDVSESSVPIVNTHVNSHVYRPVPTRTVAPLPLVETTSPSNSNDPPTSLSLSLPGVGVDSSSEDSNRVTEPVNTAPPPPPPPPPSAIPLMPVMTTAVPVPMAVPMQQSGSVMPFSFSAELLSVMQEMIRTEVRSYMAGLEQQNGMCFQKAEDGIRNALVKRIGISRIDS >RHN62223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41845487:41847074:-1 gene:gene24777 transcript:rna24777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin-dependent kinase inhibitor MSDCKRSSPTIIANGTSISKKRKITASQFQSSEMKHQLPNSLAEAAENILSSASDEFRSDHTPLLTCCSSGKVTETNDVKELDTTPLDPELKTKCFETVDKTNHNLKSFREAEIDEFFANFEREERKRFAEKYNFDIVRDMPLEGRYEWVRLH >RHN74162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29568233:29570333:-1 gene:gene10164 transcript:rna10164 gene_biotype:protein_coding transcript_biotype:protein_coding MIYILLQKAFVIGDEHREYVLREAGKLHRAFRTKIAKFFLKDSNGDFNKQRPAKYSYCIKQEHWDNFVAQRKSTHFQIEESENDPITRCQVWKAARVNKDGVIDNDNVQGVVDECKLERDKLERQQTNEVIETHKPERVAERQQTNEVIETHQPKRVAERQHTQKVFERQQTEKVAERKQPEEVAEEVEERRQPKEVVDRQKPSDKRSCNAVSFGNIPEGLLSVDIYLSSPSRCLVARGKLYNTEGNIVHGITLPPGYVKVKIEVSIVPNAPLPISVEYGDVSMVGQAIGTIVPWPLKLLQFVGECEKMMKAGSSIHINMEESIFGEEFLERLRVDNIKEIIDHNWLSASIITVFSRYLYYYQCSLI >RHN46913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35747138:35752917:1 gene:gene41448 transcript:rna41448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, phosphoribosyltransferase MKEKKMQVKVCHFHSFSTTLSLANHPGTSQPFLPSYLSCILLSLSLSLSLSLLYFQAQKTVVFVADLKTPRVQGILSMSSLKLCVEVVGAHDLVAKDGEGSSTTFVELEFDDQKFRTTTKDKDLSPYWNEIFYFNITDPSKLSNLNLEACINHYNKTNGSKIPLGKVKLTGTSFVPHSDAVVLHYPLEKKGIFSRTKGELGLKVFITNNPSLRASNPLPAMQEPFVNNGFMNTDQNLAQDQIPVPASFTNQILNNVLKKKNESRHTFHNLPKSNDGKEKKSNENQQATTLEVVIKDKDTIHDDFVGTVRFDLYDVPKRVPPDSPLAPQWYRIVNKKGEMMNTGEIMLAVWHGTQADEAFPDAWHSDSMSPNESFSANYAQIRSKVYTSPRLWYLRVKVIEAHDLVSHDNKSRAPDAFVKVQHGNQIFKTKPVQSRINNPRWDQGTLFVAAEPFEEPLIITVEDKDETIGNIVIPLSTIEKRVDDRKVRSRWYPLAKSMSSAMEAEERKIKEKNKDKDKFASRIHIDVFLDGGYHVLDESTYYSSDLRPTSRQLWKKAIGVLELGILNADVQPTKTRDGRGAADVYCVAKYGHKWVRTRTIVGSLSPKFHEQYYWEVYDPSTVLTLGVFNNGQLNDSNDSNDSKIGKVRIRLSTLETGRIYTHNYPLLSLQGSGLKKMGEVHLAIRFSCTSMMNMINLYFKPHLPKMHYTKPLNIFEQEKLKFQAMIIVQARLGRTEPPLRKEVVGYMSDTDSHLWSMRKSKANINRLKEVFSGLISVGSWLIEISTWKNSVTTVLVHILYMMLVCFPQLILPTMFLYMFIIGLWKWRFRPRNPPHMNTSLSCTDVTTPDELDEEFDTFPTKKSQDIVRWRYDRLRSLAGRVQSVVGDIATQGERLHALLNWRDPRATYIFMAFSFVAAIVLYLIPTQLVFLSAGFYLMRHPKLRGKLPSAPVNFFRRLPALTDSML >RHN79383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28267644:28272070:-1 gene:gene3167 transcript:rna3167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGNNCVGTRTFFSKDESSSRATFPSPSCWSRSKKDSAHKTSPTKPKESVQNNPPPVMKIEKEDEKPPPQQHHHQKPRQKPQTNEAVVATPAKPKRPHNVKRLASAGLKADSVLQRKTVSLKEFYTLGPKLGQGQFGTTFLCVEKSTGKEYACKSIMKRKLLTEEDVEDVRREIQIMHHLAGSSNVISIKEASEDAVAVHVVMELCAGGELFDRIVERGHYTERKAAKLARTIVGVIQSCHSLGVMHRDLKPENFLFVNQQEESPLKAIDFGLSCFFKPGDIFNDVVGSPYYVAPEVLRKRYGPEADVWSAGVILYILLCGVPPFWGESEQDIFEAILNSDLDFSSDPWPSISESAKDLVKKMLVRDPSKRLTAFDVLRHPWILIDGAAPDKPLDSAVLSRMKQFTAMNKLKKMALRVIAENLSEEEIAGLKEMFKMIDTDNSGHITFEELKVGLKRFGANLKESEIYDLMKAADVDNSGTIDYGEFIAATLHLNKVDREDHLHAAFSYFDKDGSGYITKDELQKACEEFGFRDVPLEEMIREVDQNNDGRIDYNEFVAMMHRGNAEMGKRGRKGSSSFSIGFREALPVC >RHN72473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7965536:7965768:1 gene:gene8188 transcript:rna8188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MLHICFKYFGDRVKYWVTFNEPNVAVICGYRTGLYPPSRCSDSFGNCSYGNSEREPFIAASNI >RHN73133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13675468:13676005:-1 gene:gene8919 transcript:rna8919 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSSCDALSRLITKWKSTKGWGVESKISTLKKLRKFGLKYRGFCFLFFLVEKVTIVLLLFICEVILCTILYHCINAFICL >RHN81907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49712295:49718171:1 gene:gene6004 transcript:rna6004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MRRPGLHRQNGRHIGGSGFKGMVAKLSIAAIVLLICTFSLFYSSSNENVQSIFRSEIRLEELWSNADSSGWRPSSSPRSHWPPPPSKNNGYLRVRCNGGLNQQRTAISNAVLAARIMNATLVLPELDANPYWQDNSDFHGIYDVEHFIRTLRFDVKIVESIPENEKNVKKNKLKAFKIRPPRDAPISWYTTDALKEMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYYALRFKPHIMKLSQSIVDKLRGQGPFMSILRFELDMLAFACPFTVCFDIFTPEKQKLLKEHRKKKFAPKKLVYKERRAIGKCPLTPEEVGLILRALGFDNSTRIYLAAGELFGGDRFMNPFRSLFPRLENHSSVDHSEELAENTRGLAGSAVDYMFCFLSDIFLPTYNGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDRENGRMTVFDEAVRKVMLKTNFGEAHKRVSPESFYSNSWPECFCQTSAKNPEDNCPPNDVLNVLHDELAKASIDTNSTKA >RHN54245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8032501:8033560:-1 gene:gene29248 transcript:rna29248 gene_biotype:protein_coding transcript_biotype:protein_coding MIADLVHLNFLPLVGITMNGCKIDAWDAIVGNEVCPNVVNSRIKFGPLWC >RHN79538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29971600:29972653:-1 gene:gene3342 transcript:rna3342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MLGKRMVSLKKLAKKVKASGGAGAESNTDPPYNECLLKGYEEEFSTSTTPTGFFALYVGEEHQRHVVPTSYLSHPLFKMLLEKSYNEFGFEQRNGLVVPCSVSTFQEVVNAIECNNGKFHLGKIFQDFV >RHN79639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30808074:30813120:1 gene:gene3456 transcript:rna3456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MNPGLAAYKLYRALTYAASPLIRLHLQWRRFRGLEHLQRWPERLGHASQPRKPGPLVWFHAVSLGEGMIAIPVIKHCIRKMPNLNVLVTITTLSAFEVLSKKIPSEVILQFSPVDTPSSIRSFLHYWKPSAIVLMESELWPNLIMDASKNGITLALLNARISEKSFKLWSGPVLLPLISLMLSKFSLIVPLSTEQGIRFQLLQAPPYIINFSGDLKYVIEDFGVNECGRMNIDNLRQQLSHKQVWMASSIHRGEEEIISGVHNVLMQLRPNIMTIIVPRHPQQGREIAKKLERQGHYVVLRSQHERFKPETNIYVVDTLGELRQMYTLTPIAVIGGSFLPGLSGHNISEAAAAGCAILTGRHVGHFSHMVLEMQQLNPLSVLQVSGKLELEKSLTELFTNTTLLEARRRAAKEAFCMLSSDIVANIWSLLNFHIFTRLFAEMKPHNITTKTKKNEDS >RHN41021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26006240:26009761:-1 gene:gene47293 transcript:rna47293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GH3 family protein MPEAPRGYNLVEQNKKILDFIEDVTSNADQVQQKVLSEILSRNANVEYLKRYDLNGHTDRDTFKKLLPVISYEDIQPDIDRISNGDTSPILCSKPISEFLTSSGTSGGERKLMPTIEEELGRRSLLYSLLMPVMSQFVPGLEKGKGMYLMFIKSEAKTPGGIVARPVLTSYYKSSYFRDRPYDPYTNYTSPNETVLCPDSYQSMYSQLLCGLCQNKEVLRVGAVFASGFIRAIRFLEKHWTLLCNDIRTGTVNPLITDSTVRDSVMKILKPDPKLADFIQTECSKNSWQGIITRLWPNTKYVDVIVTGTMSQYIPILDHYSNGLPLVCTMYASSECYFGVNLNPLCQPSEVSYTLIPTMCYFEFLPVNRSNGVTDSLHTPRSLNEKEQKELVELVDVKLGQEYELVVTTYAGLYRYRVGDVLKVAGFKNKAPQFNFVCRKNVVLSIDSDKTDEVELQNAMANAITHLVPFDATVSEYTSYADTTTIPGHYVLYWELTLNKSTQIPPCVFEDCCLTIEESLNSVYRQGRVSDKSIGPLEIKIVEQGTFDKLMDYAISLGASINQYKTPRCVKFAPVVELLNSRVMSSYFSPKCPKWVPGHKQWINQN >RHN62584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44504100:44505199:-1 gene:gene25176 transcript:rna25176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase, RNA-dependent DNA polymerase MEVIYKKKEIYIYQRKYAKEILKENRLEKCKTMNTPMNQKEKFIKEDGADKVSSFQKLDWMSTLYYILFPVSFCQYLCNGLVSYT >RHN42225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36506342:36509705:1 gene:gene48641 transcript:rna48641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative powdery mildew resistance protein, RPW8 MAGELVGGAFLGAVVQEGAKPFTNQISKGLKFKKTRKIVDSLVERIKPAAEEIERLNENLDRPKEETKVLMEELKQGKEVVNKHSKVPWWKFCCLPCFQGELQAKEEKIARTCSLVTPMNTARDVKETLSIVRDLKGRQFNFKRLCECDPPVKPDFTVGLDVPLHQLKNWVLSSDVSVDSVHVLTGLAGSGKTTLATLLCCDDKVIGKFGENILFFHVAKNPDLKNIVQTLFEHCGHKKPYLVDHDDAVKNLRCLLNKIGENRRPLMLVLDNVFQGSESFVNAFKVQVPDYKILITSRVKFPRFQTSLFLKPLSDDDAVTVFRHFALPNDGTTGSYVPDEDDVQQIAKGCWGSPLALESIGGSLNGQHIEAWKEMVNMLSEGGSIVDSNDELRDRLQKVLENALQDNHIVKECFMDLGLFFEDKKIPVAALIDMWTELYDLDDDNIKGMNIVRKLANWHLVKLVVSREVTTHVDHYYNHHFLTQHDLLKEISIHQARQEPFELRKRLIFDVNENSWDQQNQQNTIARTLSISPDKILTSDWSNVEKIKQVEVLILNLHTEKYTLPECIKKMTKLKVLIITNYKGFHCAELDNFEILGCLPNLRRIRLHQVSVPSLCKLVNLRKLSLYFCETKQAFQSNTVSISDILPNLKELCVDYCKDLVTLPSGLCDITSLKKLSITRCINFLSLPQEIGNLENLKVLRLSSCAELEEIPTSIEKLLKLHFLDISGCASFHSLPEEIGNLHNLKELHMTGFSLDTLPGSVTKLKNLKHLICDQETAVCWENFKPSLPNLKIEEAEVNLFIIV >RHN58255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:323516:324218:-1 gene:gene20147 transcript:rna20147 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSMDKKRGASGVVLVLLVLVFLSFSIANVNGDSVNDEDQSKKSAFWVWQRLRSAYSMYSSVFPTSIGQYWHMVKAIVNHTYTYFFPPNIERGEEGEAVVDNNGAGDKVKEALAKSLGTSKATLEDAAKSAADKVKRSLSDDDDREKKHPKEL >RHN53499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2105146:2107580:-1 gene:gene28411 transcript:rna28411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MENASVIIPISIILLSFIFLCGPSTAQPQPQPAIPPSPSPPPLAPALFVIGDSSVDSGTNNFLATFARADRLPYGRDFDTHQPTGRFSNGRIPVDFLASRLGLPFVPSYLGQRGNVEDMIHGVNYASAGAGIIVSSGSELGQHISLTQQVQQFTDTFQQLIISMGEDAAKTLISNSIVYISIGINDYIHYYLLNASNVDNLFLPWHFNRFLASSLMREIKNLYNLNVRKMVVMGLAPIGCAPRYMWEYGIQNGECVEPINDMAIEFNFLMRYIVEKLAEELPDANIIFCDVYEGSMDILKNHDQYGFNVTSEACCGSGKYKGWLMCLSPEMACSNASNYIWWDQFHPTDTVNGILAANIWNGEHAKMCYPMHLQDMVIQKAN >RHN69852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46763043:46774737:1 gene:gene18376 transcript:rna18376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP binding protein, second MSTVMQKIKDIEDEMAKTQKNKATAHHLGLLKAKLAKLRRELLTPTTKGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVITYRGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCILIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNLTFRRKEKGGINFTSTATNTHLDLDTVKAICSEYRIHNADITLRYDATADDLIDVIEGSRVYTPCIYVVNKIDQITLEELEILDKLPHYCPVSAHLEWNLDGLLDKVWEYLNLTRIYTKPKGMNPDYEDPVILSSKKKTVEDFCDRIHKDMLKQFKYALVWGSSAKHKPQRVGKEHELEDEDVVQIIKKV >RHN82698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55769035:55772174:-1 gene:gene6879 transcript:rna6879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived nuclease domain-containing protein MGSIRGVKKRKKSDNDNKDAIATTPFPFYSQPHHPSDSDWWYHFSKRITGPLARSKDIEKFESVFKISRKTFNYICSLVEKDMLAKSSGCADLNGKRLSSNDQVAIALRRLSSGDSLTTIGNSFRVNLSTVSHITWRFVEAMEGRGLCHLSWPSTEMEMEEIKSKFEKIRGLTNCCGAVDSTHILMTSPTVDPESSVWLDSEKKCSMVLQAIVDSDLRFRDIVTGWPGSVSDDHVLRSSAFFNLTEEGKKLNGGKKILPDETMLREYIVGDTGFPLLPWLLTPYEGEGLSNVQVDFNKRVAATQMVAKRALSRLKEMWKIIGGVMQKPNKHKLPRIILVCCILHNIVIHLEDEVLDDMPLCLKHDSDYQDQTCEFVDDTAYAMREKLSLYLSGKLSA >RHN51189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14932537:14933032:1 gene:gene35577 transcript:rna35577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative MRN complex-interacting protein MATSTLFIALQCFNCSTMQVKQKKKCSNKWNCVVCNQKQSVRKIFAQGYKAKDIRSFVQSVNMSRKSIEEDDQQQWLLAGTLNPTPEEHVRGEYEFPADLTDKKKCKTDWSLYLDNDDHRATERDGQQQHGYLLFTFLISII >RHN65480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2539675:2544536:-1 gene:gene13323 transcript:rna13323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MGSQVVDESNNENHSQFQPLARQNSMYNLTLDEVQNHLGDLGKPLSSMNLDELLKNVWTVEANQSIRMENENTAQAGEVVFQRQPNLSLTGPLSKKTVDEVWRDIQQSNDHEEVKSQEIQSTLGEMTLEDFLVKAGVVSAASSNRKNTNGPTPKVSVVESNVALPQFSPHGPWIQYAQPHYQHPQQSVMATYVPSQIIAQSLHMAAGAPSDSVPYTDGQVALASPVIGNLSDTQKSARKRGPEDMIERTVERKQKRMIKNRESAARSRARKQAYTTELEIKVSRLEEENDKLRKEKELENMLANAPPPEPKCQLRRVSSASF >RHN82560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54744657:54747427:-1 gene:gene6733 transcript:rna6733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAD-binding domain, FAD/NAD(P)-binding domain-containing protein METVIEEDIVIVGAGIAGLTTSLGLYRLGVRSLILESSDGLRVSGFALIIWKNAWKALDVVGVGDILRHKHLQLYGHVTTSLITGQQISTTSFMDNKGKHGGAYEVRRKLLLEALANELPSGTIRYMSKVVAIQESGLSKILHLADGTTIKTKVLIGCDGVNSVVAKWLGFKEASYVESYVTRGYVELKDTHELEPMFKEYLGKGFRVGAVPCDDKCAYWFFTCTPSKQDKELAEDPAKLKQYVLSKLEEIPSYVRFIIENTNLDALHSAPLRYRHPWELIMGNISKNNVCVAGDALHPMAPYLAQGGCCALEDGVVLARCLGEVFSKKPKEEEEEEEYKRIEEGLKKYAKERRWRWVDLITTSYIVGSIHESGSKFVNFFKGRLLAAFFAALPLKKSNFDCGQLK >RHN40294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14994500:14996146:-1 gene:gene46433 transcript:rna46433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MRLISKSFIFPLSIFVMLSSTTYSQPRSPNVIQSTTYLSKEFEVGPREVADKQMYNIEFPKGHVGIKSFDVDLVDEHGNFVPLHETYIHHWFILKYIIKKNMSMSQDPNDHTKPSGDLIYKRNDGTCNNGILPHQWSSGSETRGTSTKLPYPFAVEIGNPADITEGWEEQWLLGVLVIDTRGVENKKICTQCRCDQFNLPENFYDVTVGFHGKVTPEYKAGVLCCQDKFQCKMRKGFQAPRRNLAIRYNITWVNWDQHQSAVRFYVLDVTDRVTTNGSETIHDCRAEFTITENNSTNLFHVQKASIPMKKGGYLIYATGHAHTGVINATLYGQDGRILCTSTPTYGTGKEAGNEEGYLVGMSVCYPKLGSMKIDDGETVTVESIYKNEFLPAVMGDMHFYLADAPPQVV >RHN45550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22852860:22853645:-1 gene:gene39915 transcript:rna39915 gene_biotype:protein_coding transcript_biotype:protein_coding MMASQTTLEKKIVELQSYINTKQTPQSSRPKIQRVPGYLRKSKNYEMHYAPKLVSIGPIHHDNTNLKSGEKYKLMWAAKYIKNTGSILEELHKKIADNIDELKCHFSDDVLTLTGKSLQGFGSLEEKLSWMLFVDGCSLLHILDNIVHAGPINIKLDQLDLVIMDVLLLENQLPYEVLKLLWKDNNESELIICMWKFLSHRHLDTPDESESKKEKNGVPNRKGEGQYSVSIPLRNESQSETPTHLLDLQRKYILITSNSKV >RHN76434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50223320:50223752:1 gene:gene12759 transcript:rna12759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MWICINLLWHVSPYSVVLLSGLASVKSLALSANALKDPLYAIDHLHLLPEFHNLTHLCLHRRIKPFTIKTVLEFLLRCPKLETLVVPLVRLISCLICLI >RHN58142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44037230:44044870:-1 gene:gene33754 transcript:rna33754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MIAAISWIPKGVSKAEPVFAEPPSKDEIEEIISNTLTSVGDEDENEEDANKQNDEVAHALTVAGAIGKPSNGNSDDISDALKDLNMDNYDEEDDKGFELFSSGNGDLFYQSNELDPYINDKNEEYDSEDMEDMIINPTDSVVVCARTEDDVNFLEVWILEDANTRDMNLYIHHDIIIPEFPLCTAWLDCPLKGGEKGNFLAVGSMGPSIEIWDLDVIDEVEPCVVLGGKEKRKKGKNGKKKSAKYKEDSHTDSVLGLAWNKEYSNTLASASADKRVKIWDIVAGKCTITMDHHSDKVQAVAWNHRAQQILLSGSFDHTVALKDVRTPSHSGYTWSVSADVESLAWDPHTEHSFAVSLEDGTIQCFDVRTAMSNATSVQNATFTLHAHDKSVTSVSYNTAAPNLLATGSMDKTVKLWDLSNNQPSSVASKEPKAGAVFSISFSEDNPFLLAIGGSKGKLQLWDTLSDEGISRRYGKFNRNQPQSVA >RHN69376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43000279:43004325:-1 gene:gene17834 transcript:rna17834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA oxidative demethylase MGSTPVRKTHTNTSDLLTWSEVPPPPVVIDSSATRSRQPSDRISKVLNSDQLTEEEAQSLSKSKPCSGYKMKEMSGHGIFSDNGEDSASEAGSVNSKTSIRIYQQAINGVSQISFSTEESVSPKKPTSIPEVAKQRELSGTLQTDLDAKTQKQISNAKTKELTGNDIFGPPPEIVPRSMAAARTLESKESKDMGEPLPRNLRTSVKVSNPAGGQSNILFGDAPVEKTSKKIHDQKFAELSGNNIFHGDVPAGSAEKSLSRAKLREITGSDIFADGKPEIKDPVKGARKPPGGDSSIALL >RHN59506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11501271:11505500:1 gene:gene21547 transcript:rna21547 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP72A61 MENLGTLLSSLNLQPTKVAIITVITSVLIWWIWSALDWIWFTPKRIEKRLKQQGLKGNSYRLMVGDIRDMVKMIKEAKSKPMDPYSNDIAPRVLPFVVHTIAKYGKSSFMWLGPRPRVFIMEPDKIKEMTTKVYDFQKPDTSPLFKLLASGFANYDGDKWAKHRKIVSPAFNVEKMKMLIPIFCHSCDDMINKLDQVVSSSNGPCELDIWPFVQNVSSDVLARAGFGSSFEEGKRVFQLQKEMISLTMTLFKFAFIPGYRFLPTYTNRRMKAIDLEIRTSLMKIINRRLKAIKAGEPTNNDLLGILLESNYKESEKGNGGGGMSLRDVVDEVKLFYLAGQEANAELLVWTLLLLAKNPEWQAKAREESFQVFGNENPDFEKIGQLKIVSMILQESLRLYPPVIMLSRFLRKDTKLGDLTLPAGVELIVPVSMMHQEKEFWGDDAGDFKPERFSEGVSKATNGKVSYLPFGWGPRLCIGQNFGLLEAKIAVSMILRQFSLEFSPSYTHAPSFIITLQPEHGAHLILHKL >RHN63893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54833783:54834423:1 gene:gene26648 transcript:rna26648 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPHENIASFAAGTGKTTFVKRHLTGEFEREKYERNSILCMPNHSLIHLINLILQYNNYHWCGGSSTRLLYKLWKNSV >RHN60288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24701344:24704510:-1 gene:gene22576 transcript:rna22576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MKMGNQSYFKVLIICLIAIIGSTHAQLQPGFYAKSCPKAEQIVLKYVHDHIPNAPSLAAALIRLHFHDCFVKGCDASVLLNSTQTNQAEKDAIPNLTLRGYEFIDTIKSLVEKECPGVVSCADILTLTARDSIHAIGGPYWKVPTGRRDGIISKAADTFTSLPAPFHNLTVLLTLFGNVGLDANDLVLLSGAHTIGVSHCSTISTRLYNFTGKGDQDPDLDNEYAKNLKTFKCKNINDQTTLIEMDPGSRNTFDLGYFKQVVKRRGLFQSDAALLKSSTTRSILAQHLQSNEKFFTEFGRSMEKMGRINVKIGTEGEIRKHCAFIN >RHN39496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7578933:7581902:1 gene:gene45523 transcript:rna45523 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NGR description:Protein NEGATIVE GRAVITROPIC RESPONSE OF ROOTS [Source:NCBI gene (formerly Entrezgene);Acc:25500557] MKFFNWMQNKLGGKQENRKSNTSTSTTYAKPEPREEFSDWPHSLLAIGTFGNNNEITQNIENQNTQQEDPSSSEEVPDFTPEEIGKLQKELTRLLRRKPNVEKEISELPLDRFLNCPSSLEVDRRISNALCSESGGDKDEDIEKTLSVILDKCKDICAEKSKKSIGKKSISFLLKKMFVCRSGFAPTPSLRDTLQESRMEKLLRTMLHKKLYTQNNSRAPVLKKCLENKKSIKKRNEDEAEERIDEGSKWVKTDSEYIVLEI >RHN48181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45616524:45616967:-1 gene:gene42868 transcript:rna42868 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDVEKKFKVIYYDVFLMWEEILHFMNSFRSSVEDICSQTVDVGFESFALYSCCLTELVSQFANYSVDHDLQSARQRELHNLPKTCSSIAEPVPSMVNEGTGTIDYHHLLIQNVQEEPDLPSVFYENMAHKKELREETRVIRGFTF >RHN77281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5677772:5679581:1 gene:gene720 transcript:rna720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine C-palmitoyltransferase MNAAGPHTIVNGKEVVNFASANYLGLIGHQKLLDSCSSALEKYGVGSCGPRGFYGTIDVHLDCEGRISKFLGTPDSILYSYGLSTMFSAIPAFSKKGDIIVADEGVHWGIQNGLYLSRSTVVYFKHNNMDSLRETLENITSIYKRTKNLRRYIVIEALYQDCSKHFESVGVAVILVSIAMLFLKRNSGQIAPLDEIIKLKEKYCFRILLDESNSFGVLGSSGRGLTEHYGVPVCRVIFWRSRLFD >RHN82459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53961223:53962640:-1 gene:gene6627 transcript:rna6627 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPVTLILSYIFVGIMPLYAINLDVVSLFADSSVTFLAVLNDVISRFE >RHN70150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49129697:49130029:1 gene:gene18704 transcript:rna18704 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLWMSFLIYSGGERVFVKTKTPMNFLCYSLDGRSTLRQVDVKVYKLVGGKHAYVNLTGVLQLVRLGIEACMVGHTAFKATSSKVTKHKKTCSDNQHVLTINMFYTICV >RHN77869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10085709:10086095:1 gene:gene1371 transcript:rna1371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MCNINLQSLIFIEAKKKKMDPQNVFSGDWSDEILYWKELVKFDGCALEGQCIYSEDRYCSRCKGFTKLKVIHQITMFPTFSCYIADGFRTVVLIISTLLLQIDKLTFLTYFMVMDISGGDEFPLLWGK >RHN46118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28843214:28843606:-1 gene:gene40559 transcript:rna40559 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKATKTLDGIALSMKRKHATRCVAHDSYDKVGSENIVVAIKQEPDVNLDAYTEYASGNQYVDSVQRMCLTGMAALGITESNIVEIYCNSGKLMQTRLDLFKMQVDITKEIKGNANVRYACLLVLKRNC >RHN52143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31975452:31976309:-1 gene:gene36772 transcript:rna36772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DYW domain-containing protein MTYNVMVKGNVQYKQMDMARELFEAMPCWNVGSWNTMINGYDQKGNIAQVGKLFDMMANRDCTDHYEEVMDMLVKMKRDGESVNRSTLCGALSTCVRKAAFMLGKQLCNIPPISYWRFFSRTFPRANASLKLALAFGIMTIPAGKPMRVMKNLRVCEHYHNVIKYISKIVGRLIVSRDSHRFSHPSHSRTPCVLY >RHN45569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22994872:22995231:-1 gene:gene39939 transcript:rna39939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MVDSKEMTSYQPLEASGGKEVIDDRCDSGRFTIDAAKYGNVARFINHSCSPNLFARNVLYDDDDLRIPHIMLYAAENILSMNELTLNYNYKIDQVIDSNGNLKTTACYCGVSECIRRLY >RHN48037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44450697:44450988:-1 gene:gene42706 transcript:rna42706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MGAIPWVLMSEIFPANIKGHAGSIATLVNWFGAWLCSYTFNFLMGWSSYGK >RHN72095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4938196:4939275:1 gene:gene7768 transcript:rna7768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MTGRKVKLAYIANSSSRKASYKKRKTGLLKKVNEISTLCGIEACAIIFGENNAQPEVWPPGPATKDVLSKFLHLPEIERSKNMVDLTAYLNQSIAKSQLLLRKQMEANKKNEFALFITKVFSTRQYRVEDVNVNELNDLAAFINDNLKEIDWRLQSAEIQSQEEAGNGAEDMNGIGNEGNKGDASGLVNVGDMQANMGNGAEVQGLDSNVKYGMESDYEDPPWDFSMLAFHDVNTDINGLWSN >RHN38509.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:212951:213900:1 gene:gene50620 transcript:rna50620 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLPHVISFEFPMEVMLTLFSINHKKPLAVVHTSLLFLFTLIPHS >RHN70225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49859643:49863189:1 gene:gene18783 transcript:rna18783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNTETPNYPSPEFQSENPDHVLEIVLETVLHFLTSRRDRNAASLVCKSWYRTEALTRSDLFIGNCYSVSPRRATSRFSRIRSVTIKGKPRFADFDMMPVDWGAHFSPWVTTFAAAYPWLEKFHLKRMSVTDDDLSLLADSFVGFKELVLVCCEGFGTPGLAAVASKCRFLRVLDLEESMVDVNVSDYDGILDWISCFPEGETHLESLGFDCVDSPINFESLERLVARSPSLKRLRLNRHIKLSQLYRLMYKAPHLTHLGTGSFVVPEDTMNVVGDDELIYETPFAASKSLVSLSGFRDTLPEYLPAIYPVCANLTSLNFSYADIDTDQIKSIVSRCHKLQTLWVLDAIFDEGLQVVAETCKDLRELRVFPLHAREGVEGPVSEVGFEAISQGCRKLQSILFFCTRMTNAAVVAMSHNCPDLVVFRLCIIGQYRPDALTQQPMDEGFGAIVMNCKKLTRLAVSGLLTDLAFCYIGLYGKMIRTLSVAFAGDTDSGLKYVLDGCYNLQKLEIRDSPFGDGALRSGLHHFYNMRFLWMSSCKLTRQACQEVARTLPRLVLEVINTDEDTVDDFDILYMYRSLDKPRSDAPKVVTILN >RHN51844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26556597:26556956:1 gene:gene36387 transcript:rna36387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MINGFCKIKMVDEALSLFYEMHCRRFAPNTITYSSLIDGLCKAGRFSCAWELVDEMHDNGQPPNIFTYNSLINSLCKNYQVDKAIALVNKIKDQGIQPDMFAYNILIDGLCKGGRLQNA >RHN77486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7175556:7178926:-1 gene:gene949 transcript:rna949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L7 MSKEEVKTVVPESVLKKQKRNEEWALVKKQEQESAKKKRAQTRKLIWSRAKQYAKEYDDQQKELISLKREAKLKGGFYVDPEAKLLFIIRIRGINAMDPKSRKILQLLRLRQIFNGVFLKVNKATVNMLHRVEPYVTYGYPNLKSVRELIYKRGYGKVDRQRIALTDNSIIEQVLGKHGIICIEDLIHEILTVGPHFREANNFLWPFKLKAPLGGMKKKRNHYVEGGDAGNREDYINELIRRMN >RHN46115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28802500:28805119:-1 gene:gene40555 transcript:rna40555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S54, rhomboid MEINKSLPSKVEAMVEYKEMKHFKKWIPWLIPFFVIVNVIVFIITMYENDCPNNSVVCIARFLGRFSFQPFHENPLLGPSLLTLTSMGALDVHQVVYRHQGWRLMTCMWLHGGVFHLLANMLGILVVGIRLEQDFGFVLIGVLYVISGFGGSLLSSLFIQQRISVGASSALFGLLGAMLSELITNWSIYEKRLGTLITLVSIIVINLAVGIFPHVDNFAHIGGFISGFLLGFVFLIRPQFGWIKQKYATPAYPSLVKSKFKIYQCISWLLALILLIVGFTLGLVALLRGVDANDHCSWCHYLSCIPTSKWSCNSKNINNSFCLTQQIGNQMNVTCSSNGKSIIYYMLHPTDSKILELCVQICH >RHN62325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42636131:42637301:1 gene:gene24887 transcript:rna24887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rac-like GTP-binding protein RAC1 MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLCFSLISKASYENISKKWISELRHYAPNVPIVLVGTKLDLRDDKQFFIDHPGATQITTAKACRR >RHN51232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15237762:15238733:1 gene:gene35634 transcript:rna35634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein CcsA MIFSTLEHILTHISFSVISIVISIHLITLLVNEIVGLYDLSKKAMIITFFCVTGLLITRWFFSGHLPFSDLYESLIFLSWGFSIFHMVPCFKKEKNLLSTIIAPSVIFTQGFATSGLLTKMHQSVILVPALQSHWLMMHVSMMILAYAALLCGSLLSVAILVITFQEAIQILAFTKNLDFLNKSVDFVEIKYMNMNERNNVLRKTSFYSSRNYYRSQFIQQLDRWGYRIISLGFLFLTIGILSGAVWANEAWGSYWNWDPKETWAFITWTIFAIYLHTRKTKKFEGVNSSIVASIGFLIIWICYLGINLLGIGLHSYGSFTSN >RHN80000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34242845:34248780:1 gene:gene3870 transcript:rna3870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative succinate--CoA ligase (ADP-forming) MVRGSLNKLVSRSLSVAGTWQNQQLRRLNIHEYQGAELMSKYGVNVPRGVAVSSVEEVRKAIKDAFPGQNELVVKSQILAGGRGLGTFKSGLQGGVHIVKTDQVEDLAGKMLGQILVTKQSGPQGKIVSKVYLCEKLSLVNEMYFAITLDRKTAGPLIIACKKGGTSIEDLAEKFPDMIIKVPVDVFEGLTDEDAAKVVDGLAPKVADRNQSIEQVKNLYKLFVDSDCTLLEINPMAETADNQLVAADAKLNFDDNAAYRQKEIFKLRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGAASENQVVEAFKILTADDKVKAILVNIFGGIMKCDVIASGIVNAAKQVALKVPVIVRLEGTNVDQGKSILKESGMALITAEDLDDAAQKAVKAYK >RHN40371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15709443:15712094:-1 gene:gene46518 transcript:rna46518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVRFISSQNAPLSLLFSFVIILNINIVICETNTSCNQKDKQILLCFKHGIIDPLGMLATWSNKEDCCKWRGVHCNINGRVTNISLPCSTDDDITIGHKKNKTHCLTGKFHLSIFELEFLNYLDLSNNDFNTIQLSLDCQTMSSVNTSHGSGNFSNVFHLDLSQNENLVINDLRWLLRLSSSLQFINLDYVNIHKETHWLQILNMLPSLSELYLSSCSLESLSPSLPYANFTSLEYLDLSGNDFFSELPIWLFNLSGLSYLNLKENSFYGQIPKALMNLRNLDVLSLKENKLSGAIPDWFGQLGGLKKLVLSSNLFTSFIPATLGNLSSLIYLDVSTNSLNGSLPECLGKLSNLEKLVVDENPLSGVLSDRNFAKLSNLQRLSFGSHSFIFDFDPHWIPPFKLQNLRLSYADLKLLPWLYTQTSLTKIEIYNSLFKNVSQDMFWSLASHCVFLFLENNDMPWNMSNVLLNSEIVWLIGNGLSGGLPRLTSNVSVFEIAYNNLTGSLSPLLCQKMIGKSNLKYLSVHNNLLSGGLTECWVNWKSLIHVGLGANNLKGIIPHSMGSLSNLMSLKIFDTKLHGEIPVSMKNCRKLLMLNLQNNSFSGPIPNWIGKGVKVLQLSSNEFSGDIPLQICQLSSLFVLDLSNNRLTGTIPHCIHNITSMIFNNVTQDEFGITFNVFGVFFRIVVSLQTKGNHLSYKKYIHIIGLSNNQLSGRIPSGVFRLTALQSMNLSQNQFMGTIPNDIGNMKQLESLDLSNNTLSGEIPQTMSSLSFLEVLNLSFNNLKGQIPLGTQLQSFTPLSYMGNPELCGTPLIEKCKQNEALGEDINDEEGSELMECFYMGMAVGFSTCFWIVFGTLLFKRTWRHAYFNFLYDVKDWFMSRWT >RHN68404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35200503:35206161:1 gene:gene16751 transcript:rna16751 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PLC1 MSSKPKKQTYSVCFCCRRRFKLGVSEAPPEIKELYHRYSDEGGIMTASHLRSFLIEVQKEEKITEEETQAIIDGHKHLSIFHRKGLNLESFFKFLFGDTNPPLLPSTGVHQDMSLPLSHYYIFTGHNSYLTGNQLSSDCSDAPIIKALQRGVRVIELDIWPNDSKDDVDVLHGMTLTTPVALIKCLMSIKEYAFVASEYPVVITLEDHLTPDLQAKVAQMVTQTFGDILFCPTSETLKEFPSPDSLKKRIIISTKPPKEYLEAKEEKEKEESQKGKPLGDEEAWGKEVPSLRGGTIADYKQNSGIDEDDLKEEEDSDEASRQNTSDDYRRLIAIHAGKPKGGIVECLKVEPDKVRRLSLSESQLEKAAETYGKEIVRFTQQNILRVYPKGTRITSSNYNPLIGWMHGAQMVAFNMQGYGRSLWLMQGMFKANGGCGFVKKPDFLLKTGPNNEIFDPKANLPSKTTLKVTVYMGEGWYYDFKHTHFDQFSPPDFYARVGIAGVPFDTVMKKTKSIEDSWLPSWNEVFEFPLSVPELALLRIEVHEYDMSEKDDFGGQTCLPVWELRSGIRAIPLHSRKGDKYNNVKLLMRFEFI >RHN53592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2750024:2753421:1 gene:gene28516 transcript:rna28516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-16S rRNA nuclease, ribonuclease H-like domain-containing protein MRQMKPLELFHDLVKTTKNERGRLMGLDVGDKYVGLALSDFDNKVASPFSVLVRKKSNASLMAADFKSLISKYSLKGLVIGVPFEYNLVSPDAVQVKVLIDNLRRTNMLEGLKYTYWNECFTSKNVELFLKPLNFKNAVQSKTMLDKFAAVGILQGYMDYANRKAKQRALE >RHN68841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38995565:39001398:1 gene:gene17252 transcript:rna17252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate oxidase MSLLKAVFVWCICLGLFELSLAKGKSHYKFDVEYIYKKPDCKEHVVMGINGQFPGPTIRAEVGDTLVIDLTNKLHTEGTVIHWHGIRQFGTPWADGTAAISQCAINPGETFQYKFKVDRPGTYFYHGHYGMQRAAGLYGSLIVDLPKSQREPFHYDGEFNLLLSDLWHTSSHEQEVGLSSAPMRWIGEPQSLLINGRGQFNCSLASKYGSTNLPQCNLKGGEECAPQILHVEPKKTYRIRIASTTSLASLNLAISNHKLIVVEADGNYVHPFAVDDIDIYSGETYSVLLTTDQDPKKNYWLSIGVRGRKPSTPQALTILNYKPLSASVFPTSPPPVTPLWNDTDHSKAFTKQIISKMGNPQPPKSSHRTIHLLNTQNKIGSFTKWAINNVSLTLPTTPYLGSIKFNLKNTFDKNPPPERFPMDYDIFKNPVNPNTTTGNGVYTFQLNEVVDVILQNANQLNGNGSEIHPWHLHGHDFWVLGYGEGRFRPGVDERSFNLTRAPLRNTAVIFPYGWTALRFKADNPGVWAFHCHIEPHLHMGMGVIFAEAVHKVRNIPKEALKCGATA >RHN55661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20794176:20794646:-1 gene:gene30879 transcript:rna30879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MTRKKVKLAFISNDSARKATYNKRKKGIIKKVRELTILCGIPACAIISNPFSSKTEVWPDLEGARQVIERYQNSSVKDETKNMNQESFLLQRITKAREQLQKQSHDSREKELNNLMIGCMKNRKLPDELSVSELKDFDKLIEKILKDMDNKIDALG >RHN72934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11944839:11954787:-1 gene:gene8700 transcript:rna8700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein arginine methyltransferase NDUFAF7 MLFTRCNNLRILPLISISSKALYSTHFIGDKPVLVRDFIHSALYHPLHGYFSQKSRSVGVLPNTIKFNQLQGRKAYMKYLDNIYKQSDISWFTPVEIFKPWYAHAIAEAIMRTANFSVPLKIYEIGGGSGTCAKGIMDYIMLNAPPKVYNSMTYTSVEISPSLAEVQKETVGEVRSHIPKFRVECRDAADRSGWGDVEQQPCWVIMLEVLDNLPHDAVYSESQISPWMEVWVEKQHDRETLSELYKPLQDSLVTRCVEIMDLDKTKTTQSSAASILKSIWSKVYPKPRRCWLPTGCLKLLDVLHEVLPKMSLIASDFSYLPDVKIPGERAPLVSTKKDGRSTDYQDYMQAKGDADIFFPTDFWLLEQIDHYCSGWLKLQDDQSSKKGKKRRTITLETSAFMEEFGLPTKTITKDGYNPLLDDFKNTKFYLSVPTHNTK >RHN81826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49175154:49175421:-1 gene:gene5917 transcript:rna5917 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRVKNYYGSWFAKPEENQSQQGVGIGEDLSKNKDSVAEVEQAEAGIGVDEGRIHE >RHN41921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34104470:34105884:1 gene:gene48296 transcript:rna48296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative procollagen-proline dioxygenase MLLYILIVAKEECEHLINIAKPDVQKSTVVDDTTGKSVNSSARTSSGTFIDRGYDKILSDIEKRIADFTFIPVEHGEDVNILHYEVGQKYDFHTDYFEDEVNTKHGGERIATMLMYLSDVEEGGETVFPSAKGNFSSVPWWNELSDCGKKGLSIKPKMGNAILFWGMKPDATVDPLSVHGACPVIKGDKWSCTKWMRVGKW >RHN52825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39234347:39236999:-1 gene:gene37542 transcript:rna37542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spermine oxidase transcription regulator Homeodomain-LIKE family MHSPHKTELNSEQPMDTQMSEPSSEPPSQPPSQPPSEPPQLQNDNITISPENQPQNSSDSSSQQQQQQQEQDPNPNPTLPRKRRRRKKFFTELTATTSLSKTRKNDVAKDCDDEALIAISVGFPVDSLTEEEIEANVVKTIGGSEQSNYIIVRNHILARWRSDVNVWLTYDKAVKSIRSEHKGLVDVAYRFLIEHGYINFGVSPEIKAKKNSSFDGIERGSVIVIGAGLAGLVAARQLVFLGFKVCILEGRDRPGGRVKTKRMFDGGGGGDGDGLVAAADLGGSVLTGVNGNPLGVLARQLDLPLHKVRDICPLYMPDGKCVDSEVDSRVEVLFNKLLERVCKLRQAMIDEVKSVDVPLGTALEAFRRVYKVAEDKEERMLLNWHLANLEYANATLMSNLSMAYWDQDDPYEMGGDHCFIPGGNETFVRALAEGLPIFYGRTVECIKYGSDGVLVCTDGQQFRADMALCTVPLGVLKKESIKFVPDLPQSKKDAIHRLGFGLLNKVVMLFPTNFWGGNIDTFGHLTEDLSMRGEFFLFYSYASVSGGPLLVALVAGEAALRFEMMSPLESVKRVLEVLKDIFHPKGIVVPEPVQAVCTRWGKDEFAYGSYSYVAVGSSGDDYDILSESIGDGRVFFAGEATSKQYPATMHGAFMSGMREAANILRVTKRKSQSSVPFNATTNIDEENDDLDNLFVKPDLSFGSFSALFNPNPNDLDSSSILRVKIGGAVSNSPSLYLYALLSKKQVIQLSQIEGDENRMRMLNHDFGVSLVGKKGLSSTAESLIANIKLLRPQLNEAENGLVHGKDSCIMEE >RHN60524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27673666:27681995:-1 gene:gene22845 transcript:rna22845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde oxygenase (deformylating) MASKPGILTNWPWKPLGSFKFVILSPWIAHSIYSFIWVEQDPSYYIIFPYMFVRMLHSQIWISISRYQTAKGKNRIVDKCLEFEQVDRETNWDDQILLTALTFYILYTIFPMAANLPWWRTDGVVLTALLHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEILAYFTLFFIPILTTMFVKKSSIAAVYGYIFYIDFMNNMGHCNFEFFPKKLFSYFPLLKYLSYTPSFHSLHHTKFRSNYSLFMPIYDYIYGTVDASTDATYESCLKRQEESPDVVHLTHLTTLDSIFQLRLGFASLASNPQTSKWYLNLMWPFTLCSMLVTWISGHAIVLESNFFKDLKLQCWLIPRFKIQYFSKKQCIKINKLIEETIMMADLSGVKVISLGLLNQRQEISAHCAVYIERLPNLKIKVVDGSSLVVATVLNNIPKGTNQVLLRGKFNKVALAIINALCSKNVQVTVLYRDELKELERRVAVSDGSLALSPINTPKTWLVGEDWDEDEQMQASEGSLFIPFSHFPPKKMQKGCLYHYTPAMITPTTLVNLHSCENWLPRRVMSAWRIAGIIHALEGWDAHECGDTVFNIEKVWEASIRHGYLPLKIPQKLNKFG >RHN49452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54913925:54924829:1 gene:gene44285 transcript:rna44285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MNMEDAVVNDAASLDVELLQLPEVSSLALKPHATFVQTLFDHWLSLPETNRLVTSLLNDAKSGVPLNVPGNCSSPNALSNSLPSMFPAGTAPPLSPRSSSGSPRIVKHRVGPSNLGSPLKVVSEPVKELIPQFYFQNGPPPPNELKEQCLLRVDQLFPDHLHGLQIHEFKSVTKEVCKLPSFLSTSLYRKIENGAGIVTRKAFIDYWINGNMLTRDIATQIYTILKQPQLNYLTQDDFKPILRELLATHPGLEFLQSTPEFQERYAETVIYRIYYYINRSGNGRLTLRELKRGNIIDAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILSEEDKSSDPSLEYWFKCVDLDGNGVLTRNELQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIRSENESYITLRDLKGGKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDVSNGSAEVWDESLEAPF >RHN40076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13035631:13038914:-1 gene:gene46172 transcript:rna46172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Beta-grasp domain-containing protein MQLTLEFGGGLELLCDSKKIHNVNVEFEQQNKEDKLTMKGLLSWVRTNLIKERPEMFMKGDTVRPGVLVLVNDCDWELSGQLSTTLEEKDVVVFISTLHGG >RHN68584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36787453:36787800:1 gene:gene16954 transcript:rna16954 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKLVNISPKTSTFGQQNMCCHDSSRAKNSKGSQTVMIASAPPNQPQNECVSHFNYLNPHWPIIMIYGFQATFLTSSFLSKIILTSKHSYSTTRIPLNMRLRKMDVNLRRPVTD >RHN58104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43773788:43783675:1 gene:gene33713 transcript:rna33713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MFSTGPKKKKNNPRTPPTLSDSPVTPSPLRRTSFNDTAIPNRPPTGTPAPWTPRLSVLARVPQVNRNGKEDDNDPIKPVFVSEFPKVVCDEQATSLQRRVSFEDCGGYGGIDKSTSLAWIICGSKVFVWSYLSPASSMNCVVLEIPFNDVANYDTGSWLVSVVNCDSSSFGSNKAAKHVAVVLCNRKTRAVIYWPDIYSQSSNAPVTSLASSDELEAVGEKTPFKRQTRQSKQETDLTELNAFNSVIASVVPGYSLACIALACSSSGELWQFECSPTGIRRRKVYEIISHFPLKGGDLGKLVSNKGYPRSLTWRFPYHSSKESNRQFLVLTDCELQCFRVEFSSGMNISRLWSQEIVGTDAELGIKKDLAGQKGIWPLDVQVDDHGKVITILVATFCKDRISSSSYMQYSLLTMQYKSGLDVESTNERILEKKVPIEVIIPKARVEDEDFLFSMRLRIGGKPSGSTVIISGDGTATVSHYHRNATRLYQFDLPYDAGKVLDASVLPSADDYEEGAWVVLTEKAGIWVIPEKAVILGGVEPPERSLSRKGSSNERSAQEETRNLTFTGNFAPRRASSEAWGSGDRQRAALSGITRRTAQDEESEALLNRFFNEFLSSGQVDGSLEKLETSGSFERDGETNVFVRMSKSIIDTLAKHWTTTRGAEILSMAVVSTQLLEKQQKHQKFLHFLALSKCHDELCSRQRHALQIILEHGEKLSAMIQLRELQNLISQNRSTGVGSSNSNVDIQMSGALWDMIQLVGERARRNTVLLMDRDNAEVFYSKVSDLENFFYCSDAELEYVIRPEHLLAIQIQRACELSNACVSIIRTCFDYKNENHLWYPPPEGLTPWYCQPVVRKGIWSVGSVLLQFLNDTSGLDKTVKLELYNHLEALTEVLLEAYSGAVTAKIERGEEHKGLLNEYWERRDALLESLYHQVKEFEATYKDSIVVAEEFNEEATMKITSHLLSIAKRHGCYKVMWTICCDVNDSELLRNVMHESSGSTGGFSDYVFKKLHESKQFSELLRLGEEFPEELSFFVKEHPDLLWLHDLFLHHFSSASETLHALALTQNKQSTAVIEENEQVDMKLKLKDRKNLLYLSKIAAFAAGKDAGTQVKVDRIEADLKILKLQEEVMKHFTSLEDKEPVDDQLLHPEDLIKLCLEGEEPEFSLWTFDVFAWTSSSFRKSHRKLLEDCWKKAASQDDWSKFHDSYSVEGWSDEETVQNLKNTVLFQASSRCYAPQSQTFEEGFDQVLPLRQENMETSTLGDMSSSVETILMQHKDFPVAGKLMLMAVMLGSEHSGDNRIEEGPSPME >RHN61199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33790055:33791850:1 gene:gene23637 transcript:rna23637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MVKILSNTRMMAPTLTLHLLSLVLFFFTNGQGISARNLKTELQDQKQFDWGGHQDKQSEDPNQLFISGVHEANSKDLEDKQPKDLNQLLVWGGHQDKQSKDPNQLFASGVHEANSKDLEDKQPKDPNQLLVWGGHQDKQSKDLNQLFASDVHEANPKDLEDKQPGDPNQLLVWGGHEDKQPKDPNQLLVWGNREANSKDLDDHPSSNLDHTEAFKLGFFGLDDLHVGNVMALQFPVQKVPQFLSKKEANSIPFSLS >RHN50684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9440356:9440661:1 gene:gene35005 transcript:rna35005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP synthase, F0 complex, subunit A MRVFQKQKKKGLSDFGKYIQPTPIILLINMLEDFTKPFLLSFRIFINVLADELVVVVLVSLAPLVFSVHVMFLGLLSSGIQASIFTTLVAAYIGKFMEGRH >RHN38906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2742682:2743696:1 gene:gene44883 transcript:rna44883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MIKKQFRKFALQLHPDKKKFAGTEAAFKLIGEAQRLLSDREKRTRYDMKLNVNKTAMPPRSNQPKVPTNFNSAMKNNVRPSFTNSNTQQQQNGVHRTFWTACPFCSVKYEYYREILNKSLRCQQCHGLFVACILDMHGTSPTTNPSQQASKVNVGSQGNSHAEKSNTKPFKKKVPVGVSRKSDVKRKRNQVEEFSQSSDSTSSSDSEDEIVAGKNGFLGVGDHSTEQPRRSVRQKHNVSYSVNMNGTDNDLLQPSKTGQENGSHYGDCQSHGETAKTND >RHN72987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12373273:12378807:1 gene:gene8756 transcript:rna8756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative YTH domain-containing protein METHDVSQARHQNAYWVEGADINSQFTSPNFEQSGVMNNEGAPEFVDQSMYYPAATNYGYYCTGFESPGEWEDQYRIFGVDGPDVQYMGGQDESFPLVYYNNYGYAQSPYNPYNPYIPGAAVGVDGSYGGGQSYYTLPNHQNPASPAYDPLVQLDNFPDSSANSVFGASASVSRSDGRGLKQKFNEASGNFSRNSLILSTNQTSSVAMVSEGPRANNGRKQDLTHANVSGSRSLNAASSAVHQDRRTDASVQPVDTISNGNVISHHNQLIVASSRSGFSDFAANANGQSSVAKLRPKALGLGSSDGNVSADVLGDQNRGPRTSRSKHQLSVKAYTTKVGGGNEQDSIIIYTDQYNKEDFPLDYDNAKFFVIKSYSEDDVHKSIKYNVWSSTVHGNRKLGNAYEDTKKVSAEKSGVCPIFLFFSVNASGQFCGVAEMIGSVDFNKDMDFWQQDKWSGSFPVKWHIIKDVPNPNFRHIILDNNENKPVTNSRDTQEIMYSKGLEMLKIFKNHTLKTSLLDDFMYYENRQKIMHDQKAKLLIRSFKSPVFIPSLAPRKLNIVPDKPPSKYEKNARLKDDSNSLNQMSISNSEQNIHISDVPNIKSVNEQAEKIAVEEDISSILKIGSIAITPKQVATKQSGIGSREQIDILTVGSVPVKVNGLAGSSGFLKVGSIQLDPKALQPQKGDAAAKSSFQK >RHN53447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1714147:1718453:1 gene:gene28354 transcript:rna28354 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSSSGSITTFGKRVINQIWKNNNSISSSPPLSLASRRAAYSSVYDKNADDQIHSEPVPDDVIQATQSTKYWAPNPHTGVFGPPGEHASGFHSASSTTNASAAAGSVLEEKAWFRPTSIEDLEKPHPNP >RHN56445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30926087:30930475:1 gene:gene31850 transcript:rna31850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan 1,3-beta-glucosidase MDSVYRNPTETVEDRIKNLLSLMTLKEKIGQMTQIERSVTTPSAIKDFTIGSVYCAPPNSATAKKEVSSDWADMVDGFQKLALESRLGIPIIYCTDAIHGNNNVYGTTIFPHNVGLGATRDADLVQKIAAATSLELRASGTHYTLAPSVSVCKDPRWGRCYESYSEDTEIVQNMTSYVSGLQGQPPEHYRKGYPFLAGRNKAIACARHFVGDGGTEKGVNEGNTILSYEDLEKIHMAPYVDCIAQGVSTIMVSYSSWNGVKLHGHHFLINDILKEKLGFKGFVISDWEGIDELCQPYGSDYRYCISTSINAGIDMVMVPLRYEQFMEELTSLVQSGEVPMTRIDDAVERILRVKFIAELFEFPLTDRSLLDTVGCKIHRDLAREAVRKSLVLLKNGKEPSKPFIPLNKNAKRILVAGTHANDIGYQCGGWTFTKYGSSGQITIGTTILDAVKEAVGHDTEVIYEKCPSTEFIECNEFSFAIVAIGEAPYAECGGDNKELVIPFNGAGIVDIIADKIPTLVILISGRPLVLEQGLLEKTEALVAAWLPGSEGKGITDVIFGDHDFKGKLPMTWFRRIEQLDEPSEGVNSCDDPLFPLGYGLACNK >RHN54723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11635373:11635702:1 gene:gene29801 transcript:rna29801 gene_biotype:protein_coding transcript_biotype:protein_coding MVIADTEISEDKREIDAPKSILKRHRAPSIGKMKKRVHWADQKSVDVPVLVVGTKSWPALSDAQTPKPNNHVQNVAVPAYAFPPGSGPYPNGLNPKPVSLVAAAQGENR >RHN67059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23357794:23363622:-1 gene:gene15191 transcript:rna15191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MEINKSRGTALHVAVNDGNEEVVKSLVNSILCHKNEKEALKCKNEKGDTPLHLAASRGFKDICECIIGECGERKDLIDIDNNNGESPLFLAALSWQKQTFVYLIKFKPGRSDCGGNYSYKDLIRNNGDSILHCTIQREFFDLAIIIIHKYPDLIVVQNKLGFSPVKLLATRPSAFKSGYKMIWWKKILYHCIPAGTLNVDEAIEYCQLKHEPKPQESCPKNYDTCYLLISFAKEMLQKKQTTYNAANGSKNMGKKDNWLTSECELLPENYATCLATCLWFLKFVYIYTLGLSGVGVEEIKKMKQKHKWSGQLFNIFMKNKIFQEFGLNPFESYVGSGAKPIGDVSGTDIVYANFNPNQGI >RHN55844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24673952:24675762:1 gene:gene31114 transcript:rna31114 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGTKVVVYEGTGTDTGNFYKCEYGDGHCSTLPIGYYCHPYVHRCYLIAQYSMNHSLIKCSSSINKSIEKYIHKLAQ >RHN78407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14840714:14841475:1 gene:gene1959 transcript:rna1959 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MNSLYGFIPQEIGFLKQVGELDLSVNHFSGPIPSTIGNLSNLRHLYLHSSHLTGNIPTEVGNLYSLQSFQLLRNNLSGPIPSSIGNLVNLDNILLQINNLSGPIPSTIGNLTNLTWLQLFSNALSGNIPTVMNKLTNFRILELDDNNFTGQLPLNICVSGELTWFTASNNHLSGSIPKQLGSLSMLLHLNLSKNMFEGNIPVEFGQLNVLEDLDLSENFLNGTIPAMFGQLNHLETLNLSHNNLSGTIPFRYG >RHN53238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:309562:310728:1 gene:gene28127 transcript:rna28127 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPYIIGAISRKQKFEHINTLLQHSDSSKCLLLGPDNGSFRRRTRESDHHFQPLVSKNNTAAHVTANNNLRNRRH >RHN80123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35308040:35315330:-1 gene:gene4010 transcript:rna4010 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFSFGEIFLLLGATAAVIGPKDLPMISRTAGRLAGRAVGYVQLARGQFDSVMQRSQVNQVHKELQETMAQLEAIRHEIGSISFINPGPSTRRHDNLDQASISNDNRKPEYAGVNNSISSHTKDSTSLPSKSLNMQSQATAYASLAQAPAVKNGSSANSTEVEDVKVGLQLIVMPVSAENTGLLPNRGGADVKGSDIVQEAILEAEVAHNAKEFFSQPQNQI >RHN76567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51299009:51301164:1 gene:gene12915 transcript:rna12915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEEHLCHTNNTFDEEFLRDILYQIPQDQFNVPIATTDLVNNSSINVSQHAEEMPTNSLSIPTTEQHHDSLPLSSSTANQGSNSKKPRNTSDTLDHIMSERNRRQLLTSKIIELSALIPGLKKIDKVHVVTEAINYMKQLEERLKELEEDIKKKDAGSLSTITRSRVLIDKDIAIGEMNTEECYGRNESLLEVEARILEKEVLIKIYCGMQEGIVVNIMSQLQLLHLSITSINVLPFGNTLDITIIAKMGDKYNLTIKDLVKKLRVVATLQVSHNVQFHI >RHN68869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39218408:39223879:1 gene:gene17284 transcript:rna17284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 13S-lipoxygenase MTHLLKKPHVHQSFITNSAIFSHSYKWMQNISHNNSTNSFLKSSLGKKVCVRRCGSSCIRVVMAKGSSSDTKSHHNVKALVSVKESDGGLIKNIVTGIVGNKHLILELVSAELDPKANSKGETIKGTAHETEKKENEVQYEATFEVPVDFGNVGAVLVENEHDKEIFLKNIVLDGFPDGPVHLTCQSWIQPKHDTPTKRVFFTNKMYLPSQTPSGLRKLREDELIELRGNGEGERKKSDRIYDYDVYNDLGDPDITTDLKRPVLGGTKQYPYPRRCRTGRKHSDTDPLYEERSTLDFYVPRDEAFAETKQTQFNTSAVSLGLTTIIQSLDAIVTDINLGFANFEDIEAIYKEGFQLPNLESNDSNFLQKLIPKFFKHANDSQNVVRFDTPEPYKRDRFFWFSDVEFARETLAGANPYSIQLVKEWPLKSKLDPQIYGSPESAITREIIESQMTNYSTVEEAIKEKKLFMLDYHDFYLPYVSKVREIEGTTLYGSRTLFFLTSEGILKPLAIELTRPPIDGKSQWKQVFTPNSDSTNIWLWRLAKAHVLVHDSGHHELISHWLRTHCVVEPIIIATHRQLSSIHPIFRLLHPHLRYTMEINKVAREVLINASGLLEISFFSKKYTMELSSVAYDKLWQFDLQALPNDLINRGMAVEDPNAQHGVKLIIEDYPFANDGLLIWDAIKQWVTEYVNHYYPSSETIESDQELQAWWTEIRTVGHADKSEEPWWPNLKTQNDLINIITTIAWTASAHHSAVNFTQYAYIGFFPNRPTIARKKMPTEDPTKEEWEKFMNHPEQTLLECFPSQIQATLFMIVMSILSEHSPDEEYIGQKIEPSWGENSTIKAAFEKLQRRLNEIEGIIDSRNEDRNMKNRHGAGIVPYESLKPFSGPGVTGKGVPYSISI >RHN66725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17714734:17714868:-1 gene:gene14791 transcript:rna14791 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L23 MNSHRLPIKGRRMRPIMGHRPHYKRMIITLQLGYSILPLRKELK >RHN67295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25681968:25686126:-1 gene:gene15474 transcript:rna15474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MCETALSLLPLARDHLLPLFKEAFNMIRGNPKEIVELKDELESIEDFINDADRRADDVEDKKIKDMIKQLIEASYHIEDVVDDYILLEEQQSSDPGCAAGAVDLVKTKILRLQITYKIQNIKSRIREIKETSAKDHGFNIQSSSDKPSSSSATNRNASFLQNLRDAPFYMDEADLVGFEEPRDKLIDLLVEGRAERTVVSIVGMGGLGKTTIAKKVFDNQKVVKHFDCHVWITVSRPYNIEKLLREILLDIYKQQGEDPPQSLHQMDRKPLVDEVRNYLQGKRNKVVADACKKSFGKVHELERLSEEQSLELFKKKAFHDLDGVCPENLFDISSKIVENCKGLPLAIVVTGDILSRKNRNPIEWSKFSENINVELEGYSTIRKILGFSYHNLPYNLKSCFLYFGLYPEDYIVHSKTLTRQWIAEGFVKEDRGRTLEEVAEGYLIELIHRSLVQVVSISIDGRVKSCRVHDLVHAMILDKYEDLSFCKNITEDKQLSLTGMIRRLSIETTSDNLMKVIENSHVRSLLIFTPKTSLKSFVRTIPTKYRRLKVLALMHKELAEIPNDLGSLNHLKYLEFGMIGGRYSGLPKSIGMIANLETLDLRYSNYEIRDMPKEICKLRKLRHLLGDCMSLIQLKDGIGGMTSLQTLSEVYLDENEDENDNRVVELIQELGKLNKIRKLSLIGVRSKYMSAISSSINQMQQMEKLLIGGISFIGLDLNSPPPRLQRVKLDWHLRKLPEWISKLKNLVELKVTVRKEGNDAMKLLQSMPNLLLLCFTGDGRHYEDKFESIHFQDGWFKNLKELYLTNFYSLSHILIDEGALGSLKKLNLSFNPQLMTLPTGIHHLHNLEVLYMKGMSVELMQSIAPDDGKEHPIFMQVPFVDISCEKYLIKAIESFTSVSLRKRNKKR >RHN56991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35499131:35499613:-1 gene:gene32465 transcript:rna32465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MGVNIDRFSLLDDSLMLSIIVSSLPFKDAVKTSILSKDWENVCKLTRNIEFNELFFILQIFEPVFPIIPEKYWIDTIEAYKCVKSHLKKVEITGFKRTKNELCVITYFLLRGETLRKMSINLLKGDVNGEVGLNVTARREAARSLLTIPKASTNLELLIC >RHN58540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2649701:2653348:1 gene:gene20462 transcript:rna20462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MNSVKLERCSKVSAGRIVVRGLASMSEWKEMKRKGKKGVLNHSGRIEVRISYNHFSSFWVMFVFMSFGYAKSMKNGDSTIVDRPKFKRWKISADGTSVGDKSGKDSGEAYSPNEEKYPQDFGLNDLLVTNTVEQTSDCTLKEDPMVLSDIVDGDNLANDEPAKVELVGIESMDTEFATEDCTLNKEDRVVPSQQLDLPILETFDVEFATESCSLMNENDVPILETFDVEFATEDCSLKNKNAVISSHQMDESNLAKDEPAKLELAGMETLDTEFATEGSVKQDLSYISKASYPVGEAAMSDDSKSSLSNINIGGSGPCMKEALTIRYATRKRVAEFRGFPSLCGGNAPRLSQDECLKELSSLKEVADTDVKEAENNKRKFDNIVQADSAGNPKLPEKHNHHHHQVNINSKAVVKVENMNTVKLKSNSKVSSGRIVVRGLASMSEWKEIKRKGKKVDFNAQLDRSKPATKSRGVLNHSGNQPLKKKRENSSSAATGQLVTLEKNSLDSNENNKHFKSVTKSPGSSVNVFPLGRSNLSGHENDSVARNKVRKALRLFQAFYRKILQEAKAKPKSNEKEIKRFDLQAAKKLKEEGNYVNEGENILGSVPGVEVGDEFQYRVELNIIGLHREIQGGIDYVKQKDKILATSIVDSGGYADDLNNSDVLIYTGQRGNVTSSDKEPEDQKLERGNLALKNSSVVKNSVRVIRGSESMDGKSKKYVYDGLYVVESCWQDIGPHGKLIYKFCLRRIPGQPELSFKEVKKSKKFKTREGLCVEDISYGKEKIPICAVNTIDNEKPPTFKYVTEMIYPECCNLIPPKGCNCTNGCSDHKKCSCVVKNGGEIPFNHNGDIVKVKPLVYECGPKCKCPSTCHNRVSQLGINIQLEIFKTNSMGWGVRSLNSIPSGSFICEYIGEVLEEKEAEQRTSNDEYLFDIGNNKNNNNLWDGLSNLFPNSHSSSSDVVKDFDFTIDGAQFGNVGRFVNHSCSPNLYAQNVLYDHQDTRVPHIMLFAAENIPPLQELTYDYNYTIDTVLDSDGNMKKKYCFCGSVECTGRLY >RHN55297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16884216:16890215:1 gene:gene30450 transcript:rna30450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding, P-loop containing nucleoside triphosphate hydrolase MGKGRSPGKWIRSLLSGGKKSSSKSSSSKKNDIFKSSSNKDALGSSELTVSNPISTVDSLQISAPISGANVAKAVISEKEVVNKSSHERGILSNGDEKAQAPAFANVASQDDLETLRLTEAAIKLQSACRGYQARREFQTLKAITQLQAFIRGHLVRRQAVSALYCVKGIVTVQALARGYNVRRSDIGLEVLKIRKDTQCSKSIGVVTSTPADKLSENAFVCQLLASSTHAFPLSLNSDLGEPYLASKWLDRWTTSSFWAPLPKLKKKLDSVSAEKVQVKRTTRKSPAVKADEGSSSGSNKQKQRPKKDSNHSLVSAQAQEHPKKEIEKSSLKKTRVQNVSDRSEIVNEKRKHSSRATSDQTVTDVSEQGSGSSSEKIKETTVPKSEKVLEENNDQPKKNSNGGAKEEKVLGKKTLEEKNDKPKKSLNGSAKDEKSLEQKTLEENNDKPKKSLNGSAKEEKSLGQKTPEENNDKPKKSLNGGVKEEKDLGQKTQEENNDKPKKSLNGGVKEEKDLGQKTQENNDKPKKSLSGGAKEEKGLGQKAQEENNGKPKKSLNGSAKEEKGLRQKALEQEGHNDPNAVLQTSMKKVGDEEIGVSEDLNGGDKIISNNYQRRASLPANFNDQENEIHNTPSPRVPSYMAPTESAKARLRGQGSPRFATDIIDKNSFTRRHSLSSSLNSKSGSFSPRVEKLITLSGRGVARTDKSLSSSRDGTDKMTQPQWRR >RHN49444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54839600:54840780:1 gene:gene44274 transcript:rna44274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling SWIB-Plus-3 family MFFKEFEENVEVRKGLTEDDVSAALPSKIKGKDFERHTSRSEGEEEKQNDSNGNEGYSGMHKPNKRKRYSSGEFVGWASGPLSSFLASIGRYETEPMMRRDVKSLMFEYITEKNLYHHKDKKKFFPDDKLFPIFKKKVMSKYKIYPLLKFHIAERSVDSAGKENHDQNKNCSTDNKHIDDETCRRLSSLIEKPLLKKGDTCIKPGCFASINAKNIKLIYLKRSLVFELSKQPESFASRVIGTFVRARVDSNDHKLRNSYHLVRVIGVQHDEMSNGILLQVSFMPKAISISELSDDDFTEQECEDLRQKVNTGLFPKITVVSCMIHVEKMF >RHN77112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4187409:4189889:-1 gene:gene534 transcript:rna534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNTLSLHFYTPHSFLSLKPKPQPRIQCCSTNNNIIDDIDMVKTKQGTYEQKQNKVCVLWDLDNKPPRGPPYNAAVSLKNLAERFGDVTDISAYANRHAFIHLPQWVLNQRRERKNLDILERKGIINPPEPYVCSVCGRKCKTNVDLKKHFKQLHQRERQKKLNRLNSLKGKKRQKYKERFVSGDDKYNDAVREILKPKVGYGLASELRRAGVFVKTVEDKPQAADWALKKQMMHSMSRGIDWLFLVSDDSDFSEMLRKAREANLGTVVVGDVDRALGRHADLWVPWNAVENGEVMDMDLIPRNRDRRRTNSTSTTMDDFGDVLFFHEGEEMEDDFMLEYSDDDDFDEDSDEEDEDGFYIY >RHN65729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4794478:4796323:-1 gene:gene13607 transcript:rna13607 gene_biotype:protein_coding transcript_biotype:protein_coding MCPCFLHGHDRVMQSCCGRRPDIPCHQWNRYHVILIFYVSCVFLSQA >RHN51660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21685311:21686291:1 gene:gene36142 transcript:rna36142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MCCFFLQISNPKKLMAFTTSIVAEKKNKILFILGAIGTGKTKLSINLGTRYPAEIINSDKIQVYKGLDIVTNKVPESERCSIPHHLLGIINDPEYDFTMNDFCKNVLESIDLIIGNGRLPIIVGGSNSYIKKLVEEPTIAFLSKYDCFFIWVDVSLPTLFQYVGKRVDEMVESGMVDEIREYYAPGADNSKGIRRAIGVPELDSFFQIEKKNDIDDAQKEKILAEAIRKTKQNTCILVQNQLLKIKNMAQILGLMVYKIDSTEVFEALLKGEDYKHLHQENVVKPSIEIVKRFLEETPVGFEYEKYSNENGKHALNGVSNIRAKII >RHN50289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5848751:5849408:-1 gene:gene34561 transcript:rna34561 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNLNPFLCLFVEDRTNRGVLSHAVLNRQNAVFEYLIGLDSRNEFMSHEDMFGNNILHLAGEMPHSASIKNSDGFRSKTLHNHLSEPRKIRLDICLHRFFNLSHKDLVEEAAKWTTDLLSTTFIAVATIFWGASSVNTTRLPPDPSDYFVVASKIGSFLSFVSFLVFIALKVPLRNNEEFLKTI >RHN59081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7344063:7344398:-1 gene:gene21068 transcript:rna21068 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPLPHTNHHSDIQPPNTYVDILFLAFSLLFIISISITLQPYQLCIHSFLY >RHN43725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48013942:48014316:-1 gene:gene50345 transcript:rna50345 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFLKSIPGYIRSIYSFTSGQIYQMKITMHSRTRLEILSTNMN >RHN48348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46825204:46828571:-1 gene:gene43056 transcript:rna43056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDMKLWPFKIISGPAEKPLIGVNYKGEDKEFAAEEISSMVLMKMREIAEAYLGSAIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSPITRARFEELNMDLFRKCMEPVEKCLRDAKMDKKSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTIKDEKIAGKLDSDDKKKIEDTIEAAIQWLDANQLAEADEFEDKMKELEGVCNPIIAKMYQGGAGPDMGAAPGDDDAPSHAGGAGPKIEEVD >RHN65556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3189387:3190304:1 gene:gene13403 transcript:rna13403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MASQSSRVSATSEDQGDSSNSKKEVIMKEIEHDQPSNSNPNKSIDFVKLSKDDSVLGSEVQEHNFFGPIQGGSSYFFRNNNNEGKDENNNAENNSDSKSFSCCFCKRKFSTSQALGGHQNAHKAERALEKQRKQKYENGVFGLGQPYFNPYFSYPNTLFTPSYYKGLGTRMESMIQKPSYINPRITPHSFGPFGYDNGALSLQEILNPSLVSLRNKNHGVGILGIGGASTSRIEDGTNNKIGAILKLGDSSTNVATSSNSKVEKDIIVAPISSNNDIHDQSKSKSNNEEEPSDSESFELDLSLKL >RHN60318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25134143:25134424:-1 gene:gene22616 transcript:rna22616 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L23 MNGIKYAIFTDKSIRLLGKNQYTFNVESGSTRTEIKHWVELFFGVKVIAMNSHRLPINGRRMRPIMGHRPHYKRMIITLQPGYSILPLRKELK >RHN77009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3155525:3159935:1 gene:gene416 transcript:rna416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exosome-associated factor Rrp47/DNA strand repair C1D MVKPNEIENVTVQQPESTTIESLKRTLYNVEQLQSQLPEFLALSDPDHLSTLPLLQRAHSLFSLAKLTSTLFELKLKCRGINPNDHAFKSELDRLSVCQKRLERLPDLSEEQWQDMVEQKFYEEQTGQKRKYPSSEEQFDLIDSKEYVEKLPGEDVVGGSSSGSSIKEAIIIDLSDDDDDDDDEYM >RHN60445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26858260:26859540:1 gene:gene22757 transcript:rna22757 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor OFP family MSSNKKNLIRTFFTSNGSCGCAKTKAIQVHEPKPTQKPKKFIKQRITNPSSKGSSTTTSGEGYGAVCSIDDSDDFSTTTFSDVSTTNNYSAPKQSPLMNTVAVEKDSEDPYHDFKHSMLQMIFENEIDSEDDLQDLLRCFLHLNSSCYHGVIVKVFNDICHEAFPDKVGSTTIKPSG >RHN81499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46478631:46480077:1 gene:gene5549 transcript:rna5549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-acylglycerol O-acyltransferase MVHPIAEANDHSPFGTLTPEEFYTRHSVTHASEFITNSRGLKLFTQWWIPNPPTKLIGTLAVVHGYTGESSWTVQLSAVYFAKAGFATCAIDHQGHGFSDGLIAHIPDVNPVVDDCISFFESFRSRFDSSLPSFLYSESLGGAIALLITLRRGGLPWNGLILNGAMCGVSDKFKPPWPLEHFLSLAAAVIPTWRVVPTRGSIPDVSFKEEWKRKLAIASPKRTVARPRAATAQELLRICRELQGRFEEVDVPFLAVHGGDDIVCDPACVEELYSRAGSKDKTLKIYDGMWHQLVGEPEENVELVFGDMLEWLIKHAQRATVDGAT >RHN64568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60107788:60108764:1 gene:gene27396 transcript:rna27396 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNLRKACSFTVLLLCSLNFTLFILSATSFAPTILLKMPPTSFGMAFLMVSTISLFSSFVGFYSHLTHLCFLTHISLTLASLIGQILTIFALFTKENASLKMLKSSRDPKEAKLLVRLECGVLMGMCMLQFVVLVLSCVVHSCVVKDYEELEAEKEAIARKRSRRISKVQEESTANVAKIDEIKDKEFDEKMKSKYGQWVKTDFEP >RHN63494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51755447:51759065:1 gene:gene26196 transcript:rna26196 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVSHQPQGLNVTFSTRNLPWNKRLKLKQCLTKHHLIGRADWHHMLKQTTCLSVGPPHICGSKFTPLTITAFKGSDQNDDSIRRDNGLKVRKTSVRVEEKGGVKSKSPKTRNVPLPSASDADESLAASSGVHKLFKKWLTILCSPPSNQRVEEILGEPPPGGLPETSQGMQRIEKSQTLKVVWSTFQALDATIKIPLLIFAPFFLAVNAIYGPEVSKELTPLWVMGPFIVALYIKMVQVLCALYVYSFKQTVKVIKSLPSFCKLAHNYVFDGKIKEDIKVHILQPILNIKNADYKQLTIKLLKVLAEWIGEKYLDFVESIWPYYCRTIRFLKRSNLI >RHN57112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36588823:36589173:-1 gene:gene32611 transcript:rna32611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSGGAWSNSDPKINLRFRTFYLLNRGRKKIMSSTRKVTLKSSDGGTFKIDKAVALESQTLKHIIEDDCIHDNGNPLIKVTSNILAKVIEYCKKHVEAGSSEEKPLHDDLKAWELGH >RHN51234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15252042:15258732:1 gene:gene35639 transcript:rna35639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein Rab7 MALRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDTLDNWHEEFLKQANPSNPSTFPFILLGNKVDIDGGNSRVVSDKKAKDWCASKGNVPYFETSAKEDLNVDAAFLRIAKTALANEREQDIYFQPIPEPVVPENEQRGGCAC >RHN60432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26688580:26692061:-1 gene:gene22744 transcript:rna22744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MIYLNSPSTDLVIDWNGEALEKMTNLKTLIIENVSFSKGLKYLPSSLRVFKWNGCSSESLSSIICCKRFEDMKVLTLDDCQYLTHIPNVSGLPNLEKFSFEKCKNLIAIHDSIGNLNKLEILNAYECIKLENFPPLWLPSLKELEFSYCKRLKSFPELLCKMTNTKEIGMCITSTRELPFSFQNLRLSLFACEMLRFPKHNDKMYSIMFSNVEALHLNPYYLPYECLQIVLKSCVNVKYLNLSLGNFKILPECLNECHLMRTLILNLNKHLEEIRGFPPNLKYLNAYGCKSLSSSSRRMFLSQQLHEAGFTTFSFPNGTEVIRIPDWFEHQSRGQSISFWFCKKIPSITSIIILPDDEYNFRFNLFVNGYECTFPEFKFRTPHFSGHTYLFDMNLEENIQGCKIFHGINFESEMDKALLKNEWIYVELKLQSRIWMPENVLKMLRSTEMGIHVCKEKNNTNEDVIFTNPYSRKRKLDEYLNASLFQFHPTMKKHRFVEVGVSETEILQQQHLALVSGMRNLVLTETKEKEHHG >RHN53011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41137456:41138980:-1 gene:gene37754 transcript:rna37754 gene_biotype:protein_coding transcript_biotype:protein_coding MDIETNQAMVVTKKVWNTLRIILFMFTKNIAKSKMVAQLNLLLKRGKLAAIKAIANTLTLRHHSSASFVSPHDYEFSCSNSPAFIKFHNKNKNHHHGRHRNDVSTIQKVLEILNDVDASSFSSPSPLVTFPGFGKTPIGKKIRVTDSPFPLKVDEGDDHSYVDVAAEEFIKRFYKNLNLQEKLVAFQSPYTNRDR >RHN58252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:277988:281047:-1 gene:gene20143 transcript:rna20143 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYENVVGGKLKLKGKALDVAGGIKKKKKKNKRNQQQFLQATEDEISAGGSTEQAKDPNDQEVNDESELSREEKPAHYDDHLTPAERRYIEQREQLDVHRLAKISNKSHRDRIQDFNQYLANMSEHYDIPKVGPG >RHN70536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52127527:52128045:1 gene:gene19125 transcript:rna19125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MAFQNPIVTFILLTSILCVISTRQANEPAPKSSKTEDNIGELEQQAQDAIITTVAELKYAKEFMEFIPKLKTLANLNDEQGTQVDQCVGGLTTAMNNVLMEVEKMENSWPSMSWGTETLQNVMDVVLTYNVICDHALEGVDGTIKLMVQRKIEDVSLLTNDVILRVAKIVWH >RHN50509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7764636:7765234:1 gene:gene34801 transcript:rna34801 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLIVLAIIFVTMANMVMAKEVSSSASPKSSPSPSSAPEASEKESEAPASSPKATTSAPTPAPSTDAPVSSPEASPASSPEADEEISSPPSPSPADDLFAPGSAPASDDVAPAAAPTADEAAASSLRFSAAAATVVVAGFFAF >RHN82314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52780663:52782470:-1 gene:gene6470 transcript:rna6470 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYVSCTLAPPLMRNAKATRVILPTGEVKQFREIMKAAELMLENPNYFLVNSRSLHISTRFSPLAADEELEFGNVYIFFPMRRLNSVVTGADMAVLFLAANSAAKRLRAGKTRVQPDESSEVKGDGVENDQNECVPRLSLEGVESGFSYRLSYCRSKKPILETINEEPIRSR >RHN78753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18064912:18068698:1 gene:gene2401 transcript:rna2401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MESLMISEPNLLDCCKCFEPLTIPIFQCDNGHIVCSTCCTKLKNKCHECSLHISSKRCKAIENLLLSIKMSCSNAKHGCKEKISYTDRKHEEECVYVLCYCPLSGCDFAASSEVLSNHFRHKHGDSIIKFSYGYSFTVSLKSNDEIIVLQEENDGLRIAY >RHN82814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56576102:56576713:1 gene:gene7004 transcript:rna7004 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPFSVIFYPPSNQPTAASSPRLRHHYPLTLFLDPSQPYTISLLLNTSITISLFLLSPSTQDFSLLHHVYCSFN >RHN41463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30218510:30223922:1 gene:gene47792 transcript:rna47792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSVKTIKVDNVSLGASEQDLKEFFSFSGDIEYVEMQSYDERSQIAFVTFKDPQGAETAVLLSGATIVDLSVKITLDPDYKLPPAALASSASEGKTPGGADSALRKAEDVVTSMLAKGFILGKDAVNKAKGFDEKHQLSSTASAKVTSFDQKLGLSEKLTAGASVVSGRVKEVDQKFQVSEKTKSAFAAAEQKVSTAGSAIMKNRYILTGTTWVTGAFNKVAKAAGDVGQKTKEKVENAEVEQNRKVEDQYAQVLSESPKAAATSELHSSKPAPAQGLIL >RHN45795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25690318:25695478:1 gene:gene40199 transcript:rna40199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MVSLRRRRLLGLCSGSSSFVNPLPLYCENLTGSENLSWHAKPKSEQPMLSDDASIPDSNTVVQEEPGSSNISGSSSSKELLIQSTVGPAVKRRKRHRRKNLHSQEVSMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAAHLYDRAAFMCGREPNFDLPEEEKQELRKFKWDDFLAMTRQAITRKKLKRMISPELPNSFDWESKQGVSGFSPYEDADQETSMS >RHN78015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11258528:11265433:1 gene:gene1529 transcript:rna1529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exosome complex component Rrp42, archaea, PNPase/RNase PH domain-containing protein MVGLSLGEQHFIQGGIAQDLRCDGRKRLTYRPIHVETGVIPQANGSARVKIGATEVIASVKAELGKPSSMQPDKGKVFIYIDCSSTAEPAFEGRGGEELSAELSTALQRCLLGAKSGAGAGIDRTSLVVVEGKICWDLYIDGLVVSSDGNLLDALGSAIKAALSNTGIPSVKVTADASSDEQPEVDVSDEEFLQFDTSGVPVIITLTKIGRHYIVDATPEEESQMSSAVSISINRKGHICGITKRGGAGLDPSVILDMVSVAKHVSEQLMNKLDSEIASAEAEDES >RHN75049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38973093:38975585:-1 gene:gene11198 transcript:rna11198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MAPRDNRITNTTTGPGPNPSAQAQAQKEIRYRGVRKRPWGRYAAEIRDPGKKTRVWLGTFDTAEEAARAYDKAAREFRGSKAKTNFPTPLEIINNGSPSQSSTLESPSPPPLDLTLTPFSSSHGGVTLAYPVARPVLFFDAFARAETALTVGRREMCGFERPMADFRRAAVQSDSGSSSSVVDYEGVPRQRLLDLDLNVPPPPEVA >RHN47367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39193961:39194374:-1 gene:gene41959 transcript:rna41959 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQRIFPLTIFKGLVFLLLITNNAKTIAARYVSFTTPQTNDHPPQKPTCMKRECNRDMQNNLSPSLPHSFHQKASLSPTGLNSIQRYSLQPNNNIVDRVFRESLEGLYTRNRSTLQRGYTAKRLVPTGPNRMHNR >RHN82376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53292527:53295321:-1 gene:gene6539 transcript:rna6539 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSVTIIVLIISLHLLAFVFAIGAERRRSEAKVVPDEYDERTFCVYTTDASTVYGVAAFSLLLLSQTVLNAVTRCLCCGKGLISGCSTTCAVIFFILSWTGFLAAEACLLAGSARNAYHTKYRGNFVTHDLSCATLRKGVFAAGAALTLFSMLASILYYWAHAKADTGFWEKHQNEGIGLATHNHGPESDKP >RHN67890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31225117:31225695:1 gene:gene16135 transcript:rna16135 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGNTSQIVEDLSSLLPKRELEKLVSEKHLDCENLSLLTDFLDNNPSVLLRDTSLSNRYKSYSYNCLAELLKFLQNHSVLDVLGSSRSEFDELLQDVRRCGFDKDWLDGVEKRALFSDIQFSQVALQKLLDSQQHVNNKVEATHLKINILTEFVEDLKHQLTSSEADLETLILQKAQLLENKAILSSPLGY >RHN61153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33508321:33508763:1 gene:gene23587 transcript:rna23587 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQHNIIFSSLISIQLLKLATLLILCVSERGVFGNEIENSDVIIVIVFDIGEVDDDGGGGGDGGRELKSFNSIISVASALAVDFFVFYHDIWFDRTVQFISITKT >RHN81175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43843565:43846006:-1 gene:gene5181 transcript:rna5181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MIEYPDNVHLIRGNHEAADINALFGFRIECIERMGENDGIWAWTRFNQLFNHLPLAALIEKKIICMHGGIGRSIHSVEQIEKIERPITMDAGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKKNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVVVPKLIHPIPPPLQSPESSPERVMDETWMQELNIQRPPTPTRGRPQPDLDRGSLAYI >RHN71290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57973942:57976638:1 gene:gene19951 transcript:rna19951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MERLGWCLEFVLGESSIDSECIKELIKVNYSVTEINNRNMRMIMLKFLEDELLSKASIDEWMLELLEVLEKLLLIDCNDPSNPNPISHAMKSAYFYIAVECTVKYLEAGGTSNYLQAAVDRIWNRRFQKLEEGSYLLTPKWRLWKTLINDSLVDSQTMLRLASLSNTRKKAIKEVQRFLVDARNNLVGPSFLHSVAAPAQNQSHNESPMEVDKDNGRLKGKASTTVVVVEEMATSTSCSKIDSLPVNEAPKNKCNSVEFETFANDPVPDSICMTDIVRSDVAIEETNQEPQMENQSKDANVPNPQTCLNINNDEANLTKATSHHPSLMNPNSSARTHEWDDSIDGLQAGTVRGTSRIRLRSPETKKLSPLKEYEPKKITKRRKKKKWSQWEVDNLKTGIEVIGEGNWKSILRSYDFDERTEVDLKDKWRNMKRSGCQ >RHN53865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4810321:4811172:-1 gene:gene28825 transcript:rna28825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nonaspanin (TM9SF) MPVFCHYLDIEDLIMPLPSMCRILDNLPLVVPIKRVDQDSTVYQLGFHVGLKGQYGGSKEEKFFIHSHLAFTVKHHRDSLTESARIVDFEVKPFSVKQEYEGK >RHN61696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37596208:37598113:1 gene:gene24185 transcript:rna24185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MASTRERETGDRVDEEGLNFESKRQRSSREVEVRKECPYLDTVNRQVLDFDFERSCSVSLSKFNVYACLVCGKYYQGRGKRSHAYTHSLEAGHHVYINLLTEKVYCLPDGYEVNDPSLDDIWHVLNPRFTAKDFEQLDKNKQWSRAIDGSSYLPGMVGLNNIKETDFVNVTIQSLMRVTLLRNFFLIPENYQHCKSQLVHGFGELTRKIWHAQNFKGQVSPHEFLQAVMEASKNQFRIGAQSDPAKFMLWLLDTLHADLKQSEKNTSIIYECFQGELEVVKVIPNKRIIDEKENMEDQSDRGAEQYASAKETFLMLGLDLPPPQDVMEKNIIPQVLLHSILFCLLHIDMTRSLKSLDT >RHN51400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17277779:17278634:-1 gene:gene35830 transcript:rna35830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MKILARRNIGKRMKEVAKRIDDIAEERKKFGFQSVGVTEEHQRGDDEWILTTSAVTEPKVYGRDKDKEQIVEFLIGHASNSEELSVYSIVGVGGQGKTTLAQVVYNDERVKTHFDLKIWVCVSDDFSLMKILESIIENTIGKKSRSLVFRVLAKKGSRNFAKQKSLLPNGKKGASILVTTRLEIVASIMGTKVHPLAQLSDDDIWSLFKQHAFGANREGRAELVEIGQKLVRKCVGSPLAAKVLGSLLRFKSDEHQWISVVESS >RHN52757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38451655:38452404:1 gene:gene37461 transcript:rna37461 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCILEEEDDLEKLLLPDVQNLPLIPPSAVETNFVTYFALGGITSVDFNVGKSDQTAVKVSEKRKKNAQHYESNTSLCKVSTKNDSYIVRYVVCYT >RHN79662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31000600:31005240:-1 gene:gene3481 transcript:rna3481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MHRLYHHHSHFPLLDKPINRKNQTKMRFHTPTFFFFGCCIFTFVATAVHGAGYLPLQRNVPLNHRVEIDTLRARDRVRHGRILRASVGGVVDFRVQGSSDPSTLGYGLYTTKVKMGTPPREFTVQIDTGSDILWINCNTCSNCPKSSGLGIELNFFDTVGSSTAALVPCSDPMCASAIQGAAAQCSPQVNQCSYTFQYEDGSGTSGVYVSDAMYFDMILGQSTPANVASSATIVFGCSTYQSGDLTKTDKAVDGILGFGPGELSVVSQLSSRGITPKVFSHCLKGDGNGGGILVLGEILEPSIVYSPLVPSQPHYNLNLQSIAVNGQVLSINPAVFATSDKRGTIIDSGTTLSYLVQEAYDPLVNAVDTAVSQFATSFISKGSQCYLVLTSIDDSFPTVSFNFEGGASMDLKPSQYLLNRGFQDGAKMWCIGFQKVQEGVTILGDLVLKDKIVVYDLARQQIGWTNYDCSMSVNVSVTTSKDEYINARARQTGSCSRIGIPSKLLPVSIVALSMHIIIFMKSLHL >RHN75560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43288787:43293683:-1 gene:gene11787 transcript:rna11787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactosylxylosylprotein 3-beta-galactosyltransferase MTWKSRGEVVPRSFMSQKWMIFLCIGSFCAGMFFTNRMWTIPEPKGLARTTAMESEQLNLVSEGCNTRILQEKEVKRDTKGVFKTQKTIENLDKTISNLEMELASAKAAQESLKSGAPVSEDMKISESTGRRRYLMVIGINTAFSSRKRRDSVRATWMPQGEKRKKLEEEKGIIIRFVIGHGATTGGILDRAIEAEDSKHGDFLRLDHVEGYLELSAKTKTYFATAVNLWDADFYIKVDDDVHVNIATLGETLIRHRSKPRVYIGCMKSGPVLAQKGVRYHEPEYWKFGETGNKYFRHATGQLYAVSKDLATYIATNKNVLHKYANEDVSLGAWFIGLDVEHIDDRRLCCGTTDCEWKAQAGNACVASFDWTCSGICRSAERIKEVHKKCGEGEKALWSASF >RHN47069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36895868:36902511:-1 gene:gene41624 transcript:rna41624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Homeobox domain-containing protein MFDNKVFFTYKRRRHSQSRSFVLENQQNSVGEDARDCSLSKQAKLTNEKTSEKHEEKSTDTSDGQKPCFECIKQPNHSTPLPVQRPGVLVPEPGELGISDETEMTVTTNESFLTRQPCEDNSKKDVDEFPPTKKASQNGSDTQKNSFVGENSGNECDNGSNINNISPSAELDAGNDFNLINSETSIPKEISAACDNESLVLNKSTDRSTESPSEDNFRNQSEEKVAQTNLAGPLITYRCYKRKRSMDGTDKENIPVLTKWSMLANANPDSCDESSCDESPANNVLDLNQSVEISEREKPLGHTQDETSCRSSSRVFLTDLNQSVELSERGELDQTQEKVRNADTPGTSGVVSETCMTQVGEQPGHGEDIVKTDPHMSGTEVPSQNSLMHKEAEHVDKDCEGIPVNVDSRDLCHASTTADQELEELQPSVKKAIQNVPSNDMKKSGGHQPQFVLPADSAEENTVEVNLGSDKHSLPLAMRTLLAKSDSTSSRSVIVENQVTQLAFLNSSNTKVISEGKTIDDVCSSITQSQSEQMPTVSLSLGLSLPVEHETRGCLSTLPLFNLTSGTRDIVQDGLCQSSTNRKPLHLRHKAVLDNIVSKTRASNERGKFQENYKPHPIMWSEEELDFLWIGVRRHGRGNWDAMLRDPRLRFSPLRVPWDLAERWEEEQLKLLKDSVPHFMHPNTERAVAAAALQGNTCFLDPKSGTWRQNTMEETTLSPEDAFSYRESNPLKKSLARSFLQSNTTVRGLAPNIHSGRASYNNNIDKFELGFFSSPGSSSISRENSYSNDYPFNCSTAKNNLPQWLREAINTPPMSVEPNLSAEHCFDAGGKSGFLPQNHLTGLKTNEVHISNASHYSTYSRRKYGTLKMNKSLEHHVSKQDNLIVIDSDTSSEETISDDHRASLKI >RHN58928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5964418:5967780:-1 gene:gene20884 transcript:rna20884 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTTLSPSPSPTTFASPRFSKTFSNILVPSSSKPISIQLPSCNKRGFQTTGLRCNNTFFPGGPPSGDGDSSSKNVLDAFFLGKALAETLNERIESTLGELLSTVGRLQAEQQKQVQEFQEEVLDRAKKAKEKAAREATEAQPQGLVSKSTAYTEVVVDSSTSETTDPVTSVQSTDVSEIYIEPNEGEDPTLSS >RHN57249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37540119:37540588:-1 gene:gene32769 transcript:rna32769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-glutamyltransferase, Glutathione hydrolase MQFFYRFSPSYCCNLYIIENITCFLFVSNLAYASAKNGLTKTRNEVIIAHHGAVAADDHRFSKIGMAAIHEGGHAVDAVVAAALCLGVVSSASSGIGGGAFMLLRLANCVAKAFDMRETAPLLASKVPVNHRL >RHN80055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34663476:34665698:1 gene:gene3931 transcript:rna3931 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSSDGAMKKAALVREYVELVTARCSALFSLPLLIICNQ >RHN58885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5717758:5718636:-1 gene:gene20840 transcript:rna20840 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTSDIRKIDVKVIQSKSQKNIIFAETNGDFVDFILSFLTVPLGSIVKLLGANSFAGCVGNLYKSVFGFQNQPLNIPVVLTPSIAYYYGTGTPKIGSVHGISHGIYYNTEELKIEGGVISKSERSIYNAKSLTALDPRSLNGSKVMGFVKRARLFGIDNDLRVKPLSTNSFLLYLKELSLPLDDLEVKVISIGEAEALGILGTFLTSRFTLTSGLKDLLSVPKPESTVLKVPKKESTLTSK >RHN71020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55841120:55844709:-1 gene:gene19661 transcript:rna19661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine carbamoyltransferase MGVMSGHCCAVVSHKPYLSLSPPNLRHSPPISSVSSSPFPLTTISCHATSALSAESPLTEKVGNGLKDFLHIDDFDKDTIFKILDRAIEVKTLLKSGDRTFRPFEGKTMSMIFAKPSMRTRVSFETGFSLLGGHAIYLGPDDIQMGKREETRDVARVLSRYNDIIMARVFSHQDILDLAKYATVPVINGLTDYNHPCQIMADALTMIEHVGRFEGTKVVYVGDGNNIVHSWLLMAAVIPFHFVCACPKGFEPDAKTVEKARKAGISKIEITNDPKEAVKGADVVYSDVWASMGQKEEAAYRRQVFKEFQVDQSLMDAAGSKAFFMHCLPAERGVEVTEQVVEAPYSIVFPQAENRMHAQNAIMLHVLGK >RHN53988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5994062:5998853:1 gene:gene28963 transcript:rna28963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSGIARGRLAEERKSWRKNHPHGFVAKPETNPDGTINLMAWHCSIPGKAGTDWEGGYYPLTMVFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDNGWRPAITVKQILVGIQDLLDQPNPADPAQTEGYHLFIQDAVEYKRRVKQQAKQYPSII >RHN60944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31727977:31733641:-1 gene:gene23344 transcript:rna23344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inner membrane protein PPF-1 MAKTLISSPSFIGTPLPSLHRNFSPNRTRLYTKVHFSFHQIPPIQSLTHSIDVAGVFARAEGLLYTLADAAVTADAVTSTSTDVTVQKNGGWFGFISDGMEFVLKILKDGLSAVHVPYSYGFAIILLTLIVKAATLPLTKQQVESTLAMQNLQPKIKAIQQRYAGNQERIQLETSRLYTQAGVNPLAGCLPTLATIPVWIGLYQALSNVANEGLLTEGFLWIPSLGGPTSIAARQSGSGISWLFPFVDGHPPLGWYDTAAYLVLPVLLILSQYVSMEIMKPPQTNDPTQKNTLLIFKFLPLMIGYFSLSVPSGLTIYWFTNNVLSTAQQIWLRKLGGAKPAVNEDAGGIITAGRAKRSFSKPEKDGERFTQLKEEERKKKLSKALPADEVQPLASASVSDDGSDVKNEKEQEVTEEAYASKVPQEVQSFSRGRRSKRSKQKPVV >RHN38452.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000012.1:78450:83276:-1 gene:gene50718 transcript:rna50718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MYSRGRDFLFCHLCGTMLTVPSTDYALCPLCKTKCNIKDIKGKEISYTISAEDIRRELGIDLIEEQKVQLSKVNKTCEKCGHGEAAFYTRQMRSADEGQTTFYTCTRCGHQFQEN >RHN72920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11866269:11869600:1 gene:gene8686 transcript:rna8686 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQAFNPSESENHCCAELKKKYSKLQESRNALREAVRLLEGTVNNFQAQNANLKTVSAAYQEELARAKIEKEKNLKELNAKVSLENEVSALKSEITALQQKCGTGAQEENGDVKSLKADIYDRDKEIERLKKLVEKEKKRADSEKKVAVNEKKKAAEASKLLEAEKKVSLDKGMQLSKIEAEKAEEYRLQKVRLEKEVGETKMKLASELSKFEEAIKRVETEKQKLLVEKRNAESKMKKAQVQAEVEKQKAAREKRRADEEQVKVEEQKRLAKEAKHLADQRSLELLKDKKVIDDLKQRIHELSSPRKHNEISGVSPNVNAESDKIHFLKSSLELEKLRAKHAREKLKHERKKFEHERMKFKYEESCRNILQHELHRLKLDFIQNYNHLNMLDASFSPVAGSIHGLAKDDSI >RHN73798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19949927:19954503:-1 gene:gene9664 transcript:rna9664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phytepsin MLVVTCLWIWSLSLAYTISNDNLMRISLKKRNLDIQSLNTSRIKKVIHERDLESVDTNYGSKDVVYLKNYFDVQYYGEIGIGSPPQYFNVVFDTGSSNLWVPSSRCIFSIACYFHSKYRSGISSTYNEIGVPCEIPYDEGYIYGFFSQDNVKVGDINVKDQEFCEITREGNFALLALPFDGILGLGFQDVSVGKVTPVWYNMIEQGHISDKVFSLWFNKDPMAEVGGEIVFGGVDKRHFRGDHTYFPISQKGYWQIEVGDILLANNTTGLCEGGCAAIVDSGTSLIAGPTGVVTQINHVIGTEGYVSYECKNIVHNYGNLIWESLISGLNPEILCADIRLCSDNGFQRMNDVIETVVHNESRDGSPLKESLFCSFCNMVVLWMQVQIKQSNVKEKVLKYVDELCEKLPNPVGQSFINCSSVSDMPHITFTFGNKLFPLSPEQYVLRVESDDEDCSPVCYSGFVALDVPSPQGPLWVVGDIFLQAYHTVFDYANLRIGFAEST >RHN73446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16495686:16503074:-1 gene:gene9260 transcript:rna9260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxysterol-binding protein MVINKEEKKIMLTKPLQLAKESDSEESYRAPNLLQRLLSLFKNVRPGSDLTRFQLPPQFNLPKSQLQCYGESVYCTASDLLSICNKGQSPLDRFISVVAWSISTTRPISFGVAPYNPILGETHHVSKGNLNVLLEQVSHHPPVTALHATDKKENIEITWSQQPVPKFYGTSVEAQVRGKRQLKLLDHGETYEMNSPNLFFRILPVPGADWVGTVDIRCIETGLVAELSYKSGHSFLGLGGSHKVIKGKIFDSSSLKVIYELDGHWDRTVKMKDRENGKVAVIYDATEVITRLQAPILKDEKSVWPTESVHVWSGLSQAIVSKDWEKAREAKQVVEERQRELMRERDSKGENWIPKHFVVSYSKEVGWDCTPIHNYVSAAPIIAL >RHN66065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8214178:8215609:-1 gene:gene13977 transcript:rna13977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVIILKFIYALIILFFIIFVATTEGVLPYITVSGDPIHCINAYDCPNVCVDFLISRCINNKCYCM >RHN42151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35862000:35862500:-1 gene:gene48555 transcript:rna48555 gene_biotype:protein_coding transcript_biotype:protein_coding MHSYSFLPPISYLPQTNGKNFSCPLNSPPSCNTYVAYFANSPNFLTLTAISDIFDISPQSIARASNIKDENMNLIEDQPLLVHVTCGCSENGNYFFANISHLIKQGESYYYQFAKGIDFLITYVWQPNDNLTLVAATANAKYFGQNFIAATNFSVFIPVKNLPSLS >RHN43196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43927134:43931564:-1 gene:gene49736 transcript:rna49736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calponin domain-containing protein MVCEVEESSSSAESSFRELDDAFLQTQTRIWLGEVLQIRLDDQLIISELLADGELLFEVSKVMWKLLLEKHMELRHIKSYKSHPFASRRNSGMYRPYSNVDSFLKICKILGLTGVDLFTPSDVVERKNTRRVCICIRSFSKKSRSMNVNVPDFDIVTCMVAMPKDMVRCIRRSIELSQSILADSSNHHLQNPSRRKSNQGYSFTSSNRDYLTYSDPDSDRDIMHPFPQLYDLPADDLYDYKSEINYNIPFLTGESDFVSEDLDQLDIQNQQRNENSNDELEILSSMESSEYNVEESSEYNVEHEHDYKLIGMTSPLDTRVEQVQESRTTDFDFFDHVLSRNNASVIWTHMSTNDKTSIIDAASYAKNKRGSDMIGEVNSKPNVHKSASSHGSNQTPQSIESGRCFDLSDNMEVLQVAGMSCSTREPLNLGDLFDAENNVQNIESFKSHNDKNDQRDKIKEYEAQDIKCKELAYGITSCARNSYSANKFEEIEHSLYSPDCHFCNTNSSDRDASHCNEISSTILEKSLADEGMESQVDSRCLDNASCNQPGELLSCHSYYLPEFCKWDQKGKCATTSNTVKDSQSSSYFLEDGSQKENTPCKQKDSKVLMSKVMLSCVPNMEGVVRAAAIKLGSDGKLNNGCLDLASDALGVEKCERCLTQDDDTNGSCDEGITAQDIDDGGQRILDMITNDAVALTNCDDVSHIGCGKTNQSSKLECNDAHQACQYYEDHIYHPEHADMVRINEVKLEDESVHSLETEGGSVEIPKLKPKKKQLLITVMGGAAAAGLLFMFLHLRRNVGEKVAQPSKTSSHKNKEKGQKNSTQKSKRINQTKEVYPAENIQLK >RHN66190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9588770:9589155:1 gene:gene14124 transcript:rna14124 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYWNDPCRENEILSYMVRSLIKVELIARCPLMRNMIYETIWFCIR >RHN80308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37054790:37056346:1 gene:gene4215 transcript:rna4215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAKEQLKVLNALDVAKTQWYHFTAIVIAGMGFFTDSYDLFCISLVTKLLGRVYYYEGSNKPGSLPENVSAAINGVAFSGALAGQLFFGWLGDKMGRKRVYGMTLMLMVIASLASGLSFGKDPKTVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILSGGAVAIIVSSVFKALYPAPAFNVNPYLSTVPQADYVWRIILMFGAIPALLTYYWRMKMPETARYTALVAKNSIQAAADMSKVLQVDIEAEQEKIQQLDQNQRQGRNDFGLFTKRFLRRHGLHLLGTAMTWFLLDIAYYSQNLFQKDIFSAIGWIPEAKTMSALEEVYKIARAQTLIALCSTVPGYWFTVALIDRIGRFTIQLMGFFFMTVFMFGLAIPYHHWTMKGNQIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAMVGAFGFLYAQNAIGVRNVLLLLGVANFLGMMFTFLVPESKGKSLEEISGEAEEETLEAGIQV >RHN45285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14368077:14368770:-1 gene:gene39530 transcript:rna39530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MLHAAIIGRKTQRKMAALGGIPMRVVKEEYPGNLISFVTVTCIFAAMGGLIFGYDIGVSGTYIYINIHPSSPKSIRTLNKSITKIISIFWNCFLRMWGNFHGSIYVEVFPISLPEENLDRTTNQYCKYDSQILTMFTSSMYLAALLSSLVASTVTRKFGRKLSMLFGGLLFLIGALINGFAQHVWMLILGRIFLGFGIGFANQVFFFLE >RHN82617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55234606:55235026:-1 gene:gene6792 transcript:rna6792 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQPYEAEATIANFGKSWINIRADRLAELVDRILQQETWNPQTNEEGFAPLAVLFYG >RHN74751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36376613:36376990:1 gene:gene10860 transcript:rna10860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MDYIYNGGVTDQNDNACLPYPGVLFWEKREPCRCVIYQLKSLCIRGYTGGEFEYEFVKYLILNGGVIENITLWFLDDCSWNKVVATNCLLSYPKLSSKLSFDLKPGAIFARKYSGSFNKWVTTLR >RHN54176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7574111:7578429:1 gene:gene29172 transcript:rna29172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TFIIB MKCPYCSAAQGRCTTTTTGKSITECTSCGRVVEERQSHPHHIFHLRAQDNPLCLVTPDLPPPTLNQTNTDTNEEEDPFEPTGFITAFSTWSLEPSPLYLQSSLSFSGYLAELERTLESSSTNSSSSVVVDNLRAYMQIIDVSSILGLESDISDHAFQLFRDCCSATCLRNRSVEALATAALVQAIREAQEPRTLQEISIAANVAQKEIGKYIKILGEALQLSQPINSNSISVHMPRFCTLLQLNKSAQELATHIGDVVINKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPSNYTPAVPPERAFPTTLIASGRSSTTKVDAIEVTSSLDSENLPEFKPNKANEAEGKSNARASQSTAIQQSTFWQSQLPSATQNHQNPNVLESMDIDGLQRNHQQPEPMVEVANGAAIGSSVNSNQLYSPPASSSSSVMRSLSAPPSSAPPNIRHLQSSKTMPGFPEY >RHN45336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17030845:17031379:1 gene:gene39615 transcript:rna39615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MTTSKTLLMFIGVFFVEKPLILGVGVNSTLEDKIKSLPQQPKVGFQQFSGLLTFDTHHRSLFYYFVEAEVDPASKPVVLWLNGGPGCSSVRQGAFSEHGPFRPTTKGLVKNPFSWNKCNTTTFFHFCLFLIFFIFIFVLKIYFSTFCSS >RHN66445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12390190:12394564:-1 gene:gene14435 transcript:rna14435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytokinin dehydrogenase MFNFNLLHPLTTNIYSIKNLKHPSFSLVSEYNILFIKGFMILFLSCITIRLNFCISSIPFSLKTLPLEGHFSFDELDLKNAAKDFGNRYQSHPMTVLHPKSVSDIAVTIKHIWNLGPSSHLTVAARGHGHSLQGQAQAEEGVVINMESLNVEEIKVYGGEFPYVDVSGGELWIKILHETLKYGLAPRSWTDYLHLTVGGTLSNAGVSGQAFRHGPQISNVQKMEIVTGTGEVVNCSEEQNGELFYSVLGGLGQFGIITRARILLEPAPTMVKWIRVLYSDFTAFTKDQEKLIFAEKAFDYIEGFVIKNRTGLLNNWRLSFNPQDPVQASKFKSDGRTLFCLELAKYFNMEETLEVNQDIQKHLSHLNFIPSTLFQTEVTYVDFLDRVHISEVKLRSKGLWDVPHPWLNLFIPKSKIHNFAEVVFGNIVKETSNGPVLIYPVHKSKWDKRTSVVIPDEDIFYLVGFLASSSGPDELEHILSQNKRILEYCERAHLGVKQYLPHYTTQEEWQTHYGHKWEIFKQRKSIYDPLAILAPGQGIFSKSITFS >RHN52614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37105148:37114861:-1 gene:gene37308 transcript:rna37308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MASLADQFKYDVFLSFRGEDTRHGFTGYLKKALDDKGVRTFMDDKELRKGEEITPSLLKAIEQSMMAIVVLSENYASSSFCLQELSKILDTMKDMVGRSVFPVFYKVDPSDVRKLKRSFGEGMDKHKANSNLDKWKVSLHQVTDLSGFHYKGDTPEHMFIGDIVEQVLGNIEPLALPVGDYLIGLEHQKQHLTSLLNIGSDDTVHMVGIHGMGGIGKTTLALSVYNLIAHEFDASCFLENVRENHEKHGLPYLQNIILSKVVGEKNALTGVRQGISILEQRLRQKKLLLILDDVNEQEQLKALAGKHKWFGPSSRIIITTRDKKLLTCHGVEHTYEVRGLNAKDAFELVRWKAFKDEFSPSDENVSLAQLHVIERVVAYASGHPLALEVMGSHFSNKTIEQCKDALDRYEKVPHKKIQTTLQISFDALEDEEKFVFLDIACCFKGCKLTRVDEILHAHHGEIVKDHINVLVEKSLIKINEFGNVTLHDLVEDMGKEIVRQESPQDPGKRTRLWFSNDIMQVLEENTGTSQIEIIRFDCWTTVAWDGEAFKKMENLKTLIFSDYVFFKKSPKHLPNSLRVLECHNPSSDFLVALSLLNFPTKNFQNMRVLNLEGGSGLVQIPNISGLSNLEKLSIKNCWKLIAIDKSVGFLGKLKILRLINCIEIQSIPPLMLASLVELHLSGCNSLESFPPVLDGFGDKLKTMNVIYCKMLRSIPPLKLNSLETLDLSQCYSLENFPLVVDAFLGKLKTLNVKGCCKLTSIPPLKLNSLETLDLSQCYSLENFPLVVDAFLGKLKTLNVESCHNLKSIQPLKLDSLIYLNLSHCYNLENFPSVVDEFLGKLKTLCFAKCHNLKSIPPLKLNSLETLDFSSCHRLESFPPVVDGFLGKLKTLLVRKCYNLKSIPPLKLDSLEKLDLSCCCSLESFPCVVDGLLDKLKFLNIECCIMLRNIPRLRLTSLEYFNLSCCYSLESFPEILGEMRNIPGLLKDDTPIKEIPFPFKTLTQPQTLCDCGYVYLPNRMSTLAKFTIRNEEKVNAIQSSHVKYICVRHVGYRSEEYLSKSLMLFANVKELHLTSNHFTVIPKSIENCQFLWKLILDDCTALKEIKGIPPCLRMLSALNCKSLTSSCKSKLLNQELHEAGKTWFRLPQATFPEWFDHHCMAGTYISFWFRNKFPVIALYVLSPFTWDGSPLRVIINGDTFFYTHGSKIGAKSHADTYHLHLFHMQMENFNDNMDKALSENKWNHAEVLFGFKFLKSGIHVLKEKINLKDIRFTNPENDANIVITRGEVTDLPHAMLPPEPINLSTELSSTSDETEPLMNNLHLSPSFRRHFSQNNAANETEQIQCFSSFKSIFLDNQESEAQGRESSHHCLIM >RHN72871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11413802:11414155:1 gene:gene8634 transcript:rna8634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MAFSVSNSITCFPVLWNPSIMKFAKFPSLEIQGSNTIYSGFGYDHHSKVVAFSGVYQVQTYVHTMGTNFWTRIQDFPQHESGKFINGTLNWLACNNSTIVSLDLEKGSYRKLLLPDI >RHN62096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40700824:40706852:-1 gene:gene24630 transcript:rna24630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative development/cell death domain-containing protein MRVNDNHNNNARGKDPVFGAIFIANSESRRECFKKGLFGLPSSYIPFVEKIKPDMALFLFDYEKKLLHGVFKATSKGGSNIDPKAFTSLGIQYPAQVKFTEIWKCKPLPEKIFRDAIRENYFAANKFNFGLSGDQVNKLLHLFDMNKLEPEVPRRRMSRADDMTSEQYSVGEVGRSFGHGMLDEGVPLEPYSVGEAGRSFGHGMLDENVQNDRVLGDKSSPVLMHNYQGDYSARYNGFSADDILVSKQRRSSELGVDYTSGYVGDYLPLKDESRLAAHNNADYLDNYAAKLSLDTANGYVGDYLARKDDSRFTSLENNDYMLRPYSVGGYVDIPSDDVRVHGDGRSSISDRLMGEDLRKSGQRMIFSDDTPSLHDSNVDLPVFYNRPNLEQSSLVENHRRSTSAMIHPFHLSTSCATQGAESSILYDPDAPGLNFRQLPSFGINNGSESITERLSPSTNCGRNSTSREPQLVHTELKDKYRWHNIGDCFPNSVLYGSNRECIQNSGQLASESALYEARSNIFSLKSLSAPIPSSDIGNSGRTHEPFSSLFDNYKSYLDYNVHPMALQEYPSHDITLAMNNGTLSSDVPLANWDHFQVQYDDSLDHGYDMGCHGDSQNSNHGHPNKKSSVFSRISYARDVNKQENRNNAQKEEYGSITSVDEVMEMVRRSQSHRLTKRKPTPNQRNNTESLRNKTQLSSQSKKNDCFENALEHNKDESLRNKTQVTSQRKKRDLFENALEHNEDESLRSKTQISSLKKEKRDCSENALEHNEDESLRNKGRIISLKTKKRDCSENGLEHNEDESLRYKTQTCSMKKKKRDCFENALDDLNTDSTTVTGGNPNTTTKVVDFKRRSKVRKHSDEIERSSNESKKSENLVVGQQKRRKLIRPNFNKSASYEDKDINLGGSQNLQLSLPNGSRNHKDVIGSGCTLVNQCDYDVKTDAEIQNINYQTQSEVKNSSHATEFIFSGGEKANVGALPTLFDGSECADNKNHQKVLFSASCSDESFHNKEGSCMMDNVEAASLEKESLRAICQEKANVGTLPELNDGSECVDNKPHQKFLSSASCNEESSHTKGSSCMVDNVKAASLKTESLHALCQEKANAGTFPALNDGSECVDSKNDQKVLFSASCNEDSCLEKVASLKMESLHTICLEKVNVSTVHALIDGPECADDKNHQKVLSSASCNEESSCTKEGSCMVDNVKEASLKIESLHAVCQEKAIADTLPGLNDGPECVDNKNHQKALPSTSWNEESSHTKEGSRMMVNVKEASLKTESLHAVSQEKANVGTLHALNDGSECADNKNHQKVLSSASCNEDGSHTKDGSCMIQQPSLIPLSGVGKEGSCMMDNIKSASLETESLNAICQEHNVDKIICADRCINANDKMPKDCGSFSVEAKDGSEYLGSSGSENAPIETSCHIEACM >RHN42681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40233172:40233730:-1 gene:gene49159 transcript:rna49159 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMSMVGPFGTVMVGDGAPVGVVGTSGTVLNPGDGAVAVVAGNGGDAVVDGKGGEGDDVDGV >RHN73094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13406685:13408082:1 gene:gene8874 transcript:rna8874 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNEQDILEKVMLSISDFFSLEHRPKCRGGIVLKSAYKDILAFLKLKRSKNQVKSISNDFDPKHQSHNDPFDYTTFFQQFPYDKLPNQLEDYTFLSTQPSAPPIQDSFTIGNIKLNMLGNLDNVYVPLLEKVCNIHPCLIECQRKRTPKYVEWAFTALGRVLYFLEITKVDEMNEEEFEWLQLLWDEVQVFGFDLSWLAPQVEFLFNYVEKMSKVNKLEEEKKSLEVRIQELSMELFEREKEMESSKGDLESLRLELGDLMESERLLNIKGLM >RHN46721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34328565:34333907:1 gene:gene41242 transcript:rna41242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc/iron permease MLYMAPNLKLRLGVSLLFILLSFLVIGNSNAELENEVLHNKVRAAPHKDVGSTVIDGTGVESSLKVENGNRKSGSSRVSVSTVALFTLAMAAATGLGAVPFFFVELDPQWAGLCNGMAAGVMLAASFDLIQEGQEYGSGSWVVTGILAGGVFIWLCKKFLEQYGEVSMLDLKGADATKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSVITSLPQPIVAVPSFICADAFSKFLPFCTGFAAGCMIWMVIAEVLPDAFKEASPSQVASAATLSVAFMEALSTLFQNFSHDYNSEDASGFFVSLLFGLGPSLGGIFLVAFALAFHLRHALLMGIACGIAFVLGAWRPVQLILSYKMGLVPVSSLLALGAALIHMSSSGVLKLATSKKTSAHNLPTITGFPLSIHTLQSFISCGAVALHAVAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIFGATDSWHGSLAAAAIIGFMGPISAIGAILSGIDYSGLDHIMVLACGGLIPSFGNVVKRALSLDKRKSTCGLIIGMTFATLCLTFTRLVCLHTPYCNSAPEAVR >RHN72431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7557262:7558133:1 gene:gene8140 transcript:rna8140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MISDCALSAQPAFDYYKLALQWVPGVLTATGICPATVVSRLLTIHGLWPSNKARPHPSGCPFVAYNSTKINSLKLDLGIAWPTIYGSDDDFWRRQWEKHGICSTFDQCHYFKHTLDIWKAHNVTLMLEDNGIVPGGKYDYGRIERTILKKTGSNPHITCTGNKYLGEIHLCFDAATPTNFVPCSSSGGSKCNTNPIEFVT >RHN55924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25560726:25561352:1 gene:gene31217 transcript:rna31217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MHGFGHDPISDHNKVVVVFRDNNKTDVKVVHNVGTNFWKDIKETFHYDQFIVEQKSGKYVNGTINWLASQDYGKSQSFIASFDLGKESYKKVLLPDYDYRAIDSRTLHLSVFGNYLCWISSNDVWIMKEYGMKASWTKLFTIPFMPSYYFFAIVMHNFEDGLVTWKSTQDSTRNLVFYNSTNGSVKFSYFKFEFILEVCVESLILPCS >RHN75842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45476742:45477930:-1 gene:gene12096 transcript:rna12096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MTITEMRVHMDCPGCENKVKTALQKMKGVDDIEIDMKLQKVTVNGFADQKKVLKRVRKTGLRAELWQLPHTTESQSQYYQQHLCNGPIPHYASQPSSSYNYHKHGYDSSDPSHYHYPSQSSIFGHQTGATFSDDNPHACSIM >RHN46688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34047671:34048373:-1 gene:gene41207 transcript:rna41207 gene_biotype:protein_coding transcript_biotype:protein_coding MPVIERRFGDEKSTLLDEFERLSFEVHAAKLNRAMLRRSLSEPGPQRSPSRLIGMAPIPLVNKVMQGPYHGNGHGLGFHRVLKKLLKPIFGRKKMRAGRKYVSDPCDITFCKNFSRSLRF >RHN49352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54179313:54189708:-1 gene:gene44172 transcript:rna44172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exportin-1/Importin-beta MEDSSVHNVAQAIATALNWSSTPDSRQQALSFLDSMKASGDIRTLANTLFLLVKRNWSSEIRLHAFKMLQHLVRLRWEELSSEEHKNFAKLSMDLMYDIADPSENWALKSQTAALVAEIVRREGLDLWREIHPSLVTLSSKGPIQAELVSMMLRWLPEDITVHNEDLEGERRRLLLRGLTESLPEILPLLYSLLERHFVAALNEAGRKQTDIAKLHAAAVTAALNAIIAYAEWAPLTDLSKSGIINGCGFLLSAPDFRLHASDFFKLVSSRKRSVDASASEIDQVMREIFQLLMNISRDFLYKSGSVPGSVDEGEYEFAECVCECMVLLGSFNLQSIAGDSSILSLYLEQMLGFFKNYKFAIHFQSLQFWLVLMRDLLSKPKNSTHSAADSSAASGSGSENAKKKTLSFVNDDFCGVMLDTSFPRMLKREKILPGTALSLGALELWSDDFEDKSKFSQYRSRLLELIRFVASHKPLIAAAKVSEKVDIVIKNFLVSPVATQDLAVVESMQLALENVVNAVFDRSNNDIAEANAEVQFALCRTFEGLLQQFISLKWKEPALVEVLVRYLEGMGLFLKYFPDAAGSVINKLFELLTSLPFEIKDPSTSSARHARLQICTSFIRIAKAADKSILPHMKGIADTISCLQREGRLLQGEHNLIGEAFLIMASSAGIQQQQEVLKWLLEPLSQQWIQLEWQDKYLSNPHGLVQLCSEAPVMWSIFHTVALFERALKRSGLKKAHGNLENSSASDSTPLNPMAPHVLWMLTPLLKLLRGLHSLWSLSISQTLPGEIKAAMAMSDFERFSLLGEENPKLPKNPKEGYGEPNGSDIRNWFKGIRDSGYNVLGLSTTVGDSFFKNLDAHSVAVALMENIQSMEFRHLRLLVHSILIPLVKHCPVDMREIWLEKLLHPLFVHVQQALSCSWSSLLQDGRAKVPDIHGILIGSDLKVEVMEEKILRDLTREMCSLLSVIASPPLNTGFPSLEQSGHIIRFDMSSVKSLDAVASCSLVGFLLKHESLALPTLRMCLEVFTWTDGEAVTKISSFCSTMVVISIVTNHTELIEYVSRDLFTSVIQGLSLESNAIISSDLVAICREIFVNLCDRHPAPRQILQSLPFVTPHDLHAFEESLSKTSSPKEQKQHMKSLLLLATGNKLKALAAQKSVNIITNVSMRPRSSASAPESNVHDGDVVGLAAMI >RHN60362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25832191:25834861:1 gene:gene22667 transcript:rna22667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative voltage-dependent anion channel MGDIEKQPQQHHIVLPIDHHNLPLTKTQSNFNSKINNQSSLSIILTKIHAGYFRISLSLSVQALLWKILIEPIKDAHILRHIFTMIPSTAFTLLWSLALFTLLTLSFLYLLKCLLHFDKVKEEFFNQIGVNYMFAPWISWLLLLQSSPIVPPAALHYKILWLLFVVPVVILDVKIYGQWFTKGKMFLSMVANPTSQMSVIGNLVAALAAAQMGWKESAICFFSLGIAHYLVLFVTLYQRLPGNNKIPAMLRPVFFLFFAAPSMASLAWQSVCGYFDTASKMLFFLSLFLFLSLVSRPLLFKKSMRKFSVAWWAYSFPLTALAIASAQYAHEVKGIMAHVIMLFLSLISVLVCIMLMIVTALNIRMPQM >RHN56132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28050526:28051284:1 gene:gene31481 transcript:rna31481 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSCWLRQNCSVLVLLILSFAPPSILYLCLLEMKALIVMSKILLHANDMHKCD >RHN58855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5461049:5461453:1 gene:gene20809 transcript:rna20809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type MKLGLIFSSLLYFVILMLMQNQGCKGCLEKERIGLLEIKHYILSQQDKGDSYNNKELGSWIDDRDSNCCVWNRVKCSFGHIVELSIYSLLYLFPDPNMLNVSLFRPFEELRLLDLSKNNIQGWIDNEGTTLVLI >RHN67101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23906444:23912384:-1 gene:gene15246 transcript:rna15246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 12 MRRVLGKITGLFTNRTMAGVDKTGNKYFTRNEQIDGIMKEKRWVVFKGEHDPTSIPVEWICWLNGQRKRAPTPEEQMELDARREQVKQNVALLKKEEEERKAKEGSRVRRVVNKGNVGGPDLKSFMQQFPVSSEGNEVEESPGTRDDLRKSQDSKDNAEDELETSEPTGSGASFKPGTWQPPT >RHN45420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20518257:20519442:1 gene:gene39754 transcript:rna39754 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRLPFSCYVSILVPDLLCFRFWFRICFVSDYGSGSALFLIRPPDSGSVSLDWACGDFGGALTNLVTFCFMN >RHN38575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:392823:399261:1 gene:gene44530 transcript:rna44530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-hydroxyisobutyryl-CoA hydrolase MTTMMQWCRRSLLMQRNSRRLTTTLSNSVDHHLLQDNVLVEGNGYSRLALLNRPSSLNAINTNMAARLHKLYRSWEDNPDIGFVMLKGTGRAFAAGGDIVSLYRFIKQGNLEACKQFFRTAYSFIYLIGTYLKPHVALLNGITMGGGAGISIPGTFRLATDKTVFATPEVLIGFHPDAAASFYLSRLPGHIGEYLALTGEKLNGVEMVACGLATHYSLLARLPLIEEQLGKLVTDDPSVIETTLEQYGDLVHPGSSSVLQRLEILDKCFGHDTVEEIVDALEVAAGQTKDAWCISTLNRLKEASPLSLKVSLRSIREGRFQTLDQCLLREYRMTLQAISKQISGDFCEGVRARVVDKDMAPKWDPPTLEKVSQDMVDQYFLPLTEFEPDLELPTKSREAFL >RHN79684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31222047:31226534:-1 gene:gene3507 transcript:rna3507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamine synthetase MDLSELRKAVEEVEDVDDLENASFVRIIWVNFLGQHRCRAIPRKRFYDVVTKNGVAVPFGSMALTSILGKLAPDCGLGYVGEARLTPDLSTKRTIPWCKQDEMVLGDLNVKPGQAWEQCPREALRKVSKILKDEFDLVVNAGFENEFFLLKRETKEEWIEFDSSPYCCSSSFDDASPILREVTSALLSMGITVEQLHAESGKGQFEIVLGHTICSEAADNLVYTRETVRAIARKHGLLATFVPKYKLDDVGSGCHVHLSLWQNGQNVFMASDGSSKYGISTLGKEFMAGVLYHLPSIFPFLAPLAISYDRLQPTTWGSYLLWGNENRAAPLRASSPPGTPDGLVSNFELKLLDGIANPYLALAATIAAGIDGLRQHLPLPEPVDGNPNLENLQKLPKSLSESLEALHKADFLKEFIGDKLLTAIKGIGMAEVDQYSKNKDAYKQLIHRY >RHN47725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41983158:41983457:-1 gene:gene42358 transcript:rna42358 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSSRDKAYIGAGRWTRDANDRRKDTRFCGDGGCRWFEENVIHEMLKDEVNEQCQLIIL >RHN80377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37542910:37550760:-1 gene:gene4292 transcript:rna4292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CK1-CK1 family MMDHVIGGKFKLGRKIGGGSFGELYLGVNIQTGEEVALKLEPAKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGDYNAMAIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLLNRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKFRDLHTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGFVLMYFLRGSLPWQGLKAGNKKQKYDKISEKKMLTPVEVLCKSHPLEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRARPSGKPVINPGQSGERVERPSGGPEIRDRFSGAVQAFARRNGSGHGVHGDHSRHRSSDEPSSKDVQADSERPRSSSRHGSSSKRPVLSSSRPNSSGEPSESRSSRLLLSSSRLSTTQRTQPGLESKSSSFNNRASGARGGRDDTLRSFELLSLGTGKRK >RHN78746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18014071:18014364:-1 gene:gene2394 transcript:rna2394 gene_biotype:protein_coding transcript_biotype:protein_coding MWSNSLELLLESIYPSPLKSALNEEEYRKCILNKEDESYIFMNIEDGDLVMSKLEEDDDIIMNKEEDDDDIMNKEEEDGDLPVSWLELLSIQLMEKN >RHN45913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26772506:26773376:1 gene:gene40340 transcript:rna40340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MRLLTLRKKYPILINKKVCLFYLFISLMNFSCCAYLLSVIFILCCYLLHVSIGVDTITSSQFIKDPETLISKDGNFTLGFFSRRNSTNRNVGIWWKSQSTIMWVENRNQPLNDSNGNFTISEDGNLAILNGQKRVIWSSNVSNIATNTSSQFSDFGNLVLLDITTGNILWQIIQQPSINKLLQPSMKLSINKRTGKSVKLKSWKSPFDRSTDNFSCSTVERLRIIEVFIWNETHIYWRIGPWNGGVFTGIQTMTAAYFFSFQGRDDGEGNINIYIIG >RHN54364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9014759:9019500:-1 gene:gene29383 transcript:rna29383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MARIYSRNFFPFRNPFFSRPITSSSSSSPSSQFLTHLHFQSLLQPSHCQTTHHLLQIQSLLITSSFYRNPFLSRTLLSRASNLCTVDFTFLIFHHFNNPLDTFCVNTVINSYCNSYVPHKAIVFYFSSLKIGFFANSYTFVSLISACSKMSCVDNGKMCHGQAVKNGVDFVLPVENSLAHMYGSCGYVEVARVMFDGMVSRDLVSWNSMIDGYVKVGDLSAAHKLFDVMPERNLVTWNCLISGYSKGRNPGYALKLFREMGRLRIRENARTMVCAVTACGRSGRLKEGKSVHGSMIRLFMRSSLILDTALIDMYCKCGRVEAASKVFERMSSRNLVSWNAMILGHCIHGNPEDGLSLFDLMVGMERVKGEVEVDESSSADRGLVRLLPDEITFIGILCACARAELLSEGRSYFKQMIDVFGLKPNFAHFWCMANLLANVGLIDEAEECLKNMAKFDGYISHESLLWASLLGLCRFKRDVYLGEQIAKLLIDTDPNNLAYYQFLLIIYAVAAQWENVSRVQKLMKERKLDIIPGSNLVDLKNIVHNFKVSNNWREGIEAVNIMMNELSHRFGLPCADSGQSSVDQRELQKPG >RHN57328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38142182:38147812:1 gene:gene32854 transcript:rna32854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain, protein accelerated cell death 6 MELKLDIEPSEVTEIIPWEVDNQVNLLSEAYHLVYGGSTNLLGSSNLLEIETPTKNTVLHIAASYGNNDIVNLVIEHSPKLLFTFNKNNDSPLHVAARGGHISTVKTLLASYTNIERRDIKMAWLEYSTNSRNDLEDYDEVLNMEDLLCFVNKENAQGNTMLHEAMLRGKSNGGHEIFNVCELYKTEDWLKNSLASCCYEFALEMVNYAKKSVLYLAVENGDEDAVKLILENCPKNDAKPKGLSPIVAAIMKQNQEILSIILENKPIWIHLRDKDGRLPLHYAASIGYLEGVYLLLGTCKCCTIQRDNNGYFPIHLASYGGHVEVVKKLLEYCPDPREMLDTFLQQNILHIAASNGKHDVIRYILENQVGEHRQMINQEDRNGNTPLHLASTFCHPATVYYIVNQNKEKVHLDIVNQNNETALDTVGPLTNNSRFKKRLTSIALKSAGAKRSPRRSAALVYIEQEHEESQRSNANSTKTEQKIKRKELHQQRKEKEKEKEKGLDRYRDRAEKVPNNIVPQYLSAEVPNPTFKDMVETLILVSTLIITASVAACFAVPGEADGKANNLCHAMFQAFIIFITISLFSSISSIIILFWATLGLTELVKFSLKIVMPILGIALISLSLAFIAGLYTVISELTWLANVFLVMTLIFVVVEILLYMLLFLPSSSTSIPLRYISYYPFLFLAWILQRTKIDHGVNPWSYLRYDS >RHN55792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23825368:23825796:1 gene:gene31050 transcript:rna31050 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIICKPFKAKALSQQTQTSTLPLTCPRVCKNQHRGTHPTPHNAEACRHMEASNNADSASLSMKIKETHKFFREGTNTAMFIDLHCNSTQTRHRLRGRESTQIQCHERVLYASASRPAALQGHNFFRGGTNTAMFIDQHCK >RHN44393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4172113:4172868:-1 gene:gene38510 transcript:rna38510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >RHN44687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7387660:7390343:1 gene:gene38836 transcript:rna38836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative perakine reductase MATVGRMKLGSQGMEVSLQGLGCMSMSAFYGPPKPEPDMISLIHHAIQSGVTFLDTSDIYGPHTNEVLLGKALKGVREKVELATKFGVRAGDGKFEICGDPGYVREACEGSLKRLDIDCIDLYYQHRIDTRLPIEVTIGELKKLVEEGKIKYIGLSEASAATIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRWNLVCKHCVLLSSTYLSGMKHLHRHWTRHWHVKPELGIGIVAYSPLGRGFFSTGTKLLDNLPQDDYRKHLPRFQTENLQQNQTIFDKVNELATKKGCTPPQLALAWLHHQGNDVCPIPGTTKIENLNQNIGALSVKLTQEEMVELESLADAVKGGRYGDEISTWKNSDTPPLSSWKAV >RHN41898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33976175:33977782:1 gene:gene48263 transcript:rna48263 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKGSIATFSTNQKHSSKYGVRSISLPTRSHPSTIQIEEELIKLKSWETSSTSKVETICFGLSGLTELYKCIEHLLKLPLTQQALSQHKNEKWVDELLDFPLRFLDLLSKTRDDVLLMKGKVEELQSVLRRRKVGDMENHVAEYWCLRRKMRKECTKSLLLLKQIDGSIGSSFFSLDLNNHLCSIVKVLIEASLITSSILQSLVVFLSSPILRSKVNKWSLVSRLMQKGVFGCDNQNENINELEKVDFGVSSLMIMENEAEKIQSAHGRLEALVVAIEGIENGLECLFKRLINTRVSFLNIISP >RHN61465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35623643:35627517:-1 gene:gene23936 transcript:rna23936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MEDGELDFSNQDVFSSPNMGEFQTSGSMDSFFDELLKDTHACTHTHTCNPPGPDFSHTHTCYHVHTKIVPAEDDQVGTDDTAESAEKKSSKKRPVGNKEAVRKYREKKKARAASLEDEVMKLRALNQHLMRKLQGQAALEAEVARLKCLLVDIRGRIEGEIGSFPYQKPANVNPAMNMPGSHVMNPCNVQCDDRAYCLRPDGKIAAEVASPNEEGFDGCEFESLQCMGGQNLGLKDLRGCGGGPALSNVNSQASSKRKGGSRAAKAG >RHN74719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36124993:36125604:-1 gene:gene10827 transcript:rna10827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MWKWSLDWSGNASEPNRILKLNDSRGSSILLDRGFNSLLNSAEALCLAKIHCVRNVLYELNRGGFPQLKHLRLQDSTELQYIINSTGWVHPYPALLNLETLALQNLFNLEKICHGPIPIQSFVKLKSFEVKGCDKLKILLRYSLVRDLPQLLEIKIADCQMITEIISEFASSR >RHN61811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38542260:38542919:-1 gene:gene24310 transcript:rna24310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease III MQSSRTFIIFTIFLIAILPHATIVQGHSFSPFSSALETLQKQLGYTFKSISLLRRAMTHASFSEENNKAFSILGATIIETSVSFNLLSKDVDISAKDVENFFEG >RHN59788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13144597:13148510:1 gene:gene21940 transcript:rna21940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MGEILKFVYNVILFGSLYLLVIYAERECDTDADCQKKFPGSNQHLLWCNNGFCDCRTH >RHN47625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41081024:41081185:1 gene:gene42246 transcript:rna42246 gene_biotype:protein_coding transcript_biotype:protein_coding MLFWWIGGEKRLTYGGVVVDLRCGGDEVVRESIENVVAVFVVIVWWLGVCGGG >RHN61031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32391707:32394479:-1 gene:gene23441 transcript:rna23441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein Rab11C MAHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVNRWLRELRDHADSNIVIMMAGNKSDLNHLRAVSEDDGQALAEKEGLSFLETSALEATNIEKAFQTILTEIYHIVSKKALAAQEAAGTSLPGQGTTINVADSSANTKRGCCST >RHN74275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31356857:31362874:1 gene:gene10311 transcript:rna10311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein asparagine amidohydrolase MIFVDGVPFSTHSSSTSHSQGVEILFSLLENPILVSASTSFKANPEKKFSVSERSKWVYLFQREYVTVDPAFVDFVGTDEATTCVGVVIRNSRNGMTSVSHMDSPKIVEMGLSQMLSLLVDNSLETEFDVHLIGGFEEVSPQLNDGSIESENDEDLGGYSIPLCSKIVDTLCSREEKFHIRTTCVLGHNTKRDSDGNTYPIFNGFVVETATGTVIPASFDRSSRCPDEIVRRIRVSVSYEDSSWNGKLLETYETATDSFRISPCCWTRRQYHIALSLQHYSDSEILSICSTSPTAEGPDFVDDLRRKWNYIIEHPHWTETFPKRQPRIFTRSADGKWKRC >RHN61234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34043963:34045186:-1 gene:gene23675 transcript:rna23675 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVSMISQCRLLAQRLKTVNYFVTRRPWLDLYGVNVRPVAPFGSASRKPHVDSSLLHRCLPDELLFEVLYFT >RHN50908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11735913:11736224:1 gene:gene35261 transcript:rna35261 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVLHRKMIDKGSISVPTILLKWKTLPPKYGTWNFAANADVFVVISDQPDKIKEYEAQDVKCKELTYTIQEKSLEDEGMDFQVNSWYLDNASWNQSWEFPS >RHN75480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42589192:42594031:1 gene:gene11697 transcript:rna11697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MTRLSSEQVLKDNNAVNPSSISSLHLTHKALSDVSCLASFNKLEKLDLKFNNLTSLEGLRACVTLKWLSVVENKLESLEGIQGLTKLTVLNAGKNKLKSMDEIGSLSTIRALILNDNEIVSICNLDQMKELNTLVLSKNPIRKIGEALKKVKSITKLSLSHCQLEGIDTSLKFCVELTELRLAHNDIKSLPEELMHNSKLRNLDLGNNVIAKWSDIKVLKSLTKLRNLNLQGNPVATNEKVIRKIKNALPKLQVFNAKPIDKDTKNEKGHMTDDAHDFSFDHVDQNEDDHLEAADKRKSNKKRKETADASEKEAGVYDKENTGHNKDNGNKKKDKLTGTVDPDTKNKSTKKKLKKDDNKPSEKALALEENVNRTEKKKKNRKNKEQSEFDIIDDAEASFAEIFNIKDQENLNHGGEMKLQDQVPKDLKLVSSIETLPVKHKSAKMHNVESLSSPGTEIGMGGPSTWGD >RHN49757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:894549:895386:-1 gene:gene33965 transcript:rna33965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligomeric Golgi complex subunit 7 protein MFKVAEGATTLYIEQLRGVQYITDRGAQQLSVDIDYLSNVLSALSMPIPAVLATFHSCLSTSRDQLKDLVKTDSANQLDLPTANLVCKMRRVNLDS >RHN74338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31941099:31945542:-1 gene:gene10379 transcript:rna10379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein MGVNVNVRILCVCVVLFMAIEAMGVHQTAPMDQFLENKNIFKKKRPRSRSRPIDYTFKLPIAQTSWPKGNGFAGGFLDLGGLQVYQASTFSKVWGAYEGGLDNQGASVYEPTGIPKGFSMLGSYSQPNNKPLFGYVFVAKDVSSSTTGRTLKPPVDYTLVSNTASFKATQDSTLYIWLPIAPNGYKALGHVVTTTQDKPSLDKIMCVRSDLTDQCESSSWIWGSNNFNFYNVRPINRGTQAPGVRVGTFFAQNGGNTNPPSISCLKNLNSISQIMPNKKQIEAMLQVYSPFLYLHSDEEYLPSSVNWFFSNGALLYKKGEESNPVPIAQNGTNLPQDPNTDGAYWIDLPADAANKERVKKGNLQSAESYVHVKPMYGGTFTDIAMWVFYPFNGPGRAKVEFLNVKLGKIGEHVGDWEHVTLRVSNLDGQLWHVYFSQHNLGSWIDSSQLEFQDGIGATKRPVVYASLHGHASYPHAGLVLLGKNGIGARDDTNKGKNVMDMGKFVLVSAEYLGSEVKEPAWLNYFREWGPHVDYSLDDELKNVEKLLPGKLKNGFEDIIRSLPKEALGEAGPTGPKQKNNWSGDEV >RHN53269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:518859:520000:-1 gene:gene28160 transcript:rna28160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, isopenicillin N synthase MCSIIEQFATTVSNLAQILANILAEKLGHQSSFFKENCLPNTCYLRLNRYPPCPIDFRIHGLMPHTDSDFLTILYQDQVGGLQLVKDGKWVAVKPNPDALIINIGDLFQAWSNGVYKSVEHRVVTNPRVERFSVAYFLCPSNDTMIESCKEPSIYRKFSFKEYRQQVRDDVQKLGSKIGLPRFIIN >RHN47409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39503694:39504603:1 gene:gene42004 transcript:rna42004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MPQILMFVYTFIIFFSPFFVVTNGTTSCITDDDCPKAVSFLVFKCIDNICVERLVLSKCKSDQ >RHN45785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25558400:25562063:-1 gene:gene40184 transcript:rna40184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MVNPHFPRFRIRPFTFFFTLIALCIFFTLSFLFTINSYSSHHHQQQQQQQNLDSDGGVAHGFDSIRRSVLALKTDPLKPRLDQIRKQAEDHKSLALMYASYARKLKLESSKLVRIFAELSRNFSDLMSKPRYRNLFSNDAVPVDESVVRQLEKEVKERIKTTRQVIGEAKESFDNQLKIQKLKDTIFAVNEQLTKAKKQGAFSSLIAAKSIPKSLHCLSMRLMEERIAHPEKYIDEGKPIPPEVEDPNLYHYALFSDNVVAASVVVNSATKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKDYNGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQKFYFENKLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHKVLFLDDDIVVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKAKFNPKACAWAYGMNFFDLDAWRRVKCTEEYHYWQNLNENRTLWKLGTLPPGLITYYSTTKPLDKSWHVLGLGYNPSISMDEINNAAVVHFNGNMKPWLDIAMTQFKPLWSKYVDVELEFVQACNFGI >RHN72236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5995395:6002658:-1 gene:gene7926 transcript:rna7926 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIQANLLPNLSFNHFQTSTYLVGVGNLPCRVGFRKSRKKLPSYSCIRCEKNDEIKKDEENKDEVIDYVTVERPPYYSYMDSTSGQLEPASGARASIPEVEYWPEGTVEQVRAARAPTPMGESLGSPSYGSNPGSRRKSYRTSVSGSSSEGNVEGNVELDDPGLPEVLVEPQEDSEEFTSDYVVYQSEPEEEETEYKLDKKFGAPHPFIDPKIKKPIEGTLTSEELWWNWRKPEKEQWSRWQRRRPDTETVFLKAMAETGQVKLYGEEPTLTETALYRARRHLYKEERLEAEQEKLERIGPIAYYSEWVKAWKRDTSHEAIQKHFEETGEDETTQLIEMFSNQTDREYRVMMGTDVRIRRDPLAMRMREDQIKQIWGGDPVYPTVNYIQDPDEVIDYRGADFHEPTPNMLPFLKEHGKIISREELEKILEKQKTEQVEMTDIDEAMAKAVDIGENDDEEDSDVDVEEVVGEGEEEEEVEAEGDEEEDESKIDRNWSVLKTTPQLRKSKPKPKKEGPMTLDEAVGDSENLTDFLLDFEEE >RHN41680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32017082:32019148:1 gene:gene48030 transcript:rna48030 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFSRKYSGMYFWSVLLRSELFSSCSLKLIDGASHLRSKYWLIHNFIFHFFSIFCLILLFIGIFVQQ >RHN55980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26320597:26321149:-1 gene:gene31285 transcript:rna31285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDKTLKFIYAMFSCLYLFMVTKEVYAKSICKVDDDCPQRFVMYPLMFMCIKNICRLVNE >RHN54777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12138183:12138972:-1 gene:gene29861 transcript:rna29861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MRTLSVGTIFKIRSGQTGGEIIEAVEEVVHPMCKEAKNEDGKKPYDVFFESHEELVKAGEKWTKDTATCYIAVASLVLTIMFAAAFTILGGNNQTGTPISLDQNTFKMFLLADSVSIITSATSVLFFISILTSRCHAIDFLKVLPMKLITGLALLLFSVCSMMVAFYAALSMILKQNHIGSRGVVLGPILSLGSVPVFILLASQIRFIWRILYCTMKNRIKG >RHN52725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38134349:38135032:-1 gene:gene37426 transcript:rna37426 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVEFIPMCGSIRYTKRKIYHKLKSNKEVSDCTINENVAMLGSKNLNFFEMMEKVQPKILRLSTNLWKRLRDGYIHGMQCMAVHVSHLNNGEICFLKKIHHDGDEGDVEVLYLKAS >RHN74452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33521091:33521681:-1 gene:gene10528 transcript:rna10528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MVEQQVSSEMNKLTISETMISLITSDNVVFKVKPSIAKEMATVQSFVDESDGKITTVPLHNVTSSELPLIIEYCEKNVAGEINKAFEAEFVKNLDNEEVKDLFLAANYLDMKKLLDFTSQVIADRIANKSVEYEKLREELAWTFTGVDEDEDEDEDEDADEKIEDKVENKKDVEEDQIE >RHN70674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53138806:53141973:-1 gene:gene19283 transcript:rna19283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative enoyl-CoA hydratase MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLAAQQVHENELLQAANQPLPDDDDDAFE >RHN68056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32405860:32412152:-1 gene:gene16346 transcript:rna16346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MVKKNNKRKKEEIAEDYCFVCKDGGEMRVCDFGDCLKTYHAECVKQDASFLKNDDRWCCASHSCYQCGGISKFMCLCCTIAFCGKCFYGAEFALVKGNKGFCRHCSKLAFLIEKNADVDSDGEKVDMRDPDTIESYFFEYYQVIKKKEGLNSQDVYTARDIIKNGKNKYEVGEGEDDTGESDASDFIGSDCDDLVGTSRVKSVRRKKCSEKLKSIIKGKVVKHTKKDFVGWGSRSLIDFLKSIGRDTTEALSELDVAWIIIQYCHTNQLFDPKKKKIVICDAKLRNLLRRRSVNKNNIQNLLESHFADNFEETDGIITSSEERDNGNGAFKFPKQGNLNSTTKPCQNILSEEQPSVFAAIINSNMKLVYLKRSLIEELLKQPETFDGKVLGSYVRTKSDPNDYLQKNSHLLLQVIGIKRSSKQADINQEILLRLSYVPKDVPISQISDVDFSEHSWVSDVNEECQDLYQRMTNGMLKKPTVLELEQKARSLHEDITKHWISREMALLQHRIDLANEKGRRREYPFMICLLMLPHIRS >RHN80891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41610872:41614039:1 gene:gene4869 transcript:rna4869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MAKEASFCLLFLSSLLISCSGSLVGFSYHERGDTLTSFLQHSKVSSSQIRAFVTDHWILSTLTNSKLLVDLYLNKSQVEKFITSKPSAVSELKAQLVNFLPHLNIKSIIVSCGSECLLQNELPLIMHALKSIHSILSDLHISKEVKISVAFPLQVLRKLNASQEHEIRRLLSFIKETKSFVMIEDNIDGELRMDDHFVQTIIKRANLAASVLPCKDVPLVLTIKSSVIPSSIEVTQFSKRVSKYLEAKRIAALYVELHTTEDSSMKELKREEEGMFHLSRREILSKFHRRKIIDNTNSPTNTVYPTNPTPVITPSDTPTIIAVPSTNPVTISPTNPAAMPVTVPSTTPVVPLAPTTPTITPAPVFNPATTPTTVPGAPPVTSYPPPVTSYPPPLGNVPVVNPQQPPPSNTNAPSIQGQSWCVAKTGAPQASLQSALDYACGNGADCSQIQQGASCYSPVTLQNHASFAFNSYYQKKPAPTSCDFGGAAMLVSSNPSSGSCIYPSSSSSSSSTSTSPMISSPAPPTQSTSTSIPPPSLTTPSPSIPTIAPPTMSTAPSSIPTAPPTSSGTFGYGTPPSVLNSSNPASGTMPDFGSDSPPIVNTTSASHPRALKPFTGCIVLMIPFVTASLSMRL >RHN57525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39784285:39786309:-1 gene:gene33081 transcript:rna33081 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEEKKILNFVSWRNAGILQPIRDQRPETRRYLFMQTILILIYKVIKHLIICI >RHN41664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31907982:31910932:-1 gene:gene48008 transcript:rna48008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MDSNRFSFSEPFLKTRRKLFSEFSLCVGASLLLCSLFFLSNSLKVPKNSVFLPVFNNSNTNSSFVSHPFSFNSTNHSCVYLNNASEISLKRIEEESVIVGRISEVNVSSVGVEKKNSSFVSPNVKNNVNVTNDASYNGNTSIVEGRNEDLVRDKNVTLITHSDEQVEKMNVGNLYDKCDIFDGKWVRDDSKPYYPLGSCPFIDRDFNCHLNGRLDLEYVKWKWKPNKCHIPSLNATDFLERLRGQRLVFVGDSLNRNMWESLVCILRQSLRYKKRVFEISGKREFKKKGVYAFRFEASFMFFSSTLCQDYNCSVDFVASPFLVRESTFKGKNGSFETLRLDLMDHTTSRYQDANIIVFNTGHWWTHEKTSKGEEYYQEGNHVYPRLKALDAYMRALTTWAKWVDRKINANHTQVFFRGYSVTHFWGGQWNSGGQCHKETEPIYNETYLQKHPSKMRALEHVIQNMKTEVIYMNISRLTDYRKDGHPSVYRKDYKTSMKQNSSSLYEDCSHWCLPGVPDTWNELLYVSLLKYGKGTWKI >RHN68382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35011053:35017176:1 gene:gene16725 transcript:rna16725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arginyltransferase MSSTKRNEASSSSSKTPKESVVADCGKRRSSCGYCRSPRHNSISHGMVAYSLTVYDYQALLDRGWRRSGSFLYKPEMEKTCCPSYTIRLKASDFVPSKEQLRVSRRMQRFLDGTLDVKRVDAMEEPNTSNNSESLVHNNPSCSMSEESPSVSNKEVDEVEKSLHYLSDQIDNAVHMFTENGEFPSGIQLPKASVRMVSQGKKKVLANGSEDLLYSSNIAFQVAASINRAKSRDKDGNDSKPSRDSDKENGFSPKIIAEKLVASLDSTVNISGLSIRACNGHVNFYTSSKQVSQNGTVQKAAIPKKSATNRNIGGNRKLEIRLNRSSFDPEEYALYRKYQRKVHNDKPENYTESSYRRFLVDTPLIYVSHTGDNTVPPCGFGSFHQQYLVDGKLVAVGVIDILPNCLSSKYLFWDPDFAFLSLGKYSALQEIGWVKDNQAYCPSLQHYYLGYYIHSCNKMRYKAAYRPSELLCPLRYQWVSFDIARPLLDRKRYVVLSDASILQNGESSPFQVAEDQDTMLSQVDESGQEDANDVPMHGDEDEDEEMVESELESSDDEPDIETTSNGEPENGDFSKVLLGIKGSRVRYKDLQSVFGREQQRYLESQLQKYRRVVGPVLSERMVYSLG >RHN76809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1445986:1452920:1 gene:gene187 transcript:rna187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CBS domain, tetratricopeptide-like helical domain, aldolase-type TIM barrel MLLTHAFSSIHSSYIPISSCSSSSPIRRRLFTTPRKTNLKHLTSRIVQLSRRKQLRQILNEIEVAKKRFGKLNTIVKNAVMEACVRCGDIDSALNIFDEMKNPDACGVDTVTYATLLKGLGKAHRFDEAFRLLESVENGTAVGNPKLSAPLVFGLLNALAEAGDLRRANGLLACYGSVLREGEHFSVSVYNLLIKGHINSGCPHTAINMLNEILHQGIMPDRLTYNTLILACVQSEKLDVAMQLFGEMKEKAQEFIHDDLFPDIVTYTTLLKGLGQAKDLVSVMQIVLEMKSCSQLYIDRTAYTAIVDALLKCGSVKGALCIFGEIQKQVGWNPELRPKPHLYLSMMRAFAFRGDYDLVKNLHKRILPDTSGTILPVSQEEADHLLMEAALNAGQVDVATKTLMYIVSRWKGISWTSHGGMVASRIEALSGFSASLFNPFLLPQVSPSEPIEGFMMPFKATRPLLGTIKLKDVVSRFFNDAVVPIVDEWGSCTGLLHRKDCTQLDAPLSTMMRSPPPSVAASTSVGHVIDLILEKGYPMVIILNCTDSYATSYGCRAVGVFTLEQLNRLIKPVSEVKETDLSLCRK >RHN59030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6823697:6826549:-1 gene:gene21013 transcript:rna21013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UVR domain, NTF2-like domain-containing protein MALYATALSFNGLSSTLKEFSFLPGSVINSFHKRHDVCLQSFNPNKLVFGKSFERGDELCLLPNQCLKLQMRRFRTRQGVKSEDAESMLSSEDIALDENTLEEELQNAIAEENYAKAAEIRDTLKNLQKDSNTAVFGANSKFYDSFRNGDLAAMQGMWAKMDEVCCVHPGMKGISGYDDVIESWDFVWANYEFPLQIKLEDIKVHARGDMGYVTCMEFVKAKGGRWGGQFVTNVFERINGEWFICNHHASPVDMN >RHN59601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12374593:12376740:-1 gene:gene21652 transcript:rna21652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADPH:quinone reductase MASKLMHAVQYDSYGGGPSGLKHVEVPVPIPKTNEVLIKLEATSINPVDWKIQSGLLRPLLPRKFPFIPCTDVSGEVVEVGPQVNDFKVGDKVITKLTNPYAGGLAEFAVVAESSTAARPSEVSAAEAASLPVAGQTARDVLTQVGGVKFDGTGEPKNILVTAASGGVGVFVVQLAKLGNNHVTATCGARNIDLIKSLGADEVLDYKTPEGASLKSPSGRKYDVVIHCTAGIPWSVFEPNLSENGVVADITPGPRSMWTFAVKKLTFSKKQWVPFLLTPTREGLEHLGQLVKDGKLKTIIDSKFPLSKAEDAWAKSIDGHATGKIIVEQ >RHN44841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9047708:9048211:-1 gene:gene39007 transcript:rna39007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MFWPFKVISGLNDKPMIAVKYKGQEKNLCAEEISSIILANMKKIAEAYLKSPVKNAVVTVPAYFNDSQRKATMNAGAIAGLNVMRIINDPTAAAIAYGLDNKGRCDGERNIFVFDLGGGTFDVSLLTIKGDVFEVKATAGNTHLGGEDFDNRMCWNKMCFTMNLIKF >RHN60191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22958060:22960196:-1 gene:gene22444 transcript:rna22444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVRAPYFDKNGTKKGAWSKEEDERLIAHIERHGHPNWRQLPRVAGLARCGKSCRLRWMNYLRPNLKRGNYTQKEEQMIMELHKKHGNKWSLIAENLPGRSDNEIKNYWHSHLQKFSKCNDSKLTQEIESPKTTSEVVSVDSHHILESSFSMSSEMSYPNNKVNSPSISSSHVESNMDFYKRDQDSVVSWETWDGFSNNFWTEPFVYENALGQDYFPISCYEEEVEDPFVLW >RHN81901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49654262:49654626:-1 gene:gene5998 transcript:rna5998 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIWDIVVRNWMSSLAIGFDITSSSGLFLFIRGLKFPCAVFLHFGKDFLSSFSDIACVVLLVNVYGCYH >RHN72578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8824923:8831602:-1 gene:gene8305 transcript:rna8305 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KNOX1 MEGSSNGSCSYVMGAFGENSGGLCPPMMMMPLVTSSHHNAHHPINSNNNNTNANNTTGLFLPIPNSTNNNNNHYTNCNNNTSSIMLQNNHQNTPGLGYYFMDNINNHGSSSSSSSSVKSKIMAHPHYHRLLEAYINCQKVGAPSEVVARLEEACATAVRMGGDAVGSGCLGEDPALDQFMEAYCEMLIKYEQELSKPLKEAMLFLQRIEVQFKNLTVSSSSDNIACSEGGDRNGSSEEDHVDLYNNMIDPQAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLEWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDPSHPHYYMDNVLTNSYPMDLSNTML >RHN58268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:445697:445980:-1 gene:gene20160 transcript:rna20160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MLLNKVKIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKAGGNTKGADDE >RHN39234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5152120:5156584:1 gene:gene45238 transcript:rna45238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKLNKFILINDQQLTISLSTKMEQEEEDQLSTLPKIILHNILSRLPEKDAARTSVLSKSWLDTWYTFPILSFSDGIFIGTFPQPREGFLRKRKNFIDYMKRTLLRFYDNELAIKQFKLMLNNFELRSMSADVDLWLNLASECGVEVLELCLPQSRGECYVLPKGIIEGKSFVKLELMGGIRVDQSFMNNSIKCFSLRVLSLWEVLCEYEHAIENLISRCPLIEHITLKCCSVLSPSVTTNHLFESDTPGIMKSLSMRGLSKLKTVDVQGIQEVYIDAPCLENFCYCPGDFDAPFKIDFERCKNLKKLNLLSLMSIIITDKWFLELFPKFPFLESLKLDNCTMSEKINISSVQLKVLELFDCSNLKEVNIDAPNLLLCVYCGVGSSEPIISFLRSSSQLKVNIDIPIHYRHLCNLREFVQNIKPQNVLTSLSLLIVQPTVDVLHPAVFQESPPPPSINHLHLQSVPKTETLFSSIVNILLSSCRPAFISLNPHPYFCSKAFIQFLYETLMERKGDDCLCSSSDTKCWWHGLKNVKVISSVKIDDNIDFKTMLELLPIGEKISFMLEF >RHN41792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33040572:33046861:1 gene:gene48153 transcript:rna48153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MGLGGDIWKAHSAMAVVQLFTGGYHVITKLALNVGVNQIVFCVFRDLLALSILAPIAFFRERRTRPPLTKSLVTSFFFLGLTGIFGNHLLFLIGLSYTNPTYAAAIQPATPVFTFLLAIMMGTERVNLLRYEGLAKVGGILVCVLGALVMVLYRGPALVGYSEMDLISHSEITARGQPEPSGWLVSGLMNLGLDHFHVGVLCLIGNCMCMATFLSIQAPILKKYPANLSVTAYSYFFGALLMVTTSYFVTDESTDWSLTQSETFAVLYAGIIASALNYGIITWSNKILGPAMVALYNPLQPGAAALLSRIFIGSPIYMGSVLGGSLIITGLYAVTWASYRERQAAAGVIPHHSSKRVAESLHKTSFPRVNIFSGLSTKPSD >RHN38540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33742:34411:1 gene:gene44492 transcript:rna44492 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFQDPEENMFDRIILCIATSSRFRSIIYRSVFFISSLPGLKLLCIKRVILPFLN >RHN44575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6321134:6324103:1 gene:gene38710 transcript:rna38710 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVKASRSSRRASFFACSATWAAKISFFSRFFNSFSAIDTSSGFKSGLCFSSSSSSDSSSSEGGRSMSSVYAEAFGAGFGFALFEDAFLGLSAFSSMPANMAETENFSFLETSLLPGLVFVDGPASSDFFLPIVEN >RHN40305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15165680:15171964:1 gene:gene46448 transcript:rna46448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MQTPSGLLGPKAFRYSTFISFRGSDTRCGFSGFLNKYLIDRGFRTFFDDGELERGTQITVEIPKAIEESRIFIPVLSENYASSSFCLDELVKILEEFKKGNGRWVFPVFYYINISDVKNQTGSYGQALAVHKNRVMPERFEKWINALASVADFRGCHMERARGIYEFRYIYEIIQEVSKHVACSIGLDHRVEKVMRYLNSSPRSDDDGVCLVGICGVPGIGKTTLARGVYHFGGGTEFDSCCFFDNVGEYVKKHGLVHLQQMLLSAIVGHNNSTMFENVDERVWKIKHMLNQKKVFLVLEDVHDSEVLKAIVKLSTFFGSGSKVIITAREKCFLEFHGIKRIYEVERMNKTEAFQLLNLKAFDSMNISPCHVTILEGLETYASGHPFILEMIGSYLSGKSMEECESALHQYKEISNRDIKKILQVSFDALEKSQQNMLIHIALHLREQELEMVENLLHRKYGVCPKYDIRVLLNKSLIKINENGHVIVHVLTQDMVRDDIPVEDLG >RHN44759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8176852:8178366:1 gene:gene38920 transcript:rna38920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MEITYKNTSALSLIFTFILFISSLNLSFSTTICNTNDKNVLLGIKSQFNNASVFTTWDPITDCCKNWSGIECNSNGRVTMLAVSDTNDVIGEIPTSVVNLPFLQFFTFAVFPGVSGTIPPAIAKLTNLVHLDFSLDSLTGPIPDFLGQLKNLDVIDLSGNRFTGQIPASLGRLTKLRSANLGSNQLSGPIPASLGMIKSLEQLYIYINNLSGPIPASLAQLPKLNELSLFQNQLTGSIPESFGSFKNPALNIDLSSNNLSGPIPSSFGKAKITALVLSKNKFSGDASFLFGKDKTVLTTMDLSNNIFKFDFSNVDLSPGLKNLDISHNMIFGSLPEKLGQLPLQRLDVSFNQLCGQIPTGRRLKQFSPTKFSNNTCLCGLPLPACT >RHN43321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44905994:44906507:1 gene:gene49874 transcript:rna49874 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTTTYKYIIKLYLIKFKSFNHCFTLQAYNFWTIGNNKLNASPPPLQTTKQ >RHN61813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38550029:38551785:-1 gene:gene24312 transcript:rna24312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucoside 2''-O-glucosyltransferase MASSSPLHIAMYPWFAMGHQTPFLHLANKLAKKGHKITFFTPKSAQSKLEPFNLYPQLITFITIKVPHVEGLPLNAETTADVPYPLHPHIMTAMDLTQPDIETHLTNLKPQIVFYDFTHWIPSLTKRLGIKAFHYCIISSIMVGYSLTPARYSQGNNLTEFDLMQPPYGYPDSSIRLHSHEAKALAAMRKNTFGSNVLFYDRQAIALNEADALGYRTCREIEGPYLDYVQKQFNKSVLTSGPVLQILENPNYVLDEKWATWLGGFKADSVVYCCFGSECTLIPNQFQELILGLELSGMPFFAALKPPFGFATIEEALPEGLAERIKGRGVVYGGWVQQQLILEHPSVGCFITHCGSGSLSEALVNKCQLVLLPNFGDRILNARIMANNLKVGVEVEKDEDGLYTKDSVCKAVSIVMDDENETSKTVRANHAKIREMLLNKDLESSYIDNFCKKLQEIVEKKN >RHN48634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49029644:49030053:-1 gene:gene43373 transcript:rna43373 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFQSHSRTSESHFGLHDQPTTSYNLMNTDAISNLPEQGTWDQYNSDNCAPYPTTSKRLIKFKRVYSTRTRGRSSPLHSLARKLDRFKVRTVVVEVASNPESEQNK >RHN52608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37066976:37068809:-1 gene:gene37302 transcript:rna37302 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQKSGSCFKPAKRVLDFTLTEGSDDLDNRVYMSIPSRGYSENFKSFYSVSPPLERGALEIMNILGEKQVED >RHN76850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1783090:1788681:-1 gene:gene231 transcript:rna231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mRNA splicing factor Cwf21 domain-containing protein MYNGIGLQTPRGSGTNGYIQSNKFFVKPRTSKVAENMKGFEGDQGTAGVSRKANKEILEHDRKRQIQLKLVILEDKLIDQGYTEAEIAEKLEEARNNLEAAADENDGSNMDKISDTQSHQIAARKEKQMETLKAALGIISEADELNTDGNDEGAGNEGKDVSFADAKHILKPEHSFLDRDFSRKKQLEEVQKEETTKKKSVKDTKRHKKGGTPKRKYKDDSSDSDSSTDDEKTGRRKNSRVSTDSSDESDSDSDAKRKVKAKKKQSTSKHRKKSRVDDSDDTDFSSDSEDSKSARKSNKKPVKASKRHDSDGDSDHHEGVPRRRTSEVKRHAKTSKRHDSEEESDADSEKEKHGRLEKQKTRRYGSIDEDSGRGSVRNASGQDKHVKRRTYYSSDESSSDSETGSSDSDHRYGRTKKVGSVAKRGEPKEEINDRGNAAGKGKNSAKVDGLDSLRKSYGRDYKEGPDSRSQTMAKGEKKIDEDRDRDRETVNFAKHDGQDRKFGKTESESKSRIYQNENEERDGYSKSARIRGNDNETSDQRGRNYNRDVESQSVGRIDRNREDYETRRKGRNDNDYKRDQDNHEEKKHGRNEDDSRDGKHKRDEEDRREKKSLRDEDVRGERRHGKDEDYPTGRKHLRDEDDHGERKIRRIEDEHAERKSLRDGDDYGERKNLRDDVGSRERKNSLDGDVRGEKRSSRDGDDYGERKNLRDGNGRGEKRSSRDGDDYGERKNLRDEGGRGERKNSQDGDGRREKSSRDGDDLRETKTRRIEDDRTGRKTLRDEDDFGERKHSRDEGRHREAQNKRDGDGSVEIKNSRYEDDRKERKNSREEDDRKVRRNSREDDDHRERKSSRDEDVRGERKYRGDDDYHGERKRSRRDDDDGHGERRHYRRDDDDRGERKHRRE >RHN44102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1688239:1689484:-1 gene:gene38161 transcript:rna38161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MASTNLTHSTQEQLKDEQLEAVGEECKNLILSLPKEYGFGNQYYYFFQGFWTTPSQIQSIISFQNDFQAKDSDVVIASMPKSGTTWLKALTFAIINRHHFSSLENHPLLKTNPHELIPFFEFHIYVDTVCQFPKVDLLNMIEPRLFGTHIPFTSLAKSIKESNCKIIYICRNPFDTFVSYWNFMNKIELNQSLEDDFERYCKGICHVGPFWDHMLGYLKESIARPNKILFLQYEDLKEDINFHVKRIAEFLGCPFTQEEESNGVIENIINLCSFETLKELDANKSGGLGWIIEKKYYFRKAEIGDWINYLSPSMVEKLSKIIEEKLSGSGISFKVCP >RHN38433.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000016.1:24714:24949:-1 gene:gene50744 transcript:rna50744 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLQVILSSWWWLIVVFRALIRGMRIFILFYCCFPPMYQILELDDVALCWLTFVV >RHN71177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56982212:56982538:-1 gene:gene19827 transcript:rna19827 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEDVRERRIREGRVREGKPAMKNSARKEMEVEYPYVPKTRRRRGLRIGGGDGSGSHSHMDYSSQVVDPTPAHDEAVYEEGFVGYDRMEEDIMFYQLQDETEDENF >RHN74896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37624440:37625893:-1 gene:gene11030 transcript:rna11030 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAGSFTHMPVLPTLKYTSKKSMTITIKCANNKNKTKVYAMKPIVRPGGGLGTDPQSTINRRPIGEPTGSTGSEDLGTDPQSTIDSHDGEPTGSTGSEGLGTDPQMENPQLPTNPPKNSDDDEDQLDNTSAFNLTGNE >RHN51198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15003881:15004036:1 gene:gene35588 transcript:rna35588 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S3 MGQKIHLLGFRLGTTQNHDSIWFAQPGNYSENLKEDKIIRDCHKNYIQKTS >RHN74864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37292903:37293637:-1 gene:gene10993 transcript:rna10993 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDCILVLFCAALLLHFCSGFGYVGIFLCFIVGSIDLLAYGLNASTWICFLWFEDTSHGYASRLACIWFFLSRLGIGGHLFFNFGTLVLLLHGTFGVQFVQHMTSFHCKLWPFLALLFLLDIIIDFGYPYSSCLVLLFMMVKLC >RHN67719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29700007:29700669:1 gene:gene15939 transcript:rna15939 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLEQQRPKNSNKVVRPFSHGPSSSFRPWQPSWKNDIGKQEASSSGVKATPQDNNNLNIEKSNLGYIEKNHMNGGRATTEKIQNLTMKTVGTDMVQLEEQLRHTKSNIVVRPFSHSASSTFRPWKPSWNNDFAKQEASNNGVKLPTQIHNSKLNIEKSNLGHIEKNQFSRGGIIAGELFFLLQIIG >RHN38952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3018934:3020617:1 gene:gene44930 transcript:rna44930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MKKGEVALLTITPEYAFGSSESQQELAVVPPNSTVYYEVELVSFVKAKEVSDMNTEEKIEAAREKRQEGLALVYAAEYARASKRFQKALKFIKYETSFPDEDREIVSLRFSCNLGNACCLMELKDYERANKYFKVWLLSPIL >RHN77113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4192359:4194495:-1 gene:gene535 transcript:rna535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MQWPIEELEKLGGNQINITGETLQSGSTLEVKGITASQADVEVLFGLPDLQSAELLEPSETNPQELCKEQYASRKCMIGPFGLQALASKDQTEKTTISFRIYRVADHYKCLMISDQTRSSLRQDLKKLIYATIFDIDPNLKTISLRSLIDRSIIESFGDGGKACITNRVYPLLAIEKYAHLYVFNNGSQSVAISQLNAWSMKQAEFRVENSI >RHN40695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19618945:19627445:-1 gene:gene46888 transcript:rna46888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-Pl-6 family MEQPRFNEQLHCNAMERRNEEVHPGSQSVMQSYLDSMYANTYTSPSEHSSTSDVKSVQNYSIQTGEEFAFEFIRDRVNLKNPVFSNVGDSNSTFNGRELNDVLGISHSGFGNCSGVSVLSTVEKGSAEFNRQRTWLHGDQSKYGSARPTARTPLNQENGQFGRGYASYGGSKRRSSMMMKCLCSFGGRILPRPRDGKLRYVGGKTHLIRLRKDISWLELSQKAVFIYNLVHVLKYQLPGEELDALVSVTTDEDLQNMMEEYNLIEDREPPERLRIFLFSEDDWEDAQNALRSISNNSEVQYVVAINGIDLGSINNSTALGVSFSANDVSEFESKPIIRESSSVAVQAIGVRNTPLTNKSDTLLSTQSLQQVSTMSSNAFETGQLPNGDQMTQAAEFSYKHPVHQMTVDVRITPVTNKSDTSLATQSSQQVLITSSISHETDQHTNGGQMTHDAEICRQYPVQQMTVGVRNAPLTNKSDTSLVTQSSQQVLRTSSVAQVSRQYPVQQTTLDVWNTPLTNKSDTSLGTQSSQQILTMSSNAYETSPLTNGDQNTQTAETSRQYPVQQMTVGVRNAPLTNKSDTSLVTQSSQQVLRTSSIASETDQLTNGGQITQAAEVSRQYPVQQMALDVRNTPLTNNSDTLLATQSSQKVLRTSSIAHETGPLTSSDQMTSSIAHETGPQTNDDQMTQAAVVNCQCPVHQMTVGVRNTPLTNKSDTSLANQSSQQVLRTSSIAHETGPLTSGDQMTSSIAHETGPLTNGDQMMQAAEVSRQYPPVHQMTVGVRDAPSTNKSDTSLATQSSQQVLGSSSNAYETNSLTNGGQMTQAAEISRQYPVHLGLHPSHNPVVGETPISMAPHLLKNQPGILNKDYPPSGVHIQTSEVSSAQVKTIGDNNSGKQGSDQGNVLSLETPSPCLSVGVTMPEEHLPSFPSTQKVQHQDCEEGSLSSSSCVPNYVNSYSNAIDLTCLHPSPVPKRVYCSERTPREQVEVLNQSSKSDDTHSSHFHVSGLLSDIKPVGPVTESGDNLHDGNLLDAVKKPTISPTPFPADDHTVDNGFANDQMNKPLLDTNSEMKLNLSEHMDPELKHVLASNEGVKEVETKDNHIKPFCDETETKNGKSDLPAVHHVSSVERLDVIASSLPEIDWGEAYRKESNDSHVVQELPVSVAGNITEVVSQDFPANVTNQVEGDILIDIDDRFPREMLSDMYSKAILEEDPSILHPPSTDGIGYSVNMENHEPKSWSYFGKLAQEGLDNVSPIDKDHLGFSHHVTPQTTDRVPVDCENSHLNFGEENQDLHRRIETETHVLNSNYDQSQLSDTGSVQFDGRMENQRAPESEYEDGKYESKNCNRSPHDSSLGEIAINTVQVIKNDDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFRGSISEQERLTLEFWQEADILSKLHHPNVVALYGVVQDGPGGTMATVTEFMVDGALKHVLLRKDKYLDHRKKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPLRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGNSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGGIIGGIVNNTLRPAIPSYCDLEWKTLMEECWAPNPVARPSFTQIASRLRIMSAAATETKPQGNKPSK >RHN68900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39453964:39459313:1 gene:gene17316 transcript:rna17316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan 1,3-beta-glucosidase MGKSSITVVGVLLLCFLVSFSEAEYRKYKDPKVPLNRRIKDLMSRMTLEEKIGQMTQLERSVATPEAMTKYFIGSVLSGGGSVPAEKASAETWVKMVNQIQNAALSTPLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGVTRDPVLIKKIGEATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPKIVKTMTEIIPGLQGDIPGNSRKGTPFVAGKNKVAACAKHFVGDGGTTKGINENNTVISYKGLLGIHMPAYYDSVIKGVSTVMISYTSWNGKKMHANRDLVTGYLKNKLRFRGFVISDWQGIDRITSPPHANYSYSVEAGVSAGIDMIMVPYNFTEFIDDLTFQVKNNIIPISRIDDAVARILRVKFTMGLFENPLADLSLINQLGSKEHRELAREAVRKSLVLLKNGKYANKPLLPLPKKASKVLVAGSHADNLGNQCGGWTITWQGLSGSDLTTGTTILDGIKQTVDPATEVVYNENPDANFIKSNKFSYAIVIVGEKPYAETFGDSLNLTIAEPGPSTITNVCGSIQCVVVLVTGRPVVIQPYLSKIDALVAAWLPGTEGQGVADVLYGDFEFTGKLARTWFKTVDQLPMNVGDKHYDPLFPFGFGLTTNLTKY >RHN56004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26663125:26663469:1 gene:gene31316 transcript:rna31316 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGEKKKKKHGQYTENGMVITVYVESSRARSSNKSSKKTKPQYESKTRRGCDRKAQLLAYSLLSHLQLCCKLLYCGKKQANVVDAAAIAVIVLLWRRLKLLLYCNHICSYIS >RHN58770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4701790:4702024:1 gene:gene20713 transcript:rna20713 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLYFFSLLSTFFFSFTLLFSVPLLRNSNNNITKKTPKIKSLSHKLNSTKAFRFWFLILDPKLKLYLESKIKN >RHN59388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10166670:10167149:-1 gene:gene21414 transcript:rna21414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MARKKVKLAFISNDSTRKATYKRRKKGIIKKVRELTILCGIPACAIISDPFDSKTEVWPNLKEAKQMIERYQSSYMKDGRKNVNQESFLLQKITKAREQLRMQRHDNHENELNILMIWYLQNNKLPDDVTVSDLKDLDKLIEKNLKEIDDKMASLSLSN >RHN76014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46998092:46998370:-1 gene:gene12284 transcript:rna12284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSSSPAKKHKFESTKNPNWVELPKDITSNILQRLNTVEILTKTRYVCPYWWNICKDPFMWCEINMGTFLSYLMIMGLIILIVWLTFVSTLLI >RHN44667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7363570:7364055:1 gene:gene38812 transcript:rna38812 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhI MFLMVTGFMNYGQQTVRAARYIGQSFIIILSHANRLPVTIQYPYEKLITSERFRGRIHFEFDKCIACEVCVRVCPIDLPVVDWKLETDIRKKRLLNYSIDFGICIFCGNCIEYCPTNCLSMTEEYELSTYDRHELNYNQIALGRLPMSVIDDYTIRTIQIK >RHN72891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11576105:11576682:1 gene:gene8657 transcript:rna8657 gene_biotype:protein_coding transcript_biotype:protein_coding MTFERNSPALCYMMPPWSWKSRPIRSPTVPFSERKKSPAASMNKDDLFHVIHKVPSGDSPYVKAKQVQLIDKDLGKAISLFWRSINAGDRVESALKDMALVMK >RHN56547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31811833:31813846:-1 gene:gene31963 transcript:rna31963 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSLLPTKDRKSADMLWNAAESQPYLCRTTAFPESKRMLQLNHRNYKRSHLFLFELWILLNARIEILSRRYLTPHFT >RHN52717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38074200:38075871:1 gene:gene37416 transcript:rna37416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TNFR/NGFR cysteine-rich region MKQKIVIKLSMDNEKCRTKALKTAAEVKGVTSVSLEGDDKDRVCVTGDNVDIVCLANQLKKKFNNVTILTTEEVKKKTEAEKKKEKEEEKKKMIEACHAVLHGSCKCNNFNCHGKCNSCTKCESSKCDGTNCVTICSFKCGNSKCDGNKCNGCTPKVTSPCFQRCPQWCTCSKCCAPYQPYCKPYWT >RHN70186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49470779:49479174:1 gene:gene18742 transcript:rna18742 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase MTSSNSDLVRTIESVLGVSLGDSVSDSVVLIVTTSAAVIIGLLVFLWKKSSDRSKELKPVIVPKSLVKEEDDDADIADGKTKVTVFFGTQTGTAEGFAKALAEEIKARYEKAFVKVVDMDDYAADDDQYEEKLKKETLAFFMLATYGDGEPTDNAARFYKWFTEGKDERGTWLQQLTYGVFGLGNRQYEHFNKIGKVVDDDLSEQGAKRLVPLGMGDDDQSIEDDFNAWKESLWPELDQLLRDEDDVNTVSTPYTAAISEYRVVFHDPTVTPSYENHFNAANGGAVFDIHHPCRANVAVRRELHKPQSDRSCIHLEFDVSGTGVTYETGDHVGVYADNCDETVKEAGKLLGQDLDLLFSLHTDNEDGTSLGGSLLPPFPGPCTVRTALARYADLLNPPRKAALIALAAHASEPSEAERLKFLSSPQGKDEYSKWVVGSHRTLLEVMADFPSAKPPLGVFFAAIAPRLQPRYYSISSSPRFAPQRVHVTCALVEGPTPTGRIHKGVCSTWMKNAIPSEESRDCSWAPIFIRPSNFKLPADPSIPIIMVGPGTGLAPFRGFLQERFALKEDGVQLGPALLFFGCRNRQMDFIYEEELNNFVEQGSLSELIVAFSREGPEKEYVQHKMMDKASYFWSLISQGGYLYVCGDAKGMARDVHRTLHTIVQQQENADSSKAEATVKKLQMDGRYLRDVW >RHN68995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40163790:40164820:1 gene:gene17421 transcript:rna17421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MFLSPVPTFVGICKKGSVEQYSPVPYLATLMNCMVWTLYGLPMVHPHSFLVVTINGAGCVVEIIYITLFLIYSDRKKRLKVFLGLLLELIFIFLLSFVSLTMLHTVNKRSAVVGTICMLFNIGMYASPLSIMKLVIKTKSVEFMPFFLSLASFGNGVSWTIYALIPFDPFIAIPNGIGTMFAVVQLILYASYYKSTQEQIAARKNNGKGEMNLSEVVVGMSNATVQDNKKITAIDHSSPSAK >RHN58347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1002896:1005422:-1 gene:gene20252 transcript:rna20252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-ketoacyl-[acyl-carrier-protein] synthase III MKLLVSQGCKLVGCGSAVPTLEITNDELAKIVDTSDEWINVRTGIRKRRVLSGGENLTTLSVEAARKALEMAKVDPGDVDLILVCSSTSDDLFGTGPQISKQLGCKKNPAAYNITAACSGFILGLISAASHIRGAGFQNVLVIGTDAVSRYVDWTDRSSCILFGDAAGAVLVQACNCKEDSLFSFDLNSDGDGYVYVLLTFALSTFLIEGVFSDICDYIKLVNFLNLLSVSTCKYRVQCICVGASHLNAAYKEEGTNNAPDSIKGELDFPPKKCSYSCIHMNGKVVFKFAIQNVPKSIESALQKAGLSASSIDWLLLHQANQRIIGVVANKLEFPPEKVISNVADYGNTSAASIPLALDESVRSGKIKEGQIIAASGFGAGLTWGSAIFQWG >RHN65180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15212:18196:-1 gene:gene12997 transcript:rna12997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MAGGDCETRLLLETVDGVVDFKGRPVLRSSSGGWRAAAFIISVEVAERFAYYGINSNLINYLTGPLGQSTATAAENVNIWSGTASLLPLLGAFLADSFLGRYRTIIIASLVYILALSLLTLSATLPSDGDAQAILFFFSLYLVAFAQGGHKPCVQAFGADQFDINHPQERRSRSSFFNWWYFTFTAGLLVTVSILNYVQDNVGWVLGFGIPWIAMIIALSLFSLGTWTYRFSIPGNQQRGPFSRIGRVFITALRNFRTTEEEEPRPSLLHQPSQQFSFLNKALIASDGSKENGKVCSVSEVEEAKAILRLVPIWATSLIFAIVFSQSSTFFTKQGVTLDRKILPGFYVPPASLQSFISLSIVLFIPVYDRIIVPIARTFTGKPSGITMLQRIGAGILFSVISMVIAAFVEMKRLKVARDHGLIDMPDVTIPMSIWWLIPQYVLFGVSDVFTMVGLQEFFYDQVPDELRSVGLSLYLSIFGVGSFLSSFLISAIQKGTSKDGHDGWFASNLNRAHLDYFYALLAALSAVGLTAFWFFSKSYVYKRTST >RHN58018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43139981:43141396:1 gene:gene33623 transcript:rna33623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exostosin MTFLLSNMNNAKQEDEVFKKLLQKIVDPCLGQYIYVYDLPARFNEDLLKGCHSLQKWENMCVFLSNLGVGPKIIEKSKKEVLSKKNWYATNQYSLEVIFHNIMKHYKCLTNDSSLASAIYVPFYAGLDAGQYLWEFNISIRDKSPNEFVKWLGQQSQWKRLHGKDHFMVGGRIGCDFRREGDSDHNWGTKLMFLPEVSNMSFLLIESCKGLYDNEFPIPYPTYFHPTNDDEIFKWQRKMRNKKRDYLFTFVGAPRPDSPSSVRNQLIKHCESSKSCKRVGCYHGSSKKISCRDPVQVMDNFQNSGKVMINETLFNVSKSEVLAMREEVIRLIPRIVYRYPGSRLETIEDAFDIAVKGVLGRIEAMRRQITNVNDSYHAKVVALNNLHFLLCRGKCLFIQGVQWLPKNKIKL >RHN41393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29699384:29699800:-1 gene:gene47711 transcript:rna47711 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGISKESQNESLQNMTMVEIKASNECYEKSNSTGSSNLWKFRQNMNLRSNSDHKDSLVLLNASDPKNSRKPKVENIVNKKRKDEKHKNGLSAYEKLYVSNKTRKDSNKRRSFLPYKRQLFGLFTNMNGLSRNLHPF >RHN58656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3714361:3717448:-1 gene:gene20585 transcript:rna20585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MESIDVLLVAQVLPYLEQELSKRYNLFRICDYPQKSQFLTQHGALIRAVVGNGSCGADSELIDALPNLEIVSSFSVGVDKIDLNKCKEKGICVTNTPDVLTDDVADLAIGLMLTLLRRICECDRFVRSGDWKHGDYKLTTKFSGKTVGIIGLGRIGTAIAKRAEGFNCSICYYSRTQKQEPKYKYYPNVVELASNCDILVVACSLTDETHHIINREVINALGPKGFLINIGRGKHVDEPELVSALLEGRLGGAGLDVFENEPHVPEELFGLENVVLLPHVGSGTVETRTAMADLVLGNLEAHFLGKPLLTPLV >RHN58955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6212860:6214548:-1 gene:gene20920 transcript:rna20920 gene_biotype:protein_coding transcript_biotype:protein_coding MKINKGFKRLRGQYNLEKFCPNEMSRKKNDKVHQRGSHRFSQHR >RHN71976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4111634:4114889:-1 gene:gene7641 transcript:rna7641 gene_biotype:protein_coding transcript_biotype:protein_coding MVTENDEMVIGWPLGLSFLNIRLRVVEASSMKPYQLHMPSTSFSSFSTSNLDTESTASFFKDNSVSLAQLIGFRSGDRERMYFPNSLRFEERNKKLEKGSCSHDSKVQGRDMSRVICIPVLLDTLLKIRNSKKSSRNKDDMSNTFETRNS >RHN77415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6708071:6709048:-1 gene:gene865 transcript:rna865 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVGERNELTPTNGLVSDVKDGMPRTFCYVCREFGWKHQRNPYGNEGSCNMQMNTLEDHNNKLLNKEAYCLQSVSKYKITALKPVYIKEAKLIHLN >RHN44590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6563370:6570279:-1 gene:gene38728 transcript:rna38728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MLHLLEIIQEFDAGVSFKKDHLFGFRIQPRTTAGKILIMGRKKNEDCRKHVTEVENKNTWICNYCSIEYGGGASRIEAHLGLNGKGGIRRCSKYHEGVYNNMASTSSNPPLEAHVINRLYSAQDQVAEGGPEVIGTHTRSLLNHQNNAEIMNLSEGVNSSGCNISVYESEINQLKQLVIDLECDKNDIAKQLQSLESRGKKRKSEVDKWLEELPNMRESANKMNSSDDIHKINKLIINMKDYKKKKPFTLSTEFVGGRLDKNICEVLKQLDDDKVFVIGIYGMGGVGKTLLATLVENEVKRKATFKDVFWVTVSQNYSISKLQHDITKRIGLKLDEDDEKVRAGNLSLALEKKGKSILILDDVWKYIDLQKVGIHPKVNGIKVILTTRLKHVCHQMDCQPCAILQIDPLYGNNKDWELFMLKLGHDGTPKIFPNEIEKIARCIVERFKGLPLAINVMARTMKGVDDFHQWKHALNKLRKLEMGQEVEEEIFKVLKRSFDNLMEKNLQNCFLYCALLSNDCDWDLHWDWDEFQKDELIMKLVDHGHINGSMCLEEIFDEGNAILKKLESHSLIRYTSNYSLSTHPLVRNMACYILKESQRNAMVKLNEILIEIPLSHGWATDLELVHMQDCDLEEIPEGMSPDCPKLSTLIINKVSIRYIPECFFKYMNILSILDLSYNERLESLPNSVSELRSLISLVLFRCDRLKHVPPLGELQALSRLIISFTSIEEAPQGLEKLTNLKWLDLSSNKLLYIDFGSFTSNLTKLQYLNFRDTNCYRDFRVSSH >RHN57195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37229027:37232895:-1 gene:gene32704 transcript:rna32704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prenylated rab acceptor PRA1 MSSPPTLPVSTSQSTISNTSTTQSQPPIATPAFRAFISRISSSLRQAFSQRRPWSELIDRSSISRPETLAEAYSRIRKNFTYFRVNYLTLIIFALAVSLITHPFSLLVLLGLLASWSFLYLFRPSDQPLVLFGRTFADRETLGILVVLTIFVVFLTTVGSLLISALMVGLAIVSAHGAFRVPEDLFLDDQEVSSSGFLSFLGGAAAANAAIQRV >RHN76643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:62300:62872:-1 gene:gene2 transcript:rna2 gene_biotype:protein_coding transcript_biotype:protein_coding MHILSKTKKELGPGETTFHPNDVVVQKCMTSKKSRRKSRKTQVPWDERCKNAESLVLKSKEEPKVSDIESNIVGGIVISEPRKIGIKGMYNVLNNNDDAESNSLHKGKAKMIQTNVESDFSSFSEDVELRLALQNSLIFQYPLQFGEGASKSFLEGTNSRHHLNIEEGINENSLEYFHIPNNIRVNTLNL >RHN45858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26346745:26351797:1 gene:gene40280 transcript:rna40280 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNNNNNKDKAPWNWHREEGYCLQKPSNFDISQELWNEVPGIEDLPIELDDETTPVKACMDFPYSVNGSESNDIQKDQEECSETSSQAKRRRMLQFNNQNQNRNHSLSDEHMSSAYLQLNGTDYSNEDIFPEVSQWLSEDSENASSSNYEDLESAEKWLADCLKDTEIQQLYPDNPNFSGADDVHIDATEICNLTPPFEQNVAQLQISSTPRKIVFKGRKSIMKTPTGLSSSVAYPFAFVKPCGDRGDVTLKEINKRIQSPPPSKSKQIKEDYPKSAFSGKPVVGKTKILTEGGKGSITIMRTRG >RHN38892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2674625:2675556:-1 gene:gene44867 transcript:rna44867 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLTEEKYKDCPSRLNILNHCDTVMQLKQAQWLKRVIFMRHCYA >RHN46472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32055225:32055590:-1 gene:gene40960 transcript:rna40960 gene_biotype:protein_coding transcript_biotype:protein_coding MVRENFELKNLFRENKNKANIFRRFKNIFNPLNDVRFLDGRTNGERGKGEAELKERVVQEHRGISSKRQVIPSRTDYNKLETLIETDGKLYALFSCKAFTYLGERFCNLRLVTLNTHSVKD >RHN48628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48973933:48974232:1 gene:gene43367 transcript:rna43367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructose-bisphosphate aldolase MFNFSFFFFLKECLIFHDYEAGTNGETTTQGLDGLGQRCQKYYEAGARFAKWRAVICQENGLVPIVEPEILVDGSHDINKCAEVTERVLAAKRDLGKML >RHN81890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49590247:49592656:1 gene:gene5986 transcript:rna5986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-methanol-choline oxidoreductase, FAD/NAD(P)-binding domain-containing protein MYTFIKEATFAPPILTYDYIVIGGGTCGCPLAATLSQGGKVLVLERGGSPYTNPEQINIHNFVNALFNISPSSFSQVFISTDGVYNTRARVLGGGSVVGAGFYSRASYKYIREFGWNETLARSSYEWVEKKVVFEPSMLQWQSAVRDGLLEAGILPYNGFTFDHVYGTKVGGTIFDKEGHKHTAADLLEYADPKRISVYLHATVQKILFKWNAEKGRPQAYGVIFKDTLGIIHRAYIISKVDNEIIVSAGAIGSPQLLMLSGIGPANHLKALGIQVVMDQPFVGQGMADSPKNVLVVPSPLPVELSVIETVGITKFGSFIQALSGLSFGYSFSDKLRGIFELLSNQSGISSKFRPETMESFADIIRSLTNPIFKFKGGMIVEKVMGPRSTGHLELLTTNPNDNPSVTFNYFKDPEDLRMCVEGMKTIINVINSKAFSRFRYKNMPIQALIDLMLLLPVNLRPKHPNAAFSLEQYCIDTVSTIWHYHGGCQSGKVVDHNYKVIGVEALRVIDGSTFYRTPGTNPQATIMMIGRYH >RHN39751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10253443:10255532:-1 gene:gene45813 transcript:rna45813 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNRLIASSLVAYVIVAFLFDVTGWKSLFLNLLVMFLVYFGFNPNNRLKLKMEFAIVRRKYTNGEGDNDDNNGNLHRRAAGTPDQAN >RHN52196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32589724:32589918:1 gene:gene36840 transcript:rna36840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor EFTs/EF1B MVKQTIATIGENTKVKRFVRFNLGEALEKKSQDFAAVVAAQTAIKNGNDSSERGACCCCRIQGD >RHN75179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39984994:39986971:-1 gene:gene11347 transcript:rna11347 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSNNKCLMFVLFFFLFVSSCVMCINVDTQTCTNRKSRCFLKKLPCPAQCPSRSPANPREKVCYLDCDSPMCKTQCKSRKANCNGRGSACLDPRFVGADGIVFYFHGRRNEHFSLVSDTNLQINARFIGLRPQGRPRDYTWIQALGVLFDSHNFSIEATPSSIWDDEVDHLKLSYDGKDLVIPEGHLSTWQSEENQLRVERTSNENGVMVTIPEVAEISVNVVPVTKEDSRIHNYQIPDDDCFAHLDVQFKFYGLSSKVEGVLGRTYQPDFQNPAKPGVAMPVVGGEDKYRTKSLVSADCLACIFSSAKDSVQEGMEIEYGMMDCTGGASSGNGIVCRR >RHN77365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6345670:6350292:-1 gene:gene811 transcript:rna811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MASKRITKELKDLQKDPPVSCSAGPVGEDLFHWQATIMGPADSPYAGGVFLVTIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPDIAHMYKTDKAKYETTARSWTQKYAMN >RHN81340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45037027:45037425:-1 gene:gene5362 transcript:rna5362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSHLNFLGYLNLSCNNFNGTIPMGTQLQSFNASSYIANPELCRTPLKSCTTKEENPKTAKPYTENEDDDSAKESLYLGMGVGFTVGFWGIFGSLFLITKWMHAYYRFIDRVGDKLYVTSIVKLNNFDKLWSG >RHN48728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49777770:49781625:1 gene:gene43475 transcript:rna43475 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIIPGKIRKRGCSSSASSSSSVINHNYRFKRAILVGKRGGGSTTPVPTWKLLSSRSPASAMRILESPRYPPPPSQSGSKSKQAPVSARKLAATLWEMNEIPSPSVKEMSDHVKMKKEVKAKDRVSTARSIRSGSLPPHLSDPSHSPPSERMDRSGIGNRHRRTPSNSHRLRLTEQHHHVGPLDSFSNASFMEIETRSRAQTPASSTVGVKPRLKDVSNALTTSKELLKIINRMWGHEDHPSSSMSLISALHTELERARLQVNQLIHEQRSDQNEINYLMKCFAEEKASWKTKEREIVEAAIESVAGELDVERKLRRRLESLNKKLGRELAETKASLLKVVKELETEKREREIIEKVCDELARDVDEDKTEIDKQRRVSTKACHEVEKEKEMMQLTDMLREERAQKKLSEAKYQHEEKNAAVDMLRNQLEAFLGSKQVREKGRSSTHLNDEEISAYLSRSRLVSHHTEYKEDDGEVDNGVECQEESGESDLHSIELNMDSNNKNYKWTYPSESRFDTRKYPIEEEIKGRRSISGRASRISTSLQRSISDGVEWGNQGDEKLQNSGDGIDWESFYELEKQAQGKSYGDEIQQSYKSVKGLKDQILAGSRLASSKGYASPTRQFSQPWPSRDPINSFQERHATMQGNGQKSRLGEARGEAHNLIRKSKR >RHN51709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23266482:23270817:1 gene:gene36214 transcript:rna36214 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSILIPIFNSNSYYSIFIAAANILYNSITILYISITIVAIPFIYKLLLRNQFLDCCTTLI >RHN75608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43687111:43700795:-1 gene:gene11839 transcript:rna11839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MGDGGVTCMPLQYIMEKISSSEKSHCGGSKFVNGDRKNMKSRKSELGFDRVNKSGSDVENGDKVLKEEVEEGELVTNFKWPRSEVEIENGEIVPENVMSRRSEIENGEIVGERWKTREFEKFEKGEFRSGNWRRDDVERGEIVSEKGRRGENEYGPGSWRGGKDDYEKGEFVPDRWYKGEMGGKNDYGNISNRRNYPGKDKGWKFQRERTPPPSWRYTGEDSFRKKEFINRSGNQHAKNSSRWENAQPRNVRTSSKIVDDEKNAYSNGKDHTRDYTSSGSRLKRPGNDFDGYERKHYADFTNLKSRRLSDDNYRCAYSENYSRRPVEQSYRNNNSTRLSAEKYSSRNHESSLSTRPAYDRHERSPVHSEWSPRDRSRYYDQRERTPVRRSPFGRERSPYSRDKSPHARERSPYMRSWDRSRQHDHKLRSPVRTEQSPQDQGWRQHDHKLRSPARTEHSPQDPSWRQHDHKLRSPARAEQSPQDQGWRQYDPKLRSPARTEQSPRNQGWRHNDHKLRSPARTEQSPRGQGWRHNDHKLRSPACTEQSPRGQGWQQNDHKLRSPARTEQSPHDQGRRRGLRDCTPNLGEESPHVRTTKDVHEETSCKNSSSENLNFPNSCKSDEDKHIPRESACSVTESEGERNVQKTNESIEKDISSSQPVDTQQSCSPTVDHKESPQCEAQPPPDELLSMEEDMDICDTPPHVPVVTDLSSGKWFYLDYGGVENGPTKLCDIKALVDEGVLMSDHFIKHLDSNRWLTVENAVSPLVAQIFPSVVSDTITQLVNPPEASGNLLADTADIQSAPANNPEMLAPSPPRGHLNDNVLTSELLDNFYIDERVQKLLEGYDVIPGMELEAIKEALQMKFEYPKEDGLGDYEGFPWHVSCLREDCDSSTDLASRDSESQLSMSCDNKDDGFGYGIPKDWFSTLWSCKGGDWKRNDDTQDRFFRKKVVLNNGFPLCQLPKSGCEDPRWPEIDDLYCPSQSRLDLPLWAVGADELVDCNAASRSVQSKPPSIKGVKGNVLSVVRINACVVNDQGLLLSESRHQTRGKDRQHPRSTRPFTSTSDSKRSSTEESSQSKAVSDQGSYQSMEFIGVPKDHLCTIQELQLHLGDWYYIDASGREKGPSSFSELQSLVDQGVIKRHSSVFRKRDKLWVPIASAAETLDVCPTSHQKSSSTLGACSDHPSQQTQGVSYGESCTSSSLFNKIHPQFVGFTRGKLHELVMKSYKSRELAAAINEVLDPWINARQPKKDIEKQIYWKSEGDTRAAKRARMLVDDSEEDSGLEDGVTIGKNEPTFEDLRGDATFPEKEIGITDSEVGSWGLLDGPVLARIFHFLRSDFKSLVFASMTCKHWSAAVRFYKEISMQLNLSSLGHSCTDSVLWNIMNAYEKDKINSIILIGCNNITADMLEKILLSFPGLCTIDIRGCSQFGELTPKFTNVKWIKSRSSRMDGIAEEPHKIRSLKHITGQTLSASKSSNLGIDDFGQLKEYFDSVDKRDSAKQLFRQNLYKRSKLYDARKSSSILSRDARTRRWAIKKSESGFKRMEEFLASRLKEIMKTNSCDFFVPKVAEIEAKMKSGYYSSRGLSSVKEDISRMCRDAIKAKSRGDASDMNHIVTLFIQLASRLEASSKNVQGRDVLLKSWDNDSPAMFSSTSSKYKKNRLVNERKYRSNGKHNILDNLDYTSDKEIRRRLSKLNKKSMGSESETSDDLDRSFEDDKSDSDSTTAESGSDHEVRSKITTRDPRDGCFSPEGELDFITDDREWGARMTKASLVPPVTRKYEVIDHYCIVADEEEVRRKMQVSLPDDYAEKLSAQKNGTEESDMELPEVKSFKPRKELGNEVIEQEVYGIDPYTHNLLLDSMPEELDWSLQEKHLFIEDTLLQTLNKHVRSSTGTGNTPMSYPLQPIIDDIKRCAEEGCDARMLRMCQGILKAMNSRPDDKYVAYRKGLGVVCNKEEGFSQDDFVVEFLGEVYPVWKWFEKQDGIRSLQKDSTDPAPEFYNIYLERPKGDADGYDLVVVDAMHKANYASRICHSCRPNCEAKVTAVDGQYQIGIYSVRKIQHGEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFQKVLKDSHGILDRHYLMLEACESNIVSEEDYNDLGRAGLGSCLLGGLPDWLVAYAARLVRFINFERTKLPEEILKHNLDEKRKYFSDVHLEVERSDAEVQAEGVYNQRLQNLAVTLDKVRYVMRCIFGDPRKAPPPLEKLSPEEVVSSLWKGEGSFVEELLQGIAAHVEEDILNDLKSKIHARDPSSSADILKELRKSLLWLRDEIRSLSCTYKCRHDAAADLLHIYAYTKHFFRIQEYQTVTSPPVHISPLDLGPKYTNKLGAEIQEYRKVYGENYCLGQLIFWHNQSNTDPDRSLVRASRGCLSLPDINSFYAKAQNPSQNRVYGPRTVRSMLARMEKQPQRSWPKDQIWLFRSSPKFFGSPMLDAVINNSTLDREMVHWLKHRPDVMWDR >RHN39613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8689581:8690244:1 gene:gene45653 transcript:rna45653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MTTSFSLIHDCLPVGFRFHPTDQELVNYYLRNKLLGNEEFVNNVIAEVDVFKFKPWDLPAHSVIRSDDSEWFFLCPRNYKYQRCNKFKRGTEFGFWKATGKDHSVKIRGTDEVIGTKKTLVYYLAPHPGVKTNWVIREYHDVVTFDDNTQDLIFSIQLVVLKYEDYWIYCLKF >RHN76322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49359011:49360555:1 gene:gene12624 transcript:rna12624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative jacalin-like lectin domain-containing protein MSKSMSTKTRSAEQDVEGTRKKKSVIVGPWGGNGGTSWDDGTFTGIREITLVYDRCIDSIRVVYDKNGKPFTADKHGGVGGNKTAEIKLQYPNEYLISVSGHYYPVVRGGTPVIRSLTFKSNQRTFGPYGVEEGTPFTFSIDGGQVVGFKGRGDWYLDSIAFTLSSAPTKSLLQKVQRGLYRLTSIAPKSSSTRAA >RHN48260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46137341:46139384:1 gene:gene42958 transcript:rna42958 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIHVVINTGNIFVICLVSSICVTVQSIHGEVWPVFTAALSRNLQEFVNAIVISSKNKDTRNANKEHAIFNNVVQSIVTTSLIIEWLQFLCIILNLRFTLYNLYLNITV >RHN43538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46649087:46650592:1 gene:gene50137 transcript:rna50137 gene_biotype:protein_coding transcript_biotype:protein_coding MEALASLHHRHQPLCSSLHPSLSSTTASSLFLSQPSPSTSPSSSIRALSSPSPPSSESLQNLQYPETKIPQFFNPLVKVPNFDPPRQNPEPKSPQFFNPISKFPSFVTVTVAASAFLFLGCCQNGFNKPITSLSSVVSVEEKALDEKSDIEEFLGNKPDHIESVLYLKMKERIRVVHSFKKIKTDDDEAWEVLRAEVVSCSENLELIKVGFEEILEKDMDCNKSHQGRVLEYLEMVDECNGLLKGIKVAMDRCEREDADINRYLRLFGKVVDRIRVLEGDMVGALKYFKQLEQDVRNRLYFAVWSGVLLNWWLLNN >RHN42980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42343032:42346663:-1 gene:gene49496 transcript:rna49496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alanine--glyoxylate transaminase MAALQSLLKRTTKPNRSDLLACRPFSSLRSSSATATQTITTPPPQIPPFDHQPHPYYGPSADEVFSKRKQFLGPSLFHFYQKPLNIVEGKMQYLYDEAGRRYLDAFAGIVTVSAGHCHPDILNAIFEQSKLLQHATTIYLHHAIADFAEALAAKMPGNLKVVYFVNSGSEANELAMLMARLYTGNLGMISLRNAYHGGSSSTMGLTALNTWKYPLPEGEIHHVMNPDPYRGVFGADADSYAKDVQDHIDYGTSGKVAGFIAETIQGVGGAVELVPGYLKNVYDIVHKAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIASVMAQKIQFNTFGGNPVCSAGGLAVLKVLDNEKRQAHCADVGSHLLERLRSLQQRHDIIGDVRGRGLMLGVELVTDQKNKTPAKAETAVLFEKLRELGILVGKGGLHGNVFRIKPPMCFSKDDADFLVDALDYSMTKL >RHN55187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15871977:15873426:1 gene:gene30327 transcript:rna30327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain, rmlC-like jelly roll MKTQIHFHTLQLLLFTLSLFLNQCLSDPDPLQDYCIADNKNTFFLNGLPCIDPKQATSSHFATSSLSKPGNTTNMFGFSVTPTNTINLPGLNTLGLVLVRVDIAGNGIVPPHSHPRASEVTTCIKGLLLVGFVDTSNRAFTQNLSPGESFVFPKGLVHFLYNRDSKQPAIAISGLNSQNPGAQIASIATFASKPSIPDEVLKKAFQINGQEVDIIRKKLGG >RHN75370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41662579:41668228:-1 gene:gene11557 transcript:rna11557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Jas TPL-binding domain-containing protein MQIKSISLSSCDQTMEGGSGKRFPVKLKDLLATGILEGLKVRYVKGQKARKPGEKDLQGVIKDAGILCFCGSCKGNQVVSPNVFELHAGSANKRPPEYTYLENRKLLRDVMNACSSLPLDTLDKVVQMVLGDFTMQNSNICFNCRGSISESNKGESKLVCNPCMELKETQTSQLQPRSKKKREEGPKTTSAETSSQKRKGRPPGSGGGRFTERIVSPITPAQTLGSSVHGTIDGKFDDGYIVTVDLGSEQLKGVLYHVSSNASKDSSIGGLSEEKVER >RHN55806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24011700:24011994:1 gene:gene31072 transcript:rna31072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MSTGNSSTGYTYGSLLYGEFETSCGMLPMCRCELPMVIYIANTRANQGRRFWKCRNWMKKIHVSC >RHN58462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1897289:1897924:-1 gene:gene20379 transcript:rna20379 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPTPYNSLPPPEIPQEPPSFSMPNPTPDSSLPPPEIPQEPPTFSMPNPTPDIFLSPPEIPQEPPTFYMPNPTPDIFLSPPEIPQEPPIFATPNPTPDIFLSPPEIPQEPPTIATPNPTPDIFLSPPEIPQEPPIFAMPNPTPDIFLSPPEIPQEPPIFAMPAPDAFIASPVVPEFPGIPQDPVPNPDPVPWEDQPSPSEVFPPSVDSPP >RHN58737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4394060:4401554:-1 gene:gene20677 transcript:rna20677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKKLRLLQPSGVQLDGDFKYLSRNLRWLCWNEFPLTLMPTNFYQRNLVSIQLENNNINFFFSGNRCRLENLKFLNLSHSHCLVQTPDFSHMPNLEKLILKDCPRLSEVSHTIGHLHKVLIINLKDCTSLRNLPRTIYSLKSLKTLILSGCLIIDKLEGLGTNGVFNHSDCG >RHN64114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56677164:56679067:-1 gene:gene26897 transcript:rna26897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MSKEEFLKIQKSVLKVNIHCDGCKHKVKKILQKIDGVFTTEIDAEQGKVTVSGNVDPNVLIKKLAKSGKHAQLWSVPKPNNNNNNNQNNLVNQLKNMQIDNGKGGGNNNNKGQNQNQKGSGNNNQPKGGQQIQLQGLNPQQQQQLQLQQQLQQLQQMKGFQDLAQFKGMKMPPNQNAKGVKFDVPEDEDDFSDDEMDDFDDDEDDDEDFDDEFDDEMVGLPPNKMKPPSMPMGNGAHMMLNGNHPQLLTAMKGGNFNGGGGGGNGQKPGGGGPVPVQIHGMNGGNGNGGKKSGGGGPVPVQMNGGNGNGGKKGGGGGGGNNQNHGGGNKIVVVCRRLKMVVVEETRMEGIIIVIIKITMGVKRVCRYQLLVVVVMFKL >RHN43359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45153266:45157017:-1 gene:gene49919 transcript:rna49919 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSPPLVNQKCQWRMFGIFNLREAHSDGRSVSNRNGKSRISSDVLSTVDEKYPDVDVCSRRRRGYSCKSVRVENDRVADLENEVTKMIVNQRFFNKNSKGKDGADCQPNQFLDAVQVLYSNKELFTKLLQDPNSLLVKQIHDIQKTQVKAEENGVTRLNKAQNSSSFDRFNSSSNCESQSSKKIVVLKHDTNNVKHFADTSTGNAQNIKSSNFAFGEIKRKLKHVMRVRRKEKQCRTADSAPSKFPCSSQDLEDCKNVKKLETFERNSPINVHVSSEKSLKVFKLKDSESSMRQEEVDSEQNKLTVKDQGVKVLSHKKHQMLLKALHRDGESCSYSSSSAQKIKDLPVATFSNELQVFGAADISVNKSLSADNLHAHYDIPRGTDGSLVQVDYDRFEEKQLEDLITSSLDPMNKSKDIISEVLQTFSLKCDEPMKSHLSNLLMDSSSTFDEVNGLTDQFFDSTIMHEYIIECFMELYQNSGFSPHFSSRNSNFQACVVKKVLVRQINELVNLHFFHHPSPITLQQLVERDLARRESWMNIHNDAEEIAMEVEKNVLETLVLEIVSEMNIS >RHN50680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9338627:9339383:-1 gene:gene34998 transcript:rna34998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MISLFCIHFKLIVLLEKIVIPITLPFITFLGDAKGNSKITWNDSYSTIGSDGKPLETYKSASVAVEADYFIAINMIFKNTAYFPTKVEQAVAIRVTGNKAAFYNCLFYGVQDTLYDHKGLHYFKNCYIQGAVDFVFGDGTSLYEVFFVSIFV >RHN58050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43367307:43370944:-1 gene:gene33656 transcript:rna33656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein Hsp90 family MAEQETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFEGLTDKSKLDSQPELFIHIIPDKTNNTLTIIDSGIGMTKADLVNNLGTIARSGTKEFMEAIAAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDTTGEALGRGTKITLILKEDQLEYLEERRLKDLVKKHSEFISYPISLWVEKTIEKEISDDEDEEEKKEEEGKVEEVDEEKEKEEKKKKKIKEVSNEWSLVNKQKPIWMRKPEEITKDEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTKKKPNNIKLYVRRVFIMDNCEELMPEYLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCLELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNKTKLADLLRYHSTKSGDDMTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLRKKGYEVIYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKMDEQKEKFDNLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADRNDKSVKDLVLLLFETALLTSGFSLDEPNTFGNRIHRMLKLGLSIDEDAAEADADMPPLEEADADAEGSKMEEVD >RHN74743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36306469:36308779:1 gene:gene10851 transcript:rna10851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MFSRTRSLLPSLTSHKTQNPSLFSSSQQNTNNNKDNLILQRFHHKDWLTSKEATTLTNSLTNPSLSLTIFHLYSSRKDYNPTQPFCISLITKFTQSHNFTYIDTLLKTLPKPYSFTQDFFFNLIKIYAHKAQRIDKAIQLLNEMPNYGTWPSIKTFNFVLNVLVNSKLYDVVFDLYASALKLGVEIDACCLNIMIKGLCKKGEMESAFKVFDEFPKMGLKRNERTFATIMNGLCEKGMVDEGFGLLERMKEEGIVVDVVVYNVLIHGLVKNGRVDEGVTVLEDVMMRNGCYPNESSYQHVLYGLIDLKRFEEAKGVVEKMVLKGFVVSYDSFKGLVLGFCREGLIEEVDWGVRSMVRMGFVPRMGMWRPIVRCIVVSRDVSVSFDKILDSVNN >RHN56603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32183211:32184082:1 gene:gene32033 transcript:rna32033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol-forming fatty acyl-CoA reductase MGQTLKGTSKLNIQTEMDLLEKKIDELRAMNADESTIKYALKDYGIQRANLHGWPNTYVFTKAMGEMLVVNQKDNVPLIIIRPTMVTSTNKDPFPGWIEGLRTTDTVIRGYGIGKLACFVGNPNTILDIVSVIGYLFLFFLQQDILFY >RHN74054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:25300966:25303305:-1 gene:gene9998 transcript:rna9998 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDKQYLSQIPSVNKSGCNGLITLDNVIDAKKNPNEKEQNHVEDGAKNSRKGLRFFITSAAKAVVTVIGVVSILSMSGFGPNLLKNRWNVQRRHHRLENENGRSTSENKGDGQCPPGRVLVLENGEARCLVKERVEIPFSAVAATPDINYGCG >RHN75138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39689454:39689848:-1 gene:gene11298 transcript:rna11298 gene_biotype:protein_coding transcript_biotype:protein_coding MQIIRLCKKCRNCKAGRRDLGSNPRPYSCVSLISMAYYLI >RHN48922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51163816:51165359:-1 gene:gene43691 transcript:rna43691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine hydroxymethyltransferase MASENYTSRAVMEALGSCCTNKYSEGSPGNRYYGGNVNIDEIEILCQERALAAIHLDSNKWGVNVQPLSGSPANSAVYDAILEPHDRIMYLDLAHGGHLSHGHMTPTRKVSSTSKYFTTMPYHLDDLTGRIDYHMLAKTASIFRPKLIIAGASAYPRDIDYARMRKIADGVGAFLKWACCCIRAC >RHN72968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12231079:12234381:-1 gene:gene8737 transcript:rna8737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzil reductase ((S)-benzoin forming) MILYCYRYCHPPLNTHNTSMASRSPRLLSLARRAFSSSSSSQANGVSLVQGASRGIGLEFVKQLLEKNDEGHVVATCRNPDASTGLLRLKDRFDDRLQILPLDLTVESSIEASALSIKETYGHLNLLINASGILSIPEVLQPETTLNKLEKSSLMLAYEVNAVGPILVIKHMWPLLKAGGGIGTERSGAVVASLSARVASIGDNRLGGWHSYRSSKTALNQLSKTISLEFARKKDPIICILLHPGTVDTDLSKPFQKNIAKEKLFTKEYSVQKLLHIINNVKNNDNGKFFGWDGQEIPW >RHN55602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19928640:19936746:-1 gene:gene30804 transcript:rna30804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphodiesterase I MLKHTAYVGKSKFVLLEFLLICSILQRSFSHGIHPLSKVAIHNTTLSLLNLAHIKASPSLLGLQGQTSEWVTVEYTSPIPSIHDWIGVFSPANFSGSTCPKENGRVYPPLLCSAPIKFQNASYLNPQYKTTGKGFLKLQLINQRSDFSFALFSGGLSNPKLVAVSDKIAFANPNAPVYPRLALGKSWNEMTVTWTSGYEISDAEPFVEWGPKGGDRVHSPAGTLTFTRDSLCGAPARSVGWRDPGYIHTSYLKELWPNKIYEYKIGHKLKNGTYIWSKQYQFRAAPFPGQKSLQRVVIFGDMGKEEVDGSNEYNNFQHGSINTTQQLIQDLENIDIVFHIGDISYANGYLSQWDQFTAQVEPIASAVPYMIASGNHERDWPGSGSFYGNMDSGGECGVLAETMFYVPASNRAKFWYSIDYGMFRFCVADTEHDWREGTEQYKFIEHCLASVDRQKQPWLVFLAHRVLGYSSCICYAEEGSFAEPMGRESLQKLWQKYKVDIAIYGHVHNYERTCPIYQNICTSEEKHNYKGTLNGTIHIVAGGGGASLSTFTSLKTKWSIFKDYDYGFVKLTAFDHSNLLFEYKKSRDGKVYDSFKISRDYRDILACATDSCPSSTMAS >RHN47488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40110946:40113963:-1 gene:gene42098 transcript:rna42098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan 1,3-beta-glucosidase MEKIPIFLVGFWLLSNWAGLLEAEDLMKYKNPNESIDVRVEDLISRMTLEEKIGQMLQIERKYASDNVLINVMSEGGSTPVLQASAINWIDMVNEFQKDVLSTRLGIPIFYGIDAVHGNSPVYKATIFPHNIGLGATRDPELVKRIGAATALEVRATGIQYVFAPCVAVCRNPRWGRCYESYSQDPKIVQAMTEIISGLQGEIPDNMPKGVPVIVRKEKVIACPKHYVGGTTNGIDESDTVIDRDGLMEIHMPGYLSSISKGVATIMVSYSSWNGDKMHAHHDLITGFLKNTLHFQGFVISDSDGIDKITSPYRANCTYSVLAGVSAGIDMFLVTKNYTEFIDELTTLMNNKFIAMTRIDDAVRRILRVKFMMGIFENPFADYSLVKYLGIKVHRELARDAVRKSMVLLKNGKSPEKPLLPLPKKVPKILVAGSHANNLGHQCGGWTIEWQGVSGNDDIKVMISF >RHN44444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4809400:4810221:-1 gene:gene38565 transcript:rna38565 gene_biotype:protein_coding transcript_biotype:protein_coding MLCIINNKNYFLSAAGGDLRQYLEGLASAENMVKYVEQHPFGQERITETNEFWDFYLNVINSISACQPEK >RHN43389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45383684:45384761:-1 gene:gene49955 transcript:rna49955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGFPVGYTELLFPKLVLHLLSIFTFIRKLINTIFRYMGLPDFIEPDIIWPENSTRIPEFESVSALLIREILPVVKFMELVDPPESCAVCLTEFEENDEIRRLANCRHIFHRGCLDRWMGYDQRTCPLCRTPFIPDELQSAFNERLWAASGIPEFHSAEDVLSL >RHN43216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44101340:44105048:-1 gene:gene49756 transcript:rna49756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyl-tRNA hydrolase MLHLSSSPTLSSLTYPNNNLHHTPRCYSTKFSVRCSLPNGDNKLEYTPWLVVGLGNPGNKYHATRHNVGFEIIDSLSQSQGILMNTIQSKALIGIGSIGEVPVLLAKPQTYMNFSGESVGPLAAYYRVPLRHILVVYDETSLPSGVLKLQPKGGHGHHNGLKNVIGHLDGSRDFPRLAIGIGNPPGTMDLRAFLLQKFSSVERKQVDESLEQGVQAVRTLVLNGFNHHVNRFNLGQKYKYNRV >RHN42787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40999282:41002431:1 gene:gene49274 transcript:rna49274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-S seed storage protein, plant MELDLTPKTAQPLLEGDGGGYYIWLSSQVPVLAKTNVGAGQLVLQPRGFALPHYADSNKVGYVIEGTDGVVGMVLPNTGKEVVLKLKKGDVIPVPIGGVSWWFNDGESDLNIIFLGETSIAHVSGEFTYFFLTGVQGLLSSFSSDLISKVYNFNKDEVTKLTQSQKGVVIIKLEKGQPMPKPKLDLTKDFVYDIDAKTPEIKAQNVGLVTTLTEKDFPFIKDVGLSVIRVKLEPNAIKAPSNLITPGIQLIYIARGSGKIEIVGINGKRVLDAQVKAGHLIVVPHFFVVAQIAGEEEGMESYSIVTTTKPLFEELAGKTSVWGALSPNVQQVSFNVDSEFNKLFISKATETTSLILPTI >RHN45091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11706647:11707093:-1 gene:gene39294 transcript:rna39294 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVFVLALIFVAVVGVAMAAEAPASSPKSSPKSSAKESPKSSAKDSPAPSSEKKSPAPSSSSVKKSPKASGPVTGLDVPTISDAPPLFVDSPPAQSPVAEEPIVAVPPVSGPAAGPTSPAADEASDASSLKVSAVVAVAAVGFFAF >RHN41681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32026616:32027468:1 gene:gene48031 transcript:rna48031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-l-methionine decarboxylase leader peptide METKGAKKKSSSSESLFYEAPLGYTIEYVRPNGGIKKFRSAAYSNCARKPS >RHN67229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25051256:25053670:-1 gene:gene15395 transcript:rna15395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MDHSKLYLFLGFGLYVISSSQILADSYVPLTLTIEPNLPPMDDQLNDKQVSEFKKAFNLFDKDGDGCISAKEFGTLMWSLGQNPTEFDLLATMNKFDTDGNSVIDFSEFLNLAARM >RHN66508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13221368:13221946:-1 gene:gene14511 transcript:rna14511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MGLAFLYEQLSLTSSSYVGVVGGYMNLLEGWVLAHFRHLVPRRKYEDYDRGDPYVGRWKPPRGFADDAHFICLMESMEHCLVIWRSYEHRRDLTPFQDVCWYSGWIMADKDRMVCHFPKRVLRQYGYVQTVLIPPTTIVPLEATQVVTAFLEFDLHVLS >RHN79032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21375225:21377440:1 gene:gene2718 transcript:rna2718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEIVKYVYVIIIFLSLFLVAMNIEGKFHRCFKDSECLNLLYCRTPLKPKCMYRTFCKCKVVFTSNVYVLT >RHN74886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37514834:37515541:-1 gene:gene11018 transcript:rna11018 gene_biotype:protein_coding transcript_biotype:protein_coding MESSFEAWEEMQRHGQDIADRFTQGFTELIHTRITPPQIVWPNPPKSKLFDLEFSTQSFGKKDFGLPVDDYGVSAIFDIGNRIGQAGMDFGASLNGMVQQFFRSLPVPFKQEEDGVRVGGKGERGGV >RHN80633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39609983:39613037:1 gene:gene4579 transcript:rna4579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein MFGFECFCWDSFPEFFDSDPLPFSLPSPLPQWPQGGGFAGGRISLGKIEVTKVNKFEKVWRCTNSNGKALGFTFYRPLEIPDGFSCLGYYCHSNDQPLRGHVLVARETTSKSQADCSESESPALKKPLNYSLIWCMDSHDECVYFWLPNPPKGYKAVGIVVTTNPDEPKAEEVRCVRTDLTEVCETSDLLLTIKSKKNSFQVWNTQPCDRGMLARGVSVGTFFCGTYFDSEQVVDVVCLKNLDSLLHAMPNLNQIHALIEHYGPTVYFHPDEKYMPSSVSWFFKNGAILYTAGNAKGKAIDYHGTNLPGGGYNDGAFWIDLPTDEDARSNLKKGNIESAELYVHVKPALGGAFTDIAMWVFCPFNGPATLKVSLMNIEMNKIGEHVGDWEHFTLRVSNFTGELWSVFFSEHSGGKWVNAFDLEFIKENKPIVYSSRHGHASYPHAGTYLQGSSKLGIGVRNDAAKSNFILDSSFRYKIVAAEYLGDGVITEPCWLQYMREWGPTIVYDSRSEIEKIIDMLPIFVRFSVENLFELFPTELSGEEGPTGPKEKDNWLGDEYC >RHN55336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17471281:17477500:1 gene:gene30506 transcript:rna30506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin protein, neddylation MSNPRKRNFRIEGFKHGVVMDPQYGDKTWIILENAIHQIYNHNASGLSFEELYRNAYNMVLHKFGERLYSGLVATMTSHLQEMARSVEATQGSSFLVELNRMWEDHNKALQMIRDILMYMDRTYIQTIKKTTVYELGLNLWRENVLHSNQIRTRLLNMLLELVRSERAGEVVNRGLIRSITKMLIDTGPSVYGEEFENPFLLASTEFYRAESQIFIECCGSGDYLKKAEMHLNEELDRVSHYLDPSTETKITTLVEKEMLENHMLRLIYKETSGLVNMLGDDKYEDLGRMYNLFSRVTDGLLKIREVMTSYVKDHGKQLVTDPERLKDPVEFVQRLSDVKDKFNKIIDLAFSNDKLFMKDLNSSFEFFMNLNPRIPEYISLFVDDKLRKGLKGVSEDVVEIILDKVMVLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGFQFTAKLEGMFTDMKTSVDTMKSFNATHPELGDGPTLTVQVLTTGSWPAQSSVTCNLPTEMSALCEKFRSYYLSTHNGRKLTWQTNMGTADLKATFGSGQKHELNVSTYQMCILMLFNNADRLSYREIEQATEIPASDLKRCLQSLALVKGRNVLRKEPMGKDVSEDDTFYVNDRFSSKLYKIKIGTVVAQKESELEKLDTRQKVEEDRKPQIEAAIVRIMKSRKQLEHNNLMAEVTQQLQSRFLTNPTDVKKRIESLLEREFLERDPVDRKLYRYLA >RHN71257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57637741:57638905:1 gene:gene19914 transcript:rna19914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MTRPFRFLGELNSSSSDSPESSTVVDSDFVVILAALLCALICVLGLVAVTRCGCLRRLRLSSTTNNTSPAAPPAAANKGVKKKVLRSLPKLTATEESAVKFSDCAICLSEFTAGDEIRVLPQCGHGFHVSCIDLWLRSHSSCPSCRQILVVSRCDKCGGIPPPATTTTAGSSSTAAPAPDCEGRLKQALQGRC >RHN42603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39640440:39640907:1 gene:gene49060 transcript:rna49060 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTPPSPYQPSQIHLSPTKTTLITVLSTTVSLYIHCCLREMSLSSDNHWSQPPPPVILSDLTTTIADDLLQQPSFPPCFATTSSGRERKVRNEKERRVRMAVLLFSWTIEPRKRRKMIDGLSLWEMYLIGIEYKYCFLKNVMLLLVVFILLLGT >RHN56870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34381330:34382614:-1 gene:gene32334 transcript:rna32334 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDGKVVEELEELKEKRVEDGNALDVVRRKNAKLEHEVLELKGKRVDDENAIDVVKRKNSELESEVVELRKLKEKWEEDRNELNVIVLKLEKFEASMVR >RHN69268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42210396:42217380:-1 gene:gene17712 transcript:rna17712 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPAMRYSPGREARGDGHKRRHSLESGILFREKDDDLTLFNEMQSRERDTFLLQSSDDLEDSFATKLRHFSEVNVGISIPGRRVNSDLLNVDGDKNDYDWLLTPPDTPLFPSLDEDPPPTNVASRGRPQSKPITISRSSTMEKSRRSSRGSASPNRLSPSPRSGTNTLQARGRPSSVPNYSPTSSTLRNATPTRRPSPPPNKPTTPASKSSTFTPRRLSTGSSGSVASSGVRGTSPVKTSRGNSSSPKIRAWQTNIPGFSSEAPPNLRTSLADRPATYVRGSSPASRNGRDSMSKVSRQSMSPTPSRSSSSIQSHDRDPFSSRSKGSVASSGDDDLDSIQSIQVGSLDRLSSRRDGSFSINRTPGMSKKSPRTASPNSAPKKSFDSAFRQMDRKSPQNMFRPLLSSVPSTTLYAGNSNSAHRSLVYRNSSIATSSNATSDRVTAFALDEGIDHNQDDTASETNKMLYPDLDEEMFAFDKMDELNANIKHEVNEQSVNILQNQSRGPNTVFGPTEAEGSVYHVRIDAECNESSETSHVRDVISEIGSFENTAICSQCGCCYQVISQTEENIEFCPQCSRKATLLRAILPETTLAVSEGSSMISANMPKGEKSLAEASQLQAASELPQETDTDNLRFPLGEHGYEESQTSCSELNQVHSQNSSIPSSLRDGVEKIPTNHLEMNQSEVDYKKHNDEFEDQPLDHYSDHPNMNTDPMDGTGISVLLKRSSSNKGPIVRARTFTATTISYDDLSLSRDSVNSVRSSTRPGSYSASSSTDFSSTRQTEFRIHRQLSGRKLDADCGYDLRIKPPSPSSSLSRTSSHSHQEVGLATREASGNAECSLVEEIPQVLQETQASGNAMTDVVDASSIDSTFVEEDKLENDDSSRGNNACCSEFSSQEAVFQPDENLVTSFPNPGDCISYENVEDHPNNARSVSNTETSVKTPELSCHEKHDVQSSNANELNDSVIANCSTISESEIEGENNRGNDINLVNDDMSLVSKSALDDFQEPSARNPSNDCYTASVSEVNVSESHGTEESTVTVECQGAGNTRSLTLEEATDTILFCSSIIHDLAYKAATIAMENESSDPFEGSEPTVTLLGKPVSDRKDVRRRPVGKRTIKTPKTRQKSVEMDVKTVSGKTENDENIDESFTNNVGLPNKVDNSMKPPKLESKCNCIIM >RHN60192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23002221:23004699:-1 gene:gene22445 transcript:rna22445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVRAPFYDKNGVKKGAWSRDEDTRLSDYIQKHGHSNWRQLPKLAGLLRCGKSCRLRWLNYLKPNLKHGNYTSEEEEIIIKLHYQLGNKWSLIAEKLPGRTDHEIKNYWHSYLKTCSKSKNYKETISELKSKPCDTVEENDTQHFVPPENPISDEGKGFHYILESSTITISRETSVEDNSSPASINCPVMEEDNVAPWLVFESFSGDFWTDPCTLDDTSTTNEISSEDIDFLIQNFYDGSYMF >RHN40832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:22597024:22598793:1 gene:gene47058 transcript:rna47058 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MSLFNAYSICEIGKDAAGIAGNIFAFGLFVSPIPTFRRIMRNGSTELFSGLPYIYSLLNCLICLWYGTPLISCDNLLVTTVNSIGAAFQLVYIFLFLIYAEKPKKVRMFGLLLAVLGIFVIILVGSLKITDSSIRRILVGCLSCASLISMFASPLFIIKLVIRTKSVEFMPFYLSFSTFLMSISFFLYGLLSDDAFIYVPNGIGTVLGMIQLILYFYYKRSSSDDSTEPLIVSYG >RHN70236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49930677:49930906:1 gene:gene18797 transcript:rna18797 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYQIYIYGVLDRYIGDPNKKYISDNNNFFYFEKYTFGMDFAGGFESLENEQNLIPNLIRK >RHN52508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36238263:36238730:1 gene:gene37196 transcript:rna37196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide chain release factor eRF1/aRF1 MADTHHADKLMESDDMFGFIVMDGEGTLFGTLSGNTRQVLYMYRLFPPKKHGRGGEPALRFSRAHFLKHINHGRKTDELASMLYIDPNTRQPNVCGLILAGSVEYKTRQLVDIIDPLLKEKIVNVVDVSYGEENGLNQAIELSSGILSKPKFAKE >RHN54161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7442066:7445524:-1 gene:gene29153 transcript:rna29153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H dehydrogenase (quinone) MGKGGGCVPSKKKIPPVTETEQSPPRTTATESNDSDSIQETQLPLQPTVANLKIFIVYYSMYGHVESLAKRIKQGVDAIEGVVGVLYRVPETLSNEVLNMMKAPVKDETIPVISPEMLIEADGVLFGFPTRYGSMAAQMKAFFDSTGSLWQGQKLAGKPAGLFVSTGTQGGGQETTAWTAITQLAHQGMLFVPIGYTFGPGMFIVDSIRGGSPYGAGVFAGDGSREASETELALAEHQGKYMAGIVKRLAKS >RHN63703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53355984:53359970:1 gene:gene26439 transcript:rna26439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-Xb-2 family MSELQETYSCCFLFLLSISLILLSGRVVVGDSLDTDKQILLKLKLYLDNKTLADQGKYIYWDTNSSNSNPCEWQGISCNKAKRVIGIDLSYSDITGEIFQSFSQLTELTHLDLSQNTLFGYIPNDLRNCHKLLHLNLSHNILDGELNLTGLTTLQTLDFSLNRFHGEIGLWNLPSMCENLITLNISGNNLTGDIGNSFDQCSKLKYLDLSTNKLSGGIWNGFARLRQFSVAENHLSGNISSEAFPLNCELVELDLCQNGFVGEAPKEIANCKNLTMLNLSSNNFTGAIPIEMGSISRLKGLYLGGNTFSREIPEALLKLNDLVFLDLSRNKFGGDMQKIFGEFKQVRFLLLHSNSYTGGLLSSGIFTLPNIARLDLSFNNFSGPLPVEISHMQSLKLLMLSYNQFNGSIPSEFGNMRNLQALDLAFNKLSGPIPPSIGNLSSLLWLMLANNSLTGTIPSELGNCTSLLWLNLANNNLSGKFPRELSKIGKNAMKTFEANRRDGGLTAGSGECLAMKRWIPADYPPFSFVYDILTRKNCRGLWNKLLKGYGIFPFCTPGSSLRLSLISGYVQLSGNKLSGEIPSEIGTMVNFSMLHLGFNSFSGKFPPELGSIPLMVLNLTRNNFSGEIPQEIGNLKCLQNLDLSHNNFSGNFPTSLNKVAELNKFNISYNPFIYGEVSSSGQFVTFEKDSYLGDPLLILPDFIDNTTRNNKNSTFHNDHKKPAKLSAFLVFLSITLVFIILGFLTIIVCALVKTPSDQYLLKDHTKHCNDSSSSGIGSSQWSSDSVKVIRLNKTAFTYADILIATSSFSENRIIGKGGFGTVYKGVFADGREVAVKKLLSEGPEGEKEFQAEMEVLSGHGFGWPHPNLVTLHGWCLSNSEKILVYEYIEGGSLEDLITDRTRLTWKKRLQVAIDVARALVYLHHECYPSIVHRDVKASNVMLDKEGKAKVTDFGLARVVNIGDSHVSTMVAGTVGYVAPEYGQTMKASTKGDVYSYGVLIMELATGRKAVDGGEECLVEWTRRVMGRKQQTKHQQHHVLSHLGSRLVGGAEEMGELLCIGLKCTNEAPNARPNMKQVLTMLVMISKSNVGDSSSDHGHNV >RHN43024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42622767:42649051:-1 gene:gene49544 transcript:rna49544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spatacsin MDDPAVLQLHKWDPSQTQIPLSEFRQAFISPTRQILLLHSYQNQALLFPLVKGESNSSGGESSNDYDRPNGSGLVNDLPCTSGSEIGIHTNVAENKCSRSNSYPFISDVNSLAWARCGDTYDQHNDASFREFLFVSGTCGVTVHAFPKLTKAKEIVQSALEGSYRQGRWVEWGPIVTLAQNMEVGDEGGVEFLRGSAPTKRYLKSFFTKVETIIADGSLRTKFPENKDFPRSTEVVSFNIFDGSLSLEYLFNQESVQSKEKQPEPADLVEDASDHSSLSSGTEDIKSDCFSKVFGIEINGFYECPKIFSSASYCLVGFFLTLMHHVSVNNSGAKHRCRSKNLLLVARLDNSGIQWVSVVKLDERTNIVQEVEWVDFQFCDNLLVCLDSSGLITLYSAISGEFVTHLNVSQACGLHPLFDFHASEKLPLSDDGTCIRQGSDIKDNMYDQHHDSFRRSFKRLIIASHTYFFAVVDACGVIYVISLSEYVTDKSYFSEKLLPYCQQFGLGMLVGWGAGGSDIDCQVAFSNFSGNFQSNDLNIKSGSVSYPDKAVEGDTLRKIDGYTSKERRNLFGPYSSGFSPVSNATNDHKFTDSDVKSPVMRKIFLPNFKLCEDDSISFSPIGITILSKMKNVKNQRGSKLVHFNLQVKLDAHDDNLLDSAYDVYHFNGKEEAVFGEVVGCTFQGCFYIVREDGLSVYIPSVSILSSFLPVEYIGYRQPSIDRGISVLLKDNVEARQPTKRFSPWKIEILDRVLVYEGIEEADQLCSKNGWDIKISRIRQLQIALDYLKFDEIERSLEMLVDVNLAEEGILRLLFAAVYLMLNKSGSDSETSAASRLLTLATCFATTMLRKYGLLQHKNYTCVPEGLNKTRLLSLPPIEPVKLQTEVDFAQKLSELAHFLEIIRNLQCRHRTVFQKASQGLVESGEESSIMSIEMLHEEPQLAVLPSDLESSDMLNQHELSFPLPTSGDDNNENLALVPVDPESKLVSEELGNLSHSEKNVLPLENPREMMARWKLGNLDLKTVVKDALLSGRLPLAVLQLHLHQSEDFIVDKGPHDTFTEVRDIGRAVAYDLFMKGETELAVATLQRLGENIEYCLKQLLFGTVRRSLRAQIAEEMKRYGYLGPYELKILKDISLIESLYPSSGFWKTYHLRLKDTIGPSDPVSTLENRLRLLHNHSFDSLVIECGEIDGVVLDTWMNINENSSALEVDDDDDGYVGYWAAAAVWFDAWDQRTVDRMILNQSLRSDIYLLWESELDYHVCRNHWKEVFRLLDLMPAYALSAGSLQLNLDVVQSSSGCDAKSSNYGNFLCSIEELDSVCMEVPDVQIYKFSPDIFSGWIRMLAEEKLAKRFIFLREYWEGTMELVALLARSGYISGKNNVRLEDDPNETSLLRDGTVQALHKIFVHHCAQYNMPNLLDLYLDHHRLVLDLDSLYALQESAVDCEWARWLLLSRVKGCEYKASLANARSIMSHDLAPRSDLGVLELDEIIRTVDDIAEGGGEMAALATLMHASVPIESCLNSGGVNRHSNSSAQCTLENLRPTLQRFPTLWRTLVGACLGQDTMCLLVPKAKTALLDYLSWRDDIFLSTGRDTSLLQMLPCWFSKPVRRLIQLYVQGPLGCQSFSAFPMGESLLHRDIDLFESADLHTEISAVSWEATIQRHIEEELHSPLLEENGFGLEHHLHRGRALAAFNQILGHRVQNLKSERDGSNSSHGQSNIQSDVQKLLSPLGQNEDTLISSVLSTAILHFEDSMLAASCAFLLELCGLSASKMRIDIAVLKRISSFYKSSETNENLKQLSPNGSVFHAISHESDVTESLARALADEYLHKDSLVIASEVEAPTPSKQPSRALILVLHHLEKASLPPYVDGNTYGSWILSGNGDGNELRSHRKVSSQHWSLVTNFCRLHQLPLSTKYLCVLARDSDWIEFLSEAQIGGYPYDTVVQVASKEFSDPRLRLHMLTVLRGMQSKKKAGSASFLDTPEKSNPTPFPDENICVPVELFQILAVCEKQKCPGEALLMKAKELSWSILAMVASCFLDVSPLSCLTVWLEITAARETSSIKVNDIASQIADNVGAAVNATNALPVGDRVLTFHYNRQSPKRRRLITPISLDSSASAMSDISNTSINERIFDSQGKTMEDEITAEHCGSVNSANFSDEGPASLSKMVAVLCEQQLFLPLLRAFEMFLPSCPLLPFIRALQAFSQMRLSEASAHLGSFSARIKEEPTHIHANLGREGQIGTSWISSTAATSADAVLSTCPSPYEKRCLLQLLAATDFGDGGNAAAYYRRLYWKINLAEPLLRKDNELHLGNENWDDASLLSALEKNRHWEQARNWAKQLEASGAPWKSAMHHVTESQAESMVTEWKEFLWDVPEERVALWSHCHTLFIRYSFPSLQAGLFFLKHAEAVEKDLPARELHELLLLSLQWLSGMISLSNPVCPLQLLREIETKVWLLAVESETQVKSEGDFNFTFSIGENAIKNDSSIIDRTASIIAKMDNHINTMKNRTVEKYETRENNQISHRNQVVDAGLSTSFGGGTKPKRRAKGYVALRRPALESVEKSADTDDSSNTISFKNEVQLQEENLKVEMSFSRWEERVGAAELERAVLSLLEFGQITAAKQLQYKFSPGQIPSEFKLVDAALKLASMSTPPSNVSVSMLDEEVHSLLQTYGLLNDKRHADPLQVLESLVVIFTEGSGRGLCKRIIAVIKAANTLGLSFHEAFDKQPIELLQLLSLKAQESFEEAKFLVQTHPMPAASIAQILAESFLKGVLAAHRGGYIDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHALMRLVITGQEIPHACEVELLILSHHFYKSSACLDGVDVLVALAATRVEAYVLEGDFPCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAADTNTGTAETVRGFRMAVLTSLKQFNSNDLDAFALVYTHFDMKHETATLLESRAEQSCEKWFRRYNKDQNEDLLDSMRYFIEAAEVHSSIDAGNKTRNDCAQASLLSLQIRMPDFQWLYRSETNARRALVEQSRFQEALIVAEAYNLNQPSEWALVLWNQMLKPEVLEEFVAEFVAVLPLQPSMLTDLARFYRAEVAARGDQSHFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLRLRVQLATVATGFGDVTDACAQEMDKVPDNSAPLVLRKGHGGAYLPLM >RHN76995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3075777:3085649:1 gene:gene400 transcript:rna400 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDKELEEELLGAGNKLLDPPSSVDNLLDILIQIESCLSRVEQSPPESMLNALSPSLKALIADKLIKHSDADVKVALASCFSEITRITAPDAPYDDGQMKEVFRLIVSSFENLHDKSSRWYSKRTLILETVAKVRSCVVMLDLECDALILEMFQHFLKTIREHHPDNVFSSMETIMILCLEESEEISDDLLSPILDSVKKDNEEVLPIARKLGERVLESCATRLKPCLLQAVNTLGISLDDYGDVLASICKETSDNLAQNDVCATSGHVVHDRKSAEEPVEESAQVDSEITKEATPPQQDNAAGDRSPKSVMSNGIAQAGEDDTLDVSKSLEKQDGTDSPVLSKGNNLSGNDERDDMDTEKIDSKDPKLERSTIKKQDGTDSPVLSKGNNLSGNDERDDMDTEKIDSKEPKPERSVRRKGKKASSSKSTKPSKKSNVVSEKEAEKTADSKSSKKEVPISLNEDSVVEATGTSENDKEIKAKISSPKAGGLESDAAGSPSPSESNHDENRSKKRVRTKKNDSSAKEVAAEDISKKVSEGTSDSKVKPARPSAKKGPIRSSDVKTVVHAVMADVGSSSLKPEDKKKKTHVKGSSEKGLAKSSAEDEDKVTVSSLKSATKTTKDEHSEETPKTTLKRKRTPGKEKGSDTKKNDQSLVGKRVKVWWPDDNMFYKGVVDSFDSSTKKHKVLYDDGDEEILNFKEEKYEIVEVDADADPDVEEGSHRASPEPSADMPLKKKGKTNAGESKKEVKKESSKSGGATSSKSKTPSAKSNQKSKVAGKSDGEVTKKSKDSAQKTGGKSEDRSVKSGGKSEDRSVKSGSKSVDSAQKNNSKKTDGSKTKKSKDDDVETPKPAAAAKSKQETLKSGKSKQGTPKIASSSKTKSTKSTAKVKFNLLQEEDSDNENSDDSSKEVEVAKVKTPSSSKAGSEVKSGKKRSRN >RHN46793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34943676:34944632:-1 gene:gene41321 transcript:rna41321 gene_biotype:protein_coding transcript_biotype:protein_coding MHYVNFSFLTCHYVVYWKLVMLMFVKLTIAGKNTVKEEDFEDLTSDFVKDCDVSSISGSENDDDSVNESQGQSIIRGKSGESFKQKLFICLQTWQRVSLWKLKSVTVEPRDNTRLRIVLLASGRHFDGDTLVAHKTFHRPEKKQSAIDASGRTIHFDGASLRRYDKLALKKVF >RHN54194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7704984:7707257:-1 gene:gene29194 transcript:rna29194 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVLLCQSDHGSLIQNGRIFRYTPYADYYGNDSFWYTISDINGNLATASVYISVLNIPPQFASVPSQLQATEDLISPRFGGISGFKITYSNLSENISVNLSAQYGSIFLSPMLMQFGEPKWSELTINAGNETSTSLILEGSVEVINIALQSIQYLGNENFYGADTIQVSAKNKNGVNSLGVPIFVDPINDLPYIRIPYFIILRSNEDERLIFDKDKDKFDFYVGDPDLLTFPGGEAHFLVTFSMEVNDGLLETNLPSHLINTTELKHMNNFQWQPLQTYVTISKHFMVKASGIRFQATVNDCNTVMQQLYYHGDEHGATLTLTLNDMGNYGCYPDCEEGMSMQLYTEAIVNLMRKQPMDSFLAHRTIIVIEFVIIFCLGLLLLYFTCKCAILLVHERRKNEKRTSEASTDQSSEGQTVSAQCIDVFVFRNGFSHVKF >RHN70943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55281158:55281496:-1 gene:gene19579 transcript:rna19579 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGLGPTIVTCHKWNQMLKFRVYKLEYQCYLNHHFIEQFVETKIYKRIKSWQKTKTIDRENKNTM >RHN54228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7940610:7940938:1 gene:gene29228 transcript:rna29228 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMQSVEGATIDDGFVGVGRRESGNHHRIIVNRHSCQCKILSQSKQEYKQGGLV >RHN53677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3279756:3283308:1 gene:gene28609 transcript:rna28609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-aminocyclopropane-1-carboxylate synthase MKIIVPLQGVVQGTGGLFWGSVIPCALFYFLQLYFKTRNRQSPPSNNLPPPELPALSRTLSPRIRGSTASANISGRVNSIITTNSPYYVGLNKVADNPYHRIHNPDGVIQLGLAQNTLCVDLIEEWIRHNGSAAILGTPSNCGCLSITDLATYHGFMDFKVVVAGFMSQVLEKPVFFNPSHMVLTAGAIPAIEILSFCLADNGNAFLVPTPHSPGFDEAVKWRSGVDIVYVSCRSADDFNISITALDQAFNQAKKRSQKVRGVIISNPSNPAGKLLNRETLLDLLDFAREKNIHIISNEIFGGSAYRNEEFVSMAEIMEAEDHDRGRVHIVFDLANELYVPSFNVGVIYSHNENVVDASKKMAQFSTVSAPTQQLLITMISDTRFIQELIKTNKLRLQKMYNALVEGLEHLGIECTRSSGGFCCWADMSRFIRSYSEKGELELWDRLLNVAKINVTPGSSCHCIEPGWFRFCFTTLSENDIPVVVERIGRIFKTTS >RHN68653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37486567:37487921:1 gene:gene17031 transcript:rna17031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative argininosuccinate synthase MEGVDITGREDAIEYAKKHNVPIPITKKSIYSRDRNLWHINHVS >RHN74083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:26922199:26929515:-1 gene:gene10051 transcript:rna10051 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIKEIHTFLLPPGNLIKRGIFLLDTLFQLGDYHIFLVAIIGFLL >RHN58662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3752171:3754311:1 gene:gene20594 transcript:rna20594 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSTIPSPVFFSDDLLVEILSLLSVKSLLRFRCVSKSWNALISDPNFVKFHLKRSKSQNQLFTLVTKYIKTIMGESPYGSDYESETDYGIIPYPIPRLLDNPSFTLITDPRSLLNEKDCSGIAGSCNGLICLTGYRSIYWSDDDELYDYWLRLWNPATRKISPKIGCFRDLPGFVFNFGCDDSTDTFKVVASRYIRDRLTSEVRVFSLGDNVWRNIESFPVVHLNLYYEGFEHTDVFLNSTFNWLAIYNDIPITWYWFPDLEDITVEQIVIVSLDLGTESYNQYRLPRGFDEMPTEEPTVGVLRDCLCFSYSYKETDFIIWHMKEFGVEESWTQFLKISYHDLQLNYDFSAVTLKYHLQFLPVFLSKDGDTLVLSSSQEREAILYNWRDHRVERTGVTLHKTSFDDGNRSYLYWDFAKGFVESLIWIF >RHN76029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47116737:47119104:1 gene:gene12301 transcript:rna12301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MYAHSNSNNIPFSTPFLLHSSSPFMEQNNSIPDFTELDSFQDTLSQLNNSELSSGYSSYGGSPSPSTPILMQRSISSHSLQYNNGTHHYPLSAFFADLLDSDDAPVRKVCSTGDLQRINGMQHNHHHHVDSPLSSESSMIIEGMSRVCPYSPEEKKVRIERYRIKRNQRNFNKKIKYVCRKTLADRRPRIRGRFARNDEIDKKPIVEWSHIGGGEEEDEEDEKWNNIFNSIVAANLVHDEFQGSSSFGLLY >RHN50872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11309667:11309918:-1 gene:gene35217 transcript:rna35217 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLERRPLNTKPKEMLSQLKRRLRKAKPRGMLFSRPLRVMFWPILITTNPSSNLPSAC >RHN52437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35273927:35279217:1 gene:gene37117 transcript:rna37117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nonaspanin (TM9SF), major facilitator superfamily domain-containing protein MAKMNPLFILAFFFFFSTVRSDSSDKRYKEGDLVPLFANKVGPFHNPSETYRYFDLPFCIPDHLKEKKEALGEVLNGDRLVSAPYQLEFQKDKDSLSVCKKTLTKEEVANFRSAVRKDYYFQMYYDDLPIWGFIGKVDKEGKDPSDYRYYLYKHIHFDIFYNKDRVIEINVRTDPNALVDVTEDNEVDVEFFYTVKWKETNTPFEKRMDKYSQPSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEETAEDQEETGWKYIHGDVFRFPKFKSVFAAALGSGTQLFTLTVFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIVYNATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAEFQAPVRTTKYPREIPPLPWYRGAIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICFGFFLMLGTVGFRAALFFVRHIYRSIKCE >RHN52913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40305920:40306318:1 gene:gene37650 transcript:rna37650 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSPPQSHYRRKLQKNVTLKPTTPKPAQSKENTTSKGKYVRRKPPCKHYNFFVKGGLEESFVVIETGNAHLSKHNVVIEGINPDLAPDVKTSMKNASYNNMSLGEDMQATNTCSKRKHNGAKPKDLPTLV >RHN60679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29352943:29353101:1 gene:gene23030 transcript:rna23030 gene_biotype:protein_coding transcript_biotype:protein_coding MINMDDDLAGELNVFLCVLPMFHVFRLAVITYAQLRRGSAVVSTGRFELEGF >RHN73564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17695960:17704147:1 gene:gene9389 transcript:rna9389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MTQPSLSVSSSFTYDVFISFRGIDTRNNFTRDLYDILYQNGIHTFFDEEQIQKGEEITPALFQAIQQSRIFIVVFSNNYASSTFCLNELVVILDCSNTHGRLLLPVFYDVDPSQVRHQSGAYGEALGKHEKRFCDDKDKVQKWRDALCQAANVSGWHFQHGSQSEYKFIGNIVEEVTKKINRTTLHVADNPVALESPMLEVASLLDSGPEKGTNMVGIYGIGGVGKSTLARAVYNHISDQFDGVCFLAGIRESAINHGLAQLQETLLSEILGEEDIRIRDVYRGISIIKRRLQRKKVLLVLDDVDKVKQIQVLAGGHDWFGPGSKIVVTTRDKHLLAIHEILNLYEVKQLNHEKSLDLFNWHAFRNRKMDPCYSDMSNRAVSYASGLPLALEVIGSHLFGKSLDVWKSSLDKYERVLHKEIHEILKVSYDDLDDDQKGIFLDIACFFNSYEMSYAKELLYLHGFSAENGIQVLTDKSLIKIDANGCVRMHDLVQDMGREIVRQESTVEPGRRSRLWYDDDIVHVLETNMGTDTIEVIIINLCNDKEVQWSGKAFTKMKNLKILIIRSARFSRGPQKLPNSLRVLDWNGYPSQSLPADFNPKNLMILSLPESCLVSFKLLKVFESLSFLDFEGCKLLTELPSLSGLVNLGALCLDDCTNLIRIHKSIGFLNKLVLLSSQRCKQLELLVPNINLPSLETLDIRGCSRLKSFPEVLGVMENIRYVYLDQTSIGKLPFSIRNLVGLRQLFLRECMSLTQLPDSIRILPKLEIITAYGCRGFRLFEDKEKVGSEVFPEAMLVCKEGSAESLDMSSLNICPDNVIEVFSTSILDGNVVLMREGIAKGRGNWYEHESNESSLRFWFQNKFPRIALCCAVEPPVCKDNMLLDFKLSVLINGTEQFTSSCNYIFSAEQIIILCDLVCKVERSYLEHEWNQVDILYEFKYLMPCGSKRIMATHEMTTTRNPSWSFIYAYEEDNKVGIRFLSQFVECVEQHRRNIANYWFGSVLYKRSFSPLVEKERFVFPELKLKLFNLMVEKIVGPAIGIDLGTTYSCVGVWKHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVTRNPINTIFDAKRLIGRRFNDASVQSDMKLWPFKIISGPYEKPMIGVNYKGEDKQFSAEEISSMVLTKMREVAEAYLGSAIKNAVVSIPAYFNFNHRQATKDAGLISGLNVLGIINEPTPAAIAYGFDTTSFGEKNVLIFDLCGGTFDVCLLTIEEGIIGVKATTGDPHLGGEDFNNRMVNHFVQEFKRKNNKDISGNPRALMRLGTACERAKRALSSTAQTTIEIECLFEGIDFCSFITRATFEELNMDLFRKCMEQVEKCLRNAKMDKRSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCNSINPNEAVVYGAAFYAAILSDYL >RHN81011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42603374:42604312:1 gene:gene5000 transcript:rna5000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MGYVGSFELNGVHIKTRATSDEQKIENHIRSFLHRSNNHRTKVIGLDAEWLLLHGTEPGTVTKSKCATLQFCDGHSCLIIHLNGFNCFESWAYDSVHKSLLNFLRLPNVTFVGVGIKENLAKLEKQYGFGCRNAVELGPFAASVMKRPHLSFCGVDELAFVVCKLDLRKYRPLKTVYDWGCLSKELAKLATVNVYSYYKIGSKLLQCDVAGGRNAANGSSQAVARKRNKNKKVVNGTSQTGARKKSKDKEKKDVSGNSQAVARKRKRKGNGEKD >RHN44966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10331388:10332839:1 gene:gene39155 transcript:rna39155 gene_biotype:protein_coding transcript_biotype:protein_coding MNNWAPPLIAAALFWLLSPGMIFQLPGKNSPLEFMNMKTTIASIFVHTVLYGLLLMLFFVVLDLHLYIT >RHN54204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7771368:7773206:-1 gene:gene29204 transcript:rna29204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MTGSLFLVSIAFYFEGVTEKDSPLYSFLGILSVVGLVAMVIGYSLGLGPIPWLIMSEILPVNIKGLAGSIATLTNWLTSWIITMTANLLLTWSSGGTFLIYTVMAAFTVVFAAIWVPETKGRTLEEIQFSFR >RHN61692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37567633:37572881:1 gene:gene24181 transcript:rna24181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome maturation factor Ump1 MEEESKTIPHQIGGVHTDVLRFGLPGVKSDIVGAHPLESSLQSVRGVEEAMKRQCKVNLYGAAFPLKEELDRQILSRFQRPPGVIPSSMLGLETVTGTLDHFGFEDYLNDSRESETFRPLDMHHGMEVRLGLSKGPVYPSII >RHN73841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20543994:20544610:1 gene:gene9723 transcript:rna9723 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPEGFKWKMVFSSRYFSGITGLITCSFKSAAISSLVTVSSCCVEIRTVWTRIGTMAPLSLKYSIVTWVFPSGLNQGHVPFLRTSVSLAPNLVARTWVKGISSGVSSVAYPNM >RHN52651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37384882:37385505:-1 gene:gene37345 transcript:rna37345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFHFSASSTKCFRGTMLFLFLFEEMHCKNIIPDVVAYNSLIDGFCKSGKISYALKLVDEMHDRGQPPNIITYNSILDALCKRHHLDKAITLLSKLKDQGIQPNIYTYTILIGGLCKGGRLEDARKVFEVILVKGYNLDVYAYAVMIHGFCNNGLFDEALAMLSKMTTDNNCNPNAATNEIIICSLFDKNEIDKAKRLLHEMIVRGLV >RHN57492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39512807:39517222:1 gene:gene33041 transcript:rna33041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligonucleotidase MENLVNSFSVLELDADDSTIQPLSLPSGSSGKKANGKALPVKIEKQDQHNPYLSDYRLPLVWIDLEMTGLNIELDRILEIACIITDGNLTKSVEGPDLVIHQTKECLDGMGEWCKSHHAASGLTKKVLQSTISEREAEEQVIEFVKKHVGGTYKPLLAGNSIYVDLQYMKKYMPELAGIFSHVVVDVSSISALCIRWYPKDQKKVPTKQKRHRALDDIRESIEELKYYKANIFKPKVKK >RHN75471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42535088:42537465:1 gene:gene11687 transcript:rna11687 gene_biotype:protein_coding transcript_biotype:protein_coding MILSHKLSLYITTIFSSTFSNLVICHLLLFLAFTSFNMAFVHVITTLLFALALARIDPSVCQMVKGKVSCNDCAQDYDFSGIKVSMKCEGVKNMAMATTENDGSFMVDLSTSHAKLPYDNCHAKLLGGPNNLYASRRNQFSQIVKGKEENSYTLSTPLSFFTSCPQNKECKTEKNEFGSSKSINFPLPPEWGLAPSSYYLPFFPIIGIP >RHN72231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5953895:5955905:1 gene:gene7918 transcript:rna7918 gene_biotype:protein_coding transcript_biotype:protein_coding MACSVAFNNKNLEISFFVFKPTIVIIDDLVHGLKQFSLTTETLGCVQSSIFRSIHGNMIIWYGAWQKQSTKEKELLTLTLKSMLTKVSTMAKLIEHSFLEAYAGESRDGSSTAKFSTGDILSINSAGTNSRDDLNDLSYAVLALFRSRFAKMEGMTSGLCLKGQSRPIVVCIHVWKSLHFCYSWILNSDHRKWMMPYLEKFAIDMKYDIFKVVYVSGDNVVDVNYISHHQMLENGKGNIERQIMQN >RHN51600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20748324:20751625:1 gene:gene36072 transcript:rna36072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MFGSASNGKCLSSDYSFLDSFMVPHHNRRAKDMLLVLWKASSSPWLKVNTDDSVLGGHAPCGGIFRDYLGTFRGAFCCNIGIQSVFYSEVLGFIIAIEFAACKGWRHIWLESDSTSALLVFSNPFLVPIMLRNRWHNARRLGVQVIASHIFREGNCCADKLASLGHSSVGQVWFDILPTDLYLDFFRDRCRLPNYRLP >RHN46272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30301773:30303146:-1 gene:gene40741 transcript:rna40741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MEGLMKLLCIVGIAVLVAGTHRVESAGECGRGTTPDNEAFKLIPCASAAKDENASVSQSCCAQVKKLGQNPSCLCAVMLSNVAKMSGANPQIAVTIPKRCNLADRPVGYKCGPYTLP >RHN68472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35850056:35852271:-1 gene:gene16834 transcript:rna16834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wound-induced protein, Wun1, subgroup MRTLTGDTTAVDTFRFVPQSVISFGSTVIVEGCDTSRAISWVHALTVVDGIVTQVREYFNTSLTVTHFAGKDSGEIVPANPGGFHCVWESSVSDRVGKSVPGLVLAI >RHN39963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11915461:11925171:1 gene:gene46047 transcript:rna46047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione-regulated potassium-efflux system protein KefB MDIGFSLPQSKVAFNGLDSCCAFVCNSRNVLKARCGRELRVSTLKLNLLCRSSKLFRGNRGVWLKCQGNDSFAYDNGNGRNVDNLKGLNEESNLGSISGAESGEPLGEVGGQVEVDVQSVDELKELLQKALKELEAARVNSIVFEEKVKKISETAISLQDEASRAWTDVNSTLDIIQEIVSEEFIVKEAVQNATMALSLAEARLQVAVESLEVVNEDYNSVRGSNESDGGKGVGQEDKERVVAREDIKDCQTNLACCEAELRRLQSRKEELQNEVNKLHEIAEKAQLTAVKAEEDVNDIMHLAEQAVALELEAAKRVNDAEIAFQKANKSFVSVNSDTTDTLPVEDVVALPEEEKLVQHFSGDAAVKGELDLSSNDESLLAAESLETQSNKTSQTLEETTESDYLSDLDNEQLSLDSSKEAELEVEKSKNVVQTKKQETQKESTRDNSPSSPKSSLKKSSRFFPASFFSSSTDEFDYSLASAFNDLVESAQKQLPKLIVGLLLVGAGLTFYANRADRSSQLLRQPEVVATTVEEVSSSARPLVRQLQELPNRIKKVIASIPEQEVSDEEASLFDMLWLLLASVIFVPLFQKIPGGSPVLGYLAAGILIGPYGLSIIRNVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGVGSAQVLLTAAVIGLVAHYVCGQAGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGLAAVKAAVSITAIIAGGRLLLRPIYKQIAENQNAEIFSANTLFVVLGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPVIIGTLGLLICGKTLLVALIGKIFGISIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSSQLSSLLFLVVGISMALTPWLGEGGQLIASRFEQHDVRSLLPVESETDDLRDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSERVAIGRSLDLPVYFGDAGSREVLHKVGAGRASAAAITLDSPGANYRTVWALSKHFPNVKTFVRAHDVTHGLNLEKAGATAVVPETLEPSLQLAAAVLSEVKLPASEIAATINEFRSRHLAELTELSETSGSSLGYGYSRMMSKPKTQSPDSIDDSQVPEGST >RHN75332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41347315:41347792:1 gene:gene11516 transcript:rna11516 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTSASPFNPNGLFPFRQSCCFHSFCLGSELFFVSLPRRRNLAVAAMIGVLVRRFAASCCAWGFVPNLIFTVVVVWFLAFVGGVVASYKLRCRCVIGCVLLLAGCVLFVDDRCFRRFDWMDGDLSILDVVCFKNTKGSVWLLA >RHN80437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38163204:38164850:1 gene:gene4365 transcript:rna4365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reticuline oxidase MFNFFKRLCLLIFFLLLSFDVSVCLSSSLGNFKFCLDNNKIKNFTVFPYKEHDQSYANDYYKILNFSVQNLRFTEPNIPKPIAIVLPKTLVQLQNSVACCREFSLEIRVRSGGHSYEGTSSVADDGTLFVIIDMMNLNHVWVNMETKIAWVEGGATLGETYYAISQASDAYGFSAGSCPTVGVGGHIGGGGFGLLSRKYGLAADNVVDALLVDADGRVLERATMGEDVFWAIRGGGGGLWGIVYAWKIQLLKVPQVVTSFIASRTGTKNHIAKLVNKWQHVAPNLEDEFYLSCFVGAGLPEAKRIGLSTTFKGFYLGPMSKAISILNQDFPELDVVDEECREMSWIESVVFFSGLNDGASVSDLRNRYMQDKEYFKAKSDFVRSYVPLVGIKTALDILEKEPKGFVILDPYGGMMHNISSESIAFPHRKGNIFTIQYLIYWKEADNDKGSDYIDWIRGFYSSMTPFVSYGPRAAYINYMDFDLGVMELISFDDDLVKARVWGEKYFLSNYDRLVRAKTLIDPDNVFTNQQGILPMSFASSNAKELLSS >RHN55248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16409284:16411682:-1 gene:gene30395 transcript:rna30395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MAWSTSSNTPQQKHEVFLSFRGEDTRYTFTSHLHATLTRLDVGTYIDYHLQRGDEISSALLRAIEEASLSVVVFSKNYGNSKWCLDELVKILECKKMRGQIVLPIFYDIEPSDVRNQTGSYADAFVKHEERFHGNLERVQKWREALREAANLSGWDCSTNRMESELLEKIAKDVLEKLNRVYVGDLDQKIAKYEELAKLQYQFFVSTLNTVDLNKHNATVARITELKMERSLRLLRVTPDML >RHN68104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32880647:32888760:1 gene:gene16403 transcript:rna16403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MGLGLLASKTLRPTTTRLLLLRSITTKPELRKPEPAAQPQPEQPLVDLTPRTPVAGARVHFTNPDDAIEVFVDGYPVKIPKGFSVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPIAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTDMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFASEVAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKNTETIDVTDAVGSNIRIDSRGPEVMRIVPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGPDGRFKPVNWREALSLVAEVAHQVKPEEIVGISGKLSDAESMIVLKDFLNRLGSNNVWGEGIGVNTNADFRSGYIMNTSIAGLEKADAFLLVGTQPRVEAAMVNARIRKTVTANHAKVGYIGPATDFNYDNEHLGTGPQTLLEIAEGRHPFSKTISNAKNPVIIVGAGIFERKDQDAIFAAVETIAKQGNVVRSDWNGLNVLLLHAAQAAALDLGLVPQSEKSLESAKFVYLMGADDTNLDKIPKDAFVVYQGHHGDKSVYRANVILPAAAFSEKEGTYENTEGCTQQTWPAVPTVGDSRDDWKIIRALSEIAGVRLPYDTIGGVRARLRTVAPNLAQMDEREPAALPSSLRPTFTKKVDPTPFGIAVENFYMTDAITRASKIMAQCSATLLKK >RHN69832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46641516:46643489:1 gene:gene18353 transcript:rna18353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MAPLNCSRLTMISLVLSVLIIGSANAQLSTNFYSKTCPKLSTTVKSTLQTAISKEARMGASILRLFFHDCFVNGCDGSILLDDTSSFTGEKNANPNRNSARGFDVIDNIKTAVENVCPGVVSCADILAIAAADSVAILGGPTWNVKLGRRDAKTASQSAANTAIPAPTSNLNTLTSMFSAVGLSSKDLVTLSGAHTIGQARCTNFRARIYNETNINAAFASTRQSNCPKASGSGDNNLAPLDLQTPSSFDNNYFKNLVQNKGLLHSDQQLFNGGSTNSIVSGYSTSPSSFSSDFAAAMIKMGNIKPLTGSNGEIRKNCRKTN >RHN64116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56700190:56700498:-1 gene:gene26899 transcript:rna26899 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFSFHSIIVWVEVTITGLSWLEPLVLPMGRQEVVISSHHVLTGFNQSVHGSSQSWRGDDIYPFFYQFGSTTSVGNPILDLPNWVQLGYTEQYPLQKLKYY >RHN59071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7294570:7294904:-1 gene:gene21058 transcript:rna21058 gene_biotype:protein_coding transcript_biotype:protein_coding MWDTPVYLKGTLMLIGYQILMRPNPQVDLFFLLVGLPSLGSHPSRPV >RHN41915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34074291:34075348:1 gene:gene48290 transcript:rna48290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative blood group Rhesus C/E/D polypeptide, ammonium/urea transporter MVYFKTLQAQVKFCKTKIKIQIYMQIKLLINVDEWQVGPRTSNDRQNFPPNNIIHMLGGAGFLWMGWTGFNGGAPFQVGEITSLAIFNTHLCTATSILVWISLDMAVYKKGSLIGSVQGMMTGLVCITPGAGLVDPWAAILMGALSGSIPWYTMMVLHKKSPFFQSVDDTLGVFHTHAVAGILGGILSGVFAKPKLLRILYGPYGSGLLYSYFDDNIGQGIKQMWYQLLGAVFITIWNVVITSLICILLNRFVNLRMQEEDLEVGDDAAHGEEAYVLWGDGERMRLPLRRDISPIIPYISHQRHSFPINKIDE >RHN47111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37205700:37207712:1 gene:gene41667 transcript:rna41667 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEKPTLGGTRIKTRKRNIAAPLDPAAFADAVVQIYLDNAGDLELIAKSIESSDLNFSRYGDTFFEASLLNQQNICCLFVHFSIKCFIFAILI >RHN50196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5034930:5040382:-1 gene:gene34459 transcript:rna34459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MVKSSTRSYDVFLSFRGVDTRESFTSHLCTSIQMSGIVVFRDDDSLRKGDLISTTLLRAIEESRIVIIVFSRNYAGSRWCLIELEKIMECYRTIGLVVLPVFYGVDPSEVRHQTGEFGKSFQLFLNRNGISKEDELKWRDVLREAAGLSGFVVLKFRNESEAIDDIVENISRLLDKTDLFIADNPVGVESRVEDMIQRLNIQQTNENVLLGIWGMGGIGKTTIAKAIYNKIGRKFAGRSFLANIREVWEQNDGPVCLQEQLLFDICKDTKTKIRSIESGKTILMDRLCHKRILIVLDDVSELEQLNALCGNRKWLSSGSRIIITTRDMHILRGNRVDQVCKMKEMDESESIELFSWHAFKQASPIEDFAGISRNVVEYSGGLPLALQVIGSYLFDRGIAEWKCVLEKLIRIPNDQVQKKLKISYDGLNDDTEKKIFLDIACFFIGMDRNDVTLILNGCELFAEIGVSVLVERSLVTVDDRNKLGMHDLLRDMGREIIREKSEEPEGRSRLWFDKDVFDVLSEQNGTKVVEGLALKLPRENAKCFSTKAFKKMEKLRLLQLAGVQLDGDFEHLSRNLRWLSWNGFPLTCIPSSFYQGNLVSIELVNSNIKLVWKKTQRLEKLKILNLSHSHYLTQTPDFSNLPNLEQLVLTDCPRLSEVSHSIEHLNKILLINLEDCIGLQSLPRSIYKLKSLKTLILSGCSMIDKLEEDLEQMTSLTTLIANNTAITRVPFSVVRSKSIGYISLCGYEGFSRDVFPSIIWSWMSPTNNLTSPFQTVAAMSSHVPLDVLNSSSHELSSISNYLPWLRCLWVECGSKLQLSQDAERILDALYATNSKEFESSDTSQLSNVKTHALLQYCSQVHISESKTSLRSFLIQMGMNCQVTTMLKENILQNMVVNGCEGCLLSGDGYPNWFSFNYDGCSVKFEVPQVEGRILKTMICVVYSSPPNNVVSDGLKNLMVKNYTKATIQLYKREALVSFKDDEGERLVSSIEPGNQVEVVVVVENDFIVKKTIIYLIYDESIGKTMDQSHVIDKDNRRKKILKWCC >RHN56609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32215360:32218971:1 gene:gene32039 transcript:rna32039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamoyl-CoA reductase MSKVVCVTGGSGCIGSWLVHLLLHRGYIVHATVQNLNDENETKHLQALEGAQTNLRLFQIDLLNYDTVLAAVHGCDGVFHLASPCIVDKVLDPQKELLDPAIKGTLNVLTAAKEVGVKRVVVTSSISAIIPSPNWPSDVVKREDCWTDVEYCKQKELWYPMSKTLAEKAAWDFSKENGLDVVVVNPGTVMGPVIPPRINASMLMLVRLLQGCTETYEDFFMGLVHFKDVALAHILVYENKEATGRHVCVEAITHYGDFAAKVAELYPEYNVPKIQRDTQPGLLRAKDGSKKLMDLGLEFIPMEQIIRDAVESLKSKGLIS >RHN50886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11447951:11459032:1 gene:gene35235 transcript:rna35235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidinol-phosphate transaminase MGIINLQNSSALCLVDSSHSNLQQRRKFVTMASSMIPPSVEQVNNGPLQVTTTGDSFIRQHLRKLAPYQPILPFEVLSSRLGRKPEDIVKLDANENPYGPPPEVMEALGSIRFPYVYPDPESRRLRAALAQDSGLESEYILVGCGADELIDLIMRCVLDPGDKIVDCPPTFTMYEFDAAVNGALVIKVPRRPDFSLNVEQIIEVVKQEKPKCIFLTSPNNPDGSIIDDDDLLKILELPILVVLDEAYIEFSTIESKMSWVKKHDNLIVLRTFSKRAGLAGLRVGYGAFPLSIIKYLWRAKQPYNVSVAAEISACAALQNPTYLENVKDALVKERGRLFDLLKAVPFLKPFPSHSNFILCEVTSGVDPKKLKEDLAEMGVMIRHYSNKELKGYVRVSVGKPEHTDVLMNCISRLS >RHN81392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45504225:45510562:-1 gene:gene5421 transcript:rna5421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartyl aminopeptidase MASTITRPHILLLHSSSPSLKLNLKPSSPFLRYRNLPNSSPFIPRRVFCSTNSTSSKSQSASIVNDLLDYLNESWTHFHATAEAKRQLIAAGFHLLNENEEWNLKPGGRYFFTRNMSCLVAFAVGQKYDVGNGFYAIAAHTDSPCLKLKPKTASLKASSYMMVNVQTYGGGLWHTWFDRDLSVAGRVILKRSDKSFVHKLVKVSRPILRIPTLAIHLDRTVNQDGFKPNFETHLLPLLSMKLEDTSAESKEKTAKLSSKASHHPLLMQILSEELKCDVDEIVSIELNVCDTQPSCLGGANNEFIFSGRLDNLASSYCALRALVDSSESPDDLASEQAIRMVALFDNEEVGSDSAQGAGAPTMFQAMRRIVASLANSYVGEGSFERTIRQSFLVSADMAHGVHPNFADKHEEHHRPELQKGLVIKHNANQRYATSGITSLLFKEVGKIHNLPTQAIPLYYFSFCLNYLFEFVVRNDMGCGSTIGPILASGVGIRTVDCGIAQLSMHSIREICGKEDIDIAYKHFKAFYQNFSSIDKMLTVDS >RHN55506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19097705:19103271:-1 gene:gene30697 transcript:rna30697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MNMNMNMVVDTEKKQETIKEKDLFKAAEEGDSSTFESLSSESLSKALSLRNEDDRSLLHVAASFGHSKVVKILLSCDASAEVINSGDEEGWAPLHSAASIGNSEILEALLNKGADVNIKNNGGRAALHYAASKGRMKIAEILISHNANINIKDKVGSTPLHRAASTGHSELCELLIEEGADVDAVDRAGQTPLMSAVICYNKEVALLLIRHGADVDVEDKEGYTVLGRITDDEFRSILIDAAKTMLE >RHN39520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7857760:7859781:-1 gene:gene45548 transcript:rna45548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Trihelix family MDDDDEIHSIHSNPSPASGSPSPVSSSGAIGSISVTVAAPAANSYALALPIQNTTTRGSNGGGREDCWSEAATAVLIEAWGERYLELSRGNLKQKHWKEVAEIVNGRGDYLKAPKTDVQCKNRIDTVKKKYKSEKAKIGAGGGSGGVTTSTWRFYDRLDQLIGPTAKISGVSGTSHTGNSNLPQHKVPLGIPVGIRAYGARGANQVNSQKKNIQQAQLNYQKIQLRPRVSELNSSDNSSEKEALSPVSSDSLPPPERKRAKVMNSNSKGRGWGSAVRELTQAIVKFGEAYEQAETSKLQQVVEMEKQRMKFAKDLELQRMQFFMKTQVEISQLKLGRKSVNVNGNTSNHQNNNNVSSNNNNSNHNNNSDSE >RHN82315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52786343:52793553:1 gene:gene6471 transcript:rna6471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide repeat protein 5, OB MENSGSGEEEALLVKAAKSTDELYLLRDTYFPQNPDDRTSNLQQHSDLILTLLDSVPPEERKSPTQRAIFEYLRGKVLDVFPEYKKEAEDHLSKAVKLNPSLADAWLCLGNCIWKKGDLSAAKNCLNLALNKGPNKKILCQLSMLKRSMSQSADNQAELVDESIQHAKEAIALDVKDGNSWYNLGNAYVTSFFVTGGWDHTRLSHSLKAYQNAEKDEGIKSNPDFYFNSAIANKYLENYERALSGFEAAASKDPGLNAADEVQKIVNLLDNVDNLLRVHVRAKRIAALAASLAAVNLKLPYRTVTMDLLSEGLNRTLAVDGKVLFFIRSEGVAPLYYLLCDSNQTCFVLSIYGVRQDVIKGGDQLTLLDPCFRDVDVSWKEKHYQFKSIRLDFYEQVLVNGKALTPQQAIRTSIYAQHKP >RHN68668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37613534:37614956:-1 gene:gene17049 transcript:rna17049 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLVNTSFQILCLVQFADHKQKHELAVPQWRALNFPPTFH >RHN76292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49176700:49177822:1 gene:gene12591 transcript:rna12591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prenylated rab acceptor PRA1 MTNYGTIPTSSSPPATNLEFITRAKDRLKSGLGTRRPWKLLVNLRSFNLPSNFHDAISRIKTNISFFQMNYAIILLIILFLSLLWHPISLIVFVVLIAAWLFLYFLRDEPIVIFGRLISDRVILVLMLILTVGLLLLTGAILNILIAVAVGVVVILLHAAFRNTSDLFLDEEEGHSFSPPGAPVS >RHN77524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7423196:7425360:-1 gene:gene988 transcript:rna988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monodehydroascorbate reductase (NADH) MAAEEGQVIGVHTVEQWKEEIQKGNDSKKLIVVDFTASWCGPCRFIAPILAEIAKKIPEVIFLKVDIDEVKSVAKEWSVEAMPTFLFLKEGKEVDKVVGARKEELENAITKHKDATVATA >RHN49936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2452135:2461862:-1 gene:gene34173 transcript:rna34173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MKRARDDIYSASASQFKRPFGSSRGDSYGQGPGGGGGGGGGGVNGGGGGGGGATTSQKLTTNDALSYLKEVKNMFQDQKEKYDMFLEVMKDFKAQKTDTTGVIARVKELFKGHNHLIFGFNTFLPKGYEITLDEDEDEAPPKKTVEFEEAISFVNKIKKRFQNDEHVYKSFLDILNMYRKEHKTITEVYSEVATLFKSHGDLLDEFTRFLPDNSSAPSTQHAPFGRNSMQRLNERSSMAPMMRQMQVDKQRYRRDRFSSHDRDISVERPDLDDDKTMMNFHKEQRKRESRDRRMRDHDDREHDLDNSRDLHSQRFPDKKKSVKKTEAYDFAAHDDKDGMKLMYSQAISFCDKVKEKLSSAEDYQTFLKCLNIFGNGIIKKNDLQNLVTDLLGKHSDLMSEFNDFLERCENIDGFLAGVMSKKPLAGDGHLSRSSKLEDKEHRRETDGGKEKERYKEKYMGKSIQELDLSDCKRCSPSYRLLPADYPIPTASQRSELGAHVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSAAKRADELYNSIVENKISVESLSRIEDHFTVLNLRCIERLYGDHGLDVLDILRKNPTHALPVILTRLKQKQEEWNRCRSDFNKVWADIYSKNHYKSLDHRSFYFKQQDSKNLSTKSLVTEIKEIKEKQQKEDDIVQTIAAGAKHPLIPHFEFDFSDAEIHEDLYKLVRYSCEEVFQSKELFNKIMRLWSTFLEPMLGVTSQSHETERAEDRKVGHNARSSVAPNVGGDGSPNRESNSRLPKSDKNEVDGRVGEVKNGHRTSVAANDKENGSVGGELVSRDDHLMDNKGQKSVESSDKAPGFVKQFSSDEQAARNSASVTIRGESSVHMSPGRVLTPTRPTDADVSVAVVIAKSPSVNVPLVEGVAAAPPVPVANGVLVENSKVKSNEESSVPCKVEKEEGELSPNADSEEDNFVAYGDSNAQSNQNDDRRKYESRNGEDEHRPEAGGDNDADADDEDSENVSEAGEDVSGSESAGDECSREDHEEEDMEHDDVDGKAESEGEAEGMCDADAQTGVDGSSLPLSERFLSTVKPLTKHVSAVSFVEDVKDSRVFYGNDDFFVLFRLHQILYERILSAKENSTSAEIKWKTKDASSTDLYARFMDALYNLLDGSAENAKFEDECRAILGNQSYVLFTLDKLIYKLIRQLQTVATDEEDAKLLQLYEYEKSRKPGKLNDSVYHSNAHVILHEENIYRFQCSSSSSRLSIQLMDNMNEKPEIAAVAVDPDFSFYLHNDFLSVLPGKKEPHGILLERNKPKYGDLDELSAICAVMEDVKVVNGLECKISCNSSKISYVLDTQDFFFRPRRKRRTSSTTSSSSSTASSRSRREREERFRKLMASST >RHN81821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49164483:49165729:1 gene:gene5912 transcript:rna5912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MAQQKVVLKVLTMTDDKTKKKAIEAVADIYGVDSIATDVNEQKLTVIGEMDTVAVVKKLKKVGKVDIISVGPAKEEKKEENKDEKKEEKKEEKQEEKK >RHN54716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11544533:11544802:1 gene:gene29794 transcript:rna29794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S13 MNMRAGKLSAAELDNIMTVVANPRQFKVPYWFLNRKKDYKDGKFSQVVSNQLDMKLRDDLERLKKIRNHRGLRHYWGLCVRGQHTTTTG >RHN65819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5636257:5636508:-1 gene:gene13709 transcript:rna13709 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTERTQSMKEWFISSQALLSMLLVQIFGTGIQLLSRVILVQGTFIFALNAYRQIVAAVCVAPFALYFERLLIYFNLILICQ >RHN68094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32799914:32802971:-1 gene:gene16391 transcript:rna16391 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSNSISPPSSPPPPPPPPPPQASLRNPFPSELLPPTRDFLEERPHKRRRIKQSQKKSLINNFELQPHVTKILRSNDFSSLLETIQIFIQSKDPSFQTVFKSLAHHYPNAFAFKLAKLLETHPKLEIRNEAVSILLHIFKKSDKWNPSMLNQLKEPLINSLKKEYSESLFQPLCETIGLCAARVYQYPYLGGWIKLLQYVCDCFSGGNVRLMKGLIMLAEFPEEVVENREFWLDQGNFDAVYSDLLKFAYSQKEDLQELTFNASLTVMKMSKDLERTEVCDSLLPILLGFIDLQDGEDKDLPDMLKQLENLVTLDIETIFYGKEGDVFWCMIRVAEMEDASEELRSAAVTVIKELDEANSDGMESVVKKFSPEEVKRVFSVIIDMMSHVVDDPVWYDVDDKNCKDVGLIEDYNRGKFLLNLLSFDGDERVFVPIAIEMIESKYAVHSDWRVRYAAMLAIDAIADKNFKGEMISYIHQALTLVHKSLNDMNPRVLWATMHAIKCLSEYKEILKDSQFHLKFLAKLISIIKVSLRPRVQFMYSEQRRISQLNVKAEHVLYAQAVIAIRFLVTNCGLEKIFSVGEEIIVLLLKLLKHEKQKLQEEALETLKPVAVLMPAIVYQNHYDTTMAALQVLFDNCNSPKLLLIRSKCLECVCTLVKNCGRDKIKENEVDAVSISSNSYLNFKLASCFNLTGVILTCQLLC >RHN50747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10076601:10079591:1 gene:gene35072 transcript:rna35072 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDDSPQFLEVTCRTSGKTLRFAPGTDAGFAVALINRKLKGTLPIATHIEAVKDGKEEETIAFGSNAILSNFGHNWKLQTVLSSEEQRKGMAKETPRHVAGGKSREPNQISSAYIVKIILAFILIFVLGAIFTLFLDNLPELIVFVKSMI >RHN52010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30192680:30194264:1 gene:gene36617 transcript:rna36617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKHMAQFLLFVYSLIIFLSLFFGEAAFERTETRMLTIPCTSDANCPKVISPCHTKCFDGFCGWYIEGSYEGP >RHN43208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44035007:44035622:1 gene:gene49748 transcript:rna49748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative defensin, plant MERKTLGILFMLFLVLAADVAVKTAEGRRCESQSHKFKGPCVSDSNCGSVCRGEGFIGGDCRGVRHRCFCTRNC >RHN76431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50192639:50194437:-1 gene:gene12755 transcript:rna12755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller MELISCLPEDIARECLVRVSYQEFPAVATVSKGWQTEIQTPEFRRVRRSTGHAQKILVTVQSKFDSEKSKTGLLAKATANPVYNLNVLETETGIWSELPMGPELCEGLPLFCQIAGVGYDLVVMGGWDPDSWKASNSVFIYSFLSAKWRRGADMPGGPRTFFSCASDHERMVYVAGGHDEEKNALKSAFAYDVVDDMWIPLPDMARERDECKVVFCAKDNGSGTIKVVGGYRTEMQGRFERSAEEFGVATWRWGPVEEEFLDDATCPRTCVDGCDLERKMYMCKGDDVVALDGETWQVVAKVPREIRNVACVGAWVDALLLIGSSGFGEPYMSFVLDLKSGVWSKLENPENFTGHVQSGCLLEI >RHN64556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60007751:60014125:-1 gene:gene27381 transcript:rna27381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MKAGFNGLRGKEISLISIVLMCATILLWSWEKTPGLTAFLPPQSPLQFSSEILSSVSDEETKHEGVLEAGKSHGVQASSSLTTSEAKSNEAKEEKNSKQEEPKIDLVINIPQKSYIPVRKESWNNATEYKACNYAKGKWVPDNKHPLYSGFGCKQWLSAMWACRLMQRTDFAYERLRWQPKDCQMEEFEGSKFFRRMQNKTLAFVGDSLGRQQYQSLMCMVTGGEETNDVEDVGKEYGLIVAEGSARPNGWAYRFSRTNTTILYYWSASLCDVEPIDVNNPDTDYAMHLDRPPAFLRQFIHKFDVLVLNTGHHWNRGKLNANRWVMHVGGVPNTDRKIAVIWAAKNLTIRSVVSWVDSQLPKYPGLKAFYRTISPRHFFGGEWNTGGSCDNTKPMSVGKELLDEESSDQVAASAVKGTRVKLLDITGPSQLRDEGHISRYSLTAKPGVQDCLHWCLPGVPDTWNEMLFAQI >RHN75846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45501149:45502141:-1 gene:gene12100 transcript:rna12100 gene_biotype:protein_coding transcript_biotype:protein_coding MRELDSKERKFEGQVKELESKDNQLVGKMMEFESKERGFECQMEEQVLKQKHYKSQMKELESKGKQLEDQLQEHESKEKEFEGQAKELESKKKHFESMMVELKSKLRLLKVQFQEFDSKEKQLDGQVKEVESKKDHFERQVIEFESKKKESQSKDNELIGKIKKFETKEKEFECQMMDLLSNQKRIENQMKELESKEEKFEGKVKEFQSKEEEFEEQVKGIKSKEEELESQKEHLKSQVEDFKSKEQRFKRRWNELELKEYKFKVKVKELNLKEKQFEGQVNDPESKLNKFDGQIKEPESRKKYFDGEKESGKCSYSSSLLKEFFYNCSS >RHN41785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32951334:32957262:1 gene:gene48145 transcript:rna48145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-alcohol oxidase MENTNRESNSRSGSFRLGLGMNEAKVHTVVELGGFDTKTLLFDNIEESDQKLLPPLRNSLSPTQMKSLVALCDTMLPSIKDNNVAASSDEFLNNFYRTSASMAGTPELVANLVSEKLKHPSTWLLKLTLWLLSTWFGTLILCGIASISTKFPFFHSYPKLSPEKRQKVMLSWSVSYLRPLRMLFRTIKLLTLLVFFTQVDEEKNNPSWKAIGYSGPDQEFKAQRKNKILHKTSKEGRQEKEDCDHDDEEVIGPLYEGLVNLNYPRDIISDSLRRFGFPVSVTPPTNKASTISSPSLVIQCDAVVVGSGSGGGVVAGVLAKSGYKVLVLEKGSYFARNNLSLLEGPTLDQMYLANGLIATDDMSVLVLAGSTVGGGSAINWSASIKTPSHVCKEWCDRHELELFESELYKEAMDAVCEKMGVQSEFEEEGFNNAILRKGCQEMGYPVSNIPRNAPPDHYCGWCCLGCKDGKKKGTSETWLVDLVKSGNGAILPNCEAIQVLYREKKGTDRKIAKGVAFEIDYNGKKDICVVESKVTIVACGALCTPSLLKRSGLKNENIGRNLHLHPVTMAWGYFPDAPSSPELWPEGHKKSYEGGIMTAMSTVVADFNATGYGAVIQTPSLHPGLFSVLMPWSSGMDIKDRMRKFSRTAHVFALARDQGSGTVDSPTRISYQMKNVDKENLHKGIEKVLRILAAAGAEEIGTHNNKGRSLNVKEVSYHEFEKFVKEESSMPLTDLSTPVCSAHQMGSCRMGTNPKESVVNQTGETWEVEGLYLADTSVFPTALGVNPMVTVQAIAYCTAQHVLEVLKRKR >RHN65984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7268835:7272720:-1 gene:gene13893 transcript:rna13893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MGCETKTWLILSLLLLGASYMQQCVYGAPQVPCLFVFGDSLSDSGNNNDLVTTAKVNYKPYGIDFPTGPTGRFTNGLTSIDIIGQLLGLDFIPPFASLAGWDILKGVNYASGSAGIRYETGKKTGDNVGLGTQLRRHEMIIAQIAIKLGGVAQASQYLNKCLYYVNIGSNDFIDNYFLPKLYATSRRYNLEQYAGVLIDELSKSIQKLHDNGARKMVLVGVGPIGCTPNALAKNGVCVKEKNAAALIFSSKLKSLVDQLNIQFKDSKFVFRNSSADIFDSSKGFKVLNKACCQSSLNVFCTLNRTPCQNRKEYKFWDGFHPTQAANQIGAINSYNSSNPKIIYPMNIQQLVKA >RHN62420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43307322:43309658:-1 gene:gene24996 transcript:rna24996 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQNHSYMFVAIREILSHPLMIFLILYKKTFIVHSIFHTQHLSQTLETTLIFIMGATSMSRVTRYLPVGFRRELSRQKLQQYADTSFDDVEFEFLDDCDMGLGIGNSSSSDEFHHDNHMELDVEEDDDKCEKVNEDSNNEKNRNFWDSQFQLLQTNLYRTSSMEISIRNATKEAIEKINGSKLECICSGQSGANRSCRHCFMRQVSSQLQNAGFNSAICNTKWINSYTLPSGEHTFLDVMYTTSKEKGDIRVIIELNFRAQFEMGKASEDYNRLVRKLPEVYVGKAERLSNIIKIMCMAAKRCLKENKMHMGPWRKHKYMQAKWLGPFKRNTSTNSLSLGYSERNINPKQKPKASMLTIDLLENIPNMHTTVKVV >RHN64079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56415342:56415599:-1 gene:gene26859 transcript:rna26859 gene_biotype:protein_coding transcript_biotype:protein_coding MATGASHMMLRCVFEGSISSHDKEVERRPYHKKCGCPLHNLEEICNKACPQQRYVSFKKKISWTHCSVYTTPSKFSSPLYKTIHE >RHN58670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3824032:3832568:1 gene:gene20602 transcript:rna20602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SCAR/WAVE family protein MPISKYLIRNEYSLADPELYRAADKDDPEALLEAVAMAGLVGLLRQLGDLAEFAAEIFHDLHEEVMATAARGHSLTARVKQLEAEVPSLEKAFFSQTHHSSFFTNGGIDWHRNIQSEQNLVSSGNLPRLIMDSYEECRGPPRLFLLDKFDVAGAGACLKRYTDPSFFKAESASSVRATVQVHREKRIRKVKKKGGRIRDGEAPNAVPTHSKLHQLLLEERIENGYSNPARLVKLKKRQLNVPSVEGKSGKSYMEKFLETPSPDYKMICETSIFPLPVKPTSDDTGEAGIKILEISSFSPLRKSTGRNENTCSSPNEQEFDINQFPEGVGETNGDLVMVKEQISAGVTDEVSFNDVKVSDETELAIKEQKKFENSLNRHHSDDVTSEVDNYMDALTTMESELETDDEYKPRKSFLKNQKVTDANKERRLLARFSDSHSFADSSTSDDNSPFKQEKNEEDIEVKARLSDSHSTGTSSTSDNSSSFRVDEDEHVKLRTHFSDSQSTKNSSTSDVNSSSKKDKSYFSHSDSLSTVVENTQSEPILFTTSKYYEPEIEGTPSNQLPKIVEFQNTDSRKFVMHDDVRVHEEEASDSWKTYSDLLTSGKLSCSDLEPTKPVMLPAVTQSDETISDNDADRAGLVESVASKPSSPSLIKDDTCPVDSSDKISLDNLVDDDPHIHSHDLLQFSNGSPKDSLCPSIEEPDLNSGLNVVHGDEITGSRSSVDQDEGDGHFKNPSSPRNHMKINGDVSEIVASKGQPVPSVDSAENDAGINACPASGMICSPSRSLSNQQELVPAPSDSYQIESNEVELTQIFMDSNTEMSNNQLAPLSDTTSSDIIHPPVSNLTKSEESLSAFANPNEIETEVYEAVARESSTVLEGKKVAVHPEIVSADVQMNLNKSEPCDLPDLENNIEKSSPRVKIHQTGFIDDAKMVPEFSEFDTRQSESTSYGRNDLLQNDRNSFSSPPYNQFESETYLEPHLQSQLGEKDGEFPLKYEENFASEKSQSQQIYELKQEGTHAPSESFSEIPADESSSVHSSPQSSGLEINSTQYAVDPLKSLLPDLSPMETENKLDEMPPMPPLPPMQWRMGKVQPASIDSHRDDLEVHQASVQSMQPIMPDKKSQFGLPASDGEILFYQNPFSPAMPLESDMLRHSSAVGVSGHPVALPFQFPLMVNEANGQYNYLVMDRNQIQNPFITLPMVATSMHPPHGYIVASEGEMGEMVHNSSPFLPIPPAECANSGQDSITPLENLTQSPSQVMTETSSDDTRTTLPQSMSNVISIDESPHSDFVTSEEEMVQSSNPCSPILSAESSVSEHDSISPQEKITQSPSQLLTETSSEVETPNHSVSNVEGEQRQLGISLMVPPNMESVELNQTFQPFEGGMSSLDPSAQTSEFESERINVKSKHKIPRPRNPLIDAVAAHDKSMLKRATERVMPQIEPKVDERDSWLEQIRTKSFNLKPAVATRPRIQGPKTNMKLAAILEKANSIRQALAGSDEDDEDSWSDS >RHN63334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50473885:50474256:1 gene:gene26021 transcript:rna26021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PC-Esterase, protein altered xyloglucan 4 MYLNFVDEKWINKIDLVVLLIGHWFLKPLAYYEGDLVLGNADLRENELQLHHALRKALRITLKSIIDKRDKKENDINVVMKHFHHVIFKVIGIIVVFVQRLSFTNKEKDLEVMHVDICSFITR >RHN45730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25088200:25090312:-1 gene:gene40120 transcript:rna40120 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFEAKNLPVIVIGQSGCYFAVIASLLSDLEILNSELGRLALSTAMVMDSFNSIVTGIGTAFISSIKTDSHDNGDGKGTLKAFLNVFYYLCFMVVTPLVLRPILKWFVKKTPEGRPMKKVYMYIVFIIALAVGMLGLLTKQSVLGGICIVGLIVPEGPPLGTEMIKQLELFCSWFLFPIFVTSCAMKIDLSVYVKSDYIYVWLGIIVAVHLFKMLVTIGICWYCNMPMADGLCLALMLSCKGLLSSEALSVLSINVLVIGTLARIGVKYLYDPSRKYAGYQKRNILSLKPNSELKIVSCILKPSHIIPIKNVLDICSPTSSNPLVIHILHLLELVGRSSPVFISHRLQERVGSSSHTFSEAVIVTFDLFEHDNAGTASVSTYTAISPVRFMHDDICYLALDKLASIIILPFHLRWSEDGSVESADETTRSLNTKVLERAPCSVAILVNRGHSSPFNHNENSKQIAMIFLGGSDDREALCLAKRTIKEDTYHLVVYHLVSTIKNDEFTSWDVMLDDELLKGVKGVYGSVDNVTYEKVEVENTSDTTEFISDIAIQHDFIIVGRRNGIKSPQTQALASWTEYPELGVLGDLLASPDTNTKASILVVQQQVMPKAS >RHN52338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34066914:34070382:1 gene:gene37001 transcript:rna37001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative annexin MATLVVPPIPPSPRDDAMQLYRAFKGFGCDTSAVINILAHRDATQRAYIQQEYRTTYAEELSKRLISELSGKLETAVLLWMPDPAGRDAEIIRKSLIVDKNLEAATEVLCSRAPSQLQYLKQLYHSKFGVYLEHEIESNTSGDLQKILLAYVSTPRLEGPEVNREIAEKDAKVLYRAGEKKLGTDEKTFIQIFSERSGAHLVAVSAYYHDMYGHSLKKAVKNETSGNFGHALRTIIQCAHNPAKYFAKVLYKAMKGLGTNDTTLIRVIVTRTEIDMKYIKAEYAKKYKKTLNDAVHSETSGNYRAFLLALLGPNN >RHN71744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2369173:2373746:1 gene:gene7376 transcript:rna7376 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRSSKRKKLLNLYDSKSDDDDDVPLRAKSLSTLNLGASSSSSSSKCDDSVENRHTRLPAKRPFDDNIPSQTSVKKSKLSSFSINLMKKSILGLRSVEEEKLQSLERDIEECSKELLNKKKQASDVRQTNQYYEEMQNKIEKGVKDLAANEEHVRFIKGLIKKKTLELKKNKRQLLAAMDSNNRGRGRLKEKELETLSQKIDECNEEIKTRKEELDALKISVSHKIKELMSERSNILNAMSERRTGQLVQMKDLESTKKQFEGRATEFDSKMKQCDRRIEGVESNEKLYEGRTKVSESKQEEFERQVKELESKKKQFESQEKVLGLKEKLFERQVDDLESFKEHFGSQLKGLKSKEKIFERRMKELKSKEEHFQRRVKVFGLRECDFEGQVKDFESKLKQYEGQVKELQSKKEEFEGRVEEFKSQEKDFESRVKGFESKEKDFESRVRKFESVEKDFESLVKKFESVEKDFESRKDFESRVRKFESVEKDFEIRVRKFESVEKDFESRVRKFESVEKDFESRVRKFESKEEELELRDGQYETLIKSFEEEIESDDQPSPTIDGRSLQFLPIEEIDELESHGNDSLANLLASSSDPSKDVLDIIQNPIIPQCKGENVVIIDDHHIDLLEQLMRISPHVKPHVREEAMKLALKLKAYIGENTENPVPVLGFLLLLSIYGLVSSFDEDEILKLFGFAAQHKISVELFGTMGLAHKVSDFVQNLIMKQQYIEAVRFICAYNTATKNQSVGLLREHVQNARSINESSCKATNSIEIKDKAKDQEIASLGTVLQCLSDNNMESVDLLNEIHGRIHELNREKGE >RHN57819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41929317:41929662:1 gene:gene33408 transcript:rna33408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MNHLHPKKLNFKGWKNPQAKWGDWFETLTGKHGFIWNQTGLCDALLSSLYNFPRNPSLVLALVQYWSPKTNTFVFPWGEATITFEDVMILGGFSVLGEPLN >RHN39660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9318738:9319625:-1 gene:gene45705 transcript:rna45705 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEIEKLKNEQSSTDKTNKGLRKLLEVERKSCKDAEKNVMDAGEGP >RHN64289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57936172:57944659:-1 gene:gene27092 transcript:rna27092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-hydroxyacyl-CoA dehydrogenase, Hydro-lyase, Isomerase MSSRKGHTVMNVGADGVAIITIINPPVNSLSFDVLQSLKESFDQAIQRDDVKAIVVTGAKGKFSGGFDISAFGGLQEAKERPKPGWISIEIITDTIEAAKKPSVAAIDGLALGGGLEVAMACNARLSTATAQLGLPELQLGIIPGFGGTQRLPRLVGLTKALEMMLTSKPVKGKDAFSSGLVDGLVSPDQLVNTARQWALDILDRRRPWIASLYKTEKIEPLGEAREILKFARAQARKQAPNLKHPLVCIDVIEAGIVSGPRAGLWKEAEAFDALVQSDTCKSLIHIFFAQRGTSKVPGVTDRGLVPRPVKKVAILGGGLMGSGIATALILSNYSVILKEVNEKFLDAGINRIKANLQSRVKKGNLTQERFEKAFSLVKGSLDYDSFRDVDMVIEAVIENVSLKQQIFADLEKYCPPHCILGSNTSTIDLDLIGEKTKSQDRIIGAHFFSPAHVMPLLEIVRTKRTSPQVIVDLLDISKKIKKTPVVVGNCTGFAVNRVFFPYTQATLLLVERGADVYQIDKAVTKFGMPMGPLRLCDLVGFGVAVATGSQFVQNFPERTYKSMLIPLLQEDKRAGETTRKGFYLYDDRRKASPDPELKKFIEKARSISGVSVDPMLVKLQEKDIIEMIFFPVVNEACRVLDEGIVVKAADLDIAAIMGMGFPPYRGGIIFWADSLGSKYIYSRLEKWSELYGPFFKPCAYLAARAAKGIPLGASMEQVKSRL >RHN80855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41300557:41302729:-1 gene:gene4830 transcript:rna4830 gene_biotype:protein_coding transcript_biotype:protein_coding MEHINEYDWNMTHLLSNNDDAQTTYNMDNYLKLREISQHTLPLGLKLTLTPEMLPFTEQNMNDDTKITTSFQLEEIKKVEKLKAVHFPMYMLIIGFFKIEAKYPADLVAKFYYAKRKLVWEILRDGLKEKIEIHWQNISAIRAVLEDNLPGILEIELDKVPSFFREIEPKPGKHTVWTLSQDFTHGQASKYRHYLQFPPGALDQYYAKLLQCDNRLMELSQRPFPSSHAIYFDSHLDQRTTQLNFSHYSETSLVMDQQIQQFGTLPLISNYNNPTSDESINNQMLQDPMMSTSWSQGFHYDTSLSMESVPRASCIQAQPSGPDWLKYELPSHINNMLLGGYHIPENEFNTTHMSDSASVVCPNVAQSIDASLVYHANTSTQFDWSMFT >RHN67499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27727671:27735759:-1 gene:gene15692 transcript:rna15692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEEQFSFKNLHSREYSGHKKKVHSVAWNCIGTKLASGSVDQTARIWHIDPHAHGKVKDIELKGHTDSVDQLCWDPKHPDLIATASGDKTVRLWDARSGKCSQQAELSGENINITYKPDGTHVAVGNRDDELTILDVRKFKPMHRRKFNYEVNEIAWNMTGEMFFLTTGNGTVEVLSYPSLRPLDTLMAHTAGCYCIAIDPTGRHFAVGSADSLVSLWVISEMLCVRTFTKLEWPVRTISFNHTGDLIASASEDLFIDISNVHTGKTVHHIPCRAAMNSVEWNPKYNVLAYAGDDKNKYQADEGVFRIFGFENA >RHN71448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:234016:234533:-1 gene:gene7052 transcript:rna7052 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEEVSKIYNVIRGIEVNVSSRCMVEDEKRTNCNDQRRKSRLKAKESLV >RHN70387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51088658:51090151:-1 gene:gene18959 transcript:rna18959 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSGKEQKQNKIVRIITTPIRVLGIAKDMYVRSITKCGNNMNYSNPTGRFENLPRSYSAVTSRSGGGGDNEDFAELMRAASARTLGNRIDMDLVLKQQLEPKPVSSNGLPKSVSVGMGRIDEEKPSDDDIAEGDVPVVANSYPRSRSYAVGKRSVVL >RHN74885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37507486:37513984:-1 gene:gene11017 transcript:rna11017 gene_biotype:protein_coding transcript_biotype:protein_coding MGVINFTSTYDSRTQEVEGSIATRGDLWRVEASRGGSTSGNENSSLFLVQLGPLLFIRDSTLLLPVHLSKQHLLWYGYDRKNGMHSLCPAVWSKHRRWLLMSMLCLNPVACSFVDLQFPNGQLTYVSGEGLSTSAFLPICGGLLQVQGQYPGEMRFSYSCKNKWGTRITPMIQWPDKSFSFGVCQALAWKRSGLIVRPTVQFSVCPTFGGSNPGLRAELIHSIKEQLNLICGCSMTTYPSAFASVSIGRSKWNGNVGKSGLVLRVDTPLCSVGRPSFSVQINSGIEF >RHN46707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34209228:34212644:1 gene:gene41227 transcript:rna41227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-galactosidase MGRKNVGEMVVVLVTLLHIWLVCVTASSLGNINNYEEHLRRNLLANGLGKTPPMGWNSWNHFSCQIDEKIIRQTADALVSTGLSKLGYTYVNIDDCWAELNRDNKGNLVGKKSTFPSGIKALADYVHSKGLKLGIYSDAGYFTCSKKMPGSLGHEFQDAKTFASWGIDYLKYDNCNNDGSNSTVRYPVMTRALAKAGRPIFFSLCEWGQMYPALWGAKVGNSWRTTNDINDSWESMISRADLNEVYAEHARPGGWNDPDMLEVGNGGMTKNEYIVHFSLWAISKAPLLLGCDVRNVTKETMEIVSNKEVIAVNQDLLGVQAKKVRMEGDAEIWAGPLSGYRVAVVFLNRGPQKHIDITANWNDIGIPPKTVVQARDLWEHKTLKTPFVNKLRATVESHACKMYVLKPVA >RHN41725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32430541:32434964:1 gene:gene48077 transcript:rna48077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine/threonine-protein phosphatase with EF-hands MGGAMGKGDSPKKFWVAETKLEAKMVEAMQRRECQGCSMKSFNTIILKFPKIDESFRKCRAIFEQFDEDSNGTIDQEELKKCFSKLEISFSEEETNDLFEACDINDDLGMKFSEFIVLLCLVYLLKDDPAALHAKSRIGMPNLEATFETLVDTFVFLDKNKDGYVSKNEMVQAINETTSGERSSGRIAMKRFEEMDWDKNGMVNFKEFLFAFTRWVGIDEFEDEENA >RHN66926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21709600:21712398:-1 gene:gene15048 transcript:rna15048 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVKPNKVTWGALLSCVNTTEEFDIAELANRKLPNGEGLKRLRNIYRWFNHHEKAMEISKILDRPDFTNHTAGTSELHNFMQGSTLRMTVIVFLLCY >RHN58245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:234617:237364:-1 gene:gene20134 transcript:rna20134 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSNSSEVVVVERKRIEIGKAIIALVCGTLVYYHCAFKNSAIVSLFSDVFIVLLCSLAILGLLFRQMTIQVPVDPLEWQISQDTANTIVASFANTVGAAESVLRVAATGHDKRLFFKVILCLYALSALGRLALGITIAYAGLCLFCLYMFAECSQSISSCLAWFLGRRNDTGEEQDTIM >RHN82526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54462871:54466870:-1 gene:gene6697 transcript:rna6697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MNESEWKSNDSSGVALKKFKKDSVYIDKTGNLRNFNHKKLSRKKCGSLRGRGWKFGSGFVDGIFPVLSPTALQILEYLQKEVDSERIWGSLDKLPPSLDAWDDVLTVSVQLRMRKKWDSIISICKWILLRSSFKPDVICYNLLIDAFGQKFLYKEAESTYLQLHEARCIPNEDTYALLIKAYCMSGKLQSAEAVFAEMRNYGLPSSAVVYNAYINGLMKGGNFDKAEEIFKRMKRDGCKLSLESYTMLINLYGKAGKSYMALKVFDEMLSQKCKPNICTYTALVNAFAREGLCEKAEEIFEQMQEAGLEPDVYAYNALMEAYSRAGFPYGAAEIFSLMQHMGCEPDRASYNILVDAYGKAGFQDDAEAVFENMKRVGITPTMKSHMVLLSAYSKMGNVTKCEDILNQMCKSGLKLDTFVLNSMLNLYGRLGQFGKMEEVLTVMEKGSYVVDISTFNILIHRYGQAGFIEKMEEQFQLLLTKGLKPDVVTWTSRIGAYSKKKLYLKCLEIFEEMIDAGCYPDGGTAKVLLAACSNEDQIEQVTSVIRTMHKDMKTVLPVA >RHN58840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5367728:5368173:-1 gene:gene20794 transcript:rna20794 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSRLVSVSVGVAIHIVQWQFYLQPLRVLLYPLSIQQSQGQKDLTEVGAKDRQKARVFRASPFLFGHQECSSSIGGTIERPF >RHN72003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4320342:4323301:-1 gene:gene7670 transcript:rna7670 gene_biotype:protein_coding transcript_biotype:protein_coding MGFENNDKRAWVDRIMQAEKRDISTALSVIQFNTSAATFLASISLTLCSLIGAWIANTSNILFQSQLIYGDTSATAVSIKYICLLTCFLLAFSCFIQSARHFVHANYLISTPDSFVPISSVELAVIRGGDFWSLGLRALYFALNLLLWFFGPISMFICSLVMVLVLHYLLDSNSRPLHLHPARSQGVKFQMAKNNLTYEEIP >RHN72238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6010046:6016936:1 gene:gene7928 transcript:rna7928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactosylxylosylprotein 3-beta-galactosyltransferase MKRLKNESLNSRKFRLSHFLFGVGVLYLIFLSCNFTKFLKIVSTLSGDESDGRLDGGIAIGVSDDADLTKPFVGSVYKDAFHRKLEDNRDLDAPLRPKEEPKKEGEEEDGADGESMKDIPQGFGRITGDFMLKMNKTSALSVLEKMADEAWTLGLKAWKEVEMVDDKEIVESSVIEGGKAESCPSWISMSGEDMLKGDGLMFLPCGLAAGSSITVVGTPHYAHKEYSAQLAKIRKGDGLVSVSQFMFELQGLKSVEGEDPPKILHLNPRLKGDWSKRPVIEHNTCYRMHWGTAQRCDGRPSEDDDGMLVDGFRKCEKWMRNDIVDSKGSKATSWFKRFIGREQKPEVTWPFPFAEGRMFVLTLRAGVDGYHINVGGRHMTSFPYRTGFTLEDATGLAVKGDLDLHSVFATSLPTSHPSFSPQRVLEMSETWKASALPKHPIRLFIGVLSASNHFAERMAVRKTWMQDAAIKSSDVVVRFFVALNPRKEVNAVLRKEAAYFGDIVILPFMDRYELVVIKTIAICEFGIQNVTAAHIMKCDDDTFVRVDTVLKEIEAVPREKSLYMGNLNLLHRPLRHGKWAVTYEEWPEAVYPPYANGPGYVISKDIVNFIISQHKDRKLRLFKMEDVSMGMWVERFNHTVGAVQYSHNWKFCQYGCMDGYFTAHYQSPRQMVCLWDKLSRGRARCCNFR >RHN48703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49573252:49573940:1 gene:gene43446 transcript:rna43446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MLGKKIVSIKKLAKKVKVIGRVDSDPAHFKLLKEYKEEEKNPTTKKVGSKKSGVFALYVGDERQRYVVPTQFLSHPLFKMLLEKAYNEFGFEQRNGLVVPCSVSAFHEVVNAIECNNCKFDMGNIFDELI >RHN77608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8101641:8102804:-1 gene:gene1078 transcript:rna1078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MAISKLLVASLLASFLLFHHLVDATDQSAYAQTQGSLLQQIDCDGACVARCRLSSRPNLCQRACGTCCRRCNCVPPGTSGNKEKCPCYASQTTRGGKPKCP >RHN61133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33330100:33337284:-1 gene:gene23566 transcript:rna23566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MDRNRGSPPSCIKRQTLTQKSKLVKQNKPNMVFIGCFNVAMLALFVVSSVTLTFANGAEVSTSLDIGNLSRSSFPHGFIFGAGSSSYQFEGAVKEGGRGPSIWDTFTHQHPEKIRDGSNADVTVDQYHRYKEDVGIMKDQNMDSYRFSISWSRILPKGKLSGGINREGIKYYNNLINELLANGIKPFVTLFHWDLPQVLEDEYGGFLSSRIINDFRDYADLCFKEFGDRVKYWVTFNEPWLFSNGGYAMGTTAPGRCSGRTCSSGNSGTEPYIVTHNQILAHAEAVHVYKIKYQAYQKGKIGITLVTNWFIPLGNNSIPDQKAAKRSLDFQFGWFMEPLTTGDYSESMRAIVKNRLPKFTKLQSKLVNGSFDFIGINYYSSSYINHLPPQGNAPPSYLTDPMTNTSFEQNGRPLGPRAASFWIYVYPRGLRDLLLYTKKKYNNPVVYITENGMNEFNDATLSVEEALLDTYRIDYYYRHFFYIRSAIKAGSNVKGFFAWSFLDCNEWFAGFTVRFGLNFVDYNDGLKRYPKLSAQWYKNFLKRN >RHN64834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62345400:62354474:1 gene:gene27700 transcript:rna27700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5'-nucleotidase MAFLRNTISRSLLHSPLSQAILLPSSSALQQGISGSSRSYGSYESSDKCILEDEEIARLRNQFESAKHSFLNIPDALKEMPKMNPKGIYVNKNLRLDRLQVYGFDYDYTLAHYSDHLQTLIYDLAKEYMVNELRYPDICMSFKYDPSFPIRGLYYDKSRGCLMKLDFFGSIEPDGCYFGRRRLSQSEIIEAYGTRHIGRDQARSLVGLMDFFCFSEACLLADIVQYFVDAKLEFDASYIYEDVIRAIDHVHRSGLVHRGILSDPHRYLVKNGKILCFLKMLKEKGKKLFLLTNSPYYFVDGGMRFMLEDSLDSSDSWTDLFDVVIAQANKPQFYTSEHPFRLYDTEKDNLTFTKVDEFLPNKIYYHGCLKSFLQITKWKGPEVIYFGDHLFSDLRGPSKAGWRTAAIIHELETEIQKQNEDTYRTEQAKFHIIQELLGKLHATAANSVRTVACKSLLEELNEERQKARIKMKMMFNESFGATFLTSTGQESAFAYNIHQYADVYTSKPENFLLHSPEAWLHVPFDVKIMPHHVKIPSSLFKTG >RHN73282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15106715:15110280:-1 gene:gene9080 transcript:rna9080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MSFGSEKEITEYYKNYAERVGFGVKKISSKKGDEGKMYFTLACSRARKYVSRPKNMLEPNPITQTQCKARLNACISLDGTTKIKSVFFEHNHDLSPGKARYFRSNKNIGAQMKRRLELNDQAGINVSRNFRSFVVEADGYENLTFGEKDCRNYIDKVRRLRLGTGDAEAIQNYFVRMQKQNSQFYYVMDVDDESRLQNVFWADARCRVAYEYFGEVITFDTTYLTNKYDMPFAPFVGVNHHGQSMLLGCALLSNEDTETFTWLFKTWLECMHGSSPNAIITDQDRAMKNAIEIVFPKARHRWCLWHLMKKIPEKFGSHSDYESIKTLLHDIVYDSFTKSDFMTRWENMIECYKLQDNEWLKGLFVERHRWVPAYVRDTFWAGMSTTQRSESMNSFFDGYVSSKTTLKQFVEQYDNALKDKIEKENIADFRSFNTVISCISHFGFEFQFQKAFTNAKFQEFQLEIASMMYCHACFNRLEGLDSIFYVTESKKVYDTMKDIVLMVFFNEKDFVLKCTCCLFEFKGILCRHILCVLKLIGKTDFVPSNYILARWRKDIKRRYTLIKCGFDNLAGKTELQRVGKACDAFYEFASTRINSDDDLVKVMKLIQNMKIELPCNETSPRIVEEDCSTQNQATILDPKLARSKGRPPSKRKTSIVDQIVKKKLAQKKTKKRNQSSKNIQVQEEGQCTSRGQEIEDEVFYISQLGDRIGTQESIQVNKAYTKYFSVNISM >RHN78209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12830358:12832094:1 gene:gene1743 transcript:rna1743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MNPHNSNQTFPSAPPGFSLPNPNLNLNLNLSPNLEQPNTSTTTTTPRMAMIPLVRMMDLELPEKRQWRNIIRRTRMVFDSVRVLVMAEEEEEEEEEEGNFNVRRVRSDLKASATMRSRGLWLNCDKRIVGAIPGICIGDVFLYRMELCVVGLHGEPQAGIDYFPGSMSSIGDPIATSVIVSGGYDEGDVDEGDVIILSGHGRQDKNPRQVFHQKIEGGDLAMERSMHYGIEVRVIRAVRYQGTSSTSGKVYVYDGLYRIVECCRFDVGKNGFGVFKFMLSRIDGQAKMGSLVLKEAFLRKQDPSCYKPMCVISHDISNKMERVGIRLFNDIDECKDPMYFEYLPRATFFAFEFHPKGNETGCKCVGSCGEGCICFMKNGNSFPYSQSGFLLKGKPVIFECGPSCSCLPHCRNRVTQQGLNHRLEVFRSLETGWGVRSFDLIQAGTFICEYSGVVLTREQAEIMTMGGDSLIYPNRFPKRWTEWGNLSLIQDGYAPPSYPSILPLNFALDVSRMRNVACYISHSSTPNLMVQFVVYDCNNQFYLKTMLFAMENIPPLREFSLDYGVVDDELIRKPAMHN >RHN74970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38324944:38325778:-1 gene:gene11113 transcript:rna11113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pollen allergen Ole e 1 family MARLFTVVALIVSAFCFASVLAAPNDASRKDQIFFVNGQIYCDPCAFQLQSRLSKPLEGVKVTFECTKGEKNVTFVKESTTDKDGFYNIKVQGYHEEEVCMVKPVNTKGTCTTFMENNIIVPTKMEGVVRVVRVVKPLAFRTKTIDEECYKLANELGLDKIDDN >RHN57257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37604377:37605021:-1 gene:gene32779 transcript:rna32779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronate decarboxylase MTMVFCSREGFLFLEASIRVFVDSVEIRKGIIVELMKGDRVLLAWPSNRVSASLTHGYKFGRNLYTVDYNTHPLDKIYSNFIDALPVVSYFD >RHN75059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39081577:39082044:-1 gene:gene11209 transcript:rna11209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MIVFAYPYLSYRTTYPNFITFSLLFVFYKLFMLLSLEASSTMEGKQTSVEKVWKDIIFEEIEVEEEEEGGVEDDEKKKGVCVSGRKEPSRGGRFSSPICQVESCGADLTFSKRYHRRHKVCEVHSKASVVVVAGMRQRFCQQCSRCDQSPSSTII >RHN57657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40844451:40857716:1 gene:gene33235 transcript:rna33235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, anaphase-promoting complex subunit 5 MSVMLKQPGAFTITPHKVSLCILLKIYAPPGQVSVPFPFESVAQHNRLGLFILALTKSCDDILEPKLEELISQLRLISQNWEATWLIDQLISRLSCLSSPDDLFNFFTDIRGIIGGPDSGDIEADQVILDANSNLGIFLRRCILAFNLLPFEGVCRLLTNIGIYCKEEFSSIPPYEETSLDGSSSNLETYSEYENMDLENFFYDKVSEEIEARKEASGRVPFHLHTPNALLSLVDDIDVPADSASKQSDKLRVGSPYEDRHSNMVRDIDPSGAVFLRTNWQIQGYLQEHADTIEKNGSAVSLNGFEIVLRQLQKLAPELHRVHFLSYLNALSHDDYTAALENLHCYFDYSAGKEGFDFVPPSGNNSSGTYEIALLCLGMMHFHFGHPKLALEVLTEAVRVSQQHSNDTNLAYTLAAISNLLFENGISSTAGILGSSYSPFTSMGISLSVQQQLFVLLRGSLKRAENLKLKRLLASNHLAMAKFDLTHVQRPLLSFGPKSSMKLSTCPVNVSKELRLSSHLISDFSTESSAMTIDGAFSTAWLRNLQKPNGSNIFCQDSGSGNSSNIPQFCAQPTSIPGSVLQVLGSSYILRATAWELYGSTPMSRINALVHATCFADASSSSDAALAYVKLIQHLAVFKGYKEAFSALKIAEEKFLSVSKSQIILLKLQLLHEHALHGGRLKLAQKLCDELGALASPVTGVDMEIKTEASLRHARTLLAAKQFREAASVAHSLFCMCYKYNLQVHNASVLLLLAEIHKKSGNAVLGIPYALASLSFCISFNLDLLKASATLTLAELWLSLGSSHATRALNLVRGAFPIILGHGGLELRSRAYIVEAKCYLCDTNFNVGEDYNFVIDSLKQASEELQPLEFHELAAEAFYLMAMVYDKLGQLEEREEAATSFQKHILALNNLQDHDDPLVSIY >RHN44977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10414145:10420136:-1 gene:gene39167 transcript:rna39167 gene_biotype:protein_coding transcript_biotype:protein_coding MASETQSSEMLTREQLFHLFERFSVLTSQPDVKKRIVDAVLDKQEAVAVTTAIQEEIFLEMGVDPGFGISCLGKISTVYENDQDLVIHFYKFLAKEEMACDEAELGEEEFAEKMRHQQNLQEHQLEMLKHMRKFNLDDQSAILEKLHQQMENGNYESETSILSAEEIEEIVQRKVTPLFSPS >RHN49845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1636145:1639784:1 gene:gene34069 transcript:rna34069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MESGRLYFDSSACRGNNMNMLFLGNADLGFRGRSMMSMEEGSKRRPFFSSPDELYDEEYYEEQSPEKKRRLTSEQVHMLEKSFEEENKLEPERKTQLAKKLGLQPRQVAVWFQNRRARWKTKQLERDYDVLKSSYDSLLSTYDSINKENEKLKSEVVSLNEKLQVQAKDMLEEPLSEKKADPLPVDIAQIFSIKVDDHMSSGSVGSAVVDESSPRVVGVIVDSVDSYFPADNYAGCVAPIERVQSEEDDGSDDGRNYFDVFVASETEQHNHEEGEALNWWGNMYYVA >RHN67780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30212120:30215817:1 gene:gene16012 transcript:rna16012 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MSENGEEKLLAVARHIAKTLGQTNHNMTDDILQIFSNFDGRFSKENLSDSAPRNDTIRYTALEQTLNNLDRQISHHLSSEIPTVASAAFLSSVDELVAIIEDWSPLSDDKTVGACLLRAEDILQQAMFRAEEEFRSLMELGGASFNGEMNLNCNSLYETNDEVDEDEEEEIDGDEDLIPVAKAVVDYNVVIDALPPATVNDLREIAKRMIAAGFGKECSHVYGGCRREFLEESLSRLGLQKLSISEVHKMQWQDLEDEIERWIKASNVALKILFPSERRLCDRVFSGLSSSSAAADLSFMEVCRGSAIQLLNFSDAVAIGSRSPERLFRVLDVFETMRDLIPEFESLFSDQYCSFLVNEAITNWKRLGEAIRGTFMELENLISRDPVKAVVPGGGLHPITRYVMNYLRAACRSSKTLELVFKDNALSLKDYHKHDESLQSNSSFSVQISWIMDLLERNLEAKSRIYKDPALCSVFMMNNGRYIVQKTKDSELGTLMGDDWIRKHSTKVRQCHTNYQRSSWNKLLGFLKVETLAAKPMKEKLKMFNLHFEEICRVQSQWFVFDEQLKEEIRISIEKLLLPAYGSFIGRFQILPELAKNSDKYIKFGMEDIEARLNNLFQGSGGSNGSRK >RHN48602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48740918:48742871:-1 gene:gene43337 transcript:rna43337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MDWNESTRPFVPHTESSLSFFYNYNNTPYSGMEMSQPSLGETQQRLLPAMDEMNIDGNNYREKKKRLTSNQIDSLEKSFQEEIKLDPERKMKLSRELGLQPRQIAVWFQNRRTRWKAKQLEHLYDVLKQEFDVVSKEKQKLQEEVMKLKGKLKEQSGGNFRTQTYVEETVESTSEGLRCSNKPTSSSIQQAVPDQGYNNNNNSTTFIVEDFNNSVSMPPNQCHWPVLPNYYP >RHN57904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42509516:42510598:1 gene:gene33495 transcript:rna33495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative costunolide synthase MSWSSYLMEHHNHLSNITFISFIIFLLVLFKIVKIWSYNTSTVNLPPGPWKLPFIGNLHQIISRSLPHHLFKILADKYGPLMHLKLGEVPYVIVSSPEIAKEIMKTHDLNFCDRPNLLLSTIFSYNATDVIFSMYREWWRELRKICVIELLSAKRIQSFRSIREDEVTNLVKSITFK >RHN43617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47263223:47266321:-1 gene:gene50224 transcript:rna50224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-hydroxy-3-oxopropionate reductase MPLPFLRFRSLYRHSHTHLLTRHFMATSEPITPSNTRLGWIGTGVMGQSMCAHLIRSGYTLTVFNRTPSKAQPLLDIGATLATSPHAVASQSDVVFSIVGYPSDVRSVLLDPNTGALAGLKSGGILVDMTTSDPSLAVEIADAASVKSCHSIDAPVSGGDRGAKNGTLAIFAGGDESIVKKLSPLFSSLGKVNYMGTSGKGQFVKLANQITIASTMVGLVEGMVYAHKAGLDVGLYLDAISTGAAGSKSLELYGKRILKRDFEAGFYVNHFVKDLGICLKECEKMGIALPGLALAQQLYVSLKAHGEGNLGTQALILVLERLNNVSLPPSVI >RHN69269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42223397:42224700:1 gene:gene17714 transcript:rna17714 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Crotonase superfamily, crontonase, enoyl-CoA hydratase/isomerase MEEKYKTLKIVDKVPKSGVLYLNLNRPLQHNALTHDFFSEFPKALYALDHNPNVNVIVLSGAGKHFCSGIDLSLLKSTADSNSVPGGESLRRQILAMQESITALERCRKPVIASIHGACIGGGIDIITACDIRVCTEDAFFSVKEVDLALAADLGTLQRLPTIVGFGNAMELALTARRFSGLEAKELGLVSRVFHSKNDLDQGVRVIAEAIATKSPIAVTGTKTVLLRSRDLTVDQGLDFVATLNSARLLSGDLPEAVTAHMQKRKPVFSKL >RHN42171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36085334:36086617:-1 gene:gene48579 transcript:rna48579 gene_biotype:protein_coding transcript_biotype:protein_coding MYQINTNKYINLEKGYFIINIVYIINISMSPRSYEKQTTHSK >RHN80236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36369666:36377372:1 gene:gene4136 transcript:rna4136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP-dependent synthetase/ligase, AMP-binding enzyme domain-containing protein MDPFSFSYYYSIHMKEHIITNSMDPKTGFNSISKTFHSLRPPLNLPPPHANISAATYTFSLHHSDFNSDSNTLLIDSTTSHKLSYSTFIQRYKTLAKNLTLRGLTKHHTSFILSPNLVQVPILYFALLSIGVVVSPTNPISTPSEISHLVNLSKPVIAFTTSFLSHKLPKQLALGTILIDSPEFESLTTETDVSSTVSPPEVSQSDVAVILYSSGTTGKSKGVMLTHRNLTATVAAYNAVRIPTANPAVCLLTVPCFHVYGFTYLLKGVAMMETVVMMERFELGKMLGAVERFRVTNVAVAPPVVVAMSKEGVTDGYDLSSLKTVACGGAPLGKDNFVAFMAKFPHASIIQGYGLTESTAGVIRIVGPEEASRGGTTGKLVSGMEAKIVNPNTGEAMSPGEQGELWVRGPPIMKGYVGDPVATSVTLVDGWLRTGDICYFDNEGFVYVVDRLKELIKYKGYQVAPAELEQLLQSHPEIKDAAVIPYPDEDAGQIPLAFVIRQPHSSMGEAEIINFVAKQVAPYKKVRRVVFVNSIPKNATGKILRKDLLNKILLQRTFPRL >RHN66719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17357714:17358270:-1 gene:gene14779 transcript:rna14779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MASNHKVLVLVALTITALVLSTSIVESRKLSNPSNLMSIEERLKVSGEPSNCWESLFKLQACSGEIITFFLNGETFLGNGCCQAIKVITNDCWPNVVSSLGFTNEETHVLEGYCDEVEDIYSPPPPTPLVSFVEPKEIVP >RHN66042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7890960:7892087:-1 gene:gene13952 transcript:rna13952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEETLVPPSSLSSTSDHTNDVSLHSPPLPTLPLDLLSEILCRLPVKLLLQLRCLCKFFNTLISDSKFTKKHLHMSANRHHLILSSRDESHLMSYPLHSIFNSVTINATKLHLPFKQQSYFIVGSCHGILCLVPFRHPVVLWNPSIRNFTKLPSLENPIKVSYTSYGFGYVPLTDNYKVVAVLNHLRGNGPYHARIKVHTLGTNYWRMIEGNFPVAYDMSLKFVGCTLNWFVYSDPIYSVVSFNLVNESHRKLLPPNFGGEDGYHVSLGVLRDCLCIYGRSSAFHIVWLMKEYGNEESWIKLFCVSYRKYPFNYPHIKPIWIYEEDQVLMECWSHLKQKKDYSVYGFKNGTFMIPMTKQINGWNTVYVESLVSPCF >RHN57111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36584333:36585293:1 gene:gene32610 transcript:rna32610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MAECNALGKMKHQNLVKVLTCCSSVDYKGEDFKAIQAVVHCDLKPSNVLLDDDFVAHLGDFGLARIILGTTEHSSKDQVISSTIKGTIGYIPPEYGEGVPVSPKGDIYSFGILLLEMFTGKRPTNNNFSERLSLHKFCKIKIPEAILEIVDSQLLFPFAEDEMGIVENKIRNCLVMFAAIGVACSKEVTTHRMLIKDVIVKLNQIKSKFPC >RHN54434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9520623:9521153:-1 gene:gene29473 transcript:rna29473 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MSLILLFVGAYPTTFTVVNKCNHTVWPAISPRPITPMLSTTGFALAPGELSTIIIAIPPSWSGHLWARTLCANDINGKFACVTGDCSSSTVECDDGSATPPATLAEFTLNASSGQDFFKVSFLEGYNIPIMIEPKSGNGIGECTMVGCDVDLNSLCPSEAKLMRGSDCVACKNACS >RHN77590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7963920:7965296:1 gene:gene1060 transcript:rna1060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MSRPKFPINILFIGHVDSGKSTTAGHLIYKLGGIEKDVIDRFEKEAAEMNRHSFKYAWVLDKLKAERERGITIDISLWKFETTNFRCTLIDAPGHRDFIKNMITGASEADCAVLIIDSTLGGFDAGFCKNGQTTEHVQLAFALGVSQMICCCNKMDATTPKYSKDRYEQIVSQISPFLSEVGYNLGKIPFIPISGYEGDNIIERSSNLDWYTGPTLLEALDQFRRPKRLIFRPLRLPLQDVYKIGGIGIVPVGRVESGILKPGMVLTFAPTGLQTEVKSVEMHHEALTRALPGDIVGFNVTNVSAKDLRRGYVASNSKRGPAMEAAEFTSHVIILNHPGQIRNGYTPILDCHTSHIAVKFAKLVSKFDRNTNVEFEKEPKFLKNGDAGIIKMIPTKPMVVETFTAYPSLGRFAVRDMRQTVAIGVIKDVKNKDPVRSNYGYSSHEGWEEQVSCIMEEG >RHN64043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56136081:56136802:-1 gene:gene26820 transcript:rna26820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MRWKYKQGTLKVDQIRRLIDDLTPASVIWRPFESHRQIIPFDDICLYNGCLRWCNTLVLYLPQRCLRQFGYMQYIPPPPPDPRTFDVDVEWIDYHSSVHRVIEGALPVTYTFEVTETYMEWYYNVSHPRLICSGEEPHRPVPLPVYSVPNDARPSDPRLALIASELQGYLDEIGATPEKPMHRHLYHALNLARGGPLY >RHN67697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29434876:29435663:-1 gene:gene15914 transcript:rna15914 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSQDVLKKLLDSKEHVTKEVEVLRLKIGILSEQMEVLSEHVEVLKHQLASSQAVLESINQQEVALSAPVGY >RHN46252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30026962:30030810:-1 gene:gene40718 transcript:rna40718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative squalene monooxygenase MDWLEISWDELRIKERIGAGSFGTVYRAEWHGSPVASTINTLVGTLHKVFGASPDPACIEMHPTCFDYLSLGGVCSDGPIALLSGLNSRPLSLVLHFFALAVYGVGRLLIPFPSPK >RHN76391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49901763:49902597:-1 gene:gene12710 transcript:rna12710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S17/S11 MNHYKRFSFIFFLSLHNTTQHNTTMWLLQLPSTLSTPFLNGNNGVALTRLSKPTSTLSLSQPQSLPSIKAMKTMEGKVVCATNDKTVSVEVTRLAPHPKYKKRIRMKKKYQAHDPENVFKVGDVVQLCKIRPISKNKTFLAVPVPARNSGKANNSSGDLDIPLQSEQEEQPQTQA >RHN56361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30326152:30326481:1 gene:gene31747 transcript:rna31747 gene_biotype:protein_coding transcript_biotype:protein_coding MQINYRVTPTIEHYTWVVDLLGRSGRVKEAEEFIIQTPIKADGLIRGALLNASCFWNNVEVGENNNVHMFSVEDKRHPYSDVIYKTVEHITATINSIVPFNYIHSNNGD >RHN47270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38403798:38405820:-1 gene:gene41846 transcript:rna41846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MPSLFIILHFLQFIILLKLIQIPLCLSTNEGCENLFNCGNIKQIGFPFWGEDRPKECGHPLLNLNCTNNTSYITINEVKYNVLEAKPDEHTLRITKEDYLQGLCPTKLVNTTLDPDLFVYGPDYNNLTLFYGCPPSITFPLNGRFLCPSNGYSDEFVYTWFGYNLDPQAFSCRESMVVPVLNSLIAVGDLTRIRSAIGGEFVVRWIAGIQDCEKCQKSGGFCGYNWTSRQTTCYCGDQPCSNEPPQSQESPSSGMSKDFC >RHN80134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35440859:35442395:1 gene:gene4021 transcript:rna4021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative equilibrative nucleoside transporter MVVCWILGNGVLFTWSSMLRIIDYYLILFPVIYLFSNTFLQLMYLIHFFNCVVFFCFGNSVFSPRRINPRTNQILFHIIQVCKRTLKCLSQIQKFSGKSQDCINYHPSRVLTLVYQPFAFGTMAILAYHEAKLNTRKRNLSGYTLFFLSSMAVLILCKSCSFLDLATSGKGGLGTFIGICIVSGVFGIADALAQGGMIGDISLMHPDFMQSFLAGEAASGALTSVLRLITKAIFENSKDGLRKGAIMFFAISILFELLCTVLYAFMFPKLPIVKYYRSKAASEGSKTVTADLAVVGIQATGESKQFERKGMKRLLWENKDYALDLFLIYILTLAIYPGFLSEDTGKHSLGTW >RHN63111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48560483:48563507:1 gene:gene25770 transcript:rna25770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MIPKVHDGLEMAMARNESGENLEKWKKRMHVFGERLKRFPCLVWKTTWKVGCDDPRRVIHAFKVGLSLTLVSLLYILEPLFKGIGQNAIWAVMTVVVVFEFTAGATLCKGLNRGLGTLLAGLLAFLLDYVADASGQILQAVFIAVAVFIIGSTATYMRFIPYIKKNYDYGVVIFLLTFNLLTVSSYRVDHVLKMAHDRFFTIAIGCAICLFMSLLVFPNWSGEDLHHSTAFKLEGLAKSIEACVNEYFYGEIEVSGDIKSSEGPIYKGYKAVLDSKSTDETLALHASWEPRHSRYCHKFPSQQYVKVGTVLRQFGYTVVALHGCLRTEIQTPQSVRVLFKDPCIRLAAEVSKALIELANSIRSRRHCSPEILSDHLHEALKDLNAAIKSQPRLFLGSNDIQANNMLATIAASHGKSSLSSVKTDSSALLDWKTKSVSAEQTKEEGQLPVRKVLRSQMSKIAITSLEFSEALPFAAFASLLVETVAKLDLIIDEVEELGRLACFKEYTPGDEFSISCEKPRVDVLENHLPSHGGE >RHN43478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46186232:46187802:-1 gene:gene50062 transcript:rna50062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MADGPIIPTTRPKRPRPPSGRTNLASCVVATIFLIFIIIVILIVYYTVFKPQDPKIAVNAVQLPSFSVINGTVNFTFSQYASVRNPNRAAFSHYDSSLEILYSGNQVGFMFIPAGQIDAGRTQYMAATFSVQSFPLSAPPNMGPTLANGDGVGFNFGLRVQPTMEIESKLEMAGRVRVLHFFTHRVKVRTGCRVAIAVSDGSVLGFHC >RHN70651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53004261:53005118:1 gene:gene19260 transcript:rna19260 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISFEQLCLFHEMDREIFSCLVIHWAYNPSQSLLIMALWLWLENIGYVSIISKLVGLHPTIINDVAQEAVSCLMCLEQEECPIPDDGGLLRTTTVVERKISLQVFKQNRFTIIDGIKNVLNKTCSIIFNDILLQVLGKNCASRLLLPHPYRPIIVPGFPHPVFGEFNIPPTNFKVLDLTSFEIWTNTRLFDDVLDIDKTVFLTFSRGFPVTKGEVVYFFTNNFGVDSIKTIRMGNAKSSHQVMYAIMVLNYVETLDRILNGGRIAKYWVNGKQLWARKYERRE >RHN63342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50519691:50520294:1 gene:gene26029 transcript:rna26029 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRSAGQSIATRIKLGFKNCEKRKVLAMARIMKSYEKSKVTAMTRINQFHEFVLQNLQIRPRLVLERQALLISRYGQFKECLGTGLHFTVPLVDRVMKTISMEEQYITIVNQLVLSDDNILNPEKAAFEVEDYHQATIELVHKALSDKYNTLKLIDGTNT >RHN59027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6786977:6788124:-1 gene:gene21008 transcript:rna21008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ctr copper transporter MKMIHMMKEDMKMHMNLYWGKDAIILFPGWPNQSLGMYILALSFVFFLALVVEFLPNKSTIKQGTNHIKGGLIQAIIYFFRISFLYLVMLAVMSFNIGIFIAAVVGHTIGFFLVKSHDIFAANKEQNRESAMTIDDI >RHN39838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10959403:10963785:-1 gene:gene45913 transcript:rna45913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NTF2-like domain-containing protein MAFSAISINTTHNHHHSSLFFAPKHQHHRNNTKIHRFQIQCNGTNQNQESQPQTNAFLKVAWYSSELLGIAASAFRSPSDEEEASPPQRLLQTIDRASVVDTIKQDFQRSYFVTGDLTLNAYEEDCEFADPAGSFKGLQRFKRNCTNFGSLLEKSTMNLMKWEDFEDKGIGHWRFSCILSFPWRPILSATGYTEYYFDTQSGKVYRHVEHWNGFSLKDYVTRWLKLSR >RHN51418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17497472:17501915:-1 gene:gene35849 transcript:rna35849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADALLGILIQNLGSFVQEELATYLGVGELTQSLSRKLTLIRAVLKDAEKKQITNDAVKEWLQQLRDAAYVLDDILDECSITLKAHGNNKRITRFHPMKILVRRNIGKRMKEIAKEIDDIAEERMKFGLHVGVIERQPEDEGRRQTTSVITESKVYGRDKDKEHIVEFLLRHAGDSEELSVYSIVGHGGYGKTTLAQTVFNDERVKTHFDLKIWVCVSGDINAMKVLESIIENTIGKNPHLSSLESMQQKVQEILQKNRYLLVLDDVWTEDKEKWNKLKSLLLNGKKGASILITTRLDIVASIMGTSDAHHLASLSDDDIWSLFKQQAFGENREERAELVAIGKKLVRKCVGSPLAAKVLGSSLCCTSNEHQWISVLESEFWNLPEVDSIMSALRISYFNLKLSLRPCFAFCAVFPKGFEMVKENLIHLWMANGLVTSRGNLQMEHVGDEVWNQLWQRSFFQEVKSDLADVAGNNLKSLSISKFANLKELPVELGPLTALESLSIERCNEMESFSEHLLKGLSSLRNMSVFSCSGFKSLSDGMRHLTCLETLHIYYCPQLVFPHNMNSLASLRQLLLVECNESILDGIEGIPSLQKLRLFNFPSIKSLPDWLGAMTSLQVLAICDFPELSSLPDNFQQLQNLQTLTISGCPILEKRCKRGIGEDWHKIAHIPISPSSVETTTPTKSTICENIITTWRIAKATWKILWNYNMQSSGFEEMIDSITQVP >RHN63621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52750042:52752133:1 gene:gene26346 transcript:rna26346 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSTKLRASVFSIIFRWQVSLRLCTMEMGQKIYQYAGKLITIMLEMDWGLPVVAEFKPNTMGECRVTPYNVSEIESTDR >RHN41138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27352529:27355223:-1 gene:gene47428 transcript:rna47428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MSNIVQSYLSHDMKYVLALQAKKKKKKKEKSGRIVYCPSRNNHLNNIVSYPFSGTIPEEIGYLDKLEVLYLSNNSLSGSIPSKIFNLSSLTHLGVYHNSLSGTLPSNTGYSLPSLQYLFLNDNNFVGNIPNNIFNSSNLIVFQLNDNAFSGTLPNIAFGDLGLLESFHIHNNNLTIDDSHQFFTSLTNCRYLKYLDLSGNHIPNLPKSIGNISSEYIRAESCGIGGYIPQEVGNMTNLLTFSLFGNNITGPIPRSVKGLQKLQGLSLGYNELQGSFIEEFCEMKSLGELYLENNKLSGVLPTCLGNMTSLRKLYIGSNNFNSMIPSSLWSLIDILMVDLSSNAFIGDLPLEIGNLRELVILDLSRNQISSNIPTTISSLQNLQNLSLAHNKLNGSIPASLNGMLSLISLDLSQNMLTGVIPKSLESLLYLQNINFSYNRLQGEIPNGGHFKNFTAQSFMHNEALCGDPHLQVPTCGKQVKKWSMEKKLILKCILPIVVSSILVVACIILLKHNKRKKNKTSLERGLSTLGAPRRISYYEIVQATNGFNESNFLGRGGFGSVYQGKLLDGEMIAVKVIDLQSEAKSKSFDAECNAMRNLRHRNLVKIISSCSNLDFKSLVMEFMSNGSVDSWLYSNNYCLSFLQRLNIMIDVASALEYLHHGSSMPVVHCDLKPSNVLLDENMVAHVSDFGIAKLMDEGQSKTHTQTLATIGYLAPEYGSKGIVSVKGDVYSYGIMLMEIFTRRKPTDDMFVAELNLKTWISGSLTNSIMEVLDSNLVQQIGEQIDDILTYMSSIFGLALKCCEDSPEARINIADVIATLIKIKTLVVGANTV >RHN75751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44759884:44760374:-1 gene:gene11993 transcript:rna11993 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 1 MVFGECERLPFDLPEAEEELVAGYQTEYSGIRFGLFYVASYLNLLISSLFVTVLYLGGSNISIPYIFVSEFFEINKTYGVFGTTIDLFITLAKTYFFLFVSIITRWSLPRLRMDQLLNLGWKFLLPISLGNLLLTTSSQLFSL >RHN61585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36628250:36628744:-1 gene:gene24063 transcript:rna24063 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVVADGIHHVTVQFRSFFYGQPTVEQKMHNLNHLLQNEIFNIMGDVQCKSCQTKFQMSFDFVAKFDAISQYSIINMNTMHDRAPWILMNPRLPRSVQCNRENNVKPIIAEKKKNINWLFLLLGQMLGCCNLKQLKYFCKYNNHHRTMPKNRVLYLTYLELCK >RHN40920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24339178:24344870:-1 gene:gene47166 transcript:rna47166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L11 methyltransferase MAAAWHFIKHLTLSRPLIMRISPSPLSFSSSLPFLFKHHHKVSSHHHCSSSSAALPHKSSSTPYLSVLIHCSKDNADVLGDALLCFGATSVSIDQNDHVAQTIDEICITSIYPEDEDINLSISHAFDSIGFKEKPRYEIKAIEEEDWIKRSQESFHPVEITKGLWVVPEWSTPPDVQATNIMLNPGHAFGTGEHATTKLCLLLLHDCITGGEYILDYGTGSGILAIAALKFGAAFAVGVDVDAQAIASAYQNAALNNIGPDRIQLQLIAGENSLSSKDDQTSGFVEGENTHEIQTITDKDKYDVVIANILLNPLLDNADQIISRAKPGAVIGLSGILSEQVHQIINKYSPFLEGIEVSKLDDWACVSGRKTSSLVVPSQSQW >RHN61225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33990561:33994050:-1 gene:gene23665 transcript:rna23665 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Glb1-2 MEENKKTVDGSVDFTEEQEALVVKSWNAMKNNSCDLSLKFFTKILEIAPPAKQMFSFLKDSNVPLEQNPKLKPHAMSVFLMTCESAVQLRKAGKVRVRESNLKKLGATHFKTGVQDEHFEVTKQALLETIEEAIPEMWSLAMKNAWAEAHDQLANAIKVEMKEAHDQMDNANLIINMEENTGSCFTEEQEALVVKSWNAIKYNSGDLSLKFFKKILEIAPPAKQLFSFLKDSNVPLEHNPKLKPHAMSVFLMTCESAVQLRKAGKVTVRESNLKKLGATHFKTGVKDEHFEVTKQALLETIKEALPEMWSPAMENAWGEAHDQLANAIKAEMKKTDHDHQTNVEDKSKPSS >RHN81861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49388053:49393543:-1 gene:gene5954 transcript:rna5954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & lipid binding HD-SAD family MGFESVNSSCKVARLVTDISIPFSSSMQNPSTFFSTQRKMEGQSEIGLTGDSLDTGLLGRMREDEYESRSGSDNFDLEGLSGDDQDGGDDGQRKRKKRYHRHTPNQIQELESFFKECPHPDEKQRLDLSKRLGLENKQVKFWFQNRRTQMKTQLERHENIMLRQENDKLRGENSMMKEAMVNPICNNCGGPAIPGQILFEEHQIRIENARLKDELNRICALTNKFLGKPISSLANPMALPTSNSGLELGIGRNGFGGGSSSLGNPLPMGLDLGDGRSMPGISSPMGLMGSSSDVQLERSALIDLALAAMDELLKMAQTDSPVWIKGLDGERDMLNQEEYARLISSCIGPKPAGFVTEATRDTGIVIINSLALVETLMDANRYADMFQSMIARSANLDVLSGGIGGTRNGAIHLMHTEVQLLSPLVPVRQVRILRFCKQHAEGVWAVVDVSVEIGHDPSNAQPFISCRRLPSGCIVQDMPNGYSKVTWIEHWEYDESIVHQLYRPLLISGFGFGAHRWIATLQRQCEGLAILMSSSISNDDHTALSQAGRRSMLKLAQRMTNNFCSGVCASSARKWDSLQMGTLSDDMRVMTRKNVDDPGEPPGIVLSAATSVWMPVSRQRLFDFLRDERLRSEWDILSNGGPMQEMVHIAKGQGQGNCVSLLRANAVNANDSSMLILQETWMDTSCSVVVYAPVDGQSLNVVMSGGDSAYVALLPSGFAIVPDGNDPSGYGMSNGTLQKGGASDGGGSLLTVGFQILVNSLPTAKLTMESVDTVNNLISCTIQKIKAALRVA >RHN43442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45921456:45923804:1 gene:gene50020 transcript:rna50020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein Networked (NET), actin-binding (NAB) MYHNFPFYAGIETKITKIQKLIKNENQSKKDASPRRSRKDTELMGLTEDLYKQYQSLNAQYDNLIGKVVSNQRKDLMFSSDSDSEYFSSKEEQKVADTVKQESDTGDNREVTDLSQNIGNTNQVLKTEASVEATEIERKLTSLMEEMRSLSNDKTNLELQIESQANEVKQLSIQNTELQNHVMELESLLKEKQGVVSDLEMKLNNSEEQAKSNIVILMAQVNELVLETESLRTQKDEMEEKIKCDQNEASMQRDDLMEKLNVMQQKLDSIENHNKELEAQLERKAEEISQFLIQMENLKENLAEMKSTEHTMMEEKEGFLARLKEMEFKLETQSNQKSELQEELAEMRSTEQTMMEEKEGFLARLKNMELELETQINQRNELEEQLRDTNYEIKQLMNENKALQDRNHELKAAMIQRGEEISNFLKENDSDENGASIEIMALKAEVNDMRLALDNLQEHKTKLELQNERNQKEYAESLAKIETLNTKLTGQIADQEKTIKDQTSTIDRINAEQKQTIITSNKLMLNQRSTERKMEELAEKLRKKMEDNIRLLHQRIHVAEQLNNENKSSCKLTKIRYEEENKILGEKVSIYEEELRRLKEGAANVTANASEETHSPVVDLKGFEFDAALNGLDVVAAKVEEHRECVMSNVSKMLCEVQFAKDWIKKMNVEMKQLKENVDCLTTLLSEKEEQELLLRDKVWNLEATVSKEGGEKLNLTNAVSQLEKKVGKMEKNLKEKDEDLDSLGEKKREAIRQLCLVVEFHRDRCNYLMNLVTSMRVNKKT >RHN72218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5871457:5871726:-1 gene:gene7904 transcript:rna7904 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEIGLFLHNFIRKVNEMHDKYLAQPTICAKYKIHNKEPSTKTISPIGYFEFISKFTDKMRMIKVQLSHTNCFDLFCISVFLVILVLM >RHN72201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5733168:5742971:1 gene:gene7887 transcript:rna7887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MAMNNVSMTCLFLLLLPLVSCTKNNQVYLVEFGEHNNGHKTLHEIENTHHSYLLLVKETEEEARVSLLYSYKHTFNGFAALLTPNEANNLSGMEGVVSVHKSHTKIYSLHTTRSWKFVGLDESFDPFEEKSNETNRDLLAKAKYGQDIIVGMIDSGVWPDSKSFRDKGMGPVPKKWKGVCQNGTDFDSSKCNRKIVGARYYLQGYENHYGPLNEEEDYKSARDKDGHGTHTSSIVAGRTIKNAAAIGGFASGTASGGAPLARLAIYKACWPIKGKPKNEGNTCANIDMLKAIDDAIEDGVDVLSISIGHYGPLKYEDDVIAKGALQAVRKNIVVVCSAGNFGPFPHSLSNPAPWIITVGASTVDRTFLAPIKLNNGRTIEGRSFTPVHMENSFRPLVLASDVEEYAGILKTNSGYCQDNTLDPSKVKGKIVLCMRGQGGRLNKSFEVQRAGGVGIILGNNKTHANDVPSDPYFIPATGVTYENTLKLVQYIHSSPNPMAQLLPGRTVLDTKPAPSMAMFSSRGPNIIDPNILKPDITAPGVDILAAWTAKDGPTRMNFRDKRVVKFNIISGTSMSCPHVSAASVLLKAMHPTWSPAAIRSALITSAKTTDNTGNPITDETGNPATPFAMGSGHFYPKRASDPGLIYDASYMDYLLYLCNLNLTQHINLTYNCPNPLPQPFDLNYPSIQIHKLNYTKTIKRTVTNVGSSKSVYKFIANTPKEFNILATPNVLKFKHVGQKRNFVITVTANKDQLPSKCDPENYFFGWYIWTDKYHVVRSPIAVSFQ >RHN48313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46531600:46532265:1 gene:gene43015 transcript:rna43015 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQILHPLQPVNKPNSSKTENSNNGPWLNFCSVPDSSET >RHN51889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27714478:27715916:1 gene:gene36448 transcript:rna36448 gene_biotype:protein_coding transcript_biotype:protein_coding MHWNSLKRRAVVSVKKEAPMNFLTNSQEERSTPRPTYVLVYWSVGG >RHN48192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45681124:45684888:-1 gene:gene42880 transcript:rna42880 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMDMNKVVECSNSNLLEDLWVIISKKLNTTIDVVRFRSICRFWRSLLPPPPASHNLCIPHQNYFLLQTKIYHIEPSPHDHNPSTSCSNQGGIIKVFQNSNSSKLYLFDLFTNTRIRAEENTEKVLDLMNFRVVELSEVYTRSHHEDKIGFKCASRNNVCNVCNICKVILFSIEGRCMVFALHNDKELTVSNIGEKENIMLNDDCRENKYFDDIIHYKGQLYVVDKMGTIFWINALSLKLVQFSPKNMYHCAENRHIRVNSNINKKQLVEYDGGLYVVDLYINDERYYKRGYFLKAVFVEVYKLDQEWGKWLKVKDLGDVSFVLDKDTNFALLARDYYGCEENCIYFYYESRASCFNLKSLESKPADKFWIGPTLFHPVIN >RHN80257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36583498:36588115:-1 gene:gene4160 transcript:rna4160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MMSDYKVEMINNATQQFFVEFHRPKLHTVSPYQEGIWKIRVELPDAYPYKSASIVFVNKIYHPNVGEMSGSVCLEVINQTWSPMFDLVNALDPLNGEAASLMMRDCAAYEQKVKGPCQGVWKTRVEELVSFNWFCQQKLLS >RHN55896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25219377:25219840:1 gene:gene31178 transcript:rna31178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oleoyl-[acyl-carrier-protein] hydrolase MALSNLSLKFLAPLRSGDKFVVRVRISGISAARLYLDQFIYKLPNHKPVLEAKTTVVRLDKNYRPLRISEDMKSKIFKCIGGDDS >RHN70555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52267941:52270828:-1 gene:gene19145 transcript:rna19145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein DnaJ, cysteine-rich MMAGSACASSCCASALIPKSTPPIAVVFCGNRSFVTNRISRLCVRVSMFDSSSSSSDFTKRMEQAWLISQQPRPVVCSSCSSKGHIECKWCGGTGFFVLGDNMLCEVPSRNTSCIICSGQGSTCCSNCQGTGFRAKWLEEPPAS >RHN48319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46596954:46597814:1 gene:gene43022 transcript:rna43022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MASDLRITIERNPSQSRLAELNIKCWPKWGCSPGKYQLKFDAEETCYLLKGKVKAYTKGSSDFVEFGAGDLVTIPKGLSCTWDVSVAVDKYYKFESSSSSSSSSPSC >RHN79910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33440678:33442608:-1 gene:gene3765 transcript:rna3765 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTHPMSPSLVSSHCSVPFQPPSSHSNRRHTVTVASESQSRPCSLAQIVGNLCHNPVEN >RHN38808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2176981:2177590:1 gene:gene44776 transcript:rna44776 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLRRGLQGAKHSRKNEEINTNGAVNTRDTNNPNTQNKTK >RHN48894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50976337:50977107:1 gene:gene43660 transcript:rna43660 gene_biotype:protein_coding transcript_biotype:protein_coding MACTEFCIDFKGLLMVLLLALTLMLICSPQPKRRLVVCRYP >RHN42211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36380232:36381973:-1 gene:gene48626 transcript:rna48626 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDLVNVVEKIFEISLLQNELSISFHLSLKFFVCSCTWSEEKKMYRLSLIVTSSEDDKFDVFFRVFILQSQVISRYF >RHN40711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19889284:19889968:-1 gene:gene46905 transcript:rna46905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSPEYAMEGICSTKSDVYSFGVLLLEIICGRRNNSFYDVDRPLNLIGHAWELWNDGEYLQLMDPTLNDTFVPDEVQKCIHVGLLCVEQYANNRPTMSDVISMLTNKYAPTTLPRRPAFYVTREIFEGETTSKGLDTDTYSMTAISTSCEVEGKI >RHN56962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35282884:35284244:1 gene:gene32434 transcript:rna32434 gene_biotype:protein_coding transcript_biotype:protein_coding MWFAIFIVFLLKNKECVDFDFAYSYLFIGRKATCNVCLMACVVSI >RHN63351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50593912:50601515:1 gene:gene26039 transcript:rna26039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MATTDPTPLIGNSSDESNSGRRVLRAPSLRQAARFLRQASGRRLMREPSMMVRETAAEQLEERQSDWAYSKPVVILDILWNFVFIIAAVTVLILSRNESPSSPLRLWILGYALQCVLHVVCVCFEYRRRVRMRGEVNGNGGNVVGGGGSGDLSFDSMDSSGQYVNLAQYGDDGSTSMAKHLESANTMFSFIWWVIGFYWVSADGQDLIHDSPNLYWLCIVFLGFDVFFVVFCIALACIIGIAVCCCLPCIIALLYAVADQEGATKEDIEQLSKFKFQRKSNEKLADNTQGPVGGIMIECQADSPIEHVLADEDAECCICLSAYDDGAELRQLPCGHHFHCTCVDKWLHINATCPLCKYNILKSSSHGQEEV >RHN67057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23342854:23343491:-1 gene:gene15189 transcript:rna15189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MEDKWKEVIDKYEEHVYFHKIRIKGRGTALHVAVSNANEDSVKRLVDAIVKHDDQSGFEIKTERGDTPLHLAAYRGFKSMCQCIIGKYGERKHLIQVNNAKGETPLFCAVLARHKKTFLYLHHFFPSDITIAINNVGATILHVAIHREMFGMVESQRL >RHN59565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12048537:12051935:1 gene:gene21611 transcript:rna21611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADPH:quinone reductase MAIKLMHAVQYDSYDGGSSGLKHVEVHIPTPKANEVLIKVEASSINPVDWKIQDGLLRYLLPRKFPFTPCTDVAGEVVDFGSQVKDFKVGDKVIAKLNNQDGGGLAEFAVASESLTTLRPSEVSAAEGAGLPVAGLAAHDAITKMAGIKLDRTGEPKNILVTAASGGVGVYAVQLAKLGNNHVTATCGARNIELIKSLGADEVLDYKTPEGASLKSPSGRKYDAVIHCTAGIPWSTFEPNLTENGIVVDLTPGPSSLMKYALKKLTFSKKLWVPFIVTIKREGLEHLAELVKDGKLKTIIDSKFPLGKAEYAWSKIIDGHATGKIIVEPSRVLF >RHN77374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6423302:6430270:1 gene:gene821 transcript:rna821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MGEGRTQNSKSWEEEIYWSHFQFIHFTIFLQSTTDFQQQLALPKIFSDNLKKKLPENVTLKGPSGVVWNIGLNTRGESVYFVDGWRRFVKDHSLKENDFLVFKYNGESLFEVLIFNGESFCEKAASYFVLECGQAHAEQGGNKGKNSNKSVEEVNTTNGSVECASPEKFRSLDSIRTPLALTFETTNGKTFNAGFKSASPEKPVIEVTPVQTKKRARTPKEANSWESACNKEHSEAALSKLSRKLSRNDEEKIVQSFSSSVPYFVKIIKTFHVSGSCVMNIPRQFSMEHLKKGRIKIILHNMKGECWIVNSVPTAKVPKSHTLCAGWMSFVHANNIKIGDVCIFELINDCELRVRIAGVGKEGLDCQALPKTFSDNLKKKLPENVTLKGPSGVVWNIGLTTRNDTVYFMDGWQRFVKDHSLKENDFLVFKYNGESLFENVDKLILNKGATKERIQTIFLKKSILLPNGGVECASPEKFRRTRTPFAVPFETTNGKTFNAGIESASSKKCMADALTKTTTIQFPFQPTGKRTKKKPVKEAVPVKTKKRGRPPKDGNSSKRALDLKACNKEHSGQNISLFRLTFTILILAYLVTITF >RHN52686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37739372:37740390:-1 gene:gene37384 transcript:rna37384 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPSSNWPGLLIVGSVLARKDDLLQQGQYVVLRAFLAEHACHFQQVCPLLVDYHSFSLSFSASVSFAEHVCLVHLAW >RHN79028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21356157:21368194:1 gene:gene2711 transcript:rna2711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-(apurinic or apyrimidinic site) lyase transcription regulator SAP family MGSKRPFSNSSQPISSFVEVKNDMNLKGLEASSGSKNHTVKENDVDGCSIEIERLRNDPAIVDTMTVPELRKTLKSIRVPAKGRKEDLLSALKNFMDNNISEQASQIRDEQGLFISSENTSLEMNAEKVSGEEPVGEVDDTLETVELNQGKRRLKQSEPESKIVKATTKKKLIVKSDEVSDFKPSRTKRKVSSDVVSIVAQSDEISTTTVQTETWTVLAHKKPQKDWIAYNPSTMRPPPLSRNTNFVKLLSWNVNGLRALLKLQGFSALQLAQREDFDVLCLQETKLQEKDIEEIKQRLIDGYENSFWTCSVSKLGYSGTAIISRIKPLSIRYGLGISEHDSEGRLVTVEFDTFYLVTGYVPNSGDGLKRLSYRVTEWDPALSNYLKELEKSKPVVLTGDLNCAHEEIDIYNPAGNKRSAGFTDEERKSFETNFLSNGFVDTFRKQHPGVVGYTYWGYRHGGRKYNRGWRLDYFLVSESIADKVHDSYILPDVIGSDHCPIGLVMKL >RHN47232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38171184:38172084:1 gene:gene41802 transcript:rna41802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MLFSTTKDSEFAYLEDIQQYLLHDDSNIHTPHQQIQSPNNDSKTTASKREVKAPPTWKRYRGVRRRPWGKFAAEIRDPKKNGARVWLGTYVTEEEAGFAYDKAAFKMRGQKAKLNFPHLIGSDVYMSESKRKVALKRESPEPSSSSEDSCESSSPGSKRRSMVDLLNKLAKNRSQVMVVENEMASLENDVEQWVNELSDCSLIWCS >RHN38574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:390814:392819:1 gene:gene44529 transcript:rna44529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative malate dehydrogenase MIARGMMLGPNQPVILHMLDIEPALEALKGVKMELIDAAFPLLRGVVATTDVVEACKDVNIAVMVGGFPRKEGMERKDVMSKNVSIYKTQASALEEHAAADCKVLVIANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISEKLNVDVSDVKNVIIWGNHSSTQYPDVNHATVATSNGKKHVRELVADDNWLNSEFITTVQQRGGAIIKARKLSSALSAASAACDHIHDWVLGTPKGTWVSMGVYSDGSYGIQPGLIYSFPVTCDKGEWNIVQGLKIDEFSREKMDKTAQELIEEKALANSCL >RHN68623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37207652:37211637:-1 gene:gene16995 transcript:rna16995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MRLWRSEIVFAILLCCGTAQSLSTTAVCPKESLSDFVLGFSDSICSLSDSLGSIHYVGVTQGDEASLQKALNMVHKNYHEYVAVLFYASWCPFSRIFRPVFSVISSLHPTIPHFAIEESSVRPSTLSKYGVHGFPTLFILNSTMRVRYQGSRTLGSLVGFYNDVTGIKIDSLDQLSLEKIGNPSANENHVDTEPESCPFSWARSPENLLGQETYLALATAFVALRLLYLFFPTLLICIQYAWGRVIQNVRLWSLLEHPLVYLKRIIQSIYRLKEPCKRSNLQEGAMNAKAWASKSLATVSIGEESTSRAMHQ >RHN47005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36386529:36389618:-1 gene:gene41550 transcript:rna41550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MRIFTMFLLCFASQMLVYDWPLATFAISSSSDTDKLALLALKEKLTNGLRLENQTLGGTIGPSLGNLTFLRVLILVHVDLHGEIPSQVGRLKQLEVLNLTDNKLQGEIPTELTNCTNMKKIVLEKNQLTGKVPTWFGSMMQLSYLILNGNNLVGTIPSSLENVSSLEVITLARNHLEGNIPYSLGKLSNLVFLSLCLNNLSGEIPHSIYNLSNLKYFGLGINKLFGSLPSNMNLAFPNIEIFLVGNNQLSGSFPSSISNLTTLKEFEIANNSFNGQIPLTLGRLTKLKRFNIAMNNFGIGGAFDLDFLSSLTNCTQLSTLLISQNRFVGKLLDLIGNFSTHLNSLQMQFNQIYGVIPERIGELINLTYLNIGNNYLEGTIPYSIGKLKNLGGLYLKSNKLYGNIPTSIANLTILSELYLNENKLEGSIPLSLIYCTRLEKVSFSDNKLSGDIPNQKFIHLKHLIFLHLDNNSFTGPIPSEFGKLMQLSRLSLDSNKFSGEIPKNLASCLSLTELRLGRNFLHGSIPSFLGSLRSLEILDISNNSFSSTIPFELEKLRFLKTLNLSFNNLHGEVPVGGIFSNVTAISLTGNKNLCGGIPQLKLPACSMLSKKHKLSLKKKIILIIVTGGPKRLPSSPSLQNENLRVTYGDLHEATNGYSSSNLLGAGSFGSVYIGSLPNFRRPIAIKVLNLETRGAAKSFIAECKSLGKMKHRNLVKILTCCSSVDYKGEDFKAIVFEFMPNMSLEKMLHDNEGSGSHNLNLTQRIDIALDVAHALDYLHNDIEQAVVHCDVKPSNVLLDDDIVAHLGDFGLARLINGSSNHSSNDQITSSTIKGTIGYVPPGRYGTGVPVSPQGDIYSFGILLLEMLTGKRPADNMFCENLSLHKFCKMKIPEGILEIVDSRLLIPFAEDRTGIVENKIRNCLVMFARIGVACSQEFPAHRMLIKDVIVKLNEIKSKFPC >RHN70941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55279312:55280445:-1 gene:gene19577 transcript:rna19577 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLILFSAFAAWGISETTRICCIRKGRQANPCMFHSKLLKQLQSINRRTI >RHN81482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46301566:46306162:1 gene:gene5531 transcript:rna5531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MGSKWKKAKVALGLNLCMFVPRTLDDDFPPSTVVSERLSDAALLSPVNWDKGSSQPTTPVSSFHGFKLSKSSSKSSKQTCAICLTKMKQGSGQAIFTAECSHSFHFHCIASNVKHGNQVCPVCRAKWKEIPLSGSSLAPIQGRVTPSPINWPQNDALMAVVHRLPLPHPRRDLNRRHIVPLYQASEPGIFNDDESLNHQHAISERSTCTKSTEDTDAVQAMEIKTYPEVSSAPRSNTYSNFTVLVHLKATAAAASAAKKQNLTRNQASFTQISSTPRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQNLGTNDRLSVIAFSSTARRLFPLCKMTDSGRQQALQAVNSLVANGGTNIAEGLRKGAKIMEDRKEKNPVASIILLSDGQDNYTVGGPGNDQPQPNYHLLLPTSISGRDNSGFQIPVHAFGFGADHDASSMHSISEISGGTFSFIETEAVLQDAFAQCIGGLLSVVIQELQVAIECIQPDLGLVSLKAGSYPSRLMADRRKGVIDVGDLYADEERDFLVSVNVPATSSNETSLIKVKCVYKDPLTQETSTLESDEVKVERPEIARQVVMSLEVDRQRNRLQAAEAMAHARTAAEKGDLAGAVFILENCRKMLSETVSAKSHDRLCVALDAELKEMQERMASRHVYEASGRAYILSGLSSHSWQRATARGDSTDSSSLVQAYQTPSMVEMLTRSQAMLLGSPSGQRLLQPLLSYRSQPSPR >RHN73088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13346600:13349075:1 gene:gene8867 transcript:rna8867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycoside hydrolase, family 19, Lysozyme-like domain-containing protein MNSKWTFFLLAIATILVIGNAQTSVKPLVKTVKGKKLCDKGWECKGWSVYCCNETISDYFQTYQFENLFSKRNDPTAHASGFWDYRSFITAAALYQPLGFGTSGGKHGGQKEVAAFLGHVGSKTSCGYGVATGGPFAWGLCYNKELSPDKFYCDDYYKLTYPCSPGAAYYGRGAIPIYWNYNYGKIGEALKVDLLNHPEYIEQNATLAFQAALWKWMTPPEKHIPSPHDVFVGNWKPTKNDTLSKRVPGFGATINVLYGDQVCDQGSDNEAMSNIISHYLYYLDLLGVGREEAGPNEILSCAEQAAFKPTGSPSSSTT >RHN49132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52661234:52663253:-1 gene:gene43928 transcript:rna43928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative superoxide dismutase MMKIIAVLLLLVLTTTVTADPDNLQDLCVADLASAILVNGFTCKPASNVTAADFSTNVLAKPGATNNTFGSLVTLANVQKIPGLNTLGVSLARIDYAVGGLNPPHTHPRATEIVYVLEGQLDVGFITTANVLISKTIVKGETFVFPKGLVHFQKNSGYVPAAVIAGFNSQLQGTVNIPLTLFASTPPVPDNILTQAFQIGTKEVQKIKSRLAPK >RHN38783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1949079:1949483:1 gene:gene44749 transcript:rna44749 gene_biotype:protein_coding transcript_biotype:protein_coding MITTLATMVAVKCTHSGEQLFAQHYIIYSASVYMIMAFFLVWVLQTLLQQPISSDFVSIFPISLLLMLGYAVSFLALTLISRNIALITLVLWLLIFTFVVIFNNYYEQELIKTVSEKINQNFGRYINILLQRKG >RHN82661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55518291:55526195:1 gene:gene6839 transcript:rna6839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MGLGSVLIYTILALIVLFFLSSHSPFNNHHSSHRHRRLKLRSNFTLSPSRSHSSHQPVPFDPLVAELERHREDKQWEQQHIQHAPPHESQPEWEDFMNAEDFINDEDKFNVTSRLLLLFPKIDVDPADQFVDLHELTMWNLQQAHREVLHRSQREMELHDKNHDGFVSFSEYDPPSWVKSADKESFGYDMGWWKEEHFNASDADGDGVLNLTEFNDFLHPADSNSTKLQQWLCKEEIRERDSDRDGKVNFKEFFHGLFDLVRNYDEESHNDSHHSDNSLDAPARKLFAQLDQDGDGLLSDIELLPIIGKLHPSEHYYAKQQSEYIISQADVNKDGRLTLPEMIENPYVFYSAIFNDDEDEYNDYHDEFR >RHN71996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4266711:4267025:1 gene:gene7663 transcript:rna7663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MMDKKYLEQLSLEWSPDADFSDSQSEMNILSKLQPYKNLERLYLSNYRGTKFPKWVGDPSYHNITRLSLSRC >RHN78089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11802772:11802960:-1 gene:gene1604 transcript:rna1604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin 11-oxidase MDPTYYPNSDEFNPSRWNVSCITNLSISCRLDRVNPDCPITSLPSPKPKDNCLAKVIKVSSA >RHN41305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29007950:29009212:1 gene:gene47613 transcript:rna47613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MEDKKSDKILPAMQNPTTPSMENPASENRVTNHIPRDLHFSVLSNLPFKSVNRFSSVHRSWYHLHENPAFLNMFLASESHYNDHPDVKLLFNTNMTLSPRLYLCSGEHFKIESELEMEFPSSFLNKNLSILGSAIHGVICLYEVSNQNNVIVWNPVNGQKHVLPTNHAENCISNVLVHGFGYDHVHQDFKVIQYVVNNGVKCLGSRDQSNSFWQVYSLVNNKHTKIEVAFSVPFLHYKPYDGMEVYLDNVCYWLGRISEDDQLYLVSFNLAKNKFLTNTPLDNKWVRYYDEYDFDVTELFLKLVVIHGSVAMIIQHTDPFSFSIYILGEIGMKETWTKLVNVSPLPSMKDSIAAGKKGVIFFKAYEKDGKVACYDLTTGAVEELNFGAGKNIRQIVLYKESDRSLSEKRKNTCLLEGINS >RHN52597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36987432:36987737:1 gene:gene37291 transcript:rna37291 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSRSLDHSASILSRVLPAKSCSVFSLGTLIIKSSLFAFTSLPFWYNSIFLLQNMSLLFVDTLISASRVLIFSSSILFSSCKQTNLFDKHVSSFSILRNS >RHN41302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28990904:28992545:1 gene:gene47609 transcript:rna47609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MEASWFIILVFMCLSILIITSIRSLKSIQLPPGPFHFPFISDILWLRKSFPQLEPILRNLHARHGPIISFHFWSTPNISISDRFLVHKALVQNGAIFADRPKALFLNKPLNIASSCYGPTWRVLRNNLATTMLHSSRFNSFSNTRKCVLEALVKQLKSETESSHSIKFIDHIHHAIFSLLVFMCFGDKVDSEKIETIKHIQRLWIISSGKFGVLNFFPKMISHVIFRKRWNEFLQLQKDQKDVFIELIRAREKESNKNNLDHERAICYVDTLLNLELPEEKRKLDDDELVALCSEFLTAGTDTTSTALEWVMANLVKYKHVQQRIVEEIGVVIGDREEREVKEEDLEKLPYLKAVILEGLRRHPPSHYLIPHAVTEDVIFNGYLVPKNGTVNFMVAEVGWDPSVWEDPMAFKPDRFEESSNGFDVTGQNEIKMMPFGAGRRICPAYNLAMLHVEYFVTNLVWNFEWKTSLPESNVDLSEKQEFTMVMKYPLEAQISPRI >RHN64111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56660168:56661730:-1 gene:gene26893 transcript:rna26893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MATSFTLHLFLLSLSLLSLLSSSVALTKSHIPKPNTFVLPIAKDPKTLQYSTSIKLGTPAVPLDLVIDIRERFLWFECDDSYNSTTYNPIQCGTKKCKQARGTGCIDCTNHPFKTGCTNNTCGVEPFNPFGGFFVSGDVGEDILSFPRVTSDGRRVTNVRVPRFISSCVYPDKFGVQGFLEGLSKGKKGVLGLARTLISLPTQIATRFKLDRKFTLCLPSTSQKNGLGPGSLFVGGGPYNLGSNKDDASKFLKYTPLITNRRSTGPIFDNFPSTEYFIKVKSIKVDNNVVNFNTTLLSINKLGEGGTKLSTVIPHTTLHTSIYNPLLNAFVKKAEIRKIKRVKAVAPFGACFDSRTISKSVNGPNVPTIDLVLKGGVEWRIFGANSMVKVNENVLCLGFVDAGSEEVGPSATSIIIGGHQLEDNLVEFDLVSSKLGFSSSLLLNKASCSHFRGF >RHN63247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49661656:49663848:-1 gene:gene25926 transcript:rna25926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MASRNENDNRSLLYLENLTLPSFQVVVIEATTGCNGCQERVSRIVSKMIGLTEYTIDVRKNEVSVKGDFMARCDFQSKSFRSRALKSSTDQPKSLFACLTQFDKHKCNKK >RHN69829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46575777:46579383:-1 gene:gene18349 transcript:rna18349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein (MAP) kinase phosphatase MESVSNIGFSSTLRTSLYTKLLSKQRKQKSPCSFMIPLNYSIRMNKICCTLSESGIEENPTSKRVSKSNDLMEEYNIAMKKMMRNPYEYHHDLGMNYTVITDNLIVGSQPQKPEDVDHLKKEEGVAYILNLQQDKDAEFWGIDLQSIVKKCRELEIRHMRRPAVDFDPNSLRSALPKAVSSLEWAISEGKGRVYVHCTAGLGRAPAVTIAYLFWFCDMNLNEAYDMLTSKRPCGPNKRAIQGATYDLAKNDPWKEPFESLPDHAFGDIADWERNLIQNRVRALRGT >RHN80671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39944539:39946554:-1 gene:gene4626 transcript:rna4626 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKLLSLIAMVLMLLLPMAAKGDFFDDVLEKVCEEVECGKGNCVVNSSYPLNFVCECDSGWKRTQDEDDDIYATSFLPCVIPQCSLNYGCQPAPPPVPEKTLPRNISAFDPCYWAYCGEGQCTKNKTHTHRCECNPNYYNLLNISVFPCYSECTLGSDCSRLGIKVSNSTGDVDSHASSIFGGRFHWITVLLISTGMVMWS >RHN72164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5500385:5503298:1 gene:gene7845 transcript:rna7845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEKAEFVDMKLEAELQLVDGNKVDWKGRSALKFKYGGMKAALLMLVTLGLENLATFSLAVNSVPYFMGIMHYQLEDAANMLTNYMGVSYILSILVAIVADTWIGRYKSVLFSGFFEFLGLALLTVQAHYPSLKPKECNVNDITVHCKTPSRGQEAILFIGLYLLAFGSAGTKAALPSHGADQFDESDPKEEKKMSTFFNVLLLAVCMGGAVSLTFIVWIQINKGWDWGFGIGTIAIFLGTVIFAAGLPLYRIQVATGNSVLLEIIQVYVAAIRNRNLHLPEDPKELYEIEQDKEASEEIEFLPHRDIFRFLDKAAIDSKPDMQSGKSEAPSTSPWKLCRVTQVENAKILLSMVPIFCCTIIMTLCLAQLQTFSIEQGYTMDTKVTKHFHIPPASLPFIPIMFLIILVPIYDRIFVPVIRKFTGIPTGVTHLQRIGVGLILASVSMAVASIIEVKRKRVANDNNMLDALPVYQPLPISTFWLSFQYFIFGIADIFTYIGLLQFFYSEAPKGLKSTSTCFLWTSMALGYFLSTIVVKCINGATKHTKSGGWLIGNNINRNHLNLFYLFLSIVSLINFFVYLFVSKRYKYRPQGTKVSADGSSKE >RHN68953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39827248:39828836:1 gene:gene17376 transcript:rna17376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MHCILILSILISSYFSLPLLADGSSIGVNYGRIANNLPSAFKVVKLLKSQGIDRVKLYDTDPAVLKSLSGSGIKVTVNLPNEQLFHTARKLSYALTWLQKNVVVYHPKTQIEAIAVGNEVFVDTHNTTKYLIPAMKNIHKALVKFNLHNSIKISSPIALSALGSSYPSSTGSFKPELIEPVIKPMLNFLRETSSYLMVNVYPFFAYESNADVISLNYALFRENPGNVDPGNGLKYYNIFDAQIDAVFAALNVLQYDDVRVVVSETGWPSKGDSNEVGASPQNAAAYNGNLVKKILNNGGTPLRPNANLTVYLFALFNENGKVGLTSERNFGMFYPDMKKVYDVPFTVAGLKSYRDVPAPGSG >RHN66793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18873376:18876124:1 gene:gene14878 transcript:rna14878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LisH family MGGVEDEEPATKRTKLSPNSSSSMEHVVGSTSDLMAPPLSAEGDDEVVGTKGSLKRREFVRMITKAMYSLGYKKSGEHLEEESGIPLNSSAVNLFMQQVLDGDWDESLATLKQIGLEDESIVKAASLLILEQKFFELIDGDKVIEALNTLRNQITQLCVDSTRIRELSSCFLSPSGQGGSSGRDFVRAKTRLKLLEELQKLFPSTVMIPEKRLEHLVEQALTMERVASLCRNVLDKKMSSCSDDFGQSQIPTNQAYTSVASDDNESVNLSRADFGRFVSTAERVDKLEEKYKMLLSMLSELISLFRTPPPQ >RHN63459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51444988:51445653:-1 gene:gene26158 transcript:rna26158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain-containing protein MAGLRAKIRSIFYFTDDAKFTLSYVDEDAEMVNLVDDNDLNDLMNQRYKFWRIYVRENENGILPLKFSQWRNMIHKSVCKLMQKEEAIYYGSAFVAWVGLLSAIIFAKPNVQVVQPPPPPPSVSKGWFW >RHN53107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42009831:42011195:1 gene:gene37871 transcript:rna37871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKVTKFGYIIIHFLSLFFLAMNVAGGRECHANSHCVGKITCVLPQKPECWNYACVCYDSNKYR >RHN81435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45865895:45866342:1 gene:gene5476 transcript:rna5476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MLLQYFSIIPSTRVQRTIVNAGVIPHNVDVLKNDSMEARENAATTLFSLLVLDENKVTIGAAGAIPYLIKLLCEGTPRGKKRAVKAGIVAPLIQFMNDAGRGMVDKALAIMITLQAIMKVGHQSVNALLQL >RHN67955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31821207:31822646:1 gene:gene16220 transcript:rna16220 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta' MRGNPHVRFSKGEIHLIGSYPNFSFARPVVKKPTFLRLRGSFEYEIQSWKYSIPLFFATQGFDTFRNREISSGAGAIREQLVDLDLRIIMDSSLVEWKELGEEGSADNENENEWEDRKVGRRKNFLVRRMELVKHFIRTNIEPEWMVLSLLPVLPPELRPIIQIDGGKLMSSDINELYRRVIYRNNTLIDLLTTSRSTPGELVMCQEKLVQEAVDTLLDNGIRGQPMRDGHNKVYKSFSDIIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHRCGLPREIAIELFQTFLIRGLIRKHFASNIGVAKSKIREKEPIVWEILQEVMRGHPVLLNRAPTLHRLGIQAFQPILVEGRAICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEARLLMFSHTNLLSPAIGDPISVPTQDMLIGLYVLTSGNRRGICANRYNPFNCRNSKNEKISNNNSKYMKKKNPFFAIPMMQLELIDRKE >RHN56723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33195433:33198761:-1 gene:gene32162 transcript:rna32162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MSDIEQAAAPAPADATTALFGKYELGKLLGCGAFAKVYHARNTENGQSVAVKVINKKKISATGLAGHVKREISIMSKLRHPNIVRLHEVLATKTKIYFVMEFAKGGELFAKIANKGRFSEDLSRRLFQQLISAVGYCHSHGVFHRDLKPENLLLDDKGNLKVSDFGLSAVKEQVRIDGMLHTLCGTPAYVAPEILAKRGYDGAKVDIWSCGIILFVLVAGYLPFNDPNLMVMYRKIYSGEFKCPRWFSPDLKRFLSRLMDTNPETRITVDEILRDPWFRKGYKEVKFYEEGFEFEKKVNNGEEEGKPLDFNAFDIISFFSRGLNLSGFFIDGGEGERFMLRELPEKVVEKAEAAAKAEGLVVRRKKECGVEIEEQNGDLVIGIEIYRLTAEMVVVEVKRFGGDAVAFEEVWKNKLRPHLCDATTSNQDQTQSHAVPASVD >RHN57105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36518217:36525413:1 gene:gene32604 transcript:rna32604 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LAX1 MLSEKQGEETMMSSLNETIELNEEREEEKGASPGSGFKNFLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMISGIIFQVFYGLMGSWTAYLISILYVEYRSRKEKENVSFKNHVIQWFEVLEGLLGPYWKAIGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYMTIAAIVHGQVENVVHSGPKKMVWYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYFFATLYVFTLTLPSAIAVYWAFGDQLLDHSNAFSLLPRNAWRDAGVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSIFLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYVIPASAHMLTYRSASARQNAAEKLPKVIPSWTLMYVINAFVVIWVTIVGFGFGGWASMTNFIKQVDTFGLFAKCYQCPPKLPASNHTMHH >RHN82290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52610260:52612179:-1 gene:gene6444 transcript:rna6444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MTMTLSRSFRRFPNLFPLSKSTSHFESPTVQFIQNPSRSLKFHIFPFHTSLLHKPNNPHAETICRILSTTPESPVDVSLRNFPVEVSPELVVAVLNKLSNAGILALSFFRWAEKQQGFNHNTEIFHALIEALGKIRQFKMIWNLVDEMKQRKLLNGDTFSLIARRYVRAKVIKEALKTFERMEKYGLKPQISDFNKLIDVLCKSKFHVEKAQELFDKMRQWNLEPNLKSYTILIEGWSQQQNLLKVDEVCREMKDDGFQPDVVTYGIIINAYCKAKKYDEAIGIYHDMLSKNVNPSPHIYCTFITGLGNGSRMDEAFEFFEKSKASGFPPEAPTYNAVVSAYCWSMRIDDAYRIVGEMKELGIGPNARTYDIILVHLIKGGRTKEAYSVFQRMSSETGCEPSVSTYAIMVRMFCNENQLDMAMVVWNEMKDKGILPGIHMFSTLIISLCHENKLDDACRYFQQMLDVGIRPTANMFSAFKRALMAAGMENTVIHFAKKVDKLRNTPLIA >RHN52074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31317423:31317596:-1 gene:gene36692 transcript:rna36692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PPLZ12 MDLIMITQYFDTIRYLGNNSKNTTVFIPHGPGHVRDIGDQIRNGMMEASCAQVTDAE >RHN57830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42025281:42028449:-1 gene:gene33419 transcript:rna33419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b561 and DOMON domain-containing protein MKSKKIMSSSTLVTIMILSFLFFTNIGVKAQSYSCSHKFAKLMEKRNITKCKPLRTLGAEFAWNYHNGTNSTTILEILFGANIGQGDGWIGWGVNPGNRAEMIGTKAIIGIRYHGTYLPVGTYDVTKGTKRGCSLLPTDIGLNVSDMSIQHDQGSNFYTIYARLVLPSDKYNITRLNHVWQVGNNVRGQRPLGHPTTLHNVDSTETIDLTSTDGRSRGQKLSFLRSVHGVLNIIGWGTLLPMGVIIPRYFRVYPFHKDPWWFYLHIGCQTTGFLIGTAGWVIGLVLGHSSRYYVFHTHRDFGILIFTFSTIQMLAFRLKPKSTDDYRKYWNMYHHFLGYGLLAIIVINIFKGINILHGGSSWRWSYIGILIGLGTIAFALEIFTWIKFIMDKWKKDKHHDKKENQPNKNKSDNASVQDQKE >RHN63961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55398913:55401951:1 gene:gene26726 transcript:rna26726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MASSVEKEGSGAVGAAAGAPEEPVSFELPAPSGWTKKFFPKKSGTPKKNEIVFTSPTGEEIRTKRHLEKYLKTNGGPNISEFDWGTGETPRRSSRIVEKVKAAPPAEPKSDPPKKRSRKSSASKKAASEDEAEETNDVEMQEAGETKDDKDVELEKEVVNENEDKKGAEDADVKESIQPGETTDEGKSNTADGDLQASKENIDDKGAEGSGVVQNKDEEKTGQPAEETKQEEKIGQPAEETKQEEKIEQPVEETKQEEKIEQPVEETKQDGGSGEAEKSEAAPTAEKTAEVEGENKEDDNRITREAGGETKEKDATRVHDEANYKVHDMNKAESEVTVNGS >RHN77035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3410360:3414570:1 gene:gene442 transcript:rna442 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSCCWEDIFSEPSMPLGVQHMMIDGISGTKSELDFIQFLLLYSPDLEKMFVKPIANVRTEVMTKLIRFKRASRQAEVIDYGELPKDFDYPQFEF >RHN45711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24818473:24823948:-1 gene:gene40098 transcript:rna40098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SOS response associated peptidase (SRAP) MCGRTRCSLRADDVPRACHRTTAPSRLLHIDRYRPSNNVSPGFNIPVVRREDNASAESDGHVVHCMKWGLIPSFTKKTDKPDHYKMFNARSESIDEKASFRRLLPKNRCLVAVEGFYEWKKDGSKKQPYYIHFKDGRPLVFAALYDSWQNSEGEILYTFTIVTTSSSSAFKWLHDRMPVILGDKDTTDTWLSSASSFKSVMKPYEESDLVWYPVTPAMGKPSFDGPECIKEIQIKTEGYIPISKFFSKKEAEVEDTKPEHKILSHEPVKTEQTKDVSEEAKTEEGDTDLKSSGISPSQNVNRFAIKREYDAISSDSKPSLANNDQVSANPAKKKEKAKTADDKQPTLFSYFGKR >RHN66693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16607896:16608321:-1 gene:gene14743 transcript:rna14743 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSRLFMVNVKEEGSFYEESLEETPVADKTKINSGPWFTLDDIPPNRWRERLLEFGAWLDTHLMKTGADSYKIIEEFCCRMKGTLKELYHNLGTFKQDELHRLDNAVVVLGVLHNEFIGDMELFYRKSRQEFFEMKCCSL >RHN79463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29190961:29192379:1 gene:gene3257 transcript:rna3257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MALLWLTAMIKPTEGVQTPTSWEMAMLISAFILISIASGGVSCSMAFGADQVNIKDNPNNNRVLEMFFSWYYAFASISAIIALTVIVYIQDHLGWKIGFGVPAALMFLSTLLFFLASPLYVKIQKRTNLFASFGQVIVASYSNRKLPLPPKNSPQFYHHNKDSDLVVPTDKLRFLNKACVIKEFEQDIACDGSRINPWNLCTVDQVEEFKAIVRVIPLWSSGIMMTLSMGSSFGLLQAKTLNRHITSNFEVPAGSLSVINIGTVIIWIVFYDRVLIPLASKIRGKPVKISAKKRMGIGLFLSFLYSVNAAIFETIRRRNANNGVLEMSALWLAPQLCLAGISEAVNFIGQNEFYYTEFPSTMSSVAASLSGLGMAAGSLVSSLLFSIVENTTSRGGKDGWISDDINKGHFDKYSWLIAGISAFNILYYIICSWAYGPAVEELSKD >RHN45925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26824448:26825596:1 gene:gene40354 transcript:rna40354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MSLLSPSQRPTITSPKFIPNEHIPDLLSLLPVKSLLRFRCLSKSHDSLISDPNFIKLHLTRSAQKEDFTLVSTSDRNVVSFTVFRLLENPPIIIDLPEDPYHKLTEDSLYVVGSYNGLLCLYGQFFTPNYNSVTWLRFWNPATRKISAKLGFSIHNGLYFYPNLTLGYDKSANTYKVVYFVPNTINVSVFNLQHNSWRNIQNSPVTHDYSMNAVHLSGCISWLAIRNYSAPYNCENITVQQFVIISIDLSTETHSQILPPQGFTEAPIVVPNLSVLNDYLCFSHDYQKTHFVIWKMKELGVEDSWTQLFQISYNNLQIYDHFNGLEFRLLPLCLSEKSDTLLLTNSPESRAILYNWRYNRAERINKPWFNGKNYVESLVWFR >RHN64997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63526032:63534675:-1 gene:gene27886 transcript:rna27886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phytepsin MGNKLHVIVLCLLVSTLLISAVSIAASSSDGLRRIALKKIQLDRNNKLAAAAAAAAGGRRTKDTDSLQSSIRKYNLANNYQETDIVALKNYLDAQYYGEISIGTSPQKFTVIFDTGSSNLWVPSSKCTFSVACYFHAKYKSTKSTTYRKNGTAAAIQYGTGAISGFFSYDSVKVGDIVVKNQEFIEATKEPGVTFLVAKFDGILGLGFQEISVGNAVPVWYNMVEQGLIQEPVFSFWLNRKPEEEEGGEIVFGGVDPAHYKGNHTYVPVKRKGYWQFDMGDVTIDGKSTGYCVDGCSAIADSGTSLLAGPTTVITMINHAIGASGVVSKECKTIVAEYGQTILNLLLAEAQPKKICSEIGLCTFDGTHGVDLAIESVVDGNERKSSSGLHGASCSACEMAVVWMQNQLRQNKTQDQILTYINNLCDKMPSPMGESSVDCENISSLPVISFTIGGRTFDLAPEEYIKVGEGPAAQCISGFVAIDVPPPRGPIWILGDIFMGRYHTVFDFGKSRVGFAEAA >RHN57437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39163592:39163945:1 gene:gene32982 transcript:rna32982 gene_biotype:protein_coding transcript_biotype:protein_coding MELEMNWMDKINEIKNNGPSIADEKEQWEKPSIYKVPSQVTDLNKKAYKPQVISFGPYHNGEENLKLMEEHKYRALVRFLKRCEKSIELLYQRLDIVAQKLKDSYNLLDSIWTNDTP >RHN81320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44845385:44847371:1 gene:gene5338 transcript:rna5338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phytol kinase MTLLSSHLFPFSSVHCRSPATTTTTFPWNKPTTTNDTVKFLYSPSVHRSVRLNERFVTRFIVPANAQDLIHNVGATVGVLGGAYALVFSFDDLTRRNVIDQGLSRKLVHILSGLLFLVSWPIFSNSLEARYFAAFVPLVNFLRLLVNGLSLVTDPGLIKSVTRKGDPKELLRGPLYYVGILMLSALVFWRESPIGVVALAMMCGGDGVADIIGRRYGSMKIPYNQKKSWAGSIAMLIFGFLVSIGMLYYYSALGHVQFDLWNIVPRVAFVSFVATVVESLPITEVVDDNISVPLVTMAVTFLTFHH >RHN48336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46775067:46779617:1 gene:gene43043 transcript:rna43043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MNNYCVSYFQSQMDQQRLPRPKKPIIADDEIMELLWQNGQVVTQRPNHRHAKKPPSCQETTRGGGASPVENYNQYLFMQENEMASWLHYDDSPFDRTFSTDYLNTPPATANNNSSIQTPTSVVPSRPPIPPPRRMGHVQPETPNFAKHGVMNTAVRESTVVDSCDTPAVMPAAFSETVKSLADQTEGDTEVAVVSTTFDEPGGSSSSEEPEPVGKVAEQDRKRKGIEAEEWEYQSEDVDFESAEAKKNISGSSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRSNKSDKASMLDEAIDYLKSLQLQVQRVQLMQMMSMGCGMVPMMFPGIQQYMPTVGMGMGMGMEMGINRPVMPFPNMLSGSALPAAANLGPRFAMPPFHMPHVPTPGSSRMQAANQVDNNVITSAGTHDSNQSRTPNFSDSYQQYLGPHQMQFQLMQNQAMNQPNVSRPLEKPETRQSGDK >RHN57932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42629887:42631285:-1 gene:gene33524 transcript:rna33524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MKVRYAPHLPLVLRGLNCTFRGGLKTGIVGRTGSGKSTLIQTLFRLVEPTAGEVIIDRINISTIGLHDLRSRLSIVPQDPTMLEGTVRSNLDPLEEYTDEQIWEALDKCQLGEEVRKKEGKLDSSVSENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNLIQQTLRQHFMDSTVITIAHRITSVLDSDLVFCFLMLLCIGVGLVEEYDSPTTLLEDKSSSFVKLVAEYTMRSNSNF >RHN81351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45132212:45134300:-1 gene:gene5373 transcript:rna5373 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSTTQITTTQQQQLVESSSSSASESSKNPFIPFFPNFNFNFQLPHFFNLPAKKHQHDDGGDKNKATTIIPKLQEGPNVVTFPKTQLAVVSEQPLQAESQISSTKTSNPLILYQVYAVGAFFISRWIWARWNERKARGRSSDEDGDGDDRGSQDNV >RHN71762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2487784:2492785:1 gene:gene7396 transcript:rna7396 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFSLWFVLGLIVIVGSHVAQAQDSPADYVNAHNKARSAVDSFIKIPNIVWDNEVAAYAQNYANQRKDCKPIPSNGGGRYGKNIAVSTGYISGTQAVKGWADEKPHFDNYLNKCFDGECHHFTQVVWSGSLRLGCGKVKFLLICYVGCCSIECRRFLFGFARYLFVYLLELFLSFDFVLSVVGLIFIVGSHVAQAKDSPANYVKAHNKARSAVDSFIKIPKIVWDKKIAAYAQNYANQRKDCKPIPSDSGGRYGENIAVSTGHISGRKAVKLWADEKPHFDNYLNKCFDGECHHFTQVVWSGSLRLGCGKVKCNNGGTFVTCNYYPPGNIPGQLPYQ >RHN82281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52544472:52551630:-1 gene:gene6431 transcript:rna6431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylinositol-3,4,5-trisphosphate 3-phosphatase MDQVPEADVSKPPPVKVPSMTPPSKEDDGQTSASIASGQDNSAREAPSKLSPTGISSWAKNLKISQPFSGSQDDSSSGNAGKSAFARITSNLGMRLSPKSPVADDNSNELAAQSNLFGTITKGLVDSSKNAVKAVQVKARHVVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIQFFETHHKNKYKVYNLCLERLYDASLFEGKVASFPFDDHNCPPIQLIISFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLFLKFFPTAEESMDYYNQKRCVDGKGLVLPSQIRYVKYFERILTYFNGECPPPRRCMLRGFRLHRCPYWIRPSITVSDHSGVLFSTKKHPRTKDLLSEDFWFAAPKKGVMVFALPGEPGLTELAGDFKIHFHDRQGDFYCWLNTTMTENRKILNTSDLDGFDKRKLPSPGFQVEVVLVDHNGDVVTSKHEVTKKSDESSSASPAPVEASTPAPNADKESGDGDHDKDDVFSDGEAEHPASSRSKQTKAPSEAVDTVASNTKESEANKISNQITNITHATEQVSLGSKISMPSHSTGEPKSDTGGRTASSLDVPSSESEFKAMAADASVFTFGDDEDYESD >RHN50732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9885085:9887957:-1 gene:gene35056 transcript:rna35056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MEDQQQNQHVQSQSSNTNNPNNTEKSETVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLEKFGAVGDANVFYWFQNRRSRSRRRQRQMQAAAQLGGGSTNANPYHQYQCSTNSTSLSLGTALGFGGSSTFTMNSSSCSTYNNNFSGSSSSCAGGVGAGAGVGVGLGLGAGAGGEEGFGGLYLGSTQINLPQMENTSAASSIMQPSDHASNFNYYPGGIGYGGSNVSGVITVFINGVPTEMPRGTAIDMKTMFGEDVILVHSSGVSVPTNEFGFLMQNLQHGESYYLVSKQAQA >RHN44845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9070409:9070813:1 gene:gene39011 transcript:rna39011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MHDRCQQADIITYTSLFDALFKNHKVYMAIALLKKIKDHRIEPYMFIYTLLVDGLCKNGRLQDTQEVYPNLTIKGYRFEVRMYSTMMITGLCKESLLYEALSLLSKMEDNGCNPDGVTCEIIVRAFPASSPANV >RHN65437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2247165:2247765:1 gene:gene13275 transcript:rna13275 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLMVQISEASDSRVGTFRNVTIRLLSKTKHDKIAAVVGLAVFNQFWYWNPLIYFISLAFSPTALIGLNYDLKSPKFEFLSHAKPSLFEYPKPTTVPTKP >RHN63563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52236281:52237274:-1 gene:gene26277 transcript:rna26277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MIVKRIFPDVVTYTTLIHGFCIVGQFKEAVGLLNQMLLKNISLDVQTFNTLVDGLCKEGEVKQARNVLAVMIKQGVDPDIFTYISLMDGYFLVKEVNKATYVFNTIARSGVIPSVQSYSVMINGLCKKKMVDEAVNLFKELQIKNMAPDTITYNSLIDGLCKSGRISDVWDLIDEMHNIGQPADVITYNSLLDALCKNHQVDKAITLLTKIKDQGIQPNMYTYTILVDGLCKNGRLKDAQVVYQNLLIKGYHLDVKMYSVMVNGLCKEGLFDDALSLVSKMEDNSCTPNAVTYETLIRALFENGKNDKTVKLLREMIVRGIL >RHN62859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46286485:46293325:-1 gene:gene25484 transcript:rna25484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKLDVDVLRYLSKDDFRVLTAVELGMRNHEIVPAELIDRIARLKHGGTYKVLKNLLKHKLLHHDSTKYDGFRLTYLGYDFLAIKTMVNKGVFVSVGRQIGVGKESDIFEVADEDGKIMAMKLHRLGRVSFRAVKSKRDYLKHRSSYNWLYLSRLAALKEFAFMKALQTHGFPVPEAVEHNRHCVIMSLVQGYPLVQVKQLQNPETVFETIIGIIIRLAEHGLIHCDFNEFNIMIDDEEKITVIDFPQMVSVSHRNAKMYFDRDVECIFKFFRKRFNMTFQESIDDNDNSNEGREEAGKLCFSSIDSSAGFLDKELAASGFGKKDEEDIQRFIEGEVESDTNSDSEDGDLVRNLNEADDLNVDSLHLSEQDEGHESHGKEERFEEGENSGSEKEEASDMEENNDNAVGIEAELTKSLSKQRQSAIASARRGRKKLGSRNSHKDKGGRSSQNAKVQMQMSSW >RHN71142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56754334:56760286:1 gene:gene19791 transcript:rna19791 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEHYQHPRLSPMGPSRLPLPPQMERKLLHEKKRKEQEVESTKEDLLHGKKPKEQKVESTEGDLLYDGEKPKEFECPVYAETLKVYNKLKDIDTIDLKWCPIIPDKHAYLKHEKKFAELFKKHEEKKKRWMLIEEEKERLGKGKRGAVTDKLKPCYAMLLA >RHN39908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11609128:11615265:-1 gene:gene45992 transcript:rna45992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-XII-1 family MGIQCSRLVPCCVNSQVKASVLETPDAENDDRSEVSNWPMFREFTLEQLKNATSGFAVENIVSEHGEKAPNVVYKGKLENQMRIAVKRFNRNAWPDTRQFLEEAKAVGQLRNQRLANLLGCCCEGDERLLVAEYMPNETLAKHLFHWESQPMKWAMRLRVVLHLAQALEYCTGKGRALYHDLNAYRVLFDEDGNPRLSTFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSDNDGTELVRLASRCLQYEPRERPNPKSLVAALAPLQKETEVPSHVLMGIPHSTSFASLSPLGEACSRKDLTAIREVLDSIGYKDDEGVTNELSFHMWTDQMQDILNCKKKGDAAFRQKEFREAIECYTQFIDAGTMVSPTVYARRSLCYLISDMANEGLNDAMQAQVISPIWHIASYLQSVALATLGMENEAQAALKESTTLESKWNATSKQK >RHN81204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44056677:44062056:-1 gene:gene5214 transcript:rna5214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, alpha-ketoglutarate-dependent dioxygenase AlkB MAAGPTTTTTTDRPTVVGPTTTPLQPPPPMLVSDSYAKDAILAWFHGEFAAANAIIDALCSHLSHLSSAADYSNVFAAIHRRRLHWIQILQMQKYHSIADVALQLKTVADKKNTVEEVIQNDIVVMEEEEQKTEEKVIDGGGDEHEEYDSPESEITDSGSQENQANSMNINICSNHEECEGRATQFKLTKGFTAKESVKGHMVNVVKGLKLYEDVFTDSELCKLSDFVDEIHTAGQNGELSGETFILFNKQMKGNKRELIQLGVPIFGQIKEDTKSNIEPIPALLQRVIDHFIQWHLLPEYKRPNGCIINFFEEGEFSQPFLKPPHLDQPVTTLLLSESTMAFGRILMSENDGNYKGPLMLSLKKGSLLVMRGNSADMARHVMCPSPNRRVSITFFRVRPDSNQCQSPTPTMTSAMTMWQPAIANPYALPNGALSCGYDGMDMMMPKWGMLPGPMMMLTPMRPMAVNPRKLAGGGTGVFLPWNVPSRKPTKHLPPRAQKGRLLALPSPVEPHIGESTSEPSICVEG >RHN66774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18533916:18540985:-1 gene:gene14853 transcript:rna14853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galacturan 1,4-alpha-galacturonidase MHLLSKSSIPSTFILFLIFFSHFSSISASYSTTCSGIVPFRHRADTISITDFGGVGDGKTLNSKAFRAAIYRIQHLRRRGGTLLYVPPGVYLTESFNLTSHMTLYLAAGAVIKATQRLRNWPLIAPLPSYGRGRELPGGRYISFIHGDGVRDVIITGENGTIDGQGDVWWNMWRQRTLQFTRPNLVEFLNSRNIIISNVIFKNSPFWNIHPVYCSNVVVRYVTILAPRDSPNTDGIDPDSSSNVCIEDSYISTGDDLVAVKSGWDAYGISYGRPSNDITIRRITGSSPFAGIAVGSETSGGVQNVLAEHINLFNMGVGIHIKTNIGRGGLIKNITVSNVYIENSRQGIKIAGDVGDHPDENFNPNALPVVKGITIKSVWGVKVLQAGLIHGLRNSPFTEICFYDINLHGETGSGRSPPWKCSDVFGFSKQVSPWPCSQLSSQEPGSCTNYS >RHN74692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35899087:35906271:1 gene:gene10799 transcript:rna10799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MAEGEGTQIRVMEEEEDLISNLPDALLANIISPLPYMEVVRTSVLSKRWESLWKHTPSLNFDQRQMLKSLIKENNQNSRLNFYLAVTGQRKVDLESDELFAEAAMMITSNIDNHIGPLKSCSIRHLPESCVSGDVVGWMRNLLEKRVMKVSIERDSCDYRYGGIPDMIERNAASTIDLPFEVFSSFKVLELKNYHFLTTPSLNPQQILNTLTLNKVHIISNTFHDILSHCSSLENLILEKCDFLTDEVKIVSPSLKYIKICDVNERRILISAFNMEVIEFDSVICNHEDLILETPKLRVLRAYNNVQMLRQKVYIDGCKLLTTRDIIEICGGILGHRGSSMESIFKNLVTLCLDFELNGNRNAIVLSFALKSCPQLMNLQINNQVNLEYYDQNDNDCLPYPGGLFWLKRDPCRCVSYNLKNVCMKGCKGGEFELEFVKYLILNGGVMNNITIWFLDDCSWDEVVATACLRSYPKLSLKLSIDMKPSIEYIRKYGGSFDEWVRTLK >RHN79758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31896423:31901112:1 gene:gene3590 transcript:rna3590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle protein 48 MANQPESSDSKGTKRDFSTAILERKKSPNRLVVDEAVNDDNSVVALHPDTMEKLQLFRGDTILIKGKKRKDTICIALADETCEEPKIRMNKVVRNNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDMFLVRGGMRSVEFKVIECDPAEYCVVAPDTEIFCEGEPVKREDEDRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLEKIAKETHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVTNEHFSTALGSSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSSGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKAALRKSPIAKDVDIRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRSDNPEAMEEDNEDDEVAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFADTSAGGTTAAAADPFATASAGGADEDDLYS >RHN41827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33381413:33381628:-1 gene:gene48189 transcript:rna48189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation protein SH3 MSEPLSGDLLSKYNVHSLPVRKEDEVQVVKGKVNGQTVNVGVNPSKVVITKIRLDNDRKREMVVGFDECGL >RHN59975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15531011:15545310:-1 gene:gene22150 transcript:rna22150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin synthase MWRLKIAEGGNNPYLFSTNNFVGRQTWEYDPEAGSEEERAQVEEARKNFYNNRFKVKPCGDLLWRFQVLKENNFKQTIAGVKIEDEEEITYEKATTALRRGTHHLAALQTSDGHWPAQIAGPLFYVPPLVFCLYITGHLDSVFPPEHRKEILRYIYCHQNEDGGWGLHIEGHSIMFSTALNYICMRILGQGPDGGQNNACARARHWIRVHGGVTHIPSWGKTWLSILGLFDWSGSNPMPPEFWLLPSFLPMHPAKMWCYCRLVYLPMSYLYGKRFVGPITPLVLQLREELHTQPYGKVNWKKARHLCAKEDIYYPHPLIQDLIWDSFYLFTEPLLTRWPFNKLVREKALQVAMKHIHYEDENSRYITVACVEKALCMLACWVEDPNGDAFKKHLARVPDYLWISEDGMTMQSLGSQGWNTSFSVQALLATGLTEEIGPVLAKGHDFIKKSQVSDNPSGDFKSMYRHISKGSWTFSDQDHGWQVSDSTAEGLKCCLLLSMFPPATVGEKMEPERLYDSVNLLLSLQGKNGGLAAWEPAGGQEWLELLNPTELFEDMVIEHEYVECTGTTIEALVLFKKLYPGHRKNEIDNLIANAVRFLEDTQKTDGSWYGSWGICFTYGSWFALGGLAAAGKNYTNCDAIRKAVKFLLTIQRNDGGWGESYLSCPKKVYVPLEGSQESNVVQTAWALMGLIHAGQAETDPTPLHRAAKLLINSQLKQGDWPQQELTGVFMKNCMLHYPMYRNIFPLWALAEYRRRVPLPSFAV >RHN66587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14416674:14417480:1 gene:gene14606 transcript:rna14606 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVKLATLAVFMLTQFCLIVQIKNVEAGQCARVGMRCSRALPNPCGDIVTCRCVHLHLVGSTCIDYTGDGL >RHN81242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44300093:44308806:1 gene:gene5255 transcript:rna5255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase LIN-1 MMDQKDIVRFLTTTIDSFIQDRLINKEQRTQHKDQCAERLAAEDGNTDKETEVEYSDQAVLANLDWGIEALEEAINTYNMETKLARLDYAEKMLQVCAMLNPKQKTAGVPNSYLSAWAHLNLSYLWKLRNNIKSCIYHSLEMFIVDPFFSRIDFAPELWKNLFLPHMSSIVGWYSEERHKLMMEVLPESTDFSYTADFDKVFNESLVFSMRPNQLEKLQKLEQLYGESLDENTRLYAKYYNDCMNPDSTSSKKVVPMLPIAEPPMTPLHELSRSVPDFVKFGPILPKSSGFSMTTRRSNDGLNETTRENIASNSNHSKGEQSSLWAAKESIIEEIEDDLDSEHYDASVDSDKINIFSPEPKKNIKDEDVEPKVYRSNQKNQMNSPNISPMESPRRASNYSSTNPLRRKKESKFLRLLSNRFTGSIVSDHSLSSSPDTSSDHIFTGDEEVMVRNNIKRKNDSQTPSMNQDNENSLVLNDSSHCESEDGYQSSSSFPKLEKLTIGSKPPKDFVCPITGQIFSDPVTLETGQTYERKAIQEWLGTGNTTCPITRQALSANILPKTNYVLKRLIVSWKEQNPELAQEFSNSNTPRGSSCSPSAKDITMVSSIQRTTDSPSQKYKDDYIRQRNNRFTRVSVGASPTSVLSQAAVETIINSLTPYITSLCTSENLQDCEQAVLEIARLWKDSKTDPQIHSYLSKPTVVSGLVEILSASLNREVLRRSIYILSELIFSDERVGETLNSVDSDFDCLAMLLKNGLAEAALLIYQLRPVFAQLSEHELIPSLIQVIQNKSEDIDDFQLAIDPKAAAIAILEQILIGGDEYNRSVNASSVISANGIPAIVKYLDKTEGRRPVISILLCCMQAEKSCKSSIANRIELSPVLELFHAGNDSVRGICVEFLSELVRLNRRTSSNQTLQIIKDEGAFSTMHTFLVYLQMAPMEHQIAVASLLLQLDLLAEPRKMSIYREEAVETLIEALWQKDFSNNQMKALDALLFLIGHVTSSGKSYTEAGLLKIAGFDQPYNVLMKAEQLGHSDNDFMETMEDEKNAMKSWQKRVASVLCNHENGSIFQALEECLKSNSLKMAKSCLVLATWLTHMLFTLPDTGVRDVARKSLLEALMNVLQSSKNLEEKILASLALKSFISDPTVHEVLRVYAKSIYRILRKLKKYSTVAADILKALLNLNSVDVTELWSCKEVVELDLSSNGEVLSLHYLNGQVLSGHADGTIKVWDARKRIPRVIQETREHKKAVTSLCSSVDKLYSSSLDKTIRVWTIKPDGIKCIDVYDVKEAVYELAANAKLACYVTQGTGVKVFNWLDAPKFINFNKYVKCLAVSGDKLYCGCSGYSIQEVDLSKYTSTSFFTGTRKLLGKQTIHSLQIHDDLLFACGSSIDATAGKIFSLSSKMVVGSLSTGLDVHRVAINSDFIFAGTKFGTIEVWLKDKFTRVASIKMAGGNTKITSLASDADGMMLFVGSSDGKIQVWALD >RHN44980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10436459:10437121:-1 gene:gene39170 transcript:rna39170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MNVSKASSSNKGGWIRYSKKYCFCRNVAVIKVAMTAENPNRLFYHCKHEQPKENCGFFLWCEPIGCETETTQKVDSRMMEIDDELDGALADQALKFDAMKKELEGKLAEMKKVMEEEIDTVKKESEMEISKMSKILEAQVHLADEENKENLLEVKKNVDAEIASCKKIAMEELFLVKECLDDEVSLLKEEVGWSNSSLWKLKLVVLMMMLKLGWLAFLKS >RHN44009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:781150:785890:-1 gene:gene38053 transcript:rna38053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle transport protein, Got1/SFT2 MAYDIDEMKKIGIGLIGFGIFFTFLGIILFFDRGLLALGNIFWLAGVAILLGWRSMWSLFTSRANYKGTASFLLGLFFIFVRWPIVGIILEIYGCVFLFSGFWSSVKVFLYHIPVVGWVIRFIAPP >RHN47662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41336770:41338298:-1 gene:gene42285 transcript:rna42285 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCVCSGDYDYDIPLCTVEVDKEFNNNDKVLISFPQLKDLVLREVPELKCFCSGAYDYDIMVSSTNEYPNTTTFPHGNVVVNTPILRKLDWNRIYIDALEDLNLTIYYLQNSKKYKVELQKLETFRDIDEELVGYIKRVTNLDIVKFNKLLNCIPSNMMQLFSHVKSLTVKECECLVEIFESNDSILQCELEVLEIELFSLPKLKHIWKNHGQTLRFGCLEEIRIKKCNDLEYVIPDVSVVTSLPSLVSIRVSECEKMKEIIRNNCSQQKAKIKFPILEEILLEKLPSLKCFSESYFPCYVEMPKCELIVINDCPEMKTFWYEGILYTPGLEEIYVENTKFDKDEDVNEVIQRQNK >RHN57094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36400176:36400700:-1 gene:gene32591 transcript:rna32591 gene_biotype:protein_coding transcript_biotype:protein_coding MIEILIVYLLLAFIVDNLNLTTVDKIPSDLEAMEASMAHILTLIDDNYKYIDDVLGFCCSRLL >RHN72325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6789947:6793508:1 gene:gene8023 transcript:rna8023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amylase MEISVIGNSQVKFGRTDFACRELGYVILKNNCRFSKGGVCFGQNLRLKKGGGIGLKAIHAEPVREMKNKPSGSRTRSKQADGVRLFVGLPLDTVSHDCNSINHSKAIAAGLKALKLLGVEGVELPIWWGIVEKEAMGKYDWSGYLAIAEMIQKVGLKLHVSLCFHGSKKPNIPLPKWISEIGESQPSIFFTDRSGQVYKECLSLAVDNLPVLNGKTPVQVYQSFCESFKSKFSPFMKSTITGISMGLGPDGKLRYPSHHELPSNGKTQGVGEFQCYDQNMLSLLKQQAESSGNPLWGLGGPHDVPTYDQSPYSNSFFKDGGSWESSYGDFFLSWYSSQLIAHGDSLLSLASSTFGDTGISIYGKIPLMHSWYGTRSHPSELTAGFYNTANLDGYEQVAQMFAKNSCKIILPGMDLSDANQPNETHSSPELLLSQTMTTFRNHGVSISGQNSSELGVPGGFEQMKKNLSGDNVLDLFSYQRMGAYFFSPEHFPSFTELVRSLNQPKLHLDDLPTEEEEGAESAVMSQESSVSMQAA >RHN51408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17319016:17319519:-1 gene:gene35838 transcript:rna35838 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSVHQRGILNALCNVDFFTNEQLGTETGKIINGETLKNMRLISKECYKKLSTNLKESDAVSAIMKDFPPICKQDPLDVQMHFIRNHFETTGIRLSLKDVPETMYGGALPVAKSRKTKRKTMSKDHYLEDSAEKSSKKSKKVKSASGVSNQDVAQLKFQNWLKGIA >RHN82691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55732542:55736119:1 gene:gene6871 transcript:rna6871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MVNMMEWQKQQVQNGNVEAMYVKVMTDEQLETLRKQIAVYATICEQLVEMHKTLSAQQDLAGVRLGNLYCDSLMTAGHKITSRQRWTPTPVQLQILERIFDQGNGTPSKEKIKEIATELSQHGQISETNVYNWFQNRRARSKRKMQNGGTSNTESEVETEVDSKDKKTKPEEFHSQQSAALGDENLCFQNQEKCSELQYLNHGSNKTYSVFPSDGGIRSTRNLSGVSLYDEVLSNSRNDYLGGKMEVSGSYNIYQQAEDFNLAG >RHN62661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45037111:45039417:1 gene:gene25258 transcript:rna25258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nicotinamidase MLYAFGVILKLNTRIIFLSSLAEKMVSHTVELLKNEIPLEQESVVLAEDIVNGLVLVDIINGFCTVGAGNLAPRESNRQISEMINESARLARLFCEKKLPIMVFLDSHQPNKPEEPYPPHCIAGTDESNLVPALRWLENETNVTIRRKDCFDGYVGSMEEDGSNVFVDWVKKNKIKTMVVVGVCTDICVLDFVCSTMSAKNRGFLKPLENVVVYSNACATFNVPLEVATNIKGALAHPQEFMHHVGLYMAKERGAKIAKEVLFDAAEKI >RHN48114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45128690:45129224:-1 gene:gene42790 transcript:rna42790 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVILVSVISTTAVVFSLTLYTFWAARRGHDFSFLGPFLYGSLFCFHWISCHIYLWVSWSYYIFWLHCVYDTYNLIERFSYDQYILASVSLYLDIINLFLYMLTIFSDDD >RHN65380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1599174:1602898:1 gene:gene13212 transcript:rna13212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative folate-biopterin transporter, major facilitator superfamily domain-containing protein MFHLAISSQSNPFVIINKPNLHKHHKRPTITCSQHHKNSRNFIEKQSQQPTFMVDTHKNFVVRENKKKKEEEKSVFIEKEENKKKKKGSSSSTSVIGGKQMLILCGFGYWLQGFRCFPWLALNFHMASNLNLDPSILQLVQYSANLPMVAKPLYGILSDVIYIGGAHRIPYIITGVLLQIFAWNYLAFVPAAREVLTVLVAAVLLSNLGASITEVAKDALVAEYGKKHKIGGLQSYAFMALAAGGILGNLVGGYFLLKLPPRIMFMIFSSLLSLQLAISFLTREDSLGIPQPSTLNLATQSISENIRKQASDLFVAISDKSISYPLLWIVGSIATVPMLTGSIFCYQTQCLNLDPMIIGWSRVIGQLMLLSGTVLYNRYWKKFPMRNLIGAVQILYASSLLLDLVLVRQINLKFGIPNEVFAPCFSGLAEVLAQFKLLPFSVLFANLCPKGCEGSLTSFLASTLILSSIVSGFFGVGLASLLGITSGDYSGLTVGILIQFVAALLPLRWIGSIPMSQSDSEKQRRKSMSRRARRNRRVGKVVIGSINAYRREREWESQR >RHN79213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25940409:25948056:1 gene:gene2957 transcript:rna2957 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDDESTQTLQPNILQLDELLTTDADDELFGASDYLPAVLVVQVQKGFHFVGLPTPWTVEMVFWSHLLLERLIPC >RHN80315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37117719:37118192:1 gene:gene4223 transcript:rna4223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sieve element occlusion MVRLVTSPIQIGNGDIIDHNPLTMSDEHILEEIYSTHVHSDTKFDAEYLFNIAGNILTRSTHVVDNFVQGHEYQASVEQLDNINPPANFTSPLCTLKKINSEVFILIFHFHMLAKSLEKYKEKKKS >RHN55445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18470918:18476957:-1 gene:gene30626 transcript:rna30626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kinase phosphorylation domain-containing protein MYHPTRGGVRGGRDQFTWDDVKADKHRENYIGHSLKAPVGRWQKGKDLFWYTRDKKSQNEEMEAAKEEIKRIKEEEEQAMREALGLAPKRASRPQGNRLDKHEFSELVKRGSTAEDLGEGHAEAARVQGVGFARELRPWEEPGSSKVSHTPAEVENVPMPIQSPRKTEDQSEDESRKKRRREERKEEKREKRHSREERKQEKREKRHSRDSDDKKRHKKDKERRRHDSD >RHN65786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5344857:5346940:-1 gene:gene13675 transcript:rna13675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MKKASYGIKCSRCKQSGHNKSTCPLPPHSPPESETQASQVPPSHPQAQVETQTSQPQVSQTQATKPQDTQAQAS >RHN70827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54318050:54321782:-1 gene:gene19452 transcript:rna19452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MCHQRHCPSFTTSQITRANKYRKILLKSEKFSFFFKIFEMGFRLPAIRRTSFTGSQASSKVVNVPKGYLAVYVGDKMKRFVIPKSYLNQASFQNLLSQAEEEFGYDHPMGGLTIPCTEGVFLHIRSDILYKVMI >RHN42607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39658553:39663157:-1 gene:gene49064 transcript:rna49064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pheophorbide a oxygenase MDVHFPDNVGTVEAMLAFSLRSLHIPIAHKTQTPLKKSMFLKSQIHSTLPLIRGNTSKFKLFTALSPSPLTESSSSNLEVDDEPEVETGSEKFDWYSQWYPLMPICDLDKRAPHAKKVMGIDVVIWWDRNESAWQVFDDACPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGDCKFIPQAPPEGPPIHTSKKACVAAYPSTVQNDILWFWPNTDPQYKDIITRKTPPFIPEIDDPSFTSFMGNRDIPYGYEVLVENLMDPSHVPYAHYGLMKTPQPKVKADREGGRPLELSIEELDVNGFTENQGWSKSKFMPPSIFYAYTDLIKPVSSEETKKSSVQKKFALIFFCIPVSPGNSRLIWCFPRNFGLWIDKIVPRWIFHIGQNLIIDSDLYLLHVEVISFIVVYTSAPCSSFRFFS >RHN76059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47349121:47350651:-1 gene:gene12333 transcript:rna12333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MDASTKTKKGAGGRKGGGPRKKSVTRSIRAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTERSNTVSKEPKSPKPKAGKSPKKA >RHN49859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1755910:1759967:1 gene:gene34083 transcript:rna34083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MTRKCFMLFFAFIVLLGLLSPTSATPPPAKIVTGVVSNVVSSLLKWIWSLKSKPKVKVPVQHSRSMVKFESGYNVETIFDGSKLGIEPHSIEISQDGEYLVLDSENSNIYKISSPMSRYSKPKLLAGSSEGYIGHIDGRSRDARLNHPKGLTVDDSGNIYIADTLNMAIRKISDEGVTTIAGGGKRGQLGGHVDGPSEDAKFSNDFDLIYARSSCSLLVDDRGNQAIREIQLNQDDCITSTTTTNDEYEYDNSFPLGIAALVSAGFFGYMLALLKRRVTDMFSSSDDSRAHIRTKGTPFASQQRPPPKSVRPPLIPNEDEFEKHDEGFFVSLGRLLVNSSSSMGEIFLSLFLGSKRKPLSYHQYQQHQQQYHYANRQHSNSWPMQESFVIPDGDEPPPNMETKTPTQRKTYPYTNKELEMLEKTRDNGFYETNIFPPPVPINRQTESTISKHNRAYLNMLDKSYDHEQLQQHHHHHHQEQQQHQNHHQQPQHSKVQSHYSSTTPSSYYEKNCETNEIVFGAVQEHDGRREAMVIKAVDYGDPKFSHHNIRPRLNYVGYSHNY >RHN65532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2982575:2985125:-1 gene:gene13379 transcript:rna13379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-PERK-2 family MMLMIHQAVSKSSSSTDLFTSGVSSQCSTDAATSGLGSSQYVLQKCHVGDFVPEQEKQGRQSLFHISGSQEIIQTEVNQKAIQIENEIVHMEADFTFPVCSVCNNKRLKIGSKRDFSYIELYTATQGFSAKNFLSEGGFGSVYKGQVNGMTIAVKQHKSASFQGEKEFRSEVNVLRKARHENVVMLLGSCSEGNNRLLVYEYVCNGSLDQHLSEHSRSPLTWEDRIKVAIGAARGLLYLHKNNIIHRDVRPNNILVTHDLQPLIGDFGLARTHNKDLTHSTEVVGTWGYLAPEYAEYGKVSSRTDVYSFGVVLLQLITGMRTTDKRLGGRSLVGWARPLLRERNYPDLIDERIIDTHDYHQLFWMIRLAEKCLSRDPKKRLSMVAVVNALTDISEGNTCDIGTGDYSPARSDSSYSESEFDENDENEPFEQGELLRTISESTESNEYISQMRHMTVRQPPSPPIKSICSSGSSSFQFSDESSDYEAHNRSNTEMPTYKMGLLNS >RHN75772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44931662:44935910:-1 gene:gene12020 transcript:rna12020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MLAVAPLSSIRDESQREMEENLSIETCDFADLSEGNLLESINFEFDDDFFVCFNDGDVLPDLEMDPEMLAEFSLSSSGEESDINSSGANSKSCNDGNMVSIERKLQDEEKVVYSSDSSSSRVEEIISKRDESVVPNPLPKEGEKGRKSSSQSKNNPHGKRKVKVDWTPELHRRFVQAVEQLGVDKAVPSRILEIMGIDCLTRHNIASHLQKYRSHRKHLLAREAEAASWSQRRQLFGTNRGVGGKRDIVNHWVAPTMGFPPMPPVHHFRPLHVWGHHPVDQSFMHMWPKYSPHQPPANWPPARPAPPPNASYWHQRAPNAPTAGTPCFPQPLTTTRFGSPTVPGIPPPHAMYQVDPGVVVPAGQPSPQPLLDFHPSKESIDAAISDVLSKPYLPLPLGLKAPALEGVMGELQKQGVPNIPPSCA >RHN74342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31993107:31993472:-1 gene:gene10383 transcript:rna10383 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALAILILILMNSLTIFSQDHQEFHLDDYGPPKPNKPGPCIGCSTVHSLDLDDYGPPKPNKPGPCIGCSIIHPLDFTISNTINTYPPPQPPST >RHN53083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41901542:41906198:-1 gene:gene37836 transcript:rna37836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative meiotic nuclear division protein MSKKRGLSLEEKREKMLQIFYESQDFFLLKELEKMGPKKGVISQSVKDVVQSLVDDDLVSKDKIGTSVYFWSLPSCAGNQLRNVHQKLDSELQNSGTRHAQLVEQCEALKKGREESDEREAALADLKAIQLKHNELKNELEKYRDNDPAAFEAMREAIEVAHTAANRWTDNIFTLKQWCSNNFPQAKEQLQSMYQEIGITDEFDYLEMTPLPSKVVAD >RHN39275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5485181:5490579:-1 gene:gene45283 transcript:rna45283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-Extensin family MGVNQQLHEFSDTNLPHFRTRSHLSIAVPPTVSSSHVRSSIAQPPDKSSSGVPRSIAFPHSKSPDKAPRKVWKHGSLGSPISHHKHHHHSRIKNENRTPGTNSPIQAPSYSSQGPSVFKAQPPFSSPKSKFIHAPAPSPAFWSGHLDVPYPSPRISPLGSPLNKIKTPPPAYTLVLPPPPPNKDCLSVTCSEPLTYTPPGSPCGCVWPLQVKLRIGISIYKCFPLVSKLADEIAASAMLNHTQVRIMGADAANQQLDKTNIIINLVPKGVKFDNTAAFLIYKKFWHREILIDDSLFGAYEVLYVHYPGLPPSPPSIPSGVSSIDDGPNPGRDNNGMMMKPLGVALPNKEKEGSNGRMIFIIVLSSITAFVLFLGLAWLCLLKYSCCTHQHEHVSDSLMSTSSKQLRVSGSLNHGIMSGSGSRSFNSGMIAYTGSAKNFTLNDLEKATNNFDTSRILGEGGFGLVYKGVLNDGRDVAVKILKREDRRGGREFLAEVEMLSRLHHRNLVKLIGICIEKQTRCLVYELVPNGSVESHLHGADKESDPLDWNARMKIALGAARGLAYLHEDSNPYVIHRDFKSSNILLGHDFTAKVSDFGLARTALEDGNKHISTHVMGTFGYLAPEYAMTGHLLAKSDVYSYGVVLLELLTGRKPVDLSQPAGQENLVTWVRPLLTSDEGLQTIIDPFVKPNISIDTVVKVAAIASMCVQPEVSQRPFMGEVVQALQLVCSEFEETNYVRSGSFQKESLVTNVEGKFFEVSDERVEFSEYQKTLHAYQSGDEKLRLSDSELLSTSGQEFESFRRQSTSGPITTEKKRHFWKNLRGLSKGSTSEHGFSTKLWPGSH >RHN54632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10909897:10911627:-1 gene:gene29701 transcript:rna29701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxymethylglutaryl-CoA reductase (NADPH) MGVQQKISKNEKKNQKKQEDSQSILYLTNVVFFGLFFSVAYFLLNRWREKIRTSTPLHVLTISEILALVSLIASFVYLITFFGIAFILHYDEEEEDIAAKTTQGVLPKKLPTLPPPKISDQKVMSMEDEEVVSAVVSGSIPSYSLESKLGDCRRAANIRNQAVERVTGRSLEGLPMEGFDYDSILGQCCEMPIGFVQIPVGVAGPLLLDGNEYTVPMATTEGCLVASTNRGCKAIYVSGGASAVVLRDGMTRAPVVRFNSAKRASQLKFFLEDPQNFDSLSHTFNKSSRFARLQSIKATMAGKNLYTRFTCSTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVIGISGNFCSDKKAAAVNWIEGRGKSVVCEAVIKEDVVKKVLKTSVESLVELNMLKNLTGSAMAGALGGFNAHASNIVSAIYLATGQDPAQNVESSHCMTMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKETPGANSRQLATIVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSNKDVTKVAS >RHN45868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26392681:26393193:1 gene:gene40290 transcript:rna40290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSRSNPKEDRISALPNPIIWHILSFLPTKTAAITSILSKRWNPLWLSVLILHFEDETFQNMESFSHFMSSVFLLRDITLPLRSFHLKCSKASGIQPQDINRFVHAYEQWRSHVHSRVCTCYPSICTIPTYYFVIFTYEPPENICLHQIAPTIPSCLHCYYCCPNLFAWVD >RHN73759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19711951:19716349:-1 gene:gene9621 transcript:rna9621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5'(3')-deoxyribonucleotidase, HAD-like domain-containing protein MAHLLNSDPSSHFTRTFCKYPFVSSSYSEVNKVVRFNLKSCFDNGNGNVKRVFLKENTKGLEKGIPVIDGKCDATIVEHRSQGGSHNRSFCYPDQKFSHKLVVAVDVDEVLGNFVSALNKFIADRYSSNYSVSEYHVYEFFKIWNCSRDEANSRVHEFFETPYFKSGIQPIPGAQMALQKLSRFCDLSIVTSRQNAIKDHTIEWIENNFSGLFDEIHFGNHFALDGVSRPKSEICRSLNAKVLIDDNPRYAMECAEAGIRVLLFDYENSYPWSKTEFAYQHPLVHKVENWDEVEQELMSLIAS >RHN40188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14017203:14019044:-1 gene:gene46307 transcript:rna46307 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTPCCSCMIKVLASTHASNLWTYFSQEKHTKSRSLYSKVERLALSKCRSDQLQ >RHN42566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39375058:39377937:-1 gene:gene49019 transcript:rna49019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MNSNITREPGLYVSVTQMDVSATAFKVCSVAPPNIVSDGIWGGPDSRKNPMKSALPVFEMQLLVIFTITQICNFFLKRLHFPAFIAPMIVGLILGPSIQNAEFDKYKKLLFPYGSQDILATISLIGYGLYIFTTAVQMDLSMVMRTGHKVWTITIMGFVVPILFSIVPKFLVLEVVNDFRFGDMTKEQLEADIFKVAIIHSSVAFAVTATLLNELKILNSELGRLALSSAMVTSVLGLSLQCIWNVVDQKDGHKMIIHGMLLVALVIFAPLIFRPLMFWIIRHTKEGRPVDDGYIYGIIVMVLGLGWFAGYINQEFALGAYVLGLAVPEGPPLGSALVRKLEFFGTSLLLPIFMTCCVMKADFTLPYTLKAAIDFGGIIWFTHTVKVIAILIPSLICKIPFKDALTLALILNAKGEVDLAKLSFGYDDQVFPGQVYSVNVINIMVIACIIKWSVKILYDPSRKYAGYQKRNIVSLKPDAELRLVACIHKQYNISAITDALDVFSPTTEKPIIVDALHLIELVGMSSPIFISHRLQKMVSGSHRSYSDDVILALDLYEHDNYGGVTAHAYTAISPPTLMYEDVCQLALDKVTSIIILPFHRRWTIDGGIESDDKNVRSLNCKVLEIAPCSIGILVNRSSLKNNSFIKLAMIYLGGRDDREALCLAKRATSNPGINLVVYHLTFEDHIHMENLEYILDNEALEEVKKLPHYGSKNVCYQKLIVNDSPGTSTILCDIANEHDFFIVGRTHDSDLPQIEGLAKWTEFSELGVIGDLLASPDLGSRAGVLVVQQQAKDK >RHN65126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64378706:64379330:-1 gene:gene28028 transcript:rna28028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S49, ClpP/crotonase-like domain-containing protein MKRLEVSEFSFFHFSKYSRIRKWTVGISKGKELIAIIRASGSIGSNIIAENFIKKIRKVRLSKKFKAVIIRINSPGGDALASDLMWREIKRLAATKQVIASMSHMTASGGYHMAMGAGVIIGLNKEVISKGKYSELNDVDQRSFRPDEAELFAKRAQHIYKQFRDNAALSRSMTIDEMEEVAQGRV >RHN79999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34229683:34230328:1 gene:gene3869 transcript:rna3869 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGLSNRLVSSLSFRLLLVVLVLGVNTRRGGKRIVLVFMALYPHATSLPTHAKNNIILFQNK >RHN59096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7440882:7441352:-1 gene:gene21084 transcript:rna21084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MASSSSTKKFTMKSSDGETFEIEEVVFQSIKNLTDGAANDTEILVPHIPGKFLAKVIEYCKKHVEAASSDEKLFDDELNKWDTEFVKVDNVTIFNLIWAASYLNIKSLLDLSMKALADMIKDKKPEEISKIFNIVNAYRPKEDEEVRCENQCTFEN >RHN51006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12821423:12823485:-1 gene:gene35367 transcript:rna35367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lupeol synthase MILMYVNWVQFQEDMHFPRLLIQDMLFGLLHHVGERFLNCWPFSKIRQMAIEAAINQIRYENENSKYLCYGIADKVFGLLSRWVDDPNSKAYKLHLARIPDYLWVAEDGLKVKVFGSQSWDAAFAIQAIVGCNVSEDYGPTLKKAHHFLKSSQVVENPSGDFRAMYRHISKGAWTFSIQDEGWQVSDCTAEALKATLLLSQMPTALVGEKMETERFYDAVNVILSLQVRIYVFLFLNITCTRSQFQLKSHLNLISI >RHN75899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46027024:46029444:1 gene:gene12158 transcript:rna12158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MGKKAPLLIMLLILCHGVSMTMAMWVEEEDRSNGPSMQDKKLFLLQNSKRVVKTDAGEMRVLESRGGRILERRLHVGFITMEPSSLFVPQYLDSTLIIFVLTGEAKVGFMYENELEESELKKGDVYQIPAGSAFYLSNIGEGQKLHIICSIDPSESLGIGIFQSFYIGGGAPVSVFSGFEPRILESAFNVRKQTNNFTMCRRRVSGSELSKFFTRKHEGPIVHVGRSHASASSIWTKFLQLKEEEKLHHMKKMMQDQEEDDVEEEVKQKTSWSWRKLLESVFGGEIENMKKDKVAHKSPRSCNLYDRKPDFKNSYGWSVALDGSDYSPLKSSGVGIYHVNLKPGSMMTPHVNPRATEYGIVIRGSGRIQIVFPNGTNAMDTHIKQGDVFFVPRYFAFCQIASSNEPLDFFGFTTSAQKNKPQFLVGATSLMKSMMGPELAAAFGVSVDAMQNILNAQHEAVIVPALQAGQ >RHN41793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33048848:33056301:1 gene:gene48154 transcript:rna48154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative apyrase MVFGKTSGGKSTLRISSSLQDLTSYRHLNPQDPISTVHPITQHQQQQQLLPNSTFSKTKPPQTQSPARKKWSRPITLIFCLLFLILIIYTIYSHHQSSSNKYYVVLDSGSTGTRVYVYKAEIQTQKNEHTSLPISVTSLRNGLKKKSGSQSGRAYDRMETEPGLDKLVHNVTGLKGALKPLLKWAMKQIPEDFHKSTSLFLYATAGVRRLPNEDSKWLLDNAWNVVRKSSGFACRKDWVKIISGTEEAYFGWIALNYDSGILGVKPRKDTYGALDLGGSSLQVTFESDQERLNGETSLFVRIGDVNHHLTAYSLAGYGLNEAFDKSVAYVFKKGKVGLGGVVKHPCLQNGFKNQYTCSRCLVGERGNGGESPKVNGSGSGNVVGGGGKVVMKTPVTLVGAPNWQECSAIAKVAVNLSEWSDVGQGLDCEVNPCALRENLPRPLGHFYVISGFFVVYRFFNLTSEATIDDVLEKGREFCEKKWDVAKKSVAPQPFIEQYCFRGPYVASLLREGLHITDRHITVGSGSITWTLGVALLEAGKSYSTRFGLRGFDLVQMKMNPLILIPILLLSLILLCCALSCVLKWVPRFFRRQYLPLFRHNTTSSSSVLNIPSPLWFKSWSPIISGESRTKTPLSPTIAGSQDRPFSLGHGLGDNSAGIQMMESSFYPAASSVSHSYSSTNLGQMPFDSSNIGTFWKPHRSQMRLQSRRSQSREDLNSSVADAHMVNV >RHN71883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3349205:3351274:-1 gene:gene7529 transcript:rna7529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geranyllinalool synthase MQFKSVHSAYSKFLQLYYISFFLLTRVSQIFICRVSCLYKDELLQLATLNFEFKQLMYKNELKELNRWAEKCGISNMGFGREKTTYCYFAVAAVTTLPHDSYIRMLVAKSAIIITVADDFFDEIGSLNELEILTDAIQRWDAIGLSSHSKVIFDALDDLVSEATIKYLQQEGTYDDISGSLKDLWYEVFLSWFIEATWSRNGHKPSIDAYLKTGMTSIGSHIMVLSSSCFLKPSLPTKKLRLTPYENITKLLMVICRLLNDVESYQKEKEEGKLNSVLVNLIDNPEFDIEDSIAFVRKMVEKKKKEFLELVLTDGLCDLPKPSKQLHLSCLKVFQMFFNSKNRFDSNTELVEDINKAIYLPLNRTTKCLSSQAFPKKKHIVANLNVNFPFKHNSRINFTGMRFVTPKVRLGYII >RHN73491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16991534:16992766:1 gene:gene9309 transcript:rna9309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKKFVSATNVKVSNNIPEDLVFSVLSTLPLKSLKRFGCVRKSWAFLFENLHFMTMYRNYFLSNNHSYYDGTSILLNLTNNPLPGEAFYDTLYILSGERFEIMVKLDWPPPFDEDDRFIDILSQTSVNGTLCLAKDCDPKCVFWNPNTDEFKVIPSSPFLSQLSQSRYVDPIVYFNGFGYDRVSDDYKVIWWLTYYPITDVDEPWDEDLSLDNTGVDEPKEEYLDVDRMWEIYSLRSNSWRKLDIEMPCRHANEKLYMDGVCHWWSILDGSNCYEVEPCLVSFDLCNEVCLTTPLPSDIVERYSLLHLTLLNGFIAFIIYDETTTFHIRILGELGVKESWVEVFVVEPLLGIERPIGAGKNGHIFFRKDDNEIVWFDLNTQMIEELGVKGNKYYGCQIIIYKDTLLPIGGL >RHN53308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:867380:867673:-1 gene:gene28199 transcript:rna28199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative survival protein SurE-like phosphatase/nucleotidase MTSDTATLEMDDEGKLGTILITNDDGIDAPGLRALVESLVNTNLFNVLVCAPDR >RHN63167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49015259:49020469:-1 gene:gene25838 transcript:rna25838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MLMRHFNKSDSEIGKENFNLSSDFTHFSIQVTPFSSPSPHHFHHEQRFSSNTPSSPSQTPTHNKTHQNKPSFTIPFTSKFQSFKLLTRFHFIIFVSLTSLYFLFSRPTLLDFLFVLLFSSALFVSINLFFSRSLNNKLSSSHSHQQRVVWSIGSKDEELKSLNSGSWVQVYSNGDVYEGEFHKGKCSGSGVYHFHMSGRFEGDWIDGKYDGFGVETWARGSRYRGQYRKGLRHGIGIYRFFGGDVYTGEWCNGQCHGFGVHTCNDGSHYVGEFKWGVKHGLGRYHFRNGDIYAGEYFADMMHGFGVYQFQNGHRYEGAWHEGRRQGLGMYTFRNGETQCGHWQNGILDNPKKQDSHIGSPCAVDHAKVFKAVQDAHCAAEKAYGMSKKDEMVNKVAAAANKAANAARVAAVKAVQNSMHRDYNVQ >RHN45242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13579955:13580176:1 gene:gene39478 transcript:rna39478 gene_biotype:protein_coding transcript_biotype:protein_coding MISHRLIWEHFWFLGYESDGSSQRFRIQEQLEQLVGERDDDFSLPIGKNFKESECNVLNNFTKEKYQETELSK >RHN73729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19397528:19404814:1 gene:gene9583 transcript:rna9583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acid--thiol ligase MVMKKDIDELPKNTANYTALTPLWFLERAAKVHPNRKSLIHGSCHYTWHQTYQRCCRFASALSNYSIGLGNTVAVIAPNVPALYEAHFGVPMAGSVLNTVNIRLNASTVAFLIDHCTASVVIVDQEFFLLAEEALKICSKKTNTFKPPILIVIGDENCDPNAHRYALSKGAINYEDFLQSGDPEYDWKPPEDEWQSIALGYTSGTTSSPKGVVLHHRGAYLMSLSAALMWGMNEGAVFLWTLPMFHCNGWCYIWTLAALFGTSICLRQVSAKAVYEAIDKYKVTHFCAAPVVLNSIMNAPAEDTILPLPHIVHVNTGGAPPPPSLLSGMSQLGFRITHQYGLSETFGPSVYCAWKPEWDSLPPESKARLHARQGVRYIGLEHLEVVNTKTMQPVPSDGKTIGEIVMRGNVVMKGYLKNPKANEETFANGWFHSGDLAVKHEDGYIEIKDRSKDIIISGGENISSVEIESTLYSHHAILEASVVARPDEKWGESPCAFVTLKPRVDSSNEKCLVEDILSFCKAKMSSYMVPKSVVFGPLPKTATGKIQKNLLRAKAKEMGSAKMSKL >RHN72634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9282980:9284599:-1 gene:gene8377 transcript:rna8377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HECT domain, ubiquitin MSSIIKTLIGGCFYGTTADHHSNHSPELKFNDTKTTSSELQFFVRMMWKCNTIVIHASREDTVESILQQISSKTKIPIEYQRLIYNGKQLQQQQSLSQCGIENDANLQLVGQLRSIVRSVVWNSTDDIVSMILKLCRGEPVIGASINFCKHFTKYMHNSFYVIKIMKIPSLLVALFKSPHASNASIADSSIRNFVKVCLDLKCKTLQSAYLEVLLEFCELLRGVGCKCDHPLYVCCRDGFVELLILVGGVLIKNPKMKVLLRGVFDCVREITDELLRFLDLSMNFPTKRGLSYKVVFDFVKFCCPLRMGFAKEQATSLNCDICYEEDPLFLGVPDQLHIVFIKSLSKMDECLQVMEDCLVNKKRGKGELDVLHNCYLIILKELFHISKFYSGAQEQFWGLFLRRKNVLPHMIVRYVKKPDDHWWLLENRIVTDFESRKHLVMMLFPDLKDKILGYEMLIDRSQVLAESFEYISRAMPKSLQGDLFMAFKNEKATGPGVLREWFVLVCQEIFNPRNALFVACPNDHRRFFPNTGEFCLDI >RHN42760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40722093:40725703:1 gene:gene49246 transcript:rna49246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PDZ domain, tetratricopeptide-like helical domain-containing protein MSLSMSTTTSGHYTALSLPTRTTQINSKQNPTYFFPNTKLFSSKNHSFSNTLLSKPFLYVVKASSEVGSDASKEDSEKGEGEKEPYEEYEVEIDQPYGIKFVKGRDGGTYIDAIAPGGSADKAGVFTVGDKVLATSAVFGTEIWPAAEYGRTMYTIRQRIGPLLMRMQKRYGKIETGGDLTEKEIIRAERNSGVVSNKLREIQMQNYLRKKEVKEGRERDLREGLLLYRNAKYEEALEKFESVLGSKPEPDEAAVASYNVACCYSKLNQIQAALSTLEEALNSGFEDFKRIRTDPDLANLRASPEFDPLLKRFDESFINESAINAIKSIFGFNKK >RHN80984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42414711:42416262:1 gene:gene4972 transcript:rna4972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative autophagy protein Atg8 ubiquitin MGGGSYFQNEFTFEQRLEESRDIIAKYPDRIPVIVEKYTKCDLPHLEKKKYLVPRDLSVGHFIHILSSRLNLPAGKALFVFVKNTLPQTASMMDSVYRSFKDEDGFLYLYYSTEKTFGYCT >RHN62514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43999133:44000328:1 gene:gene25101 transcript:rna25101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine-rich transmembrane CYSTM domain-containing protein MSQLNNNQQKAPSVSYPPPGEAYSTPQYVTAPPPMGYPSKDGSAGYPQQRIPDQTTSRGDGFWKGCCAALCCCCALDICF >RHN76206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48523315:48525331:1 gene:gene12497 transcript:rna12497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase transcription factor WD40-like family MEKKNFLKDETFLQQHKVLAIFLFLSFVLMDPFHLGPLGEHEFRPVKHNVAPYKQVMKNWPKDNMSRLGLHGKLEFENEVFGPESLEFDNMGRGPYTGLADGRVVRWMGEQLGWETFAVVTSNWTEKTCMRGNDSTTPKQWKHEKTCGRPLGLRFDKESGDLYIADAYYGLLMVGPNGGLATPLATHVEGKPILFANDLDIHKNGSIFFTDTSTRYNRVAHFFILLEGEGTGRLLRYDPPTKTTHVVLDGLVFPNGVQISKDQSFLLFTETTNCRLMKLWIDGPKDGTVECVADLPGFPDNVRMNEKGQFWVAIDCCRTGPQEVLSNNPWLRSIYFRLPVRMSLLAKAMGMKMYTMIALLDDNGKILEVLEDREGKVMKLVSEVKEEKGKLWIGTVAHNHISTLPYP >RHN60094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20035313:20035779:-1 gene:gene22316 transcript:rna22316 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIRFEKLMLLFPGFFSCGCWNRKLAVDNFDFRFWLIEIGFFD >RHN62294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42404418:42413778:1 gene:gene24852 transcript:rna24852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MTIFKHNGSAVVAMVGKNCFAIASDRRLGVQLQTIATDFQRISKIHDKLYIGLSGLATDSQTLYQRLVFRHKLYQLREELDMKPETFASLVSAMQYEKRFGPYFCQPVIAGLGDDDKPFICTMDAIGAKELAKDFVVAGTASESLYGACESMFKPDMEAEELFETISQALLSSVDRDCLSGWGGHVYVVTPTEVKERILKGRMD >RHN77097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4074838:4075685:1 gene:gene517 transcript:rna517 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIKYTQIAEILGAVKPFESWFLITTMNHFMCSNVESRILNSFIMMQKQGKCDFLPRDNHIYSLNL >RHN47339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39003209:39007418:1 gene:gene41927 transcript:rna41927 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLDNSVNDTMANSEIVTVLRTNLGFVVVSIYSPTNSICNLEFRSLDKLVCVCIYVPFVFALFLVYDVDTGHDGGLRRKRIHNNSKPNLKSRHVPFYLSTFFLKDFIFQLLNREFIWFMPRFC >RHN54627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10874792:10876154:1 gene:gene29696 transcript:rna29696 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSPSDTEEEEDSTISEIISQAKDSILLQQISAINCSSFTHSDLPPNLESRFNKLKSFPANHTPPPPYLSKNPTFSTPKNPNSDSQSVSSPSKHATFSNPSSTKIQKNLNFSPPKDNNSTKNPLSDSGSVSSHSNSSHREKGLNPKPKNGSFSPSDSSHTSEESPISSLQMKREENKCSKVKSMSLSPESSPPRKWGCFWCSPKKEQNKKKSRDKENAGVVGWEECTSDELLSGIGSLSSKKRLNMIEKALKEEEKRINREAEKIVEWAKHVSGRMNVPDIEDELSDD >RHN47684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41553402:41558965:-1 gene:gene42309 transcript:rna42309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEEEKGIRGNGKMVEKESKRQQRGGIRTLPFILANEVCDRFASAGFHANMITYLTQQLNMPLVSASNTLSNFSGLSSLTPLLGAFIADSFAGRFWTIVFATLIYELGLITITTSAIVPHFRPPPCPTQVNCQEAKSSQLWILYLALFLTSLGSGGIRSCVVPFSGDQFDMTKKGVESRKWNLFNWYFFCMGFASLSALTIVVYIQDHMGWGWGLGIPTIAMFIAIIAFLLGSRLYKTLKPSGSPFLRLAQVIVAAFRKRNDALPNDPKLLYQNLELDSSISLEGRLSHTDQYKWLDKAAIVTDEEAKNLNKLPNLWNLATVHRVEELKCLVRMLPIWASGILLITASSSQHSFVIVQARTMDRHLSHTFEISPASMAIFSVLTMMTGVILYERLFVPFIRRFTKNPAGITCLQRMGIGFVINIIATIVSALVEIKRKKVASKYHLLDSPKAIIPISVFWLVPQYFLHGVAEVFMNVGHLEFLYDQSPESMRSSATALYCIAIAIGHFIGTLLVTLVHKYTGKERNWLPDRNLNRGRLEYYYFLVCGIQVINFIYYVICAWIYNYKPLEEINENNQGDLEQTNGELSLVSLNEGKVDEKREFAKDE >RHN69312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42522484:42524431:1 gene:gene17762 transcript:rna17762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MAVPLTYMLLLSLLLFVPSFIQSSPLQDPELVVQDVQKSINDSRRNLAFLSCGSGNPIDDCWRCDKNWEKNRQRLADCAIGFGKHAIGGRDGKIYVVTDPGDHAVNPKPGTLRYGVIQEEPLWIIFKRDMVIKLKQELMMNSFKTIDGRGTNVHIAGGPCITVQFVTNIIIHGINIHDCKRGGNTYVRDTPTHYGFRTLSDGDGVSIFGGSHVWVDHCSLSNCRDGLIDVIHGSTAITISNNFMTHHNKVMLLGHSDSFTRDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWRMYAIGGSANPTINSQGNRFLASNDNTFKEVTKRENAGQSQWKNWNWRSSGDLMLNGAFFRPSGAGSSSSYARASSLAAKPSSLVASLTASAGSLNCRKGSRC >RHN78642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17033661:17037939:-1 gene:gene2273 transcript:rna2273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MYKGTASRFLIFLFLLGVSATVNGFSKCNCDDDTSWWTIEKILEWQKVGDFLIAVAYFSIPIELLYFISCANIPFKWVLFQFIAFIVLCGMTHLFNSWTYGPHTFQIMLTLTVLKVLTALVSCATTITLITLIPMLLKVKVREFLLKRKARELGIEYGMIMKQNEAGMHVRMLTQEIRKSLDRHEILYTTMVKLSETLGLQNCAVWMPNVDKTAMNLTHELNGRNVNSSIPITDLDVVRVKGDNVVHMIDSDSLLAAASSGVSVDAGPVAAIRMPMLSVSDFKGGTPEVTEACYAILVLVLPSEEARSWSNQELEIIKVVADQVAVGLSHAAILEESQLMREKLEERNRALQQEKRNAMMASEARAVFQKVMSNGMGRPMHSVMGLLSMMQDENLKSEQKLIVDSMVRTSGVVSNLMNDAMDNSDRDGRGGRFPLEMKCFGLHNMLKEAACIAKSMALCKGFGFKVEVDRSLPNYVIGDEKRVFQVILHMVRNLIDGNNGGGILVFQVLAESGSRGRSEPGYATWRPSSSNGDVHVRFDIGINSSNSESETSVTSGRLAGRMRTSDRALEESLSFSICKKIIQSMKGNICSVPNARGFPQLMTLALRFQLRRSTAVTISEPGESSESSDSNSLFRGLQVLLADNDDVNRAVTQKFLRKLGCIVTSVSSGFECLSLVGHGGACPFEVVILDLHLPDLDGFEVTARIRKSKSRNWPIAVALSASSEEELREKCMHIGFNGVIRKPILLQGFAEELQKILKGK >RHN71196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57115873:57118291:1 gene:gene19848 transcript:rna19848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGVEWAELPQEIIESISKRLTIYADYIRFRCVCRTWNSSVPKTPLHLPPQLPILMLSDESFFDLSTNKIHRLNFPLPSGPTRICGSSHGWLVILDETSNLNLLNPVTHATLSLPSLHTFPYLVKKLFAFNKNNLYLIKVVLSSNPSPNDDFAAFAILGLRHLAFCRKGCDSWVLLDANVGDHWTDAVYKNGSFFAMSTSGITAVCDVVEGPRVSYIPPPTSLYYNDVFYAVFSGEEMLLIQRCCTEEYEPRDFEPYPDMSTVKFWIYKMNWNMLKWEEIQTLGEHSLFIGKNYSLSFSAADFAGCCPNCIYFTDDIGGKHDHGIYSLSDESIELLPCDPQNCGFGYPVWVTPNP >RHN45990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27569792:27572906:-1 gene:gene40422 transcript:rna40422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MVFKISHIFLIFFLFPFQCSSHLSSLKKGSSLSVEKHAEDVIVSSKGTFSAGFYQVGNNSFSFAIWFTEMQNQTPNPANIVWMANREQPVNGKLSKLFLLNNGNILLLDAGQHYTWSSNTASDAPLELYLKEDGNLVLRELQGSTILWQSYDFPTNTLLPNQPLTRYTKLVSSRSQSNHSSGFYKCFFDDNNIIRLDYDGPDVSSTYWPPPWLLSWEAGRFNYNSSRIAFLDSLGKFISSDNYTFSTYDYGMVMQRRLSMDSDGNIRVYSRKNLSKNWYVSWQVVHDPCTIHGICGANSSCIYDPNMGKKCSCLPGYKVKNHSDWSYGCEPLFDFTCNRSESTFLKLQGFELFGYDNNFVQNSTYKICETSCLQDCNCKGFQYTYAEDKGIFQCFTKIQLLNGRYSPSFQGITYLRLPKGNNFYKQESMSVKDHVSLVHLHKDYARKQTSHLFRLFLWLTIVVGGLELVCFLMVCGFLIKTRKNSSANQHSYHLTLLGFRRYTYSELKVATKNFSNEIGRGGGGVVYRGTLPDQRDAAIKRLNEAKQGEGEFLAEVSIIEKLNHMNLIEMWGYCVEGKHRILVYEYMENGSLAENLSSKTNTLDWTKRYDIALGTARVLAYLHEECLEWILHCDIKPQNILLDSNFQPKLADFGLSKLQNRNNLDNSSGFSMIRGTRGYMAPEWIFNLPITSKVDVYSYGVVVLEMITGKSPTMMNIEGVDGEGTYNGRLITWVREKKRSTCWVEQILDPAIGNNYDLSKMEILVRVALDCVEEDRDIRPTMSQVVEMLQSCE >RHN51444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17874055:17875209:-1 gene:gene35878 transcript:rna35878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MTYAKLATLAVFFLATLIIFPMKKVEADRCAMAVCSDSLKTCGSVYCVCIPNEYRCLPASYKDLVKIPGKNPNYCQSHVECKEKGRGSFCARYPSPNVDYGWCVASISEAEDFFFKLASKSTVTKDFLKMFEIA >RHN60030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17166550:17172628:1 gene:gene22224 transcript:rna22224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MSNPKVLLFGSFTEDETRSLRVKKSSAKNEKPVEKNQLQFGSLNSVTVESSNLPNSPKATNSAPLHDSQKFKGVNGVRVNLPQVTETIKENGGITNFSIGPSSITSANEVKEHIVCSVTPIVENGTANQFTNLSLDASEAESLKNVLKNGSGGDSSSKLFEQNLKKAPNGHAVMHVKDLQPRGLINSGNLCFLSATVQALLACSPFVQLLQELRTRTIPKVGYPTLKAFAEFVTQFDMPSGVNLKKKDTDSFEFGRAFCPVMFEDVLKNFTPDVPNSISGRPRQEDAQEFLSFVMDQMHDELLKLEGQSSSLNGSKSFLVSSVEDDEWETVGPKNKSAVTRTQSFIPSELNGIFGGQLQSLVITKGNRSATNQPYRLIHLDIHPDAVHTIEDALHLFSAPETLEGYRASVSGKAGTAKKSIKIKTLPKVMILHLMRFGYGSQGSTKLLKPVHFPLELVLGRDLLVSPSTEGRKYELVATITHHGREPSKGHYTADAQYPNGRWLRFDDASVFAIATNKVLHDQAYVLFYKQI >RHN40030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12368627:12373510:-1 gene:gene46122 transcript:rna46122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-GSK family MNMMRRLKSIASGRTSISSDPGGDSNSKRVKLDQETEKAYEGTNTLDRNDREQRVDSSNEATVGTSNEVSAITKTEKKSGFDELPKELHEMKIRDEKSKNNNEKDIEATTVSGNGTETGQIITTTIAGRDGQPKQTISYMAERVVGTGSFGVVFQAKCLETNEAVAIKKVLQDKRYKNRELQVMRMVDHPNIVKLKHCFYSTTEKDELYLNLVLEYVPETVYKVSKNYIRMHQHMPIIHVQLYTYQILRGLNYLHEVIGVCHRDIKPQNLLVNAQTHQLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVLAELLLGQAMFPGESGVDQLVEIIKVLGTPTREEIRCMNPNYNEFKFPQIKAHPWHKLFHKRMPSEAVDLVSRLLQYSPHLRCTALAACAHPFFNDLRDPNASLPNGQPLPPLFNFTPQELVNAPEDLRQRLIPEHARS >RHN44095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1580478:1581834:1 gene:gene38154 transcript:rna38154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MKDYYEEMEQSGIHFSKEVFVALIHAYAAYDEFEKAKQIVLDPRIQVKWLIEIKSMLVSSLASHGKLSEALVLLEEIKKAGQTLNPKANLCLMRSLLLLTELSGEDWVQGCKIVIQFSVENKNLSSTIEMFKQLMDYFKHGENKSNSVFDEVYYPILVYGSTHLQFGLDLLDLIKKELGLVPPSLCLHSLLCCCVKSRDLNNAHLVWREFAGDGRDGYESFSCYLWASNLYTISPLSYNIFPLSYNIFLLIMILLYGCRMYCALSALGDHKSADIIFKKLQRALFKK >RHN58225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:67966:68770:-1 gene:gene20112 transcript:rna20112 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRPGMFKYSSPRQRELVSVESWSAFTHISATSAQLMPYKL >RHN80045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34591294:34592788:1 gene:gene3921 transcript:rna3921 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEKQIELFMDSTFMLKYMNHTSQIYTYIHRFIICNKKHSCRAACHHIQCS >RHN72125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5169376:5170222:1 gene:gene7800 transcript:rna7800 gene_biotype:protein_coding transcript_biotype:protein_coding MHSESVKSSQSPPAEGEKHKNLDREIREMVSAITHRVTGFHKPGSSHHLDNEDEQGTRIITLAGNNEGATLRSELDAKSGKYSSHDEAEALSTYVNSNFQAINNSIMLGGSYHANDPGVHMDISDFTEPPQNQQKAEKHGKKEKKDKKKGKEGSKSEHSD >RHN73245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14726788:14729407:1 gene:gene9041 transcript:rna9041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSFFSNNSKLLRWRPSLHPIFPSTYLSRFSTSTSIAEPLSEPDLPLHTPPEPLSEPHLPQSRTRTTQSQSQNPEHIITRMLTNRVWTTRLQHSIRSVVSKFDSNLVYNILHSTAAANPDQALKFYRWLERSNLFIHDTNTTLKMLQILTRYNKLNHARCILLDLPKKGLPYDEDMFVALIEGYGRAGIVQEAVKIFQKCDQKSVKAYDALFKVILRRGRYMMAKRVYNAMLREGIEPTRHTYNILLWGMFLSLKLDTAVRFYDDMKSRGIEPDVVTYNTLIHGFFRFKKVDEAESLFVEMKGKNLMPNVISYTTMLKGFVDVGKVDRAFEVFEEMKDCGIKPNAVTFTTLLPGLCDADKMVEAGNVLGEMVERYIAPKDNSVFMKLMECQCKGGNLDAAVDVLNAMIRLSIPTEAGHYGVLIENFCKANVYDRAEKLLDKLIEKDIVLRPETSYEMEASAYNRMIGYLCDNGKTAKAEMFFRQLMKKGVLDPVAFNNLMCGHSKEGNPDSAFEIATIMSRRKVHSDEYSYRLLIESYLRKGEPADAKTALDHMLEGGHEPNSSLYRSVMESLFEDGRVQTASRVMKNMVEKGVKNNMDLVSKILEALFIRGHVEEALGRIDLLMNSGCEPDFDHLLSILCEKEKRIAALRLLDFVLERDIIIDFSNYDKVLDTLLAAGKTLNAYSILCKIMEKRGATDWSSRDELIKSLNQQGNTKQADVLSRMVKEKVASPPKKEGKKKASRAA >RHN81344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45044702:45045825:1 gene:gene5366 transcript:rna5366 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCFFLICVLHSTIALTCGSLMVFYSEEVHVLGHGTKTAIKLQGSTPHDQLLIQTSDSFSGLLLFTIGFLVFMVACVKDMEFQSFFAKGCVFLHISMAVWRFYFVAKVEELACDWPRHVVGDLALAISWVFFLVFMWREKYDQ >RHN60897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31458782:31464720:-1 gene:gene23290 transcript:rna23290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UAA transporter MVMAETTTSSSSSSSLDDSNVTRNKLWKVAFAVSGIMLTLVTYGVLQEKIMRVPYGVNKDYFKYSLFLVFCNRITTSAVSAAALVARDKALLPVAPIYKYCLVSVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWSALIMQKKYQGTDYLLAFSVTLGCSIFILYPAGTDISPYGRGRENTIWGILLMIGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTSLCSCMLSLTGLIVQGHLIPAIEFIYHHNDCFFDVLLLSTVATASQFFISYTIRNFGALTFATIMTTRQLVSIILSCVWFAHPLSSEQWIGAVVVFGTIYAKSFLRKTPQRTTSLEETVQNGNSNNLRENP >RHN61725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37841547:37846339:1 gene:gene24215 transcript:rna24215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor E2F-DP family MGTQPHQSSVDEEDEELMGCGTTISGQSGSTSRSAGLPSSRSEQTTGTAAGDNAALKLNHLDIQDDDAASQAVVATKKKKRRAVGGDKNGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFSDPSNGGLSPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLSDIEELKTQRLGLRSRIERKAAYLQELEQQFVGLQNLIQRNEQLYSSGNPPSGGVSLPFILVQTRPHATVEVEISEDMQLVHFDFNSSPFELHDDNYVLKAMDFGGGERPQSDNVTHNIADGGEGSSMSGMYQSQVPQSVSNMLVRPPTSPPLPGILKARVKQEH >RHN57401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38686384:38692796:1 gene:gene32941 transcript:rna32941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MGTKKKSAKKIELEEDELNASNSSVTAISDDDEEANKDLSLEIIQKALLNRAINPQNGAVLDTQTVKKKRKKKKTKIEVVDAVIVEEKEKEVVETIKAPEKVEHAEVETGMVDTSDNVVLGNTASESHSEEIKTRKKKKKKKHESEIQTVNAVIVDEKEKEVVKTIKAPEKGENAVVETGMVDMSDSVVLGNTASELHSEEIKTKKRKKKKKHESEIQTVNAVTVEEEEKKEAVETIEDPEKVVPGEAAEPRVVNTSNNTVLRKLLRGPRYFDPPSDNVWGTCYNCGEEGHASFNCTAAKRKKPCFVCGSLSHNNGKKCIMGRYCSTCKLAGHRSSDCPKKHTGGSNSKSLTVCLRCGNSGHDMFLCKNDYSQDDLKEIQCYVCKKFGHLCCVNTTEAIPKEFSCYKCGQMGHIGWACSRLKNEATAATTPSSCYKCGEQGHFARECSSSVKASSRWQPENTDPATPSSCYRCGEEGHFSRECSSSVKVGNKKHNLSSTETPRSQKENGHMGYRSAPYDNGNNSKMTRSHTEESIIKTLKKSKHRGDWTAEHSGDFSPFNSKRDNWRSPITPCTNNSAKNHFYNSGSHNFSSKSFTRNVHDGTPNSEGSTRTFHHGYSASRFGNSSSNGFERSYNNGW >RHN43762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48282162:48282500:-1 gene:gene50384 transcript:rna50384 gene_biotype:protein_coding transcript_biotype:protein_coding MLEERKFGSLLFVINLFHFNILSIFLIMLLTVSLSRILLLLFVMTWIYLYLETFASLCS >RHN71808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2738082:2738990:-1 gene:gene7444 transcript:rna7444 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAQIFVDSLGLMFFERDEVNKGFKRCGSRTLTRRETVLRFFYLF >RHN41810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33188824:33193419:1 gene:gene48172 transcript:rna48172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MFYLSKIEHKFALPPHLLARPIREAIQSELERLFLDKVIQKLGLCISVYDITSIEGGSIFPGAGAPTYQVVFNLIMFRPFPGEIISAKVMSLDADGVRLSLGFFEDIYIPTHHMPYPSYYISKNNTRKWTWEYGEETDEFNIEISNEIRFQVLSVSYPTIPVEQAKESKPFAPMVVNGSLYHEGLGPVSWWQ >RHN63816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54219985:54223603:1 gene:gene26569 transcript:rna26569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor/ chromatin remodeling BED-type(Zn) family MDWGVNSNNNHYRTYKEDHSNINKSMMDLELISNMDGHGLASSENQPIPVTSPLKPRKKTMTSVYLKFFETAADGKTRRCKFCGQTYSIATATGNLGRHLANRHPGYDKTGEAVSNSAARPNVVIKKSQTQGKVNQVDYDHLNWLLIRWLVLASLPPSTLEEKWLVNSYKFLNPTIQIWPSDKYKTILDEVFRSMREDVRALLEQVSFKFSITLDFWTSFEQIFYMSVSCQWIDENWCFQKLLLDICRVPYPCGGAEIYRCLVKVLKFYNIESRVLSCTHDNSSSAMHACHTLKEDLDGQKIGPFCYIPCAARTLNLIIVDGLRSAKQVISKIREFVIELNASPIISEDFVQISTAYQEGTWKFPLDVSTRWSGNYQMLDLVRKAGKSVDSVIHKYEETLGSRILLSPSEKSVVNIMHQYLEPFYKTTNDLCTSNVPTVGLVLFFMDHISETIATCRESCPSPEWLKSAAEEMAKKARNYFNQVCNIFTYMTAILDPRIKAELIPDSLNLQSFLDEARTHFIRNYSVNHFSSMSSGYNAQEIEDGGSVSFAEEIARKKRRTNMSSATDELTQYLSEAPAPIATDVLEWWKVNSARYRRLSVMARDFLAVQATSVVPEELFCGKGDEIDKQRFCMQHDSTQAILCIKSWIQVGIKFKFKSTEIDYERLMELAAASATDNSPSSSEKKQK >RHN43985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:509792:511998:-1 gene:gene38029 transcript:rna38029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAFDGCCPDCKLPGDDCPLIWGACNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKG >RHN73772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19800720:19803399:1 gene:gene9634 transcript:rna9634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,4-dihydroxy-2-naphthoyl-CoA hydrolase MEDHQSSSLKPKAADLDLPLHTFGFEFKDVSSDKVSGHLQVTEKCCQPFSVLHGGVSAVIAEALASIGAHVACGYKRVAGIQLSINHLKSAMLGDLIYAEATPLSVWDVKIWKIEPSNSQNRSLIAYSRVTLKSNMPVPDYAKEAANMLKKYAKL >RHN67026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22986125:22989462:1 gene:gene15157 transcript:rna15157 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISISPMSFPLSQLSFLLPLPFTNALDSDITFAVVDFPATVCIIVSARQAKRTAQFFQQKHATPNKEKEAMEICNLDWKLLSLLSPFINNALKVHNIIRFRSLTRIRS >RHN64033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56070546:56071794:-1 gene:gene26809 transcript:rna26809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MSTSYLDKVREVTKEFFALPVEEKQNETLEEFSTKVKSIIDCLLRSMARSLNLEEDSFLDLFGKQSLVKARINFYPPGSRPDLVLGVKPHTDRPGITILLQAKEVEGLQVLIDDKCINVPSIPDALVVNLGDQLEIMSNGIFKCPMLRVVTNTKKLRMSVVIFNEPEPENEIGPVEDLINETYPRLYRNVKNYCEMNYKTYQEGKIYST >RHN48271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46211116:46217592:-1 gene:gene42971 transcript:rna42971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MAPNPKVVAAFRAMSCLGIEEHKVKPVLKKLLKLYDKNWELIEEENYRALADAIFDEDDNQALEPEQEKKNKVGEVDEEAHPEERVRPLKRLKLRGQDGRLLNSGGSSTAAFALKTPKPEPGTVPESSSRLLSNGNAVVDKGKKPLSPEEPLRGRRSISDRAQPAVTFREPAVEQGASSLSKSKTPHAYPFITPKDEPVDEVEDDYTIPLSVILPEPSSVPDSSTKNDTAGDQDGNNTVASPYRNENVEGEDIFPSSYEEVPFNAELASSSTGEEASVKIMPIVVLSKESEANGTLIDGGNKYSSAANGSISVKSSPASVAPRVLVSPPCPSGPDDAVLVTKDVMNDLSENGGGKESGEPMPQNSCNVMNAPNHHTIDDTRAVCATNDLTKGEEKVKISWVNDSNNDIPPPFHYIPRNLVFRDAYVNMSLSRIGSEDCCSTCMGNCVLSSKPCSCANKTGGDFAYTAQGVLKEAFLEECIAISRDPQNHSYCTECPLEISKNDGCLEPCKGHLKRKFIKECWSKCGCGKYCGNRIVQRGITCNLQVFLTSNGKGWGLRTLEDLPKGAFVCEFVGEILTVEELHERNLKYPKNGKHTFPILLEAEWDSGVVKDNQALCLYAASYGNAARFINHRCLDANLIEIPVEVEGPSHHYYHFAFFTSRKIAAQEELTWDYGIDFDDDDQSVELFRCKCGSKFCRNMKRSNRSIRSSVTG >RHN63526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51968970:51970435:1 gene:gene26232 transcript:rna26232 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYFVSLTMSGLSGMAQEAGMFTVYQTIGNVLCCKCGISMQPNTTNMCLKCLCSEVDITEGLLKLHVIVHCPECQSYLQPPRTWVKLQLETKELLAFCLKRLQKNMNVNKVKLVNAEFIWTEPHSKRVKVKVSVQKEVYNGAILQQSYLVEYVQQDHMCESCSRVQANPDQRTFFYLEQLILKHGAAARAVRIKQMDHGIDFYFSKRSHGNSFLEFIGKVAPIRSRNDKQLVSHDSKSNDYNYKYTFSVEISPICREDLICLPPKAYIALGNIGPIVICTKVTNSIALLDPLTLKYSFLDADQYWRASFKSLLTSKELVEYIVLDLEVVSSKIGPSEITMGGTKYVLADAQVARPGDHALGYDLYRANSNDTEYENYKGHIPEVILIKKSYKEKRCGKPRSWKSLPMEVDDKVGVDYNLERDLFLKDPELEELMAGFESLDLFEDEDEEDNMEE >RHN51812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25757066:25763638:1 gene:gene36346 transcript:rna36346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLRMNPSPSIIEFNKILGSLVKSNNNNYPIAISLYHRLQLNAITPSIVTFNTVINCYCHLGEMDFAFSVLAKILKMGYHPDTITLTTLIKGLCLNGKVHEALHFHDHVIARGFRLNEVSYGILINGLCKMGETRAALQVLRKIDGKLVNTNVVMYSTIIDSLCKEKLVTEAYELYSQMIVKKVSPDVVTFSALIYGFCMVGQFKEAFGLFHEMVLTNINPDVCTFNILVDALCKEGSTKETKNVLAVMMKEGHVFNIIGKRRVTPDVHSYTIIIKRLCKIKMVDEALSLFNEMRCKGITPDKVTYSSLIDGLCKSERISHAWELLDQMHARGQPADVITYTSFLHALCKNHQVDKAVALVKKIKDQGIQPNINTYNILIDGLCKEGRFENAQVIFQDLLIKGYKVTVWTYNIMINGLCLEGLFDEAMTLLEKMEDNGCTPDVVTYETIIRALFKNDENHKAEKLLREMIARGLLEEKVEIR >RHN51139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14281362:14284097:1 gene:gene35523 transcript:rna35523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLSISSLDKSNSWLQMVGKLLKLRELELISCGLSDHFIHSLSKSKFNFSTSLSILDLSSNNFASSLIFQWVSNISPNLVRLDLSNNHMVDFPSNHFSYRLPRLRELRLSSNMFTSFMILQSLSNISSNLVKLDLSLNFLEAPPSNVYGLVMKSLEVLDLSENRLKGGVFKFFMNVCTIQSLGLSQNNLTEDLQSIIHNLSGGCVRNSLQVLDLGWNGITGGLPDLSAFTSLKTLDLTLNQLSGKILEGSSLPFQLENLSIKSNSLEGGIPKSFWMNACKLKSLDMSNNRFSGELQVTIHHLSICARYSLQQLDLSGNQINGTLPDLSIFSSLEIFDISENRLNGKIFEDIRFPTTLRTLSMDSNSLSGVISDFHFSGMSMLEELSLSSNSLAMRFPQNWVPPFQLDTIGLRSCKLGPTFPNWIQTQKHIQNLDISNAGISDNVPEWFWAKLSLKECRSINISNNNLKGLIPNLQVKNYCSILSLSSNEFEGPIPPFLKGSTLIDLSKNKFSDSLPFLCTNGIDSMLGQFDLSNNQLSGRVPDCWNNFKALVYLDLSHNNFLGKIPTSMGSLVELQALLLRNNSLTEEIPFSLMNCRKLVMLDLRENRLEGPVPYWIGSKLKELQVLSLKSNHFFGSLPFKLCYLQNIQLFDFSLNNLSGGIPKCIKNFTSMTQKASSQGFTDHYFIASQGTVYYRRDYQLNAFLMWKGVEQFFNNNGLLLLKSIDLSSNHFSHEIPPEIATLVQLVSLNLSRNNLTGKIPSNIGKLTSLDFLDLSQNKLLGSIPSSLSKIDRIGVLDLSHNQLSGEIPTSTQLQSFNASSYEDNLDLCGAPLVKLCAEDGPPHEPNGKIQDDEDLLLNRGFYISLTFGFIIGFWGVFGSILIKRSWRHAYFKFLNNLVDNIYVKCRWWLKD >RHN52949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40662037:40663401:1 gene:gene37691 transcript:rna37691 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNQGVNRLNKGHFPSYHEQLGSYGTIDVTKIEHEAINGHTGEVFDIAKWNTSYTIDSSKCILDLRRVSSKDGKVNSISFQIGDEMYSDGNIWFAISKDTSFLGSTTPPLLLNGGFKNCKQRSNSTTNASGYVMETCVYLYGSGSRRGFLVLEEMRKSGNAEKPCKVTVAHYYAVSIRNLFSYKIDIGLSMIVMIQPSSEVGLDITVHGPSQHPAKALHSMFHEVLKTGIWKPTKCSHCASMIRDHSDSESEDCDDFLPPHVHGLRKNVQSIIDNGGVVKGNNNGNLYVHKLYVRRSS >RHN65947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6821895:6839010:1 gene:gene13851 transcript:rna13851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAELVAGAFLQSSFQVIFEKLASVHIRDYFSSDNVDALAKELDHKLNSINHVLEEAELKQYQNKYVKKWLDELKHVVYEADQLLDEISTDAMIYKLKAESEPLTTNLFGWVSALTGNPFESRLNKLLETLESLAQQTKRLGLEVGPCASNEGLVSWKPSKRLSSTSLVDESSLCGRDVHKEKLVKLLLADNTSGNQVPIISIVGLGGMGKTTLAQHVYNDNMTKKHFELKAWVYVSESFDDVGLTKAILKSFNPSADGEYLDQLQHQLQHLLMAKKYLLVLDDIWNGKVEYWDKLLLPLNHGSSGSKIIVTTREKKVADHVLNSTELIHLHQLDKSNCWSLFETHAFQGMRVCDYPKLETIGMKIVDKCGGLPLAIKSLGQLLRKKFSQDEWMEILETDMWRLSDRDHTINSVLRLSYHNLPSNLKRCFAYCSIFPKGYKFKKDKLIKLWMAEGLLKCYGLDKSEEDFGNEIFGDLESISFFQKSFYEIKGTTYEDYVMHDLVNDLAKSVSREFCMQIEGVRVEGLVERTRHIQCSFQLHCDDDLLEQICELKGLRSLMIRRGMCITNNMQHDLFSRLKCLRMLTFSGCLLSELVDEISNLKLLRYLDLSYNKIASLPDTICMLYNLQTLLLKGCHQLTELPSNFSKLINLRHLELPCIKKMPKNMGKLSNLQTLSYFIVEAHNESDLKDLAKLNHLHGTIHIKGLGNVSDTADAATLNLKDIEELHTEFNGGREEMAESNLLVLEAIQSNSNLKKLNITRYKGSRFPNWRDCHLPNLVSLQLKDCRCSCLPTLGQLPSLKKLSIYDCEGIKIIDEDFYGNNSTIVPFKSLQYLRFQDMVNWEEWICVRFPLLKELYIKNCPKLKSTLPQHLSSLQKLKIRILQELLCLGEFPLLKEISISFCPELKRALHQHLPSLQKLEIRNCNKLEELLCLGEFPLLKEISIRNCPELKRALPQHLPSLQKLDVFDCNELEELLCLGEFPLLKEISIRNCPELKRALPQHLPSLQKLKISNCNKMEASIPKCDNMIELDIQSCDRILVNELPTSLKKLLLWQNRNTEFSVDQNLINFPFLEDLKLDFRGCVNCPSLDLRCYNFLRDLSIKGWCSSSLPLELHLFTSLRSLRLYDCPELESFPMGGLPSNLRDLGIYNCPRLIGSREEWGLFQLNSLRYFFVSDEFENVESFPEENLLPPTLDTLDLYDCSKLRIMNNKGFLHLKSLKYLYIEDCPSLESLPEKEDLPNSLTTLWIEGNCGIIKEKYEKEGGELWHTISHIPCVYID >RHN46084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28357356:28358975:-1 gene:gene40524 transcript:rna40524 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMDLRRGINMDFDAVVTNLKSRARMIDTSEEIAKVDLTQLMLLLDEEFMERGVCANTSSTEQCFLKTEFEVQRRNTAQMWPLGSSNGSIPWYIYLGLFDSEVEAARS >RHN57327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38134312:38134707:1 gene:gene32853 transcript:rna32853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain, protein accelerated cell death 6 MFHAFIIFITVSLFSSISATIILFWATFGLTELLTLPLKIVMPLLGIALIALSLAFMAGLYTVISELRRLSNVFLVMALIFVAVVILLYVLLFLPSPSTSNPLRYISHYPYLFLASRTESKPDQGRVNPWS >RHN66597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14702789:14704202:1 gene:gene14620 transcript:rna14620 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVISLLFKCLFNSDCQISFDPMQIQPMILASSTLQIRRHGYSGDFNIVIFVGLCTLPFYAINMDVVSLFTYSSFLFLANLYCSDVFYQFE >RHN71472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:403346:403659:1 gene:gene7078 transcript:rna7078 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKELLPEPKSSTATYYHHSYDPWFKQLFTTTKEENQLYHRI >RHN54319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8640379:8642087:-1 gene:gene29331 transcript:rna29331 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSTTTLTNTIPLSRKFEDSVSKSKGQQNQDRCALMDMTNDSPIVGLAGGNLETPSAKQRGSRVKNTPGSGEALLRGQVKTLMQMVEEEASPQIQSLSGSVNNASVAQQHSISQVVKMEQDSCEYGKCEITKSLDFSEKSQVSEECTSEVTEGSVVGSCSIDEDASIWSMQVNSCNNDEDDLEEEIAEEDEEEEEYYDADKEEDYEGLDELCEGLNNIRVNEKVVPKFAGKHTRFVYNSDDELVSEEEDESGNADSHNVLHLKGLPTPKGKHLRFSEEEEEGKSTK >RHN78519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15926761:15933930:1 gene:gene2096 transcript:rna2096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycolipid transfer protein MEGTVFGPALEELQHVKSERGEILTKHFLDSCRHILPVIEKFGAAMTLVKSDIGGNITRLETLYSSNPSRFNILYSLVQVEIESKTAKSSSSCTNGLLWLTRAMDFLVALFQNLIEHDDWPMSQACTDAYNKTLKKWHGWLASSSFTVVMKLAPDRKKFMEVIGGNGDINADIEKFCTIFSPLLEQNHKFLARFKLDDMKAS >RHN82032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50730912:50731385:1 gene:gene6148 transcript:rna6148 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKKIGSETEGRKKLFDMTNAETAAQADFNVSSISPGSLLFAREVRGVQLDHDNGDHKSLQKGYVIITVAPLAAISQVEVDC >RHN44774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8343642:8346903:-1 gene:gene38935 transcript:rna38935 gene_biotype:protein_coding transcript_biotype:protein_coding MMTEKPEKYQHMLQNLVVKAQQSNNEKLLENPYLQMRGIIQLANDLGIDL >RHN39466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7301883:7304257:1 gene:gene45490 transcript:rna45490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulation-signaling pathway 1 protein MLETIELKSLWFYDLIPILLLFLVNDPTLLTSSNPSNFLLQYLIVFFCFFITMTMEPNPTSDHILDWLEGSVSFFPSFLDDPYNNGYIHEYEIWNQNQDISNQYQIDANTNSSNATNSTTNIVAASTTTSTTSLEPNSFNNIPFSDLPKKRNAEDELSLKKQPQNQKNKRLKSRPMNESDNGDAALEGTVVRKSGGNKKGAAKANGSNSNNGNNKDGRWAEQLLNPCAVAITGGNLNRVQHLLYVLHELASTTGDANHRLAAHGLRALTHHLSSSSSSTPSGTITFASTEPRFFQKSLLKFYEFSPWFSFPNNIANASILQVLAEEPNNLRTLHILDIGVSHGVQWPTFLEALSRRPGGPPPLVRLTVVNASSSTENDQNMETPFSIGPCGDTFSSGLLGYAQSLNVNLQIKKLDNHPLQTLNAKSVDTSSDETLIVCAQFRLHHLNHNNPDERSEFLKVLRGMEPKGVILSENNMECCCSSCGDFATGFSRRVEYLWRFLDSTSSAFKNRDSDERKMMEGEAAKALTNQREMNERREKWCERMKEAGFAGEVFGEDAIDGGRALLRKYDNNWEMKVEENSTSVELWWKSQPVSFCSLWKLDKQPE >RHN73541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17500403:17501158:-1 gene:gene9365 transcript:rna9365 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDLPDWVQKLLVLALAQPIIWLVEHSALGDATPEWIKIISVTVIPIIFLYIGLALKQVLDPKIAKNIIRLVLLITLPFLALQGLSLLTLPYPGVVNHVPERILKPIRPHLEYMKALKEMERAAKKP >RHN53828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4518852:4532914:-1 gene:gene28780 transcript:rna28780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tyrosine-protein kinase ephrin type A/B receptor MHSTVMLKYLWCCILLGYLHTPCLSVCSGHNLNSSIDLELLLGSTESLISDDSQLDDFAFAETLNDSVSCEDLEGVGSFNTTCLLSSTHYLKSDILIYGTGNLEILSHVSLLCPVEECMITVNVSGNIKLGQNSSIVASSVIISAANVTMDYISSINSSSLGGAPPSQTSGTPVNNEGAGGGHGGRGASCKKTNKTNWGGDVYAWSSLAEPWSYGSKGGGKSAEQKYGGNGGGRIKLLAKDTIYLNGSVTAEGGDGGYDGGGGSGGSIIVNAVKLKGYGIISAAGGMGWGGGGGGRISLNCYSIQEDFKITVHGGSSIGCTGNSGAAGTYFNANLLSLKVSNDNVSTETETPLLDFSTSPLWSNVYVENNAKVLVPLVWSRVQVRGQISVYNGGSLIFGLSDFPISEFELVAEELLLSDSIIKVFGAFRVAVKMLLMWNSTMEIDGGKSTVVSASVLEVRNLAVLRQRSVISSNTNLGLYGQGLLQLTGDGDAIKGQRLSLSLFYNVTVGPGSLLQAPLDDDASRGTVTKHLCDTQRCPIDLITPPDDCHVNYTLSFSLQICRVEDLIVNGIMKGSIIHIHRARTVIVDTDGIITASELGCTEGIGKGNFLNGAGGGAGHGGRGGAGYVDGIMSIGGNEYGNAILPCELGSGTKGPNESYGHVVGGGMIVMGSIQWPLLRLDLYGSLRADGESFSKAITSSDGSLVGGLGGGSGGTVLLFLQEFRLLESSSLSIVGGNGGSLGGGGGGGGRVHFHWSKIGTGEEYFPVASISGTMNYSGGAGDNDGFHGQEGTITGKACPKGLYGIFCEECPVGTYKDVDGSDAHLCIPCPLDLLPNRANFIYKRGGVTTRSCPYKCISDKYGMPNCYTPLEELIYTFGGPWLFSVVLSFVLLLLALLLSTLRIKLIGSGSYHSSSSIEHHNHHSFPHLLSLSEVRGARTDETQSHVHRMYFMGPNTFREPWHLPYSPPHAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVVAYPCAWSWKRWRRTVKIGRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMVAYIDFFLGGDEKRLDIVSIIQKRFPMCIIFGGDGSYMAPYNLHSDTLLLNLLGQHVPATVWNRLVSGLNAQLRTVRHGSIRTALGPVIDWINSHANPQLEFHGVKIELGWFQATASGYYQLGVVVAVGEYSLHGLHQSDTRVGTDEAMRQNVAHGIKNLQQLQHNWQYMSNLLSLKRITGGINGGLLNDATLRSLDFKRDFLFPLSLLLCNTRPVGRQDTVQLLITLMLLADLSVTVLMLLQFYWISLAAFLSVLLILPLSLLFPFPAGLNALFSKEPRRASPSRVYALWNATSLSNIGVAFICCLLHYTLSHMHYPDEASTRNVKREDDKCWLLPIILFLFKSVQARFVNWHIANMEIQDFSLFCPDPDAFWAHESGL >RHN66667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16008712:16009515:-1 gene:gene14708 transcript:rna14708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MNTWIEFDKNMFLTGVKRYGKSCRLRWSNSMSDPKHDDKFTSQEEDLIVKLHAAIGSRWSIIAQQLPGRTDNDVKNNWNTKLKKKLSQMGIDPVTHKPFSKLIVDYGNIGGANCHINQDFRNQRLIRNTNDLSKQLPPKMETVMNLCQFQTSNNNNPTSMFVEAFLSSSSSTPLPTLSPCSSTSTQETNFSAPFSWNDFLLQDAFSQDAPTNNLQEQQILGGLLSKKEVVSHQVSSSSDISFVETILDQENEMFLSFPELLEEPSNY >RHN56066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27329286:27330041:-1 gene:gene31392 transcript:rna31392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo-like helical protein MQNPSAIAELTAQFNGKYVQLSMQKFSIHVVEKCLEHIVETRARIVQEFLAVPYFENLLQDPYANYVVQCALKFTEGSLHESLVETVRSHKILHTGPYCRLLEEFLLTNAPSRHYLSLFHIGFLLILSNLFSKPSIAKTQNPISLLLLLVYVVM >RHN56882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34428423:34432766:1 gene:gene32346 transcript:rna32346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phenylalanine--tRNA ligase MQLHAKQSTSDNKQCNFIVSVPPTRSDVLHPCDVMEDVAIAYGFNAIKDQAIIDNKGSKRLAASLTLLPLQELSDLIRKEVAMVGFTEVLTFILCSKKENFSMLNRKDDKSKAVIIGNPRSSDFEAVRTSLMPGILKTVAHNKDHPKPIKIFEVGDIAILDDNDVGAKNLRQLAALYCGANAGFEIIHGLVDRVMEKNGISFVSPGDKSGYYIERSDEPEFLAGRQARIIYKGKQVGTFGIVHPEVLNNFDIPDPCSFVELNIESFL >RHN69707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45686965:45688242:1 gene:gene18213 transcript:rna18213 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSLVVEDINIHEIISSMVEKIITPNCYVPKLELLISTQYIAYMNSFKIRSYTNYLYLIWHCTIRIRSFIFVVVNPPVCMYGNMTLLTQGKFKI >RHN47036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36637662:36638879:1 gene:gene41586 transcript:rna41586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTLLPAVFFSDGLIGEIFSVLPVKSLLRFRCLSKSCDTLISDPTFVKLQLKRRSATPNPHFLLILSDSLSFTISMDPYYTVKTKDAPALLVLAVRYNRRELRSNARILGFGNNDWRHIESFPVDPISLDYDGPTSVSDGVYFRSTLNWLAIQNQLQYILSTIKDITVENFVIVSFDLRTETYNRYFLPRDFDEETDFIIWQMKKFGVEDSWTPLLKISYQNLQIDYDYSFDFMKYHFNLQSLFLSEDGDTLILDSSQEMEVILYNLRDNTVRRTEASVSSSIIDHRTNVRTSTSISWYMAMDYVESLVLIC >RHN77564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7739241:7740946:-1 gene:gene1031 transcript:rna1031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TUBBY family MPFKSIVREIKEMKEGIGNMYIRDVETKHTHRHGKSHIAPECSSPISLSAPTTPSSSSSSSSSSEVEASETTWPSLRALVACASVCRLWRDITKGVVKTLEQCGWITFPISLKQPCPRDNPIQCFIKRERATSTYSLYLGLSPGKIVLFLVMSKLLLAAKKIRRATCTNFLISLVSDDFSRANNTYIGKLRSNFLGTKFTILDGHPPHVSSLPSSCKLQQKVHI >RHN43429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45811075:45811888:-1 gene:gene50003 transcript:rna50003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance response protein 206 MGTKVPIILFVFVMLCALSSAIPSKRKSYTPCKNMVLYFHDIIYNGMNAANATSSIVAAPQGANLTILAPQFHFGNIAVFDDPITLDNNLHSKPIGRAQGFYVYDTKNTYTSWLGFTFVLNSTYHEGTITFAGADPIMQKSRDISVTGGTGDFFMHRGIATIMTDAFEGEVYFRLRVEIKFFECW >RHN54171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7531558:7542454:1 gene:gene29164 transcript:rna29164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase III subunit Rpc5 MDFDDLDAPVKPRVSRFAPKSSKFKPKKEEPSLVPKSEPPPLASAKTEPQEIDLTAPTPVKHEPNASVKTDAESKIEGKVDSRNVDVEMTEAVDGSREVNPMDEEDTVVREIDVYFSPSIDNDTKLYVMQYPLRPSWRPYDLDEQCEEVRLKPGTSEVEVDLSIDLESYNVEKATADKLKYTKQTLSTTWKPPPANGCAVGLLMGDKLHLHPIHAVVQLRPSRHYLDSGGSEKKNVATSNNQNKQTTPSMEQKSDGAPSIEQKSDSNQCWVPLEYHGCKSDISSRYFQQMVTHESSPINFEMSTYDYIATLCPGVSSNTLAKGPSKRYLLSLPVEKRLQTLLIEGPPLHRFSAIKHFAPECSDDELLSVLEKQAQLLRGYWVPRSSLLFPTGGIESLARNYILVRFHKSLTVKSDELRRLGGGELGNRMKHFVMQFALEKFDLKSKENYWKFKELPDESFIKEFPIVVKKQEEIFKILENEVNSIIGTLGGKRKLKSAITNSGVNNALFTSTNSDPRATSLGEDPPRSMTMSSETRHALPIALKKLFQTHKVCSFQLICQELRGLALAQTMLPKGGSKMSVDAANSLDVPQDELKAILGEVACDIHGCYVLKSAQNDPFRDVVIDMLRGSGPNGKLKKAEILEAARRKLGRDVPNNEYIKAVSELCVSKGSFWVLKSGDGSKQ >RHN57409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38828169:38828900:1 gene:gene32950 transcript:rna32950 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLGFLAQIHIMLYNKERYWCMLMIASLTLMKDSERLDLLKEIGGTRVYEERRRESLKIMQDTGKFFQLLSLFLNFCYLCLFSCYRCYYHVFYFETGNKRKQIIQVVQYLDKRLKELDAEKDELRDISNLTSRENPWNMPYITKKLKMLSLSLQRLSILKYFLIYSYLNDFFLPCFVFEFFHDDWIINYIHFGNNLLFLLIRNAWFKINAEILR >RHN71570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1082127:1084750:-1 gene:gene7183 transcript:rna7183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MCFAENTGHENAYFAELSGAMRAIELAKLHNWQSLWLESDSALVVNAFKNISQVPWKLRNRWENCILATRNMNFIVSHVFREGNECADMLANIGLSLNCLTIWLELPDCIKSIFIKNKLGWPNNRFVY >RHN82685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55666073:55666419:1 gene:gene6864 transcript:rna6864 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVMSVNLRAGNVVPKKRRGWIPLPCCVWDVRSSGLFWSIVKFDILFDKEASPRYCEHLKFCHKLDWHNVHISDIRS >RHN54461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9801065:9803848:-1 gene:gene29511 transcript:rna29511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MVFKEAGSSSYSLPPYPREDTPLLTNSPPLSSQFKTCANIFIAIVGAGVLGLPYSFKRTGYLTGLINLFTIAYLTYHCMLLLVNTRRKLESITGFSKIKSFGDLGFTICGPLGRFSVDSMIVLSQAGFCVSYLIFISSTLSFLTAGDETDTIFIGLTAKSLYLWGCFPFQLGLNSIKTLTHLAPLSIFADVVDISAKSVVMVEDVFVFMQNRPNLEAFKGFGVFFYGIGVAVYAFEGIGMVLPLESETKDKEKFGRVLGLGMGMISILFGAFGVLGYFAFGEETKDIITNNLGQGVIGVMVQLGLCINLFITFPLMMNPVYEVFERRFCDSRYCLWVRWLLVLVVSLVAVLVPNFADFLSLVGSSVCVVLGFVLPALFHCMVFKEELGWKCLVSDGAIMVFGFVVAVYGTYTSVSEILSPKA >RHN38523.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:609626:610033:-1 gene:gene50639 transcript:rna50639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MIMKYFTLVYSHLKWVLDFLLFYPFYNLYDPHLQDIGEEVSRLHDESTLDSEEYVDCAVCLSKIGEGEVTRVLRCEHVFHKDCIDQWVSLINPTCPLCRKAVSPRSIISEFGTEVLFFEFFSVHANDDNDTWWLR >RHN57756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41510683:41516296:1 gene:gene33340 transcript:rna33340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MDYLPTGFITTYKQSIIATCLVGTCILVSQIDERRDNRARKTIKNVESEKMKVISNRDHVKKKIEATDRLTERVNDDVFEWLRETEIVLQEVGNMTVVDELGQLSRQEKHRQLTNDGAVKHRHKMLDKLKALNIRCEFKLFSSPIPSLEHFSSENFVCFASTKEASDRLLQALQSDNSYKIGLYGKRGSGKTTLVKAVAEKAKYSKFFDEVLFINVSQNPNIKRIQDEIANELNLEFDVNTEAGRTRKIYLTLANMDRQILVILDDVSENLDPEKVGIPCNSNRCKVLLTTCRQQDCEFIHCQREIQLSPLSTEEAWTLFKKHSGIDNESSSDLKNVAYNVAIECEGLPRTIIDAGSSLRSKPIEEWKASLDHLKYSRSQYDIFLSFKGEDTRYSFTGFLYNILCREGFKTFMDDEELKGGNEISSSLIKAIEASRISIVVFSENFADSPWCLDELVTMLKCKERKNQQILPIFYKIEPSWVRHQRNSYGKAMTKHEEEFGNDSEKVNKWRSALCEVANLSGEHHKYGYEYKLVQKIVERIRSQT >RHN51125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14194603:14198026:-1 gene:gene35507 transcript:rna35507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQILFINRMAEILKFIYNAILFVSLYFIVIYGELVCDTDDDCLKFFPDNPYPMECINSICLSLTD >RHN40670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19173958:19188168:1 gene:gene46856 transcript:rna46856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M41, ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MALKFPNPSNPFLLSSSPLTPSLQNPNFFTLHFSNHRRKLKLRASSTADPNGADGSSWSESLDRASRRFLVKFGDMVKKETGVDLGDGVGKVGEFVDGVRKVGSELRIPSLDEFVDWNRFENWKNVKNWEPRRIGALVLYIFVVAFACRGVFVAIQAPFLNHQRKESTEAYMEVLIPAPTPTNIRKFKKVVWRKTMPKGLKIKKFIERPDGTLVHDSTFVGEDAWENDQESSDEHVKQIVGDEERLNSEEKNELTKDLAISGEVQTEGTWRERLHKWREILGKERLVEQLNSLNAKYEVDFDMKEVENSLRKEVVEKVRTTQGTRALWIAKRWWRYRPKLPYNYFLDKLDSSEVEAVIFTEDMKRLYVTMKEGFPLEYVVDIPLDPYLFEIISSSGVEVDLLQKQQIHYFLKVAIAFLPGILILILMKQSLAILHLTSSRFLYKKYNQLFDMAYAENFILPVGDVGETKSMSKEVVLGGDVWDLLDELMIYMRNPMQFYERDVKFVRGVLLSGPPGTGKTLFARTLAKQSGLPFVFASGAEFTDSEKSGAAKINKMFSLARRNAPCFVFVDEIDAIAGRHTRKDPRRKATFEALITQLDGEKEKTGIDRVSLRQAVIFICATNRADELDLDFVRPGRIDRRLYIGLPDAEQRIKIFDVHSSGKQLGEDVNFTKLVFRTVGFSGADIRNLVNEAAIMSVRNGHPKIFQQDITDVLDKQLLEGMGVLITEDEQKKSEERVSFEKKRLLAVHEAGHIVLAHLFPRFDLHAFSQLLPGGKETAISVFNPREDMVDQGYTTFGYLKMQMVVAHGGRCAEHVIFGEDITDGGRDDLEKITKIAREMVISPQNKRLGLIGLTKRVGLADQPDASDDDLIKYRWDDPQVVPTKMSVELSELFTRELTRYIEETEELAMNALRDNKHIVDMVARELLEKSRITGFEVEEKMKQLSPVMFDDFVKPFQVDCEEDGPLPHNDDIHYRTADLYPAPLHRC >RHN82327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52841711:52847105:-1 gene:gene6483 transcript:rna6483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MASRRLVSSLIRSSLRRSSSKPSITASTSRLTSQSRASPYGYLLNRVADYATAAAAAPAPSAPPAKKEVPGGGKITDEFTGKGAVGQVCQVIGAVVDVRFEEGLPPILTALEVLDHSSRLVLEVAQHLGEGVVRTIAMDATEGVVRGWRVLNTGSPISVPVGRATLGRIMNVIGEPIDHKGEFITEHYLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFVDNIFRFTQANSEVSALLGRIPSAVGYQPTLSTDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPLILGDEHYQTARGVQQVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKENTQSFQGVLDGKYDDLSEQAFYMVGGIDEVIAKAEKIAKESAASSS >RHN73613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18171777:18174117:1 gene:gene9445 transcript:rna9445 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDTFSGIGNGTQIDTKILGTFQKSFVQVQNILDQNRLLINEINQNHESKIPDNLSRNVGLIKELNNNIRRVVDLYADLSSSFTKSMDVTSEGDSSGAVKSDGKGGHKRHRPT >RHN53543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2402189:2405715:-1 gene:gene28459 transcript:rna28459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate 1-epimerase MNHSGAESDHKITSVEVIKDKNGIEQVVLRNVRGASATVSLHGGQVLSWKTERGEELLFTSTKAVYTPPKPVRGGIPICFPQFGNRGTLEQHGFARNKIWVIDQNPPPLPTDSNGKACIDLLLKPSEDDMKIWPHSFEFRLRVCLAADGRLNMISRIRNVNGKPFSFSFAFHTYFSISDISEVRVEGLETLDYLDNLHQKERFTEQGDALTFESEVDRVYLDSSSMVAVLDHERKRTFVIRKEGLPDVVVWNPWEKKSKSIVDFGDEEYKQMLCVDGAAVGKPITLKPGEEWTGRLELSVVPST >RHN66616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15079787:15080071:-1 gene:gene14645 transcript:rna14645 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVWCWILVAGSGLKHCAEMMMKNCVCVDSENDCGVMWFAGFAIEILSVCGCACNVIFIELVIKTILLLGEEHDRLFLWLGAEAVLWLGEEA >RHN80243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36440875:36443058:-1 gene:gene4145 transcript:rna4145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MGFPKKPLFLLLFFIFFTTHFSKSSSSPDYTTLVYKGCSKETFTDPNGAYSQSLSALFGSLVSQSTKTRFFKTTTGNGQNSITGIFQCRGDLTNSDCYSCVSKLPVLSDKLCGKTISARVQLSGCYMLYEVAGFPQVSGMQILFKTCGTTNAAGRGFEERRDTAFSVMENGVVSNHGFYVTTYQSLYVMGQCEGDVGDSDCGECVKSAVQRAQVECGSSISGQIYLHKCFISFSYYPNGVPKGGHSSSGGGSSSSSYSSSFSGQNTGKTVAIILGGIAAVAFLVICLLFARNLVKKRDGRLLTVEEYGLLWRPNSSRGGLILDIYLLAERDLW >RHN73851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20667605:20667979:1 gene:gene9733 transcript:rna9733 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQKSYTMTLNPLMFLFCLLLVMTNFVTISGSRQFKNIPIKEKEVIGGGKVEDQVNPSKNYNIEKTQVDKNQLPPFPLPAPPLPIPTLPVPGVPRLPIPSPPLLPPGDIPGVPPLPVPSPPIE >RHN40197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14105850:14109108:1 gene:gene46318 transcript:rna46318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MICMYFLDSKTNACKHDSSITNGNKSKDKDGKKKRHIASASTALLFGTMLGLIQTTILIFGAKLLLAAMGIKHDSPMLKPAVKYLRLRAFGSPAVLLSLAMQGIFRGFKDVTTPLYVILSGYALNVILDPILIFYLKLGLNGAAISHVFSQYLMAFTLLVLLMRKVYILPPSLKDLQIFRFLKNGGLLLARVVAVTFCMTFAASLAARLGSVPMAAFQPCLQIWLTSSLLADGLAVAVQAILACSFTEKDYKKTTAAATRALQMSFVLGMGLSILVGIGFYFGAGIFSKDVHVVHLIKIGIPFVAATQPINSLAFVFDGVNYGSSDFAYSAYSLVMVSVVSIVTEFFLYRSKQFIGIWIALTIYMILRMFAGIWRMGTATGPWRYLRTSSLP >RHN45004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10663424:10665942:1 gene:gene39197 transcript:rna39197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S21 MALSTSFSNFFSFPSPPTKSPQQPPSLLSPFPSHQNRNNKFIPLVLSRNETSSSSITSSSSITSSSSSSPEPPQDMSSSFSTSNTVFSASPYNVQIIVEDDEPEERFLNRFRREVFSAGIIQECKRRKRFENPQDEKKRRVRDAAKRNRRNKFARRPMGEGGNSSRGSYNNYMEDDPRPKKEEDDDNWDLPEEDVLNF >RHN79247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26584621:26586153:-1 gene:gene3006 transcript:rna3006 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADLENSLLRFESLVLPQLLLSLPLLSIDVQICFESQSIKMHFTLDDMVLHLN >RHN77130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4303534:4305958:-1 gene:gene554 transcript:rna554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MTPVSLNPPGPNSLLQGQNQFFNISPVNQDTPTFFNLLGDFGENYDHHHHQDHKLAFHHDGSSSSNHQQQLYNSSSESVMVDSSSARDTNLSSSLELEDSSKKNSHGSEKWISSKMRLMNKMINTTATVATTPIMRPNNSIAATTDKAIKTTTPMMSPSNFGTSPRNQNVRYSQTSPSSNSGNNTVRVCSDCSTSHTPLWRSGPMGPKSLCNACGIRQRKARRAMAEAANGLATSPKTKVLKIKKPTQFKTKNKASTSTSSTSTTSAGSSSQDVKKLESFALDYDYDEAATAARLLVDISSGVTY >RHN73364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15681598:15683717:-1 gene:gene9166 transcript:rna9166 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNNGMSYSEDPPTNTNSNDAKKNEVSDQMKHMSYSHPPPEANSSSQKSQTLSTQDSMSYSNYK >RHN49503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55236344:55237807:1 gene:gene44342 transcript:rna44342 gene_biotype:protein_coding transcript_biotype:protein_coding MATIWACTVGFWFILVDFIMGDNRVNPFPDEHLPSLNLNL >RHN71511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:681419:683601:1 gene:gene7120 transcript:rna7120 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSISLYILALLLSFWLRLILTSYHLQIIVISAAEYQECPNIFTLEVNSRKFCKHGLTYH >RHN70238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49936861:49937413:1 gene:gene18799 transcript:rna18799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MTSKKKQFIRAFDGFKVLGLPYKQGEDKRRFTMYFFLPNAKDGLPSLVEKVASEFDLLQHKLPFDKVEVGDFRIPKFNISFGLETSVMLKELGVVLPFSGGGLTKMAQCMRRPARMDFVADHPFLYLIRDDLAGTIIFVGHVLNHLDG >RHN73944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21917514:21918653:-1 gene:gene9844 transcript:rna9844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MHLSLHTLLPSNTMFPAARTIALHSSSLCSVSSDKPSSPPSTNLTPKPKSLLQNHPLYTPTNEKLSLQFKEKILCLEVMGIDSGKALSQNPNLHTATLESIHSIITFLVSKGIQHKDLPRIFGMCPKILTSSIKTDLNPVFDFLIHDLKVPDHSFRKVIKKCPRLLTSSVVDQLKPALFYLNRLGLRDLEALAYQDCVLLVSNVERTIIPKLKHLESLGFTKEEARCMVLRCPALLTFSIENNFQPKFEYFSVEMKGKLEELKEFPQYFSFSLENRIKVRHMEVVESGINLPLSLMLKSTDDEFRELIKKGAG >RHN81216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44153434:44160954:1 gene:gene5228 transcript:rna5228 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNSGADIHRILAAVKSSEVVEDRVQLFTDLGNLSLKEASESDHASVMNCLVSQISTYWETFTCLDVTQCMLNRSILQVALKNIDFNLPSCLLQTLILGVKASIWSGKHLQMTLLSTEESQEDEHSSVFYQLLLEILRFSASTFSALLKFTDISNKELMNNVEIFTIEVLNLTKDSISEAKKIQSFGSEILKATHTVIDVVVKLCKVRSELINWEACDEKQSRFDKPIHVVHAINITKYTIEKLSQIGVLAANDGGSLVNILSISWKGVVSLLQIGGGHLTEVDIANIVVSLLVLIAEPLKCAAEVWSSSLNETISVTEAKRIFVPVKFYLINAVKICSLYPHQTYTVYREIAHCILTITSFWILANNENLLKNASAVIAELLEETTLDLVLSLLISDKLKLEQKLEVMEWLFVNEDSHSGLDCPTLAACNFTLVNKIFLSSCEDISRSRVLMLGRVVLFINFLKYSLKLDEDVKVAITQKLNWFLDVLVEEDVYSCMLVLQLPSLSGSGKTAELVWQPMFTLLLQAFKTFMIVISSSTAWSELQSFLLENFFHPHFLCWEIVMQCWCFVLQYAETQMANNIISKLCSLLKLLGSSDSVFLPHSSFRKLTRSFCLLLTCCEKSVVEEVFMSIVGDRRSHLSQILCLALFIEGFSLDLLSDEFRKTSIQTIISDYFDFIDNFNEASLTACSSSLFGIPVFILSASLQSSLQSIKERLPEIDARAIKFLVSISSNYKSTVDKEIKDHSLRLFGEILVIISYLEHLYKSNDIEQAIIQIENIFITEPPVLLYKCKPHLAQFLTGLVHMEFSESDDGDAKSRAAWELFHLILTERHWAFTHLALTAFGYFAAHTTCCQLWRFLPPDAALSYDIISGTETTKERFYAELREFLNKQNALLTVAPSPEQLELLRREGLMLKQMIHNISVNAERRDGCENMEIDDKNQLNSMEVDDMNQTNKKRKLPAGISKGVELLKNGLKIIGDGLSEWQLNQFETNELHVKYSAQFSRLEDAITHFEELAGSGEVCLSPIQSNLRG >RHN50800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10627895:10632029:1 gene:gene35134 transcript:rna35134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate dehydrogenase MNALAATNRNFKLASRLLGLDSKIEKSLLIPFREIKVECSIPKDDGTLATYVGFRIQHDNSRGPMKGGIRYHPEVEPDEVNALAQLMTWKTAVANLPYGGAKGGIGVDPSELSASELERLTRVFTQKIHDLIGVHTDVPAPDMGTGPQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLNEYGKSVSGQRFVIQGFGNVGSWAAQLINEKGGKIVAVSDITGAIKNSNGLDIPSLLKYSKENRGIKGFHGGDSIDPKSILVEDCDVLIPAALGGVINRENANEIKAKFIVEAANHPTDPDADEILKKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWNEEKVNNELKTYMTKGFKDVKEMCQTHNCDLRMGAFTLAVNRVARATVLRGWEA >RHN71343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58408423:58408674:1 gene:gene20016 transcript:rna20016 gene_biotype:protein_coding transcript_biotype:protein_coding MILGWHLCSNCEKNAHYLCYTCTFSLCKGCIKDAVMLCVRGNKGFCETCMRTVMLIEQNEEGNNMVYLLFLLPASFLVKCFYY >RHN58106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43794234:43795848:1 gene:gene33715 transcript:rna33715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 215 MATSAIQQSAFTGKTGLKQGNEFIRKAGSFGQSRFTMRRTVKSAPESIWYGPDRPKYLGPFSEQIPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCTFPEILEKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLIHAQSILAIWATQVVLMGFVEGYRVGGGPLGEGLDPLYPGGAFDPLGLADDPDAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPVQNLYDHVADPVANNAWAYATNFVPGQ >RHN71658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1710908:1713834:1 gene:gene7282 transcript:rna7282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MMSTCGNFRNLENKKFHCNSCHINKSHKLPFSVSSIKTTAPLELLFSDVWGPSPITSVHGYKYYLVFVDHFTRYSWIYPLKSKTDVVTIFPNFHSKVETMFSYKVKSLYTDGGTEYIKLKPYLNSHGISHYISPPYTPEHIGIAERKHRHIVETSLTLMSHSHVPQKFWCYAFQMAVYLINRMPTTHLKNKCPHEILFGSTPNYLNLRVFGCLCYPWLRPYSQNKLSNRSMPCVFFGYSTQHHAYQCYHPPTQKLYLSRHVTFHESLFPFLLNLYASSTSSILNSNSNTSKHFPSHHPLIIQHLNHPIIPPPSPQNSNSSLIPTPLISPTTRTPTLSPQISQVPTNSSTRSLTGTASSTPHPSPESSRIVTRSVNQIHKPNPKYLLTTKHPIASSIEPTCVSQALKSSEWRNAMSAEFDALIQQGTWELVPQPQATNLIGCKWVYRIKRKPNGDIDRYKARLVAKGFHQRPGLDYTQTFSPVVKPTTIRLVLSLALQHNWPLRQLDVNNAFLHGFLSEEVYMQQPPGFIHPEKQHHVCRLRKSIYGLKQAPRAWFQTLQKFLCDYGFVNSKSDSSLFIFRTAGTILYTLVYVDDIIITGNSSIKVNECIAALAHTFSIKDLGNLHYFLGVEVIPSTAGLFLSQHKYIGDLLERTKMQDAKPVLTPMSTSALMCKDDGSTSTDTTFYRSTIGSLQYLSLTRPDIAFTVNKLAQFMQRPTATHLTALKRLLRYLKGTIFHGLLLQKPMYSSLTAYSDADWAGNKDDFTSTSAHLVYYGSNLISWKSSKQRAVARSSTEAEYRALANSAAEVTWIHSLLTELGVPISQSPLLLCDNLSATYLTHNPVYHSRMKHISIDIHFVRDLVQQGKLKVQHVCTVDQLADCLTKPLSKSRHQLLRNKIGVTDGTPILRGRVRPTTK >RHN62465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43626865:43631673:1 gene:gene25045 transcript:rna25045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylinositol N-acetylglucosaminyltransferase MGNRENEKHSILMVSDFFYPNVGGVENHIYCLSQCLLNLGHKVVVVTHAYGKRSGVRYMTGGLKVYYVPWRPFFNQNTFPTLHGTLPIIRTILTRERITVVHGHQTFSTLCHEALMHARIMGYKVVFTDHSLNGFSDAGSIHMNKVLQFTLADVSQAICVSHTSKENTVLRSGLAPEKVFVIPNAVDTAKFKPMSEEERRSSKPSRSEIVIVVISRLVYRKGADLLVEVIPEVCRLHPNVHFIIGGDGPKRVRLEEMREKHSLQDRVDLLGAVQHTEVRSVLIKGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVVPDDMIVLAEPDPSDMVRAIQKAITMLPKIDPQVMHNRMRELYSWHDVAKRTEIVYDRALKCSDQNLLERLSRHLSCGAWAGKIFCLVMIFSFLLWHILELWQPADDIEEAPDFILTPNHDELEGCCRKPNNAENQKNSSDTNIYDNSGNNNIS >RHN47926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43616831:43620325:1 gene:gene42581 transcript:rna42581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Abhydrolase domain containing 18, alpha/Beta hydrolase MVTVNLGMLHYVLDHVYGAFMHRTKMSTPFFSRGWGGTKLDMLENMINQLFPDLGRQSLPPTEIQPVWKTVWETRTACLREGVFRTPCEDQLLGALPPESHIARVAFLMPKSVPPQNMACVVHLAGTGDHTFERRLRLGGPLVKENIATMVLESPFYGQRRPVLQRGAKLLCVSDLLLLGRATIEEARSLLHWLDFEAGFGKMGVCGLSMGGVHAAMVGSLHPTPIATFPFLSPHSAVVAFCEGILKHGTAWEALRNDLAAEKVAMTLEEVRERMRNVLSLTDVTRFPIPKNPNAVILVAATDDGYIPKHSVLELQKAWPGSEVRWVTGGHVSSFLLHNGEFRRAIVDGLDRLPWKESSL >RHN65991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7357813:7359291:-1 gene:gene13900 transcript:rna13900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKDRLSDLPDCVLLRILSSLHTKQAVQTCVLSTRYNNLWKHVPVLSLGPCLFKTRKGFTKFVSRFLSLHDESTALRRLSLDRDGIIVPPLLERILNYVVSHNVKRLRIHVKCDIQHFPSCLFSCHTLTSLRFYVSTRIYNKPNILFPNSLNLPSLTRLHIGSVSFLGGADPFSGFPMLKSLRISCSKILGEQNLCISSITLVKLTINRTYYKLPNFNQKIELSTPSLCSFVFVGTPFQILSWSQLRSIKHVEIYAYMLQNFAQIPSNLLGWLLELTDIKSLKISSDTLQVLSLVPDLLKVKFHSLRNLKSLTVEMKQLSYCFSNALIDSKLALNPAMSQKEVATLREAFKKRSSSIPEGIIDFLLQNSPSAQVNYSKGEIFLLILKDKLFTLIFASSICLSLRWRNKLYFDSKM >RHN43354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45111085:45114394:-1 gene:gene49914 transcript:rna49914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MHSVNSMQNVLELMNIGMMNRATSATALNERSSRSHSVLSIHVRGTEVKTNSLLRGCLHLVDLAGSERVDRSEATGDRLKEAQHINKSLSALGDVIFALAQKSPHVPYRNSKLTQLLQSSLGGQAKTLMFVQLNPDVASYSETISTLKFAERVSGVELGAARSNKEGRDVRELMEQMSFLKDAMARKDEEIERLQLLKANHNGAKPLSPSLRHASSSPRRHSVGTPRKSTRLTGARSLGVNEKAAFDTDNGSEYSDKHSEAGSHQSLDDFRNKSSFLQLKLPREDVDQNFNDDIELLRFGDADSEERLSDISDGGLSMGTETDGSISSIVEYTLFPDPEKTAESTPVKDMTFDSLHAQSMEKPIMPSRIPKAPQVQPKLQTRTSRLSLNRSTSKVSSSVKKTPAGSSSSARPSKRWQ >RHN57639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40689871:40690179:1 gene:gene33216 transcript:rna33216 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase epsilon chain MTLNLCVLTPNRTVWDSEVKEIILSTNSGQIGVLKNHAPIATALDIGILKIRLNNNNRQWVTMALMGGFAKIGNNEITILANDAEKSIDIDPQEAQQTLKIA >RHN49630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:56080261:56082663:-1 gene:gene44479 transcript:rna44479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MDSEWRRYLIVRPEKGGFVELFRYAFLGRDFDRFVDDDDHSSSSSVDCNWSWRWVILVSILVRKFISFFAIPIYWTGFFLDFFLNLLSLNGASFFALLNNFIHGRVVIPDRGSQSFVSTIGHLDARLDLQTTNGNGAFQPHMGNRALMDLCIMSSKIAYENPKLIKNVVNHHWNMHFVDFYHCWNDFEKQMSTQVFILCDKLKDANLVLVSFRGTEPFDAHDWSTDFDYSWYQIPNVGKIHIGFLEALGLGNRNQPTTFYSNLQRKANYGSLFLGTDSDEDQPFVPEKTPAEKTAYDIVRSKLRSLLKEHKDAKFIVTGHSLGGALAILFPTVLVVHEEMEIMERLLGVYTFGQPRIGNRQLGRFMEPHLDRPVPKYFRVVYCNDIVPRLPYDDKTFLYKHFGQCLYYNSHYIEKNVKEEPNRNFFGMRFILSQHLNAVWELVRGLTMGYVEGAEYKEGWFRILVRIIGLALPGFSAHCPTDYVNSIRLGKESSIHMSSI >RHN56366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30346380:30351827:1 gene:gene31752 transcript:rna31752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEGGGGSGGGRRVSSSPRPCCGRRVVAKKKQGRGGAGDGFINSVRKLQRREISTKSVRGFSITDAQERFRNIRLQEEYDTYDPKGPSSIVLPFLRKRSKIIEIVAAQDIVFALAQSGVCAAFNRETNERICFLNISPDEVIRSLFYNKNNDSLITVSVYASDSYSSLKCRSTRIEYIRRVQPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYSMLYSVADKNVQEIKISPGIMLLIYTKASSHVPLKILSIEDGTVLKSFNHLIHRNSKVDFIEQFNEKLLVKQENENLQILDVRTFELTEVSKTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDESLSEGNAGSINISNILTGKCLAKIRASNSFPIAKECNCGDDCSTDGCNSRKRKQASSRIRNTVREALEDITALFYDEDRNEIYTGNRHGLVHVWSN >RHN68707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37933211:37936502:1 gene:gene17093 transcript:rna17093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate dehydrogenase (acetyl-transferring) MATLFQALGAALTPFSPSTSFQSKERKCSSIFVVRSSDAKVNQVVLKSGGATRKAGQLLIPNAVATQGSSSVASAASKPGHELLLFEALREGLEEEMERDPCVCVMGEDVGHYGGSYKVTRNLAEKFGDLRVLDTPIAENAFTGMGIGAAMTGLRPIIEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSDNPVILFEHVLLYNLKERIPDEEYVLSLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLTAAITENFHDYLDAPIICLSSQDVPTPYAGTLEEWTVVQPAQIVTAVEQLCQ >RHN40948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24857660:24861576:1 gene:gene47198 transcript:rna47198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WD40/YVTN repeat-like-containing domain, rab3-GAP regulatory subunit MGMKRRSYKKELGCIACKELGEVGAGKPGWVVDNPNLLSAIDNHSILLANRSTILLLSWSSDSSQSPIRIRPDLSPIESEFISAVEWLVFDEIRVIVAGTSSGYLLIYSLRAELIHRQMIYPGRVLKLRVRGTKKDLIQDNSSSSEEFCLIMPGVIARFDGSVVQNMLQKWFEEAHAQLWNQKQKGQDSEDFDNSQQKLPYQLWNIGKYGTCADAAITGIMPPPLMEQQSSQRYYCAVAVGDDAVISAYRLSEDKGRSLVGAILSKVVPATFSTIASFSKLIWRSEHTSPVKSPKKLEQKPQPFARASPLTCIKDHPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRLWKGYRDASCLFMEMLVNKDLASSSSTCYESMKSDYCLCLAIHAPRKGIIEIWQMRTGPRLRTISCAKGSKMLQPSYRFGASMSSPYVPLEVFLLNGDSGQISVLNRTLDS >RHN78987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20588239:20594408:-1 gene:gene2663 transcript:rna2663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CAP Gly-rich domain-containing protein MTSSLQKIEGDESVLLRVTHSNLKSFNPDIRFSLQLTVEAVKDKLWKKCGTSVNSMHLELYDELRNKIADLSDHSKLLGFYSPFDGFRLHVVDLDPASVTSGGWLEDTSLVEKYEISEEAYNKRGDTFRKYREKLTSHAPSTVEAKTPDTDMEDICANIKVGSRCEVEPGAKRGVVKFVGQAEPLGPGFWVGVQYDEPLGKHDGMVKGVRYFQCPPSHGGIVRPEKVKVGDFPERDPFEEDEI >RHN65203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:216121:219397:-1 gene:gene13021 transcript:rna13021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S4/S9 MVHVAFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARTLLTLDEKNPRRIFEGEALLRRMFKHGLLDETQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGLPGRVKRKNLKAAAKKASGGDGDEEDED >RHN52983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40840765:40841315:-1 gene:gene37725 transcript:rna37725 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MDCKKALAETKGDLEKAQSYLRTKGLSSADKKSGRLAAEGRIGKYIDDARIYVLIEVNCETDFVGTEAACPQVQFVSIEGILETIATKEKELEM >RHN47520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40289013:40289364:-1 gene:gene42133 transcript:rna42133 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPNVALIHCWNRFEKSNLFNKYGKLFKFSSNLSYEVNDGFGLDLRYQIVFISRSPVLMC >RHN75163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39894512:39907937:1 gene:gene11325 transcript:rna11325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TRAPP II complex, TRAPPC10 protein MANFLAQFQTIKNSSDRLVISVEDVSDLWPTVKPAFEARLPFKRASLNNKTRNPVLVDKLPAEFILTTDSRLRSRFPQEQLLFWFREPYATVVLVTCEDLDEFKSILKPRLKLIVQNDEREWFIVFVSKAHPANDQANKMAKKVYAKLEVEFSSRKRERCCKYDMHFPEAHFWEDLESKIMECIRNTLDRRVQFYEDEIRKLSEQRLMPVWNFCNFFILKESLAFMFEMAHLHEDALREYDELELCYLETVNMTGKQRNFGGADHGDDQAAIINPGNKALTQIVQEDSFREFEFRQYLFACQSKLLFKLNRPIEVASRGYSFILSFSKSLALHERILPFCMREVWVITACMALIEATTSNYSDGLVAPDVEKEFFCLLGDLYSLARVKFMRLAYLIGYGTDIERSPVNSASLSLLPWPKPAVWPSVPADASAEVLEKEKLILQTTPRIKHFGIQRKPLPLEPTVLLREANRRRASLSAGNALEMFDSRQGPMEGSGFDASPKMSPQKVLSGSMPRTNSSPGNFDSSISRPMRLAEIYIAAEHALKQTISNLEMLKSLSSSEEFEKKYLELTKGAADNYHNSWWKRHGVVLDGEIAAVAFKHGHFDQAAKSYEKVCALYSGEGWQELLAEVLPILAECQKILNDQAGYLLSCVRLLSLEDGLFLTKERQAFQAEVVRLAHSEMKDPVPLDVSSLITFSGNPGPPLELCDKDPGILSVTVCSGFPDDITLDSISLTLMATSNADEGAKALKSSTAIVLHPGRNTITLDLPSQKPGSYVLGVLTGQIGQLRFRSHGFSKVGPTESDDVMSYEKPAKPILKVSKPRALVDLDAAVSSALLINEHQWVGILVRPLNYSLKAAVLHIDTGPGLEIEETHIIEMESYAGVSENDDVEVQKDGAQIDSLNSEKKFERSNLNDGKIVFPNWASDTPSILWVLIRAISDTLNRGSSSVTTRRESIVDGMRTIALKLEFGAFHNQIFERTLAVHFTHPFYVRTRVTDKCNDGTLLLQVILHSEVKAVLTIYDAWIDLQDGFVHSGQTEGRPKSSFFPLIISPTSKAGILFSIFLDKTNAEEAMKQPESILNIKYGISGDRTIGAHPPFINESTGVDGARQELIFKSVIVLQRPVLDPCLAVGFLPLPSDGLRVGQLVKMQWRVERLKDLNEREISEQNDEVLYEVNANSGNWMIAGRKRGHVSLSKNQGARIIITVLCMPLVAGYVRPPLLGLPEIEEANIRCKPSGPHLVCVLPPTLSSSYCVPVNS >RHN60924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31617047:31618344:1 gene:gene23320 transcript:rna23320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MFVHLTSSKLIATIANTRTLPQLLLCVRHHSSTKLFIAGLSYNTNETVLRDTFEQHGQIIEVKVICNHRTGESKGYGFVRFNSETAAATARKELHGQIVDGRRIRVGYAHKG >RHN82191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51837144:51839218:-1 gene:gene6331 transcript:rna6331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MIRYFFIWMKSICSGMLFVFLVSYKYSALTSEASSRNIINKEYKPYQGKKMDSGEQSCEEWSSLSGFYTAEEADFMGQLLNNFQVSEHHYGNFNLEIPSTSWPGHESKIVSMNSSSHFPQNADNSNTDVFSFLQSSSISDTSNIFHNTNGGNICLNDHVANIGYMNANISTYSVQGNDSQQITENTSEEFGQDVSESAKEAIKNNLEKSGKRSRSSMKVQKNKRNVKSRKKPKSAFKSNADEDESHDLQEQNLSSEDDDFNASQKLNAGTSSILNQNDSPDLKLKGKSRCNGGSASDPQGVYAKKRRERINERLKILQSLVPNGTKVDISTMLEEAVQYVKFLQVQIKLLSSDDHWMYAPIAYNGMNIGLNLNIIPTELP >RHN58047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43346223:43348950:1 gene:gene33653 transcript:rna33653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNSRCLLNSNSIVQSSSNSETREERVVSSRNRPQHASSPSFSVRMAMRISRSRWFTLLRRVFHYQNGSRSNLGSNPFNSSTWLMMEFIALIIQIIISTFTLVISKREKPVWPMRIWISGYDIGCVLNLLTVYGRYRQIYLIQGDALSLSDIEQQRNSGETSVYRMSHLMNKCRTSLEFFFAIWFVMGNVWVFDSRFGSFQQAPKLHVLCITLLTWNAICYSFPFLLFLLLCCCVPLISTLLGYNMNIASSNKGASDEQISQLPSWRHKEPHATKLELGNDSESIEKFINEDPECCICLAKYKDKEEVRQLPCSHVFHLECVDQWLKIISCCPLCKQGLER >RHN80700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40180227:40182929:-1 gene:gene4657 transcript:rna4657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSTFLFFLKQYYSLIMISFRIKKQIILIYLWLWWSTTTSLYVNATNDSLKPGDTFNSNSTLCSKQGKYCLELRRYLIVIDVNGTVVWFYDRNHPFDSEEDSTVLSLDYSGVLKIECQDRKPMIIYTSPQPNNNTVATMLDTGNFLLQQLYPNGTKSILWQSFDYPTNFLIPTMKLGVNRKTGHNWSLVSWLTPLLQTSGEFSLEWEPKQGELNIKKRGKVYWKSGKLKSNGMFENIPVNVQHMYQYIIVSNKNEDSFAFEVKDGKFI >RHN82646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55434337:55435344:1 gene:gene6822 transcript:rna6822 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRLFDCWSIIHHTKPPIPNFFLFLHLLPSTTSSYHHFLIQKIQKNSTFHVSTYENSTQQIKLRFLIKMLNPKTSTTTSLKKPKKSKNRESGEQYKLAAPPIHRILVKLKSLSKFSSSYTFLEEFSERHFFHL >RHN48556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48455964:48457746:1 gene:gene43287 transcript:rna43287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MVLQANINVPHFVLFPLIAQGHIIPMIDIAKLLAQRGVIVTIFTTPKNASRFTSVLSRAVSSGLQIKIVTLNFPSKQVGLPDGCENFDMVNISKDMNMKYNLFHAVSLLQKEGEDLFDKLSPKPSCIISDFCITWTSQIAEKHHIPRISFHGFCCFTLHCMFKVHTSNILESINSETEFFSIPGIPDKIQVTKEQIPGTVKEEKMKGFAEKMQEAEMKSYGVIINSFEELEKEYVNDYKKVRNDKVWCVGPVALCNKDGLDKAQRGNIASISEHNCLNFLDLHKPKSVVYVCLGSLCNLIPSQLIELALGLEATKIPFIWVIREGIYKSEELEKWISDEKFEERNKGRGLIIRGWAPQMVILSHSSIGGFLTHCGWNSTLEGISFGVPMVTWPLFADQFLNEKLVTQVLRIGVSLGVEFPLNWGEEEKLGVVVKKEVIKEAICNVMNEEVEESKERRERANELSEIAKKAVEKGGSSYLNITLLIQDIMQQQSNIKVET >RHN40607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18147447:18148867:-1 gene:gene46775 transcript:rna46775 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKYALIVGLWYPNNSNYPVNLNYREIDYLRSLETLTSLVTETPPYERHMSPTMEKIWIAVRAAFHKSHQPYERLESLRKAFEGQSQVEALALEELEVAIAAEALAFEEMEVAILAAIEKGRNKALALQKKEELEADRAVAADASRSDDERTGNEMT >RHN46904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35672370:35680053:-1 gene:gene41439 transcript:rna41439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MNNNNRGRYPPGIGLGRGGSGGGGGLTSNSNTGFQQRPHHQYQQQQQYVQRHMMQNQHQQHYQNQQQNQQQNQQQQQQQQWLRRNQLGGGTDTNVVEEVEKTVQSEANDSSSQDWKARLKLPPADTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFSIPALEKIDQDNNIIQVVILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCVLKDCSMLVMDEADKLLSPEFQPSIEQLIQFLPPTRQILMFSATFPVTVKDFKDRYLRKPYIINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPFIDQAIYCR >RHN47454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39884805:39886894:-1 gene:gene42060 transcript:rna42060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose mutarotase-like domain-containing protein MASFLSLSLPKLNLIKASSAATTTTPLSTPEALNDKFGRKGIKFLESNSIPIVELTVRNGSSLSLRLPDAHVTSYKPKVFWKDDGLEELLYTIPANETGLYKAKGGIGLVLNEVLQPGAKELLPSTLEWTVKDVDYDAIDALQVELISTNRFFDMTYIVSLYPVSMATAVIVKNKSPKPVTLTNAILSHFRFKRRGGAAIKGLQTCSYCSHPPLDSPFQILTPSEAMKSESQRLISFGAEPEMKPGSWTQQGVPITLLENKMSRVFAAPPKERTKAFYNTPPSKYEIIDQGREIFYRVIRMGFEDIYVSSPGSMSDKYGRDYFVCTGPASILEPVTVNPGEEFRGAQVIEHDNLS >RHN44500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5453910:5454332:1 gene:gene38628 transcript:rna38628 gene_biotype:protein_coding transcript_biotype:protein_coding MILNFCIDRFCGFQPIRIIIFFLYVSNFCMGRPFGFSVHRDALFLPKPPFPVCDLSSFFILTKYFLTASVFTGHTSLPSFFVLGIHCPWNSVNSDSITFEHKATLLTFMGTSLLLLKTCFMLLIQLSTPYGSNNFKFNQA >RHN62913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46733507:46738012:1 gene:gene25549 transcript:rna25549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MDLIHGLFILWITFILLNLRNVDGRNHLHTKQKKVSSLLPSNSSPSVPSDPYPNDPRDSSSDCIFDVTSFGAIGDGSADDTPAFKKAWKAACAVESGILLVPENYTFMITSIIFSGPCKPGLVFQVDGMLMAPDGPDSWPEADSRNQWLVFYKLDQMSLNGTGTIEGNGDQWWDLPCKPHRGPDGKTLSGPCGSPAMIRFFMSSNLYVNGLKIENSPQFHMIFNGCQGVMINMLSISAPKLSPNTDGIHVENSKDVGIYNSLISNGDDCISIGPGTSNVEIAGVTCGPSHGISIGSLGVHNSQACVSNLTVRDTVIRESDNGLRIKTWQGGMGSVSNLKFENIQMENVGNCILIDQYYCLTKECLNQTSAVHVNDVSYKNIKGTYDVRTAPIHFACSDTVACTNITLSEVELLPFEGELLDDPFCWNAYGAQETLTIPPINCLREGDPETVGDLSTYQCSN >RHN77506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7305439:7305860:1 gene:gene970 transcript:rna970 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVLSEILRSGFIIDSSLRRRTHLVQSFSCFFLYWFYVFS >RHN51930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28595036:28595284:1 gene:gene36503 transcript:rna36503 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRNKRIQVLMIGLRGTSLVRTMLFGSCVGSMLGIFMSLVTLPS >RHN80100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35101938:35115302:-1 gene:gene3983 transcript:rna3983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myosin ATPase MIQGMPENIIVGSHVWVADPELVWIDGVVLNINGEEAEIQTSNEKMVVSRLSKLHPKDTDAPTDGVDDMTKLDYLHEPGVLYNLKSRYKINEIYTYTGNILIAINPFQSLPHLYDANAMKRYKGERIGNLSPHVFAIAEAAYRAMITEEKSNSILVSGESGAGKTETTKMLMLYLAYLGGNTASEGRTVQQKVLESNPVLEAFGNAKTVRNDNSSRFGKFVEIQFDKYGRISGAAIRTYLLEKSRVCQISDPERNYHCFYLLCASPEEKEKYKLGDPRSFHYLNQSSCYELAGVNAAQEYLSTKRAMDIVGISQEEQDAIFRVIAAILHLGNIRFEKSEETDSSVLADKDSKFHLETTAELLMCDPNAVEVALCKRVMITPEEIIERSLDPVAATVSRDGLAKTLYSRLFDWLVQKINISIGQDSNSKWLIGVLDIYGFESFQTNSFEQFCINFTNEKLQQHFNQHVFNMEQEEYTKEGIDWSYLEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSTKLYQTFKDHKRFIKPKLARSDFNVVHYAGVVQYQSELFIDKNKDYVVPEHQVMLSTSKCSFVSGLFPPLSEETAKSAKFSSIGSRFKLQLKHLMEALNLTEPHYIRCVKPNNLLKPGIFENMNVMQQLRSGGVLEAVRIKCAGFPTHRTFHEFLTQVGILAPEILRGNFEEKESCKMILEKIGLTGYQMGQTKIFLRAGHMAELDALRAYMLSNSATIIQKHTRTHFSRKTYTTLRKSSIFVQSICRGELARRQYYHMKRKAATIKIQAYTRGRLARKCYSEIKISVVVLQSVFQAMAARRARDKFRHIRQTRSSTIIQSYWRRHKAPVDYQNLKKASIISQSVNHSINEHEKKVVEISVENESTAMEESSNSLHKESSSSFQDNESIQAIKDFSSPLRDTESNEAIRDFSSPLRDTEKIEALSSPIIVALSLALYSSFLFYLLLVLSYTCLYCK >RHN80212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36158395:36160614:-1 gene:gene4111 transcript:rna4111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-S seed storage protein, plant MRKFSLSLLSLSLLLFTCLATRSEYERFNQCQLNNINALEPDHRVEHEAGLTETWNPNHPELQCAGVSLIRRTIDPNGLHLPSYSPSPQLIFIIQGKGVLGLSVPGCPETFEQPRSSRSRQESRHQEQQQQPDSHQKIRRFYRGDVIAIPAGTPYWTYNHGQEPLVAISLLDTSNFVNQLDSTPRVFYLGGNPEVEFPETQERQQGRQQQRPSFPGRRGGRQQQEEGSEEQNEGSSVLSGFSSEFLAQALNTDQDTAKRLQSPRDQRSQIVRVEGGLSIISPEWQQEDEEYERSHEEEEDERRPRHIRRPGHQKPSEEEQWETRYPRHSQEERERDPRRPGHSQKEREWDPRRPGHGQEERERDPRHSGHSQNGLEETICSLRIVENIARPARADLYNPRAGRISDANSLTLPILRNLRLSAEYVLLYRNGIYAPHWNINANSLLYVIRGQGRVRIVNCQGNAVFDDNVRRGQLLVVPQNFVVAEQAGNEEALEYVVFKTNDLAAVNHVKQVFRATPREVLENAFGLRPRDVTQIKFSGNRGPLVHPQSQPQS >RHN48550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48375791:48377814:1 gene:gene43280 transcript:rna43280 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDFEPHMFHHSSDIQQDYRMENMSKKWWCVMQVFQQGFFLLTSSYYIRVRVWAHVMVVNMVMDERSVGKDSYRYIYIMNLKQQSSMSRLLHIFKILFRFVGLFSLRLIRFVSALFERC >RHN73366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15696738:15699367:-1 gene:gene9168 transcript:rna9168 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRACSSELVLVIKVSFLGTFSLMQSWLHQVPIFLQIFQFLRGRCYCT >RHN70708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53357819:53360231:1 gene:gene19319 transcript:rna19319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MANNTHHVIIDIATTSETTTLPRHQLHDVDDDGRLHVSLQTSNHSSSPRRGGGGGGRNSRSPLNSGFWISFEFIFTLSQIIASVIVLISSRDEHPRAPLFAWIVGYASGCVVSIPLLLWRYFVRDQNSSQTNSRTDDPSGTLLSNSTAIHGEDDVPVVAVASSRNSRASSRSMSGRPKLLMEYFKTTLDLFFAIWFVIGNVWIFGGHASANDAPNLYRLCIVFLTFSCIGYAMPFILCLTICCCLPCIISTLGVTEDLTQTRGATSESINALPTHIFKTMKSKSNDESDNIPVVIEGGIVAEGTEKERMISGEDAVCCICIANYENDDELRELPCSHLFHKECVDKWLKINALCPLCKSEIGENVTEPVIEENANQQRGESRDENGIASTSS >RHN80515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38748110:38753366:-1 gene:gene4452 transcript:rna4452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Granule-bound starch synthase 1, chloroplastic/amyloplastic MATMRGSSVGACLNFQGRRAEPKVNLTQLTLHNNQAFTYVGLRSLNKLHVRSTARAATSSSSKTSEKSGSGKFNGKIVCGGMNLVFVGAEVGPWSKTGGLGDVLGGLPPVLAGNGHRVMTVSPRYDQYKDAWDTDVSVELKVGDRIETVRFFHCYKRGVDRVFVDHPLFLEKVWGKTESKLYGPKTGTDYKDNQLRFSLLCQAALEAPRVLNLSSSKYFSGPYGEDVVFVANDWHTALLPCYLKSLYKSRGLYKNAKVAFCIHNIAYQGRHAFSDFALLNLPDEFRSSFDFIDGYTTPVKGRKINWMKAGILESDRVFTVSPHYAEELVSGEDRGVELDNIIRSTGITGIVNGMDNREWSPQTDRYIDVHYDATTVTEAKSLLKEALQAEVGLPVDKSIPLIGFIGRLEEQKGSDILVEAIAKFIDQNVQIIVLGTGKKIMEKQIEQLEVTYPDKAIGVAKFNGPLAHKIIAGADFIIIPSRFEPCGLVQLHAMPYGTVPIVSSTGGLVDTVKEGYTGFHAGAFNVECETVDPDDVEKLATTVNRALKTYGTLAMQEIIQNCMAQDFSWKGPAKLWENALLSLEVAGNEPGIDGEEIAPLAKENVATP >RHN54503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10111856:10112519:1 gene:gene29558 transcript:rna29558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane transport protein MKCTLNRDRGSICKDKDPDVYYQYGIAYASLSMAASGLKGASTPFWTIIGIIAVRYIFLPISGILIVKGATHLGLVQVDPLYQFILLLQYALPPAMSIGTIAQLFGAGESECSVMMLWTYALASIAVTLWSTYFMWLVS >RHN63906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54958147:54960440:-1 gene:gene26662 transcript:rna26662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MLLVTSSPSPSILHFLPASDPPYKILEQHPYLNLLEKCKNINTFKQIHSLIIKTGLNNTVFVQSKLIHFCAVSPSGDLSYALSLFEENQQHHKHNVFIWNSLIRGYSLSSSPLSSLHLFSRMLYYGVQPNSHTFPFLFKSCTKAKATHEGKQLHAHALKLALHFNPHVHTSVIHMYASVGEMDFARLVFDKSSLRDAVSFTALITGYVSQGCLDDARRLFDEIPVKDVVSWNAMISGYVQSGRFEEAIVCFYEMQEANVLPNKSTMVVVLSACGHTRSGELGKWIGSWVRDNGFGSNLQLTNALIDMYCKCGETDIARELFDGIEEKDVISWNTMIGGYSYLSLYEEALALFEVMLRSNVKPNDVTFLGILHACACLGALDLGKWVHAYIDKNLRNSSNASLWTSLIDMYAKCGCIEAAERVFRSMHSRNLASWNAMLSGFAMHGHAERALALFSEMVNKGLFRPDDITFVGVLSACTQAGLVDLGHQYFRSMIQDYGISPKLQHYGCMIDLLARAEKFEEAEILMKNMEMEPDGAIWGSLLSACKAHGRVEFGEYVAERLFQLEPENAGAFVLLSNIYAGAGRWDDVARIRTRLNDKGMKKVPGCTSIEIDGDVHEFLVGDKFHPECNNIYKMLNEVDKLLEENGFVPNTSEVLYDMDEEWKEGALSQHSEKLAISFGLIKTKPGTTIRIVKNLRVCGNCHSATKLISKIFNREIIARDRNRFHHFKDGFCSCNDCW >RHN49819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1436110:1439124:1 gene:gene34041 transcript:rna34041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MSKKEHIYVDVLKTHLEKVYSISEVLYNIIIHKYLLAGKSLNLDLFLEFILKTLMIKSFGELGLDGPTRQNLRLRDSSISIMNSMRLIHILWCFNLYVACTFIQVSSEKNGNSNSSKCVYPAIYNFGDSNSDTGTVYATFTGVQSPNGISFFGNISGRASDGRLIIDFITEELKLPYLSAYLNSVGSNYRYGANFAVGGASIRPGGYSPFHLGLQVSQFILFKSHTKILFNQLSNNRTEPPLKSGLPGPEDFSKALYTIDIGQNDLAYGFRHTSEEQVQRSIPEILSQLSQAVKQLYNEGARVFWIHNTGPIGCLPFNYFSYEHKKGNLDANGCVKPQNKIAQEFNKKLKDQVSYLRRKLLQAKFTYVDMYKAKYELISNARSRGFVSLLDFCCGSYTGYRINCGTKEIINGTVNRNPCTNPSQHIIWDGIHYSQRANQLIAEKIIHGSFSDPPVPIGNACF >RHN49986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2899222:2900289:1 gene:gene34232 transcript:rna34232 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKSRHASENRATIFSFHNITIWSHFSTSILSDFKGCYSKTLSFSHIELVKLILMDSGFIIEHLLRSCCEGDLLKPWLYNDISSDLLLLENQLPFFVIEKIYSISLTVPNTMIHSFLKLTIAYFQCFNKPKLGFDNNDISIMHFNDLIRIFHLKHPIESRPSREQIDEQIIHLPSATELLEAGVRFKVNTKSECLHDLRFSGGVLEIPQLTVQDGTEILFRNMVALEQCHYPYQSYIIDYHFILDYLINTSTDVDILVRSNILQNWLGDSDSVAILFNGLGENITNSNISSHFSILCKELNAYCRNPWHRLKAALRRDYCNTPWHTAASIAGIFLLVLTIIQRVCSVLQVVQAS >RHN76631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51835887:51838010:1 gene:gene12985 transcript:rna12985 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPSPIYILQTKESSRNVFPSIIFGSTASSTETSIPHSKDIAFAV >RHN38439.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000015.1:23637:26470:-1 gene:gene50739 transcript:rna50739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MLHIGEIPISLFNISSLRVISLLGNNLNGILPHETCNQLPQLKSFFLHNNYLEGTIPRSIGNCTSLQELYLYNNFFTGSLPMEIGHLNQLQILQMWNNNLSGPIPSKLFNISTLENLFLGQNSFSGMLPSNLGFGLPNLRVLRMYGNKFVGKIPNSISNASNLVAVSLSDNELSGIIPNSFGDLRFLNYLRLDSNNLTLMDDSLEINFLTSLTSCKHLTHLDVSENILLSKLPRSIGNLSLEYFWADSCGINGNIPLETGNMSNLIRLSLWDNDLNGSIPGSIKGLHKLQSLELGYNRLQGSMIDELCEIKSLSELYLISNKLFGVLPTCLGNMTSLRKLYLGSNRLTSSIPSSFWNLEDILEVNLSSNALIGNLPPEIKNLRAVILLDLSRNQISRNIPTAISFLTTLESFSLASNKLNGSIPKSLGEMLSLSFLDLSQNLLTGVIPKSLELLSDLKYINLSYNILQGEIPDGGPFKRFAAQSFMHNEALCGCHRLKVPPCDQHRKKSKTKMLLIISISLIIAVLGIIIVACTMLQMHKRKKVESPRERGLSTVGVPIRISYYELVQATNGFSETNLLGRGGFGSVYKGMLSIGKMIAVKVLDLTMEATSRSFDAECNAMRNLRHRNLVQIISSCSNPDFKSLVMEFMSNGSLEKWLYSNNNFLDFLQRLNIMIDVASALEYLHHGSSIPVVHCDLKPSNVLLDEAMIAHVSDFGISKLLDEGQSKTHTGTLATLGYVAPEYGSKGVISVKGDVYSYGIMLMELFTGKKPTNEMFSEELTLKTWISESMANSSMEVVDYNLDSQHGKEIYNILALALRCCEESPEARINMTDAATSLIKIKTSFIP >RHN66618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15159642:15163605:1 gene:gene14651 transcript:rna14651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zein-binding domain-containing protein MASRSFSHFVEEALGKFPHLVIYIFLEWVLIFILFLDGFLAFIANEYARFFELKIPCWLCTRFDHAMVHRNPDFYYNESVCEAHKKDMSSLAFCHNHKKLSDIRKMCEGCLLSFATEKESDCDTYKSLVGILHKDLECFVEDGQPIQLSLKDDDGLMMQLDRNSTQKCSCCGKPLKVKSSSPYIAKARHSEARAPTPSPRAFPFSSSKNDHPHSLDLPHIGYTPLKFMSPNDSEHLEEDDGHHNVKMREDIKTTVPLLADGDDIHDDSSKFTPTFTRGNKFFGIPLSDSTNNSPRWSYRFNKKSPLEKTEFASDSHEVMNSVQNDFDDAIVNNLKRQVRLDRKSLMALYMDLDEERSASAVAANNAMAMITRLQAEKAAVQMEALQYQRMMEEQAEYDEEALEATNDMLIKREEEIRALEAELDFYRNKYGTLIEESGGGNTPSYRLNEARGEDFKDIKADKTYLLGRMKKIENRSPFSETGSYSLHSDSDSVNNIDSETDKGSEA >RHN58420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1591384:1595716:-1 gene:gene20330 transcript:rna20330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MQRSRGRFTSTKSNLDESASAEMSCEVKSEESADGFQNGVQNKRVRYNVRREVALRMQRNKGRFTSAKSKNDESASAEMNCGTSEGLMADNDGSQQQDNVCRQCNISEKCTPMMRRGPEGPRTLCNACGLMWANKICRKNGRY >RHN48555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48415977:48451739:1 gene:gene43285 transcript:rna43285 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISIPAPEAIQVLLSLLADDSSSVRKSSMSSLNDLAALNPVLVLECCAAVSRGGRRRFGNIAGVFQVMAVGVRALDERDVDSAFMAKLAKIATSEMISSKELNSDWQRAATSLLVAIGSHLPDLVMEEIFLHLTGTSSALQAMVQILAEFASSSPLLFIPRWKGVLSRILPILGNVRDMHRPTFANAFKCWCQAAWQYSTDFPSNLPQDGDVMSFLNSAFELLLRVWAASRDLKVRVASVEALGQMVGLITRTQLKAALPRLVPTILELYKKDLDVAFLATCSLHNLLNASLLSESGPPMLDFEDLTLTLGTLLPVISMNSESKDQTDFSVGLKMYNEVQHCFLTVGLVYPDDLFLFLVNKCRLKEEASTFGALCVLKHLLPRLSEAWHGKIPLLVEAVKSLLEEHNLGVRKALSELIVVMASHCYLVGSSGELFIEYLIRHCALTDKNQSDLDSIPNKRIEMKIGAVTPGELRTVCEKGLLLVTITIPEMEHILWPFLLKMIIPQTYTGAVAMVCRCISELWRHRSYGSDMLSECKTRPDIPTAEELLARFVVLLHDPLAREQLATQILTVLCLLAPLFPKNINLFWQDEIPKMKAYVSDTDDLKQDPSYQDTWDDMIINFLAESLDVIQDADWIMSLGNVFAKHYELYTSDDEHAALLHRCLGILLQKVNDRAYVHDKMNWMYKHSNIAIPINRLGLAKAMGLVAASHLDTVLEKLKDIIDNVGQTIIQRFMPDLGLSVTRILSLFSDSYRTVESDDIHAALALMYGYAAKYAPSSVIEARINALVGTNMLSRLLHVRHPIAKQAVITAIDLLGNAVINAAESGAPFPLKRRDQLLDYILTLMGRDDNDGFVDYNELLRTQALAISACTTLVTVEPKLTVETRNYVMKATLGFFAIQNDPVEVVTPLIDNLISLLCAILLTGGEDGRSRAELLMLILRQIDQFVSSPVEYQRKRGCLAVHEMLLKFRMVCVSGYCALGSHGNSAHTKQIDRTLYGNFSKLPSAFVLPNREALCLGDRVIMYLPRCADTNSEVRKISAQILDQLFSISLSLPKPPGLSISAEDIELSYRALSSLEDVIAMLRNDTSIDPSEVFNRIISSLCILLTRDELVAMLHGCSVAICDKIKQSAEGAIQAVVEFVTRRGSELTEIDISRTTQSLISATVHATDKHLRVETLGAIACLAESTSAKTVFDEVLATAGKDIVTKDISRLRGGWPMQDAFYAFSQHMVLSVLFLEHVISVISQIPILKCDVDRVEDSQVHNHTEDGKLEAAIFALTAFFRGGGKVGKRAVEQNYASVLSELMLQLGSCHGLASSGHLEPLRNLLTAFQAFCECVGDLEMGKILARDGELSENERWINLIGDIAGCISIKRPKEIQNICQFLKRSLDRPQKYQREAAAAALSEFVRYSGGLGSLLEQMVDVVCRRVSDESSTVRRFCLRGLVQIPSIHILKYTAQVLGVILALLDDSDESVQLTAVSCLLMILESSPDDAVEPILLNLLLRLRNLQTSMNAKMRASSFAVFGALSNYGIGELKEAFVEQVHAAIPRLVLHLHDEDVSVRLACRNTLRRVFPLMEIEGLLALLNTPSFLSDHRSDYEDFLRDIAKQFTQHLLSRVDTYMASTVQAFDAPWPIIQANAMYLSSSMLSLSDNQHILADYHTQVFGMLVGKMSRSPDAVVRATCSAALGLLLKSSNSCSWRAVHLDRLDSSHSTIRNHDTESMIIN >RHN70192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49514680:49519380:-1 gene:gene18748 transcript:rna18748 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTTRRSKQSSPIDSSPSDANEKQHYEERIRELERENKAYQTEIEELKKQKGNDSSASNDGVEKLKKDYLQKLNLLEDQVSELKKKLGSQSQFSTHRKKADESTKQLQYEIQSLKAQKVQLQCKIKLESVRFRLCKALLEKEVLQLKKEGRRNEIKAHSLRTSNQMLKMVLQRKTEEASAAIKRLRDMIAARKAILNRSSGSKNKNGQVIQDAEHELEATTQLHKLCSQYESNIEKMIEEIAQLKEEVEMHREERSRSQSQEEDLNSPEKDFDDIQDLKEQVNNLSGILKELQLRKEKHDSREMKQDLDLLLSEESNDKIKLDIPGMSGSTENSVKRERAREGLCCSCSKKSLCKTTKCQCRSTGGSCGPSCGCTGSKCTNREPLKSVAENELPKSEDSECSTNKDGGVIASECAKLLQSALVEKPASRRDNQGPKKKPLCDIQNSLVGNIDAQKQGRKKNTRKPVIQLVTKDPMSSTPEK >RHN48643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49152382:49159787:-1 gene:gene43382 transcript:rna43382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MASRSYSWNVSMGRSRRYGQHRSWPSMSFNQVWESHVFNTTGGDIQEEKEEELIWAAIERLPTFDRMRKGVLNLMHDDGKIVQCPIDVTDLGVEDKKILLESMIKCVEDDNEKFLRGLQDRVNRVGIEIPKIEVRFENVSVEGNVHVGNRSLPTLLNATLNAFENILGLFPLAPSKKKIVRILKDVSGIIKPSRMTLLLGPPGSGKTTLLKALAETLDRDLRVSGKITYCGHELNEFVARRTCAYIGEHDLHYGEMTVRESLDFSGRCLGVGTRYEMLEELLRREKGAGIKPDPQIDAFMKATSLSGQEASLITDYVLKLLGLDICADTKVGDDMRRGISGGQRKRVTTGEMLVGPAKVLFMDEISTGLDSSTTFQITKFMKQMVHILDVTMVISLLQPAPETFELFDDIILLSEGQIVYQGPRENVLQFFETIGFKCPPRKGVADFLQEVTSKKDQQQYWFRRDKPYKYVSVSEFVDSFDSFHIGEQLVTELMVRYDKRQTHPAALVKEKFGISKWEILKACISREWLLMKREYAVFMFRFTQLAVVAILVATLFLRTDMPFGSIEDGQKYFGALFFTLMTMMFNGHCEQAMIVDKLPVFYKQRDFMFFPAWAFGLPQWLIRIPISFIEPTIWVLLTYYTIGFAPSPSRFFRHYLLCVSVHNMAVALFRLVGAIGRTQVVSNILAGMAYQIIFVLGGFIVSRDDIKPWMLWGYYVSPMAYGQNAIPNTDPRIDATTVGQVLLKARGFYTQDYYFWICIGALFGFSLLFNLLFILALTYLNQTTCSFNQEQRTGMVLPFRPLSLAFNHVNYYVDMPDEMKSQGINEDRLKLLHDVSGAFRPGILTALMGVSGAGKTTLMDVLAGRKTGGYIEGSINISGYPKNQTTFARVSGYCEQNDIHSPYVTVYESLLFSAWLRLPSDVNKQKRKMFVEEVMELIELIPIRDALVGFPRVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVLLLMKRGGQIIYAGPLGEQSHKLVKYFEAIEGVPKIKVGYNPATWMLEISSSSTEAQLNVDFAEIYANSTLYRRNQELIQEISTPTAGSEDLFFPTKYSQPFFMQFKACFWKQYWSYWRNPPYNCARFIFTISIGLLFGLIFWNKGETFQKEQDLSNLVGAMYSVVMILGTINVMGVQPVVAMERIVLYRESAARMYSELAYAFGQVAIEIIYNLIQTAVYTTLIYFMMGFAWNATKFLFLYYFLSMCLIFLTLYGMMTVALTPSYQLACIFGPVLMSIWNLFSGFIIPRMKIPIWWRWYYWASPNAWAVYGIITSQLGDKIAEIEIPGVGYMGLKEYLKQTYGFEYHFLSVVAIAHVGWVLLFLFVFAYAMKFLNFQKR >RHN71555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1021923:1022165:-1 gene:gene7168 transcript:rna7168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVRRRISRSASAVDRISNLPDGILIHILSFLTTKESVATSILSKRWIHLWHHVPNLIFPEINLNDIQSIYTFNKFVFSVF >RHN56838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34108378:34114940:-1 gene:gene32297 transcript:rna32297 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGQEYVWMGSIPLQIHLHESEVTTLPPPPPALVLAPRMGYLPLLISLLKPHFCTTLPPGVDTIWFEYKGLPLKWYIPTGVLFDLLCMEPERPWNLTVHFRGYPSNLLLPCDGEDSVKWSFINSLKEAAYVINGNCKNVMNMSQTDQVELWGSVLNGNLESYRRVSSKLKLETIEDFVPKKETIEDEHTENIDSVSNKSEQSTGDAEAAGQVKTSRIPVRLYLWTVNNDFDDFEDAPNIDNWDKVSYINRPVEIYKEDDKYFSLNDAVKRLLPEYFPESSFVNEEDANINQSTEEGENSSDPASSSHPLEIAEIKCVRIQGISDLPLEIPFSWVVHNLMNPEYFLHMCVCLKVSEANPVQ >RHN59149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7908324:7909559:1 gene:gene21140 transcript:rna21140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase, beta-propeller MDIPSNNHQLDVEEKQVMSKKLEKQVDDPKEEEKSKNEEEDMQNNATFPVHSIDNGNGKRYANMVSDSNLLSDHLGRDISIHCLLQLSRSDYGSIAALNKSFRSLIRSGELYKLRRKAGIVEHWVYFSSEALEWEAFDPNRNRWMHLPIMTCDQCFTLSDRESLAVGTELLVFGKELMAPIIHKYNFLTNMWSVGKMMNTPRCLFGSASLGEIAILAGGCDPRGSILSSAELYNADTGNWETLPNMNKARKMCSSVFMDGKFYVLGGIAADKKTQLTCGEEFDIKNKKWREIPNMLPVRTGVSETPPSFGSPPLIAVVKNVLYAADYGKQEVKKYDKDNNYWVIIGSFPEQATSVNGWGLAFRSCGDKLLFLGGRTMEINAWIPNEGEPQWNRLAGKQSGSYVRNCTVMGC >RHN40999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25588778:25593116:1 gene:gene47266 transcript:rna47266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MAILSIMFFVVNLLFFSIKISSETDIITQFLPLHDGSTLVNGAFELGFFSPGSSTNRYVGIWFKTIPLKTVVWVANRDNPISDKSGILSVNKEGNLVLLSKNGTTHWSTNVTTKPSTSSFIAKLLGTGNLVVNNEKDHSDHGFYLWQSFDYPSDTILPGMKLGWNLTSGLNRQLTAWKNWDDPSSGQTTYGYIRSDNPESEIRNGSSMIYRSGPFNGIRFAATQKLKHVPLFNVDFVYKKDEYYFSYEPKNRSLLSRIVINQTISTLQRLTWSEENQRWMFYLNVPREECDIYNRCSSFGICAMTGKSSMCDCLTGFTPKSPRKWSEKDWSQGCVHNETWRCREKNKDGFIKFQHVKVPDTKQVWIDRSMTLEKCKGKCWENCSCTAYANTNILGNGSGCILWFGDLLDLRELPDAGQDLYVRSPVSETDKVAKTQGNRYGSRKMVVVVSCTTSLIAMLLIFITFFCWRRKTKSKLREETRIMKTKVKRNEMKQEDLELPLFDFGTVTFATNDFSNDNKLGEGGFGPVYKGTLPDGQDIAVKRLSHTSTQGINEFKNEVVFCSKLQHRNLVKVLGFCIEEHEKLLIYEYMPNKSLDFFLFDSSRSKVLDWYTRFNIIGGIARGLLYLHQDSRLRIIHRDLKASNILLDNDLSPKISDFGLARMCGGDQIQGTTSRVVGTYGYMAPEYAIDGIFSIKSDVYSFGILLLEIINGKRNKGLSYSNHSYNLIGHAWRLWKECIPMEFLDTCLEDGSYIQSEVLRCIHIGLLCVQQYANDRPTMTSVLVMLTSDSTLPQPKEPIFLTDEFTSEQKISYSTNEVSITMLEPR >RHN66646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15619995:15624761:-1 gene:gene14684 transcript:rna14684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CRK7-CDK9 family MTMAGPGQLNVIESPSRGSRSVDCFEKLEQIGEGTYGMVYMAREIETGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSPGPEKDDQGRPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNEHNANLTNRVITLWYRPPELLLGTTRYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEVNWPGVTKTPWYNQFKPSRPMKRRLREVFRHFDRHALELLEKMLTLDPAQRIPAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQNEENAKRLKMQHPQQHTRLPPIQQGGHAQMRQGPNHLIHGSQPAGAAGPSHHYGKPRGPSGGPGRYPPGGNPSGGYNHPNRGGQGGGAGYGSGPYPPQGRGAPYGSSGMPAGTGGGPRGGGGFGAPNYPQGGPPYGGSAAGRGNRNQQYGWQQ >RHN51286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15827574:15827897:1 gene:gene35702 transcript:rna35702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSLWVLLFIRCSTFTILSDVGHITHTCKSTAPTSLLLDELIVEFLSRLPVKTLMQFKCVCKSWKTLISHDPSFAKCIFSDRGTHTSHWFHTRPCLRTSLILVSYLSQ >RHN58922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5931167:5935248:1 gene:gene20878 transcript:rna20878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BAG domain-containing protein MNNTAVSDTYGASPPDTKSEIDGVGGPTIKISVSYGSSLHEIHLPAQSTFGDLKKLLVPKTGLEPEQQRLFFRGIEKDDKEQLHQEGVKGKSKILLMERAASKEKKLEETRKLNEMSKATEAINGVRAEVDKLSDRVAALEAAINAGKKASEKEFLLLTELLMSQLLKLDGIEAEGEAKLQRKAEVRRVQGLVDMVDSLKARNSNPYSQSGNPATGTTNWETFDTGIEGSNDDPSTTSSSTKVSEDWERFD >RHN67579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28380004:28380748:-1 gene:gene15779 transcript:rna15779 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGSPPSNMEDTTQETLNHDEHTHDTMPQNIQPLVDDIIDESRNVDFNHSQEHTIMENSIEKSNIYRNINSSESSEDSSTHQINQETTSEATKQINEMETTENMDEESRDLADDKVTF >RHN70009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47976279:47978400:-1 gene:gene18547 transcript:rna18547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MFMATQNCSKCVMLVTLLVTILFVHPTFSTSRGSLNHHQISQGGFRITLRHVDSGKNLTKLERVQHGINRGHSRLQRLNAMVLASSTTEDSSQVEAPVHAGNGEYLMELSIGTPPISYPAVLDTGSDLIWTQCEPCSQCYKQPTPIFDPKKSSTFSKLSCSSNLCNALPSPTCSNNGCNYVYSYGDYSMTQGILGSETFTFGDDKKNQVSVKNIGFGCGEDNEGKGFEQASGLVGLGRGPLSLVSQLQEQEFSYCLTSMDDTKQSVLLLGSLPNVNATKQVTTPLITNPLQPSFYYISLEGISVGDTKLSIEQSTFEVSDDGSGGVIIDSGTTITYIEENAFDSLKKEFTSQTKLPVDKSGSTGLDVCFSLPSGKTEVEIPKLVFHFKGGDLELPGENYMIADSSLGVACLAMGASNGMSIFGNIQQQNILVNHDLQKETITFIPTQCNKL >RHN47548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40519733:40520249:-1 gene:gene42162 transcript:rna42162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MCRGCDASIHIDSKNRTNSEKENDANETVRGYDPMDERKETIEVICPLTVSCADIITLARTDVLALSGGPKYNVPTKLIDQKLTLDKSTSLFVSNFASNGEKFVNNFATAMIKMGKIGLLIGNEGEVRKNCRVFNKLN >RHN59094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7425206:7426810:-1 gene:gene21082 transcript:rna21082 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKISLSHAKLHLISIVLFNFQITLNPKPLTQFLLISRPAKQSPLSLTWNTIILR >RHN60453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26970910:26978820:-1 gene:gene22765 transcript:rna22765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MFKKTMTHIVKIQYNLTYPRLSRNVKKLSNGNRSFCSCSLKKGKVALITGGASGIGEATARLFTEHGAQVIIADIQDDKGYSVCKDLHKSSASYVHCDVTKEKDIENAVNTTISMYGKLDIMFNNAGIVGANKTNILEYKLSEFEEVINVNLVGVFLGTKHASRVMIPARRGSIINTASVCGSIGGMASHAYTSSKHAVLGLMRNTAIELGPFSIRVNCVSPYIVATPMAKNFLKLDDEGLLGLYSNLKGTNLVPKDVAEASLYLGSDESKYVSGHNLVVDGAVSVSNNGFSVNSFGTPCASGVCFWRLIYISFLIVQKKRFSFIHYW >RHN60989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32084991:32086315:-1 gene:gene23395 transcript:rna23395 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDELELECSIDDPSISIPRGGPIFVSNMSGPIVRVPLFQDSILTQLHSLQSELPPDSNHDISVDDLKVFTEDDLMDMALKQVFQGRDNNQDPPNAELNIGFGCRGQKKQLRRKSRLTNKPILDSNCKEKVEEVVRIKQKQEEDKAQVRLHSFQLLSFFSPDCRINKSANKSIKTQRMMSLRSTSSARKVNTLGLQEHIPVQDSEVVLSVEIYHNFRKGVKLSNAKKKTQELLVLGG >RHN68743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38214153:38215841:1 gene:gene17131 transcript:rna17131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative threonine--tRNA ligase MAAHAKDEAHLNATIPKHIRLFEAIHLELQTRQLSLSHDPIKSPGTKHGCKLCIGPCTTTENGFYYDAIYCNLGLKDYQFKIIESGAFKVVVEKQPIEVTRDQALEIFSDDKFKASAFTLLFLTLALLSFAPNDYIVEIINNLAPDETITVYRCGPLVDLYRACLEASSAYWRGGKNRKSLQSVYGISYPDQKSLKVISFHMLFFSKNHSAMHNHKLCCDELGV >RHN59683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12641130:12642626:1 gene:gene21767 transcript:rna21767 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhD MTNYFPWLTIVVVFPIAAGSLIFLFPHRGNKVIRWYSISICLIDLLLTTYAFCYHFQLDDPLIQLTENYKWINFFDFYWRLGIDGLSIGPILLTGFITTLATLAAQPVTREYQLFYFLMLAMYSGQIGPFSSRDILLFFIMWELELIPVYILLAMWGGKKRLYSATKFILYTAGGSVFLLMGILGIGLYGSNEPTLNFETLTNQSYPVALEIILYTAFLIAFAVKSPIIPLHTWLPDTHGEAHYSTCMLLAGILLKMGAYGLVRINMELFSHAHSIFCPWLMILGSIQIIYAASTSFGQRNLKKRIAYSSVSHMGFIILGIGSISDTGLNGAILQIISHGFIGAALFFLAGTSYDRLRLLYLDEMGGMAIPMPKIFTIFTILSMASLALPGMSGFVAELIVFFGIITSQKYLFMMKILITFVTAIGMILTPIYLLSILRQMFYGYKFFNTPNSYFFDSGPRELFISISILIPVIGIGIYPDFIFSFSVDKVEAILANF >RHN65140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64451058:64452773:-1 gene:gene28043 transcript:rna28043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MSRRTVPCSRSWSISEDSLKRYVQFASESCIQELLAASDTNRGNSNNDEWKVLTFDNGVEISKRRSGSFHTFRSRWVLRSVSPQQFITVANAIDAAKQWDSDLVEARYIKEIEDNLSIIRLRFGDNSKPLFRNREFIVYERRQTMEDGTVVVAVASLPKEIAAGLHPKQNNSIRGLLLQSGWVVEKLEDNSCAVTYVVQLDPAGWLPKCFVNRFNTKLVMIIENLRKLAQACPNEAENLPLCKVAGVRKDQCNQALSN >RHN75888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45919640:45922279:1 gene:gene12146 transcript:rna12146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MGKKAPLLIMLLILCHGVSMIMGMWEAEDRENGPSTPDNKLFLLQNSKLVVKTDAGEMRVLESHGGRISERRLHVGFITMEPSSLFVPQYLDSTLIVFVHTGEAKVGFVNEDELAERDLKKGDVYQIPAGSAFYLLNTGEAQKLHIICSIDPSESLRIGIFQSFYIGGGAPVSVLSGFEPRILESAFNVSGSELKKFFTRKHEGPIVHVGHSHASASSIWTKFLQLKEDDKLNHMKKMMQDQEEDDVEEEVKQTTNWPWRKLLESVFGDEIENMKKDKVAHKSPRSCNLYDRKPDFKNSYGWSVSLDGSDYSPLKSSGVGIYHVNLKPGSMMTPHVNPRATEYGIVLRGSGRIQIVFPNGTNAMDTHIKQGDVFFIPRYFAFCQIASSNEPLDFFGFTTSAQKNKPQFLVGATSLMKSMMGPELAAAFGVSEDAMQNILNAQHESVIVPAPRVAPALQAGQ >RHN61371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35221771:35222450:1 gene:gene23831 transcript:rna23831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dCMP deaminase MNSREVTLVATATASGALASAIALHFFYRSQTHSSKTNPSQNGTISSSRVRSSGDPFDPTKRKGYLSWDDYFMAIAFLSAERSKDPNRQVGACLVSQDDIILGIGYNEFPRGCSDDKLPWAKKSRTGNPLETKYPYVCHAERLYVTMFPCNECAKIIIQSGVSEVIYFVEKKLENSDVTYTASHKLLSLAGVKVRKLQPVMSEIHLKYEDH >RHN41947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34270397:34274809:1 gene:gene48323 transcript:rna48323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELRKLINAPAYIECSSKSQQNVKAVFDAAIRVVLQPPKQKKKKSKAQKACSIL >RHN46381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31169741:31180958:-1 gene:gene40863 transcript:rna40863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mRNA (guanine-N(7)-)-methyltransferase MTISMDLNASPLPEEDEDPFEGQVEEYNAPKKDQIEEYIAPEEQIESGADIARREREERKKRLKRERPDDRPVQVSQPPGYDNFFHNKILKSYDRSKLPPGWLDCPSSGQEIFGMIPSKVPLGESFNDYILPGKRYSFRQVIHQQRVLGRKLGLVIDLTNTSRYYPVSDLKKEGIKHVKIQCKGRGSVPDNSSVNHFVYEVRQFLSRQKHSKKYILVHCTHGHNRTGYMIVHYLMRTMSMSVTQAIKIFFDARPPGIYKPEYIDSLYKFYHEKKPETIVCPATPEWKRSPELVDLNGEAAPDNDDDDDDGVAGPPLQENHEIDTIMTNDDVLGDEIPNDQQDAFRQFCFQILKLGTGARGHMQFPGSHPVSLDRENLQLLRQRYYYATWKADGTRYMMLITMDGCFLIDRNFNFRRIQMRFPCRVANDGLAEKTHHFTLLDGEMIIDTVPNSKKQARRYLIYDLMAVNFVSVIERPFCERWKMLEKEVIEPRNHERYHRKHPYYIYDMEPFRVRRKDFWLLSAVTKLLNEFIPKLSHDADGLIFQGWDDPYVPRTHEGLLKWKYAALNSVDFLFEIVDDRRLLFLYERGKKKLMEGFIVAFEEGSDPMLYSGKIIECAWDGDRNEWIFKRVRTDKSTPNDLNTYKKVMRSIKDNITEDVLLTEINEIICLPMYADRIRIDSKGHHVVRRK >RHN45623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23834266:23840127:1 gene:gene40002 transcript:rna40002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetylornithine transaminase MSTHHIYANSTTYQSSSSFTNTKLTHFNNNNHFSPILHYPRSLLPPTASLKVDVGAPNTIVEDSVKKTKEVIDEEAKYIVGTYARAPIVLEKGKGCKVYDVQGNEYLDCSGGIAVNALGHGDDDWLKAVVDQAGLLTHVSNIYHSLPQVELAKRLVASSFANRVFFANSGTEANEAAIKFSRKYQKHTCTDGKVPATEFLAFSNCFHGRTLGALALTSKVQYRTPFEPVMPGVTFVEYGNAPAAVELIQQGKIAAVFVEPIQGEGGIYSATKEFLQSLRNACDETGALLVFDEVQCGLGRSGFLWAHEAFGVFPDIMTLAKPLAGGLPIGAVLVTEKVASAINYGDHGSTFAGGPLICNAALAVLNKISKPTFLSDVSKKGLYFKELLKQKLGGNRHVKEIRGVGLIIGIDLDVSATPLVDACRDSGLLVLTAGKGNVVRLVPPLIITEQEIEHAADILSQTLHVLDANN >RHN80469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38339474:38340237:1 gene:gene4401 transcript:rna4401 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPQLGEAGLTIESGSISLSTYEIIATLLMIILSHRDNVKAIQSSNHI >RHN39012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3550247:3553643:-1 gene:gene44997 transcript:rna44997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP cyclohydrolase I MGCFDEVCYNGELENGVSLFGCSEVEDEANTVAIEDAVKILLLGLGEDINREGLRKTPHRVAKALREGTRGYRQKVKDIVEGALFPEAGIDNNKIGHAGGAGGLVIVRDIDLYSYCESCLLPFQVKCHVGYVPSGQRVVGLSKLSRVAEVFAKRLQEPQRLADEVCSALQQGIQPAGVAVVLQCTHIHFPDIETIFLESSLKGGAKIHVSSGSGVFENKNADVWADFFYLIKSRGIDMENIHAQGLPDQCWCPSLSTKVSSKTGSINPAMVTAVFSILKSLGEDSVRKELAGTPNRFVKWLLNFQSIDMDVKLNGSVCGGIKPLNAAGEVVNNDKQIYTELNLPFWSQCEHHILPFHGVVHIGYFLSEGFSPIGKSLLQSIVHFYGFKLQVQERLTKQIAETISPLLGGNVIVVVEASHTCMISRGIEKFGSSTATIAVLGCFSTDIASRILFLQSIANATSSGG >RHN55272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16634997:16636134:1 gene:gene30424 transcript:rna30424 gene_biotype:protein_coding transcript_biotype:protein_coding MERDWANLDSLALNMIVEKLIEPIDHIWFGSVCKNWHSIANLNHRHDHQFRSNVMPMLTIPSKKSPEKRCLYSIPANRVYPLESTMLNNKRCCGSSHGWLATLDEEDVITWVNPFKDVAPISLPPIDNYMVCKDYDFNVHKVTLSVDPITSPNDYVVAGIYTTRSSLAFIKAGQEFWTYIQDTDHFGFIDITFYKGLVYALWMVRRFINRVKNINKGTNSLHVFKLELNDKGDKLMHLSKLESLGDNVLFVGDGDSMSVSTSYFSSYLQKDSIYYSDNYYNEVPVPYPRGPFDMGIYNIKHGSFGVHCPYKSYFKGMAPPIWVVPPFQGN >RHN42858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41488605:41488976:1 gene:gene49355 transcript:rna49355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycoside hydrolase, family 5, glycoside hydrolase superfamily MVQGAEAVHAANPNILIILSGLNFDKDLSYIAKRPVNLTFKGKLVFEAHWYAFTDGQAWVSGNPNQVCGQVAGNMKRMFGYLVDQGWPLFVSEFGVDLRGTNVNDNRYLSCFIAYAAELDLDW >RHN65386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1653350:1654436:1 gene:gene13218 transcript:rna13218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I reaction centre subunit N MSFIGQTMLMALTVTVNKYTSSNVQAVHNRKQANPTLRSTNMEFGRRGLVLSIVIAATATQDPESRTLLLQKYLKKTQENKEKNDKERVDSNYKRNYKDYFEFIEGGLQAKEEGKLSEAEKGILDWLKANK >RHN66045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7926196:7934368:-1 gene:gene13956 transcript:rna13956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVQVSDEDDPTATRTRRQRSNGTLTYPPEPELPTLPFDLLPEILCRLPVKLLIQLRCLCKFFNSLISDPNFAKKHFQFSAKHHRLMVTSRNNRHDFVLHDSPIPSVFSTSTSVTQTQLDPPFTLARRNYVNATAIAMCSCDGIFCGELNLGYCFVWNPSIRKFKLLPPYKNPLEGDPFSISFGYDHFIDNYKVVAISSKYEVFVNALGTDYWRRIENIPYYYYILQPGIFVGGTVNWMASDIADLLFILSLDLEKESYQKLFLPDSENENDWWSRNLSVLMLRDCLCVYEASDLFFNVWIMKEYGNQESWTKLYSVPKMQHRRFKAYTISYIYEDDQLLLGIVDMQSSNTKLAVYDSKTGTLNIPEFEKTYEPIYSNVYIESLISP >RHN72776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10623145:10626769:1 gene:gene8530 transcript:rna8530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SNARE associated golgi family protein MMTPEPSRELEKLEITGHHVRGSTEYVRLAISDEPRVAEAEMLQPQAESRITSFKWWMKVSIWGFIIVILSLLLVKWGVPFAFEKVLYPVMEWEATAFGRPVLALVLIASLALFPVLLIPSGPSMWLAGMIFGYGLGFVIIMVGTTIGMVLPYLIGLKFRDRIHQWLEKWPQNAAMIRLAGEGSWFHQFQVVALFRTSPFPYTIFNYAVVVTDMKFWPYFCGSVAGMVPEAFIYIYSGRLIKSLADAQYGRHHMTTVEIVYNIISFIIAVITIVGFTVYAKRTLNKLKIAEANEEAASVSGNASLEMKKVSP >RHN39686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9562428:9567339:-1 gene:gene45733 transcript:rna45733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase WNK-NRBP family MNSGPVLALHPSDNIFRTREPLDFEEDFVEKDPTGRYVRYNEILGRGAFKTVYRAFDEVDGIEVAWNQVRIDGLLHSTDDLAKLYSEVHILKSLRHENIIKFYDSWVDDKQKTVNMITELFTSGNLRQYRKKHKYVEMKAIKGWARQILQGLAYLHGHRPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAIVMQQPTARSVIGTPEFMAPELYEEEYNELVDIYSFGMSMLEMVTLEYPYNECNNPAQIYKKVTSGIKPGSLSKVSDPQIKDFIEKCLVPASERLSAEELLKDPFLQIESPKGPFLTPIKTPTATDMPKSGTPSMDIDAEYKQFYGSMSTYAESNQGSPHYPVFEVQKTNKNNEFRLKGTKNDDNSVSLTLRIADTSGRVRNIHFLFYLDADTAVSVASEMVEHLELADHDVAFIAELIDYLILKLLPWWKPSPDHNSTGEVSLCSGTTNVDCQTLMSSPWSSILTNVPSEKVSGQDVFSEFDTISREGFETAGKSCLNTDNATSEGAYDASHCLVNSEDQHSQGSGASEIVVDDASVKTDNSHVSKVMGVGFFKCLIRSIPEVEVGNRYFEDCKLQAADYNVGEGNVISESSNVLSLISSCCSSVSSTEKDNDHELKLELDEIESQYQHWIEELTRMKLEALEATRSKWMSKKKIAVH >RHN73630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18357361:18358109:-1 gene:gene9466 transcript:rna9466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like jelly roll MKMILTIFFIFSLLSLAHASVVDFCVADYNAPNGPAGYSCKPPSKVTVNDFVYHGLAAAGNTTNIIKAAVTTAIDAQFPGVNGLGISIARLDIAVGGVIPLHTHPGASEVLVVIKGTISAGFVSSDNVVYLKTLHKGDVMIFPQGLLHFQINVGGSNALTFNSFSSANPRLQILDYALFESDFPTKLITATTFIDPAVVKKLKGILGGRG >RHN74330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31896658:31900022:-1 gene:gene10371 transcript:rna10371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family METKGGEVRRLHIIYFLSHMGGHAEHPHLIRVLHLARNGVYLRDIKRWLGEVRGRDLPESFAWSYKRRYKSGYVWQDLMDDDLITPISDNEYVLKGSQIHTTPFETQSINSTINEKKTDTDEAVQVEEDKQKQERKPSLSEEESEIQKETDSKKNDLNHVSSEISQDSLVFSSDRSSVTDDDSSFKVEEEKLLGNGKEGCLSEKNHDKLDSFSFPSLYHNLMSKKGKKDGQNNKTDTTTPPDSTFSTPTSSTSAQSQSSFTKIRSNSVRVTSVFRNWIGCGTVATNDAAFVSMNPAKKIAPKELTNMPEKRAEICKGDKLGGSARCFGTPWNYHDQNEHNGARKSCDGDEIIKSRKKLSELMSQTSYKPFGGPICSQCGKSFKPEKMHKHMKSCKGMKGMGTSATTIAEETQLHRSTSSSKDYLLQN >RHN54757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11916257:11919984:1 gene:gene29839 transcript:rna29839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartate--tRNA ligase MPWGTSLFIKYINRTLPKPAKLDFSFTVVIPNSQLSLYLCFHNRREYALSSVRSKLPQSFSMSSPESKEPASAPSLTKSSESISKKAAKLEKLRCCQQMASAAPTSASNLSADPLATNYGDIPLKELQSKTPVHPVPRTQVEALDDSLVNNSCLVQTQPDSVSEQMVKFAKTISRESVVDVHGVVSIPAAPIKGTTQQVFISFLGVMTILCCVVLFVIGGKSSKLYFVSRADPVLPFQIEDASRSEAEYDRASQAGEQLVHVNQDTRLNFRVIDLRTPANQGIFRIADNVEHVKHFNSISIANVILMSLHSRQFLRAENFISIHTPKLIDGSSEGGASVFKLNYKGHPACLAQSPQLHKQIAICGDFNRVFEVGAVYRAEDSFTHRHLCEFTGLDAEMRIDCHYFEIMDIVDRLFVAIFDCLNQKCKKDLEAVRNQYPFEPLKYLRNTLRLTYEEGIEMLKEVGVEIEPFGDLNTEAERKLGQLVLEKYEKEFCILHRYPLAVRPFYSMPCSDDQNYSNSFDVFIRGEEIISGAQRIHEPKLLEERTQACGINVDAISYYIEAFRYGAPLHGGFGAGLERVVMLFCGLDNIRMTSLFPRDPKRITP >RHN68863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39178359:39179631:1 gene:gene17275 transcript:rna17275 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPSLSVPIPLWSSLVHVRSCVLILQMTMIIGNIKRLNKTTESRSVFNPFFFPFKLIWQFIPLQHFNDLIPYSCKHKSLHVCLEILWLLLHLEGIIITFSVVYLTC >RHN77492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7208207:7208893:-1 gene:gene955 transcript:rna955 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNQHQQHFHMCHFEMLGIWLPLISKYQCHYNDPNYLLQSVKEEL >RHN50809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10699392:10702942:-1 gene:gene35144 transcript:rna35144 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLSPVLRRELENLDKDADSRKSAMRALKSYVKDLDFRAIPMFLAQVSETKETGTVSGEFTISLYEVLARVHGVKIVPMIESIMQSIIQTLASSAGSFPLQQACSRVVPAVARYGIDPTMPEDKKKHIIHSICKPLSDSLTSSLDSLSCGAALCLKALVDSDNWRFASDEMVNRVCQNVAVALEGKSTQTNLHMGLVMSLAKHNSLIVEAYARLLIQSGLRMLNVSGESMDGNSQKRFAAIQMVNYLMKCLDPRSIFSEVEQVIEEMEQCQSDKMAFIKGAALEALQTAKKVANDKKLRGMKSPASVTGSNFSRRDFMDGDSSSGDGDRTPTSDSPESRTLEYFPGYGSLAESPISTCQSIHNLNYSRRSVNRKLWSLENGGVDVSLKDGLFSSAEERNGMDHTFLNGGDLTEEFAFIPRSPRHGISRSTNTSPLRSHPRVNVDSIQIFDTPKRLIRSLQDPTDESSDCSEKPVRRYKSLSSGNIDWSPSAYSKYDQSGLANHDKYDGKEYGSSCGDGQYQGESESVSSTDELPADADNQRLSEEVRESRKDPQIVRMEKPIQTTKRRFVCGLSFVILAVATPLIWLNSQEEAHFLVPT >RHN72342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6927650:6928304:-1 gene:gene8043 transcript:rna8043 gene_biotype:protein_coding transcript_biotype:protein_coding MNDMDVSCSSLLLIEDSADSEGELIGFFTLYPAANYFGHKEDDAESCTYECDNDGMCSIVKENEDDKGSGEFCCFSSTMWLSDATMEIECSSSPLLVDDDEEETRLVNVNLDDVDDKLFWEICIEVGYP >RHN77081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3856085:3856792:-1 gene:gene495 transcript:rna495 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEFGLFLHFFSLMECGILIVRFCTVISGPEILEILFRSKPRSVKC >RHN49499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55218101:55218517:-1 gene:gene44337 transcript:rna44337 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta'' MTSKVLVSEDGMFNVFLPGELIGLLRAERTGRALEEAICYRALLLGITKTSLNTQSFISEASFQETARVLAKAALRGRIDWLKVLKENAILGGMIPVGTGFKRIMHRSRSRQYNKITLKIKIIRSRNSKSFVPSQKII >RHN78889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19596789:19597766:1 gene:gene2556 transcript:rna2556 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFIALNFGLKGLLVLESIYVGGWFFRCALASCFNGFVDGLARKGNYSLLLFGRELQDLESLLCWQMIHTPV >RHN63673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53099973:53103349:1 gene:gene26401 transcript:rna26401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRVKLKIKKLESTSNRHVTYSKRKSGILKKAKELSILCDIDILLLMFSPTGKPTLLQGERSNMEEIIAKFAQLSPQERAKRKMESLEALKKTFKKLDHDVKIQDFLGSNSQTVEDLSHQVRVLQARLAEIQQRLSYWSNLEKINNLEHLRQMEDSLRESINRLSIQKENLEKHQLMSLECVNQLPEGMSLPLMMSGLQESQPLSWLLSGDNHQLMLPSEPKFMPFSDNGNRDVECSTDISLPSYSGYTGNCKLEVGSSPHVTTLGQGGGTLNELNGNPSPYLNVQQCQQFAYPPPQDLEEVKHHQAINSKSNNVDYQVNNNYDLPKSLFENGHQFWNSTNGSCGIAMYNENGYHR >RHN79381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28243122:28243555:1 gene:gene3165 transcript:rna3165 gene_biotype:protein_coding transcript_biotype:protein_coding MSINQGSCRGFILLYSNPKIYLWNTSTRVHRQIPSLPPNHSRLPSYGFGYDESTDDYLVVSVSYDYIPSSYDVISHLAIFHCELMCGRKLWILPVCLFMVGERKLLEIS >RHN57677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40949674:40956363:-1 gene:gene33256 transcript:rna33256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligomeric Golgi complex subunit 5 protein MASPAAARSPATTVTTPLQRLSTFKHPPTTTASSALDSLSTDPIFSSFLSPSFSSTTFSAAALSSGSPASTAEKLHHAIGLLENQLRTEVLSRHDELLSQLSSLHHADHALSTLRSALSSLQSSLRRLRSELSDPHRSIASKTAQLTNLHRTTELLQHSVRALRISKKLRDTMAGEIEKVDLAKAAQFHSEIISLCNEYDLTGIDVVDEEIRWVKESGDRLRKEAMKVLESGMEGLNQAEVGTGLQVFYNLGELKVTVEQVISKYKGMGAKSVSVALDMKAITGSSGSGFGPGGIRGTGTPQIGGGGKAREALWQRLGNCMDQLHSITVAVWHLQRVLSKKRDPFTHVLLLDEVIQEGDPMLTDRVWEAIAKAFASQMKSAFTASSFVKEIFTMGYPKLYSMIENLLEKISRDTDVKGVLPAITSTGKEQIVSAVEIFQSAFLGHCLSRLSDLVNNVFPMSSRGSVPSREQISRIISRIQEEIEAVQMDARLTLLVLREIGKVLLLFAERAEYQISTGPESRQVSGPATPAQLKNFTLCQHLQDVHSRISSMLKGMPSIAADVLSASLGAIYGVACDSVTSLFQSMLDRLESCILQIHDHNFGMLGMDAAMDNNASPYMEELQKCILHFRSEFLSKLLPSRKTATPGVENICTRLVQSMASRVLVFFIRHASLVRPLSESGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPLIFLETSQLASSPLLQDLPPNVILHHLYTRGPEELQSPLQRNKLTPLQYSLWLDSQGEDQIWKGIKATLDDYAANVRSRRDKEFSPVYPLMIQLGSSLTEKTKASSNS >RHN46709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34221562:34225184:1 gene:gene41229 transcript:rna41229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MNKYFVCVPLFLFLVLAIELVLAADFKPTDKILLNCGGPAVSTDPDGREWTTDNGSKFGSSAVKSTTSQAATQDPAVPQIPFMTARVFQSPYTYSFPVASGWKFLRLYFYSASYGGLNASDARFGVTAQSYTVLRNFSVSETALGLNYDYIVKEYCIHVDEGTLNVTFTPSANASKAYAFVNGIEVVSMPDIYTSTDGTTMIVGTGTTFTIDNSTALENVYRLNVGGNDISPSKDTGMFRSWTDDVRYIYGAAFGVTETADPEVKLEYPPGTPSYIAPSDVYVTARSMGPNPNISLNWNLTWIFSIDSGFSYLVRLHFCEGTTTITKVNQRVFDIFLGNQTAQDGADVIAWADSFDLPHSNGVPVHKDYVVFAPNGPPQQDLWLALHPNTASKSNYYDAILNGVEIFKISDSKGNLAGTNPPPPQVQDLIDPSLARKSSKSKSHTGIIAGGIGGGVALLLLIGLFAFGTSRRRRNGKDSSTSEGPSGWLPLSLYGNSHSAASAKTNTTGSYTSTLPSNLCRHFSFAEIKAATNNFDESLILGVGGFGKVYKGEIDGGSTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENTEMILVYDHMAYGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDNTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPALNPTLAKEQVSLAEWAAHCYKKGILDQITDPYLKGKIAPECFKKFAETAMKCVNDQGIERPSMGDVLWNLEFALQLQESAEESGNGFGGICGEDEPLFADSKGKKGVDVLPGYDGNVTDSKSSGMSMSIGGRSLASEDSDGLTPSAVFSQIMNPKGR >RHN72031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4517225:4520407:-1 gene:gene7698 transcript:rna7698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative periplasmic binding protein-like I MNFYAQTWNSVPNLLLLLPLIISLLQLQNLANSSQNTTSVGVIIDVNSERGKQQRTAMQIAAQSFNNYSNTQTITLLFCDSGRNPLQSASTAEELITKEKVKVIIGMETWQEAAIVADVGAMFQVPTISFSSPLVPSSLTQTRWPFLIQMAQNQTAQINFISGIIHAFNSQKVIAIYEENPYNSDFGMLSLLSEALQKVNSQIEYQLVLPPFTSLSDPKGFVLDELLKLLRLKSRVFIVLQASLPMVIQLFREANKIGLLEKESTWIINEEITSMLDYVDKSVLSSMEGVLGIEHNYSSSSSAYGQLQESFQAENTKTVESKLGSNVLLAYDSIKIVTKALEKMNTNSSSSKMLLEEMLSANFNGLIGDIRFKKGILSYIPMLRVIKVVDNDKKHMELDILTPKFKFARSLRENTCDGGKESLNDSVPKTWKVPTDTNPLKVGIPMHATIDNFLKVSENQPPTGFCIDLFKEIREILSDKYSGLHYKFYPLNGSYDTILFKVMDETYDAFVADVTILAKRSRNVSFTQPYTESGLSLIFPAETEDSAWLIMKPFSWEIWIATIGILIYTMIIIWFLEHHLNPEFGGPVKTQISTTMWFAFSSLFFAHKEKINSNSARVVVGVWLFLVFVLTSSYTASLSSLLTVQKLRSDRDVEWLKQNNLSVACEDGSTFIKDYLVQVHNFPRHQFVEFKDEDDIVDKFKNKKISAYIVESPYAKTFLNKYCKGYTATTAAYKFGGLGFVSAYNFFFGMFTITKCFYFISFLITKSSPFHSGTFLLVLSIFCKYL >RHN64098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56560364:56561757:-1 gene:gene26879 transcript:rna26879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycoside hydrolase superfamily MTYDLFISDRYQTQTQPPAPLKNPTGQFSVDEGITKWIGLGVPKSKLALGVPFYGFKWSLSDPNNHEIFDQATQGLGAVKYKDIINVGVQVVYNSTYVTNYCFIGTDWYGYDDTQSISAKVDYAKQNGLFGYFAWHIEQDSNWALSQAASQAWEA >RHN50801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10632026:10636899:-1 gene:gene35135 transcript:rna35135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucose--hexose-1-phosphate uridylyltransferase MSSSSTSKNQNSPQLRKDIIFNRWVIFSPARSKRPSDFKSKSSSSSSSNPTGVRCPFCIGNEHQCAPEIFRVPDNNPNWKIRVIENLYPALSRELADPVDETGSASSVLDGFGFHDVVIETPDHDVVLSDLEREGIGEVFVAYCDRILELGKRNSIKYVQVFKNHGAAAGASMSHSHSQMIALPIIPPSVSARLGSMKEYFDQTGKCSICEIQQEDLLIDSSTYFFSLVPYAASFPFEIWIVPRYHSAHFHELDAEKAADLGGLLKQMLRKISLQLNDPPFNFMIHTSPLHGDESELAYTHWFIQIVPQLIGTAGFELATGCYINPVFPEDAAKILREVNV >RHN74407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32877231:32878535:1 gene:gene10465 transcript:rna10465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAIIKFIYTMFLFILLFVVPTKVDGRITHDPSTRSTVSGGFGKCVRDADCVDEVCSPGCNKRCVGFECQCPL >RHN56840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34121467:34121793:1 gene:gene32299 transcript:rna32299 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLSVRSLHLFWLSMPTLINSMLNTHEKREKMSDVVQGEYERKVEKSSILRDFGCPFQLHGIGSLSLNCGIKCTDDGMHYDGAVYEAELHIDMMFSALLIESHQKL >RHN44601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6674953:6681340:-1 gene:gene38739 transcript:rna38739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MGRKKNEDCRKHVTEGVENGHNYWICNYCNEKYSGGASRIEAHLGLNGKGGGIKPCSKYHEGVYNNMASTSSNPPLQVVEGVPQVIGTHIRSLLNHQNNAEIMNLSEGMNSSGCNISVYESEINQLKQLVIDLERDENDIAKQLQSLESRGKKRKPEVDEWLEELLNLKESANEMNSSDDIHEINHFIDNMKRHKKKKPFTLSTEFVGGRLDEKIHKVLKQLDDDKVFVIGIYGMGGVGKTLHATLVENEVKRKATFKHVFWVTVSHNYSILKLQHNIAKRIGLKLDEDDEKVRADNLSLALEKKGKSILILDDVWKYIDLKEVGIHPKVNGIKVILTTRLKHVCHQMDCQPCAILQIDPLDGHNEDWELFMLKLGHDGTPKIFPNEIEKIARCIVERFKGLPLAINVMARTMKGVDDFHQWKHALNKLRKLEMGQEVEEEIFKVLKRSFDNLMEKNLQNCFLYCALLSTGTLIEKDELIMKLVDNGQINGSMCLEEIFVEGNTILNKLESHSLISLYGDSVATHQMVRNMACYILKQSKRDAIVKFKDCVTEIPLSHEWAANLELVHMWGCDIGPIPEGMSPNCPNLSTLIINRVSISHVPESFFKYMNSLSILDISYNKRLESLPNSVSELRSLITLVLKSCYSLKHVPPLGELQALSRLVISKTSIEEAPQGLEKLINLKWLDLSSNECLKDTRSFLSNLTKIQYLNLQHTNALIKVEDIQRMNMLECLGGGFDCKDHNQYMQKNLDMSFGPKSYILTFASVWGEDGVSLIRFDSDDPETKTMQFGDCDHFSHILPNDLTCLYIDDNNWVCLCAALSYNTASSLRQIGTYDCNQMESLFCLSGFCSFCTMIHNLEVLDLQRLESLTIVCKEVFGVRQSLTQGGIFSCLKKFNIYHCHLIEKLFRPQLVQQLQNLETIDVQHCYSMKEIFVVSNSDDNDQSIISLPKLTRLTLYYLPELKIVCKGSISCGSSPKVDIHDCPNLERYPTIQRC >RHN63889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54820702:54821915:1 gene:gene26644 transcript:rna26644 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMIPIQACAGSGHRIPDPDRRRNSSSTNWWTPLFGMSSEPDYIDSDNKAPKSESDPSSKPSQLRFSGGLTEEKAKQLRKMTVGTESFHDTMYHSAIASRLASDFKARSDL >RHN65243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:505352:506410:-1 gene:gene13062 transcript:rna13062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative quinoprotein amine dehydrogenase, beta chain MNNIEDNCPFSWDMLDVISRDLDFDDLFQFSGVCKNWREFHKTYWKKFLASQEPLLIKKSYHDKKSFSFISIADRKVYHSKTINQFWHFAYFGSSSGYLIMRGDNNSFLLLNPFTRRKKVINTSTFKVNSTYFAYHVLLAFGKGSEEFVLVASCKSSSSLYVYQSRNLGWVTYLTMGNAWKVVDFVVFHNTIYVVTNEAIIGVLDLNSSNIKFLEMKSMPDVTSMSHLRLVCCDGQLLVVHIESEEILNVYKIDFSTMNYVKLETLGDIALFYASGEYFYALNNPRRWGFESNSLYAINLSSTTCKVCLGDDNRLPKYIKHDRTRVPPTENAYLLDWCFRHQQYEVDFSPDE >RHN64547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59956800:59958365:-1 gene:gene27372 transcript:rna27372 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFSFAKEDTQAIWSKVVFPLAIRVLLPCIVGRVRKKITSLIISYVIHLLRFTFCVTFAMYMSFLAKITHQHFTEEAASST >RHN55771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23488152:23488580:1 gene:gene31019 transcript:rna31019 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQADARSVHVPKHTESARAVAHNLDDDVCGTCSLSVYHQYLALDGIYRPIGAEFPNNPTRRQCLVVRLGTSTTRLSPSLAPLSKGLGPNSPLRTLLQTTIPTPRATDSHGGLIPVRSPLLRESLLVSFPPLIDMLKFSG >RHN55295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16872965:16873426:-1 gene:gene30448 transcript:rna30448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MCSINSAEKEDIYQFGVILLEVITGKLITSSIEVEVLKYELERGLSEVASPIALKSAIDPSLHGTYTHESLKTAVQLTINCLNKVPGNRPSIEDVIWNLQYSVQVQEARSSKTST >RHN58852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5447850:5448347:1 gene:gene20806 transcript:rna20806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MKLGLIISSLLYFVILMLIQNQGCNGCLEKERIGLLEIKHYILSQDEGYSYHSTEEYSYNIKELGSWVDDRDSNCCSWKRVKCSNTSSGHITELSLYLLLFETPDSKMLNVSLFRPFEELRLLDLSYNSFQGWIGNEGTTLALIYKISLLNLYKLKKFFNFYLLC >RHN82470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54023994:54029243:1 gene:gene6639 transcript:rna6639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MSGKEENRIFVGGLGWDVTERQLEHAFDRYGKILECQIMMERDTGRPRGFGFITFSDRRGMEDAIKEMDGREIGDRIISVNKAQPRMGGDDADQRYRGGFSSGGRGSYGAGDRVGQDDCFKCGRPGHWARDCPLAGGDGGRGRGGGSFSSRPRFGGDRLGERERYIDDRYDGGRYGDRDRLDNRDYKYSGRDRYASDRYTTSGDRSASDRYGSGSDYPQNGYGKERGYDRNGGARGVADRYGSGMPARDEGRSYRGRPGPYDRPSRAARPPSFDRY >RHN46620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33355365:33355993:1 gene:gene41131 transcript:rna41131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wound-induced protein, Wun1 MDSMVEMQNKSIVEILYKALLGQGTMEMVAKLLASDLEYWFHGPPKCQHMMKVLTGETNHKKGFKFEPRSVTSIGDCVITEGWEGQAYWVHVWTLKNGLITQFREYFNTWLVVRDLRPLRWEDHKQDNMTLWRSQPRDLYRRSLPGLVLAI >RHN62346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42789511:42793316:-1 gene:gene24909 transcript:rna24909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MTWCNDSDDQDRGIELITSTVIPGTSNESTLVTDDETKPTEVRTLTCPSCGHNIEFQDQGGINELPGLPAGVKFDPNDQEILQHLEAKVLFDVPKLHPLIDEFIPTLEGENGICYTHPEKLPGVKKDGQIRHFFHRPSKAYTTGTRKRRKVQTDEEGSETRWHKTGKTRPVFIDGVMKGFKKILVLYTNYGRQKKPEKTNWVMHQYHLGSNEEEKDGELVVSKVFYQTQPRQCGGNNSNIIKVTTSYENELMINQGNVHDDNEAPPMEYYNPFINYDHVGHNLGSSESQFIPNLVMQGDGSSFIRLAMDANKAGLSRN >RHN63667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53054568:53057077:1 gene:gene26394 transcript:rna26394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MAPVWSSCSINLKSPSYFTSPIAIAIAIHLRHYNTTIPQPYQHHPQKLISFFNLNHKESIISIIKSINHTTHLLQIHAHILTTTLIQDSSVSHHFLCRVALSGPLQNPNYSLRFFQQINPPFVSHYNTMIRAYSFSESPQKSLFLYRDMRRIGIAANPLTSSFVVKSCIRFLYLLGGVQVHCNVFKDGHQSDTILLTALIDLYSQCQKCDDACKVFDEMPQKDTVAWNVMISCYIRNNRTRDALRLFDVMQTQGYGCEPDDVTCLLLLQACARLNSLEFGERVHGYIMERGYGGALNLSNSLITMYSRCGCLDKAYEVFMGIKNKSVVSWSAMISGLAVNGYGREAIEAFEEMRRNGIQPDDHTITGVLSACSHSGLLDEGMSFFDRMISEFRVTPAIHHYGCIVDLLGRAGFVDKAYQLIMSMEVKPDSTVWRTLLGACRIHGHVTLGERVIEQLIELKAQEAGDYVLLLNLYSSAGHWEKVAEVRKLMREKSIQTTPGCCTIELKGIVHEFVVDDISHSRKIEIYRTLDEINKQLKIAGYVVELSSELHKMDDKGKGYALSYHSEKLAIAFGVLVTPPGTALRVASNLRICVDCHNFLKMFSAVYNRDVILRDHKRFHQFRGGHCSCTDYW >RHN80174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35847636:35848043:1 gene:gene4066 transcript:rna4066 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSIEPKRGKLWPSNSESNTRPTKQVRDQKSKTSKICLTKNMTRMVSNDGMKPHLAKEQLFAKRTRIFSDEGLGLEPINRGLKPHSTHSLNRSCLERKNLLKGKIKVETLNASRSSRLMLHLDILSYVL >RHN62036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40221298:40223301:-1 gene:gene24564 transcript:rna24564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEEKHRKFVCKYCFKRFPCGKSLGGHIRTHMTEERNNAAAIAAAGGDAAEHVAAGVGDGGNLIYGLRENPKKTMRFVHSHHHHYNHHAATAAATTLEQNEMIKFCKECGKGFPSLKALCGHMASHSEKEKKINKAMMEEDTQSDDTDDDDDDVCVAATTMNLRKSKRRIRFKSITLSNQQPSSSSVNGGSSSVSEMEQEQQEEVARCLMLLSRDFFGHKGRFVSESSDNNNEIVLETKSKIVIRNGNKFVANHAREFVAEKKKKLKMVGIGVSNNSDLRDFRYGSKKIKFVDSSVPNYEFKRPKVEADKSGFDDCRSKYNNNVTTIFKKSGMMNKDLDHDTKFDSRKKANSEGFSFSNKNKEIYENGSKSLKYEFFDYEKDNDISYDSTTDVESDEENSSESDSFPAAKSHNSKAVNRKKLSSSNKGKKKKKLKSKKSKEYECPICYKIFRSGQALGGHKRSHFVGGSEENTFVIKQAAAAVAVPCLIDLNLPAPVDE >RHN48645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49172949:49181227:-1 gene:gene43384 transcript:rna43384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MASVLAGDEVTISTSSRRSWASTSFRDVWTATAASIPDVFERSDRHTQEDDEYHLTWAAIERLPTFERMRKGVVKHVGENGKVVHDEVDVAKLGLHDKKILLDSILKIVEEDNEKFLRKLRDRQDRVGIEIPKIEVRYENLSVEGDVYVGSRALPTLLNVTINTLESVLGLFRLAPSKKREIQILKHVSGIVKPSRMTLLLGPPGSGKTTLLLALAGKLDRDLRASGKITYCGHELHEFVAAKTCAYISQHDIHYGEITVRETLDFSSRCLGVGSRYEMLTELSRREREAGIKPDPEIDAFMKAIALSGQKTSFVTDYVLKMLGLDICADIMVGDEMRRGISGGQKKRVTAGEMLVGPAQALFMDEISTGLDSSTTFQICKFMRQMVHIMDVTVVISLLQPAPETFELFDDIILLSEGQIVYQGPRENVLEFFEYTGFRCPERKGIADFLQEVTSKKDQQQYWFKIDEPYRYVSVPEFVDFFHSFHIGEEIAAELKVPYNKRQTHPAALVKEKYGISNWELFKACFSKEWLLMKRNAFVYVFKTTQITIISIITFTVFFRTKMPVGTVQDGQKFHGALFFTLINVMFNGMAELSMTVARLPVFYKQRDFMFYPAWAFGLPIWILRIPLSFLESAIWIVLTYFTIGFAPSASRFFRQFLALFGIHQMALSLFRFVAAVGRTLVIANSLGTLTLLVLFVLGGFIVAKEDIKPWMIWGYYISPIMYGQNAIAINEFLDKRWSKPNTDTRIDAPTVGKVLLKARGLYAEDYWYWICIGALVGFSLLFNFLFVLALTYLNPLGDSKAVAVDEDDEKNGSPSSRHHPLEDTGMEVRNSLEIMSSSNHEPRRGMVLPFQPLSMTFNHISYYVDMPAEMKSQGIIKDKLQLLQDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGNINISGYRKNQATFARISGYCEQNDIHSPHVTVYESLLFSAWLRLPSDVKTQTRKMFVEEVMELVELKPLRDALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRHSYKLVEYFEAISGVQKIKEGYNPATWMLEVSSATIEAQLEVDFAEIYNNSTLYQRNQELIKELSTPAPDSNDLYFPTKYSQSFFVQCKANFWKQNLSYWRHSQYNAVRFLMTIIIGLLFGLIFWKQAKKTKTQQDLLNLLGAMYSAVLFLGATNSATVQPVVSIARTIFYRERAAGMYSALPYAFGQVAVETVYNAIQTAIYTLILYSMIGFEWKVANFIWFFYYILMCFIYFTFYGMMLVALTPDHVVAGISMAFFLSFWNLFSGFVIPRMQIPIWWRWYYWASPVAWTLYGLITSQLGDKNTELVIPGAGSMELKEFLKQNWGYDHDFLPQVAVAHLGWVLLFAFVFAFGIKFFNFQRR >RHN82545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54657418:54659300:1 gene:gene6716 transcript:rna6716 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MKVKCCDGVVFELEDALVYASSTVKKLIVENISSRGCFGGCLFGSAQGDSCEISFAEEISSETLLKINEYVKKHAYAGDNEKSLRSWDLEFIEVDRHTLFALVLAAHYLKIRDLLDLSCEAVMTENDTTPEEEEEYQGTTIGEEKGKNIISEELLGQQCSMEERGGIHAVNLIKGGSSKWDNARTSLKLYIENMSVKRLSDEEVANLAEDYRACKIQQCLATVLKPMKYKMKHSRWGVQTVTSICEGCKRESHS >RHN66908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21291262:21292098:-1 gene:gene15026 transcript:rna15026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MMSQNLEVVILRANQFEGNISPQLFNLSNLFHLDLAHNKLSGSLPNCVYNLTQMDTHYLNSWYDNIVDLFTKGQDYVFDVNPYRRTIDLSANHLTGEVLLELFQLVQVQTLNLSHNSFVGTIPKTIRGMNNMESLDLSSNKFCGEIPQSMSLLHFMGYLNLSYNSFEGKIPIGTQLQSFNASSCIGNPKLCGDPLNNCTTKEENPKTAKPSTKNEDYASIRESMYLGMGVGFAVGFWGICGSLFLIRKWRHAYFWFIYGVGDKLYVTLMIKLNSFRRY >RHN80133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35434293:35437962:1 gene:gene4020 transcript:rna4020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-methyl-6-phytyl-1,4-hydroquinone methyltransferase MASLMLSGTGKLTFLNCKTQNGNGFGLSGSDFNGKSLISPKTSINAKIRVSNLKRNIVVRSSSSVSSSRPSSQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDEALEPAELTDRNMIVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKEKEPLKDCKIVEGDAEDLPFRTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKFGGKACLIGPVYPTFWLSRFFADVWMLFPKEEEYIEWFTKAGFKDVQLKRIGPKWYRGVRRHGLIMGCSVTGVKPASGDSPLQLGPKEEDVEKPVNPLVFLLRFALGILAASWYVLIPIYMWLKDQIVPKDQPI >RHN74031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23883193:23884087:1 gene:gene9960 transcript:rna9960 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVITIGCVVILDIVENCGEDGDKLSLIKLASIIEVSAKKGTRDLKL >RHN73472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16780592:16783762:-1 gene:gene9287 transcript:rna9287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L7 MAKEEVKTVVPESVLKKQKRNEEWALVKKQEQESAKKKRSETRKLIWSRAKQYAKEYDDSQKELIALKREAKLKGGFYVDPEAKLLFIIRIRGINAMDPKSRKILQLLRLRQICNGVFLKVNKATVNMLHRVEPYVTYGYPNLKSVRELIYKRGYGKVDRQRIALTDNSIIEQVLGKHGIICIEDLIHEILTVGPHFREANNFLWPFKLKAPLGGMKKKRNHYVEGGDAGNREDYINELIRRMN >RHN75827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45400558:45402602:1 gene:gene12081 transcript:rna12081 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKEMKIARFSSCRGVAFEINPNRRSPFAIESPTKPERTGTWLWIPRTRNNSFKILPQSQGISPTRSRASSHFCDINIDADDVEYEFLAEVQDIENNQEKVKVLPKTEPPKRKSRLSIILLDQGFTVYKGLFLVCITLNMLALALSALGHFPYGKSRATLFSIGNILALTLCRSEAVLRLLYWFVVKTIGKPCVSLRIKTAITSFLQCIGGIHSGCGVSSIAWLVYSLVLTIKSNDKTNSSPEILGVAFAILSLITLSSLAAFPVIRHLHHNVFERIHRFSGWLALILLWLFILLTISYEPSSKTYHLTILKMVKKQECWFTLAITILIMIPWLSIKKVQVSVTAPSNHASIIKFEGGVKAGLLGRISPSPLSEWHAFGIISDGKKDHMMLAGAVGDFTKSLVSSPPKHLWIRSVHFAGLPYLVNLYQKVLLVATGSGICVFLSFLLQKNKADVCLIWVAKDIEMNFGKEIKELVEKYSEDKIIVHDTAVSGRPNVAEMSVNAAINWNVEVVIVTSNPEGSRDVVRACNKAKIPAFGPIWDS >RHN69029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40408458:40411756:1 gene:gene17455 transcript:rna17455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc/iron permease MGLKNNNKVFVFSILIFLIIPTLIAAECTCDEEDLDRDKPKALRYKIAALVSILVASGIGVCIPLLGKVIPALSPEKDIFFIIKAFAAGVILATGFIHVLPDAFENLTSPRLKKHPWGDFPFTGFVAMCTAMGTLMVDTYATAYFQNHYSKKAPAQVENEVSPDVEKDHEGHMDVHTHASHGHAHPHMSSVSSGPSTELLRHRVITQVLELGIIVHSVIIGISLGASESPKTIRPLVAALTFHQFFEGMGLGSCITQANFKSLSITIMGLFFALTTPVGIAIGIGISSGYDENSPTALIVEGIFNAASSGILIYMALVDLLAADFMNPRMQKSGILRLGCNISLLLGSGLMSLIAKWA >RHN81630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47663787:47667053:1 gene:gene5701 transcript:rna5701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PH domain-containing protein MADPEHREEEEAPAVGDDEDTGAQVAPIVQLQEVAVTTGEEDEESILDLKSKLYRFDKDGNQWKERGAGTVKFLKHKVTGKVRLLMRQSKTLKICANHLIIPTMSVQEHAGNEKSCVWHARDFADGELKDELFCIRFPSIENCKSFMETFQEVAESQKQVDDQDASAAAVLVEKLTVEDKAADAEKKDEEKSKDKTGEKESASEASKADADKKVEEPASSA >RHN73652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18594413:18599245:1 gene:gene9492 transcript:rna9492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase transcription factor WD40-like family MASNLIKTSSLVLAALVALTVQVFYFSPIDPVILEIPSAASSTSSTKNNQLQNVIKLGEGFLKQPEDVCVDKDGILYTATRDGWIKRMVRNGNWENWKHIDSSSLLGITTSKDGGLIVCDATKGLLKVTEEEGFSVILSQVNGSQLMFADDVIEASDGNIYFSVPSTKFGMHNWYLDVLEARSHGQLLRYNPLSNETVIVLDHLAFANGVALSKDEDYLLVCETWKFRCLKYWLKGINKGKTEIFIENLPAGPDNINLAPDGSFWIALIQITSEKTGFVHTSKVFKHLIALFPRLFNLISSATKSAMVVKVDIEGNIIKKFGDDNGKIIDFVTSAIEFEDHLYLGSIKCDFVGKFPLQSA >RHN62493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43846241:43847282:1 gene:gene25074 transcript:rna25074 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARSNGMMKSGRRSIPQRNSRRPIPKRGQVKVGIVVGLANSVASIFSRGKTSTRGCPQ >RHN81222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44173391:44174893:-1 gene:gene5235 transcript:rna5235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MAEVKLHGFWYSPFTLRVVWTLNLKGIPYENIEEDRFNKSSQLLHYNPVRKNTPVLIHDEKPICESMIIVEYIDEIWPHNSLLPSHPYDKAQARFWVKYVDGMISAIEAFRHSISCEEREKAKENLWARLRVVEDQCLDDQKKFYGGDTISIVDITLGSFVKFIEVQEDIFGEKILQSERFPRLHLWFNNFKDFPVIKENTPDQEKLVAFHNLLIKKIKAIKTSS >RHN57714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41242050:41244514:-1 gene:gene33296 transcript:rna33296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MLNHGYKSKQRSSAHNCRIQVLVMSFILMLMLVILFFIFDNVNDNDGDVKVKVKPSLAYESHKQIWNSFDSLVKFHPTREFRNGTDLIWQIPESPKGVLFLAHGCNGKAINFWDKSIECPNCIGLPEERLLVLHGLAQGFAVITISSANRCWSFGKELLVVKDILEWWIGKRKLEKLPLVALGASSGGYFVSLLASSKKFNSIVLMIAEGMFDEIDINEDYPPTLFVHMPKDLYRQQKIDEYVMVLKDKGIDAGVVECMEFPLSPDTLADRIPGIDQTLSRNLFEIFKEKGFIDEKGYMRKDGRKMKWQNILDERKNLLIDKHLIPHIQEELNLAFSYHEMTSVHSDQIFKWFESHIG >RHN59937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15024115:15024393:1 gene:gene22108 transcript:rna22108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MVLDGHRGPVRCLAACLEMEKVVVGFLVYSASLDQTFKVWRIKVFSEDENVCLDGDNNKCDGRVKKIREYDMSPVLSPSWVEKKLQGSSPFQ >RHN73085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13317313:13317906:-1 gene:gene8864 transcript:rna8864 gene_biotype:protein_coding transcript_biotype:protein_coding MVIALDMDERFQHMVIIKVHSSLNGAIILYDCREFPTYNISPIYHNGLFYCLSMKGKLRVIEATREKINLKEIEGPQAPYNKHFNNFLLECDGNLLAVLESSFGKGVKVFKLDESTMTWMKVESLKNHMLFVGKACFSTVANIPGMENKIYFPRFYRQSVVFYSLDTNNYHTFKNDVVNFRHVKEHLNGCWIQPRWH >RHN53099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41981137:41984785:1 gene:gene37862 transcript:rna37862 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTILKTIGSRNISGRNVSNGKRPTLTIPRQNVIDKLKVLKQP >RHN42704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40328868:40329752:1 gene:gene49184 transcript:rna49184 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLPLHVQFAAAELYGSSISTTTTTTTIFMKKTQKIIFNHNPNKIHTNKS >RHN45364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17875492:17885716:-1 gene:gene39652 transcript:rna39652 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQMCQNTGLILPPSFHTHPFSLRGHTNWISPKSNSLPNANQMATIPTCFAITPTSRLLTFTAPPFHKPFIFPQNRRINKRGWALVVPRAAVDVGRGIRPGGVVESDKLSSDVRKRTMDAVDGCGGRVTVGDVASRAGLKLNEAQKALQALAADTDGFLEVSEEGDVLYVFPKNYRSKLGAKSFRIKAEPFIEKAKGAGEYLIRVSFGTALIASIVIVYTAIIALVTSSRSEDDNRGRRGGRSYDSGFNFYFNPVDLFWYWDPYYNRRRRVQVDDNKTNFIESVFSFVFGDGDPNQGIEEERWKLIGQYIASNGGVVAAEELAPYLDIDSTERIKDDESYILPVLLRFDGQPVVDEEGNILYRFPSLQRTASQKSKRKEYVGKRWADWVGGVEKFFEEKRWQFSKTSSSERAMVVGLGGLNLFGVIVLGTMLKEVAVRPDSFIKFVADIFPLLQIYAGSFFAIPLVRWFFVRKRNADIEKRNQARQQCARVLELPDISLTQKLFSARDMAQKTVIGQDQIVYSTDKDFLDQDYEANEWDKKFRELERSD >RHN41838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33472684:33474488:1 gene:gene48200 transcript:rna48200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MGYVETTSSQLHTPLLSDQPPLSSKSKTFANLFIAIVGAGVLGLPYTFTKTGWIMGLLMLFSVSFLIYHCMMLLIYTRRRLESVVGFPKINSFGDLGYATSGHFGRLCVDIMVFLMQCGFCVSYLIFISTTLIHLSHNTNSSSLLGFSPKVFFIWACFPFQLGLNAIPSLTHLAPLSIFADVVDLGAMGVVMVEDVFVFLENRPPLKTFGGLSVFLYGLGVAVYSFEGIGMVLPLESEAKDKDKFGGVLGLGMFLIFLLYGGFATLGYFAFGEATQGIITTNLGQGMITALVQLGLCVNLFFTFPLMMNPVYEIVERRFCKSKYCLWLRWLLVLVVSLVAFLVPNFADFLSLVGSSVCVILSFVFPALFHFLVFRDELGWKCLVFDGAIMVFGIVIAVLGTWSCLMDIFYPQA >RHN46488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32210122:32217830:-1 gene:gene40979 transcript:rna40979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MGSVLSTILSYVFGTMSSLPFDLLSDGAGTSSSSSKDYGSIENHKYDVFISFRGSETRNSFVDHLHSHLVRKGIFTFKDDKQLQKGEAISPQLLQAIRRSRVCIIVFSRDYASSTWCLDEMAAIDESRKKLKQVVFPVFYDVDPSHVRKQNGVYENAFVLHTKQFGNNSDKVAQWRTTMTHLAGSAGWDVRNRPEFGLIEEMTDSIIEKLGHSFSGSADDLIGIQPHIEALESLLKQRPEHDGCRVLGIWGMDGIGKTTLATVLYDRISYQFDACCFVENVSKFYENGGAIAVQKQILCRTIEEKNIDTYSPPKISQIMRNRLRKIKLLIVLDNVEQIEQLEELDIKPKFLHTKSRIIAITRDKHILQAYGADEVFEAKLMTDEDAYKLLCRKAFKSDYASSGFAELIPEVLIYAQRLPLAVRVLGSFLFSRNARQWSLILDKIEKNPPNKIMKVLHVSFEELEQDEKEIFLHVACFFNGERKDYVSRILNVCGLNPDIDIPLLVEKSLITIRNDEIHMHEMLCKLGKQIVQEQHPDEPKSWSRMWLYRDFHHAMITNSEAIKAKTIILNKKEDVSKFNKLRAEDLSKMEKLEVLILYHTNFSGKPICLSDSLRYVLWNGYPFMSLPSNFQPHHLEELNMPDSSIEQLWIGTQHLPNLKRMDLSNSKNLKMTPCFEGVLNLERLDLSGCINLSQVDSSIGLLTKLVFLSLQNCRNLVNLDFGNAATLWSLKVLCLSDCTKLENTPDFSGLSILQYLDMD >RHN52580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36784128:36788364:1 gene:gene37273 transcript:rna37273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor/ chromatin remodeling BED-type(Zn) family MTNEITTSSEIDYECEDFNSSKRPKMTSKVWDEMERVQTTEGNKVLCKYCGKLLQDNCGTSHLKRHLVICPKRPKPLGVVTQDSMPSGYLRGIYSAKDSGSSKALMVRPLKAEPQSQVICFSPAPNYGAATVTIASVKNTSGTIGELNHKSSPTLLLPSVESPRNQEELSLDDGEMNAFYASLDVESPFKSPTQDTTVITESSNTTSPCEETSKALKTLQDLLSKEFSVLLQTGQCGTVKSTIEYLSKMSAVNGISSEMRLLILEVSREFTRWSCDYNDASKKIESASSHIMKADKVEESLEANKNEFKEVLSLENELCNQLATLEQRKKELEEQINAIKANISVFQSAKITATKRKREVFEEAKTLKAQRDELREQVPHLKDEREVAKKIQENIQAEWLKLGEKFNKSLNGVNSSEKLDGKSSIQACQSENSL >RHN46400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31352893:31354512:1 gene:gene40882 transcript:rna40882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasaponin III rhamnosyltransferase MEDDPKKLHIAVFPWLAFGHISPFFELSKLIAQKGHKISFISTPRNIERLPKLPSNLQPLVNFVELSLPHIDQLPEHAEATMDIPSHIGSYLKKAFDGLQQPLVEFLEKSNPDCVIYDFAPYWLPPVLSKFGILSIYFSIFSAIGMSFGVEFLVGKSNDEENIISDVYLEQNESGVTDMFRVKKILFGADFIAARSCMEIEGKYLELIENLCKKKVIPVGLLPPSLQIGEEKNDENWDTILKWLDKHEKRSVVYVAFGSEVILSDEEFTEIAKGLELSSFPYLWILKNQVKDDWLVENQSNKKGLIWSNWAPQLRILAHESIGRFLTHCGWSTVIESLQVGCPLIMLPFHNEQSLVARLMEERMVGVMVQRNDGKFTRDSVAKALRSVMVEEEGESYRNKAVEMSKIFGDKELHQKYLDDFVDYMELHISATKH >RHN65453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2351602:2356997:-1 gene:gene13292 transcript:rna13292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MIVLKDVVPAAQNNIETKFIILEKGRTILEGQNKKCLALVADETAAVHLQLWGDECDYFDSGDIVYITNGIFSYLRGNLILRAGKRGKLEKIGEFTMSYVETPNMSEIHWIPDPTNPKKYIQEYVISPHSRAFSPVL >RHN41604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31429277:31431082:-1 gene:gene47943 transcript:rna47943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MKGEMVVETPAKGSVTTSLVCLRCPISMFGRDFVIDLVCLPLTGMDVIFGMNWLEYNRVHINCFSKTVHFSSAEEEGEVELLSTKQMKQFERDGILMYSLMAQLSLENQAVVDGLPVVNEFPEVFPDEIPDVPPEREVEFSIDLVPGTKPVSMAPYRMSASELAELKKQLEDLLDKKFVRPSVSPWGAPVLLVKKKDGSMRLCIDYRQLNKVTIKNRYPLPRIDDLMDQLVGAKIFSKIDLRSGYHQIKVKDEDMQKTAFRTRYGHYEYKVMPFGVTNAPGVFMEYMNRIFHAFLDKFVVVFIDDILIYSKNEEEHAEHLRIVLQVLKEKRLYAKLSKCEFWLREVSFLGHIISGSGIAVDPSKVDAVSQWETPKSVTEIRSFLGLAGYYRRFIKGFSKLALPLTQLTCKGKPFVWDAQCESSFNELKRRLTTAPVLILPKPEELP >RHN57748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41470135:41473142:1 gene:gene33332 transcript:rna33332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MSSKKPYAVVQYGCLLVTHAVKKAVEEVDGNKCLSDWFSKGYMKVERQKKKLISNRDRARAKVEAIDCKTEKVRDVVFEWLKETDMIIQEMENLTIQSKPPSWNEFNELYEKIKALNGKCEGLPEKIIKVGSSFGSKPIEEWKASLAKWQIFLSFRGEDTRQSFTGFLYDALCREGFKTFMDDEELKGGEEISSSLVKAIEASRISIVVFSENFADSPWCLDELVTILKCKKMKNQKVLPIFYKIEPSDVRHQKNSYKRAMAKHKKEFGNDSEKVKEWRSALSEVANLKGIASNCSYEYKLIGEIVKMTNDIKNSLCMQTD >RHN61327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34823096:34824293:1 gene:gene23780 transcript:rna23780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MASSSGTSSGSSLLQNSGSEEDLQALMDQRKRKRMISNRESARRSRMRKQKHLDDLVSQVSKLRKENQEILTSVNITTQKYLSVEAENSVLRAQMGELSNRLESLNEIVDNC >RHN62581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44487779:44489901:-1 gene:gene25173 transcript:rna25173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphosulfolactate synthase MSAYRWKSFEENEDRPSKPRRYGVTEMRSPHYTLFNHNVLQDIFESMGDYVDGLKFSGGSDSLMPKAFIKQVIDTAHHHDVYVSTGDWAEHIIHKGPSGFKDYVEECKQLGFDTIELNVGSLGVPEETLLRFVRLVKTGGMKAKPHFEVKFNKSDIPRGGDRAYGAYIPPAPRSYELVEDVDLLIRRAERCLEAGADMIMIDADDVSKHADNMRADVIAKIIGRLGIEKTMFEASNQSASEWFIKQYGPNVNLFIDHSHLVDVECIRGRNLGRNHASVLGSSYFLF >RHN47464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39959194:39962725:1 gene:gene42073 transcript:rna42073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MNALAATNRNFKLASRLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLQSYIGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPSELSISELERLTRVFTQKIHDLIGTHMDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVMFAAEALLNEYGKSISGQRFVIQGFGNVGSWAAQLIDEKGGKIVAVSDITGAIKNSKGLDIPSLLKHTKEHKGVKGFHGGDSFDSNSILLEDCDVLIPAALGGVINRENANEIKAKFIVEAANHPTDPDADEILKKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNNELKNYMNRGFKDVKDMCKTHECDLRMGAFSLGVNRVARATVLRGWEA >RHN42149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35851737:35853546:1 gene:gene48553 transcript:rna48553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MAFHHVTNSVYWYFILPVVMVTFFTTNVSSAKKSEIEGMEMNVIDQCWRFNPEWRKHRQQLANCSVGYAGKMTNNIGKDLIHYKVTDHSDHPLNPTPGTLRYGASKIQGKVWITFQRDMDIKLVKPLLISSFTTIDGRGVDIHIADNACLMIYKETNIIIHGIRVHHCRPQAPGMVMGSDGKIIALGQVDGDAIRLVSASKIWIDHSTLYDCQDGLLDVTRGSTDITISNNWFREQNKVMLLGHDDGFVRDKNMKVTVIYNYFGPNCHQRMPRIRHGYAHVANNLYMGWVQYAIGGSMEPSLKSQSNLFIAPTTGKKEVTWRKSSNGIGDTWEFYSVGDAFENGASFIETKGGQVIKPNYKLEQNFKVVDAKFVRSLTRSSGVLQCSKTSIC >RHN62355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42887616:42888236:1 gene:gene24919 transcript:rna24919 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKVSNLYDEQKLPFLHNMEIVEPQRNLFHRAINQTCKSTAHLANLLPTGTVLSFQLLSPIFTNQGKCDHVTQLMTLSLLTLCGASCFLLCFTDSFKDNKGNICYGFATFKGLWIIDGSATLPHELDAKYQLRFIDFMHAIMSILVFSAIAMFDHNVVNCFFPSPSYEMRQILTALPVGIGVFCSMLFVAFPTHRHGIGFPLSTN >RHN59006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6600271:6600519:1 gene:gene20986 transcript:rna20986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MKQYDMSQEEAYKLILKEIEDYWIVMNEECLKLDCIPSPVVESIVNVARVAEFTYENFEDKYTNGELLKDYIVKLILKPISI >RHN50865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11271855:11279140:-1 gene:gene35207 transcript:rna35207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleolar complex protein MGKLGKNARKFAKKNLQSVERNQRKLKSKFKRKASKGDGHEVEEIEETDVVVTNPSKTVVEEIQDVSLDAVFSDNDETEVLGGDDSDSDGFLEEDSSFADVTGSDDENNIENDNGGSSLSAKNSEMFAELLKKEKKLNKLKEKFPGFSKFLANYDDEDTGLSSDEETGSDDETMNDENAQTRVGKTLASASVDSLCKLVKEQQSLPALTCLINAYRAACHSDSETTSVIGSVLSNGVQTSEKFCTILMFMLHEADNMFRMLLSIPSSSSKKEAVLDLKNKAKWLSLRPLIKSYLRNTVFLLNQITDSEILSFSICRLRASMIFLAAFPSLLHKLVKICVDLWVTGDTSLSSHSFLMIRDIASMCSSKWLDICFVKTYKAFIGRPQSAHTNFLRNSFVELCSLDVQKSSNKAMTCIRRLGDILLKGWQTKKKEVVNKICSWQYINCIDLWVAFISENIHDYDLQPLLYMIAQITNGVALLFPGPRYLPLRVRCIQWLNRLAGSSGIFIPVTSFVLDFLEYNITKDGGKPGKDFEFEPLSTVKLPKHWLKSREFQEECVSSTIELLSEHFSQWSYHVSFPELATAPLVYLKKIVEKTSNESFKRVIKRFIDQVELNVDLVQKKRDDVPFSPKDQQSVETFLQAEKRSGNNSFMQYYKSIMRKAASRKAISKRKKSPGKGKKKRKQHPNGVVDGNPTDSGKKKKTQHPNGVVDGNPTDSVKN >RHN55580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19670175:19673374:-1 gene:gene30782 transcript:rna30782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosome maturation factor RimP MAMAARCMIQKTRNIIGGVTLLLRSTSRSSHSFILQNRYLSPPNSHILPFSTPHTSFSRHFSPSLSDETSDEGASTDGWEEEDETDPKIGDGGNGGGVVLQNVPWGQRAHSIAEEVLVQFSEDLKLFAFKTSPRGYVYVRLDKLTTKYGCPSMEELDSYNQEFKKRLDEVGALGEIPDDLGLEVSSPGLDRILKVPDDLNRFKEMPMRVCYAENIESNCREVDGVFLLDSIEIDSEVCVWKLADVKENRDATIKGKPLNRKQKDWRLRLPFNLHRMVTMYID >RHN42111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35472514:35476985:-1 gene:gene48509 transcript:rna48509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MAETKPTSHIVVTSIPLFSHESSVIEFCKRLIQVHNHFQITCIFPTIDAPIPATLKLLESLPSTIHCTFLPPIKKQDLPQEVTMQLELGVTKSMPSFRESLSLLCSTSTTPVVAIVVDPFANQALEIAKEFNILSFMYFPVSAMTTSLHLHLPILDEQVSGEYMDHVEPIEIPGCTPIRGQDLPRTFFEDRSSIAYETILRQTKRFSLADGVLINSFSEMEESTVRALMEKEQSNNKQLVYLVGPIIQTGSNELNKSVCVKWLENQRPKSVLYVSFGSRGSLSQEQINELALGLELSGQKFLWVLREPNNSEILGDHSAKNDPLKYLPSGFLGRTKEQGLVVSFWAPQTQILSHTSTGGFLTHCGWNSTLESIASGVPMITWPLFGEQRLNAILLIEGLKVGLKVKFNESGIAEREEIAKVIRDLMLGEERSEIEQRIEELKYASTCALAEDGSSTRVLSQLAIRMESNAK >RHN78880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19525587:19527186:-1 gene:gene2546 transcript:rna2546 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPLLNPELVDITVADLLQANRKEWDSRLVIGLLGNEAASPVLQTPLQFCPKQSHYLEV >RHN38419.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000023.1:6470:13567:1 gene:gene50760 transcript:rna50760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MKIVSIQKIPTQNLTQKHKTPLTHECCVLKHLHLSLPQLDVTFVTPILCYLTSMASHLTLLHAPPPLSLQTKTFHSKYITIKPLKPTTTFSSSCSLFPCSLKTSHRGSCSSFIACSSSNGRSPNDSVDDGVVKSADQLLEEKRRAELSAKIASGEFTVKQESGLPSILKKSLSNLGVSNEILEFLFGLYPKIPEAKGSISAIRSEAFFIPLYELYITYGGIFRLNFGPKSFLIVSDPAIAKHILKDNSKAYSKGILAEILDFVMGKGLIPADGEIWRVRRRTIVPALHLKFVAAMIGLFGQATDRLCQKLDTAASDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSNDTGIIEAVYTVLREAEDRSISPIPVWDLPIWKDISPRQRKVTAALKLVNDTLNNLIAICKRMVDEEELQFHEEYMNEQDPSILHFLLASGDDVTSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKEPSVMSKLQEEVDSVLGDRFPTIEDMKKLKYTTRVINESLRLYPQPPVLIRRSIEDDVLGEYPIKRGEDIFISVWNLHRSPTLWNDADKFEPERWPLDGPNPNETNQGFKYLPFGGGPRKCIGDMFASYEVVVALAMLVRRFNFQMAVGAPPVVMTTGATIHTTQGLNMTVTRRIKPPIVPSLQMSTLEVDPSVSISDKTEEIGQKDQVYQAQKS >RHN67114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24023093:24025124:-1 gene:gene15259 transcript:rna15259 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFGKKLYGEGISVLYKVGNILDMEENSQIYLYLLIFRDTNKAQNL >RHN73908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21440420:21440893:-1 gene:gene9800 transcript:rna9800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cell division cycle protein MMKRKNLMHPCFPKLNWSAPKDSAWISTSGTLRCTNLNEVVLLFRASDSLVHDLCHAYDSCHDKITSRPQNFFLALRKWYPSLKPDMEFRCFVQNQKLVGISQREVTTFYLVLIEKKNDILLLTQTFFNNYVRDKFESENYTFDVYVTNIIIDVYMG >RHN57269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37726989:37727856:1 gene:gene32791 transcript:rna32791 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVIQMHMESDKFRSKALKIAAAFQGVISVSLEGESRDQVVVIGDYQIDCVCLTKKLRKKFCYVNLLSVEDANVSASYEGDEAKEEEKDVEVTINSTENSSVVCNCEKNYPPPCPLYYIVDHEPYPSSCSIQ >RHN73418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16228139:16228555:1 gene:gene9230 transcript:rna9230 gene_biotype:protein_coding transcript_biotype:protein_coding MLESIIKKSSLERGSKVEDIAERLESIIGKSNHEWGNKVESIVERLESIIEKGNHEWGSTVESIAEILESIIEMSDHERGSKDVDSIIEKSDHEWGIKEEDISETKKMNLEKEEIITSSQNKNDPNQVSSFIFLNISQ >RHN58714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4240265:4244761:1 gene:gene20648 transcript:rna20648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSGSACEIMIPLSPKRIKLSESENEDRLSDLPESIILHILSFLNTNHAVQTCVLSTRYKDLWKRLPTLTLHSSDFGAYKKFTRLVSKVLFLRDSSIALQALDFKRSNGRFEPKLEKIVNYALSHNVKRLGLHFNGDIAQIPSTVFSCQALTHLKLSIYNGGRDHETPFPKSLNLPALTNLQLGDFVFDVDDNDCAEPFSIFNRLNSLLMCNCVVRGGKTLRISSVTLVNLTIYHDRSIYYEIDLCTPSLWKFVFTGTPYLSLSGSSISSLKHVDIDAEVESSQRAPLVFLLSWLVVFANIKSLTVTATTLQVLSLYPDVLKIRLPSLHNLKSLKVRMEELLYGFRMTLRDIKLQNAKSKREAARIRKAFKEGLEPPSPVPDGIVDFLCQNSPLVEVDYIKCRRRQRYL >RHN69234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42015538:42017402:1 gene:gene17676 transcript:rna17676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, Zinc finger, MYND-type, tetratricopeptide-like helical MRTRTGLCYPQTLQLSNMFSDTNNNKRHKRMPQNTSDFFELLPDDIVLSILGKLSSTATSPSDFISVLITCKRLNGLSLNSVVLSKASNKTLSVKAKNWCDSAHRFLKRCVDAGNIEACYTLGMIRFYCLQNRGSGASLMAKAAMKSHAPSLYSLAVIQFNGSGGTKNDKDLRAGVALCARAAFLGHIDGLRELGHCLQDGYGVKQNVIEGRRFLVQANARELAAVLSNGNNNKQPLLTWSVNPGSSQPPQLRVLAGAGSVSGSGCPLLSDFGCNVPVQETHPANRFMTEWFDIRGGFPGPGMRLCSNSGCGRPETRKHEFRRCSVCGVVNYCSRACQALDWKFRHKAECAPVERWLEEEEHIAGEGDGGVNVDEVVVLDS >RHN57477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39411733:39412101:-1 gene:gene33024 transcript:rna33024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MDYSILIFLCFALQVFGYDRHFFSVLEVNKTSYENCIDSGFIKNITTGVGREVFQLSEAKTHYFISGGGFCQRGVKVAIDVNEHVAPAPQPTPHKSSATSNIQIYHSLAVLILIFMCTNFLV >RHN54040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6413003:6413335:-1 gene:gene29016 transcript:rna29016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MVSFLNSLQTLNAELSFQQKKDEMKRVFEKFDTNKDGNISLEEYKAAAKALDKGVGDTDAVKAFKAMDYDKDGFIDFREFMEMFNGEGNKISSCTYKTKRACHTTFSKYI >RHN69274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42246472:42252165:-1 gene:gene17719 transcript:rna17719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA polymerase alpha, subunit B MKEEIKSEFKKAGFDFDEEEEILSKCLTFCINYSLTPSDLVSSWEVYYLNRQLDEPIVQNAEMEGFMLHLQNNVKDDIIKEETGLHLYSIGDVEMILNDDDTKDDTPSTPTNNRQDVYSPIHDTPSLYGNILASGKPADLVTPFSKRTDRFAVKFSINTIPDVENGKQELNHENEENDEYNIVSKIVSRKRCSLVIHGSGPKPGCRFMYDRTEDKINAIENRIKKHARALVASGLYEEPTDPSIASPRSFFSIGMICCDGEGRLNEKSVMLQSSIEHSGGECVRLDLQRLNHYSVFPGQVVGIGGHNPSGHCLVASKLVDYIPTSVAKEDLNPSKKQAIDKENQPTELLCNQRELSMIIAAGPFTTTDNLFFEPLVELLAYAKRRPPQLLVLLGPFIDSEHPDIKKGTVDRDFDEIFRLEVLRKLEDYVESMGSAVRVLLVPSIRDANHDFVFPQPAFDISQPQLQIASLTNPGIFEANEVKVGCCTLDVLKQISGEEISRISADRKPIDRLSRLANHILNQQSFYPLYPPAESVPLDFSLAPEALQLPLVPDVLILPSDLKYFVKVLDNEGEETNRMKCIAVNPGRLAKGEGGGTFVELDYSGGLDKINASILAI >RHN58512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2379418:2386325:-1 gene:gene20431 transcript:rna20431 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNASIFASSTQPCFSVTTRSHSITNTFGSNFLNVSLPRCYPMKERHVKVRHVVNAAAAVATSPTEEIQEYKLPSWAMFELGKAAVYWKTTNGVAPTSGEKLKLFYNPAAAQLAPNEEFGIAFNGGFNQPIMCGGEPRAMLRKDRGKADSPIYSIQICVPKHALNLIFSFTNGVDWDGPYRLQFQVPKPLQNKPIEFFNEGLAEELSKEGACEQAIFPDTTAVIEKCAMIGNLSKEGGDRCELNLVPGCIDPSSPLYDPMANVDDGSCPIEVDSDSDE >RHN53899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5169521:5172092:-1 gene:gene28862 transcript:rna28862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MDVACLMKLVLMLWITLITSIKSDPSDEACLTHLNKSLQDPNKSLENWNEATFSKPCNESTSTLKGILCNNGRIYKLSLNNLSLRGTISPFLSNCTNLQTLDLSSNFITGPIPPELQSLVNLAVLNLSSNRLEGLLVRLSAFDVSNNKLSGPIPASLSNRSSPNLPRFNASSFEGNKDLYGYPLPPLRTKGLSIMAIVLIGVGSGLASLIISFTGVCIWLKVTDRKVALEEGKVSHLMPDY >RHN69379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43007538:43009421:-1 gene:gene17838 transcript:rna17838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MDPSKTPAYANFSCELRIIQARNIEFIKSAKNMFARLYLPTGNNKSIQLNSKKISTKSVPFWDESFNLDCSCPQEFLENLNQQNLVLELRQRKIWGSQLIGKGEIPWKVILESQNMELKKWLKIYLVSGSDCKEAMFPTPEVEVEIKIRVTSVAEMEKKNKRRLNNWNECGCKNGHDHHAWCSAEDCDIFVLGAALEAF >RHN47091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37066661:37069073:1 gene:gene41646 transcript:rna41646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MAIKAPFQLFMLLGIFFLASVCVSSKDDQHDQENPFFFNANRFQTLFENENGHIRLLQRFDKRSKIFENLQNYRLLEYHSKPHTLFLPQHNDADFILAVLSGKAILTVLNPNNRNSFNLERGDTIKLPAGSIAYLANRDDNQDLRVLDLAIPVNRPGQFQSFSLSGSQNQQSFFSGFSKNILEAAFNANYEEIERVLIEEQEQDPHRRGLRDRRHKQSQEANVIVKVSREQIEELSRHAKSSSSRRSASSESAPFNLRSRKPIYSNEFGNFFEITPEKNQQLQDLDILVTNAEIREGSLLLPHFNSRATVIVVVDEGKGEFELVGQRNENQQEQREYEEDEQEEERSQQVQRYRARLTPGDVYVIPAGYPNVVKASSDLSLLGFGINAENNQRSFLAGEEDNVISQIQRPVKELAFPGSAQDVESLLKNQRQSYFANAQPQQREREEGRSQRQREPISSILGAF >RHN49176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53060524:53061119:1 gene:gene43979 transcript:rna43979 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLQYQLGRSAGTNLHDANVRSIGNTKLWVGRSVNSPSLYSNYNYYETSRERSVVKNYETLRERSVVKKKKSMSWWNDPERKRKRRVARYKFYGTEGKLKRSLKKGFQWLKVKCIKISASF >RHN40352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15541877:15542041:1 gene:gene46499 transcript:rna46499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein Rsm22, bacterial-type MPIRRGKQVTMNVCRSIKRDVSKGEFARMVITKSKNPALHRQAQKAIWGDLWPC >RHN76336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49451618:49456157:-1 gene:gene12645 transcript:rna12645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MNEMSRNERESSEERKLELRLGPPGVEDWFHTHNKQRISFGNYFSSSNNGFQHVRGNKESSSSQTCCPKRVELQNGDNNKVTAVSNTSQKRTAPGPVVGWPPIRSFRKNLATSSSSKPSPPESQTQQDMHNNVSAGKKPIDNNYGKGLFVKINMDGVPIGRKVDLNAYHSYDNLSSAVDDLFRGLLKAQRDSSACGGNNKKEEEEKVITGLLDGSGEYTLVYEDNEGDRMLVGDVPWHMFVSTVKRLRVLKSTELSAFTLGTRQDKIST >RHN79115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23417914:23421889:1 gene:gene2831 transcript:rna2831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphodiesterase I MLYTAQYLKNDPDYLSCKKKECKKELNGKCIVTTCSGSIKFHVINIRSDIEFVFFTGGFLTPCLIGRSTPLGFANPNKPLYGHLSSIDSTATSMRLTWVSGDKEPQQVQYGDGKTVTSEVTTFSQDDMCSSVVLPSPAKDFGWHDPGFIHSAIMKGLEPSSTYSYRYGSNSVDWSEQIKFSTPPAGGSDELRFISFGDMGKTPLDASEEHYIQPGALSVIKAISDDVNSNNVNSVFHIGDISYATGFLAEWDFFMHLISPVASRVSYMTAIGNHERDYVDSGSVYRLFDSGGECGVPYETYFPMPTSAKDKPWYSIEQGTVHFTVISTEHDWSQNSEQYEWMKKDMASVNRQHTPWLIFMGHRPMYSSTQGILFPSADQKFVEAVEPLLFENKARTSSSLNLVDLVLFGHVHNYERTCSVYQKKCKAMPIKDQKGIDTYDNRNYSAPVHAIIGMAGFTLEKFSINAESWSLKRISEFGYLRAHATRNDLNLEVSI >RHN48741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49845009:49845688:1 gene:gene43490 transcript:rna43490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative secoisolariciresinol dehydrogenase MASIGKRLEGKVAIITGGASGIGAATAKLFVQHGAKVIIADIQDEVGQSLCNELGPKNILYVHCNVTTESDIKNVVDTAVSNYGKLDIMFNNAGISDDKNREILNYDSEAFKRVFDVNVYGAFLGAKHAARVMIPNKKGVILFTASVATETAGESTHAYSSSKHALVGLMKNLCVELGQYGIKVNCISPGAISRD >RHN49123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52604570:52610398:-1 gene:gene43918 transcript:rna43918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase transcription factor interactor and regulator CCHC(Zn) family MRVGGLKDHTFILQQNLLPFPPYPLLSDSFPSFFISSFFFFFLSEEERRTIKMASITSIGASTACKLPSLELYKKHKLTSIPTSSVKFHFSDGNKSRHINRLANFNSSDRFLPSALVDSLRDRGITQLFPIQRAVLVPALEGRDIIARAKTGTGKTLAFGIPIINGLDDGQDSGPYSRRRLPRALVLAPTRELAKQVEKEIKESAPYLKTVCIYGGVSYVTQQSALSRGVDVVVGTPGRLIDLINGNTLKLSEVEYLVLDEADQMLAVGFEEDVEVILEKLPAKRQSMLFSATMPSWVKKLARKYLNNPLTIDLVGDEEEKLAEGIKLYAISATSTSKRTILSDLITVYAKGGKTIVFTQTKRDADEVSLALTNSITSEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKLGTAILMFTGSQRRTVRSLERDVGCKFEFVNAPSVEDVLESSAEQVVVTLNGVHPESIAFFTPTAQKLIEEKGTTALAAALAQMSGFSQPPSSRSLITHEQGWVTLQLTRDSENSQRYFSARSVTGFLSDVYSKAADEVGKVHLIADERVQGAVFDLPEDIAKELLDKDIPAGNTISKVTKLPPLQDDGPPSDFYGKFSDRERNNRRGGSRDGRGFRSSRGWDGGRGSDDDFGDSSRRGGRSYKSGNSWSKPERSSRDDWLIGGRQSSRSSSSPNRSFAGTCFTCGESGHRASDCPNKRGDFF >RHN61599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36714365:36717376:-1 gene:gene24078 transcript:rna24078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin-conjugating enzyme/RWD, steadiness box (SB) domain-containing protein MVPPPPNPETQQFLSSILSQRGPSALPYSEDTKWLIRQHLLSLLTTFPSLEPKTATYTHNDGRAVNLLQADGTIPMTYQSVTYNIPIVIWLMESYPRHPPRVYVNPTRDMIIKHAHPHVNPSGLVSVPYLHNWIYPSSNLVDLVLALSLIFGRDPPLYSQRRPNPNPNPHQNHNHNPNPNPNTNQSSNFGTNPPSGYSHPGRINSNININSYPPSPYSPAPSRPTHTEDPTEVFRRNAINKLVEMVHNDVTALRKTREGEMEGLFGLQGVLKQREEVLNNGVKEMQDEMEGLEQQLQMVLMNTDVLEGWLRENQGKKLGSVENAEEAFECVDVLSKQMLDCTSADLAIEDTLYALDKGVQVGSVPFDQYLRSVRALSREQFFHRATAAKVRAAQLQAQVANMAARNHHYGS >RHN64140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56871728:56872462:1 gene:gene26926 transcript:rna26926 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAANVFKYMTSHESRILFKESRTTEAELANKLIEILKKHRSPSTEVPGIRRFTVELAIWMMKDKGENIYTFKDLGMEELLKGVLETTSELENFNVFSGAVGLNRHKLTAQSLFETALE >RHN47521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40291372:40294386:1 gene:gene42134 transcript:rna42134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteamine dioxygenase MEVGMVEQGRERVGHVNKVCYVKRVIAKKRNKPYNHRRVKKHVPKALQELFDSCKQTFKGPGTVPSPRDVHKLCHILDNMKPEDVGLSRDLQFFKPGNIIKENQRVTYTTVYKCDNFSLCIFFLPERGVIPLHNHPGMTVFSKLLLGQMHIKSYDWVDHEASHNLLQPSSKLRLAKLKANKTFTAPCDTSVLYPTTGGNIHEFTAITPCAVLDVIGPPYSKEDGRDCSYYKDYPYNAFPNEEKIGEVKDKDDSYGLLEEIDMPENCQMDGIEYLGPPIDDTMF >RHN78506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15795641:15799375:-1 gene:gene2081 transcript:rna2081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleophile aminohydrolase MLAVFSKSVAKSPEGLQSPESNSVSSLKDAFLAQHFESLNPSSVTLNLASSALLAYSLHKNNPLLPRLFAVVDDIFCLFQGHIDNVANLKQQYGLNKTANEVTIIIEAYRTLRDRGPYPADQVVRDFQGKFAFILFDSSSQTAFVASDVDGSVPFFWGIDADENLVLSDDTEIVSKSCGKSYAPFPKGCFFTTSGGLRSFEHPLHELKPVPRIDSSGEVCGSTFKVDADTKKEATGMPRVGSAANWSSNY >RHN58493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2232982:2243853:-1 gene:gene20412 transcript:rna20412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MGGACSRKQERDNEDILTRGFSRKYCKCGSLKWWTSSFSYPSMDLRTQKGECPSLLDLCVQKISEDLDRHNTFSMLPRDISQQILNNLVYSRRLTGDSLEAFRDCALQDLYLGEYAGVDDSWMDVISSQGSSLLSVDLSASDVTDFGLTYLQDCRSLISLNLNYCDQISDHGLECISGLSNLTSLSFRRNDSISAQGMSAFSRLVNLVKLDLERCPGIHGGTVHLQGLTKLESLNMKWCNCITDSDIKPLSELASLTSLEISCSKVTDFGISFLRGLQKLALLNLEGCLVTSACLDSLSELPALSNLNLNRCNISDRGCERFSRLEKLKVLNLGFNDIGDRCLAHMKGLTKLESLNLDSCKIGDEGLENLAGHKQLICLELSDTEVGNHGLEHLSGLSSLEKINLSFTVVSDSGLRKLCGLSSLKSLNLDAYQITDAGLATLTSLTGLTDLDLFGARITDVGTNYLKKFKNLRSLEICSGGLTDAGVKNIKELSSLMCLNLSQNSNLTDKTVELIAGLTALVSLNLSNTRITSAGLQHLKTLKNLRSLTLESCKVTANDIKKFKLIHLPNLVSFRPE >RHN50010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3135817:3140486:-1 gene:gene34256 transcript:rna34256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Porin domain-containing protein MSKGPGLYSDIGKKARDLLYKDYNTDQKFTLTTYSPTGIALTASSTKKGELFLADINTQLKHKNVTTDIKVDTDSNLFTTITVTEPAPGLKTILSFRIPEPELKSGKAEVQYLHDYAGISASIGLTANPVANFSGVIGNNVVALGGDLSYDTKTGVFTRLNAGLNFTKDDLIASLTLNEKANALNASYYHVVNPVTKTAVGAEVSHRFSTKENTLTLGTQHAIDSLTTAKLRFNNFGLASGLIQHEWRPKSFFTISGEVDTKAIEKSARIGIALALKP >RHN57862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42222381:42222644:1 gene:gene33451 transcript:rna33451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferruginol synthase MISSSDMAKEILNTHDSLCCDRSVPDITTTHDHNNFSIVFLPFSPLLQHLRKTCHYHLFSNKNLDASQELRRMKLKDLLNEICIKVV >RHN45544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22733799:22735982:1 gene:gene39908 transcript:rna39908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKCVHFWLFSSMYFCTLITNPSSSSSSVFGSSFTISAASSTVQSKEASALLKWIASLDNQSQTLLSSWSGNNSCNWFGITCGEDSLSVSNVSLTNMKLRGTLESLNFSSLPNILILRLSFNFLCGTIPPRIKMLSKLSILSLSHNSFTGTIPYEITLLTNLHFLYLSDNFLNGTIPKEIGALWNLRQLDISVLNLTGNIPISIGNLSFLTDLYLHVNKLCGSIPQEIGKLLNIQYLYLYHNSLSGSIPIEIEKLLNIQYLRLHYNSLSGSIPSNIGMMRSLVAIELSNNLLSGKIPPTIGNLSHLEYLGLHANHLSGAIPTELNMLVNLGTFYVSDNNFIGQLPHNICLGGNMKFFIALDNRFTGKVPKSLKNCSSLIRLRLEHNHMDGNITDDLGVYPNLEFMGLDDNNFYGHLSSNWGKFHNLKQINISNNNISGCIPPELSEVVNLYSIDLSSNHLTGKIPKELGNLTKLGRLFLSNNHLSGNVPTQIASLKELEILDVAENNLNGFIRKELVILPRIFDINLCQNKFRGNIPNEFGKFKALQSLDLSGNFLDGTIPPTFVKLILLETLNISHNNLSGNIPSSFDQMISLSNVDISYNQFEGPLPNMRAFNDAVIPCFLDLKILGPISFRTLSIIKFQLHSSNCLCLAVFSITILPMFFLHKTFRNVFTCLVSHSKVSLNHYIAFSTVYFKICKKSYRRVFWSFPAMGPIFILVTVSESFQIVF >RHN72133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5214614:5218130:-1 gene:gene7808 transcript:rna7808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKISTFSSQLKWTPNDFALYLQKCLKSKALKPGKQIHAMLLTTGTNTNILSLSSKLVGMYSSCTDLKSATLLFHNIHKPNVFAFNWMILGMVYNGYFDNALFYFRLMRDIGLIGNKFTFGIVIKTCVGLMDMKKGKQVHGMICEMGLMNDVLIGNGLIDMYGKCGSVDYACRVFDGMSERDVASWTSMICGFCNTGRIEEALVLFERMKMEGYEPNDFTWNAIIATYARLGDSKKAFGFMERMQKEGFIPDVVAWNALISGFAQNHQFRETFTVFREMLVSGICPNQVTIAALLPACGSVGSVKWGREVHGFICRKGFDANVFIASALIDMYSKCGSLKDARNVFDKIQCKNVASWNAMIDCFGKCGMVDSALELFTKMKEEGLQPNEVTFACILSACSHSGSVEKGLEIFTLMKECYGVEICKEHYACIVDLLCRSGKIVEAYEFIKAMPIQVTESIAGAFLNGCKIHGRKDLAKKMAEEIMRMQLNGSGSFVTLSNIYAAEGDWEEAGNVRKVMKERNVNKWPGSSWLEKPCEILEGKEEKEVAVGLDI >RHN53511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2180330:2180563:-1 gene:gene28423 transcript:rna28423 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDCSLLVLCWLLLMTFTGNCSGISGFRAEDDMMMKMMREEGKEKIRGRIGK >RHN71760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2479951:2480442:1 gene:gene7394 transcript:rna7394 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSLLCVLGLILIVGSQVAISQDSPADYVNAHNAARFVITSAKIPNIVWDEKVAAFAQNYANKRKDCKQIPSGSGGRYGENIAVSTGYKSVRDAVKIWVEEEPHYDHYNNSCVGGECLHYTQVIWEKSQRVGCGKVRCDNGGTFITCNYDPPGNIAGQLPY >RHN54527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10249886:10253132:-1 gene:gene29591 transcript:rna29591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MPFPTSTKSFRRYFVKNCRYFVYVYWIGDRWGTKTNPLYFINMVPKPIIILTTKQPPTIPIPQFNIAFVRTQEKPQTSQPHETLRTGSPAKTKTLLTSQKHKNTNKRLQTSSISALILNTLDDIINTFIDPPIKPSVDPRHVLSQNFAPVLDELPPTQCVIIKGTLPPSLYGAYIRNGPNPQFLPRGPYHLFDGDGMLHAITISNGKATLCSRYVKTYKYKIENKAGHQLIPNVFSGFNSLIALAARGSVVVARVLTGQYNPSNGIGLVNTSLALFGNRLFALGESDLPYEVKLTPNGDIQTMGRYDFNGKLLMSMTAHPKIDSDTSECFAFRYGPIRPFLTYFRFDSNGVKHNDVPVFSMKRPSFLHDFAITKKYAVFADIQLEMNPLGMIFGGSPVRSDPSKVSRIGILPRYANDESKMKWFDVPGFNIMHTINAWDEEDGGTVTLVATNILSVQHVMERMDLIHAMIEKVRINVETGLVTRQPLSARNLDLAVINNDFLGKKSRFVYAAIGNPMPKFSGVVKIDVLKGEEVGCRLYGEGCYGGEPFFVAMEGGEEEDDGYLVSYVHDEKKGESKFLVMDAKSPEFEIVAEVKLPRRVPYGFHGLFVKQSDITKLSVS >RHN57055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36146440:36147226:1 gene:gene32545 transcript:rna32545 gene_biotype:protein_coding transcript_biotype:protein_coding MCVFLIHTSCPKPSISTSYAISSYSTWSLFFSSYQKPFASFSSFSWTFPRTTIFATSALSSSPNRSFIFRSFETSFVSSSSFSWTFLGPFIYSACALSSTPTWSLILSSFRTLFASSYAYSWTFHRPSIFATSTFLSSPTTSFIFPSFQISFTSFSVFSLTFLRPLIFSASAFSFSPTRSLIFSSSHASFISSSSRSSSFYSPPNSFVFCPFYAT >RHN70780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53963775:53966394:-1 gene:gene19397 transcript:rna19397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-glutamyl cyclotransferase MSVAMNTSVVGVGAGTTQNQNHNHNHNVFVYGSLLADEVVCALLKRVPPSSPATLSDYHRFKIKDRVYPAILPVHTKKVTGRVLLGISGVELDILDEFEDVEYTKTDVEVFLMDNSENLRVYAYVWSNPNDPDLYAEWDFEEWKKDHMNDFVKMTDSFMQQLELPESKPRVQTYETFYKQENDKPLDP >RHN41825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33371158:33377988:-1 gene:gene48187 transcript:rna48187 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MFMSKYKQRLFLCLVLFAWLYNGSVAMAASSEDSGSSKDLDKTPTWAVGCVCTVFILVSIILEKSLHKIGTWLGDRHKKALLEALEKVKAELMILGFLSLLLTFGQSYMVKLCMPANATDIMLPCQSRATRDAKNDEDEHHRKLLYYERRYLSEDGAPYNCKEGQEPLISVNGLHQLHILIFFLAVLHVIYSAVTMLLGRLKIRGWKAWEAETSSHGYEFATDPSRFRLTHETSFVRQHATFWTKIPIFFYISCFFRQFYRSVEKSDYLTLRNGFIAVHLSPGSKFNFQKYIKRSLEDDFKVVVGVSPVLWASFVVFLVLNVDGWHAMFWGSLIPVIIILAVGTKLQVTLSKMAIEITERHAVVQGIPLVQGSDKYFWFGKPQLLLHLIHFALFQNAFQITYFLWIWYSFGFINCFHADYKLAIVKVALGLVVLVLCSYITLPLYALVTQMGSTMKKSIFDDQTSKALKKWHNTVKKKHGVKLGKSSVRTRDGSTTDSTVHSIGPTLHRHKTTGHSTRYENDQDDYQSDIELSPTSPTSNLLAVRVDNLEQDAKENEHQAILETIEQQQTVLRSLSFVKPDHPERSAN >RHN41860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33647436:33647927:1 gene:gene48223 transcript:rna48223 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGGTIELRERGQIGFYHVVFQNLSPCENYREIELLIDFTNLDPEARRKRWELFLLSPKQVPYISELKKNKLTDINVFMECG >RHN54323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8663828:8665537:-1 gene:gene29335 transcript:rna29335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKRKNGSITPARASLRRSNKAKGRVDELYPYFDNLPSHIIAHMLLHLPIKSLLICECVCKIWKTLISEPHFAKLNFERSQVCLMIRIDDCRLVSRTMYLLECDPEKFQIGSNSRVKLAPIFKLPLRNANSYKEKIENKPKCPIRAMRLVLEKNGENSNGPLVICNPVTGEFIRLPKATANLIHNDIVDKVSGIAAFGFQPKTNEYKVMYIWDKYVRRGNGWVFDHIVLEINTLGTPSWRNAEVDPQISIGSGLSLHYPTYVNGALHWIRFEDEERSILCFCFESERLQSFPSPPVFQNQNNGFRRNERIRMGELRGLLYICDTYSFRDVAMWVMNEYDIGESWTKVYNIDTLISPLGRPDSQRYGLCWPVKNFEEGAAILLYHSCNCLIYYEPKKHAFKVFRIHGISSEFVEIIPHVPSLISLKDVVKGDNTEMLNIHSRCANVKLEEENEVLSLSQQVL >RHN44161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2101321:2101706:-1 gene:gene38248 transcript:rna38248 gene_biotype:protein_coding transcript_biotype:protein_coding MAINLRMYISPNIIKVMKRLQMNIVLFGQSFFNQHFHHNANTLMCNFVDVLTI >RHN70892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54801421:54802528:1 gene:gene19524 transcript:rna19524 gene_biotype:protein_coding transcript_biotype:protein_coding MITENYRSMKLHTETECCLPNNVTDITCMDNQPTVVIEKLSKTVRLLGFMLQNGVSKSNRVIQDMQEVMKRGKNIGKALNSVMVKHHEALTCRPRDADMYFISPLEYQFSCSSSPPRLSRGGASSSRRKLLSPAKEDGRRQMKVCRGNERRRVKMTTLSRETEKEKEFHVDQAAEEFIEKFYRELRLQKWLDHHQHQHQHHY >RHN68301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34416614:34423081:-1 gene:gene16635 transcript:rna16635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-1-pyrroline-5-carboxylate synthase MANADPCRDFVKDVKRIIIKVGTAVVTRQDGRLAVGKLGALCEQIKELNILGYEVILVSSGAVGLGRQRLRYRKLIQSSFADLQKPQVELDGKACAAVGQSSLMATYDDLFSQLDVTSAQLLVTDNDFRDQDFRNQLSETVKSLLALKVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLSALLALELKADLLILLSDVDGLYNGPPSDPLSKLIHTYIKEKHQNEITFGDKSRVGRGGMTTKVKASVHAADAGIPVIITSGNAAENLTKILQGQRIGTLFHKDAHKWVPSKEVDVREMAVAARDCSRRLQAVSSEERKQILLNIADALQSREKEIRIENEADVVAAQEAGYEKSLVARLVLKSEKIVGLANNIRIIANMEDPIGRVLKRTELAEGLILEKTSSPLGVLLIIFESRPDALVQIASLAIRSGNGLLLKGGKEANRSNAILHKVITEAIPDTVGSKLIGLVTSRAEIPELLKLDDVIDLVIPRGSNKLVSDIKSSTKIPVLGHADGICHVYVDKSANLEMAKQIVLDAKTDYPSGCNAMETLLVHKDLVEKGWLNSISVNLRSEGVTLYGGPKASSLLNVPLARSLHHEYCSLACTVEIVDDVYAAIDHINRYGSAHTDSIVTEDHEVANVFLRQVDSAAVFHNASTRFSDGARFGLGAEVGISTSRIHARGPVGVDGLLTTRWLLKGNGQVVDGDKKVTYTHRDITT >RHN71777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2557081:2559132:-1 gene:gene7412 transcript:rna7412 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYGFLICILVMVMDIVAGILGIQAEITQNKEKPMKMWIFDCRYPSHQAFQLGLAALILLSLAHIIANLLGGCVCVWSKDQYRSATANKQLSMAFLIFSWLVMAVAFSMLIIGTLANSRSRKSCGIFNHRFLFIGGILCFIHGLFTVPYYVSATATRREEKRQLESLGPAVRRT >RHN69239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42040858:42045967:-1 gene:gene17681 transcript:rna17681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MQTKKKASRRNGSRECTSPKVSRAQKKASDHSQVVEKKVADLITSSARKIKPPCALEDKSDEPTPLTYLNNEYDLDKGISSTIPENEAVDGASVDFEGCNKQAVPLESGTIFSPGFHLSKGSGGKVADRVDFVKIFRCEDQQRISLDQEATQLSQEDAGDGHVSQDSDSAMEVDIKNSSNIPAISSQNVNGCNTDFDGNGLSVEVPTIYLAMKNSKLECVDEHAQDSISSDMCPEEDEFEDFDDFDPYLFIKTLPDLSKVVPTFRRMLLPKQTRSCPPITLVLDLDETLVHSSLEPCEDVDFTFTVNFNSEEHIVYVRCRPHLKEFLERVSGLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVFRESCVYVEGNYLKDLTVLGRDLAHVMIIDNSPQAFGFQVDNGIPIESWFDDPSDKELLLLLPFLESLVGVDDVRPKIAQRFKLREKIAAAVHPLNTNRRDFLSE >RHN50448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7208964:7212236:-1 gene:gene34731 transcript:rna34731 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDWSKLPTELLNLISQRIYEEVDLIHFRSVCSTWRSSSVPKHHHKFRFQFPLLKFPFNADFINKNRSFCYITKQNIFLIKPPQQEQTLTLRPLLIRICQNARGKSKLYHPLLQNGYKFPHTFMLDFNKLSILHLGSNFFMIDFDFTFNDKLYNPDDYMYPKKVVVVTCHGKKPLIVGTLTSPPQPLLMKCGDEKWNVIPDMSTKFGDICLFKGQSYAVDKIGKTVVVGPDSSVQLVAEPLIGGGDMKFLVESEGDLLLADVYNCLFTDLCNPDHNDCVRIDLFKLNEKEKKWVKLTSLGDRVLFLGLGLVCSFSACASDLCVAKGNSVIFNNYIFESHRPLESECKEYVLDLDLGRHSLLSDYPEYSNLFWPPPEWIRPRYDGLNWVGADGFFTCEYNVITPECSRLKKIRGFFNH >RHN74462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33619816:33621810:1 gene:gene10538 transcript:rna10538 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLKRETEIKEQRCRSIMHANESSSHDSIPRGESLFIRDHLVGKTLLIGKSPYVSPADLCVTTESNALPSSIVCLFNEFVDGVSFYKMFRGNGAYDLELCDIVHNEAPLAFPDMQKSDFQRKSLGLVPCLKLSNFSLANHFLHFVRLCFYSILLGFISSFVERLDLYCSLRLLFHKDILHDFSTSQHVYDPYYKPHTSLIE >RHN57522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39764754:39767558:1 gene:gene33077 transcript:rna33077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MDAKAATNFGNMILNRTIFKYAYINTTASDPGGKVLELNVCIDMPPKVVSDGFWANHDQAAMPMQSTLPLLELQILTIFVITQCFHLVLKRIGVPYFVSQILAGLVLGPSSLKISNRWNGFKNILFPYGIEDVISVISLIGYAFFLFLCCVKMDFTIITRTGRKAWAIAFCSFMIPTFVGLVVCYRFSEYWGHKMGDFEAKNLPVIVIGQSGCYFVVIASLLSDLEILNSELGRLALSIAMVMDSFNSIVTGIGTAFVSSLPADLSKGADGAAHVKAFLAVFYYICFMVVTPLVVRPILQWFVSRTPEGRPVKKEYTYIVFVMALAVGMLALVPKQSIIGGMCLLGLIVPEGPPLGTEMIKQLELFCSWFLFPIFVTSCAMKVDLNMHVKSEYVYVWLGFIVAIHLFKMLVTTGICWYCNMPMIDGLCLALMLSCKGVVDFCTNVFLHDAKLFSKESLSVMSLNVLVIGTLARIGVKFLYDPSRKYAGYQKRNILSLKPNSELKIVSCILKPSHIIPIKNVFDICSPTSNNPLVVHIIHLMELVGRSSPVFISHRLQERIGSGRYAFSEDVIVTFDLFEHDNLGTAKVNTYTAISPMGLMHDDICYLALDKLASIIIVPFHLRWLEDGSVESGDANIRSLNTKVLERAPCSVAILVNRGYSSPFNHNDNTKQIAMIFLGGPDDREALCLAKRTIKEDTYHLVVYHLVSSNKNEEATNWEVMLDDELLKSVKGVYGSVDNVTYEKVEVENSSDTTAFISDIANQHDFIIVGRRNGIKSPQTQALASWTEYPELGVLGDLLASPDTITKASILVVQQQLMPKP >RHN80509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38681750:38683940:1 gene:gene4444 transcript:rna4444 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGHLMYKYSISHHLKAFTNAINESTSLFSEGYLISREECLGKEEYCGEASQPSSLFAHSFPSPSALNFLTFTPDPTKFSAKSTYDSSIALNLCFKNVTIPAPISFYRFPS >RHN41678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32010916:32014083:1 gene:gene48028 transcript:rna48028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MTNLDILRPAAEAGNIEDLYTVIEKVPSILREIDLEQFVETPLHIAAFNGHLPFAIEVMNLKPSLAFKLNKQGFSPIHLAMQKKEKTMVLCFVDMNKDLVRVKGKEGLTPLHYASQIGEVDLLAYFLNACPESIEYLTVRCETALHIAINNAQFDALKVLVGWLEKNYRIGAIDMENKILNQKDVAGNTILHISASTSDQHEAVRLLVNTKINLKTKNFEDKTALDVATTESKKILCSAGAKSGTEVIDAHTPAYKLSSETTIIDKLSIYMLRISRNIKDEQRNTMLIVAALVVTATYQSVLSPPGGVYQGTLVSRSG >RHN55436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18273521:18277166:-1 gene:gene30616 transcript:rna30616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endosulphine MSEANNVEDVKKQEVHEDSSNNQASDKDANNESMPSPQQEEDAVRKKYGGKLPKKAPLISKDHERAYFDSADWALGKQGAQKPKGPLEALRPKLQPTHQQTRSRRSAYAPADGSEVDGDNAELEDPSASEDVSGNKSDAAQDQKQP >RHN81179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43870057:43871435:1 gene:gene5185 transcript:rna5185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MGDDEKVHINIVFIGNVDSGKSTTGGHLIYKLGGIKKDVIEKFEKEAAEMNKRSFKYAWVLDKLKAERERGVTIDISMSKFETTKYHCTLIDAPGHRFHQEHVNRHTTEHALLAYTLGVNQMICCCNKMDATTPKYSKGRFEELVTNFSPLLIKVGYNLSDIPFIPISGFVGENIIERSTNLDWYKGPTLFEAIDQIKVPKRPSDKPLRLPIQSVYMIGGIGVVPVGRVMTGVLKPGMAVTFAPTGVQTEVRSVEMHHEGLIEALPGDIVGFNVKNMSVKDLKQGYVASNSNDDPAMEAVKFTSHIIVINHPDGKIPLGYTPIVDCHTSHIPVRFARFVNKLDRRCNFVLEQEPKFLENGDGGLVEMIPTKPMVSETRVELSLLESSRM >RHN38876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2557228:2557885:-1 gene:gene44850 transcript:rna44850 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLQRLRDYMSGLCYEGYLHIQHIMEEEHEHISKRILWRPKMVSPMELDELRAIFL >RHN74712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36080432:36081963:-1 gene:gene10820 transcript:rna10820 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEEPEMGNLIFLVVFVISIVDCVVCAVCPSSCAVYLCREFDFSSPVVSPSFADTRRGCFLVWLASLSPIAAVCGIFAVTAC >RHN76042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47244112:47250516:-1 gene:gene12315 transcript:rna12315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UAA transporter MSEPPPSSSSSTTSTAVSFRDNLWKGTFAVSGIMLTLVTYGLLQEKIMRIPYGAEKEYFKHSLFLVFCNRIMTSAVSAGSLLASKKALDPVAPIYKYSLVSVTNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMVWGTLIMQKRYKGPDYLLAFLVTLGCSVFILYPAGTDISPYSRGRENTVWGVLLMVGYLGFDGFTSTFQDKMFRGYDMEIHNQIFYTTLCSCLLSLTGLIVQGQMISAVEFVYRHHDCFFDIALLSTVATISQFFISYTIRTFGALTFATIMTTRQLVSIMLSCVWFSHPLSWEQWIGAVIVFGSLYAKSFWKKAPQKTTSSVAPVQNENSNDLKDNP >RHN62138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41024084:41024995:1 gene:gene24674 transcript:rna24674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative secoisolariciresinol dehydrogenase MASIAKRLEGKVAIITGGASGIGAATAKLFVQHGAKVIIADIQDELGQSLCKNLGTKNILCVHCDVTIESDIKTVVDIAVSNYGKLDIMFNNAGISDDKNREILNYDSEAFKRVFDVNVYGAFLGAKHAARVMIPQKKGVILFTASVATKIAGETTHAYTCSKHALVGLTKNLCVELGKYGIRVNCISPPALPTPILMNSLKLNKNEVEQVLSSAGVLKEVVPKVEDIAEAALYLSSDESKLVNGVNFVLDGGYSTTNMSCFLAFKSLMKMDK >RHN65306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1071774:1072943:1 gene:gene13131 transcript:rna13131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MALDSEGGYKQNNTIYSQIFAKRRQLTGTLTSPSLPALPLPTLPFELIEEILARLPVKLLLQLRCACKSWNFLISNTKFHKKHLSLSTTHTLHCVSYSFKYVLKSYPLDSLFTNVTTTDIGQLKHSLCNVSLVGSCNGILCLAVYYVGSALIQFRLWNPSIRKLKELPPDKNSRDRLPLRGIMMYGFGYDVVNDNYKVVSVLRACECISGNFVKKDEVKVHTLGANSWKRIPMFPFAVVPIQKSGQCVSGTINWLVSKDTEKSQCFILSLDMRKDSYQKVFLPNDGKVDGCSLHLSVFRDCLTVFCGDDVWVMKEYGNNESWTKLFTILYRPAFMKAIYVFKDEQVLLKPTEDWAGDYIFNNCRDGTSKSIDFENTPEVCVESLISPCP >RHN56762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33512509:33516585:-1 gene:gene32205 transcript:rna32205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative KH domain containing protein, SPIN1 MSGLYNNQISSPGTARANSPNINMRSNFDVDSQYLTELVAEYQKLGPFMQVLPLCTRLLNQEILRASGKSGLMQNQGFSDYDRVQFGSTKPSLMPSLDTTSSFTGWNSLSHEGLNVDWQRAPAISNSHIVKKMLRLDIPHDNHPTFNFVGRLLGPRGNSLKRVEATTGCRVFIRGKGSIKDFDKEELLRGRPGFEHLNEPLHILIEAELPVNVVDLRLRQAQEIIEELLKPVDESQDIYKRQQLRELAMLNSSFREESPQLSGSLSPFTSNEMIKRAKTDQ >RHN53468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1828249:1828977:-1 gene:gene28377 transcript:rna28377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MEESNNMQEQEHANVTPPTSYIGVRKRKWGKFVSEIREPGKKSRIWLGSYEEPQMAAAAYDIAAFHLKGHAAKLNFPDMIEKLPMPASSKAEDIRVAAQQGALQFKRRPSSSSSEGGVNSINISDSNSNNDSVVPARVGLSPSQIQAINEAPLDSPKMWMQMFGFQFDEYRNYDNNVLELSEWEEIQYESLWD >RHN79743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31796152:31798691:1 gene:gene3575 transcript:rna3575 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRDEDDAFVLARTEWFAPLCDIDVGEAVGLHTSLDWLSNQRFDNVDFVLDCKRVVDCINSSLDDSSEFGCIITACKQLLVDRFHNSHVEFSRRQANRVAHELAQATLSNPSRHVIDDVPTCI >RHN52183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32430056:32433817:1 gene:gene36822 transcript:rna36822 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCSYDRVCFFRCNFSNSLFPSCLNSRYSPIVMLPILNPLVGEKVILFSALLASILLGVLYKA >RHN81588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47313333:47313677:1 gene:gene5657 transcript:rna5657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGRSWSSSAFVCSPKDEVEETSVGRFFSCGFGVKERFWNRQQNTEKSIEVKSEIFLLPDGILEMCLDRVACESLDNARLVCKKWSSLITIAKVLQKKKEYRYQNLWFFVFGTCL >RHN42054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35102748:35106038:1 gene:gene48445 transcript:rna48445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAPSSFSSHAVALKKYDVFISFRGEDTRAGFTSHLYETFLQSKFHTYIDYRIQKGDHVWAELTKAIKQSTIFLVVFSKNYASSTWCLNELVEIMECSNKDNVAVIPVFYHIDPSRVRKQTGSYGTALAKHKKQGCDHKMMQNWKNALFQAANLSGFHSTTYRTESDLIEDITRVVLRKLNHKYTNELTCNFILDENYRTIQSLIKKIDSIEVQIIGLWGMGGIGKTTLAAALFQRVSFKYEGSCFLENVTEVSKRHGINFICNKLLSKLLREDLDIESAKVIPSMIMRRLKRMKSFIVLDDVHTLELLQNLIGVGNGWLGDGSIVIVTTRDKHVLVSGGIDKIHQVKEMNSRNSLQLFSFNAFDKVLPKEGYVELSERVIDYAKGNPLALKVLGSFLCSKSEIEWNCALAKLKEIPNAEIDKIMRWSYNELDDKEKNIFLDIACFFKGHERDRMTTILNQCGFFADIGIRTLLDKALIRVDFENCIQMHDLIQEMGKQVVREESLKNPEQSSRLWDPKEVYDVLKNNRETKIVEAIFLDATESRHINLSPKTFEKMPNLRLLAFRDHKGIKSVSLPSGLDSLPKNLRYFLWDGYPSKSLPPTFCPEMLVEFSLQDSHVENLWNGELNLPNLEILDLSNSKKLIECPNVSGSLNLKYVRLNGCLSLPEVDSSIFFLQKLESLIIDGCISLKSISSNTCSPALRELNAMNCINLQEFSVTFSSVDNLFLSLPEFGANKFPSSILHTKNLEYFLSPISDSLVDLPENFANCIWLANSLKGERDSSIILHKILPSPAFLSVKHLILFGNDVPFLSEIPDNISLLSSLKSLRLFNIAIRSLPETIMYLPQLESLSVFNCKMLLFRLFQSSFHFSLSGIVNLLRKCCVQ >RHN72770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10568787:10571780:-1 gene:gene8524 transcript:rna8524 gene_biotype:protein_coding transcript_biotype:protein_coding MNANTGKTFKIPDAIEMRKDEIGVPHVLRTRKDEMGVPYSTESRKLDLGVPYLTGVSYDEAIQVAHAGEIVAVHDVRSAPGDTFTDGLVRYTKASTDVSELVSGVSGVQLMIQVYKQDSDFGNVEITRILC >RHN51921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28435394:28440474:-1 gene:gene36493 transcript:rna36493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MFLKSTMSLFSFSVTFAFTLTFLLMLNSTTPQTQSPKPCNDPYKQLNLILNPNGTITRLNKPPDTPPSLDPTLPVLSKDLIINQSKGTWARIYLPHKVLDHTSNKLPLVVFFHGGGFILLSAASTMFHNFCLNMAKDVEVVVASIEYRLAPEHRLPAAYDDAVEALHWIKANLSDDWLRNHVDYSNVFLMGSSAGGNIAYNTGLRVAKAEDEISKMIKGLILIQPFFSGIHRTHSEVRLANESHLLLCNNDMLWELSLPVGVNRDYEYCNPTVGDSVLGLENIRRLGWCVLVTDCDGDPVVDRSIGLVRLMEEKRVKVVGHFTKGDYHGVQDNEPLKAKELFVPFCYIGGWNGYLID >RHN77856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9966520:9968300:-1 gene:gene1356 transcript:rna1356 gene_biotype:protein_coding transcript_biotype:protein_coding MSCWWFLLSFSMGRIGGRGYFPDSRPAGVFVPRPRSLPRGNIFPDPRPRGSPLFFCPKLKLFYTQMSS >RHN71863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3137434:3139394:-1 gene:gene7503 transcript:rna7503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MADPTQPHNSPPTKQDDAVAPKQIPKPLNSKLNPPQRALVIKAPKNQTFSVSPSEKARRYQEYTRLRQRPNRRCRCFCWFMALTFLLIVLLGIATGTLYLILRPKALKYSIQDINIKGMNTTWPSSEATILPKFDLTVRASNPNDKIEIFYEKDNSAEIFYNNVKLCKGVLPEFYQPSNNVTVLKTVLEGIKLGREDQKALVEVQTEEQEVLLITKLRSYVKIKVGSVKTWKMAINVDCGVTLDGLRENPEIVSSNCDKFRVNIL >RHN70906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54947499:54954072:-1 gene:gene19540 transcript:rna19540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polynucleotide adenylyltransferase MVVSDSPNGGSTPPPPQQEQANKDRFTKPISIAGPTEADLNRNTELEKFLVDSGLYECNEEAASREEVLRRLDQIVKSWVKQLTRQRGYTDQMVEDANAVIFTFGSYRLGVHGPGVDIDTLCIGPSYVNREQDFFIILHNILAEMEEVTELQPVPDAHVPVMKFKFQGISIDLLYASISILVVPEDLDISDGSVLYDVDEQTVRSLNGCRVADQILKLVPNVEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWAILVARVCQLYPNAIPSMLVSRFFRVYSQWRWPNPVMLCPIEENELHLPIWDPRRNHRDRYHLMPIITPAYPCMNSSYNVSTSTLRVMMEQFCYGNKICDEIELNKSQWSALFQPYVFFEAYKNYLQVDIIALDADDLLLWRGWVESRLRQLTLKIERDTSGMLQCHPYPHEYADTSKPCAHSAFFMGLQRKEGVRGQEGQQFDIRGTVDEFRREIIMYVYWKPGMEIYVSHVRRKQLPAFVFPDGYKRTRMPRHINHAAEKMGDDTTKCNSGSSSSERSVKRKKNPEMMDVKPDKPEKRISVSPQRLECVSPESCTSKLGGTPQMSIECIEGVRLDGSTTNDTDNNCEIKSSDGLSGSGTIIDVGDMQINETSFVDSTHDRLKSRALEVQNENGVNEDKARDMTFVCLERAETISTKSLPNWEEGAVGIDQQLDKACNFTRAECSDYAPIASTQNLNCEVSFFI >RHN66752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18254588:18254728:-1 gene:gene14828 transcript:rna14828 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGFPAHPWGVINIGRSVNTEAEPSAAAGVPFPQYMLSGKYP >RHN54649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11028170:11048823:-1 gene:gene29719 transcript:rna29719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MGYDSDNSQDGRNEDDEEEYEESGKGNHFLGFMFGNVDNSGDLDVDYLDEDAKEHLSALADKLGPSLTDIDLSGKSPRTPPGIVEQDCGEKAEDAVDYEDIDEEYDGPETETANEEDYLLPKKDFFAAEASLEALERKTSVFDDENYDEESDKEQDFVNNEAKVDNISLSVEQEESFVDASKEGSALEHDLQVSLQTEELDADVQTPEEVPEFLKRSMATPLPVLYVDDGKAVLRFSEIFGIQEPPRKGEKKERRHSTPRDRYKSFDLSDDIVEEDEEEFLKGFSESLTLNKQVCVVRTDVSENNVDLEFPKFGFLHGDASLTVKDDRQPKDSCLSGEPMKGDFADDLAWKDHTLMLANFYPLDQRDWEDEILWGNSPAASDNDNNVESCEISGSELRTSDGGEIEIETRNNLQSVPLKILEEKDHNVFTCCSPVSLDPLDSRDSNGVKTNSISESLFHPQLLRLEVDSSHIEDGRGVDVSEKHNQIGQAKRLTKVMSQNRDLMDDSWVDKIMWEELDRPKMKPKLIFDLQDNQMHFEVLDSNDGTHLHLHAGAMILTRSLKSISVESSELPGHGGQYGWRYVANDKHYSNRKTSQQLKSNSKKRSAHGVKIFHSQSALKLQTMKLKLSNKDIANFHRPRALWYPHDNEVAVKEQGKLPTHGPMKIIMKSLGGKGCKLHVGAEETLSSVKAKASKKLDFKATETVKIFYLGRELDDQISLIAQNVQPNSLLHLVRTKIHLWPRAQKVPGENKSLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLLLSNVGMGARLCTYYQKSSPDDHSGAALLRNTDSSLGHIISLDPADKSPFLGDLKPGSSQSSLETNMYRAPVFAHKVPPTDYLLVRSPKGKLSLRRIDKVNVVGQQEPLMEVFSPGSKNLQTFMMNRLLVHMCREFQAAEKQHLSPYIRIDDFLSQFPFLSEASFRKRIKEYANLQRGANGQSIFVKKRNFRMWSEDELRKMVTPELVCAFESMQAGLYRLKHLGITETHPNNISSAMSRLPDEAIALAAASHMERELQITPWNLSSNFVACTSQGKENIERMEITGVGDPSGRGLGFSYARAPPKAPVSNAMVKKKAAANRGGSTVTGTDADLRRLSMEAAREVLLKFNVPEEDIANQTRWHRIATIRKLSSEQAASGVKVDPTTIGKYARGGQRMSFLQLQQQTREKCQEIWDRQVQSLSTLNGDDNESDSEGNSDLDSFAGDLENLLDAEEFEDGEEATNDLKRDKGDGVKALKMRRRTTLAQTEEEIQDEAAEAAELCRLLMDDDEAYRKKKKKGKVMVNPRRLVPKLQPKFVFDNTEQVKQITNTLQLNGSNHFKEDALTDHREEENLSAKKSKSVKVNKVKKNDISPISVPNKKIKLNMGEGIKVFKEKKPSRETFVCGACGQLGHMRTNKNCPKYGEDPEAQLESTDMEKPTGKSSFGDPSSQSQHQLPSKKSISKIVTKLAPVENSTKIPLKFKCSSTEKSSDRPAVETLQSSDKPVTSDKPVISDSETAKSAKISKIIIPNKVKSDDTQAESLKHAIVIRPPTDPGRGQVDSHKFPIKIRPPAEIDRERSHKKIVIKRTKDVVDLELDSPGGNTGFEHRKTKRIVELANFEKHRKQETMYSTESLVKRNSKEDRRWWEEQEKRRNEARLREDKARRYRKEEMRMQEQERLNDLKMQEQERLDDLRRYEEDIRKEREEEERQKAKKKKKKRKPELRDEYLDDSRERRHGKRMLERERSGKRRSVVELGKFGEDFMPPTKRRRGGGGEVGLANILESIVDAIVKDRYDLSNLFLKPVPKKLAPDYLDIIERPMDLSKIRERVRNMEYKSREDFRHDVWQITFNAHKYNDGRNPGIPPVADMLLEYCDYLLNENDDSLTAAEAGIETKDF >RHN57572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40174566:40175264:-1 gene:gene33141 transcript:rna33141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MAEKEFAPFSQSSNSKNLEFLENKSERFYAPSGSSSAIVGEIIGHSTSLPNTTTTNNNNEQLVMPTSPPRAPSSKRSRGRPKGSKNKPKTPAVVMVEPQTLMKQIFIEIPAGYDVLESIIKMAWRHEADITVLRGFGIVSDITIHSSLSHTPPLTIEGPVQMTSLSGTYVNPNVDNVPSEVIANPACSSFSIFLSGSHGQVYGGIVVGKVMTSSVVMISATLMKKTKFYMVA >RHN51235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15267660:15273691:1 gene:gene35641 transcript:rna35641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-methylene-furan-3-one reductase MATEVSRNDPTNITIPTHTKAWFYSEHGKASDILKLHPNWSIPQLKDDQVLIKVVAASLNPVDYKRMHALFKETDPHLPIVPGFDVAGIVIKVGSEVVKFKVGDEIYGDINEEGLSNLKILGTLSEYTIAEERLLAHKPKNLSFIEAASIPLAMETAYEGLERAQLSAGKSILVLGGAGGVGSFAIQLAKHVYGASKIAATSSTGKIEFLRKLGVDLPIDYTKENFEDLPEKFDVVYDGVGEVDRAMKAIKEGGKVVTIVPPGFPPAIFFVLTSKGSILEKLRPYFESGQLKPILDSKTPVPFSEVIEAYSYLETSRATGKVVIYPIP >RHN77526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7427390:7432663:1 gene:gene990 transcript:rna990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP-binding protein Obg/CgtA MASSLISPPPSFLTIHLPKTRFSNNHFLQLPQRTFKFQQHKRKTIQCRIQTLNPTQPPPSPALTKEPHKFFDQVIITVRGGDGGHGAILNQKPKKEIEKPKSKTKKAIIDNSHKKSALKRDFDGSLILPMGGHGGDVILYADEGKDTLLEFHSKSRFNAKRGGNVDAVGVFTSYLRNGISAPTVRIPVPLGTVVKSKRGKMLADLARPGDEVLVARGGQGGISLLEMPRHNRKKATSLTTNVMRDDSDKVLVHGQPGEEVKLELILRVVADVGLIGLPNAGKSTLLAAITLAKPDIADYPFTTLMPNLGRLDGDPNLGAGMYSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRLLVHVVDASTENPVNDYRTVREELRMYNPEYLERPYIVVLNKIDLPEVEDRLPTLTQEIMRIGSNGAASEPKPSSEVSAQLLSEESDPKEKKLEDYPRPHSVIGVSVLKRIRVSEMLKEIRAALRQCVDSKEPLVSSVPR >RHN78444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15163185:15166464:1 gene:gene2011 transcript:rna2011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzyl alcohol O-benzoyltransferase MAHALVFTVKRREPELITPSKPTPHETKLLSDIDDQDSLRWQVPGIQFYKYDPNMAGKDPVDIIRKALAKTLVFYYPFAGRLREGPGRKLMVDCTGEGVLFIEADADVSLKEFGEDLHPPFPCIDELLYDVPGSSDMLNTPLLLIQVTRLKCGGFIFAFRMNHTMSDGSGMLQFLNALGEISRGMSKPSISPVWSRELLNARDPPIVTCTHREYDPEPDNKGTMFPLDDMVHRTFFIGPTEVAAIRSLLPTHQLQKYSNFEVIAAYFWRCRTIALQLDSNEEVRMICLVDARNKSVYKQLPKGYYGNAIAFPVAVTTAGKLIENPLEYALNLVKKAKANVNKEYMHSVADLMVIKGRPPLTTAGLYLVSDITRAGLGDVEFGWGKALYGGMAKGGDVAIPGQAVFHIPFKNAKGEEGLVIPFFLPAQVMERLVKEFHSLLMCMHTKSDPKSGFINSSL >RHN56741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33329121:33329828:1 gene:gene32182 transcript:rna32182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M41 MLARLDCYMGGRVAEELIFRQSGVTAGASSDLLKATTLARKMVTKYGMSTEVGPVSHSYLDKRRSMSSETRLLIEKELHVLAKALLKNQTLTGRQIKNLLANVKSRKKWQSRVVKAQGSSQSNPAADEAEASDATDHVAAVSGATTNAAEAGPSTAAKAQGVDAVEGPEVHNDQQGLPPIQPS >RHN51952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29053662:29054747:1 gene:gene36530 transcript:rna36530 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLWGEIILTSIHLTVHVPRPLLYWCRVAIAYVLSTRVLINVSDRRVFSPFSCSPFSSALKAETAHFITSLLIFQLLVFLSFRLLTVSRHLPFTGTTFSFSFRNFYSSMVLIRECDERGKELAPSDFLDRQKLRLKCLKHATQKNGKINWEVYDSSYFGHMTFSNISSESDCSESEDSDCVLLYLTSGSHPGGDVLAISPSKMVRGKGSRIACLESDTEGFSDDVQRYESSYNDQAKVNYFRSKISISATKREEDIVLAPCPAGEKVCTMRLRGVKKIFHMYAAVLEEFGVKFPFTLFEIDVLRLLNVAPTQIHPNSWAFIRGFEIFCDALDMLSSAGVFFHFDGTKGVDTGSWVSISAH >RHN50591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8380480:8381678:1 gene:gene34897 transcript:rna34897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSNKYSYPSLLLLSISLTLFFQSTNAGDLVIYWGQDNGDGSLRDTCNTGLFNIVNIAFLSTFGSGRQPQLNLAGHCNPPNCKNLRDSINICQSRGIKVMLSIGGEDRNTYSFSSPEDANQLADYIWNNFLGVLLNCCSIVPGACNFVSNNPTSFKNSWSQWINSMSTKKVFVGLPASSSNAAPSGGFVEAQDLINQLLPIVKPSPKYGGVMLWNRRFDVTSGYSSKIKASV >RHN47702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41767805:41772394:-1 gene:gene42329 transcript:rna42329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling SNF2 family MEDSQEPFSQSQSETYLAGFVMANIVGIKHYSGTITGREILGLIREPLNPYDSNAIKVLNTQTLQVGYIERAVASALAPLLDAHIIHVEAIVQPRSNNNKFRIPCQIHIFAHQSSFDAVHDAFNGSNVHFISYSDPSFTLSHSAAVKETRADTFNSDSVTTGNNNSKNLDQIFKLVRENLASKTLVSEPLNPPSSIIKSELLQHQKEALGWLYHRESTQDLPPFWEEKVGNFVNVLTNYQTNARPEPLRGGIFADGMGLGKTLTLLSLISYDKMKMKSGKKRGRSSVERVESETNGTLIVCPPSVISTWITQLEEHTNRGTLKVYMYYGDRRTQDAEELRKYDIVLTTYATLGAELRCSDTPVKKLGWRRIVLDEAHTIKNVNAGQSQAVIALNAKRRWAVTGTPIQNGSYDLFSLMAFLHFEPFSIKSYWQSLVQRPLNQGKQTGMSRLQVLMSAISLRRTKDTALGGLPPKIVETCYVELSFEERKLYDEVKEEIKSLMMHHNSNDRLVSSYSTVLSMILRLRQICADFSMVPLDFKSCLFSSTDIEDVSKNPELLQTLIRMLQDGEDFDCPICLSPPTDIVITCCAHIFCRECILKTLQRSNSSCPLCRRSLSETELFSAPPESFKTDDTDVTTELCTAEVRSSTKVSTLIKLLTESRDQNPATKSVVFSQFRKMLLLLEEPLKAAGFKTLRLDGTMNAKQRAQVIEQFQLSEVDEPMILLASLRASSTGINLTAASRVYLMEPWWNPAVEEQAMDRVHRIGQKEEVKIVRLIAKNSIEEKILMLQEKKKKTITSRGSGRRSRDIAGMGIEDLHFVLGE >RHN51315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16107254:16107577:-1 gene:gene35737 transcript:rna35737 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSGSGKVRWFPKKSLIASLSKQMVLPLTVVVKDKICIELFQKVQVNLGVRLKEFGPLAVHKHVKDVLVFTIWFNVKDFPRPGLVLVHFEEPGILAFGWESALVI >RHN64822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62234473:62235435:1 gene:gene27686 transcript:rna27686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAKPDLGLDSAKRKAVTHDIVLDDEPSAIGSNKKLKKEWSCELCQIKASSENGLNDHLNGKKHKAREARQKSEKTVKNATDAVVNTTISRVDENGVDAESKTEEQHVETMAGNGLNVTESKTEEKLIDTMIDKSVVESKNEEQLVEMMAENGVSVTESNNEKLEEMMVDKRVTESKNEEQHMEKNENVDSFESIKGAATEEVGRKSALTETIKVGGLWCELCQIGTTSKVVMEEHKKGKKHIKKMKMFNQKSLSSASSVS >RHN61797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38439492:38455272:-1 gene:gene24293 transcript:rna24293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling DDT family MEACSEGHENKNKPLEEENRVKRKKKTPSQLEILEKTYAMETYPSEATRGELAVKLGLSDRQLQMWFCHRRQKDRKAVAPAGPSSVPMRDGVVKIEVTDVRNVSDFVSDLRPIGGMDLLGVVPLHEVMGFRRMGAALSEMDSSSSHEPHQTLHELQAIAFVESQLGESLRDDGPILGMEFDSVPLGAFGAPLEAVAVGQCRQPELSVEAKVYESLDKGVSKILHEYQFIPEQPTVKNEISERVTTAIHFSSLGGVPHSRTSLSSGAYFLNGNESAHNVYGVQGQKIPDLNLLSQSHQGRSNHLMPSASGGNDDVPRMNPFVDVTLETQMRAHQVTPKDGGLVPFDSRVIHEEEFSRFQRKRKNEEARMQRELEVQEKRIRKELVKQEILRQKREEQIKKEMERHDRERQKEEERLLRERQREEERFLREQRREQEQREKFLQKESIRIEKLRQKEELQRVKEAARIKAASERAVARRMVKDAMDLIEDERLELMELAASKKGLSSILALDYETMQNLESYRDGQTSFPPKSVQLKRAFSIQPWSDSDENVGNLLMVWRFLITFADILGIWPFTLDELIQAFHDYDPRILGEIHIALLRSIIKDIEDVARTPTTGLGGNQNSYTNSGGGHPQVVEGAYVWGFDIRNWQRHLNPLTWPEILRQFALSAGFGPQLKKHNIEQVHPSNNEVNDGKDIISNLRSGAAVENAVAIMQEKGLSNPRRHKHRLTPGTVKYAAFYVLALEGNRGLNILEIADKIQKSGLRDLTTSKSPEAAIASALSRDTELFERTAPSTYCVRPVYRKDPADSEAIFSAARERIRIFTSGFVGAEVADDGERDEDCESVMAKDPEIDDLGAQTNTKKEVSNFKEFNANTVMRSGKDNGEILQTRDSCREKVDEGLGLIVVESFDGRKDVRTSSEIAVCSNDIANPILKSMDVDENTLGEPWVQGLTEGEYSDLSVEERLHALVALITVTNEGNSIRVALEERLEAANALKKQMLAEAQLDKRHIKEDSFVKMQSFSYLGNKNEPAVTFPSLGGKQCPSHTVDVKNDKALLTPCGQREQIALQENQNPSQNSLLEVNMQSQDCSTGPDNYSIQQSIYAAEKARSNLKSYIDHLAEQTYMYRSLPLGLDRRRNRYWQFVTSASQNDPGAGRIFVELHDGCWKLIDSVEGFDALLVSLDLRGIRESHLHMMLQRIETSFKESVRRNVQNGEMIMQKGDTVKNLKKEAVKMAADLDCSADINCPTSVCIDDLDTSVASTSFTIQLGRNEIENKDAYMKYWDFEKWMRKECLNCSVSSAMKYGKKRCKQLLLICDLCGHVYFFREVQCPLCHRIFSTSQGNSSSYEHIAQSEGKMNIDADFFHDSSSSSTRMRLLKILLSVVEVTLPQEALQPFWTERYRKSWSSNLEASSSTEDILQMLTALEGAIKREYLASDYETTNELLDSVCSSGCLPNDIIGGEKIPVLPWVPFTTAAVALRLMDLDACIFYTSQQKQETKKDSKTGIVVKLPLKCAAAKNSCDGGAIETSFQTKHTVKNWGALGAGLESYNKGQRTRQGCSHSRGQRSQGIVTSSRSNSKKRSTTSNSRKEGRLLGWKGTPNGQGHTRGRRSIRSRKKPAAKMDVITSERGTPKDITELTAISAREEIDGGTEANALNARNSERSEYEDDVCQATRDKYDFVVDNNNNNGRYQGGFSGNPDNLIEQNHYNVDDEEDVDMDDSVNNDGKYGQVELNVEDYIIGGDSDEEYNKEENEDPDRVGSTSSGYSD >RHN40913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24250366:24258612:1 gene:gene47158 transcript:rna47158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MGRPPSNGGPAFRFTQPEVTEMEAILSEHNNAMPARDVLQALADKFSESPDRKGKITVQMKQVWNWFQNKRYAIRAKSSKTPAKLNITPMPRTDLTPGRIMTQPTASPIPAPSASVQTTAKAAPENSVMEFEAKSGRDGAWYDVATFLSYRHLESSDPEVLVRFAGFGSEEDEWINVRKNVRPRSLPCESSECVAVLPGDLILCFQEGKEQALYFDAHVLDAQRRRHDVRGCRCRFLVRYDHDQSEEIVPLRKICRRPETDYRLHQLHAVNDAAPTDQQKIALDHPANVHGARVTNPSEMVQKQQQIANIHIVTPVLQTNVSIPPQSMNVDPMKAETKADVQAGNSVTPSSAAFTGIIATSSVPEVSTQNLAEGK >RHN79903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33384366:33385499:1 gene:gene3758 transcript:rna3758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVDLPPEILTGILSLLPAQSLLRFRSTSKSLQSLIDSHNFIKLHLQNFLNRSLILRHNFDFYQIEDFSNLTTGVKLNIPFTGPINRMSLLGSCNGLLCISSNAGIAFWNPNIRKHRIIPFPPIPTPQHHESNNNIYVGFCIHGFGFDPLTNDYKLIRISCFVGVQHSTFESHVRLFSFKTNSWKELPTMPYTLSYARRTMGDFVENSLHWVMTRKLDLLQPRAIVAFNLTLEIFNEVPFPEIGEDVNSESFQIGISVLEGCLCMIVNYQTAKIDVWVMKEYGCRDSWCKLFTLAESCFSLPLRALRILGYSSDRSMVLLQVDREKLFWYDLKSECVSYVEGIPRVDHAIICVGSLVQPSFPRKENQTSKRRYFLLIT >RHN41455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30144452:30145388:-1 gene:gene47781 transcript:rna47781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MENNKTEIIKFVYAMIIFIFVFFDVSDVIAGESWFCFQDMTCPHNLCFPSKAVCISSQCICI >RHN50951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12166728:12175186:1 gene:gene35307 transcript:rna35307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MENFWSMICGDCGNVDNEGKPFCYDLALLKDPSSCINHVLVISFDVIVLTMLIFIMILKSSSRPFRSLVRYSNLQLVSAITNGFLGLLHLSLGVWILEEKLRKNHEVFPLNWWLLELFHGLTWLSISLSVSLQMKQLTRAWLWMFSALMLFVSFILCVLSVSYAIGSTELSLEAALDVLSFLGATLLLLCTYKACTCEVDPVNGESLYAPLNGQFNEVDPASNVTPFSNAGFFRKTSFWWLNPLMKTGLEKTLLDDDIPKLRVFDRAESCYFSFVEQLNKQKQHEPSSHLSVLWTIILCHKREILITGFFAFLKVLTLSSGPMILNEFILVAEGNESFKYEGYLLAISIFLVKIIESISQRQWYFRSRLVGVKIRSLLTAATYKKMLSLSNSARLNHSGGEIMNYVTVDAYRIGEFPFWFHQTWTTSLQLCIALIILFRTIGLATLASLVVIVLTVLCNAPLANLQHKFQTQLMVAQDERLKASSEALVNMKVLKLYAWETHFKNVVDNLRNVELNFLSPVQLRRTYHIFIFMTSLMLASTASFFACYFLKIPLNASNVFTLVATLRLVQDPIANIPEVIAAIIQAKVAFARIVNFLEAPELQSENFRNRCFKDDLKDTISIKCADFSWEGNSSKPSLRNINLDVRHGQKVAICGEVGSGKSTILATILGEVSKTKGTIDVHGKFGYVSQTAWIQTGTIRENILFGSELDDQRYQETLQRSSLEKDLELFPYGDLTEIGERGVNLSGGQKQRIQLARALYKNADIYLLDDPFSAVDAHTAKNLLNEYIMEGLKGKTVLLVTHQVDFLPAFDNILLMSNGAILQAGSYQQLLSSSQEFHNLVNAHKETAGSNQLVSVTSSERHSTSGKSTLDRVLKEFIPPSGNQLIQEEEREIGNTGLKPYLQYLNRTKGYILFSVASLCFLFSVVCQILQNSWMAAKVDDPLVSTLQLILVYFVIGVFSIIFLFIRCLLVVALGLQSSKDLFSQLMNSLFRAPMSFYDSTPLGRVLTRVSSDLSIMDIDIPFILSFAVGGTIVLYSNLIVLAVVTWKVLIVAIPMVYVAFRLQRYYFASAKELMRLNGTTKSSLANHVAETVAGAVTIRAFEGEDRSFEKNLDLIDNNASAFFHSFASNEWLIQRLETLSAVVLAAATLCMVMLPPGTFPSGIIGMALTYGLSLNGALIFAIQNQCTLANHIISVERLNQYMHIQSEAEEIIEGNRPPLNWPVAGEVEINNLKIRYRHGGPLVLHGITCTFKAGHKIGIVGRTGSGKSTLIGALFRLVEPAGGKIVVDGIDISSIGLHDLRSRFGIIPQDPTLFNGTVRFNLDPLSQHTDQEIWEVLGKCQLREVVQEKEEGLDSSVVEDGSNWSMGQRQLFCLGRALLRRSKILVLDEATASIDNSTDLIVQKTIRAEFADCTVITVAHRIPTVMDCNMVLAISDGKLAEYDEPTSLMKRENSMFRQLVKEYWSHFQSTESH >RHN53077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41831201:41832792:1 gene:gene37829 transcript:rna37829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRAPCCDKSKVKRGPWSNEEDDILKHYIQENGNAGNWISLPLKAGLNRCGKSCRLRWLNYLRPNIKLGGFTKEEDNIICTLFSTIGSRQVFYSFYVWSFIAAQLPGRTDNDVKNHWNTKLKKKYVAGNSSTSSTIYTFNNTTSSDHFSSTLTFQPQLEAPFVFDQKPNTPCFDSYNFLDLHETPISNIPLPIKMESEAFYTGSSLSSSCSTTPTTKEISSFSSAPFLEEQNNDNQCFEYDHDDAILLEMFLDDLLNHGPSSG >RHN74671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35609983:35611085:1 gene:gene10778 transcript:rna10778 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFSNSLLSVVQKESGVNEEHKVMFIKCTSRGLLSLWWLSNIYLDILHPKQKLLLELFCLSLFKVVFYLNIT >RHN80838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41182013:41182768:1 gene:gene4806 transcript:rna4806 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVRHRQPRSLYGAASLYNQHGRARTLHEKEIRVARSRDNTSTSCYSNYEPSPPRNRVGIVSNPFPQSLWWNDKDSKRKRRVATYRLYAAQGKLKSSLQKGYHRFKIACKKIVT >RHN80302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36997705:36998912:1 gene:gene4208 transcript:rna4208 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVMRRWCIFMRWFLMWRFVLWRWIFLWWLVLWRGMFMWWFFMRWFVFWWWMHMWWLVGSHAEVGALVVDAHEVVDILVVDVHVVAFHEVVGTLMVASFVVVSHEVVGTLVVVVHMVIFHEVVLDKEVGTQVVDAHVAVFREVVGTPAVDVHEVVDTLVVDAHVVVSHEVVDTQVVDAHVVVSREVVGILAAASHKVVYTQVVAFHEVAGTQAVVFHDEVVDTLVVVSHKVVCILAVGSHMVVFHDEVAHILVMDSHEVVDILVVALHVVVSHMVARTPEVASHVEDGILVVCGPEVYNLVVDELLEAYKLVVVPRLVEAHRWVVSRWEVHKWVVCNIQLTLE >RHN81787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48878442:48878711:-1 gene:gene5875 transcript:rna5875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA reductase MDIVVVGASNGIDAETTRVLVLHSVHVIMGMRNIVAAKDVKDIIVKDIPSAKLDIMELDLNSLDSVKKFASKLNSTSCCKKRRLITFVI >RHN58425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1609794:1610147:1 gene:gene20335 transcript:rna20335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MVLLIQVVTWFGFNVSLVNLPLVIKKTCEYTIKYGDGSISQGDLSLKTLTLDFTSGSSISFPKTVIGFGHNNTLSFEGKSSGLVGLGNGPVSLINQLGSSIGEAAIVSGHGVVSTPL >RHN55181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15799721:15811741:-1 gene:gene30319 transcript:rna30319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDCLTELGKEAVTKLGQLVVELSMKHFKYLTQHKKITINLEEELKNLKMMKQALQTKVDNERRKGHEIEPIVQKWLSDVTIIENEWQKWISNENNVNKKKKCFGGQCSDIAFNYSLGKQATKRIEYITSLKEEKNKFKDISYPKASLTLGSTFTKDVKSLLSREKIITEVIEKLKDDQVKMISICGMGGVGKTTLVKEVIKTIEKNNLFDEVVMAVVSQDVNYEKIQIQIADTLGMEFKKDSLLGRAMELLERLSKGKRVLIVLDDVWDILDFERIGLQERDKYCKILFTSRDQKVCQNMGCRVNFQVPVLSEDEAWSLFQEMAGDVVNKHDINPIAREVAKACGGLPLAIVTVGRALSIEGKSAWEDTLKQLRNFQSSSSSDVEKFVHPRIELSLKFLGNKEYKLFLMLCGLFPEDFDIPIECLLHHAVGLGMFKHITASWEARDQVHTLVDNLKRKFLLLESNVRGCVKMHDIVRNVVISFLFKSEEHKFMVQYNFKSLKEEKLNDIKAISLILDDSNKLESGLECPTLKLFQVRSKSKEPISWPELFFQGMCALKVLSMQNLCIPKLSSLSQAPFNLHTLKVEHCDVGDISIIGKKLLLLEVLSLSHSNVKELPIEIGDLGSLRLLDLTGCNDLNFISDNVLIRLFRLEELYFRMYNFPWNKNEVAINELKKISHQLKVVEMKFRGTEILLKDLVFNNLQKFWVYVDRYSNFQRSSYLESNLLQVSSIGYQYINSILMISQVIKKCEILAIKKVKDLKNIISHLLSDYSIPYLKDLRVVSCPNLEYLIDCTVHCNGFPQIQSLSLKKLENFKQICYSSDHHEVKRLMNEFSYLVKMELTGLPSFIGFDNAIEFNELNEEFSVGKLFPSDWMKKFPKLETILLKNCISLNVVFDLNGDLNSSGQALDFLFPQLTKIEISNLKNLSYVWGIVPNPVQGFQNLRFLTISNCKSLTHVFTSVIVRAVTNLERLEVSSCKLIENIVTSNRCEEEYDNKGHVKTIGFNKLCYLSLSRLPKLVSICSELLWLEYPSLKQFDVVHCPMLEISFLPTHIGAKRDNLDVTYSANSKDVSFHSLKENNSRSSNRSVSCIPFIPKFIQQGTTSKRNSKEALVTRATREKGEDMIHSFPLLESLHLIYLPNLVRLCSFGTYESWDKQQFMNGGFVEDHVSSRCHPLIDDALFPNLTSLLIETCNKVNILFSHSIMCSLEHLQKLEVRQCENMEEIISNQEEIDATNNKIMLPALQHLLLKKLPSLKAFFQGHHNLDFPSLEKVDIEDCPNMELFSRGDSYTPNLEDLTIKIESLSSNYMQKEDINSVIRGFKSFVASQGFVMLNWTKLHNEGYLIKNSKTNIKAFHKLSVLVPYNEIQMLQNVKELTVSNCDSLNEVFGSGGGADAKKIDHISTTHYQLQNMKLDNLPKLSCIWKHNIMAVASFQKITNIDVLHCHNLKSLLSHSMARSLVQLKKLTVGYCDMMEEIITKDDRNSEGRNKVKILFPKLEELILGPLPNLECVCSGDYDYDVPMCDVVEDKEINNNKIQISFPELKKLIFYHVPKLKCFCLGAYDYNIMTSSTEECPNMATFPYGNVIVRAPNLHIVMWDWSKIVRTLEDLNLTIYYFQNSKKYKAEIQKLETFRDINEELVAYIRRVTKIDIKKCHKLLSCIPANKMHLFSHMQILNVRECGGLEEIFESNDRSMKYDELLSIYLFSLPKLKHIWKNHVQILRFQELMEIYIEKCDELSCVFWDVSMTTSLPNLLYLSVCDCGKMQEIIGNSSNSNPINCVIEQQQRAKIIFPKLFEIRLQKLPNLKCFSQSSFPSYVELPSCYLIIIEDCHEMKTFWFNGTLYTPNLWSLFVENTKFDIDEDVNEAILQHNKCFER >RHN54581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10600660:10601127:1 gene:gene29646 transcript:rna29646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MQNISNLEYLDVSFNMLEGEVPTDGVFGNATRVAIIGNNKLCGGISELHLPPCPFKGRKHIKNHNFKLIAMIVSVVSFLLILSFIIAIYWISKRNKKSSLDSSIIDQLDKVSYKDLHKGTDGFSDRNMIGSGSFGSVYKGNLVSEDNVVA >RHN74437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33320077:33322470:-1 gene:gene10510 transcript:rna10510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myrcene synthase MINIVVADILCCFLDKHDSFKEYHSFDVEGVLSLYESSFHSFEDETILDEARDFTSKCLKEYNMNQNSGTYTSLLINHALELPLHWRVPRWEAHWFINVYERKQNMSPILLEFAKIDFNIVQSNFQEELKYASRWWKITELDEKLSFARNRLVENFVWSVGTSYEPDLEYYRKEMTKVNALITSIDDVYDVYGTLEELQLFTKAIDRWDLSAMEFLPYYMKICFHALYNFVNEVAFETLKKSGHYITPYLKKTWADLCKAYLIEAKWYHSGYTPTVEEYIENAWTSIGDPVILTHAYFLIPHSLKVEDLVRLEENPDIIKHSSMILRLANDLGTYKRENDTGDSSKLIGCYMNETGASEVEAHEYVKSMIHAEWKKMNKEAHSSSFSHSFIDIAINYGRVAMFMYQHGDGHTIQDTEMQNRIMSFIFRPIP >RHN47856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43064147:43069903:-1 gene:gene42503 transcript:rna42503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytoplasmic tRNA 2-thiolation protein MACNGVGGCQSGCYKDEEQTHDQPTESSETESKTSTNICIKCKLNDVISGYGGIDDGRFCADCFRSNLFGKFRLAVTSNAMISPTDKVLVAFSGGPSSRVALQFVHDLQERAHKNFEASRDRSLPVFGVGVVFIDESAVLPIPAHEMEEAVEVISLIVSSLAPPRKELHFVPIETVYSSDSSDGKDRLIELMNVVSDTTGREDMLLSLRMLALQKVASEFGYNRILLGSCISRIAAHVLSATVKGQGYSLPADIQYVDARWEIPAVLPLRDCFAQEINMFCNLDRFGSLKTIKLSTSPSSSINSLVSSFVALLQEENPSRESTIVRTAGKLIPFQFNRIPEIVDGNVPLATRRRQKRYNLKTNESVSSESFCPLCNSPLAKSEIVDWSNHNNCKSSDTFYTSCCSSCQFQILPPDTTSMEKFYTDLPHSMVARANRVNNGNLNALREQIQDCLLSDGENET >RHN47811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42695851:42699352:1 gene:gene42455 transcript:rna42455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TMEM14 family protein MAATSQAHLFCFSATVHRSLHLKSRSLPCLTFRPSKLSVAMSLESHGAETANSDTKNTLSYAPDESKLKVEEKQESYSTVEENGTEKIVLGEAVQEGVDQQNRTAKIHDFCFGIPFGGYVTTGGIIGFLFSRNPATLASGVLFGGALLFLSTFSLKVWRQGKSSLPFILGQAALAGILFWKNFQSYSLAKKIFPTGISAIISSAMLVFYVYVLLSGGNPPPKKLKPTASIE >RHN45888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26539783:26543594:-1 gene:gene40312 transcript:rna40312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MNFSSCSNLLLVLFIVFLYLLHVSIGIDTITSSQFIKDPETLLSKDGSYAFGFFSPGNSINRYAGIWWNSRSTVIWVANRNQPINDSNGTITISEDGNLVVLNGQKQVIWSSNVSNIESNTTSQFSDHGNLVLLDSTTGNILWQSIQEPSDSLLPGMKLSINKRTGEKSKLRSWKSPSDPSVGSFSSSSVERQNILEVFIWNETQPYWRSGPWNGGVFTGIDTMTVAYFNGFQGGDDGEGNINIYFTIPNEEIFLIYKLNSQGKLEETSWNDEEKEVQVTWTSRKSECDVYGTCGAFASCSSLNTPICSCLKGFEPRSIQEWNRNNWTGGCVRRTSLKCETKSTKEDGFLKLKMVKVPDFASGIAETPDICRRLCLENCSCTAYSNDAGIGCMTWTGNLLDIQQLQMGGLDLYFRVAHAELDRGGNKTVIITTSVIIGTLIISICAYIMWRRTSNSSTKLWHSIKSTRKTNKKDFQLFNKGGTSDENNSDDVFGGLSEVRLQELLLFDFEKLATATNNFHLSNKLGQGGFGPVYKGKLQDGREIAVKRLSRASGQGLEEFMNEVVVLCKLQHRNLVRLLGCCIDGDEKMLMYEYMPNKSLDAFIFDLSKNKLLDWRTRYSIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDEEFNPKVSDFGMARIFGGREDQANTTRVVGTYGYMSPEYAMQGLFSEKSDVFSFGVLILEILTGRRNSSFYDNETLTLLGFVWLQWREENILSLIDTEIYDHSHHKNISRCIHIGLLCVQESAVDRPNMATVISMLNSEVASLPPPSQPAFILRQNLLNSKSPEEYSINTASITDMCGR >RHN60749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30128019:30129128:1 gene:gene23106 transcript:rna23106 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRFSLVFLLLSFLVNIASSADSPAPTPATNSSLNSPSPTPIPTPSPANSPPAPTPTPTPSPHSDSPPAPSPDNSPSSSPSPSPSSSPAPSPDEAADNNAISHTGIGEDGKSSGGGMSSGKKAGIAVGVIAAVGVVALGAMVVKKRRQNIQRSEYGYTARRELL >RHN53332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1032619:1035101:1 gene:gene28228 transcript:rna28228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MQRILPRGKPGNSIPVVLQLGLFMVNNGFDVWIANTRGTKYSHGHASFSNNSSDYWNWSWDELVAYDLPATFQYVHDQTGQKLHYGTLVALAAFSKDQQLDKLRSAALLCPIAYVGQMTSPLTKDAADHFIAESLYKLGIFEFSLKG >RHN67210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24946539:24952047:1 gene:gene15370 transcript:rna15370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MSVIPKESIEVIAQTLGINNLSSDVALALSPDLEYRIREIMQESIKCMRHSMRTFLTTDDFDTALALRNLEPIYGFASNDPPRFKKAAGHNDLFYIDDKDVDIKDLVEADLPKAPLDTSITSHWLAIEGVQPAIPENAPPEASTEIKNSEYKEDRLPVDIKLPVKHVITTELQLYYEKIIELILNKSGSILFRRALVTLATDSGLHPLVPYFTRFVADEVARNLNNLNILFALMRLVRSLLQNPHIHIELYLHQLMPPIITCIVAKRIGNRLSDNHWELRDFSANLVALICKRFGHMYHNLQPRVTKTFLHTFLDPTKALPQHYGAIKGIAALGSRLVRLLILPNLEPYLHLLEPEKQLEKQKNEIKRQEAWQVYGALLCAVGQNMHEKVKRFSSLLSPQSRATSSGNGKAMIAMPGVSGVVAPMNSMSVDNMQGSTSGFPTMMGVSNSSVGMSSSMGRQLSNENNTSSSILAQAWKDDIDAGQLLPPVFELFGESLLSFIPKPQAFIFL >RHN76792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1310833:1328883:-1 gene:gene169 transcript:rna169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MIQFQRNFFSTAAPSPSLRNLMFQLRSFHNPLISPPQLPPHVNVTNFAVHRRNRFPIRSFSVINNVTNFTNLKSVNVTSVNGFGSPFNGVGRISPFSSTATEKKNKRKKTVKAVAVRGKDKDVKSSESVVKDDVKNVDLGKTGLSEEIKSDEKDLKVNAVKKKKKKPVRSKKKDDVKISPAVTDSVEVVGEVEVSKKSASKGKKTNSKESSSISTSKETQDSSASTRSKKKEVNSCQVDLEKPLGNFTGKPLYPPVGKSVMVVESVTKAKVIQRYLGDMYEVLPSYGHVRDLASRSGSVRPDDDFSMVWEVPSSAWTHLKSINAALSGANNLILASDPDREGEAIAWHIIEMLQQQGALHNNIFLARVVFHEITEQSIKTALQAPREINVNLVHAYLARRALDYLIGFNISPLLWRKLPSCQSAGRVQSAALSLICDRELEIDQFKPKEYWTMEAMFNMKEQRLTKDLTFLAHLTHFNSNKLNQFSIISGEEARDIESKINSADFRVISMKRNKVRRKPPTPYITSTLQQDAANKLNFSASHTMKLAQKLYEGVELTSGISAGLITYIRTDGLHISDDAVANIRSLIIERYGQNFVAQSPPKYFKKVKNAQEAHEAIRPTDIRKLPSMLAGVLDEESLKLYTLIWSRTVSCQMEQAVLEKIQLDVGNADQSIVLRSASSRVEFPGYRAVFTDIVTEAGRDRDSDGSNHDLAFEVLNSLKTDDLLHLVQTEASQHHTQPPPRYSEASLVKKLEELGIGRPSTYASTLKVLKDRNYVTVKSRVISPEFRGRMVSAFLSHHFSEVTDYNFTADMETELDNVSAGTTKWKGLLGDYWTRFKSYCDRTSGVHIHQVEKMLEKKFEDYLFGSFPNKSRSCPSCTEGTLIFKVSRFGSGYFIGCDQHPRCKYIAKRLLSGDEEEDDTPQPNSTMIEEPKVLGLNSSNEKVLLKNGPYGIYVQLGEDGKEYTPKRTSVPYVKDLDSTTLEEALALLQYPVTLGKHPDDGKPVILKVAKLGYSIRHGKTIAPVPKSMKPSEITLEKALEFLSDDNVKTVGAKPKNAK >RHN47570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40695458:40699628:-1 gene:gene42187 transcript:rna42187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MLFNFPKMSSLPIELWTKILEIGIQDCGLSYKDLCCISISCRLLHRLSVEDSLWNRLLSSDFPSSSTSSSSSSSKSLYKLRFERDKERKIAAHRRVVLRKESQILEHSRRLHDIQTCVSQEKLKAIQTSTELSHLRRVREASVALNVWQPEVVRGRQKQMVEQCVVPAESRIRTLEMELRLCKQHILGLEKSYRDEKCRLDNAKEELESLKYHPLRKHKPVGGGENEHKVKRKMLKSCNNSKHCCIGR >RHN42292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37165092:37168582:1 gene:gene48716 transcript:rna48716 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MGSIENDSSLAEEESFLQDEESRRYTGDGSVDFKGRPVLKQNTGNWKACPFILGNECCERLAYYGIAKNLVTYLTRKLHQGNASAARNVTTWQGTCYLTPLIGAILADSYLGRYWTIAVFSTIYFIGMCTLTLSASVPALKPADCFSSACPPATPSQYAAFFVGLYLIALGTGGIKPCVSSFGADQFDDTDPQERFKKGSFFNWFYLSINIGALVSGTFIVWIQENAGWGIGFGIPTLFMGLAIGSFSLGTPLYRFQKPGGSPVTRMFQVVVASFRKRYLVVPEDSSLLYETPDKSSAILGSRKLEHSHELRCLDKAAIVSDAERKSVDYSNLWRLCTVTQVEELKILIRIFPIWAAGIVFNAVYAQLSTLFVEQGTMMDTSIGSFKIPPASLSSFDVISVIFWVPVYDRIIVPLARKFTGKERGFSELQRMGIGLFISIFSMLAAVVLEIKRLQLAKELDLIDKPVAVPISIFFQIPQYFLFGAAEVFTNVGQLEFFYDQSPDAMRTLCSALALLTTSLGNYLSSFILTVVTHFTTQGGNPGWIPDNLNKGHLDYFFWLLAGLSFLNMLVYIVSAKKYKKKKAS >RHN62874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46405438:46409325:1 gene:gene25504 transcript:rna25504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative urease accessory protein UreG MASGGDHTHVHDHDHHHHDHDHKHGDSFIGADGKVYHSHDGLAPHSHEPIYSPGFFSRRAQPLINRDFNERAFTVGIGGPVGTGKTALMLALCQNLRDRYSLAAVTNDIFTKEDGEFLVKHKALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAQAIGADLGVMERDALRMRDGGPFVFAQVKHNVGVEEIVNHVLQAWEAATGKKRH >RHN59451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10878705:10880394:1 gene:gene21481 transcript:rna21481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative repetitive proline-rich cell wall protein MASISFLVLLLFAFYIIPQGLANYEKPPEYKPPVENPQFYKPHIEKPPVHKPLVEKPPTHHPQIEKPPIYKPPKPPVYKPPVEKPPVHKPPVEKPPVYKPPVEKPPVHKPPFEKPPIYTPPF >RHN76315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49335404:49336661:-1 gene:gene12617 transcript:rna12617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MLSSLSAQGFLQFQAEAKFFATVHHKYLTSLIGYCDDGTNMALIYYEYMANGDLANHLSDKNENILNWNQRLQIAVDTTVGLEYLHHGCNPPIVHRDVKSKNILLNDKLQGKLADFGLSKIFPNEGETHLSIVIAGTPGYLDPEQVNTTPLREKSDVFSFGVVLLEIITGQPAITKTENKIHIVQLVGDMQLEREVKDTLILTMQLKLWILQWLV >RHN43572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46965537:46967642:-1 gene:gene50175 transcript:rna50175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S8 MGRRILNDALRSMVNAEKRGKAMVELKPISNVISSFLHIMKHRGYIKDFQVYDPHRVGRITVELQGRINDCKALTYRQDLKAKDIEAYRLNTLPTHQWGYVVITTPDGVLDHEEAAKRNVGGQVLGYFH >RHN40124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13480857:13484665:1 gene:gene46230 transcript:rna46230 gene_biotype:protein_coding transcript_biotype:protein_coding MLHYCPFTLWFLYYVSGMLRRPAEVLGPVHVATRMRPVDALWAVAYGGPLSLLPLAISNIQEDTLEPHQGNFSVSVATTSLAAPIFRIISTAIQYPKNSEELGRCRGPEVISKVLNYLLQTLSSLGIGRDDGVGDEELVAAVVLLCQSQKINHTLKVQLFTTLLLDIKFWSLCSYGIQKKLLSSLADMVFTESEVMRDANAIQMLLDGCRRCYWTVPEKDPGNTVPLTGGRRPVGEVNALVDELLVVIELLIVAASPSLVSDDVRCLLRFMVDCPQPGQISRVLHLFYRLVVQPNTSRVDTFVEAFLACGGIETLLVLLQREAKAGEIAVQESVSKFPGLQQNETDVSCESVQTFQDDERSDVKSESILQDNDQGSESFDSGSNLDPGSPDGNMERMTSASEIHVKNLGGITLSISADSARKNVYNVDKSDGIVVGIIRLLGVLVVSGHLKFGSHSVPDTTSNLLGVRLQDGGRTMFDDKVSLLLFSLQKAFQAAPNRLMTNNTYTALLAASINASSTEKWLNFDDSGHRFEHSQLLLVLLRSLPFAPRPLQSRALQDLLFLTCSHPENKGRLANMEEWPEWILEIMISNYELGPSKPSDSTSLGDIEDLLHNFLIVMLENSMRQKDGWKVDIFFFELTSLI >RHN65160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64606482:64608254:1 gene:gene28067 transcript:rna28067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxoacyl-[acyl-carrier-protein] reductase MGGKGIGNKSVLITGVSKGIGRALAIELANRGHTIIGCSRAQDKLDSLQSLLLPNNHNHLFLNVDVSSNDSVQQMARTVMEMKGGPPDVIVNSAGTINKNNKMWEVPSQEFDLVMDTNLKGTANVLRHFIPLMINNGGGEGIIVNLSSGWGRSGAALVAPYCASKWAIEGLTKSVAKELPQGMAVVALNPGVINTDMLASCFGASASLYQSPESWALKAATMILNLTPADNGASLSV >RHN43383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45322943:45331836:1 gene:gene49946 transcript:rna49946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MGHGAEKGRPFKKFKAAAKFEDSKKGFADDDDDAYGGDDAYDDDEGKTKDFSKLELKPDHTNRPLWACDNGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIIAVLNKLSKTKLPKEMIRFIHDSTANYGKVKLVLKKNRYYVESPFPEVLKTLLRDDAISRARITSEGTHGDGFTISKALGEIEGTHDELLNQAEVAAVAEEKEAHAFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLEMELKPQAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAASRIKKSCLCLATNAVSVDQWAFQFKLWSTIRDEQICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKRQALYVMNPNKFRACEFLINYHERARGDKIIVFADNLFALTEYAMKLRKPMIYGATSHVERTKILQAFKTSKDVNTVFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKLQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPSDEGSRLHYHHLDDQLALLGKVLNAGDDAVGLEQLEDDADELALKSARRSQGSMSAMSGAKGMVYMEYSTGRNKGQQIKSKPKDPAKRHHLFRKRFGST >RHN43604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47168756:47171223:-1 gene:gene50210 transcript:rna50210 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQNPHPFFPFPHCPPSTLLHLLPSPHSTTSAPAFHLDYAALHRLSGKLLTLSIFVYNGPIGRNCGVRNAKLLGRVLLPIQLPTSFSSPNTFHNGWFKLNHEMDDKPSHLLHVMVRSEPDSRFVFHFGGEPECSPVIFQIQENIKQPIFSCKFSVDRNYKPHSHM >RHN45742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25163298:25168572:1 gene:gene40132 transcript:rna40132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylylsulfatase MSIEEATRRRVTVLSSHLNPTRFTYSDPLSASLCSARSDSDDNNQNCVFCNIVRGQSPALKLYEDDMCLCILDTNPLSHGHSLIIPKSHYPSLDATPPSVVAAMSSKVPFISNAIMKATGCNSFNVLINNGAAAGQVIFHTHMHIIPRKAYDCLWASESLLRRPLNLDDEKVSQLAASIQEQLLVSDICQESKNEEDFCPSKS >RHN81846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49310585:49310839:-1 gene:gene5938 transcript:rna5938 gene_biotype:protein_coding transcript_biotype:protein_coding MLEERKFGSLLFVINLFHFNILSIFLIMLLTVSLSRILLLLFVMTRIYLYLETFASLCS >RHN67208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24935632:24935928:1 gene:gene15368 transcript:rna15368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSLSIYNCQELEQIVAANEELVLLPTAEVERCNELKSLFLVTMIKMLPQLSTLHIFNCNQIEDITLFEITNLNRKVTNRRTIIVVFRPSHPLHISDII >RHN73316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15319704:15320018:1 gene:gene9116 transcript:rna9116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RIN4, pathogenic type III effector avirulence factor Avr cleavage MQENSPCPPVPRFGEWDQKGPMRDYSMDFSKIQEARKQHKSLGNAEELKASFQHIQRQRSEREASPMVRFSVSFVIRYSICLLMNDECTILIFNYLLSKIIKSY >RHN41286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28880804:28882833:1 gene:gene47591 transcript:rna47591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MELNGSNLSHENTNSAYNRNIEVKAFEESKVGVRGLVESGVTKIPRMFYSGELNIFDNSNNNTTLSVPIIDLKGIHVDPARRIEVISQIRTACKEWGFFQVINHEIPICVLDEMLDGFRRFHEQDSEVRSQFYNRDNKKNIVYFSNASLYENKYVNWRDSFGCSMAPKPPKSEEFPEVLRDIIIEYSSKIMALGNTIFELFSEALGLDPSYLKELNCLEALYVQGHYYPSCPEPELTMGASKHTDPGFMAIVLQDQLGGLQVLHENQWVNVSPVHGALVVNVGDLLQLITNDNFVSVFHRVLLSDKGPRVSVANFFGNLYDLDESSSKVYGPIKKLLSEEIPPIYRETSFEEFMAHYLKKGYDGSKALQPFTL >RHN39796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10558719:10560647:-1 gene:gene45859 transcript:rna45859 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHKWLQISWLFVSIMFLSSLVQSSQTYDHESLEDLLCKQVNKDIVNPKTGVFYNISLPSNYTGMEVRVVRLRTSSFYKRGVNSSFFNVPPHVVPQPIRKRMAILYENFGNWSSHYFNVPNYTMVAPVFGFVAYTSSGNSFMDNEKMNLVITQGNPILIHFHHVRLHEKNDTPICVKFSDSGNLEFNNMTKPYVCETYGTGHYTLVVPIPKELYNKRQSKRFTIWWILGFVLGFVGLVVLILLLVTLVKAAKKTRIKKLERNSENGESFDTFWIGETKLPLAPTIRTQPVLEND >RHN49603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55884323:55885289:-1 gene:gene44452 transcript:rna44452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif 2 MTLCIYQLISEYNKCNAGYAFINMTSPSLIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKTALVNHFQHSSLMNVDKHCRPILIDTDGPNAGDQKYGTLSIKTKETMDWQLTLPVTQVPFPIAMKPGRVRSNIHEEDSISKESDLRKSHLFISK >RHN66668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16012307:16014036:-1 gene:gene14709 transcript:rna14709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MVTPKKSLSTSLNARTIGSGPETIVLCHGFGTDQSTWNKIVPLLAENYSLVLFDWPFSGAITDKSLYNHAKYNSYEPYADELITLMDELDLKCITFVGHSMAAMIGCIASIKKPELFKRIVLLCASPRYINTDDYEGGFERSDIENLISTIESQYESWVSAYGPIAVDPNDADSVDKFQKCLKRMGGEVAITLAKTVFFSDYRDMVEKVVIPCTIIQSSNDVAVPISIGHYLDENIKGVSTLEFIDMTGHCPQLTAHLRLVEVLKGIVG >RHN77878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10177679:10182497:1 gene:gene1380 transcript:rna1380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small monomeric GTPase MDVSSSSASNAAEFDYLFKLLMIGDSGVGKSTLLLRFTSDNFEDLSPTIGVDFKVKYVTLGGKKLKLAIWDTAGQERFRTLTGSYYRGAQGIIMVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVTKKEAVDFARQYGCLYTECSAKTRVNVEQCFEELVMKILDTPSLLAEGSSGVKKNIFKQKPQESDASPSSCCSW >RHN72339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6902761:6903690:1 gene:gene8039 transcript:rna8039 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFIPYKINLANRGVIPLGDLVGCVLCVGRNIRCNCSIVTGIRLNWNVGYRHQNSEVGYFYTQLLDEGYESDGTQVFENVDDEVPVDDRSSVQGTVVNPWVTRRLTCCGSK >RHN71701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2032465:2044837:1 gene:gene7329 transcript:rna7329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynamin central domain, dynamin, GTPase domain, GTPase effector domain, Dynamin superfamily MVDDTVTSPPPPPSSAPVPLGSGVISLVNRLQDIFSRVGSQSAINLPQVAVVGSQSSGKSSVLEALVGRDFLPRGNEICTRRPLVLQLVRSSEPADEYGEFLHLPGKRFYDFSDIRREIQAETDREAGDNKGVSDRQIRLKIVSPNVLDMTLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKEPSCLILAVTPANSDLANSDALQMAGVADPEGNRTIGVITKLDIMDRGTDARNLLQGKVIPLRLGYVGVVNRSQEDIQMNRSIKDALVAEEKFFRSRPVYSGLADSCGIPQLAKKLNQILAQHIKALLPGLRAHISTNLVTVAKEHASYGEITESKAGQGALLLNILSKYCEAFSSMVDGKNEEMSTSELSGGARIHYIFQSIFVRSLEEVDPCEGLTDDDIRTAIQNATGPRSALFVPEVPFEVLVRRQISRLLDPSLQCARFIYDELIKMSHRCMVTELQRFPFLRKRMDEVIGNFLREGLEPSENMIAHIMEMEMDYINTSHPNFIGGSKALEIAVQQTKSSRAALSLSRPKDALESEKGSASERTVKSRAILARQANGVVVDPAVRAVSDAEKIASSGNIGGSSWGISSIFGGGDKSARENVASKQHAEPFHSVEVEQSFSMIHLTEPPTILRPSDSNSETEAVEITVTKLLLKSYYDIVRKNVEDFVPKAIMHFLVNNTKRELHNVFIKKLYRDNLFEEMLQEPDEIASKRKRCRELLRAYQQAFKDLEELPMEAETLERGYSLPETTGLPKIHGLPTSSMYSTNSSGDYYGASPKHPKSKRSSHSGELQSPFYANADSNGNGKQSASGFYPTLDT >RHN66630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15362227:15365981:1 gene:gene14665 transcript:rna14665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MGSSCTKPVAHVSSSNISGSKKPQSTSTAKRYSNSSEQRTSSESSQEKRYSNSSEQRASSRSSQEKRYSNSSEQRVSFQNSQENVETSISNKLKSFSLNDLKEATKNFRREYLIGEGGFGRIFKGWIDENTYAPTKPGSGIVVAIKNLKQESFQGHKEWLAEVNYLGQLHHENLVKLIGYCLQGKNRLLVYEFMQKGSLENHLFRKNVQPIAWATRVNIAVGVAKGLAFLHSLTANVIFRDLKASNILLDSDFNARLSDFGLARDGPTGDNTHVSTRIIGTQGYAAPEYVATGHLTPRSDVYSFGVVLLELLTGKRAVEDARPGFSEETLVDWAMPFLSDSRRVLRIMDTRLGGQYSKKGAQAASALALQCLNSDPKFRPPMVDVLATLEGLQSSNALQRTPKYGNESPATKHSSHSLR >RHN69142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41310408:41315346:1 gene:gene17574 transcript:rna17574 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASELRSRISQIHKFIQEEEESNPSDSQDLLRECALHVQNTVEQIVSEFSDLASLQNSDFDAYIEHFEKELNNVQVESTNVAKEIENLAKTRNDDSILLEAKLEELECSLNYITSEEQINAEANEGIVSPMLVDTDMNLGENLEQLKLENKVDEMKSILKAMESFHCKFKWFDALEQIDNALTGLKVIGIDENCIKLSLQTYMPTVEGISCLQRVEDTMDASVLNHELLIEVLEGTMTLKDIQVFPNDIYMDDIVDTAKSVSKSSLQWLIQKLQDRIILSTLRCLVVKDANKSRYSLEYIDKDETIVAHLVRGIDAYIKLSHGWPIFGSPLKLISIKGSDILKKSSSFLCEVENLANSLDTHTRKNILGFVDAVEKVLIEQLQLDPRAGDGSG >RHN46888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35584803:35586348:1 gene:gene41423 transcript:rna41423 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYNKVMTLNFLIYSHYHYLPLPNLHIYIKNNEAVEKFKSFHDKLPTHQFVEIIAINYLSKNSS >RHN80858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41340836:41342340:1 gene:gene4833 transcript:rna4833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nicotianamine synthase MSVELWPVFCSVCVCLSNVLSLIILEEQPHSVDMVGKEDLLIEEVCKLYDQISSLDTLKPCKNVNTLFTKLVLTCMPPSPIDVTKLSTKVQEIRSKLIRLCGEAEGHLESHYSTIIASYNNPLNQLNIFPYYSNYLKLSLLEFNILTKHSTNVPKKIAFIGSGPLPLTSLVLATNHLQNTIFHNYDFDPLANSKASCLVSSDPELSKRMFFYTNDILDVSNALKEYEVVYLAALVGMSVEEKNRIIEHLAKYMAPGALLMLRSAHGARAFLYPVVDTNDLRGFEVLSVFHPTDEVINSVVIARRYPMVLLPNKCCSDEIQALKPLINHGNNMIEELVIEEN >RHN76822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1581172:1585123:-1 gene:gene201 transcript:rna201 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHNLSRFHNLNLFLADLQLKILELLFMICKCGVLERLVYKNKNQHCRCSYFQHLMKQLHK >RHN62280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42304660:42305120:-1 gene:gene24837 transcript:rna24837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (+)-neomenthol dehydrogenase MEPEPYFPSPALCSTRWWSKETVAVVTGGNKGIGFALVKRFAELGLSVVLTARDKKKGEDAVERIRAQLGLVAPHHHVHFLVLDVSDADSIKTFASSFKDKFGATLDILVCINYLLFVQF >RHN52928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40453128:40457713:1 gene:gene37665 transcript:rna37665 gene_biotype:protein_coding transcript_biotype:protein_coding MYKPFVTCDDPKGVVECGSIRRYRSNSHKMKDKTKSRKPAENLETNKQDKEEKVSKGSTERDFDPSSLQLVEVSRGAAKLNNMIESWSRGVRYDGKSDDIAKDLLKGALDLQESLEMLRQVQEASNSMSRSKRRQEEKHERSKIDAHVNDGNRSTHSNQFFEHNSAYGSSSSCREELKKVIKESLVRQNLFQSTSTSEGLDSASAAFPSTSSSQSSVVWYDKLSDSSCSPTFPRKEKSTNLVAKLMGLEQSPSRTFPSVMQKQSENQKIVNQKRPVFEIDTPKLRKHSSIFENPEREKTLREILETTHFNGLLKCSPIREHKLHNHVNHSNDLHYKQFDDLPPIVLMKPRRASYQEFVETYEPVPREEFSFRNPKAKGAPSKTFKPREGSTTNMRKETMEESLSKRFIKEERSKRVNEFLEYDVKEIKAVENKKVQKASQRSQASETVDEKAKVKNITILRKPIQKEVSKAKVVAKAQDQGEIRSSSEKLKKPRSVSRIEKNEIPSRKSTSSNSNTAITKPKTQKVNSSKELRKSQMKKQISVDLPEAAKSIDEKLKQEEGMSIDVSCKDDCAEIKIITTVTEDLIMEDEVDTYANKTRDNCEEGQNSSVDDVLMLNYEHENDTIPAEEACDTTGVSETCFKHDTDIAETTCISGTDFEPDKDTSELKYLLLTSKSFIDHADEFLNLDIDYPKILPKIETNGIANTRLYLDCANELAERKSLQESSQLVHPLLLTCVGNSRLQISLSSLVEEVDNAIEKLTSYSENSETKLILDNICAMLERDMKCNNRLINGMWNCGWRHGFSCDEAEQAVNEVENMILGGLIEEIIVNL >RHN63631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52817547:52818404:-1 gene:gene26356 transcript:rna26356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MASKVAMLLCLNILFFTVVSSTYVPCPPPPHKDHSHKHPTCPRDTIKFGVCADVLGLINVELGKPPKTPCCSLIDDLANLEAAVCLCTALKANVLGINLNLPINLSLVLNYCGKGVPKGFVCA >RHN45652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24132977:24133459:-1 gene:gene40033 transcript:rna40033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 2-beta-dioxygenase MDPVSDPPFEEAYKILLNKTKNNRNNVPNDNKFPVVEECELPVIDLSRLEDDNEMVREACKYEIARASQEWGFFQVINHGIPNDIFSRLKCEQEKVFKLPFDKKTKEDKFLQFSSGSYRWGTPSATCVGQLSWSEAFHIPLKDVLESNAQPNTLRYVNFE >RHN42709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40368063:40370099:-1 gene:gene49192 transcript:rna49192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynamin GTPase MVVTSKRTTTKSSVSANQRDESSLSLVHVEQALPISVVAPIVSSYNEKIRPVLDALENLRRLNIAKEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLQNHPLSKPELLLEFNGKTVFTDEKDVSDAINIATEEIAGSAKGISNTPLTLIVKKNGVPDLTMVDLPGITRVPVHGQPDNIYDQIKDIIMEYITPEESIISNVLSATVDFTTCESIRMSQMVDKTGLRTLAVVTKADKSPEGLLEKVTADDVNIGLGYVCVRNRIGDETYEDARLEEEKLFESHSLLSKIDKTIVGIPVLAQKLVQVQAMIISKTLPEIIKKINEKLASNANELENLPANLSSVADAMTAFMHILGLSRDSLKKILLTGDFEEYPEDKHMHCTARLVEMLNLYASDLENCAESDAKKNFLMEEIKVLEEAKWIGLPNFMPRTAFLTILQRKVKGISYMPVNFVDNVWNYLESVVISILNHHSSNYYQLQVSTRRAGEKLIAKKKKCSVQHVMEAVEMEKLTDYTCNPEYLLEYNRLIAQQESFVKEVLNPETNPTHVKLEGVGDIDVVNLRNYPHVLCQAFDLKARMIAYWKIVLRRLIDSIALHLMLSINELINNDLQKEICNDLLSPSGGGIERLLEESPSISGKREKLSRSVKVLRESKETVARIMDRIGIYD >RHN71772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2539102:2540016:-1 gene:gene7407 transcript:rna7407 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSKIVTAFKLLLQTANLSLQLLSVGIGFQQFLHKTVKCSCFLHRIFSCLRHKIPKSFENHKFVFFAKHSLKVLLRVFTSQFRILLYLILIIRTTAGGGGFLLGTF >RHN69467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43634367:43639298:1 gene:gene17943 transcript:rna17943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal peptidase I MSFLRPSAMYNFLITYPSSRWMPCQSWGFLRWPGLEGFLRLLVVFLLWSTFSHLCYIPSSSMYPTLHVGDRIIIEKASYYIRSPSIHDIITFRDPTQHSGDNTDVIFIKRVVAKEGDTVEVHHGGLYVNGVAQEEDFVVEKPTYTTKLTYVPKGHVYVLGDNRNNSYDSHIWGPLPMKNIVGRYAMCCHRPTN >RHN44014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:858566:862744:-1 gene:gene38058 transcript:rna38058 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MDTCEIKSPEAPQQGTPCTSMSRKKLGIFFIESEDRRMALGRGYTRGSTPVNIHGKSIEDLSKTGGWIAAFFIFGNEMAERMAYFGLSVNMVAFMFYVMHRPFASSSNAVNNFLGISQASSVLGGFLADAYLGRYWTIAIFTTIYLAGLTGITICATMSIFVPNQENCDQLSLLLGNCEPAKPWQMTYLYTVLYITAFGAAGIRPCVSSFGADQFDERSKNYKSHLDRFFNLFYLSVTIGAIIAFTAVVYVQMKLGWGSAFGSLAIAMGVSNMLFFIGTPLYRHRLPGGSPLTRVAQVLVAAYRKRKESFGNSEVVGLYEVPGRRSAIKGSQKIAHTDDFRFLDKAALQLKQDGPNPSQWNLCTVTQVEEVKILIKLIPIPACTIMLNVILTEFLTLSVQQAYTLNTHLGKLKLPVTCMPVFPGLSVFLILALYYQTFVPLFKRITGHPHGASQLQRIGIGLGVSILSVAWAAIFEKYRRNYAIKNEFEASFLTPMPNLSAYWLLIQYCLIGVAEVFCIVGLLEFLYEEAPDAMKSIGSAYAALAGGLGCFFATFINSVVKSATGNSDKRQESWLSQNINTGKFDYFYWVLTALSLVNFCIFLYSAHRYKYRTQHVYEMESIKHDNVESNGNSTTVVN >RHN61528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36173287:36175107:-1 gene:gene24001 transcript:rna24001 gene_biotype:protein_coding transcript_biotype:protein_coding MNNCVEGRKRQLPSWMKKPVGVRVIDGIAYGPSSMMPKVDASHESDSGNVVAADVKKIDRKKETIKRKSNSSAKGEVKGKKKLDQQNEMGEGDGDVNEKKKKKAIKSKDRAPRCSIKKRGNLEDLSRGGSDDVCPVQLSSDYDMELTVEDLMAIAEQYVKDCEDKELQETSSRQCEPKWQFPATAEAGTTLDSLRENKKPSSSVREALYNSSPTTGDVIPTSTSQIGHPAQDMLDVFLGPLLRNL >RHN48619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48869681:48872087:1 gene:gene43358 transcript:rna43358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFLRRRTFLHLLPNSNFNNSISFFCSESLTLPQNQQQWLQKFQHIQSLIDQDRTQHAQNLFKYLILSKVPYSFPSQIHSLLSKPIFLETLFPFCSNPNAIHRVIELFYSMKNDGFILPNCFINRLLQSLVDLRHFQKAITLFNDVAESGVRPDSFAYGKAVLSAAMLKDLNKCFELINLMEKDGIRPTTYVYNLVLGGLCKAKKIKDARKVFDEMIQRNVVPNTVTFNTLIDGYCKVGGIDEAFRLRARMNGPYSKANVVTYNCLLSGLCGLGRLEDAKRVLLEMERKGFLPRGFSSLVFDDQLMSGNENGLLNGNGTQVDEWTCNALLNGLCKVGKVEKAKEILAKLESNGVVPSPVSYNILVNAYCQKGDLNKGILTAEEMEKRGLKPSYVTFNTLINKFCETGDLNQAERWVKKMIEKGVSPTLETYNSLINGYGMTCDFVRCFEIFEEIENKGMKPNVKSYGSLINCLCKDGKLLDAEIVLGDMVTRGVSPNADIYNMLIEASCSLSKPKDAFRFLNEMIQNGIDATIVTYNTLINGIGKNGRVTEAENLFLHMTRKGYKPDVITYNSLMSVYAMSGNPEKCLELYDNMKKRGIKPSIGTFHPLINSCRKKGVVTMEKMFQEMLGMKLIPDRAVYNEMIYGYAEDGNVLKAMSLHQQMVDQGIDSDKVTYNCLILAHLRDQRVSEIKHILDDMKAKGLVPKADTYKILVKGHCDLKDFNGAYFWYREMFDSGFILNDCICSQLISGLREEEMLHEAEIVSSELTSELQQLEG >RHN54873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13123064:13129511:-1 gene:gene29969 transcript:rna29969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Glycine-rich domain-containing protein MEAEQEHAWNEAQKIGMSVDLVDVAKKQLQFLAAVDRNRHLYDGPALDRAIYRYNACWLPLLAKHSESRIFEGPLVVPLDCEWIWHCHRLNPVRYKLDCEELYGLVLDNFDVVSTVEGICGRQTEEIWNKLYPDEPYNSDLINLDPEDISKRTTSLAKYTKYDLISAVKRQSPFFYQVSRPYIKDDLFIKEAEARYKGFLYLIKKNKEKGINRFCVPTYDIDLMWHSHQLHPVAYSKDLNEALGKILEHDDTDSDRTKGKKLDVGFSGTTKQWEDTFGTRYWKAGAMYKGNAPSPITSSPFSSSKNCKKVVSSKEQLHDNLLQDRKVVEVFLEFVDVKNLPDGQEGSLFVLFSKSQPDAFFEAKRRLSILSKTKEKQVASFQCEPTGELLFELMSHSSSKLSLRKSPKALGSAAIPMQDYLDPVSKLYIEKWLELVPSSGVMSTKPILLRVAISFTAPIPAPYTFQLAQSRPVSKNTCFFNLPVKPQQAKSWTHATDENGTRIISLQMRDLKNAKNVENLGKEVAGLMESGETRTLAEYMENGWSFMDNLWLLHRPSKSKNDGHIFELTGTKTIKIFSGRKGEYELRYHLKQGNEMDFLTAVEFSIEDPYGKAVALLDLKSNLVSAKEKWMVLPGIILAFLASDIMKKEGYEGIIAKSKDLEVVDTYEEIERNDLNGAELSRDVGITKKVVLSSGGCGSGCGSGCGNAVRSGGCGGCGAGGCGGGCGNMIKSGGCGSGCGSGCGGGCGNMIKSGGCGGGCGGGCGGGCGNIIKSGGCGGGCDGGCGGGCGNIIKSGGCGGGCGGGCGGGCGNIVESGGCGGGCGGGCGGGCGGGCGSMVGSGGCENYDNMKKSSGCGGGCGGGCGGCGGDILDGKCGFSEHLNEEAHNMNEEAVAAA >RHN40282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14824865:14844181:1 gene:gene46418 transcript:rna46418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MEDNATTSLLDGKVVGIRFSMATRHEISTASISDSQISHASQLANPFLGLPLEFGRCESCGTSEAGKCEGHFGYIELPVPIYHPSHVTELKKILSLVCLSCLRLKKTKVPSSSSGLAQRLLSPCCEDVNAAQVSIREVKTADGACYLALKVSKSKMHDGFWTFLEKYGYRYGGDHTRALLPCEAMEIIKRLPQETKKKLAGKGYFPQDGYILKYLPVPPNCLSVPVVSDGVSIMSSDPALTILRKLLRKVEVIRSSRSGEPNFESHQVEANDLQSVVDQYLQIRGTSKAARDIETHYGVNKELNDSSTKAWLEKMRTLFIRKGSGFSSRNVITGDGYKKINEVGIPLEVAQRITFEERVSIHNIHYLQKLVDENLCLTYKEGMSTYSLREGSKGHTYLKPGQIVHRRIMDGDTVFINRPPTTHKHSLQALVVYIHDDHTVKINPLICGPLGADFDGDCVHLFYPQSLAAKAEVLELFSVEKQLLSSHSGNLNLQLSADSLLSLKMLVKSCFLDRVAANQMAMFLLLPLPMPALLKATTGDSYWTSIQILQCALPFSFDCTGGRYLIRQREILEFDFTRDILPSIINEIAASIFFSKGPQEALNFFDVIQPFLMENIFAHGFSVGLQDFSISRAVKRVINRSIGKVSPLLRQLRGMYKELVAQQLEKVIQDIELPVINFALKSTKLGDLIDSKSKSAVDKVIQQIGFLGQQLFERGKFYSKGLVEDVASHFQLKCFYDKDDYPSAEFGLLKGCFFHGLDPYEELVHSIATREIIDRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNVCSNSIIQFEYGIQSGDAAQHLFPAGEPVGVLAATSMSNPAYKAVLDASPSSNSSWGFMKEILLCKVNFRNEPNDRRVILYLNDCDCGRNYCRENAAYLVQNQLRKVSLKDAALDFIVEYQQQRRRRDGTEDAGLVCHIRLKEVKLEELKINMTEVYQKCQEKLNSFSRKKKLSPFFKRTELIFSEFCSAPCVTFLWPDGVDLDQTTKVLADMICPVLLETIIQGDPRISSASIIWVNPGTNTWVRNPSKSSNGELALDVILEKEAVKQSGDAWRIVLDSCLPVLHLIDTRRSIPYAIKQIQELLGIACTFDQAIQRLAASVRMVAKGVLREHLILLASSMTCGGNLVGFNTGGYKTLARQLDIQVPFTDATLFTPRKCFERAAEKHHSDSLSSIVASCSWGKHVAVGTGSKFDIVWDPKEIKTNEIEGMNVYKFLNMVKGLANGEEETNACLGEDIDDLFDDENGDFDMSPQHASGFDAVFDETFELPNGSTSNGWDSNKDQIDQPNTNSNDWSGWGPNKSDLQVDVIQEDSSKSSAWGAATNQKSDQSASAWGKAVVQEDSSKSGAWGTATNQNSQQPSWGAATNQKSDQSASAWGKAVVQEDSSKSGAWGTATNQNSEQPSWGAATNQKSDQSASAWGKAVVQEDFSKSGAWGTATNQNSEQPSWGAATNQKSDQSASAWGKAVVQEDSSKSGAWGTATNQNSEQPSWGLQQIKRVTSLLLLGVKLWCKKTLQSPVLGGLPLIKISASAWGKAVVQEDSSKSGAWGNAKSVVQEDSSKSGAPANTNHSSDQSCWGQITGGEERAQGESGGTKKWKADVSQEDSTNSGGWKAWGSSKPEVHEGESTKVQDSWNSQKWKAAEDVSQKDSQKSSAWGATKPKSNDNRSSWGQKKDEIHVMPEDSSRSNAWEQKPENVKDSWVAKVPVANSSWGKAKSPENRPWDSKNEPNNSFGKPNSQENEPWDSKNESDSSWGKPKSQESQPWDSKNESNSSWGKPKSQENHPWDSKNESNQTAGSRGWDSQVASANSESDKSFQWGKQGRDSFKKNRFEGSQSGGPNAGDWKNRSRPVRPPGQRFELYTPEEQDIMKDIEPIVQSIRRIMQLQGYNDGDPLANEDQKYVLENVFEHHPDKETKMGVGVDHVMVSKHSNFQDSRCLYVVLKDGKKEDFSYRKCLENLVRKKYPETAESFCGKYFRKPQPRVKRDQTPNPAGEQTAAPNPAGEQTAAPNPAGEQTATQNPPGEQTSTPNPAEEQTPTPAGDQTSTPVPMETN >RHN54987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14237190:14238428:-1 gene:gene30103 transcript:rna30103 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSDNSQVEGGLVENSEKNRKWVINLRTPLNLKPIHTIPLEKSKQEESGMEEECSTTPRGEGSRIPTTLICPPAPRKRKASLKWNYRGKAREFFTPPELETVFIRHVERAIAN >RHN50493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7595692:7596654:-1 gene:gene34779 transcript:rna34779 gene_biotype:protein_coding transcript_biotype:protein_coding MELDVIEMKTAHLFVPDLRFRSVFHIFASVLAVAKKHIDYTLQVLLVLEWKDVHLVIVDLPCLENHLCVFLVLLFYIFPMKAFLFFCWCICFNKKLTLCELGSSTY >RHN52885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39948947:39949222:1 gene:gene37617 transcript:rna37617 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEDIIVDVGSIRVFLEWVLNWLSLTLLSHIIYFLNLNVGIVRQPPKDSRSGLGHYAERMDAFPLELRETYGLFLPNILYIENLMDAMAP >RHN57293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37885357:37885824:-1 gene:gene32816 transcript:rna32816 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSSTRKITLKSSDGETFEIDEAVALESQTIKLLIEDDCVDYSGIPLSNVTSNILAKVIEYCKKHVEVGSSEEKSLKDDLRAWESEFVKVDQDTLLDLISAANYLNIKNLLDLTCKTVGEMMKKTTPEEILKTFSSANDYSPKEEDDVKWGNQWAL >RHN39153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4539985:4540321:-1 gene:gene45152 transcript:rna45152 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTHRKMNEYDKYQMSIMRNVGVKTRHIFGLFSHQAGGYNKVGYRRVDMYNEQQRQRKSIVCDAKKTLDFLTECSLKDDGFYCSHTIDKDGGLEQLFWCDGTARKD >RHN41469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30283108:30286962:-1 gene:gene47798 transcript:rna47798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKSKGPGDTMYLAYKTYLSQNPFVIFHDPAYSLDTILHIHRSGALTASKFCKSVVSPAGNRSFQMKKRRKNNHNHTENKDRISDLPDCILFHILSSLETKHAVQTTILSTRWNNLWKRLPTLRLNSSHFKTLKSFTKFVSRVLSLRDDSTALHTLYFQRKGCVEPPILSKLVEYAASHNVQRLDIFVRCDGVYFPPYFFKCNTLTSLNLSSSPIPNTAKKPFPSLMTLPALTSLSLQWFAFHGNNSGRVEPFSRFKRLNTLILENCQIVGVPNLCISSTTLANLTIQSNDGLSDFCGIELSAPSLCTFSFTGNPLEIRYGSRLSSIEHVSIDVNVAWISEKFPSVLLSWLIEFSSIKSLAVSSTTLQVLSVVPDLLKVEFSSLCNLKSLKVKMRQFTDGLSRTLIEANISQLLSRLEEAFKERSKTHSSIPDGIVDFLLQNSPSAKVDVIT >RHN71641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1600769:1602981:1 gene:gene7262 transcript:rna7262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic peroxidase 2 MLTLILLWLLVVQGCDASVLVAGSGTEKTAFPNLGLRGFEVIEDAKTKLEAACPGVVSCADILSLAARDSVVLSGGLSWQVLTGRRDGRVSQASDVNNLPAPSDSVDVQKQKFAAKGLNTQDLVTLVGGHTIGTTACQFFSNRLRNFTTNGAADPSIDPSFLSQLQTLCPQNSGATNRIALDTGSQNKFDNSYYANLRNGRGILQSDQALWNDASTKTFVQRYLGLRGLLGLTFNVEFGNSMVKMSNIGVKTGVDGEIRKICSAFN >RHN43201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43978109:43979611:1 gene:gene49741 transcript:rna49741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MGLFKEMLYSDEGVYIGNIKDGLAHGKRKYTWSDGSIYVGDWVDGDKTGKGLFIQPSGDKYEGEFFGNRRHGNGTQTYKNGGSYVGNWKNDKKDGRGIETLANGDVFNGCWSNDFVYGYGVFRFANGDVYTGNWTCSDVFDGCRSIALIHGFGVYRYANVGVYIGIWKKHERDGLGIMSWDTGDVFYGCWSNGLAHGYGVYRSANGDVSIGNWKTWKMDDGRAIFDWANGDVFDGCMSNGLRHGFGVYRFANGDVYIGNWKKDKMDGTGIMSWVVGDVFDGCWSNGLIHGYGVYRYANGDVDIGNFRSKLLHDNGKYTHSNGTIYEGDWVDKKVTEKGLKIWTLQNSHGSTSLQISNKGQSEVSSLSPLVIKREYMQGVLIVEKIRPYSEVTHNNNNNNNNNNKKQGAFSAKKAKKSSCIWAILKTIKAII >RHN80019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34366758:34375716:1 gene:gene3892 transcript:rna3892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl-diphosphooligosaccharide--protein glycotransferase MATTAVGAAAFLLLLLLPFSFSISPPISDSHRSAASNLFTQVSPSLEDSYEALRVFEILSIQKKPIVTKDTCQKVLENLGSSSSPLKDAFYALKVNGILKCKVDAAIFKDIASRLKATVNDASNLLDIYYSVGILVLIKDQASNVDVLLTDANGVFHSIKALSQSDGKWRYSSNNPESSIHAAGLALEALAGVVSLASSEIDQSKVSIVNNGIVKLFDSIEKYDDGAFYFDEKTVRGSEHQGSLSATSSVVRGVTAFAAVTSGKINVPRDTILGLAKFFLGIGIPGDAKDFFHQVESLSFLESNRISIPLILSLPETVYSLTKKGQLKVKVNTVLGSAAPPLTVKLVRASSTGAKDSAIIESKELQYDQKSGFHFLDSFPNNVDVGTYVFVFEIVLQDSESDKVYATGGQIHVPIYITGIIKVGSAEIAVLDSDLGNVETHKTLDLAGNDVVSLSATHLQKLRLSFQLETPHGRVFKPHQAFLTLRHETKIEHVFLVGNTGKKFEIKFDFLGLVEKLFYLSGKYDIELTVGDAVMENSFSRLLGHVELDLPEAPEKAARPPAPPVDPYSRYGPKAEINHIFRAPEKRPPQKLSLVFLGLILLPFIGFLVGLLRLGVNLKNFPGSTVPATFATLFHLGLAAILLLYVLFWLKLDLFTTLKTLGLLGAFTMIVGHRILSHLASTSAKLKSA >RHN53433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1630899:1637087:-1 gene:gene28337 transcript:rna28337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PWWP domain-containing protein MDGEGLGAEDCAVGSLVWVRRRNGSWWPGQILDPDDLAASHLTSPRSGTPVKLLGREDASVDWYNLEKSKRVKPFRCGEFDASIERAETALGMPLKKREKYARREDAILHALELERQMLRKQDKLGSGQIGAAFRAKRSKCVYLPPESSDSLDYNETLAHVPISSQLGEYAYGSSFAEESESAFLNDVESDSSETASIKSESDSSETELDNDEDMTIFSETDDDNEEQESTSSEEHDELAELATSSDMPHLYPREPRTCNEAVSKWQLKGKRNNRNLVKRSVAASDRKDVVLYGADIEGQRSHLNHKRMGPGYPYYRNDYSDALDDSDQMFGSEDEYTLTPREVAKSQGLDWDEWPWEDQPALKEYSDFKGFASLHSDPYHYDGGKGSMLVDVDLKVQASYPKESVPIVSITSKLDGSSIIGRPIQIEVLKDGSTDNLFSAIDDFSNDMIGYEGSSVLPPAWRTARRTANFRVPRPHISSSNVDEDFSLDQERNNGYKRLNGGNSSHNASHWKKNGLNSAGPSVDKKSFKKSAKKVSLSSSQKTRTLSSLSIEDNLGRKPSLTRSFYRTDKTTKPEVSGLTTVACIPVKLVFSRLLEKINRPPLKASTNADVLNPGVERKS >RHN73086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13322932:13326432:1 gene:gene8865 transcript:rna8865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, ARF/SAR type, P-loop containing nucleoside triphosphate hydrolase MFIFDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLANVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLTDSNVRPLEVFMCSIVRKMGYGEGFQWLSQYIK >RHN57851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42150603:42156220:1 gene:gene33440 transcript:rna33440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MNLDYIHYPTKSNSVSAKVDFAAMELCTRTFTTTSLPFNPSFSLPSSSSSSSSISLHLHSNTTLKPFKFNLSSSFIQPSLYHHTSSPFTVSASSSSSVELFPENDRLPAQLKVTETKESNSRVTLHVEVPSLVCEDCYERVLVEFTKFAKVPGFRPGKKIPESILVGYVGSKNVQKATIESILRRTLSHAVTAVTGRALQDSIRIVTKFSDMEDTYSSLGYLRYDVSVDVAPEIKWISDDAYKNLKVVVEIDNEIDAHIASEKEFRRRYKSAGVLKVVTDRGLQVGDVVVLDISATTVDQESNIKNIPSAESKGFNFDTEDSEILVPGFLDSIIGIGRGETKSFPLVFPETWKQENLQGVHAQFTVECKELFYRDLPELDDSIADKLIPGCTTVQQVKDLLLERFQEIEQTAREQATDNAILDQISKMVEVDIPQSLFDEQGRQLYGSSLLEMQTKIKLSEQQLATLSSPKAVNEYLEHHRENITNLIKQNLAVGDIYKRENMQLPTEDIVKEVENSIAEFKRQKQEYDEERVKEQVQEILEGAKVLEWLREHAEVQYITI >RHN39447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7209178:7210107:1 gene:gene45471 transcript:rna45471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MIQIIFLLSLFLSISNASVQDFCVADIKGSDTPSGYPCKPASTVTSDDFAFEGLIAPGNITNIINAAVTPAFVAQFPAVNGLGLSAARLDLGPAGVIPLHTHPGASELLVVTQGHITAGFVSSANTVYIKTLKKGELMVFPQGLLHFQATAGKRNAVAFAVFSSASPGLQILDFALFASNFSTPLITKTTFLDPVLVKKLKSILGGSG >RHN67839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30666511:30667380:1 gene:gene16078 transcript:rna16078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKDPISRGVFLKWDYFSEATLKFVMETAELLHLEGTDKGWRNLMPGILPMDNGMNDLIDLVLENCHQIQCLVDTKHIKSKVPSVFSNLKNVTLRSCSMLVSVFDLSTSRGLLLLEKLEIIDCEKLENIITTDCDNDNNNSCNSMFPNLKFLCIEKCHQLQFILPCHSAGDFLLLESIMIRSCDELKYIFGQHQDVKLASLKELELLVVPNFINIFPEPSSIKGSSNSISKLQSESVKSNTLAWSQICCFGYKSRGSTSTKMPLVSKDEPKDCSITLVTPSHIFIYSFWF >RHN66553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13675576:13676221:-1 gene:gene14559 transcript:rna14559 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVLRGFFILVCVWMLASQPYIACGLRTKDLVQISHVLKGDAMEGLESKMDLAPSPSMTFDANQSNKRTVKKGSNPIHNRS >RHN39968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11939750:11944098:-1 gene:gene46053 transcript:rna46053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAEATTTYAVVTGANKGIGFAVCNQLASKGITVVLTARDEKRGLEAVEKLKHLSLPGLVVFHQLDVIDAASIRSFVDFIKNQFGKLDILVNNAGITGAEVDGEALVAANIEENGGQIDWSKIITQTYEQTELGIKTNYYGAKDLTEAFIPLLQLSSSPKVVNVSSSMGKLENLPNGWPKEVFSDVENLIEEKIDEVLNKFLNDFNEGSLENNGWPINNDMSTYIISKASLSAYTRVAAKKYPSICINAVCPGFVKTDINYNTGYLTPDEGAESIVNLALLHDGSPSGHFFVRSEEKPF >RHN43751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48200453:48202370:1 gene:gene50373 transcript:rna50373 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPWSTKICFNRILNRFQIICSGYTAVMYYNSCVFIRICSSLCCYGVPMKHGHRHGHQTPHRH >RHN59225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8555126:8556284:-1 gene:gene21225 transcript:rna21225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSWVLSNRDHSYSLLNLTINAWMRGGEEELYKLININPLLSLKINGYGKCPKSELLPLIFGSHSLTFLDLCYSRNNTDTKCPKSLHLPALRTLHLKYFKFVATHNHCADPFQNCHVLNILVLDSCSLIEDAQVLCISNQTLSNLTISSVLAEQYSLSTPRLSSFTIGHCPIFQKLLSSTCNLSFLQQVNMYDFSNNAEALIFLNWLQVLANVKILKFGYSVIDTIQKVFLLNPTSKNLQPPRFVRLELFIVHKAPYACEEQEIMEVVEHLLQNTTLMPRVDII >RHN74125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28807787:28812283:1 gene:gene10118 transcript:rna10118 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILKKFINAVFLFIVLFLATTNVEDFVGGSNDECVYPDVFQCINNICKCVSHHRT >RHN66298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10471392:10476455:1 gene:gene14251 transcript:rna14251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MFRTHLFGTPSIIVYTPTIHKFVLHSEDKFKAEWPTIELMGRNSIVAVHGKAHMRVRNFVTNAINRPEALNRIATLVQPRMVAALQSWAQMGKIKARFETQKMTFENIGKLFFSKEPGPFLNSLDKLYQDLLLGVRAYPINIPGFAYHHALQCRRKLDDFFGMELDNRKNKDKVETIDLMDGLMQIEDDDGDKLSDKEVVDNIVSLVAAGYISTSLASTWAIYLLAKYPIVLKKLREENMAFRKGSPEDFITPKDVSNLKYTNKVVEEVIRMANIAACAFRKVDTEVDYKGYKIPKGWNVILLLRYLHTDSKNFKDPMNFNPDRWNEPAKPGTYQPFGGGQRLCPGNTLARIQLALLLHHLSIGYKWELINPNADIIYLSHPAPVDGVEVKFSKL >RHN73909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21453697:21459479:-1 gene:gene9802 transcript:rna9802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MEGGVPEADMSAFRECLSLSWKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDEFPAVEKKTWLQEAIVSTAIAGAIIGAAIGGWINDRFGRKVSIIVADTLFLLGSIILAAAPNPATLIVGRVFVGLGVGMASMASPLYISEASPTRVRGALVSLNSFLITGGQFLSYLINLAFTKAPGTWRWMLGVAAAPAVIQIVLMLSLPESPRWLYRKGKEEEAKVILKKIYEVEDYDNEIQALKESVEMELKETEKISIMQLVKTTSVRRGLYAGVGLAFFQQFTGINTVMYYSPSIVQLAGFASKRTALLLSLITSGLNAFGSILSIYFIDKTGRKKLALISLTGVVLSLTLLTVTFRESEIHAPMVSLVESSHYNNTCPEFKTAATNNHNWNCMKCIRAESTCGFCAAPGDMTKPGACLISNKNTEDICGVDHRAWYTKGCPSNFGWIAILALALYIIFFSPGMGTVPWVVNSEIYPLRYRGICGGIASTTVWVSNLVVSQSFLSLTVAIGPAWTFMIFAIIAIVAIFFVIIFVPETKGVPMEEVESMLEKRFVQIKFWKKRDSPSEKK >RHN63190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49224559:49228313:-1 gene:gene25866 transcript:rna25866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major sperm protein (MSP) MAATIGNSFISITPPELRFQFELERQSFCDLNVLNKTEYFIAFKVKTTSPTKYFVRPNTGVIHPRESCIIRVTLQAQREYPPGMQFRDKFLIQTTTVDPNTDVDDLPSDTFNKDSGRLTEELKLRVVYVSPTSPQGSTRDDTVTNSTQNLDTSSSQALQQLKEERDASVRQARHLQQELDMLKRRRNRRSDPGFSFTFAMFVGLIGFLFGLLLKLSLSSPPTQ >RHN66603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14842585:14844249:1 gene:gene14630 transcript:rna14630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MKIFILFGITFACGFFGNFISNANPLPYEAIFNFGDSTSDTGNAAFDHLNVMEKLIPYRSTYFKHPSGRQSNGRLIIDFIAEAYGLPFLPAYKNITKIPDDIKKGVNFAYAGSTALDVKYFSGISGVSAPKESLDVQFDWFKKLKPDLCKSKEECDSFFKNSLFIVGEIGGNDIFYHLSKTIIELREKVPLMVESIKNTTNALIEEGAVELVVPGNFPMGCNTDILSKKISQKKEDYDEFGCLIAYNTLIEYFNEQLKKSIETIKQKHPQAKIVYFDYYNDAKRLYQTPQQYGFISDKVEILKACCGGSGPYHHDEYWCGTPNTTVCSDPSKLINWDGPHFTEAAYKQIAKGLIEGPFAYPSLKPAPFKIA >RHN71527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:786903:792760:1 gene:gene7136 transcript:rna7136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MEEVKHKSRKEDTDSKRSHRSDRDRKDRSSDVSRHKDKRDRSESRRHDRDKSTDSDEKHDRDREKRREIKEKEKARFHDVEREKQRERKRERERDEKEKERVREKEREREEKERERVRERKEEKERIREKERRERERRDYDREKEKEKEKEKERDRGRRVREREKHRDVDSENSDGELRERNRKRHKKDDDDYKRREKEKSSGKSSRKIEVVDGSPRRKSDGDDSDSKEKAKKQTREEEMEEEQKRLDDEMEKRRRKVQAWQELRRLEEEAQRKKQGEASVVEAESGKKWTLDGEESDDEDGTGKHTSMDIDEDDKPADNEPTDSMAVDVDKGTVASDLQNGDAGAPAEDEIDPLDAFMNSMVLPEVEKLNNAVNSAPPDKASDLNPKDKGAESRNGGQSRKGSNKSIGRIIPGEESDSDYADPEVEGDPLDEDDDEFMKRVKKTKAEKLSIVDHSKIDYIPFRKNFYIEVKEVSKMTVEEVAFYRKQLELKIHGKDVPKPVKSWNQTGLTSKILDTIKKANFEKPMPIQAQALPVIMSGRDCIGVAKTGSGKTLAFVLPMLRHIKDQPPVVVGDGPIGLIMAPTRELVQQIHSDIRKFTKVMGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSSGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDIAQLVEVRPENERFLRLLELLGEWYEKGKILVFVHSQDKCDALFKDLMKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLVATSIAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEEDARYAPDLVKALELSEQIVPDDLKSLAEGFMAKVTQGLEQAHGTGYGGTGFKFNEEEDEVRRAAKKAQAKEYGFEEDKSDSEDEDEGIRKAGGDISQHHTPISAAQLIPIGGIPSVSTVLPVIGSIATNDGATRAALAAMNLQQNIAKIQSEALPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGQFFPPGKVAGPGDRKLYLFIEGPSEQSVKRAKAELKRVLEDITHQALQLPGGTQPGKYSVV >RHN39100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4110444:4111090:1 gene:gene45094 transcript:rna45094 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITASFVLALFVVAILNGYCAEGAETSNQPKKDDDIYKSEKTFGCLFLYKMCLFFQIDCPDYYKLCFPSPPSTPNYPSPNFPSPPSPPNYPTPNFPSPPNFPSPPSPPNYPSPPNYGNPPAEGGDSPTAQILP >RHN51470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18314300:18318101:1 gene:gene35908 transcript:rna35908 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSKPLRNTFLSLPSKISFLSHRDLKLHRRPPSCSHHRPPSSFTDEFRTVIHPSHPPPSRILIPSSFSPSSFTLHRSSFTVADPLNVIIQFHNCRSNFTNPFSSFAHAGNTLEFFFDFTFFALSVLISLRFHRNYLRSVLLFFCYESSISFTLFDFCFEILCVIRMVGEGGDNVGAGSSNSKMPTLEEYGTNLIKLAEEVTYNPSFLNDRLLMTSHYVFKHVYFLSSLSSKIKILGKIGSCCG >RHN53539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2373950:2376483:-1 gene:gene28455 transcript:rna28455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MTTKKNTHLLNLTKENTPKQPFPKIVRITVTDNDATDCSSDEEESYTTHFLDRNRGIKFVDEIIIEPCTVSKNNNTISNGNGNGVVSRKRNRNRTTGGRKTRAPVSIPAKKYRGVRQRPWGKWAAEIRDPARGVRVWLGTFQTAEEAAIVYDNAAIKLRGPDALTNFITPPVSAAATCQLSSPPPENEIPLSSLPLPANSGEESQSQNNNNKSLFSPTSVLQCCSSSEEVAESESMFSIPSDIQFDFQDSSQANDAFYNFNGFSESMLYGDIGFEYFDFGLESLQTHKDEDFFQDIDDLFASDTTVLAL >RHN69270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42224750:42228703:1 gene:gene17715 transcript:rna17715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MIQGDFDKGNRCMPLLATFFYLFSVSATFPLSIHCRELDAKVYMVVLSKMRILIVMSRTGPGAVSMTNAGPNTNGSQFFICTIKTPWLDQRHVVFGQVLEGKDIVRLIEPQDTDCGGPP >RHN62685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45162914:45163694:-1 gene:gene25285 transcript:rna25285 gene_biotype:protein_coding transcript_biotype:protein_coding MASYMVVLMLVASLLVSSTFARSLSSSPVATPSPAGSPLAISPAVSSPVPLMNAPSPSPFAVNYPTSPPPASFGSPASPVAAPAVTPLSISTPPSQAPSPAIAPSTSANSPVASSPVPVTSSPSPSPSAINSPPSPPPHASPAAAPAITPSSISTPPTKAPSSISTPPTKAPSTPTNGATMNGFNVAGYAAAVVFIAALILM >RHN40246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14567672:14568175:1 gene:gene46371 transcript:rna46371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MSAHSFLTLLFFTIFCFIISLSHALNNGFTLELIHRDSSKSPFYQPTQNKYERIANAVRRSINRVNHFYKYSLTSTPQSTVNSDKGEYLMSYSIGTPPFKVFGFVDTGSDLVWLQCEPCKQCYPQITPIFDPSLSSSYQNIPCLSDTCHSMRTTSCDVRGFCEYTYF >RHN62049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40309956:40310559:1 gene:gene24578 transcript:rna24578 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMEMKGIQNCGEVAPALLITPRKCSSSKFSRLEPIIEEGAESLHINMPKKMLFLAPVFISFLSYILVVVYVSASN >RHN43800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48585258:48591051:1 gene:gene50427 transcript:rna50427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-associated protein MAEFSGDVGMEPTVVTPVPLTVSGSFKEGRNSSRRRTHSVRPSLDADEFMNLLHGSDPVKLELNRLENDVRDKDRELSEAQAEIKALKHSERLREKAVEELTEELSKVDGKLKFTENLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKSKEAALLEVERSVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDRMHRLKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKFQEMNEERKTLDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAISDRTAKYEAQLKEKYQLRLKVLQESLRETSNSINRGTSEGKCVSNGPSRRQSLGGADNISKPNSNGFLTKRTSSFQIRSSVSSSSILKNAKGASKSFDGGSRSLERSKILLNGKPPSSSFNQSSERTKDKEENNNWKGSLDDKPNDLPLVDTKDNVPGVLYDLLQKEVLGLRKAGHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAVMEKEVAAMRVDKEQETKAKRFSNVKSPMNSAQQQLVSGRNMSRGGLTRSTQ >RHN63632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52821103:52830283:1 gene:gene26357 transcript:rna26357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MVNPDPSPISFCTQANALLRKNLTFQKRNLKTNIRLILFPMIFCILLVLLQSIMDTLLDKPKYKCGCICANNNKIKCDDSEKVCGIQYSDQTQVVTCAIPNPPEWPPLLQLPALSCNTTASCYFTMLFTANNHSFGQSVSKNMFPSALTMNDYDIMASLAPNVLGSGTKPTDNNFHETAFTPEYPIFNLQTQCPQNNSGFLFPYQIAGTTAQQEIKCARGIYSWRNSSSDINKELYQTYQRGNPKRRINNIVSVFDFLNSNEDGFNVTVRFNATTKGADINHLNAMLRIPRSVNMISNAYLQFLLGPSTKILFEFVKEMPKSETPIRIEIATLLGSLFFTWVVLQLFPVVLTSLVYEKQQKLRIMMKMHGLGDGPYWMITYGYFLALSAIYMLCFVIFGSVLGLKFFTLNDYSIQFVFYFIYINLQISTAFLLSSFFANVRTATVTAYIGVFGTGLLGGFLFQFFVQETSFPRGWIICMELYPGFALYRGLYEFAQFSSSLSNLRTDGMRWKDLSDGVNGMKEVLIIMSAEWIVVLLVAYYIDQVLSTGSGKGPLFFLKGFQIKLLPSLKKPSIQRQGSKVFAQMEKPDVIQEREKVKQLLHEPTINHAIVCDNIKKFYPGRDGNPGKFAVRGLFLAVPRGECFGMLGPNGAGKTSFISMMIGLTKPASGAAYVQGLDIGTHMNGIYTSMGVCPQHDLLWESLTGREHLLFYGRLKNLTGSILTQAVEESLKSLNLYHGGIADKQAGKYSGGMKRRLSVAISLIGDPRIVYLDEPSTGLDPASRKWLWNVIKIAKLDRAIILTTHSMEEADALCDRLGIFVNGSLQCIGNPKELKGRYGGTYLFTMTTSSDHEKDVENIVQRLTPNANKIYHLSGTLKFELPKEDVKIANVFQAVEVAKRNFTVIAWGLSDTTLEDVFIKVAREAQAFDTLQ >RHN59670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12607764:12608049:-1 gene:gene21745 transcript:rna21745 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl23 MNGIKNAIFTDKSIRLLGKNQYTFNVESGSTRTEIKHWVELFFGVKVIAMNSHRLPIKGRRMRPIMGHRPHYKRMIITLQPGYSILPLRKELK >RHN61512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36072544:36073877:-1 gene:gene23985 transcript:rna23985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoinositide phospholipase C, Phosphatidylinositol diacylglycerol-lyase MLKKMGSQVSKQIEKRKTIQTEEKTLSDLKSLGENYPGCDYHPSDRKNWMANLNPDKLKIKMIVWPGTHDSATDKIGIPGISRPFAQCQTLSIYNQLVRGTRVFDIRVQEDRRVCHGILLTYSVDVVIKDIKKFLSETVSEIIILEVRTEFGHNDPPEFDKYLEENLGEYLVHQDDNVFDKTIAEVLPKRVICVWKPRNSTQPKAKSSLWSAGYLRDNWINTDLPLTKFESNMKYLSQQEHVVSRKYFYRVENTVTPVPDNPVLCVKPVTERIHRYARFFISQCFSKGCGDKLQIFSTDFIDLDFVDACVGLTHARVEGKA >RHN50478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7457046:7460832:1 gene:gene34761 transcript:rna34761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative delta(7)-sterol 5(6)-desaturase MHTMEQDYRTLFIEDTDFYNSILLGTLLPQFLWVPLPRFFQTWLRNYIGGLLLYFISGFFWSFYIYCWKRNVYLPKDAVPSRRAIFLQISVAMKAMHWYTLLPTLSEYLTEIGWTRCFPRLNNVGWIAYIGYLAMYMVIVEFGIYWAHRVLHDIKPLYKYLHATHHMYNKQNTLSPFAGLAFHPLDGILQALPHSLALFIVPVHFIAHLVLLFIEGVWTANIHDCIHGKLWPVMGAGYHTIHHTTYRHNYGHYTIWMDWVFGTLRDPEEDVGKVM >RHN77587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7946509:7946976:1 gene:gene1057 transcript:rna1057 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAYVLLDITLLLTAFIIGLQTIHSTIAMMVLRIFYICFDFRNNQFHELRGPTFSVEDRKFLRDDVAEIKGSLAYVVQHHFDSPVVSNIWVMDQSGWHKKYNIIGPPVSMSRMLGLWKNGDELLGGKFGEPLKSYDHQGNSLCQFQIDFHKTKY >RHN61762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38129886:38135577:1 gene:gene24255 transcript:rna24255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CRK7-CDK9 family MGCLCSKSSAVEDNSESISKKYQSTSTRVSELNVLRLNSSKRVEGGGDVRVKASSNEKKSNGSGQLYDDQNGRKKKIEKNELTVIDHPGFGRVPKAIEAEQVAAGWPAWLSSVAGDAIKGWIPRSANTFERLHKIGQGTYSTVYKARDVTNQKIVALKRVRFDNLDPESVKFMAREIHILRRLDHPNIIKLEGLITSETSRSLYLVFEYMEHDLTGLASNPSIKFSEPQLKCYMHQLLSGLDHCHSHGVLHRDIKGSNLLIDNNGVLKIADFGLANVFDAHLNIPLTSRVVTLWYRPPELLLGANHYGVAVDLWSTGCILGELYTGRPILPGKTEVEQLHRIFKLCGSPSEDYWLKLRLPHSTVFKPPHHYRRCVADTFKEYSSTALKLIETLLSVDPSNRGTAAAALKSEFFTSEPLPCDPSSLPKYPPSKEIDAKMRDEATRRQGAVGDKEQRSGSAVRQEKGPRAAVLTKDNADLGASIQQKHYSITKNRSELSYPHREHVSGTQGYPHKQSKDVKETENNLSGHFYNRPSHSGPLVPGSGWARGAKEVDNGPTVSNRVNLSKLSGLVASRTLLSEDQEPKPVPSFPYRKSIEVRKSVEATNGSESRRRRDKKRIVDQSQIVNRRVPTEKSTPDGHGSSGNKIYMSGPLLASNNMDQMLKDHDRKIQEFSRRARGDKVRAQRK >RHN51891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27762060:27771652:-1 gene:gene36450 transcript:rna36450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MAPSESSVVAEYAKSNRSTCKKCSEAIQSKTLRLGLITKDKSRGFDVTKWHHLTCFTVPSSHSSIDKITGFSSLKSGDQEALTKLFTEQEDNSAEASKKTKGIQNEEQDAEERDSKKTKLSTSDVKAAININFSVSDVKSTYKDATLLPKWKAFQTVIFLERDDGLEDSSKIAAFDFDGCLAKTAVNRVGPDAWSLMYPSIPDKLQSLYNNGYKLVIFTNESNIDRWKNSRQKAVDSKIGRLNQFIEEVKVPIQVFIACGTGKSGKAGKKGKSGKAGTEEDDPFRKPKPGMWQLMEKHFNSGLTIDMDESFYVGDAAGRESDHSDADIKFAEANGLKFYVPEEYFAA >RHN57043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36013335:36015983:-1 gene:gene32532 transcript:rna32532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rad21/Rec8-like protein MFYSHQLLARKAPLGQIWMAATMHAKINRKKLNKLNIIKICEEILNPAIPMALRLSGILMGGVVIVYERKVKLLYDDVSRLLVEINEAWKVKSVPDHTLLPKGKSQAKRAAITLPGKEQMTLEELELSRQSNATTTFNRTAYFSMRLDTLDESFLGNEGNVEEDPSVHHHQAAPENITLFEPFQADAVPYNRFERFLLPPSFCIIVFDIEGDDETQVNVPSGDYARFPIPSPPPPDEPKIGE >RHN78650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17187139:17188046:-1 gene:gene2283 transcript:rna2283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEFLHMTYVMIIFIFLFLSLIDAEVHRCIEYTDCPEDMCHLPLVVVCHDHICKCLRLP >RHN54755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11906379:11909341:1 gene:gene29837 transcript:rna29837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MLSHSISNILQSTSSLKPLPSRLSGLDITQLENILTQINDKVHKHSHYSEWLQKVKDVVIDLNDLMEDLRYKESITDSSKSLIKTGLNIINRYQFKKAIVQVNKATEELELLLKEEKTVISNTNEKRKLAYKDFEKSTEHVAVGREREKKEIIDKLLKMNKVDTAVPFVIAIVGVPGIGKTKLARLVCEDEQVKGSSGFQAIWINGLHHQFDVESIVKSVTDRYVHKKRVLLVIDDLRIEIENGDLEKLQKKLAQAAGGRTDTVILVTTTRSSHVANKIAARHVLKLQGLNQEESWSLFQQIHGPITSTKKVQSTTEPEREPEREIVEGCAGVPLLIVIVAMVMKKQSGGGGRGDNWIPKALETLKFIYYDNLPTYQKLCFAYCSLFPEDYLIDAERLIQLWTAEGFLINPEQQFGQACFKDFVPLVFHQAEEESDYLRYCGVVRNNMNNCLYRMNPLMHKLARQEIAGNENITVDVMGERVQDGMLRVSFDFALDLSCEIPDLVFQKAKKLRTFLLPYNINNPRLPYEVKVTTSTCDKIFDTFKCSLRVLDLHDLGIKTVPSSIEDVKYLRYLDLSHNNIEKLPSCITNLIHLQTLKLSQCHVLKELPKDMDDLSCLNHLDLEGCLDLTQMPSGINKLTSLQTLSLFVASKKQVTGGLRSLTDLNNLRGHLEISHLEQVKFSPSKEAAKDDFLKNKQHLEFLTLRWDHDEEEESNVDIDNKFIDCLEPHQNLKELLVVGYHGHMLSNWLASLQFLGKFTLNDCPNCKFLPPMDQLPHLKVLQLRRLDSLKFIAENNHTNTPKFFPSLKELAISDCPNLKSWWEKDIRENDRPSFSCISKLNIQYCPQLASMPLYPGLDDELVVVESSVISMKDTMKYAAPEIKTSNSQLKPFSKLKSMVVERIDHSPPERWLKNFISLEELHIRDCFNLASLPQGFKSLSSLQTLTIERCQELDLDKHPNEWEVPSMGS >RHN73980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22988318:22990374:1 gene:gene9896 transcript:rna9896 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIQETTCHTFSFSFSFKSNSQPYQSSNNTAYSPPQATNFSAPLTNPKPKSLHYKLKPTEHN >RHN81239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44280263:44280947:1 gene:gene5252 transcript:rna5252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucosyltransferase MVNDCQLVLLPFKGDQFFNSKLIANDLEAGIEVNRKDQDGYFHKEDILKAVKTIMVNDEKEPGKSIRENHMKWRKFMLDKENQNKFIIDLVSQLKSLA >RHN79096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22584428:22592186:1 gene:gene2799 transcript:rna2799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tyrosine--tRNA ligase MAEEAVAAAVALESLSVAESSSTNSNPNPTPQLLSAEQRFEIVRSIGEECIQEDELLNLLAKKPQPVCYDGFEPSGRMHIAQGVMKTINVNKLTSAGCLVKIWIADWFAKLNNKMGGDLKKIEIVGRYLIEIWKAVGMDLEGGKVEFLWSSKEINARADEYWPLVLDIAQKNNLKRIIRCSQIMGRSEQDELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDVIKRKNKPVILSHHMLPGLQQGQEKMSKSDPLSSIYMEDEEAEVNVKIKKAYCPPKIVEGNPCLEYIRYLILPWFNEFTVERNADNGGNKTFKSFEELIADYESGELHPADLKPALSKSLNKILEPVRQHFKNDSNAKELLKRVKAYRVTK >RHN66272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10226492:10228421:-1 gene:gene14221 transcript:rna14221 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWWESSSNHRPHNRAPSAPQTAPQQSSCLCGKQEIAFPDTSQQQQPFPNGYTDYFEASQCRGCITLLQDRTRGVG >RHN70084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48581483:48590424:-1 gene:gene18628 transcript:rna18628 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRTESPVYTRQWSGNSSSTGSSSPVMSPAHPQSRLGPTSTGLSTIKRTQNVAAKAAAQRLARVMASQTVDDDDDDDDLDFRYSAPPPSALSSFSSNRNSSANANSIPPISVARPNRSPSPALGRNFVEHTQSVRSSSAGRPAVSVRSAAAAAPVVPPPKSTIRTPMAVPPIDPPTIRNKEKRFPPDITIRQLNSKDTGDQREASALRDELDMLQEENDALLEKLRLAEEKRQEVETRSRELEKQVASLGEGVSLEAKLLSRKEAALRQREAALMAAKQTRNERDEDLTALRVEIQNLKDDAAAAEEQRQEAEAEAKALRSMTQRMILTQEEMEEVVLKRCWLARYWGLSVKHGICADIAQSKYEHWSSLAPLPFELVISAGQKAKEESWNKSTDGSDRSQTVRDINDLAGEGNIESMLSVEMGLRELASLKVEDAVVLALAQHRRPNLIRQSVLDSKSPGDAKYSEAFELSEEEAEDVLFKEAWLTYFWRRALFHGVEEDIAEDRLRFWIARSGQSPTSHDAVDVERDLLELRKLGIEQQLWEASRKGIDQPSESALANHKPASDSDTSS >RHN44035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1168023:1169527:-1 gene:gene38083 transcript:rna38083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [histone H3]-lysine-36 demethylase MWLSYVYVGVITLLVWSFLFRDGFLRGFCSSQSLYCNRFSLVCFFYPPELISASCTGLSVNNILPFEKKKKMIYWLMSKFCSTKLQILVGYSLAYTMELTCGMLYIGMLFGMFAWHVEDHYLYSSAASQFEKTVLDHVYCNKILIEHGENGAFQFLAQKTTMFSPDVLLEHNVPVYKVVVQKPGEFVITFPNSYHAGFSHGTLSVSISLLLHVLVLLCF >RHN42327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37410303:37412904:-1 gene:gene48756 transcript:rna48756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MSGLKWIDGVNQKGLINHGVGESLLENVKKGAEEFFNLPMEEKKKFKQRDGNVEGYGQAFVVSKEQKLDWPDMFFLFTWPSHKRKPHLFPNIPLPFRDDLEAYSGELKNLAIQILDLMAKALAVDTMEIRELFGEGVQSMRINYYPPCPQPELVMGINPHSDGSGLTILLQANEVEGLQIKKDELWIPVKPLPNAFIINVGDMLEITTNGIYQSVQHRATVNSKKERLSIATFYFPNMEGNLGPAPSLVNPNNPTVFERISVLEYRKGYLSRELNGKSYLDSLRIKKENGKSS >RHN68080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32683092:32688680:1 gene:gene16377 transcript:rna16377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate decarboxylase MVLSKTASESDVSVHSTFASRYVRTSLPRFKMPEESIPKDAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMASINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLEENEAAVGVGTVGSSEAIMLAGLAFKRKWQNKRKQEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPAKAVELVDENTICVAAILGSTLNGEFEDVKRLNDLLVEKNKETGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWAIWRSKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYKNVMENCRDNMIVLKEGLEKMGRFNIVSKDDGVPLVAFTLKDHTNFDEFQISDLLRRFGWIVPAYSMPPDAQHITVLRVVIREDFSRTLAERLVADIEKVLHELDSLTAKIKMLSGTSSVTVHEVVKDNGQVVVAKKSALETQREITAIWKKFVLDRKKLNDKMNGVC >RHN71250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57590233:57597715:-1 gene:gene19906 transcript:rna19906 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASAAAVSTSFLLTKSASSSSMNHSHLKIKPPTLFPNRRRMLLSSSSSTTRPFIVRAAYSDGGRPNSASVFVGGFILGGLVVGALGCVYAPQISNALTGTERKELMRKLPKFIYDEEKALEKTRKVLANKIEQLNSAIDEISSQLRSGDTPNGVAVNSDEVEAAT >RHN65255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:590246:590604:-1 gene:gene13075 transcript:rna13075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative retinoblastoma-associated protein MKGIAPGSVQSQVCHFLYQEADSEKFVIPWYYKRVFEEFFVSTDANVENKSSVTNRISECHRFGWLLFVHAFSRFKDLVTCTNGLISIMVS >RHN42751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40649590:40651867:-1 gene:gene49235 transcript:rna49235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MLKFTSQITTHLKCRTTPFTTLIQNPKSSSTSLNFTFLNHLKNQKLDSARAVFNKIPSPHVSLYTKLLLAYAHNNNLHEAINLFNQIPSNTKDTISWNSVIKASIICNDFVTAVKLFDEMPQRNSISWTTIIHGFLSTGRVNEAERFFNAMPYVDKDVATWNAMVNGYCNNGRVNDALRLFCQMPSRDVISWTSIIVGLDRNGKSYQALFFFKNMVGFSGVGISSTTLVCGLSAAAKILDFYAGIQIHCCMFKFGFCCGLDEFVSASLVTFYASCKRMGDACKVFGETVCKNVVVWTALLTGCGLNDKHVEALEVFSEMMRFNVVPNESSFTSALNSCVGLEDLEKGRVIHAAGIKMGLENAVYTGNSLVVMYSKCGFIGDALCVFKGICEKNVVSWNSVIVGCAQHGCGTWALVLFKEMLREGVESDEITLTGLLSACSRSGMLQKARCFFGYFARKRSMKLTVEHYACMVDVLGRCGEVEEAEALATSMPVEANSMVWLVLLSACRVHSSLDVAERAAKRIFEMEPDCSAAYVLLSNLYASSRRWLEVARIRMKMKHNGIVKQPGSSWITLKGMRHEFLSADRSHPLTEEIYEKLVWLGVKLRELGYIPDQQFALHDVEIEQNEEMLSYHSERLAIAFGLLSTVEGSTITIMKNLRVCGDCHTAITLMAKIVNREIVVRDSSRFHHFKNGICSCGDYW >RHN47188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37747367:37754945:-1 gene:gene41754 transcript:rna41754 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-dehydro-3-deoxyphosphooctonate aldolase MDPSALLYNQLKAAHPFFLLAGPNVIESEEHIMKMAKHIKAISSKFGIPLVFKSSFDKANRTSSKSFRGPGMVEGLKILEKVKVAYDIPIVTDVHEASQCEAVGRVADIIQIPAFLCRQTDLLVAAAQTGKIINIKKGQFCAPSVMANSAEKVRLAGNPNVMVCERGTMFGYNDLIVDPRNLEWLREANCPVVADITHSLQQPAGKKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEVHDDPLSAPVDGPTQWPLRHLEELLEELIAISRVSKGKKPFNIDLTPFRE >RHN79671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31127596:31138872:1 gene:gene3491 transcript:rna3491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family METDTCKSKIQINGYFVYTRRKRNHHRDFDNEEPKRLKIYENADAVKNDVVKWTSKRQRRPSFKVKVDSGEDVSAAAKLAAESVKIEKNETVGEKWLTMKELFDTGFLDGVPVVYVGCKKEAINSRLEGVIAGGGILCSCCLCNGRRIIPPSTFEIHACNIYKRATQYICFENGKSLLELLGVCRAAPSHSMEATIQNFLCLPPEEKYFTCRSCRGAFPVSTVKRVGLICHSCVEASKSEDSPIRGVGKRVRTPRPYLFSSPSSISETCVSSQTKKQQKKRTKSSKRLSMSKSSKKSASVAILTQKKSLCSMETKSSKVSVKLKIAPITSNSQCSSPPNKSQLRINKKHQRLHKLIFDEDGLPDGAEVAYYARGQKRLEGIKKKSGILCRCCNTEISPAQFEVHAGWASRRKPYAYIYTSNGVSLHELALFLSKDRKCTAKYNDLACIVCWDGGNLFLCDGCPRAFHKECASVSSTPRRGRYCPICQHMFLGEGSVALNPDAVAAGRIEGVDPIEQIAKRCIRIVKDFEAETGGCALCRGSDFSRSGFGPRTIIICDQCEKEYHVGCLRDHKMAYLKELPEGDWLCCNDCTRIHSILENLLVREAEKLPESLLDVIKKKQEDRCLEPLNEIDIRWRLVNGKVASPETRPLLLEALSIFNECFDPIVDAATERDLIPSMVYGRNLQTQDFGGVYCALLMVNSSVVSAGMLRIFGTDIAELPLIATRHKNRGKGYFQTLFSCIERLVAFLNVKNLVLPAAEEAESIWKYKFGFSRTKPEQLTNFRKNCQQLMAFKGTVMLHKSVPRCRIIHSQS >RHN52697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37824154:37824399:-1 gene:gene37395 transcript:rna37395 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGGAFSESIYRIRILPEFNPHQFASPHRDYTVSLPSQSKSATASPSLLIGKEAITHTQRDKRKEKLKEKEKGAESTKIQ >RHN39625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8824071:8824371:1 gene:gene45666 transcript:rna45666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative caffeate O-methyltransferase MSPPLESINGEATNLTQDVQNEEDGIVFALSLISSIMLPLTVRSAVELGIFDILAKAKKGVMLSANDIST >RHN54271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8179416:8179811:-1 gene:gene29276 transcript:rna29276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-epi-6-deoxocathasterone 23-monooxygenase MCEYTVQAKERMMKMVGRIVEERMKLIMDNNAEDDEKVGVVNDVVDALLRDKGELSQSSSSSNLMVEMISQNIIEFMIPGEETLPTAMTLALKFLTDYPLALSKLMVSSLNIKDLCLCPTKCSSKDGYILG >RHN46567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32839661:32844872:1 gene:gene41066 transcript:rna41066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MADVLKFVYIVFLFVSQFCAEPDDNQKNCVSDSDCYKKFHLPRHFIMKCIKNRCTFV >RHN74180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29957932:29967184:1 gene:gene10189 transcript:rna10189 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRRAPRAVIDPKLRRVGFFDQPEPETPPIPHSPPSDPTNPVIIPPPRLLSERTAAVPVPESRFRRQELDDQVPIGSYNPSESVLGTSPTASIASSGVGIIDGEFSEDCSRVGWLRGSDLSFRGGRLDLKNRENLEENVFSAVTANLDNLPREKKHETVTEVCPNTPSVSPPLKSKTTKAERRALQEAQRAAKAASKANGNSAVAESGKAALAKSKNQSSLKKDGPPVTSEVTIDKKSGNRPPEKERKKDAPPQRMQFDDQNRVGKAKRRALVNQTEAKNRVELFRHLPQYDHGSQLPNLESKLFQLDSVHPAVFKVGLRYMAGDISGVNARCTEMLRALQEAIIDYSTPPEKVLIRELTAKISSYISFINECRPLSISMGNAIRFVKRRIAKLPLSHTDVEAKTALCSDIDRFINEKIIIADKVIVGHASTKVRDGDVLLTYGLSCVVEMILLSAHDVGKKFRVVVVDSRPKLESQALLNRLIAKGLSCTYTHINAVSYIMHEVTKVFLGASAVLSNGTVLSRVGTACIAMVAHAFSVPVLICCEAFKFHERVLLDSICCNEIGDPGALATVPGRMDINYLENWTNEENLQLLNLMYDVTPSDYVSVIVTDHGMIPPTSVPVIVREYGQEHYLI >RHN56966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35319805:35320214:-1 gene:gene32438 transcript:rna32438 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIDLGEDRDEYAWKTVSYMPWLIRDDSDVRFMFQNMVEDNILYIYVRSICNCIECKYEFNLMMCNELFNYGHLIMNTL >RHN58460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1884980:1885474:-1 gene:gene20377 transcript:rna20377 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPAVQLSDECWANVIEFLIDDDDNNSLKVLSLISKQLLSLTNCHKFSLSISNQTLPYLPRLFQRFPNIACLNLTRFRGNLNHLNRLLLLISTFRLHLKLLNLSNQSTFPAKGLRALSKEIKITMFTPLTCSNFDHSLHKNDLVVSHDYNDFPPLKRLTYNTP >RHN43939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49592535:49597138:1 gene:gene50577 transcript:rna50577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MASQLHPAAHDSKNKRWNQTILLSIQIIGIVYGQLSTAPLYVFGTMRAQDLESEEVVYELFSFIFWTLTTISLIKYAIIVLKADDKGEGGIFALYSLLCRNAKVGLLPCDRTTDEVVLFEETTTPSSKINTDSKARRAIEKHKSCHYLILFLALFGSCMTIGAAVLTPALSVLSASYGVQRSLSDLAQLFSSSQHTRDSVSNVLQKYVPVPSACVILIGLFVLQPCGTHKIGFMFAPIIAVWLLFVGVVGACNIFIWDVKIIYKISPLYLFKFVRNIDVKRWRLLGSAILCAAGSEAMFAGLGHFSKKSIKITFICLIYPLLVICYAGQAAYISKNLNTRDFNHLSQSVPRPSKHVFIVLSLLSSAVGSQATITASFSIINQCLALNCFPRVKVIHTSKTIHGQIYISDVNWLLMIFSLAVTVGFRDMVKIGNATSLAIICGMLVTTSLMSLIIALYWEKNLMISACFLLCFGLVEVAYFSACMLQFHKGAWYLVVLLVVSMTVMLSWHYGTVKKYEFDLQNKVSTEWLIDVSPGLGISRVAGIGFIYTDIVAGIPAFFSHFITNLPAFHQVLIMVSFKSMPVPHVPESERYLIGRIGPKYYKIYRCIVRYGYCDNNIRDTSDFEEKIIGAIGEFISIEQMSDIESMVSAEEKMMIVGNSSDGNALVPLMNDETCNEVSITNEAQISPAVIEENDHALLASSSSCNKRKKVRFMLPPASSSPKMGESVRKELLELIDARESGSAYFLGQSHLVVRDGSNYLKRFLIMVFRFFEKNGREPPVALKIPHAALVEVGMICTI >RHN76503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50819650:50821989:1 gene:gene12842 transcript:rna12842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA/RNA-binding protein Alba MEGITEGVNNININNNNNSDSYKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTVAEILKNNGLAVEKKVMTSTVDIKDDSRGRPVQKAKIEIVLGKTANFDELMAAAAAEEGENGDVEEQTA >RHN67517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27877589:27877906:-1 gene:gene15713 transcript:rna15713 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLTSLFSHAVAFTADMSFPTTIYIFHISGVVGVDTLLWIIISQFSNWCIINSFVLVVTLFCHSNCIELAYQLSCRTLDFFLPKHSNAAIAPQPPNLELAAQV >RHN75908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46086821:46091751:-1 gene:gene12167 transcript:rna12167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MEIDLNDSITEVKKNVCSNGKCEKSVCCVCTLSSSSSPTCSSSSSTSAIVSSSYLELWHACAGPLTSLPKKGNVVVYFPQGHLEQVASLSLFSSLEIPTYGLQPQILCRVVNVQLLANKENDEVYTQVALLPQAELAGMCLDDKEPEGLEADDEGNGRSPTKLASHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLVQHYEPVWICLFEDYKQQRPSQELVAKDLHGVGWKFRHIYRGQPRRHLLTTGWSIFVSQKNLVSGDAVLFLRGENGELRLGIRRAARPRNGLPESIIGNQSCSPSFLSSVANAISAKSMFHVFYSPRASHADFVVPYQKYAKSIRNPVTIGTRFKMKFEMDESPERRCSSGIVTGMSDLDPYKWPKSKWRCLMVRWDEDIGANHQDRVSPWEIDPSTSLPPLNIQSSRRLKKLRTGLHVESPSHFITAGDSGFMDFDESIRSSKVLQGQEKTSFMSLYYGCDTVTKQKEFDINSLRHTNLASNGARKITSSEFTRIQPSSYADFTEMNRFPRVLQAQEIYPLRSLTGKVDLNLNSWGKTNVSYTKYNLHNATKLNFHSLGSEVLQNSSFPYGDIHKVGQGSSMLCSKPTNFQLGNVSFNTPSSQIGALRNEVGLSSFKIRNEQKLQNDISAATSLDANIRISNDENFKEMVNPCKLFGFSLSAAAETTSQNLQNSAKRSCTKVHKQGSLVGRAIDLSRLSSYNDLVSELERLFGMEGLLRDPDKGWRILYTDSENDIMVVGDDPWHEFCDMVSKIHIYTQEEVEKMTIGMMNDDNQSCLEQTPLIMEASKSSSVGQPDSSTTVVRI >RHN40332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15414226:15415486:1 gene:gene46477 transcript:rna46477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDEIIKFLFVMVIYLLMFTIVIDATSFCDSVKDCREPAFFCEPPKIARCVMLFCRCD >RHN65283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:836921:838159:-1 gene:gene13107 transcript:rna13107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSEAIDKNDSTSSQSPTKEISILNALLSIVTITSLSLTDPIHAQPLPTLPLDLIAEILCWLPVKFLLQLRCVCKSWNSLICDANFAKKHLRLSTVRQLHFLSYSNRLHNYILTSHPFHSIFTNITNDFTQLELPPNNHDGDYYLVGSCNGILCLADYNEGFVILWNPSIRKSKELPPFQKPKTLRHDGMTYGFGYDPVTDNYKVVVILHYYIHDINDNYVNKIEVKIHTLGTSFWKNIQEFHFDGHTIEGPGHFVGGAINWRSLKDLGMENSCFIISFNLKNESFQEILLPDHEEIDTSDLNLGMLRDCLCMISGDDVWVMKEYGNKESWTKLFTVCHMQYPCKSYGFTKVINIFEDDQVLLEFIDDSIDDSIEGTWIWNSVVYNSKNDTFNFTKFESTPEICIESLISPCS >RHN56205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28711774:28716151:-1 gene:gene31570 transcript:rna31570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MALYPFVCCLIVIIVSQAKAIFECDNNRGNYTVNSTYDNNLKTLLYSFSSHTEINYGFYNFSYGQHPDKIKAIGLCRGDLEPNQCRRNLNNSIAYLRAKCPNQKEAILWGDDVTLRYTYRSILGSLETDPTRYFSNTMSTTEADKYIEPLSFVMRNLTDKAASGDSRRKYEADGVNTTDFSTIYGLVQCMPDLSSQQCSNCLYTAISEIDPDPFNRYYMGLGGEVVKPSCRLRFDTYRFYNSTIELESLSLQPSPSLPPSAVTNNTSLGHSKIGIVIATTVPVVTVVLVLIFICFYRLRKPKLNFEANMGKYDDGGEEDMTIVESLQFNFDIIQVATSDFSNSNKLGQGGFGIVYRGKLLNGHMIAVKRLSTNSDQGDVEFKNEVLLVAKLQHRNLVRLLGFCLEGRERLLIYEFVSNKSLDYFIFDPTRKTQLNWQTRYNIIKGIARGLLYLHEDSRLRIIHRDLKASNILLDEEMNPKISDFGLARRFVIGQTEGSTNRIVGTYGYMAPEYAMHGEFSVKSDVYSFGVLLLEIISGHKNSANIFHGEDMEYLLSYAWRSWIEGRATDIIDPSLKNISQNEILRCIHIGLLCIQENLIDRPTMASVAVMLNSYSLALSIPSKPAYFIGSGTRMLPDMQLHVDNVGATRLNESMNQVSITDPYPR >RHN48475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47726985:47731026:-1 gene:gene43194 transcript:rna43194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LOG family protein MDSRNGEIKLSKFKRICVFCGSSPGKKTTYQDAAMNLGNELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLVNVDGYFNSLLSFIDKAVEEGFISPNARHIIVSAPTAKELVKKLEEYVPCHEGVASKLSWQMEQQLAYPQDYDISR >RHN75536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43105660:43110729:1 gene:gene11759 transcript:rna11759 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:HPL3 MSLPPPIPPPSLATPPKARPTELPIRQIPGSHGWPLLGPLSDRLDYFWFQKPENFFRTRMDKYKSTVFRTNVPPTFPFFTNVNPNIIAVLDCKSFSHLFDMDLVDKKDVLVGDFVPSVEFTGNIRVGVYQDVSEPQHAKAKSFSMNILKQSSSIWVPELISNLDIFLDQIEATLSNSSSVSYFSPLQQFLFTFLSKVLARADPSLDPKIAESGSSMLNKWLAVQLLPTVSVGTIQPLEEIFLHSFSYPYALVSGDYNKLYNFIKQHGKEVIKSGTEFGLSEDEAIHNLLFVLGFNSYGGFSIFLPKLIDSIANGPTGLQEKLRKEAREKGGSTLGFDSLKELELINSVVYETLRMNPPVPLQFGRARKDFQLSSYDSAFNVKKGELLCGFQKLIMRDPVVFDEPEQFKPERFTKEKGAELLNYLYWSNGPQTGSPSGSNKQCAGKDIVTFTAALIVAHLLRRYDLIKGDGSSITALRKAK >RHN68148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33193490:33197814:-1 gene:gene16454 transcript:rna16454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTKTLKFICIMILFLSLFLVAESFATGMPCKTDKECPNTSTHKYKCINDDCFCFYIYWPLGNSLV >RHN57194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37221957:37224976:-1 gene:gene32703 transcript:rna32703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MDTSTRKKKNNTHQVLQLGMRNAEESSNFYNNPLHFFRSFFICIFWVNFLWLLLLPVKPVLCEEQWDGVVVTQSNFLALQAFKEELIDPKGFLRSWNDSGFGACSGGWVGIKCAQGKVIIIQLPWKGLKGRITERIGQLEGLRKLSLHNNQIGGSIPSTLGLLNNLRGVQLFNNRLTGSIPASLGFCPMLQSLDFSNNLLIGTIPESLGNATKLYWLNLSFNSISGSIPTSLTSLNSLTFISLQHNNLSGSIPNSWGGSLKNGFFRLQNLILDHNFFTGSIPDSLGNLRELREISLSHNQFSGHIPQSIGNLSMLRQLDLSLNNLSGEIPVSFDNLPSLNFFNVSHNNLSGPVPTLLAKKFNSSSFVGNIQLCGYSPSTPCSSPAPSEGQGAPSEELKHRHHKKLGTKDIILIVAGVLLVVLLIVCCILLLCLIRKRKTSEAEGGQATGRSAAAATRAGKGVPPIAGDVEAGGEAGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSQAAVKRLREKITKSQRDFESEVSVLGRIRHPNLLALRAYYLGPKGEKLLVFDYMPKGSLASFLHADGPEMRIDWPTRMNIAQGMARGLLYLHSHENIIHGNLTSSNVLLDENTNAKIADFGLSRLMTTAANSNVIATAGALGYRAPELSKLKKANTKSDVYSLGVILLELLTRKPPGEAMNGVDLPQWVASIVKEEWTNEVFDVDLMRDSSANGDELLNTLKLALHCVDPSPSARPEVQLILQQLEEIRPQISSAVSSDEGAIPSTSE >RHN39482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7436164:7436790:-1 gene:gene45507 transcript:rna45507 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGEKRLRTKRWMGEKTWRRTIKRMTKEGTTTNSMEEKGAEITRRR >RHN54562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10512021:10512600:-1 gene:gene29626 transcript:rna29626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative encoded peptide MGEKTMLLTFLLLIIMQQNIGSIEASRLLNINPPPTIPKSPQAPSHDYWYSINDDKGGDDAFRPTSPGHSPGVGHQTPPP >RHN41723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32406136:32416451:-1 gene:gene48075 transcript:rna48075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-RSK-2 family MEKKESSANSGTSKESVNKWMAFANKPDENSTSTKAPSGDNDQILTEASIAERAAEWGLVVNSGNLVAAVETSSSSLDGDKSKGMSDRFADSTRTSGESNYGSEAKLSGLFPRVSQELKDALSTLQQTFVVSDATKPDCPILYASSGFFSMTGYSSKEVIGRNCRFLQGPETDQNEVAKIRDATKNGKSYCGRLLNYKKNGTPFWNLLTVTPIKDDRGNTIKFIGMQVEVSKYTEGVNEKALRPNGLPKSLIRYDARQKEEAMGSITEVVQTVRNPKSIIRSKNDDTATIMHEEPENLNHDFVLPKSVEPVNDTTTPGRQTPLKFHGDNNNMSRFSSYEERNNKSSRKSGITSLKGVKGKSMSSVGRDKDKTIVEPEVLMTKEIEWSKYELRERDIRQGIDLATTLERIEKNFVISDPRLPDCPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVNRIRDAIKDQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHLEPLRNRLSEGSEIQSAKLVKATAENVDGAVRELPDANLRPEDLWAIHSQAVSPRPHKRDNPSWVAIQKITARGEKIGLHHFSPIRPLGCGDTGSVHLVELQGTGELYAMKAMEKSVMLNRNKVHRACIEREIISLLDHPFLPTLYTSFQTDTHVCLITDFCPGGELFALLDRQPMKILKEDSARFYAAEVVIGLEYLHCLGIIYRDLKPENLLLQKDGHIVLTDFDLSFITSCKPQVVKQSLPGNRRRSRSQPPPIFVSEPVTQSNSFVGTEEYIAPEIITGARHTSAIDWWTLGILLYEMLYGRTPFRGKNRQKTFSNILHKDLTFPSSIPASLAARQLINALLQRDPASRLGSATGSNEIKQHPFFRGINWPLIRNMSPPPLDVPLQFIGKDPTAKDKKWEDDGVLNTSIDMDIF >RHN70485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51745409:51751100:1 gene:gene19066 transcript:rna19066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 16S rRNA (cytosine(967)-C(5))-methyltransferase MRTKSMRKKKKGDDACKENEAKSSKVLKGAERSAYFARREAAKVLKTVLEGDAKRRALASIKTLVYHPSVRNKKATFALICQTLKHLPFINDVLQAAKILNTKWKRQQELIYIIVYDILFGQEVPLVGDAEKYLMRHKEALHLHLKKILLQKNVKTVKELFALHEVPDVSVPRNVRVNTLKLDVDSALVELRKKYSVQKDDLLHDLLILPPGTDLHDHPLVKNGSIFLQGKASSMVAPALSPEPGWEVLDACAAPGNKTVHLAALMKRKGRIIACELKKERIKRLNDTIKLSGATNIQVLNEDFLNINSKDPAYSKVKAILLDPSCSGSGTAASRLDHLLPSKAAGQNVDMERLNKLAAFQRKALQHAFLFPALERVVYSTCSINQIENEDVVKSVLPIAESYGFQLVKPFPGWPCRGLPIFEGSENLVRTDPAKHGEGFFIALFAKKDDVNLSARSNKNDNRTLHSSTRTRNVRRKQPIHTNMFKMWLSGQLCRRHRHMLDNSCCGRN >RHN62063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40411527:40415073:-1 gene:gene24593 transcript:rna24593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MFAAEKPASGRVAVGNRASFPSPSVPTTNMQTGGIEPLTGEPYFHVVLSKTHLSTRYGMGPSSSICEELPSKEVPTILKYRGKSWGMTYNGQNKTKQFDSVSWEKFAEDNYLKLGDACVFELMKNSEEEIVFKVQILRGEEEPILLSEFPGTGERDAPFVID >RHN68812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38791638:38791874:-1 gene:gene17220 transcript:rna17220 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSAAKTFLYSTAVVVVVVGQPLWKEGRNIIIVVCWTSCDNIVICCLGRNLALELAVLVVVYWKERSSIIWVDLVKQ >RHN48847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50677503:50679195:1 gene:gene43607 transcript:rna43607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterile alpha motif/pointed domain-containing protein MAKTNKKRPEKLHLEDDDWIIVKKQRVIILVPAASERSSTENEEVNHIHPMPLVMSGNLQKLPTETPNENPSSNEQDKAVSLAVQKKIHTVRRAPPPLLPKSPSANPPFVDQRIESENPHQVSSFKPHRLVGVSNTSKTIKQPRTLFAPRRFSNFTTLDRGLRASNLERKLERAGGLNKWLASLGLEQFVRMFQGKIISKYQLVNLTMKKLKDMGANAVGPRRKLIHAMDCVCQPYCFEAL >RHN78465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15398621:15399301:1 gene:gene2033 transcript:rna2033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MLQRNKLLPMSCLILFFYVFVIATSTSPHAARKNQGSEVDALLKWKASLDNHSRALLSSWIGNNPCSSWEGITCDYQSKSINMINLTNIGLKGTLQTLNFSSLTKIHTLVLTNNFLHGVVPHHIGEMSSLKTLDLSVNNLAESIPPSIGNLINLDTIDLSQNTLSGPIPFTIGNLTKLSELYFYSNALTGQIPPSIGNLINLDTIYLNEKSLWTNSFHCWKYDKTP >RHN56919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34763847:34768891:1 gene:gene32387 transcript:rna32387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zein-binding domain-containing protein MAANKFATILHRNTNKIVVILVYAFLEWILIIFLLLNSLFSYLITKFAKGFGLKPPCLFCSRLDHVLHQENSKFFQSDLVCETHAAEISNLGYCSNHQRLAETHSMCENCLASRPNHHETENSFGMRHRIGFIPWLGHEKHDENEESLNRCSCCNESLNNQIYPPYLLKPSWYDGNYLSKGSLIVESIEDDKEGEKYIEFEINNGEDHDHDEQILNEHQIFSDIESFILREVAEDRSSSVSNLNSDEKDAEKDEKEDYPSAVDDFIHLFSDAPIMQVSHCEDRSLEIINMHFENYKAIDDDRLVPVKLIDSITCLNFESCKWNEDLEEEKEKIQTFVSESPVEPQSSILEEEVLLKMDENAEKTNMRELEESLENSITLEVEGLNQNSVLQISVNGDNSTEEAIEEPDNAQVDLFQSQESICSYECTQEDESESSDDDEAEAQNAFEKFISQNKLSMSHSLSDNDRNLEADMEEQENAPPDNLPPSEEPTYSSQCMLEDQSSSSDSEDDTEVHNAFDEFIAQNNLHMDKTGVNDNEMAEKTMSAEKIEEETIHQSSKCSESCELEEDKLPETPRSADAMHYMQRKLILFEKTESGAEESVDGSVASEVEYSDPVLTIDRLKTSLKAEQRALSAIYQELEEERSASAIATNQTMAMITRLQEEKAAMQMEALQYQRMMEEQAEYDQEALQLLNDLMTKREKEKQELEKELEEYREKVMDYEAKEKLRMLRRMKDGSVRSRDSSCSCCNTGYTDELSVDLNNEEKEQYNNANDDTVSNLEEIALDCVKHVSELDSTLEEFEEEKASILDQLKALEEKIISLEDGEEFLDESSSRYGDHKEDYSNGFSDDKQSPRRTIGSLAKKLLPYLDEAENENDEEAFAFDRELEKESNDMQMQNSVPMISEMDSMKVCIEEEVDRVYDRLQALETDREFLQHCMGSIQNGGDEGKDLLQEILQHLRDLKNVELRLKNLDNDPSTIVKLHSPSKDL >RHN39620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8779429:8783171:-1 gene:gene45660 transcript:rna45660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inorganic diphosphatase MSPKSMSEEKSEEVQETRPTPRLNERILSSLSRRSVAAHPWHDLEIGPGAPNIFNCVVEITKGSKVKYELDKKTGMIKVDRILYSSVVYPHNYGFIPRTLCEDNDPLDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYKHYTDFKELQPHRLMEIKRFFEDYKKNENKEVAVNEFLPPSTAVEAIQHSMDLYAEYILHTLRR >RHN62681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45152065:45153684:1 gene:gene25280 transcript:rna25280 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGSWITLKFRGVVDVVRASRFQSSYGGLKRNSPIVTTTRVESRNWNRNPGLQFFSTKSNTSTNANRIKNDEIQPEAPASKFFAFSSWVKWIVCSLLSFMLPLWSQSWGKLERIEGEAEIVIEGVEKVAEVVEKVATVAEKVSEDLAETLPEDAKLKKVAVVVESASKQAVHGAQITEEFIHKVEKVTNDMEELESFVEPLIDKIVKKQS >RHN58407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1513210:1517241:-1 gene:gene20316 transcript:rna20316 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIKINKPDPRPMPKPKPVLEEEDDEELENEGSLRKRSNRNVGVGGGVDSVGAGGVNSSIRLEDEEDEAPPPLLRWYFHCS >RHN55706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21399567:21400130:-1 gene:gene30932 transcript:rna30932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MNYYPPCPQPDQVIGLNPHSDGSALTILLQLNEMDGLQIKKDGMWIPIRPLSDAFVVNVGDMLEVQTNGIYRSIEHRATVNSEKERISVAAFHSPHMGRYIGPTPSLVTPRSPALFKTVATSDFLKGYLASKIKGKSYLDVLRIKNEIHE >RHN39140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4405872:4410942:1 gene:gene45139 transcript:rna45139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative malate dehydrogenase (oxaloacetate-decarboxylating) (NADP(+)) METSNVSSSTVADIDDNPTIAGGVRDVYGEDRATEDQFVTPWSVTVASGYTLLRDPHFNKGLAFTEKERDAHYLRGLLPPTVISQEIQVKKMIQNIRQYQVPLQKYMAMMDLQERNEGLFYKLLIDHVEELLPVVYTPTVGEACQKYGSIFMHPQGLYISLKEKGRILEVLRNWPEKNIQVIVVTDGERILGLGDLGCQGMGIPIGKLSLYTALGGVRPSSCLPITIDVGTNNEKLLNEELYIGLKHRRATGKEYAELLEEFMTACKQNYGEKVLIQFEDFANHNAFDLLERYRSTHLVFNDDIQGTAAVVLAGIVSALNLVGGSLGDHRFLFLGAGEASPYNLSIISPTFESLIVLQQGINQKFLAGTGIAELIALEISKRTNSPLDEVSKNIWLVDSKGLIVSSRKESLQHFKKPWAHEHEPVEKLVDAVKQIKPTVLIGTSGQGRTFTQDVVEAMASLNKKPIILALSNPTSQSECTAEEAYTWTQGHAIFASGSPFSPVEYEGKVFVPGQANNAYIFPGFGLGLIMSGTIRMHDGLLLAASEALASQVTQEHYDRGLIYPPFTNIRKISAHIAAKVATKAYELGLATRLPQPKDLVKFAESCMYSPNYRSYR >RHN48223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45910074:45912264:-1 gene:gene42914 transcript:rna42914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H/ACA ribonucleoprotein complex, subunit Nop10 MYLQFYINDNGDKVYTTKKESPLGLATQSAHPARFSPDDKFSEQRVKLKKRFGLLPTQHPAPKY >RHN65576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3353742:3357626:-1 gene:gene13429 transcript:rna13429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAETAALSAFQALCNFVVEEGCRHIVENGTLLTGFKKDFIDIKDDLESIQAFLKDADTKAAADGGGGGANEGVRAWVKQVREVSFRIEDVVDEYEYSKYAPQWADDSGIIEFLQTIPALIKTNYAEYQIASKIKDIKESLGKIKEKSDMFKFHSESGSESYRGTTTPRIGDPRMALYFPEETQVVGFEDPTDELVSGLVGGTNERMLITVVGMGGLGKTTLAKHVFNNQLVKDQFNYRSFITVSQSYTIRELLTEMIKKFCKDNDERIPKGLLKMDDEAFINQVRRYLESRRYLVFFDDVWEEKFSDEIAHALISNNTGSRIIVTTRNMQVAKSFKKYFSVHILELQLLKPDKAWELFCNKAFRGQCPIELEEMSNEIVKKCGELPLAIVAIGGLLSTKDKTMFEWRKVSQNLRMELEHNVHLNSLTKILSLSYDDLPYHLKSCMLYFGIYPEDYSINRKRLTRQWMAEGFVKNEEIRPLEEVAEEYLTELIQRSLVNVSRVGFDGKIKKFQVHDLLREVILRKMKDLSFCYLMHKDEQVAVGITRRFSIAAISNNDLRNNSNSGIRAIFVFDKGEFPKQFIDGLSAKFKLLKVLDFENSLLNCIPDNLGNLYLLRYLNLSHTKVTVLPRSIGNLVNLETLDLRQTKVHELPKEINMLTKLRLLPVYYRKYEGHYSILNFTDGVKMQEGIGCLISLQKLYFLEADHDGIDLIRELKRLTQLRKLGIRCVQQQHGNELCDAVQEMKHLESLNITVKNENDILDLDFVSTDPPPNLRVLNLKGRLTKFPNWIPKLQYLVKLRLGLSNFEDDPLDSLKNLPNLFRLNLWDDAFSGEILHFKKGGFPKLKELDLTRLNKLSSISIDKDALVNLEHFRFKNNPQLKVVPEDLQNLINLQFLGFAEMPVELVDSIDPEKGGTHHWIINHIPLVQIRQNVGSKFHDYMLYRIPTQINV >RHN57340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38223969:38227203:1 gene:gene32867 transcript:rna32867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MFTKLLSFQFILMMFFCSLLWLSTIQVYGIFSFAATNATKDKGSEAIALLNWKTNLDKQSQASLSSWTTFSSPCNWEGIVCDETNSVTIVNVANFGLKGTLFSLNFSSFPMLQTLDISYNFFYGPIPHQIGNLSNISKLKMSHNLFNGSIPQEIGKLRNLNHLNIATCKLIGSIPSTIGMLINLVELDLSANYLSGEIPSIKNLLNLEKLVLYGNSLSGPIPFELGTISSLRTIKLLHNNFSGEIPSSIGNLKNLMILQLSNNQFLGSIPSTIGNLTKLIQLSISENKLSGSIPSSIGNLINLERLSLAQNHLSGPIPSTFGNLTKLTFLLLYTNKLNGSIPKTMNNITNLQSLQLSSNDFTGQLPHQICLGGSLRNFSADKNQFSGFVPRSLKNCSSLLRLNLAENMLIGNISDDFGVYPNLSYISLSDNFLYGQILPNLVKSHNLIGLEISNNNLSGTIPSELGQAPKLQSLQLSSNHLTGKIPKELCYLTSLYELSLSNNKLSGNIPIEIGSMQGLQKLNLAANNLSGSIPKQIGNLLKLVNLNLSNNKFMEGIPLEFNRLQYLENLDLGGNSLNGKIPESLGKLQKLNTLNLSHNNLYGTIPSNFKDLISLTMVDISYNQLEGSIPNNPVFLKAPFEALRNNTGLCGNASGLVPCNDLSHNNTKSKNKSAKLELCIALIILFLVVFLVRGSLHIHLPKARKIQKQAREEQEQTQDIFSIWSYDGKMVYENIIEATEDFDDKYRIGEGGSGSVYKANLPSGQVIAVKKLHAEVDGEMHNFKAFTNEVKALTQIKHRNIVKLYGFCSHPRHAFVVYDFLEGGSLDNVLSNDTQATMFIWKKRVNVVKGVTNALYHMHHGCAPPIVHRDISSKNVLLDLDCEAYISDFGTAKILNLDSQNSTTFAGTYGYAAPELAYTQEVNEKCDVFSFGVLCLEIIMGKHPGDLILTLFSSSEAPMAYNLLLKDVLDTRLPLPENSVAKDVILIAKMAFACLSGNPHSRPTMKQAYNMFVMSKSPSMETFCTITLGQLLD >RHN69917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47339564:47345435:-1 gene:gene18450 transcript:rna18450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MQTRVFLNRSVKKKKESKYIRGFMARFHTRKLSPSIITFYTIFVFAFSVFIFLLYVRTFITDEEDQPHPHISRSHEVPKPPRFKDDGQLWVSPNSHGFHPCVKPTAKYKGVQQFDRYLSVRSNGGLNQMRTGIADMVAVAHIMNATLVIPQLDKRSFWKDSSVFSDVFDEFHFIESLKGDIGIVQELPKNLEAAPRARKHFTSWSGVGYYEEMTRLWNDYQVIHVAKSDSRLANNDLPLDIQRLRCRAMYHALRFSPPIENLGKRLVDRLRSRGERYIALHLRYEKDMLSFTGCAYGLTDAESEELRILRETTNYWKVKKINSTEQRIGGFCPLTPKEVGIFLQALGFPPSTPIYIAAGEIYGGNTHLSELSSRFPNLISKESLATPEELKAFTNHASQNAAVDYIISVESDVFVPSYSGNMARAVEGHRRFLDHRKTINPDRKGLVGIFDKLETGELVEGAALSHMVQRMHKNRQGAPRKRQGSLPGVKGRARFRTEESFYENPYPECICGSRNKLERM >RHN71191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57085559:57085987:-1 gene:gene19842 transcript:rna19842 gene_biotype:protein_coding transcript_biotype:protein_coding MRIALLCNSHQLQFYIQFPFQTQLTSFDFLHVHVMGDTESCCNIKAISSEWNQNGKQKQKIEVYLKVLSRLRELNVPEIMAPGFEDDLWAHFYRLPTRYLQTHSLTTSSFLLPTFLLYSECRYNVDRDMFEYSIVELILIVK >RHN67053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23298654:23300669:-1 gene:gene15185 transcript:rna15185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MDELNSKVKASDKKGLLLVAMKELEAKKSDTNDTAYLRAAKHGITEIMLALESNLKSVIHETNSDNENALLIAVKYRQPQVVEGLWKRLSMETFQSINQQVDINENTILHLAAFTVANNENTAWRISGAAMQMMWDIKWYKYIKRLVPDHYHHRSNKEGKTPGEIFKEEHKELLQSSIEWLKDTSESCSVVAALVAGVSFATSGSVPGGNDQSGKPNFEGQPAFEVFSICSLIGLYFSVTALIMFLSILTSRKQVEDFNRNLPLKLLFGLSSLFVAIVAMFISFIAGHYFVLTDKYTKSGILFYLYIAICLPITFYGVVQFPLYLDLVKVTWRKVPPPSIKGVKL >RHN48901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51021435:51022307:-1 gene:gene43667 transcript:rna43667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MDRVKDLASKKAAVIFTKSSCYMCHSIKQLFYELGASPAVYELENDTYGREMEWALRGNFGCNPSVPAVFIGGKFVGSSKDVISHHVDGSLKQMLMDAKAIWF >RHN71313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58173057:58179157:1 gene:gene19980 transcript:rna19980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellular retinaldehyde binding/alpha-tocopherol transport, CRAL/TRIO MCDVMPAPLDRPVKIGHEMEHSEDEKKKKVGSIKKVALSASSKFKNSFTKKGRKHSRVMSICIEDSFDAEELQAVDALRQTLILEELLPSKHDDPHMMLRFLRARKYDIEKTKQMWTDMLKWRKEFGADTIMEDFEFEELDEVLKCYPQGHHGVDKDGRPVYIERLGQVDCNKLLQVTSVERYLKYHVREFERAFAVKLPACSIAAKKHIDQSTTILDVQGVGLRSMNKAARDLLQRLQKIDGDNYPESLNRMFIINAGSGFRLLWNTVKSFLDPKTTSKIHVLGNKYQSKLLEVIDASELPEFLGGTCTCADKGGCMLSDKGPWNDPEILKMAQNGVGRYTIKALSGVEEKTIKQEETAYQKGFKDSFPETLDVHCLDQPKSYGVYQYDSFVPVLDKAVDSSWKKTIQNDKYALSKDCFSNNNGMNSSGFSKQFVGGIMALVMGIVTIIRMTSSMPRKITEAALYGGNSVYYDGSMIKAAAISNNEYMAMMKRMAELEEKVTVLSVKPVMPPEKEEMLNNALTRVSTLEQELGATKKALEDALTRQVELEGQIDKKKKKKKKLFRFHW >RHN75439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42342679:42343094:1 gene:gene11650 transcript:rna11650 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGCLCYSPSNDRHSLCLILLRRVVAVIWFVTVGLVVQAGSVWTMFEYAERFGASFVAGVLGSFAGGLFCEIYGFLFYVCVLLRRD >RHN77644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8394337:8394486:-1 gene:gene1118 transcript:rna1118 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLFWDTFLLKMASPSSFQLGLLLSSPFVCFCPVSSLGSTVVSCGSSF >RHN81532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46780078:46782677:1 gene:gene5592 transcript:rna5592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase transcription factor interactor and regulator CCHC(Zn) family MEEEDDYEEYIPVAKRRAMEAQKILQRKGKATAAIQEDDSEKLKVVETKPSLLVKASQLKKDQPEISVTEQIVQQEKEMIENLSDKKTLMSVRELAKGITYTEPLPTGWKPPLHIRRMSKKDCDLIQKQWHIIVNGEEIPPPIKNFKDMRFPDPILKMLKTKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPMIMMAMQEEIMMPIVPGEGPFGLIICPSRELARQTYEVIEEFLLPLKEAGYPELRPLLCIGGIDMRSQLEIVKKGVHIVVATPGRLKDMLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPIIVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREYAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELVDPMEDNEEITGISGVKGCAYCGGLGHRIRDCPKLEHQKSVAIANNRKDYFGSGGYRGEI >RHN72729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10226585:10227767:-1 gene:gene8481 transcript:rna8481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVSKGVSITTVRKIMQSIGFLGPAFFLTQLSHVKTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGTNSLFIINNISFFFFTLSSRINITPFPL >RHN75999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46878281:46882755:-1 gene:gene12268 transcript:rna12268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosinetriphosphatase MVSEASKKKAAQKKAAAAAKRGGKVAAVSSKKAVDSVANGIGDIQISDRTCTGVLCSHPLSRDIRIESLSVTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPDHMDIYHLSREIEASDMSALEAVISCDEERLKLEKEAEILGAQEDGGGEALERVFERLEAMDASTAEKRAAEILFGLGFNKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEENLKKFDRILVVISHSQDFLNGVCTNIIHMQNKKLKFYTGNYDQYVQTRAELEENQMKQYRWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKILVFRFVDVGKLPPPVLQFVEVTFGYTPDNLIYKNIDFGVDLDSRIALVGPNGAGKSTFLKLMTGDLVPLDGMVRRHNHLRIAQFHQHLTEKLDLELSALQFMIKEYPGNEEEKMRAAIGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAYRQPHLLLLDEPTNHLDIETIDSLAEALNEWDGGMVLVSHDFRLINQVAHEIWVCADQTVTRWEGDIMDFKLHLKEKAGLSD >RHN50278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5758893:5761469:1 gene:gene34550 transcript:rna34550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLYCGFNFLFCVVAILCINLLCAEIFHKNKCVETERRALLKFKDALILGRNDLTSWKGEECCKWEGISCDNLTGHVTILDLHALDYTKGLQGKLDSSICELQHLTSLNLDNNRIEGKIPKCIGSLGKLIELNLIGNKLVSVIPPSLGNLSNLQTLDLGFNDLTANDLEWISHLSNLRYLGLSNVNLTLAVDWLSSISKIPSLSNLYLFEYLDLSYNEFQSSILKSFRNMSQLQELQLNYNKLSSKLSDNIQKLCSAENGLRKLDLSDNPFIRGPLPDFSCFSSLEALSLRNANVVGTFLKSTVHFPTLRSLDLSQNQLNFVEIIDHAYLPTIYSLDLSFNQLNGSQPLFEITKLASLKTLHLSHNHLSGSIPHTIGQLSSLAKLLLSSNKLSGVINETHLSNLSQLRILDVSQNSLSLNLSLKWVPPFKLERLYASSCTLGPKFPAWLKHQGELEILDISHNGISDSFPKWFWNLSLSLRYLNVSHNILKGTLPKSFTRTKGNYDYDHGWDVWDFSFNNMNGSLPAFPELGVLFLSKNMLTGSLSSFCTSSSQSLIQLDMSSNFLEGQLSDCWGKFKSLEVLNLAENNLSGKLPNSFGALRQIKSLHLNRNNFSGEIPSLILCHKLQLIDVGDNNLQGTLPMWIGHHLLQLSNLRMRANKFQGNIPTSLCNLSFLQVLDLSQNNIIGEIPQCFDRIVALSNLSFPRTTFQHMSFIHFVENEVYETGPFIDKEILAWKGSNSEYDKILGLVTIIDLSCNHLTGEIPQSITKLVALATLNLSRNNLTGIIPSKIGHMERLESLDLSRNHLSGRMPTSFSNLTSLNNMNLSFNNLDDKIPVSTQLQILIPIHMWGIIDFVD >RHN66326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10913828:10916038:-1 gene:gene14286 transcript:rna14286 gene_biotype:protein_coding transcript_biotype:protein_coding MLITSHANLSVSGHRRQAIVVVIGSIPSSKPPLIRLLEITNRNLLSCC >RHN69279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42283118:42289051:-1 gene:gene17724 transcript:rna17724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase (ATP-hydrolyzing) MGANIPSKTIEELEHILLHPDTYIGSTEKHTQNLWVYENEEMVHRSVSYVPGLYKIFDEILLDATKRDPSMDSLKVTIEPEGNTVSVYNNGEGVPVGLHKEENVYVPELFFGHLNYDYNVKKTTGGRNGYGAKLTNIFSKEFVIEIVDGRRLKKYKQVFSNNMGTKSEPVITECNASENWTKVTFKPDLEKFKMTYLEEDLVALMKKRVLDMAGCLGKTVKVELNGTLICFMSFRDYVDLFLKCAEKSKPAPLPRIHAIVGDRWEICVSLSDGQFQQVSFVNSIATIKGGTHVDYITNQIIAHVMYKVNKKKKDANVKAHTVKNHLWVFVNALINNPAFDSQTKETLMTSQPSFGSYCDVPESMLKDVEKSGIMDTLLSWADFNESKDFKTTDGRKIQRIRGIVRLEDANDAGGRNSEKCTLILTDGDSAKALAMAGLSVVGRDHYGVFPLRDKLLNVRVASKKQITDNQEFQIIQKILGLQQNKDYTNVKSLRYGHLMIMADQDHDGSHIKGLLINFIHSFWPSLLKVPSFIVEFTTPIIRVSHSNGTKLSFYSMSDYEVWRKRIGNNANCWKIKYYKGLGTSTSQEGREYFQDLDKHMKEFIWPSTCHDLEAKLIRYKSFIDRELILFSRAELQRSIPSMVDGLKPGQRKILFCSFKKKLYKEIKVSQFIGYVSLHSAYHHGEQSLANTIIGMAQDFVGGNNINLLNPNGQFGTRNLGGKDHASPKYIYTELNIVTRFLFHEDDDKLLKYLNEEGKSIEPNWYIPIIPLVLVNGSEEIGTGWSSYIPNYNPREIIANVRRLLNEEEMVPMDPWYRGFRGTIEKSMKQGGYRVNGSMVKIDEQTFRITELPIRKWTEDYKQFLESITEGAPNVNDPFIEDFRQNGDDAIIDIEVKMKPDKVASVMQGLFKKFKLTSIVSTSNMHLFDAEGKIKKYDTPEQILEEFYPLRMDYYQRRKKYMLANLERLLLILDNKVRFILGVVNGEIIMINRKKAELLIELKQKGFSPMLRKGKSAEPQVVGANDESSEGATWGDYEYFLSLPIGTLTLESVQKLLDEKAEKEKEHEVLMGTPTKSLWLKDLDEFEKKLDELDSKEAEEDRKRSNQASKKAANGFAAKPAMKPPQPRKNTKKTKNVEPENDNSSMEIENAVEVAKPKGGSVFKNTKEADDDSYILSIQERLAAYNFESPGEKSGGEFFWLK >RHN59879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14343144:14344845:-1 gene:gene22045 transcript:rna22045 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIKTNFCYTSFFTLTVFTIIFNSTIVSPIACQDTRCSIHLKDNKDVKPFEEIGQGMVSESKSEGNEVSSMRFFRLIGSHPPNCKGKCGTCTPCTLTREQLPPSDVTDPAPERWMCKCGNKLYDPYGKI >RHN73801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19979184:19985504:1 gene:gene9667 transcript:rna9667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor/ chromatin remodeling BED-type(Zn) family MAPIRSTGFVDPGWDHGIAQDERKKKVRCNYCGKVVSGGIYRLKQHLARVSGEVTYCEKAPEEVYLKMKENLEGCRSNKKQKQVDAQAYMNFQSNDDEDDEEQVGCRSKGKQLMDGRNVSVNLTPLRSLGYVDPGWEHGVAQDERKKKVKCSYCEKVVSGGINRFKQHLARIPGEVAPCKSAPEEVYLKIKENMKWHRTGKRHRQPEAKDLMPFYPKSDNEDDEYEQQEDTLHHMNKEALIDIDRRYSKDTGKTFKGMSSNTSPEPALRRSRLDSFYLKHPTNQNLQTCKQLKVKTGPTKKLRKEVFSSICKFFCHAGIPLQAADSVYFHKMLELAGQYGQGLACPSSQLISGRFLQEEINSIKNYLAEYKASWAITGCSIMADSWRDAQGRTIINFLVSSPHGVYFVSSVDATNVVEDATYLFKLLDKVVEELGEENVVQVITENTPNYKAAGKMLEERRRNLFWTPCAIYCINQVLEDFLKIRCVEECMEKGQKITKLIYNQIWLLNLMKSEFTHGNELLKPAGTQCASSFATLQNLLDHRVSLRRMFLSNKWMSSRFSSSSQGKEVQKIVLNVTFWKKMQSVRNSVYPILQVFQKVSSGESLSMPYIYNDLYRAKLAIKSIHGDDARKYEPFWKVIDRHCNSLFCHPLYLAAYFLNPSYRYRQDFVSHSDVVRGLNECIVRLELDNMRRISASMQIPHYNSAQDDFGTELAISTRTGLEPAAWWQQHGISCLELQRIAVRILSQTCSSFACEHDGSMYDQIYSKRKNRLSQKKLNDIMYVHYNLRLRECQVRKRSRESKSTSAENVLQEHLLGDWIVDTTAQSSDSDKNIPFGVELDDEYENDSVDYDDGSERHLKGSHELVTMADGAVGSSDADHANIDGASDDESDLNYFDDDMSE >RHN42739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40574048:40574350:1 gene:gene49222 transcript:rna49222 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLLSLAEEGWFVIPFFFIFLLPYRLLHLFQDRTPQVRVTYSSILICVFHGLADTNRGLSAANNTLQNIVLDFSIPYFIADLLHFVIFLPSYVSASLAS >RHN58629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3454585:3455104:-1 gene:gene20556 transcript:rna20556 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMKIASLEKLWKKIKKEKKRIIFRSPSLVFLYDPSILTYKIFDDVVVIPLTRIIFQSHFQLDLHHPPFNIFEKNVEVMDDEEILEINDES >RHN73486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16912791:16917406:-1 gene:gene9304 transcript:rna9304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAKPIVDFTVQKINDLLIDEALFLYGVKDKVQSLRTELRMMESYLQDADRKQDEDESLKNWISEIREAAYDSDDVIEAYALKEASRRNMTGTLNRIKRFVSIINRLIEIHQVGSQVDGIISRITSLTKSLKTFGIKSEIGEASSSIHGRNKALRRSYSHVIEEDIIGVENDVNILESYLVDNNNKGCKIVAIWGMGGLGKTTLAKKVYHSTKVRQNFESLAWAYISQHCQARDVWEGILLKLLSPSKELREELVSMKDEEVAKKLYQVQVEKKCLVVLDDIWSVGTWNNLSPGFPNERSLSVVGSKILLTTRNTDVALHMDSTCYRHELSCLNEDDSWECFLKKACPKHDDPDPDSRISTEMEKLGREMVGRCGGLPLAIIVLGGLLASKPTFYEWDTVRQNINSYLRKAKGKEQLLGVSEVLALSYYELPYQLKPCFLHLAHFPENLEIQTKKLIRTWVAEGIISSVQNAGDGEEALEDVAQRYLTELIERCMIQVVEKSSTGRIRTVQMHNLMRDLCVSKAYEENFLEIIDSRNADQTSTSKARPIGKVRRIVLYLDQDVDRFFPRHLKSHHHLRSILCYHEKTARLSEWSLMKSVFKKCKLLRVLNLEGIQCQMGKLPKEIGFLIHLRFLSLRNTKIDELPNSIGNLKCLQTLDLLTGNSTVQIPNVIGNMEKLRHLYLPESCGNGIEKWQLSNLKNLQTLVNFPAEKCDVKDLMKLTSLRKLVIDDPNYGDIFKSTNVTFNHLESLFYVSSEDISILEVSAGCPNLYKLHIEGPISNLPQPNQISSKLAKLKLQGSGLVADPMTTLEKLPNLRLLELQLDSFLGKQMVCSSKGFPQLRSLVLSDLSNLEQWKVEKGAMCCLGKLEISNCTKLEVVPEEIRFVSSLKDLEIRSMFAAFRIKLEKGGDEHYKVQHVPSLVFRYCDY >RHN40085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13098156:13098933:1 gene:gene46184 transcript:rna46184 gene_biotype:protein_coding transcript_biotype:protein_coding MVENFIGTKNIFNPYFFYPISLYVLSPFSLLAQLCLISGHRPPQNFPLSSASLLPTSLLSLSGVPSFSLVFCLSLSLLTTSFSHQHSFLLLPVVHPSPAFIALRSSTSIAIESCRSGDGVEDLRQTVRGEKTDHKSDCNRRRRGGHSGRGRGENKVVGVQASDEREKRQNAQSKEKEESRLCFTKLPLFYC >RHN79049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21952358:21954575:1 gene:gene2742 transcript:rna2742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTQISISFYALIIFFSLFLVVTNGRNKTCNYSSECLFHNCPLGWVMKCFTYFCACSRL >RHN45599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23538478:23541913:1 gene:gene39975 transcript:rna39975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MQLHNLCIVLPQNSGEIMKEQPPQSSKKEKSKFKVPSRACGGGQQVLDLLHDSLSKLCSSRWVGGAKRIYKHKGVQKGKKKNSSSKAVFHDMDGVQFAVKIGRDNPRIFSYAELFIGSNGFNEEQVLGSGGFGKVYKALLPSDGTLVAVKCCLSEKGKQFDKSFLAELNAVADLRHKNLVRLRGWCVHEDQLHLVYDYMPNRSLDRVLFRRLENLKNEPLGWGQRGKIVKGLAAALYYLHEQLETQIIHRDVKTSNVMLDSHYNAKLGDFGMARWLEHELEYEFKYKYNYSSNNKKTSSRIDHFKLGETSRIGGTIGYLPPESLQKPSNGTSKCDVFSFGIVVLEIVSGRRAIDLSYSDDKIILIDWIRRLCDEGKLLEAADTRLQKNGSYNFSEMKHFIHISLLCTLHDPNLRPNMKWVVEALSDLSFKLPSLPSFLSHPLYISLSSPSETTNTSSTSGTMSAAENLSLITNYSSSNYVTAAGETVYVTAEQKNSGIRSSKSMNQNHYKPNFPVVETPREISYKEIVCATSNFSESRRVAELDFGTAYHGILDDNCHVLVKRLGMKTCPALRDRFSNELRNLGKLRHRNLVQLRGWCTEQGEMLVVYDYSASRILSQQLQQIHNKSRNDYRCSVLEWQHRYNIVKSLASAVLYLHEEWDEQVIHRNITSSAVILEQDMNPRLSSFALAEFLSRNEHGHHVVNDTSKSVKGIFGYMSPEYVDSGEATSAADVYSFGVVVLEIVSGMMAVDFRYQEVLLVKKVHEFVIRKRPLKELADIRLNGEYKEKELIRLVRLGIACTSCDPQLRPSMRQIVSILDGNDKLLNMKNKESREEWRDRNACSLSMIRRIQALGIQ >RHN40761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20444498:20444749:-1 gene:gene46960 transcript:rna46960 gene_biotype:protein_coding transcript_biotype:protein_coding MHELASAIENRGLKVGKAMYRELTGLRKPVFDKSNILHWPVLLLYAEVMSSDFIEDFCETDMFTVHLDMISFYMKCLFTFSLY >RHN81305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44705310:44707051:-1 gene:gene5323 transcript:rna5323 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLHLQQLPTLRISAAVDNLDDDDDVVTPSSDQVPDVADTMSSSAIIEPEIEKENVDGAVVDGEIDESYRTPTSKESKIPEIHDCPPAPRKPKPFVSCKRKLMDDFQFFEVKNNEDMDAFFRSTFPKRTCPCT >RHN78529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15962081:15963002:1 gene:gene2109 transcript:rna2109 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKPSTYPLASSNEAVRPVRCFPHFLFEKWAVEFVFQDTAI >RHN41280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28837874:28838617:1 gene:gene47585 transcript:rna47585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLETDSLGAQDQDQPASEMHVDSIGCDVENDRCDQCKKVVQGKVVTCRHCKRERFCTRCLEDWYPHLKEADIATKCPVCCRNCNCTPCLLSSDLLKEIKEKEITTGDKEVKHSVYLLEKLLPHLRELDEEQTSEMEIEAKRQGIFSLKSRS >RHN38565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:285581:289910:1 gene:gene44519 transcript:rna44519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EGF-like calcium-binding domain, PA domain-containing protein MRTRLSFLWCVWIYLCGSCMGRFVVEKNNLKITSPKSLRGIYECAIGNFGVPKYGGTMIGTVVYPKLNKNGCTKFNDADTSLNSKPGSFPTFVLVDRGDCYFTLKAWNAQIGGAAAILVADDRVEPLITMDTPEEGNGATNDDYVEKITIPSALISKSVGDSIKKALSSGGMVNVNLDWREALPHPDERVEYELWTSSNDECGPKCESEINFLKSFKGAAQLLEQKGYTQFTPHYITWYCPEAFILSQQCKSQCINNGRYCAPDPEQDFTRGYDGKDVVIQNLRQSCFFKVANESGKPWQWWDYVTDFAIRCPMRESKYTEECSDQVIKSLGVNLNKIKDCTGDPNANAENPVLKAEQDAQIGQGSRGDVTILPTLVINNRQYRGKLSKAAVLKAICASFQETTEPSICLTPDMETNECLTNNGGCWQDKAANITACRDTFRGRVCECPIVQNVKFVGDGYTHCEASGPLRCAVNNGGCWKGARGGRAYSACIDDRTKGCKCPPGFRGDGVHSCKDVDECKEKLACQCPECNCKNTWGSYECRCNNGLFYVRESDMCIGKYSASVSSGGFIWLVILLLGTCGAVGYVFYKYRIQRYMDDEIRAIMAQYMPLDNQPEHTNQVQHAV >RHN53804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4276954:4280676:-1 gene:gene28752 transcript:rna28752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MNALVATNRNFQRASRILGLDSKLEKSLLIPYREIKVECTIPKDDGSLVSYVGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVVDIPYGGAKGGIGCNPKDLSISELERLTRVFTQKIHDLIGIHRDVPAPDMGTNSQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGLGVVFATEALFAEYGKSISDMTFAIQGFGNVGTWAAKAIFERGGKVVAVSDINGAISNPNGIDIAALLKHKAGNGSLKDFSGGDAMNPNDLLVHDCDVLIPCALGGVLNKENANDVKAKFIIEAANHPTDPDADEILSKKGVIILPDVYANAGGVTVSYFEWVQNIQGFMWDEEKVNQELKRYMTKAFNDIKANCKTHNCDLRMGAFTLGLNRVARATLLRGWEA >RHN46922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35800519:35800788:1 gene:gene41458 transcript:rna41458 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEAQQCRPSGRISGKEVPCGQCNQENDSDCCVQGQMYTTYECSPSVSTYTKAYLILNSFQKGGDGGGPSYCDNQYHSDDTSVVALSI >RHN68749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38241506:38245845:1 gene:gene17138 transcript:rna17138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling &Metalloenzymes JmjC family MGIINNNNIKINREIEKVNGKELNYSEFVERYMDKNKPVVLTGLMDHHWRACTDWVTPDGKPNLQFFFNHFGSSKVQVADCDTRDFTDQKREEMLVSDFLERCLEVEGSAVQCSNENGECNGDSVSVPYLKDWHFVKEYPEYVAYITPTFFCDDWLNLYLDNFRMNTHSGSDQQNKEICCSDYRFVYMGVKGSWTPLHADVFRSYSWSANVCGKKRWFFLDPSQCHLVFDRNAKSCVYNIFDEVSDSKFPGFEKAIWLECTQEAREIIFVPSGWYHQVYNLEDTISINHNWFNAYNLSWVWNLLLSDYNEAKEYIEDIKDICDDFEGLCQRNLAANTGMNFFDFFTFIAYFALANLVLLCYIYGRVGSTTGSLSRVAHHLSLNIGSIRKVASDMKHVHALEGTRDCIVDMIKTFEDPRFSKFCMQVGKTYLMIHEQSNLSSDFESASLVDLVDLCVLKACTSSQIYTPEDLIKFIDNTIAELGDINMESSVLHVA >RHN64940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63146264:63151296:-1 gene:gene27821 transcript:rna27821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-1-phosphate adenylyltransferase MAVSASGQLMLSSTVQLREPGMVSSRNLKVVKFCNGEMMGRKIELHAATNGCTKNVYRKNISMSLTADVASESKLKNADVEKRDPSTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHIARAYNSGTGVTFGDGYVEVLAATQTPGEQGKKWFQGTADAVRQFHWLFEDPRSKDIEDVLILSGDHLYRMDYMDFVKDHRESGADITLSCLPMDDSRASDFGLMKIDDKGRILSFSEKPKGAELKAMQVDTTVLGLSKDEALEKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEVIPASAKEFYMKAYLFNDYWEDIGTIRSFFDANLALTEHPSKFSFYDAAKPMYTSRRNLPPSKIDNSKIVDSIISHGSFVNNAFIEHSVVGIRSRINSNVHLKDTMMLGADYYETEAEVAAQLAEGRVPIGIGENTKIKDCIIDKNARIGKNVVIANSEGVQEADRSSEGFYIRSGITVVLKNSTIEDGLVI >RHN56965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35309561:35318108:1 gene:gene32437 transcript:rna32437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative A1 cistron-splicing factor, AAR2 MDSQTALELVKNGVTLLFLDVPQYTLVAIDTQVFSVGPTFKGIKMIPPGTHFVYYSSSTRDGKEFSPMIGFFIDAGPSEVIVRKWDQQEERLVKVSEEEDERYRLAVKNMEFDRQLGPYNLSHYEDWKRLSDFITKSIIERLEPIGGEVSVECENDMFRNAPKTPMEKALDTQLKVDNSATSVGKLQRKGCYYTSIPRVVKCKGISGQELTSLNLDKTQLLETLLVKDYGGSEDLLLGELQFAFIALMMGQSLEAFLQWKSLVSLLLGCTEAPFHTRTRLFTKFIKVIYYQLKYGLQKDRKDNTGPLLLDDSWFSTDSFLHHHCKEFFSLVLDGSVIDGDLLKWTRKFKKLLESNLGWEFQQNNAVDGLYFDENDEFAPVVEMLDDEAHAV >RHN78069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11625850:11626115:1 gene:gene1584 transcript:rna1584 gene_biotype:protein_coding transcript_biotype:protein_coding MNELFFSSMFLVLIVNIMKTCSGIIHGYFLSTYLLLVGECTVISKHARLWRALWTSIMSTKWK >RHN48950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51316648:51320110:-1 gene:gene43724 transcript:rna43724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKFCKKYQEYMQGQEQKKLPEVGFKKLKKILKKCRRDSSQSQPCPDHCSVCDGTFFPSLLSEMSEIVGCFNQRAQKLLELHLASGFRKYILWFKGKYHKNHTALIQEGEDLVTYALINATAIRKILKKYDKVHYSKQGQLFKSQVQSMHKEILQSPWLCELMAFHINLRETKVNSRKETALFDECSLTFKDGKPSLTCDLFDSIKIDIDLTCSICLDTVFDPVSLTCGHIFCYICACSAASVSIVDGLKAANPKEKCPLCRERAVYEGAVHLEELNILLGRSCQEYWEQRLQSERVERIKQIKEHWDSQCRAFMGV >RHN77385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6480462:6484020:1 gene:gene832 transcript:rna832 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIFSSYHCEFVIVYITFMVCRDSDATKHAQPGVSLLLI >RHN68658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37521051:37527389:1 gene:gene17038 transcript:rna17038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartyl aminopeptidase MAKEESTHTVVSDLINFLNASPTAFHAVDDAKKRLQSAGYHQISEKETWELKAGHKYFFTRNHSTIVAFAIGKRFVGGNGFHIVGAHTDSPCLKLKPVSKVVKGGILEVGVQTYGGGLWHTWFDRDLTVAGRVILKKENAGSVSYSHRLVRIEEPIMRVPTLAIHLDRGVNDGFKVNTQTHLLPILATSLKAEVNKVSSENSSVESGKKENDKTGSSNAKHHPILLQLLASKLECEPDEIYDFELQACDTQPSIVAGAAKEFVFSGRLDNLCMSFCSLKALIDATSSDSSLEEEPGVSMVALFDHEECGSNSAQGAGSPVVLDAISRITESFSPNSKLLEKAVQRSFLVSADMAHALHPNYMDRHEENHQPKLHGGLVIKQNANQRYATNAVTSFIFREIASKHNLPVQDFVVRNDMACGSTIGPILASGIGIRTVDVGAPQLSMHSIREMCAVDDVKYSYEHFKAFFKEFSHLDANIVVDI >RHN62490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43824899:43830748:1 gene:gene25071 transcript:rna25071 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYESNPFDEEEVNPFSEPAAKGKTSSQSNYSGGAFYTTNPGSVPPAKNSRLSPLEPEPADYNNYGFGATVDIPLDTSTDLKKREKELQSKEADLRRREQEVRRKEEAAARAGIVLEEKNWPPFFPIIHHDIANEIPVHLQKLQYVAFTTYLGLVACLLWNVIAVTAAWIKGEGVKIWFLAIIYFISGVPGAYVLWYRPLYRVFRTESALKFGWFFMLYLVHIGFCILAAVAPPIVFKGKSLTGILSAIDVIDNSTLIGIFYFIGFGFFCLETLISIWVIQQVYMYFRGSGKAAEMKRDVARGAVRAAF >RHN73354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15603670:15606182:-1 gene:gene9156 transcript:rna9156 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIIVEGRSVSKPPYFDGTNLTEWREPMKTFIQSVNFEVWLVIENGPKLPKKLINGEEVLKSVDEFNDEDMKNMELEAKAKTILYCALNPNDLGRISSCHTAKEMWEELDRRVTTSDANSTPPVTTSDANSTPPVSQQTPTSDLYNAPNPEFLEETSDDQRKRFLKHCVPIHKHALNGNWPAAKHILDIYRE >RHN74095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27549541:27552255:1 gene:gene10071 transcript:rna10071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MAGRNDAALAAALQAVAQAVGQQPNVNAGANAEARMLETFMKKNPPTFKGRYDPDGAQTWLKEIERIFRVMQCTEDQKVRFGTHPLAEEADDWWVALLPTLGQEGAVVTWAVFRREFLRRYFPEDVRGKKEIEFLELKQGNMSVTEYAAKFVELSKFYPHYTAENAEFSRCIKFENGLRPDNKRAIGYQQLRVFQDLVNSCRIYEEDTKAHYKVVNERKGKGQQSRPKPYSAPADKGKQKMVDVRRPKKKDAAEIVCFNCGEKGHKSNACPEEIKKCVRCGKKGHVVADCNRTDIVCFNCNGEGHISSQCTQPKRAPTTGRVFALTGTQTENEDRLIRGTCYINNTPLVAIIDTGATHCFIAFDCVSALGLDLSDMNGEMVVETPAKGSVTTSLVCLKCPLSMFGRDFEMDLVCLPLSGMDVILGMNWLEYNHVLINCFSKSVHFSSVEEESGAEFLSTKQLKQLERDGILMFSLMATLSIENQAVIDRLPVVCEFPEVFPDEIPDVPPEREVEFSIDLVPGTKPVSMAPYRMSASELSELKKQLEDLLEKKFVRPSVSPLGAPVLLVKKKDGSMRLCIDYRQLNKVTIKNRYPLPRIDDLMDQLVGARVFSKIDLRSGYYQIKVKDEDMQKTAFRTRYGHYEYKVMPFGVTNAPGVFMEYMNRIFHAFLDRFVVVFIDDILIYSKTEEEHAEHLKIVLQVLKEKNLYAKLSNCEFWLKEVSFLGHVISGDGIAVDPSKVEAVSQWDTPKSVTEIRSFLGLAGYYRRFIEGFSKLALPLTQLTCKGKTFVWDVHCEKSFGELKKRLTTAPVLILPKSDEPFVVYCDASKLGLGGVLMQEGKVVAYASRQLRIHEKNYPTHDLELAAVVFVLKIWRHYLYGSRFEVFSDHKSLKYLFDQKELNMR >RHN61747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37988727:37993265:-1 gene:gene24239 transcript:rna24239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WEB family protein MASKSSTRSKPSDNSNKAPPATPKVSKVSKPVTKSASESPSPLQNSRLSVEKSPRSVNSKPAVERKSAKATATPPDKQAPRAAKGSELQNQLNVAQEDLKKAKEQILQAEKEKVKAIDELKEAQRVAEEANEKLQEALVAQKQAKEESEIEKFRAVELEQAGIETVNKKEEEWQKELESVRNQHALDVASLASTTEELERVKQELTMMCDAKNQALNHADDAAKVAEVHAEKVEIYAAELTQLKALLDSTQETKASDNNLILKLKAEIEALKKELDKGMSYDERLTEKETKIEQLNVELETSRMAESYANSLLEEWKKKVEELEMRVEESNKLERSASESLESVMNQLEESNYLLHDAESVAASLKEKVGLLEMTIVRQKADLEDSERRLLMAKEENLEKSKKVEALESELETVKEEKDQALNNEQLAASHVQTLLEEKNKLINELDNSREEEEKSKKAMESLASALHEVSAESREAKENFLSTQAERESYENQIEDLKLVLKGTNEKYESMLDEAQHEIDVLIDSIENSKNVFENSKAEWDQRELHLVSSLKKTEEENAAAEKEINRLVYLLKQTEEESNANREEEAQLKENLKEVETEAIHLQEALKEVTSENVKLKENILDKENEMQNLFQENDELRAREAESIKKVEELSKLLDEATTRNHTEHENGDLSDSEKDYDLLPKVVEFSEENGHGYGGEEIPKVVELSLKQEEFKHNVLEESMILNDKADEKIESPKPVKMNGKPKEDESKEKDDPEEVEFKMWESCTIEKKEFSFSPERELPEAKSLEEETESKTEEGGDGEGFDKINGTTVIENIDNGGSSPVKEEQQQQLKKKKKALLGKFGSLLKKKGGSNQK >RHN66783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18691386:18694111:1 gene:gene14864 transcript:rna14864 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLHAPVNETRKKVAAKNRCTNVQSVRNLIAGSGPGSTSSLVVDLGGVIVLRIRRRNLRREGKWRHLQKSQPRLSGLFLFVLKVGISFSSPKYGPSLIIVSLNRPFSWMTLSYGLFMTLVLLAGARQ >RHN45049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11144831:11145968:1 gene:gene39245 transcript:rna39245 gene_biotype:protein_coding transcript_biotype:protein_coding MQGWSSRRQKAFRWLKRLGETCGATRCRWKAKEDKAWGEQNGG >RHN62988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47393143:47394930:1 gene:gene25632 transcript:rna25632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MDRIKGPWSPEEDESLTKLVDRYGPRNWSLISRAIPGRSGKSCRLRWCNQLSPQVEHRAFSPEEDNIIIRAHAQFGNKWATIARLLSGRTDNAIKNHWNSTLKRKCSSFIGSDDRDFNPQPLKRSASVGAPGSPSGSDLSDSGVQQTVFRPVPIRLPVETTSEPEPVTVPEAVEEDDGPLTSLSLSLPGVDAAAAVLVSPAPITAVTAVTVSEEAGIGALNLSGEFMAVMQEMIKNEVRSYMEERNGMCFQGVDRLRNVSAKPIGINRVDG >RHN68639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37356521:37358680:1 gene:gene17017 transcript:rna17017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphodiesterase I MFCGLSNPKLVAVSNFIAFANPKAPVYPRLANGKSWNEIQSAAGTLTFNRNSMCGQPARTVGWRDPGFIHTSFLKELWPNMRYTYRLGHFMSDGSYVWSKRYSFKASPYPGQNSLQRVIIFGDMGKAERDGSNEYANYQPGSLNTTDQLIKDLDNFDIVFHIGDMPYANRYISQWDQFTAQVQQISSTVPYMIARYATDYGMFRFCIADTEHDWREGSEQYKFIEHCLATVDRKQQPWLIFAAHRPLGYSSND >RHN80643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39723467:39725695:-1 gene:gene4590 transcript:rna4590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SSXT family MQQHLMQMQPMMAAYYPNNVTTDHIQQYLDENKSLILKIVESQNTGKLTECAENQSRLQRNLMYLAAIADSQPQPPTMPGQYPSSGMMQQGGHYMQAQQAQQMTQQQLMAARSSLMYAQQLQQQQALQSQLGMNSSGSQGLHMLHSEGANVGGNSSLGAGFPDFGRSSAGDGLHGSGKQDIGSTDGRGGSSSGHSGDGGETLYLKSSGDGN >RHN63484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51676193:51678328:-1 gene:gene26185 transcript:rna26185 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYRLLFFSLYGLLARPMQITKMSFRFYNLEHICIFRNIYYGQLRSIKGTSPPSFTFSHSPFTLSLYIIPKSEHRKSCPNLEFCCSKAPHFNPCQPAWKVKGSTSNTRRVREAEHASRRRACSQRHEGGVPSRRLGARGRHADVEPGVEHQPEWQEYMDLQQEQPDVGLQHMEDQELEEELHAMDEEMEDVEPRQRRKKKEKVVDPEPLNDYPGGPHETGLLWMAEIQRPHGEISAGEGASSVWVGSDHTGTSR >RHN62033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40201946:40204672:-1 gene:gene24559 transcript:rna24559 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAIMITNIRNHEILLCSHQSNVSELTPAVQRSFWLWIDWDIGMIRSWSPHGLPVLPCHADHKMNGMTFFPCSPTHTISTSKVV >RHN76217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48646107:48648101:1 gene:gene12508 transcript:rna12508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MGRLMYVAFPLIIAFAVLSLCHGVAAAAATYPKPKLQWHYYHNTCRDAEVYVRHQVKIHWDQDKSITAKLLRLVYSDCFVNGCDASILLDEGPNPEKRAPQNRGLGGFVLIDRIKTVLESRCPGIVSCADILHLAARDAAKMAGAPGYPIFTGRKDGMKSDAASVDLPSPSISWQESLAYFKSKGLNVLDMTTLLGAHTLGQTHCSYIVDRLYNYNGTGNSDPSMDATFRDTLRGLCPPRTKKGQSDPLVYLNPDSGKNYIFRESYYKRILRNEAVLGIDQQLLFGDDTKEITEEFAAGFEDFRRSFAQSMFKMGNIKVLTGNQGEIRRSCRHTNK >RHN73574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17765287:17771683:-1 gene:gene9399 transcript:rna9399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MEGEEGGGVRLSKRFNDDKGGGEVDYKTKSGTAWSHNFLNQKPWHPLSYPNQRRKWIAEQTHAQRERRTEEVAREYAQEQEFYRTTSLISKKDKEKVELMQAVSFMYVRPPGYNPESAKAAELNDEKKKEDTVNNEPTQTNPDGPSSLPPHGEKKKPRPKDVFGRALPTEEEFEVLKNAPRHETGVAARAKPFGVEIRNVKCLRCGNYGHQSGDRECPLKDAIMPNEENRLKRDDPLNAILAHTDLTEPLKWELKQKPGISPPRGGFKPDDPNQQIVAEEEDIFDEYGGFLNMGDIPDLLTNLSKKPKKSKNKKHKKQKLLHSEREASLDDGESRSKKKRVKESKKKRDYKESSSSGSFASEKVHGKSRNKHSDDFDSDRNDPSRKTKPERSLSLKDYDHPRHGRSKHGKRRHSFSSEESGPDCYNGNYKNRDRRSYSSEDPDSDRDDRGRKNIQKHKRKHGRKRHYNSDEKDSGPADYHLKQKGRDEHSYKSDDCNHQRQPEDKISSHKYSSIIHCDSQRHHVSFSHDRYRGSQKSRSEHSCSSNDSDVEKNDQSRRIKEERGSQKRREEHLYSSDDSDVVKNDRSRRICSSNDSDVEKNDQSRRIKEERGSQKRRAEHLYSSDDVVKNNRSRRIKEKHGNQKRRTEHSYSSDDSDEKNDRSRRIKEKYGSVKRRAEHSYSSDDSDVEKDNRSRRIKEKDGSVKRRAEHSYSSDDSDVEKDCRSRRIKEKHRSQKSRAERSYSSVDSDVEKDGRSRRIKEKHCGTPDGSEHAEIDVRQQNREKPSYHRSEKSYIHREKHKLRKSFHKH >RHN81714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48411767:48423144:-1 gene:gene5797 transcript:rna5797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MADLVDHRNADRHIHQALIALKKGAQLLKYGRKGKPKFCPFRLSKDELSLFWFSSSEERSLKLSSVSKIIPGQRTAVFQRFPRPEKDYLSFSLIYNHGKRSLDLICKDKVEAEVWIAGLGALISSGQGGRSKIDGWCDGGLYLDDGKNLTSNSPSESSVRASQDISSPDISASIPNVSPKSFHPENTVNFEKSHAPANSPNMQVKGSSSDVFRVSVSSAPSTSSHGSAPDDYDALGDVYIWGEVISENVVKVGADKNVSYCSPRTDILLPKPLESNVVLDVLQIACGVKHAALVTRQGEMFTWGEESGGRLGHGVGKNVVQPCLVEALASSTVDFVACGEFHTCAVTMAGEIYTWGDGTHNAGLLGHGTDVSHWIPKRIAGPLEGLQVAFVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRENISYPREVESLSGLRTVSVACGVWHTAAIVEVIVTQSSASISSGKLFTWGDGDKNRLGHGDKDARLEPTCISALIDYNFHRIACGHSLTVGLTTSGRVFTMGSTVYGQLGNPQSDGKLPCLVEDKLAGECVEEIACGAYHVTVLTSRNEVYTWGKGANGRLGHGDVEDRKTPTLVEALKDRHVKYIACGSNYSAAICLHKWVSGAEQSQCSTCRQAFGFTRKRHNCYNCGLVHCHSCSSRKALRAALAPNPGKLYRVCDTCYVKLNKVAESSINNRRNAMPRFPGENKDRLEKSELRLSKPAVPSNMDLIKQLDSKAAKQGKKADMFSLAHTTQAPSLLQLKDVVLSTAMDLKRTVPRPVITPSGVNSRSVSPFSRRSSPPRSATPIPTTSGLAFSKSITDSLKKTNDLLNQEVLKLRSQVETLRQRCELQELELKKSAKKTQEAMVLATEESAKSKAAKEVIKSLTAQLKDLAERLPPGAYDTDNIRPGNLRNGFVESNGIHHPDSNGEQGHTRAESISGSSLASLGLESSLMNRTERNSTGSYATNLYQQSRASVTSNGTDDYRDDRLPNSGSMIQATNSSVSDTFDGRNSGNFRDDESGSRSRNDVLAANSNQVEAEWIEQYEPGVYITLVAMRDGTRDLRRVRFSRRRFGENQAETWWSENRDRVYERYNVRSSDKSSSQASQRAESAGSPVL >RHN62072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40494578:40496163:1 gene:gene24603 transcript:rna24603 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRELLTQEGFKQTNKLPKETKEITLTSLPLPLQIYHDKKIIDCFDQKLDEAMIQKGSFSYDLFQTNNERVCTSAEETSNSKSLVSPDSRREGPPLNEVAIRALVSILSDYVERYIKDNFFRKTIFEKCHSYLVRRKNSSESCDNESEILVKMKLSIENIDKLVHDQGTIKELKIKSLRNSIELLTIIAELNSNSLQVATTCGIPNSHLSACAQLYMAIVYKLQKNNRVCARHLMQVFCDAPFFARTYLVPEFWELLFLPHLLHLKIWYAEEIETISDSNESDGVKEKKMKYLTRVYNNKVDIGTIMFALYYKQWLKVGTIEPPLPVVPLPSRH >RHN51858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27136282:27137251:1 gene:gene36406 transcript:rna36406 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBF14 MFPSNNPSSSHPSSSKPSSSSHTISLPNLEVSRGMFVTNDEVRLASTTPKKRAGRKKFKETRHPVYRGVRRRNLDKWVCEMREPNKKTKIWLGTFPTAEMAARAHDVAALALRGRNACLNFADSASRLPIPATTETRDIQKTAAEAAEAFRPDKTLTTDDNDNAVAAAAAEEQLMFSMEEEEEEEEELNIPESLRNMALMSPTHSLGHEFEHIDHGDFQDDEVSLWSFSV >RHN77553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7670610:7674384:1 gene:gene1019 transcript:rna1019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GAGA-Binding-like family MDDRENGRHKADQYKSAQGQWLMQQHQHQHPSMKQIMSIMAERDAAIQERNLALSEKKAALAERDMAFLQRDTAIAERNNALMERDNAIATLQFRENALANGGMSSCPPGCQISRGVKHIHHLPQQVNHLPNMGDSSYGTRELHTTDALPAAPVSLEVGKPPRRAKRPKESKSDSPNKKTPKSRKVKKEGDDLNKTMFANNKELEWKSSEEIINGDDDLNKQLAISKADWKPQDLALNQVAYDDSTMPAPACSCTGVLRQCYKWGNGGWQSACCTTTLSVYPLPAVPNKRHARVGGRKMSGSAFNKLLSRLAAEGHDLSHPVDLKDHWAKHGTNRYITIK >RHN73821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20387070:20387714:1 gene:gene9694 transcript:rna9694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MRSSTFPKQNCDFHLLNGNSVKVPFMVSKKMQFIEAYDGSKVLRLPYKKGQDTRQFSMYIFLPNAKDGLPALVEKMTSKYELLHEKLSLYDQLKQVKVGEFKIPRFNVSFGLETSDTLKELGVTLPFFPGGLKKMVDSIAGQSLFVSRIFHKSFIRGNEEGTEVATASAERLSKGCSFSPPLNFEVNHPFLFLIREDLTGTILFVGQVLNPLDE >RHN40119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13450108:13450614:1 gene:gene46224 transcript:rna46224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator C3H-WRC/GRF family MNLLFACQCYITNFEGKFFITKLIELSCSFSDVISVSGCNDSYLNNTNSILEPEPGRCRRTDGKKWRCKSAVLPGQKYCATLMHRGAKRRFTNLKFPPPSTTVITTTTDISSAVTIPLPYPSTPTDVQKANCWSPSTKLSTSIPESEPFVDCNEKSYIFVDCWSINVC >RHN66109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8586740:8588507:1 gene:gene14024 transcript:rna14024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MWFKVLLHLAFCMALFESTTSLNQSSFPEDFVFGTASSAYQYEGAAFEGGRGPSIWDTFTHKYPDRIADHSNGDVATDSYHRYKEDIGMMKDIGFQAYRFSISWSRILPSGNFKGGVNQEGITYYNNLINELISNGLQPYITLFHWDLPQALEDEYGGFLSPKIVLKKSW >RHN68577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36702786:36705422:-1 gene:gene16946 transcript:rna16946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller MGSFFSVAKNTNSDQSEWDGVSLNDTCKRQRMSPTVDEENPRLFPNLPDEVSIQIIARLPRICYFNVRMVSRKWKSTVTSSELYKVRKELGTTEEWLYLLVKLGENKLLWHAVDPRSRIWQRLPTMPNVVDEEESKKGSSRLWMWNMVEGIRIAEVIRGFLGKRDAFDEMPFCGCAIGAVGGCLYVLGGFSKASTMRCVWRFDPMQNTWSKVTSMSTGRAYCKTGILNDKLYVVGGVSQGPAGLIPLQSAEVFDPSTGTWSDVPSMPFSRAQVLPNAFLADMLKPIATGLTAYMGRLCVPQSLYSWPFFVDVGGEIYDPETNSWIEMPLGMGEGWPARQAGTKLSVVVDGELYAFDPSNTMDSGRIKVYDQGEDAWKVVIGKVPIYGSDDSESPYLLAGFHGKLHVITKDADHNIAVLQAELRSNLDSSPSSPSSTLSQSSLHENADPPAETDAVVWKIVASRCLGQAELVSCQVIDM >RHN51068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13583851:13590312:1 gene:gene35441 transcript:rna35441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxo-5-alpha-steroid 4-dehydrogenase (NADP(+)) MAMSMFFNFMFPPPSSIFVTAMSIISFVALANYGISEIRGKHLNYSKFWNANNNKTNSVKQIKLSSKVGMLLLYTPAFLAGAVSFWIFPNEGLRSTILQGALTLHYFKRVFESLFVHKYSGSMILDSAIPITLSYLMSTITMIYGQHLTKDLPEPQINLIYPGIVLFLVGIIGNFYHHFLLSKLRGKGEKEYKIPKGGLFGIVICPHYMFEIIDFYGVFFISQTLYSLIFAIGTTFYLLGRSHATRNWYLSKFEDFPKNVKAVIPFVF >RHN63736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53611550:53615603:-1 gene:gene26476 transcript:rna26476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MHMAVQEANPSTTPSPQVVGNAFVEQYYHILHQSPDLVHRFYQDSSLLSRSDSNGVMKTVTTTQAINETIVSLNYEDCTAEIKTADAQESYEKGVIVLVTGCLTGKDNVRRKFSQTFFLAPQDKGYYVLNDVFRYIEENDAPQLSSASINTVINENAEAVHIPESEDINPSEHLVEDPATSAVDENPNNGDEVYYPMDNKEEGLVIDEEVAAPPNDPSENDIVTIQDPTSAVQDDARKTSYASIVMKSNTASGRVYVPSRAARVASAKSNEQRHATTKSTPGPEALAPSSDSAPRTSDVPEEAEGHSIYIRNLPFNATIELLEEVFKKFGPIKHGGVQVRSSKHGFCFGFVEYEELSSVQNALKDQHSAGSS >RHN52782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38743732:38750993:-1 gene:gene37491 transcript:rna37491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MRSSSSTSGEINIAMSAQKLDVDNRISLRFYYRIADNILRQADIFRAERNIIDLYVMLLRFSSLVSETIPRHREYRSSPSTKKQSLKKRLLISLNELEKLKPLVQQKINELNSRNAHQQNGRGNFHSNNSVDFSSAKKQTLPGNGQIKAVRETAKEFVYQGSSGQQFTYVRPVEEQVRRLSLTLPPPKQETLSRHSIFGPNGLNGQWRPPTTGTGIRYPTNIDLSPVELPSLQRPLEDASSSNKDNSIEELHKLDLNSIPTDSEDSQPQRAQESPSLISFEETDTSAQVELIRQPSPPPVLAEVHDLVPAVSPHVNEAGCKTEIPSSDSCVRAESPLQLHISTALMENFMKLAKSNTKKNLETCGVLAGLLKNRKFYITALIIPKQESTSDSCQTTHEEEIFEVQDKRSLFPLGWIHTHPTQSCFMSSIDLHTHYSYQIMLPESVAIVMAPTDRSRNHGIFRLTTPGGMSVIKQCDQRGFHPHNQPPDGGPIYNTCTDVYMNPDLKFEVIDLR >RHN63698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53319069:53326840:-1 gene:gene26433 transcript:rna26433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionyl aminopeptidase MAEENSHSEILSQENGTQEVPPTLVEEGVAELSLSPEKEDETKEVSKKKKKKTKSKKKKGPVEQTDPPSIPVLDLYPSGDFPEGEIQQYKDDNLWRTTSEEKRELELLQKPIYNSVRRAAEVHRQVRKYMKGIIKPGMLMSDLCETLENTVRKLISEDGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMFDPLLEASREATYTGIKEAGIDVRLCDVGAAIQEVMESYEVEINGKVYQVKSIRNLNGHSIGRYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGYVREDLECSHYMKNFDVGHMPLRLPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDAGIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISKGDDY >RHN78172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12454662:12455396:1 gene:gene1697 transcript:rna1697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroperoxide dehydratase MTCLARSSYKKLYEAFSSSAVTILNDAEKAGLKRSEACHNLIFMAGFNGYGGLKNQFPILFKWIGLCGEELHKELANEIRTIVKNEGGVTIQSLEKMSLVKSVVYEVLRIEPVVRYQYAKAREDLIVKSHDAAFEIKKGEMIFGYQSFATKDPRVFDDAEIFVPKRFIGEGEKLLKYVLWSNGRETENPTVDNKQCPAKNLVVLLCKLFLVVFFLRYDTFTFETKDAVIGVSITITSLTKAPTI >RHN57478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39412807:39413115:-1 gene:gene33025 transcript:rna33025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MEVLSLNKNMLLKMIMMAMMWSMAKSVRHDVGGDDHGWNTNINMTKWSSDKHFHLNEWLCKFFFLFLLFHFFIFLVFLVIPLFMLITRLIGLHITYLNLIRL >RHN44034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1166684:1167748:-1 gene:gene38082 transcript:rna38082 gene_biotype:protein_coding transcript_biotype:protein_coding MNALSLTDLFSCNINQGIKELKNNNWHEEKSARRTVSSLGATSKPKTRVANNIKHAVEKTQKKPKNRSRNNASP >RHN56637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32474650:32475419:1 gene:gene32069 transcript:rna32069 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSNCFIADKHSRFSETWRDFCWHNGWFLLKQIVARFCAGVTRFVDKVVVPWVVRFNRGAIFTGAARFLSVTVYYLSVSSHFLRKRRENFKRGKLGKSKEVTLGLRVFD >RHN53502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2127137:2128000:1 gene:gene28414 transcript:rna28414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MTKHEHETPPSNRTNLASCLVATVFLIFILIIIFTLYFTLFKPQDPKISVTAVQLPSFNLTNNSTTVNFTFSQYTSVKNPNRGTFSHYDSSFQLLSYGKQIGFMFVPAGKINARRTQFMAATFTVQSLPLNLEPEGLQMGPTVEIESTIEMVGRVRVLHLFSHHVEAKADCRVAIAVSDGSVLGFHC >RHN50390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6709145:6710410:1 gene:gene34668 transcript:rna34668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TCP family MFPYSSNPYPSFLPSSSSSSSSTSSLFPFPFLNPENASSNNNNNTLFHDPFSIPYIPIHHHPHPNLHNITNIPETLTNLAASQENNNNSNNNNNNIAIPMPKQDPSSLGGGSHYGISCFLAKKPVKKDRHSKIYTSQGLRDRRVRLSIEIARKFFDLQDMLGFDKASNTLDWLFTKSKKAIKDLTKSKQRNNNSEVGGDAKSFTSSSDCEDSEIATNNSSNLKQHKEGAGSKHEEKKLKRVQIKEPACVRASKMKESREKARARARERTSNKISNIRGVQEVELKKKYENNLQAFQQDHHSEGPRNDFNVIEESIVIKRKLKPSMMVSHHHHHPHYHQSHVIPKESSTSFNNNGDFNFLPNSSPNWDANNNGTSSTNRSTFCAIASMNLSTGMSYVCQCLFYYFHKKEIAILLITYKILKT >RHN68771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38399091:38404329:1 gene:gene17165 transcript:rna17165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triose phosphate/phosphoenolpyruvate translocator, sugar phosphate transporter MQSTAFTLSPTLPLHTNPNRFRRSLNLRLSAAKSNNNDGGVNHNGLSSSSSSSSFTRQSWSMSPSSSSFKFRPLPLVPTSDLSPPQATSVPESAGDSSAESSSLLKTLQLGSLFGLWYLFNIYFNIYNKQVLKACHFPVTVTVVQFAVGTVLVTFMWALNLYKRPKITGAMLAAIFPLAIVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVILSAMFLGERPTPWVIGSLVPIVGGVALASITEASFNWAGFASAMASNVTNQSRNVLSKKVMVKQEESLDNITLFSIITIMSFFLLAPAAIFMEGVKFTPAYLQSAGLDVRQVYTRSLLAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVSSVIIFKTPVSPVNAFGTAIALAGVFFYSRVKRIKSKPKTA >RHN42399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38043453:38047515:-1 gene:gene48836 transcript:rna48836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (S)-2-hydroxy-acid oxidase MNVTNVNEYEAIAKEKLSKQFYDYFATGAEDQWTLKENRNAFSRILFRPRILIDVSKIDLRTTVLGLNISMPIMISPTAAQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFLQIYLLKDRSMVTQLIRRAENAGFKAIVLTADSPVLGRKEAILKSRFTLPSYMRMKNFEDMDLEKLAKHSGDNSAVNGQCDQSLTWKDVKWLQTITSLPIIVKGVLTAEDTRLAMQAGVAGIIVSNHGGRQLDFVPATIMALEEVVQAAEGRVPVFMDGGVRRGTDVFKALALGASGVFIGRPVVFSLAADGEAGVRNVLKMLHNELEINMALCGCRSLKDITRDHVVTEWDRPRISPRL >RHN82504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54330841:54333341:1 gene:gene6674 transcript:rna6674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S27e MVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGKARLTEGCSFRKKGD >RHN58903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5833745:5835757:-1 gene:gene20859 transcript:rna20859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MAKNLNHIDLSYNSLSGEVSSSFHVEGLLNLVNLDLSYNSINGSISSVLFTLPYLKSIRLSFNHFSKLEEFTIISSSILESLELRSNDQSGPFPKSILQLGSLYRLDLSSNKFTGSVQLDELFGLTSLSELHLSYNNLSISWNASNYDLLSIPNINVLGLASCNFKTFPNFLINQSGLGSLDLSDNQIHGIVPNWIWKLPYLDKLNISHNFLTNFQRPMTNHIPNLILLDLHNNQLQGPIPMFHQSVIYLDYSTNNFSSIPQDIGLVVPKSLSHCSSLKVLDIGSTQILCGFPCFLKYIPTLSVLVLRNNKFHGTIECSHSQADKHWKMIQIVDISCNNFNGKLQEKYFATWDRMMQDEVDVIPDFIHTRNKDCSYYQDSVTVSIKGQQMKFVKILAIFTAIDFSSNHFEGPIPQVLMEFKAIHVLNFSNNGISGEIPSTIGNLKQLESLDLSNNSLVGVIPVQLASLSFLSYLNLSFNHLVGKIPTGTQLQSFQASSFEGNKGLYGPLLPEKLNGNRQDELPLQPACERLACSIDWNFLSVELGFVFGLGIIICPLLFWKKWRVSYWKLADKILCRIFQRMYFEYATDR >RHN42747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40618429:40620926:-1 gene:gene49231 transcript:rna49231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(ADP-ribose) polymerase, catalytic domain, RST domain of plant MHPIEKMTCNGLMNKVEEESGEYQFIKKGFLKGMGFMVDVTNIMAIHKNNVSTNLTKQASLDSFHIFSKAVSIKCGGNANVRCAWYGGSLDELVDIVSFGFTGCNIHVDDDDESHGVGISLSSANFSIDSAMSTVADENGLRHVLLCKVILGRVENVPVDSKQSQPSCRQYDTGVDDISSPRKHIIWTAFMNSHIHPEYIVSFNYNYVKDQGVFGTLKPQSEYVLLPNLVAKVSNHLKPSQMSLLLKSCRIYQEQKITRETWVNQVRKIVGDMLLHSVITDKSSGDVHPL >RHN50767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10272443:10273109:-1 gene:gene35095 transcript:rna35095 gene_biotype:protein_coding transcript_biotype:protein_coding MREWFSFIGKVMKSVGCGSCWRLKHNTRDALHKVNYRWNDFVVA >RHN47582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40790046:40801448:-1 gene:gene42200 transcript:rna42200 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDIFDGQMLAEKLLKLNNSQQSIESLSRWCIPHQKRAKDIVEIWDKLFNASQKEQRVSFLNLANDILQNSRRKGSEFVNEFWKVLPAALRHVYESGDVQGRKAVNRLIDIWEERKVFGSRSQGLKDEVMSKNPLPFSANNGKGSDPIKIVKRDAHSVRIKLAVGSLPEKILTAFHSVLDEHLNEEAALNKCNAGVHDVVKLLEDVENTFAQGNQLGSTLVNNLQEREKELKHYMEQLEHAEAARASLLSQLKDALQEHESKQEHVRAQLLIVRGQIEKTAGIRKWLNQTTEATHPSVQLNGTTSQPTCAQPSMSFSPFQTSEEDNKKAAAAVAAKLAGSSSSAQMLASVLSSLAAEEAASKGFSSGLPIFNPEKRQKIEKSSPVSDVNSSDMASSSFFTTIQQPSLTNPQVAPSNNMQIMSQANQLQAAFASAPLPPQYVQSTGFMDGGIPYGYVSNNLPPPPPPPFPPHAVTGLSMPSTQPAQQQQQSSPGGFYRPPGIGFYGQ >RHN72055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4672987:4679694:1 gene:gene7725 transcript:rna7725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MASEKRWSTLILLLVVMASASLVMASSSCSSIFSFGDSLADTGNLYFSNQQPSHHCLFPPYGETYFHHPSGRCSDGRLIIDFIAEALGIQMVKPYLGIKNGVLKDMSVKEGVNFAVMGATALDISFFEERGVHSVTTNYSFGVQLNWFKELLPHICNSSKTCHEVLGKSLFLVGEIGGNDFNYPLHIRQSITKLKEYVPHVINAITLAINELIDLGARTLMVPGNFPLGCSAVHLTTYETTDKNQYDSFGCLKWLNEFSEFYNQKLQHEIHRLRVIHPHANIIYADYYNAALPLYRYPKKYGFTGLKVCCGIGSPYNYNASNMCGKPGVPACDDPSQYITWDGVHFTEAAYRLIANGLIKGPYSVPQLSNLCFMNVSYGYGYGHFNS >RHN62535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44185876:44187209:1 gene:gene25125 transcript:rna25125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRAPCCEKNNGLKRGPWTQEEDQKLIDYIQKHGYGNWRLLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSSIASRLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHNPRFDLLDLSSILNSSLYASTSSSQMNIQRLIGTQSIVNPEILKLASSLFSSQNGQENHQIDHKQQISSHMDQEACTMLLNPPFDNNSMSFIQTHLENIYSSFLPEFGFQQHHENVQLNYLHCNGIASSNVTEDFVHQLPCYNYLSSDYHANDLNVDPHISETSTFHCNNNNQNFNFASVLSTPSSSPTQLNSNSANMNESSSTEDETESYVSNNMFEFHISDILGVNEFM >RHN60674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29303098:29311452:-1 gene:gene23025 transcript:rna23025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lactoylglutathione lyase MMSIATSNFLSRFRFIAKHQSLPIRSPVSIPFHFSLKKQPIRRFRFFSMAASESKESPANNPGLHATVDEATKGYFMQQTMFRIKDPKVSLDFYSRVLGMSLLKRLDFPEMKFSLYFMGYEDTSEAPSNSVDRTVWTFAQKATIELTHNWGTESDPEFKGYHNGNSDPRGFGHIGITVDDTYKACERFQNLGVEFVKKPEDGKMKGIAFIKDPDGYWIEIFDRKTIGNVTGSAA >RHN74263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31255999:31267926:-1 gene:gene10299 transcript:rna10299 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ6 MNRVIKKTVSNVFALKIPRKCFCSQAVKVDASTNLLPSNEHEKKPIISQIIPEYDVAIVGGGMVGMALACFLAPSSAACMPMTKQLSVAIIDSNPALSSGLCIKKEDPPDPRVSTVTPASISFLQEAGAWKYVEQNRHAYFKKMQVWDYTGFGYARYDAGDVNKDFLGCVAENKVLHSSLLSCIKDSDFKTTFYPSRLTSMTLNTNSMSVVEENTPSKEPSPAQGHASKLELSDGSSIYAKLVVGADGGKSRVRELAGFKTTGWNYSQNAIICTVEHTSANHCAWQRFLPNGPIALLPMGENFSNIVWTMSPTESNDRKSITEEMFLKDVNSALDYGYGPHPTSSSLGARDIFSWLKMDGTLSANEPFEIPPKAIRLASERMVFPLSLRHANSYATKRVVLIGDAAHTIHPLAGQGVNLGFGDAYSLSRVIAEGIALGSDIGEVNLLKKYEQERKPANVTMMAILDGFQKAYSIDFGPFNFLRGAAFRGANFVSPLKRSIISYASGERKLPIFF >RHN81328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44902327:44903399:1 gene:gene5346 transcript:rna5346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain, legume lectin MAFYRTNLPTHELVLGMIYTTFFLLLATNINSVQALSFNFTKFTPNALMFPSGITFQGDAQTLNNGVIALTKRIKLPYGTTIPSTGRILTPPVSLWDTAGNGYGVPTDGLVFFIAPQDTKIPPNSESQYLGVVDSKNTYNQFVGVEFDLYPNSFDPNTKHIGIDVNSLISLKTVKWEFASGSLTKVSKAYDSSSNTLSVVVTYANGKFSTIAQIVDLKAVLPNKVRFGLSGASITGFAHDIHSWSLTTSDLKTTASSALDKLQAIYDN >RHN69027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40382435:40383709:1 gene:gene17453 transcript:rna17453 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIRNKSSGQVLKQHFDVYEYIMLKIRTTPAKLKVPSATLKHVSWYKTKHRILQHKSHRKLLNIS >RHN43233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44201287:44204264:1 gene:gene49777 transcript:rna49777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavinator of succinate dehydrogenase MASLLVKRVAVSVHRVLNSSQPQSTTLLRPVFGYHKISPFTSHSDSDNNSLHIDLSNQESKRTLFNRLLYRSKQRGFLELDLVLGKWVQNNIHSLDENHIRSLIHLLDVENPDLWKWLSGQEQPPESISINPVFAAVQEGVMKNLDSHSSPETRATPGKPWVRGWDDIQKFRGGPSAGNQ >RHN48719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49696085:49698367:1 gene:gene43464 transcript:rna43464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MNYISMASITKIVGYNNSVSPFLLTFRRAIAFKLFVGGLSFHTTEKTLSDAFSNYGQVVEAKVITDRISEKSKGYGFVTFASQDEAEKAITEMNEKALNGRVVFVDYAKPDTKRSMGMPIARGPPEDLNKQHTTDSPQDA >RHN51859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27169539:27169921:-1 gene:gene36408 transcript:rna36408 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKEYYYYRLISYFVRDYMLWHVTIQRKRRYILVKVNGLFTRVC >RHN45584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23273735:23274493:-1 gene:gene39958 transcript:rna39958 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYNQLFLLCIVCIALVSVAAANQDPAGYSCGEKERKCGNGGQGVCHKMCIAQGFVRGGDCIKEDACCCRNNLKT >RHN69058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40665392:40666333:1 gene:gene17486 transcript:rna17486 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQLSFRSQSFSKQNLASLMSQRITEEPLPTKTAQSTVTCLYQTSIGGQWRNISVLWCKNLINHTLNLKVDSARGEQFHQNCKIEVKPWCFWNRKGYRSFDVDGNQIDVYWDLRSAKFAGNCPEPCSDYYVMLVSDEEVVLLLGDYKKKAYKRMKMRQALVEATLLVKRENVFAKKSFSTKAKFDEKRKESDIVVESSTAGNKDPEMWISIDGIVLIHIKNLQWKFRGNQTVMVNKQPVHVFWDVYDWLFSVSGSGPGLFIFKPGPTEVESDKEEKGLEGESDDGSATSGYYSTKSYTPFESCLVLCAYKLE >RHN77866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10051721:10052284:1 gene:gene1368 transcript:rna1368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MNMAEIVKYIYVIIIFLSLFLVATNIEAFFRCKNDFDCVHKRCRGPMRAKCISKAICKCRLAFTLK >RHN82340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52956971:52961031:1 gene:gene6500 transcript:rna6500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MEKGLLEKDREGDSRSITWGVFFQEVKDVCFLALPMIAVTLSQYFLQIISMIMVGRLGKLALSSTAIAISLCGVSGFSLLFGMSCALETQCGQAYGAKQYKKFGVQIYTAVFSLIIACLPLSLLWIFLGRLLILLGQDPLISQEAGKFSMCMIPALFAYATLQALVRYFLMQSLILPLVISSTVTLCFHVAFCWLLVFKSGLGCLGAALSIGTSYWLNVIILGLYMKFSTDCEETRVPISMEPFLGVGEFFRYAIPSAGMICLEWWSFELLILLSGLLPNPQLETSVLSICLSIISTIYTIPEATGSAASTRVSNALGGGCPQAARLSVFASMTVAVSEAILVSSTIFASRKVLGYIFSNEQDVVDYVTHMVPLISINVIVDSLHGTLSGIARGSGWQKLGAYVNLGAYYVFGIPIAVILGFWFELRGKGLWIGILVGAFCQALLLALITGFTNWEKQAIKARERIFQGRQEVLQ >RHN51487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18748791:18749950:1 gene:gene35928 transcript:rna35928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Hemopexin-like domain-containing protein MTKSGYINAVFRSSRKNEAYFFINDKLLVLDYAPGTSNDKILHGPVFVRHGFPSLDNTKFGSNGIDCAFDTDDNEAFVFYQGLCAKIEYVPQTDKDKIISGPMKIAEMFPFLEGTGFEHGIDAAFRSTLNKEVYLFRGDKYARIDYGTNSLVQIIRDINSGFTCFRDTIFEKGIDAAFASHIPNEAYLFKGDNFVRITFTPGRSDDYIMGGVRSTLDVWKSLRDIIPLKN >RHN77387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6495611:6501099:1 gene:gene834 transcript:rna834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MGLQNGGGCSRSWEEDIYWTHFQFIHFTQFLRSNDFDQQLALPKTFSNNVKKKLPENVVLKGPGGVTWNIGLTSRDGTFYFTQGWEQFVKDHSLKENDFLFFKYNGESLFEVLIFDGKSFCEKAAAYFVRKCGHAEAAAQTEQGGGCSSKGRETNNSVDEVNTPSNGVDEGVSHEKSLHLNSIRVPFAVPSETTNGKTSNAAVESATPEQLMSDAAVTETEPTAVPSQTTGKRTRRPVYAVPSVPSKKRGRPPRTSNSQEKALNWVTDAGPAPASPKGSPKTQELYTSNRRPVTKDEIEKTLQVAQAACTEESLLVTMRPSHVYKRFFVSFPNKWILNHLAPSSQDVILRMGKNEWLGKYCYHNIRNNGGLTGGWKYFALDNNLEEFDVCLFKPAGHLHDILILEMSIFRVVEEITPLTAVHSPGKKRGLSSTGKKRGVKRTLTSAAQTELEAIEAETDDQGLQQADDDELLSQIRAKRNIVKSSKYLKDYVIPKKKTSLDLEGTSAS >RHN58848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5411910:5415228:1 gene:gene20802 transcript:rna20802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium/proton exchanger MLGGLLNATCGNATELIIAIFALSNNKIDVVKYSLLGSILSNLLLVLGTSLLCGGIANLRAEQKYDRRQADINLLMLLVALSCHLVPLLFQYGDASLAVNANSSLQFSRAASIVMVIAYFAYLFFQLWTHRQLFEAQDEDDEDCENASEEAVIGFWSGFAWLVIMTVFIAILSEYVVDTIQDASDSWGLSVSFLSIIMLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQIGMFVVPLCVIVAWILGIKMDLNFNLLETGSLALAIITTGFTLQDGTSHYLKGIILLLLYIVIGACFFVQKTASDHADIANIMLLHSPTDAKFRA >RHN59639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12572069:12572239:1 gene:gene21705 transcript:rna21705 gene_biotype:protein_coding transcript_biotype:protein_coding MKNITDSFLCFIYWPSAGSFGFDTDILATNLINLSVVLGVLIFLERECVRVVYFKE >RHN53299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:797698:800765:-1 gene:gene28190 transcript:rna28190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spermidine synthase MGEVAYTNGNGNDKSHSPPNGYRKSCWYEEEIEENLRWCFALNSILHTGASQYQDIALLDTKPFGKALVLDGKLQSAETDEFIYHECLVHPALLHHPMPKNVFIMGGGEGSTARELLRHKTIDKVVMCDIDEEVVEFCKSYLVVNKEAFHDSRLEVVINDAKAELEGKEEKYDVIVGDLADPIEGGPCYKLYTKDFYELTLKPKLKKGGIFVTQAGPAGIFSHTEVFSCIYNTLRQVFKYVVPYSAHIPSYADIWGWVLASDSPLDLSAEELDIRMRQRIIEENRYLDGKTFVSSSTLSKAVRNSLNNETHVYTEGAARFIYGHGKNA >RHN77614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8143556:8149137:-1 gene:gene1084 transcript:rna1084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L32p MTMRIAILRDTRRKLGGALGFNRLIHSVPQSPPLAGSIDHGIQSLKPVLPEFCSPTFSFGGSMELMAVPKRKTSPHKRGIRNGPKALKPVPVLVLCKGCGRVRLPHFYCCSGKPNQENTGGENGTN >RHN66818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19298060:19300291:-1 gene:gene14912 transcript:rna14912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MVVKVYGPAYGSPKRVLVCLFEKEVEFEAVDIDLFKGEHKQPDFLKLQPFGEVPLVQDGDYTLYESRAIIRYYAEKYKNQGTDLLGKTVEERGLVEQWLEVEAHNFHPAIYSLVINVLFAPLRGVPGDQKAIEESDEKLKKVLDIYEERLSKTKYLAGDFFSLADLSHLAFGHYLVNQTGRGNLVRERKHVSAWWDDISSRPSWKKVLELYKYPV >RHN52582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36799855:36803106:-1 gene:gene37276 transcript:rna37276 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVAPTLLIKTVSSVRVSDICLCVKDTTHVVERARVLLKSLLDVAVEIVFETHLKVTATQAGNKISIEQEKVVTTPQSKSAVAANISQTSEKYQTLTEMAVQKDEQPPLELEPAKLLNFRISDTEGTKSKTLSSLGGIMMQQNLAKEQNQSSDGISPKIESNHHEQQHNNVNALYDLGDHEEESLRMLDTKAVECNKENNMPLLSDIREDECTSGAARFAECLEQKGDFSDDLVNAIKRIESRILAFKLCSKLADSSKNGAVRNPLNKVANSGSPKLQRKDSAARNQLSSKTPILEEHRLMNQHTCNSSSKGENLIPENAVEKPFLARNESLSQSHAVYKKCGLHTNAESAKDVDTLKHINTPMVSREELRNATRVQPSVQNMATMDRVKSLKRLVSGDTNLGNQASECIRGLRVPLNLDDLTKKPSMFSSQTNRESLVRKSSVASWSKPDQNHKGRNSESFHAHKLARSKPSIATREKPLPHQMVIKPTLLDQRSSDIKVNSHQHRDRDWPVLDQRGTHKIGHVEPLKTMVQPQLHEQEESISNSDSSSQWTSQQDSADVGSVSEGSSLSVGTQGSKSGRIVEASYEGSSEESSDSYLNKENGRSHRVGSFKTYGYHSKGNPNKPVGGLKRLKNKLGLIFHHHHHHHHHHHDNDNGRIQSYEGPRNSMWNNVQNVFHHKNKHLIITNQNVKTKRGAITKVMPRGNQVGQFHRLVEGLLRHVRLSKKSKPSMHGHRQKKLHWWQTLRRRKGVKLKNKGRVKKTGFVTQKSLKY >RHN47566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40657317:40663072:1 gene:gene42182 transcript:rna42182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome-b5 reductase MDFLQTPENQILVGVAVAVVAIGVGAIYLLSSKKSKACLNPDQFREFKLVKKAQLSHNVAKFTFSLPTPTSVLGLPIGQHISCRGKDGQGDEVIKPYTPTTLDSDVGHFELVIKMYPQGRMSHHFREMRVGDYLAVKGPKGRFKYQPGEVRAFGMLAGGSGITPMFQVARAILENPNDKTKVHLIYANVTFEDILLKEELDGLATNYPDRFKIYYVLNQPPEVWDGGVGFVSKEMIQAHLPPPAQDIKILRCGPPPMNKAMAAHLEAIEYAPEMQFQF >RHN56372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30400433:30401786:1 gene:gene31762 transcript:rna31762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MHAATSDHQIPAVKLTSVPGWSVEYGRRCTFDALGIDKCQTGDCGGRLECDGNGAAPRDGLFEITIGQVWRMQL >RHN50374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6592900:6594183:1 gene:gene34652 transcript:rna34652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MRNSQETVAATHSDLPDECWECIFKFIAKDDLNSLSLVSKQFFSIIDRLRFSIFVKNGTLPFLGRLLERFTNLKSLSLSSLNDNHDLNNLLCTISCFPLTKLTSGVGSFPFPSNGLRVFSQKITTLTSFNCSHCFLRNSDLSLIAECFPLLEKLNISNPLMLMFCYPPVTNTPTNFMDGMYSLLSKCRCIQHLNLKYARFLNDQHVAELSLFLAGLKSINLSSCNHLTESALFSLVRSCPLLSDIKMERTNIGKESAVSSNSLRDFVVVNPQLKSLCLARCEHLKDENIILFASIFPTLELLDLRFSDQISEGICQVLRRCYKITHLYLSGCSRVKLHGINFLVPKLEVLYLSNTEVDDETLRVISKNCCGLLQLKLENCDHLTEEGAKHVVENCTQLREMYLRGCHLSDEIRELFSRRGCLLYMYI >RHN79601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30462278:30463610:1 gene:gene3414 transcript:rna3414 gene_biotype:protein_coding transcript_biotype:protein_coding MREFVVLSVKRTTEDIDVIKRFMVLHDIKTSNEEEDAEYNQDLAGQYGRKDPPR >RHN68411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35253396:35256523:-1 gene:gene16759 transcript:rna16759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MYSPITQQAWKAVLSWFGFFYQIFSQIIGSFADNYPLLSLSSNSSFKPLSSLDHESAVQIIEDADHQQPQKLTVVLDLDETLICAYETSSVSDELKTQATQAGLNWFELECVFDNKEADGEPIINYVTVFERPGLKEFLKQLSEFADLVLFTAGVEGYARPLVDRIDTENWFSLRLYRPSTIRTEHREYVKDLTCISKDLCNIVIVDNNPYSFLLQPDNGIPCVPFSAGQPHDTQLLDVILPILKDLSDQKDVRHVLYEKYHMPEWFQQHGIPAPS >RHN75589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43571174:43571732:-1 gene:gene11819 transcript:rna11819 gene_biotype:protein_coding transcript_biotype:protein_coding MWITTRYNIIWSMCIRKMWWTRSRVGRLDICRYLRGGSMNCRHLWTWSREERWEICKCLRYGMMFRLPCRLWKLDI >RHN66085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8434909:8435499:1 gene:gene13999 transcript:rna13999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MQTIRKASPSTSLSQQLLVLGTDYWRRIEDFPYSGYVNCVGTFVSGTINWFAFGDLDEYAIVSLDLKKETYQNITPPDLEKDLWTLGVVRDCLCTFARSKMLLDVWIMKEYGNKESWIKLYNVPYMEDRGLCAYTKALYISDDEKLLMDFYEVGSNILKLVVYDSKNDTLKIHEIQNINRWMNPEVYIKSLISPCS >RHN38699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1372563:1373364:-1 gene:gene44660 transcript:rna44660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MMDNLRQTLGSFAMVALLFIGFIFLYHWSFSSFSTQLLLSHNEPLCQQQSNMSTYVNESYGDGLDKALAKASIRNNKTVIIAMVNKAYVEQDVNGDTITMFDLFLSSFWLGEGTRSLIDNLLIVAVDQTAYDRCQFLRLNCYKLETDGVDFEGEKIYMSQDFINMMWRRTSFLLEVLKHGYNFIFTVSFFSVNLDETILL >RHN57587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40335387:40339114:-1 gene:gene33159 transcript:rna33159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondria fission 1 protein MAKLEAKLGMIVDKVGQFFSGSDLLPSCDPDIIAGCEREVAEAEKQPASDEAMQESLLRLSWALVHSKRPEDVQRGIAMLQSSLPSTVDPLKQREKLYLLAVGYYKSGDYSRSRELVEKCLEIASDWRQALSLKEAIESRITKDGVIGLGIAATAVGLIAGGIAAAVSRKK >RHN64019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55961758:55964014:1 gene:gene26793 transcript:rna26793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MEPVERLTHIITIMEDVIKNERLETYFLGMWVRSLESELRNADPRSRRFERIRKSLASSQEVMLFCWRQIDHFINMRNYVIVQAVLRNHPLPVLDHAPIRMTELAYAVTIAPESETSREEFVKKKRITGEEMFLPPPEIAIGIDIGTGPRCVAVWNDSKVELFSNTENENIMKSAEIIKDDGSSIGVISASEVTLSQNQEHDMLYEATIYNMRRLIGRIDVDPIVQENKKFPFLLHTLYIGGCPFIAAPKNIALKFTAAEQVLVVYLGQLRVLAETQLERPVSKVVLMVPVSFSRIQLARTQYVCAKAGLYDLKLMPQPTAVALLYAQQQMLASFSCEDMDSESNKVALIFNMDFGYCDVAVTVATAEGECRMKALAGSSIGGEDLLVNMIRYLLPDSENIFKKHIDGDEENKSMSLLRVAILEAIQRLSSQTSVEFDLDLGDGLKICKVVKREEFEDVNKEVFEKCERLIIQSLQDADIKVDDINDVIIVGGCWNIPKVKDLVTKICKGKELYKGMNPLEAALCGAAVAGAVAAGIVDPKLGLMTSHVTPFSVGIQANGIHFVPVIPRNTSMPTTRVMDFTTIHDNQTEALILVYEGEGQKAEENHLLGYLKIMGIPAAPRGVPEINVRMNIDCENGLRVGAAVYMPGSDQPAIPAMEARMPMSMTDDGYAEALNRTYGDTKDLVTLVKME >RHN79222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26205006:26207012:-1 gene:gene2970 transcript:rna2970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-V family MVIEITRRSFSTAQDDSLQIPPFNAASLLDPRRLPSQNHKRMVEKTRRSFSERDKYTRRTKVYTVAEVQLVTNNFSEDNLLGVGSLGPVYRAELPDNKVLAVKTIQMAGLSFTEEEKFLDVVCTASRLKHPNIVALKGYCLEHGQHLLVYDYVRNLTLDDALHSAAFKPLSWGLRLRIALGVAQAIDYLHSTFSPPVTHGNLKAANILLNENLMARVSDCGLAILKPLTNTTTKIRASEIAIRDSGYDSPEHGQLGACCTKSDVFAFGVLLLELLSGRKPFERIFELWTMFLLQRSFMRKEEQYLAKWASTRLHDNESLEQMVDPAIKRTFSSKALSRYADIISLCTQPVKEFRPPMSEIVDSLVSFMRRLNVSKSAGGVADGTELDPFERSFRTTTSRFMPSPSLSYVSV >RHN51627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21213139:21221449:1 gene:gene36105 transcript:rna36105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spleen exonuclease MGVKNLWDILESCKKTVPLHHLQNKRVCVDLSCWMVQLQNVCKSHACLKEKVHLRGLFHRLRALIALNCTVVFVSDGSIPAIKLSTYRRRLNNGKEVAQKETNLQKTTSLRRNLGSEFSCMISEAKILGMALGVSCLNGIEEAEAQCALLNSESLCDGCFSSDSDIFLFGARTVYRDICLGDGGYVVCYEMADIERKLGFGRDSLICLSLLLGSDYYPGVHGLGPDSACQIVKSIGDKFVLKKFASEGLGWVKKRKGGQNKIGRDDTILQVIDAYLKPKCHSADSEFLLKALATYPFQRTKLHHICAVSFEWPSERTDGYILPCIAERDLRRFANLRLTSSELGLNLPLHEIPVKCPVSEIIKSRKAQGRECYEVSWKDMDGLETSIVPADLIESACPEKISEFEEKKALRKKQNVQKRRPKKKETTSSLAELDLKLQNLLLDDNLQDDTNHSASDSSGRILEETTSTAEDDLNTENMMLSHDDIEYNEWIQNISNISSNDVVSSKDKNVVIDLLSPSPLKPSNSSKFHPSSDQNIDVINLSDSENEVSPEHKQKAKELRMFLASIRNEIH >RHN65876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6144834:6148208:1 gene:gene13768 transcript:rna13768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MENHTKVPMLIVCTFLFCSMPTLSKQNTFTTIAPNQFMQFGDTLVSAAERYEAGFFNFGDSQRQYFGIWYKNISPSTIVWVANRNTPVQNSTAMMKLTDQGSLVIIDGSKGIIWNSNSSRIGVKPVVQLLDSGNLVLNDTIRAQNFLWESFDYPGNNFLAGMKLKSNLVTGPYRYLTSWRSPQDPAEGECSYRIDMHGFPQLVTEKGERFLYRGGSWNGFLFTGVSWQRMHRVLNFSVMFTDKEFSYQYETMNRSIITRMELDPSGNSQRLLWSDTTQIWEAISSRPADQCDNYALCGINSNCNSNNFPTCECLEGFMPKFQPEWESSNWSGGCVRKTSLNCVYGDGFLPYANMKLPDTSASWFDKSLSLEECMTVCLKNCSCTAYANLDIRYVGSGCLLWFDNIVDMRKHPDQGQDIFIRLASSELGIYISYYIFCLFSLIYSTTNRSCGSYHKKNKRNLKHAGTVAGVITFIIGLIVLVLVTSAYKKKLGKPGCLKKLLHKKDEEDSDDLATIFDFSTITNATNNFYVRNKLGEGGFGPVYKGVMLDGREIAVKRLSKTSGQGTEEFKNEVKLMATLQHRNLVKLLGCSIHQDEKLLIYQFMPNFIFDTTRSKLLDWRKRLEIIDGIARGLLYLHQDSTLRIIHRDLKTSNILLDIDMIPKISDFGLARSFMGDQAEANTNRVMGTYGYMPPEYAVHGSFSIKSDVFSFGVVVLEIISGKKNSGFCDPQHRLNLLGHAWRLWIEERPLELIADILDDDEPICSEIIRFIHVGLLCVQQLPEDRPNMSSVVFMLKGERLLPKPNEPGFYAARDNTRSLSKECSVNEASISLLEAR >RHN59447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10813843:10815106:1 gene:gene21477 transcript:rna21477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Repetitive proline-rich cell wall protein 1 MASSNFLVLLLFALFAIPQGLANYEKPPVYQPPVYKPPVEKPPVYKPPVEKPPVYKPPVEKPPVYKPPVYKPPVYKPPVVKPPVYKPPVYKPPVYKPPVYKPPVEKPPVYKPPVYKPPPPVVKPPVYKPPVYKPPVVKPPVYKPPVYKPPVYKPPVEKPPVYKPPVYKPPVEKPPVYKPPVYKPPVEKPPVYGPPHHP >RHN40771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20692141:20692347:-1 gene:gene46972 transcript:rna46972 gene_biotype:protein_coding transcript_biotype:protein_coding MYQCRNQSTNMSQVTCPNGTLELIWTKLQMSLCFVYNLMKLYI >RHN76072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47485373:47485738:-1 gene:gene12348 transcript:rna12348 gene_biotype:protein_coding transcript_biotype:protein_coding MQLWLFCWYSAAAASVFLLQFAALKWVFSFAGLVFSLLFVLLVGGVGGASLCAVRLKVDPWKVRMYGVDAMGWFLKGFLFEPEFVWQPISPLYFSTRAY >RHN42097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35382019:35383014:1 gene:gene48492 transcript:rna48492 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQNAKFVLLVLCLAVCVELCRPDDAVDNAKEKAGEVVDDATEKSETFARWAYDKISEHFGTKEEMEISQNVKLQAADAASKVTDSGKKYAAAAADQASRTAANAASATGGTKQQNPKAQEVGGNKANVAKDKENGASGEAKKQKPKASDPVGDKANAAKDKENSASGEAKKQKPKASDIKEKVKEAVEHGKAKASGAYGAAKKQSPKAPEAVEGLGCSWR >RHN74815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36853323:36860903:-1 gene:gene10935 transcript:rna10935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetoacetate decarboxylase beta barrel domain-containing protein MEGVEAKSSSGYGKPPWIFRGSALYQLHLVKAEKARACIPKEFKLVEAFGYTLGGFFLASYDDSPVGAFDELVVIAGLVWNRPTSCAWATRVYVNNNEACSHGRKDVGLPSQVARFSKTISAVSRQSRGWNGFSNMVQIGSEFRSPNDHLNVQVTEIKSLDAADPCHISLTSAGNMLIAVPDLRFDRWMGPTIKMSLPSFSGGTEFNPNLLKYSCQIECRVQAVKPLKVSRSFPLTNVDDKDQQSLQDYEGSIHMTKDHEKQNFSTYVMLSKPILALKFDQMKMQVEAPIVLYHCPNSLEPTTFSSVP >RHN75956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46517361:46521202:-1 gene:gene12220 transcript:rna12220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MGEMGKFQLGVIGALFLSVASSVSIVICNKALMSKLGFPFATTLTSWHLMVTFCTLHVAQRLNLFVTKSIDMKTIMLFGFLNGVSIGFLNLSLGFNSVGFYQMTKLAIIPFTVMLETIFLKKQFSQKIKFTLFLLLVGVGVASITDLQLNFVGTIISLLAIITTCVSQILTNTIQKKLNVSSTQLLYHSAPFQAAILFVSGPIVDQLLTNQSVFAYKYSSTVLAFIILSCLIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVIGFGYTLLHDPFNGRNIIGILIAIFGMVLYSYFCLEENKKKQLLGDLSLASQVKDKDSSPLLVGKNMDNQEEENHEAKKFSKDSTN >RHN56098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27675758:27676277:-1 gene:gene31435 transcript:rna31435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stigma-specific protein Stig1 MSTLITQFVIIVTLILVFLIKLEGYSTSPIPSDEITKNSTNKSVLVKAIGVDQESPDCSTRPWICSTGEIPPRMVCCGNRCVDVTNDVNNCGFCGVICPLVGNFQCCNGICSDININPFNCGGCGKICPLCLFGRCPFTPPTPPPLLPPGLPKQNLSMIPNH >RHN60776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30293490:30296243:-1 gene:gene23142 transcript:rna23142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MMSKQPFSVSPSNSNTMRASSSNQVQHSNPNPNPVPSKKKRNLPGTPDPESEVVAMSPKSLMATNRFLCEICNKGFQRDQNLQLHRRGHNLPWKLKQRANKDQIRKKVYVCPEKTCVHHEPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYKCDCGTIFSRKDSFITHRAFCDALTEQSAKITTVPAALSNFRNDHLTNTQTPRIPHIFPGFQFHSEFVNSATSSEPPLGNYTNISQLHQNSDIMQTMDVFGSQPQWLNYNNANLSLPMLHGVMKQEQEENKDLSASVISSLYLSRSQNQNQQEAPNHLSVTTSLLQKESQMGSTRTIITNDNNTVFNNLNHFHIVHEVQKFYNKQCESEELNELVNLEGSNSSTNLGGGYLLNDSNNMFGIVNGTKDLDHVVLSVDKETTNRQMYDSGSRSKEKNQMGFTRDFLGVGEDDSMSRPFLQQELGEFNGMGSLGNNLQSQYGNGHYC >RHN38410.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000025.1:6390:10546:1 gene:gene50768 transcript:rna50768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MAATLEAINQFRQSLEIRRQLILTKPLVMVDNIPCEIDEVDSALNKVGLKNVNVTHILTVACKLPPAHLADFIYKVLYVADRETTNLKQHFNECFDFIDEAKRNGGHVLVHRKMGISRRFSRYLTSSQHCSRPSC >RHN43086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43060875:43062398:1 gene:gene49609 transcript:rna49609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MNVMDYEQFDYSFTPPYMNQLHECSLENLSPLQAEDLLFPNTILDEILFDQDQSQYLSSPNTILDEIFDQEESMQGLLQQHTNLDFNIINHEQAMALEYELCGGVTEKGRENVFVSREKDSYWKEVQEELMEETSLVDLLLIGAEAAESQNMTLASDIIEKLNNASSVGKGDSLLNRLCLFFTQGLYYKTTNAPKFHSEHVSTQTSTFCVFQILQELSPYVKFAHFTANQAIFEATAGVEDVHVIDFDIMEGIQWPPLMVDFAMRKKTTSLRVTAITVDLQSEASVQQTGRRLKEFADSINFPFTFDTVMMVSEEDFKEIELGETFIVNCMIHQWMPNRSFSLVKAFLDCVTKSSPRLVVLVEEELFNFSRLKSMSFVEFFCEALHHYIAVSDSLVSTLSRSHKMELALIEKEVLGNRILDSVRQFPCEKEERILWEGRFFYSLKGYKRVGMSTCNISQAKLLVSLFGKGYWVQFENCKLALCWKSRPLTSVSIWVPTDYNMSDKVK >RHN69363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42912982:42914484:-1 gene:gene17819 transcript:rna17819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acylglycerol lipase MGSSRWFSFTTLCNWWFRYSFSKSGLKSTTTDLGDGTVMHCWVPKTAQKHKPSLILIHGIGANAMWQWNSFIPELTHHFNVYVPDLLFFGDSYTTRPERSEQFQAKCVMRVLEGHGVRGMMVVGLSYGGFVGYSMAAMFPEKVEKAVVICAGVCLEDRDMDDGMFQVKSVDEAVDVLLPLTPEMMKELVKLTFFKPVKTLPNWFLNDFIEVMCIEYRQERKELIQALHKDRNLSNLPKITQPMQIIWGEHDRVFPLELAHRLKRHVGEKAQLVVIEDAGHAINAEKPKEMYKNLNSFLIHPFTGSKQENHSNGYKFE >RHN62160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41303628:41305770:1 gene:gene24705 transcript:rna24705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MRKTKSLSFSFLAITCFFLLHSQSIIQALEHIATSEYEALGLATEPKRETLEIIIGGGGGGSAPAPSPESSCPPPPPPPCPPTLSRLDRARRVLLKFKSNIYDPTGYTSNWNENTDTCNFHGILCGIFPNTNDRAVAGLDFNQKKFQVPSQLFKKDLDVIFINNNKFSQCLPENFGSTPASIGNANKTLTEVLFLGNKFEGCLPYEIGYLKKATVFDVSQNSLTGPIPASFGCLEKIQFLNLAHNKFYGTVPESVCVLSGIKNNGNLSLAGNYFTKLEPACWSLLKSKILDVSNNCIPGLPNQRSKQECYEFQCKIKPCSNPQSLSYVPCKPHWGNKQNNAPASQEMATEPVTYKSLNPHRLRP >RHN44061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1372628:1372848:1 gene:gene38116 transcript:rna38116 gene_biotype:protein_coding transcript_biotype:protein_coding MLELVCERSGEHKLPKTKVKHEATGSRKCGWLFKVCGYIVRENNA >RHN51007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12824081:12824287:-1 gene:gene35368 transcript:rna35368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lupeol synthase MLGYSRLIYMNMSYLYGKKFVGAINSLIKSLREELYNEPYDQINWNKARNTVAKVCQFIIYIFKRNFY >RHN64099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56561759:56562202:-1 gene:gene26880 transcript:rna26880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycoside hydrolase superfamily MAYSKKHSFLLISTLLIMILQLQLFSTNASIKGGYWYSDSGLAVSDIDPSYFTHLFCAFADLDSNTNQVTISSANAASFSTFTETIQAKSSSVKTLLSIGGGNSTLAKQFADMASQASRRKSFIDSSIQLARNNNFNGLGS >RHN62576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44454678:44459797:-1 gene:gene25168 transcript:rna25168 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKVSGSTMTLKDFHGGSIPSDLPLPSAPGVTVRPSDRVGYDRPATWGNPMGRPDHWSRPHTSPATRHYDDKSLFLPHTAPIGRNFDEDERKPLDGSSAPRRTISDESIRAPLPSCVEVKRSSSLSRQVAAPVSPVNVNSYSARLTEATHVGNNSQSLGVSSGGGGHPNVWSMRKEVASAIELEQSAWASANAVSKLAHASALDKVSSGRWQTVHYQTEVEAVRPTKVEGRPHAYINSNRIDTVFEKEHSDEMLARHAERGLVIDNQMQGGGRNELLEHERSGVHKYSDVRPRSVAQFSDGVQPARTDGKAVGSESQHPVASEPIERPKLKLLPRTKPLESSEPSVIEPTQGYRQVNDSVPVETVPQRHGHANFVKSVSVGTESPKDPGQRPKLNLKPLKPRPEVHEQSEASKERDRNALFGGARPRELVLKERGVDDVAIKNYDVVGNSNRVEQNTPRSEKHDDHSIQTRYVEKTEDGLNQRAGRKPERKEQKVDGDRAPGQRKNWRSGDNNSNNNRRNPRETDRQQVPERQPSPETWRKPVESSQGAGGPRYGRAASAVELAQAFSKSVSDPKVNNDRFSGQRGLNTGRTQVPFSRLVGPTSRPQINGY >RHN81022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42679427:42684431:1 gene:gene5012 transcript:rna5012 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-Extensin family MGGFEMGQCILLKRVFVFLFSTVFIVECGAYDTTLEASHLSISPMHAIPSVMAHPPLSPYLSNCCQKDMVLKRGSEGCHCVFPIKLDLLLLNVSENPDWNLFLDELAAQLEMRATEIELINFYVHSLSTWNISMYITPRKEISFSAKEASKINSSLLFHKVRLDSRFVGDYRVLNLTWFKPPTPSKAPTFAASTVKTPERRVPTATSSSTSDRGRHSNLLVILGIVTGILIMSIICVLILCLCTLRPKTKRPTETEKPRIEHVVSSVASHRHPTSTRFISYEDLREATNNFEPASVLGEGGFGRVFKGVLNDGTAVAIKRLTNGGQQGDKELLVEVEMLSRLHHRNLVKLVGYYSNRDSSQNLLCYELVPNGSLEAWLHGPLGINCSLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKLAPEGRVNYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVEMSQPTGQENLVTWARPILRVKEQLEELADPRLGGRYPKEDFFRVCTIAAACVAPEANQRPTMGEVVQSLKMVQRITENYDPALPSSNTRPNLRQSSATYDSDGASSMFSSGPYSGLSAFDYDNISRTAVFSEDLHEGR >RHN62559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44345443:44349162:1 gene:gene25150 transcript:rna25150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MDSAKNLLYLSTVENHKNKLLVLDYSLILLCLTLSFCPCSSDTITIHKPIRDGELLISKSKTFALGFFTPGKSTSRYVGIWYHDLQPQTVVWVANRDAPINDTSGILSIDPNGNLELHHKLSTIPIWSTNVSLTQSQRNNTNVIAQLLDIGNLVLRLNDTETAIWESLDHPTDTMLPYIKLGFDRKINQSWFLQSWKTDDDPGKGAFTVKFSTIGKPQLFMYNRDVRFWRGGHWNGEILVGLPNMKRDMAVRNVSFVEDDNYVALSYNMFNKYVITRVVVQQSGFFQTFTWDSQKSQWSRYWSEPTDQCANYGTCGSNSNCDPLNFENFKCTCLLGFEPKFPRDWYESRDGSGGCVRKKGASVCGNGEGFIKVVSVKVPETSTAVAIDGLNLDECEKECLRNCSCTAYAVADVKNGGSGCLAWHGDLMDIQKLSDQGQDLFLRVAKVELASANYDKKSKGVLGKKRLAAILVASIVAIVILLFCVNYMWKKKRKDKMMPQPNQDSSGEENDTQSNTHPNLPFFSFKTIMTATRNCGHENKLGQGGFGSVYKGCLVSGQEIAVKRLSRDSGQGKEEFKNEIKLLVKLQHRNLVRLLGCCFEKEERMLVYEYLPNKSLDFFIFGMSLCDSLA >RHN64752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61538594:61540519:1 gene:gene27607 transcript:rna27607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MKEYWTSLASILGVFAFFQTILQTVFPPELRFASAKLFNKLFNCFSSYCYFEITEIDGVNTNELYNAVQLYLSSSVSITGNRLSLTRAVNSSAFTFGLANNDSIIDTFNGVNVVWEHVVTQRNSQTFSWRPLPDEKRGFTLRIKKKDKQLLLNSYLDYIMEKASDIRRKNQDRLLYTNSRGGSLDSRGHPWESVPFKHPSTFDTLAMDPMKKKEIMEDLQDFANGQGFYHKTGRAWKRGYLLYGPPGTGKSSMIAAMANFLGYDIYDLELTEVHNNSELRKLLMKTSSKSIIVIEDIDCSINLSNRKNNKKSVGSVSVNSRNFYENGVGEMRGVGEENGNSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHIFMSYCSIQALKILLKNYLGCEEGVDLDDSVLKELEEVVEMARMTPADISEVLIKNRRKKEKAVDELLEILKVRAERNAKNGSVVRRENNGVGDEDDVEDEEQEKRALDSDSPKQESEIEDDCKEGSEEEEKIK >RHN42009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34740237:34742061:1 gene:gene48393 transcript:rna48393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prolyl oligopeptidase MKPACSLLFLFLCFSCVFCFIPPRTLLLDKLSEGRFLSTDVIWFNQTLDHFSPYDHRQFRQRYYEFLDYFRAPDGPIFLVIGGEATCNGIVNDYIGVLAKKFGAAVVSLEHRYYGESTPFDTFSTENLKYLSSKQALFDLAVFRQYYQASFGFFLLPWVMTVTLEIWLKTKNYRHFFVKRF >RHN69071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40790781:40797960:1 gene:gene17499 transcript:rna17499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SAWADEE domain-containing protein MAEKQKTIPSKQNDTVSFFGTPTPAKREMRLTVPEQNQNYVTEFRNYEDGAWYTVMVTLEEKETLRVRYEKFTDEEDNIFEPSFFESLEELVEFEKRFRPLSVQVQDYECRKLVKGVRVCASLHFTPDDLRFYDAVVDAVEERKHSRKKDAECLCTFILSWLHGPNEGKLTAAEIGDICIVQPIKEHDPAVAFFLEITRRRLESQSGQELVVNCHKGTKTKTKMGFFERMQKGKRRAKRSVVGACSPEVSLDESMEDKELEGKRNVCMILIGNLDKELCPSTAVEFIYKHTQVSASVFIFPSLSLELYTRGAIMLHTEQDFQKLCDFLTNPNYIIASSTGRPWVVIEKQVGLKNIKASIGTLFPKSENASHDGNSRTSSNLKVVHSGTQEFKTASAKRDLFSEFADHQVRLHKKLAFLEASIHEI >RHN43615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47255275:47260769:1 gene:gene50222 transcript:rna50222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexokinase MGKVAVGAAVVCAAAVCAAAALVVRHRMISSRKWTRSVSILKEFGEKCETPIGKLRQLADAMDVEMHAGLASEGGSKLSMLISYVDNLPTGDEEGLYYALDLGGTNFRVLRVHLGGKEKGVISQEFDEVSIPPQLMTGSSEGLFDFIAAALAKFVESEPEGFHPPPGRQRELGFTFSFPVKQTSIASGTLIKWTKGFSIDDTVGEDVVGELTKSLEKIGLDMRVAALVNDTIGTLAGGRFYNQDVIAAVILGTGTNAAYVEHAHAIPKWHGLMPKSGDMVINMEWGNFRSSHLPLTEYDQDLDAESLNPGEQIFEKLLSGMYLGEIVRRALLKMAEEAEFFGDTVPPKLKIPFILRTPDMSSMHHDTTPDLKEVGSKLRDILEISNTSLKMRKIVVELCDIVASRGARLAAAGILGILKKLGRDTVKGGEKQKSVIALDGGLFEHYTKFRVCLEDTLKELLGDEAAETVVVEHANDGSGIGAALLAASHSQYLGVEES >RHN63134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48750354:48752391:-1 gene:gene25798 transcript:rna25798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MCRSASNSPAAKLVHSLKSKRKKSIKAFQLFNKVGTSEDHTCDSIIRTLSQVKLQELLLFDFEKLAIATNNFYSSNKLGQGGFGPVYKGKLQDNQNVAVKRLSRSSGQGLEEFKNEVVVICKLQHRNLIRLIGCCVEAEEKMLIYEYMPNKSLDAFVFDPSRNKLLDWRTRYNIIEGIARGLLYLHRASKLRIIHRDLKTSNILLDEELNPKISDFGMARIFGGREDKTNTIRVVGTYGYMSPEYAMQGLFSEKSDVFSFGVLILEIISGRRNSRFYDNEHALTLLGFVWIQWREGNILSFIDQEIYDPSRHKYVVRCIHIGLLCVQELAVDRPNMAAVISMLNSETELLPPPSQPAFILRQNMLSSMSHKEIHRCYSINSVSITDISGR >RHN73649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18568625:18574892:1 gene:gene9488 transcript:rna9488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase family 7 member A1 MGSDQSNNLEFLKEIGLGSTNIGGFINGQWKANGSSVTSVNPSTNQTIATVTEATVIDYEEGLQACSEAAKTWMTIPAPKRGEIVRQIGDALRAKLDPLGRLVALEMGKILAEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPDHMMFEVWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAITKLISEVLERNKLPGAIFTSFCGGADIGQAISKDRRIPLVSFTGSSKVGAEVQKTVNERFGKCLLELSGNNAIIVMDDADIKLAVRSIFFAAVGTAGQRCTTCRRLFLHESIYANVLDQLAGLYKQVKIGNPLEKGTLVGPLHTRSSVENYKKGIAAIKSQGGKILTGGSVLESDGNFVQPTIVEISADAAVVKEELFAPVLYVMKFQNLEEAIALNNSVPQGLSSSIFTQRPDTIFKWIGPRGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGSELPLAQGINFG >RHN67424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27105086:27106429:-1 gene:gene15613 transcript:rna15613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MIDMKYSLIEQICRGLYVVVCSAISKETNEKVAMKKISNVFDNLIDALRTLWEMKLLRHVRHENVITLKDVMILDQKTTCFKDVYLVYELMDTNIRHIMKSSQRISNDHCQYFLALHVTNYGTSIDVWSVRCIFAEILGRKPIFPGKDSLHQMKLIIIVLRSQHASDLEFIDNPKAKEFIKSPPYIQGTHFSQLYQQADPLAIDFFTKKCLCLIQLK >RHN73878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20975086:20992699:-1 gene:gene9763 transcript:rna9763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vps54-likeVacuolar protein sorting-associated protein MDSPPSQQPSWGRSPTFSTTHLNRTTSTSSSTSSPSFSKDSSTIQSLSSILNNPLSSTTISSPEFTPISSTKSTSTLTRSDFLPYLSSLSDPFHRFDEIRKHANNEISTISDDGGAGEALVACLREVPSLYFKQDFHLEEGATFRAACPFSTFAENAILQEKLSQYLDVVELHLVKEISLRSSSFFEAQGELQDLNGKIVEGCTRIRELKDTVRLIDSDLVESARQIQLLNGTRTNLLALQQKLRLILYVNQALSALKLLVASADCAGALDVTDDLQHLLDGDELTGLHCFRHLRDHVTGFIESINSILSAEFIRASLHDAAESDVIILSKAKSRASLLMNGKDDEAKLEEETTNFKDSLLPTVIGLLRTAKLPSVLRIYRDTLTADMKSAIKTAVAELLPVIAARASESEFFSGDRAVEADGGGASLASKLKSLSSDCFVHLLSAIFTIVQAHLVRAAEVKKAIEWILSNCDGHYASDSVAAAIAHGAAAAEISQESEVHGTTFLPYSPQKNVAKGPSFQGKAIDAVSSSNMSKNFRADVLRENAEAVFAACDAAHGRWAKLLGVRTTLHPRLKLQEFLTVYNITHEFITATEKIGGRLGYSIRGILHSQAKAFIDCQHDSRASKIMAVLDQETWVEIDVPDEFQSIINTLFPLDALSSGDPNGVEEDNSNSYHDLATNNDARPMADTGQSNAEQNVEQTDSTDESKKPNRGHSKSLESISTEKDQKKSASQPLFYKGVGYHMVNCGLILLKMLSEYIDMNNLLPALSSEVVHRVVEILKYFNTRTCQLVLGAKAMQVSGLKSITSKHLALASQVISFIHAIIPEIRQILFLKVPENRKLLLLSEIDRVAQDYKVHRDEIHTKLVQIMRERLLVHLRGLPQIVESWNRPEDADPQPSQFARSLTKEVGFLQRVLSRTLNEDDVQAIFRQVVVIFHSQISEAFSRFDISTSQAQNRLYRDIKHILQCIRSLPSGDLSKSDTPNWGQLDEFLVQRFGNDAVQ >RHN76668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:271552:272029:-1 gene:gene31 transcript:rna31 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATKADWSKLPKDLLNLISKQIDNEIDLIRFRSICTNWRSSSIPNHHRDMLTINFPLIKFPLNIGSIVIITKFRRLNTVSFSLNKP >RHN60948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31767576:31769225:-1 gene:gene23350 transcript:rna23350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKTLKFVYAMIIFLSLFLMATNIDSALIECQIDDDCPPIKFAKYLCINYKCRKICLGE >RHN46166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29341913:29342119:-1 gene:gene40609 transcript:rna40609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MCSHSEPLARPSMRQVVQYLERDIPLPALSLLSLSSYGLTFGHQELVEDKTVPYTSVSIAESVLSGGR >RHN65765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5134206:5136831:1 gene:gene13652 transcript:rna13652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MSRFQFFNRSNTMHTSSKEQSQKPFNEFLDRSGSLSKFYGSMESMKSSLRGRMVKKICTLFESPTTTTTKELSSSSSNLKPSSEPRAASKLGQKPRSGPEKDEAGMLFRLADADDRIVVYLTSLRGIRRTFEDCNAVKMILKGFRVWVDERDVSMDRAFRKELQSVMGEENVTLPQVFVRGKYIGGADVIKSLFETGELKRILEGFPRMKPGFVCESCGDARFIPCENCSGSRKLFDEDEGLSKRCLECNENGLVRCPCCACSGMMFCDYENEGDGVYRSFIL >RHN42570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39432259:39432645:-1 gene:gene49024 transcript:rna49024 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGILGNKRKASERDEVTEDGIVHIEFGIRGQDGNEQHFKVNQDKFLITAFQQYCKKMKLQYATINFLLDEKSIQGNRQTPKMVSFFLLSHIFLHF >RHN51888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27703566:27704178:1 gene:gene36447 transcript:rna36447 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYTKLNPLFIEYFQIQEVQIDCILILLYSSLFVKPDQYISCVIIQSICSKSH >RHN79151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24563618:24565466:-1 gene:gene2877 transcript:rna2877 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEIEKYLNQDMKNIEYFKQDMKKYQPGVFEPGLVPDMYISEEKQDVKKQRREDAMRLSVLRFKFKSRANQLIAKKNEVEKMPRATTNLLLAEKPLVTTNPFLAVKPPVTANSLLAEKPPVTTNPFLVEMTHVTTNSLLAVKPPVTANSLLSEKPPVTTNPVLNFYHAKKQEVEIAVNQKVEGTEFESGVITNELMSKEEIEKYLNQDMKNIEYFKEDMKKYQPGVFEPGLVPDMYISKEKQDVKKQRREDAMRLSALRFKFKSRANQLIAKMNEVENDDQLFSSRDDSCDDQLFPNILSSILLRLDQYWTCYGLSIHKNCRALFPIWWHWVQSIRTIIAHFTQRAPTKFGC >RHN68565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36600011:36604245:1 gene:gene16933 transcript:rna16933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MNRQVLPPSPKNASSLNPKLWRAIAGAAVQIPTVNSRVYYFPQGHMDQATSLPNNLSPLLLSRPYILCSVSAVHFLADPKTDEVFAKLFLQPLNDFTVNFPRIPVIEADDGERISSFAKILTPSDANNGGGFSVPRFCADSIFPPLDYSMDPPLQNLLITDVHGLTWEFRHIYRGTPRRHLLTTGWSKFVNAKKLVAGDSVVFMKNTRGAMFIGIRRAVRFVPNRTSSGVCSDVSRLCLPICGVRSRVDDEEKLVEEKAFSRHGKGKLSPVAVAEAAEMAAQGMGFEVVYYPRAGWSDFVLKAEVVDAAMSVTWCPGMRIKMAVETDDSSRTTWFQGVVSQVSVPDHGAWRGSPWRMLHITWDEPEVLQTSKWVSPWQVELLSTTPSLHTPFPPLKRTRGVSGVLTDGDGDPFSITGFTNSTTGQLNQPLLSYSTFPAGMQGARHDLFSVSSFSNFPGDNFRLCMGNSFGSNTVPGLKSFSTDLNVGSSQSGDFSPESQSSLPSFGTDFVRNYNCNSMKPGPITFQLFGAVIQTEQPVESGSHGTVSTGDDSSKGCNETEGINNPLEDSTYSKLLDRLDGQCQIASTVEACYL >RHN65052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63864502:63872176:-1 gene:gene27945 transcript:rna27945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MTPIESPNLLQQQQQQNRSSSKRKLDEDDENNALDDDLVYVRMRKDETTKTTLHSSWTGGGGGSSGGDGGGAFSKKKSPIHFFIRMMSKGYNVVIHAYPEETVKSIHERIEEMKGIPLFEQRLIFNGKQLQWEQTLVECGIQNDANLHLVGRMRSTEHPQAWQVVNDMVSIVYDLCHGVNLPDAVKSIKSLLTTYINLALAPKPKLDADSATSYFQIFMNSSAISVLVTLYVSPYTGNKACSDTCIKHFLNGCKTSLSKTFHTQAARVALEFCKLLSRVGTNDPLYLFCRSSLGGFLEAAEISLAASEDENHKGLVLVQDLFPFVRELADSLLRNLDLSLESPSLANPLLNSVEDFQAFLVPVRTGIEQQQALRGCVAYHQKQDKNKNGLVAEEIEYLRLLYDQLLSKIDTCLQKMDKRFTDKEMVFEENYFYPACSLYLSILKELHKISKLYDGATEELCHVLMRRKSVMCLIIVKYAKRADEHQWILEYKNVTNFEARRHLAMMIFPEVKEDYEELHEMLIDRSQLLTESFEYIARADPESLRAGLFMEFKNEEATGPGVLREWFLLVCQALFNQEHALFVACPKDRTRFLPNSASKVQHNLHLEYFSFCGRVIALALMHKVQVGIVFDRVFFLQLAGKPITLEDIRDADPDLYRSCKQILDMDSDFIDSDALGLTFIREVEELGQRKAIQLCPGGKNIVVNSKNRVKYVDLLIKDRFVTSIAEQVSHFAKGFAEILSSSKLQQFFFQSLESEDLDWMLRGSEDAISVEDWKAHTEYNGYTDTDIQISWFWEIVGRMTAEEKKVLLFFWTSVKYLPVEGFRGLGSRLHIYKSHESGDRLPSSHTCFYRLCFPAYSSMPVMQARLKVITQEHIGSSFGTW >RHN70206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49651609:49652056:-1 gene:gene18762 transcript:rna18762 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKDRGREEVWRARLMDKVDCVGSGLRLIKILIGVFCLIELFKMLLYCVKSM >RHN47765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42345545:42350462:-1 gene:gene42402 transcript:rna42402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MGMAAESQFHVLAVDDSIIDRKLIERLLKTSSYQVTTVDSGSKALEFLGLCENDETNPNTPSVFPNNHQEVEVNLVITDYCMPGMTGYDLLKKIKESSSLRNIPVVIMSSENVPSRINRCLEEGAEEFFLKPVRLSDLNRLKPHMKKTKLKDQNQETEQKIENSEILENEEQHQASKSQHSHLESESQTQTQLQAHSTIDQQQQSLQQANNNKRKSVEQSLSSETDRTRPRYSGIATVV >RHN66863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20440051:20442874:1 gene:gene14973 transcript:rna14973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative replication factor A protein MSIKLTENAIPAITSGDVDAKPLVQVISITLLVDSDDSLLRKYYLKLSDGVYSHSATIAAQLNDGVGTGRVKEGSIVKLLDYVCPTIVIRKIIIVHKMETIVLDSQIIGNPKSFVDPELPMVENEEPIPIAALHPYKGKWAIKARVTSKGHLRHYNSPEGYLKAFSFDVLDSDGGEVQVTCLNDVIDSFYEVIEVGKVYLISKAGLIPVGSKDLKHLKIDWEIMLNSNSTVELCPDEDGSIPMHKFSFRSISDIENIESNTILDVIGVVTSVNSSVLMSRENALEMRKRILNLKDNSGRSVELTLWGELCNREGQELKDIVDAGGFPVLAVKAGKVIEFRGKSINAIPISRLFVNPDFPEAQSLRLWFDQDGKDSASSSISKDISYGGPKNELRKTVSQIKDEGLGCTDKPDWITTRATISFMKTDVFCYTACPVMIGDRRCNKKVTRSGERCSKKVTKTVNTRWKCDTCNQEFDVCEYRYILQAQIVDHTGLTCVTAFNEAGEDIMGYSAKDLYVLKYEQEDDERFRDIIKSILFNQFVFRLKIQKELCGEEQKVKIIVVKADKVNYSAESKYMLDLISKFER >RHN68357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34845312:34846160:-1 gene:gene16698 transcript:rna16698 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLRAFCIASTISLSFLRRKGATIILSSLHQSNVQQPPFQNLECWCLLIAFVLF >RHN82634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55301921:55303021:1 gene:gene6809 transcript:rna6809 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDAMLGRMSVEWMFRFLSFSSNYFLSPLLVSVWQELVCHKIPSRELARIKRMGIVVDGGCGRVCRMNH >RHN69784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46253389:46262317:-1 gene:gene18298 transcript:rna18298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MIPRTWQRFRAAASQEEGGSSSRNVMFSEEAHRAMAADRVRSVPLADVVGGVSDSFSTYSWFDFQQIAKMVDQILPFSLLLLIVLIRRHMEYFFVTIGAIAVMFRSNELVKKQTALKGDRKVSALLGLSFSFMLYVICIYQLYGNDDVLFPLAMFPPETTPSFWKAIFIILVNDTMVRQVAMAFKCLLLIYYKNGRGHNFRHQGQMLTLVEYTLLLYRAFLPAPVWYRFFFYMDFGSLFSSIITGLYLTFKLTSAVDKVTCVLFALKALSEDEIHYGVYATPEQVSAAGDLCTICQEKMHVPILVRCGHMFCEECVSEWFERECTCPMCRTLVVPAHLRTFGDGSTSLFYQFC >RHN41407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29749469:29750155:1 gene:gene47725 transcript:rna47725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MFSIDCMSLLLLCLGLLCFAWSILSFSLQSRALSMTPTSEKNKVTCSYIHDDIALSILSKLPIKSLKRFTCVSKSWSLLFQNPNFINLFRNNLVSKSHDDDDDDVCFLFVSYAFVSMFYLISGERFQNVVNLDLPPPFENPFRRPFHIFCSAINGIVCVYSSWDHSEVALWNPVTGEVKVIPPGLVEHLPNIHVFGTLCLHGFGYDHVRDDYKVIRHVQYRYISTHYS >RHN53747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3824887:3828419:1 gene:gene28690 transcript:rna28690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycogenin glucosyltransferase MQIITYTNPFTMGHNANTLKSLLLLSLVLCHVFSLAPSIEATSTQKYKYKNAYATMMYVGTPRDYEFYVAVRVLVRSLSKLNVQADLVVLASIDVPLPWIQALEEEDGAKVVRVSNLDNPYKHQDNFDKRFKLSLNKLYAWSLVDYDRVVMLDADNLFLQNTDELFQCGQFCATFINPCVFHTGLFVLQPSTVVFKDMVNELRNGRENPDGADQGFIDSYFPDLLDKPLFHPPSNDTKLEGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPGAPWLKPWYWWSWPVLPLGIQWHEQRRQTIGYASEMAVIFIQSAIYIGIIAMTRLARPSLSKICFRRSDKSITLAHSSLKLVALWCILAAYVTPVFIIPHTIHPLLGWILYFLGSFAFCSIAINIFLLPMLPVLVPWLGILGVLMVMAFPWYPDGIVRAMSVFGYAFCFAPFLWISLVRIVTGLQLSLEREAFLPRFAECYPQSWFNKLF >RHN40570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17672924:17676740:1 gene:gene46733 transcript:rna46733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GPI-GlcNAc transferase complex, PIG-H component domain-containing protein MVEFSVSNARYTYVHDQENPSQNADMHHIVLRRSGSKYFFVYASALLVLACAIYLCFLEEKSISLVYYSLFFDIFLVKLLLRKAVNKESVVIMPGFGVQLETHYMSGEVIRSFVPVDKILKPVLLECVTPVTCYWTLSLIVCDESEMVLVFKNMRPPIKMLVHVWKALCAATDNKEETCTVQSR >RHN59085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7381739:7383572:-1 gene:gene21073 transcript:rna21073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEQDQCWIQTKRKYSMSNSNLASSLTNPSSSCYGDSWEEQAFAEDAAAGSLGGCIWPPRSYSCSFCRREFRSAQALGGHMNVHRKDRARLKQQPQNDQILYHNHHHHHHHELEIATHHVQNPLIQNVYNNNLFPNSPSCGLVYNKTNPNSHLDHFVSSTSSPSSASKALFGDETLISPFNSSESWLNLPGNDILCSTKFQLAVDNFDQKVSKGIIDARFRDKGGNDDESDVAMSLNLVLCRTHPLVEFESTKEEDFNCKKRKIDSSSNQLFSNSSTFDKQQNMQAKMFEFNPNSIEEVDLELRLGTRSKV >RHN71669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1810512:1815132:1 gene:gene7293 transcript:rna7293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (cytosine(38)-C(5))-methyltransferase MVKEMQRVLEFYSGIGGMRYSLMKAQVNAEVIEAFEINNIANDVYQHNFSHRPYQGNIQCLTATDLDKYGADAWLLSPPCQPYTRQGLQKDTGDARAFSFLQILELIPFLLQPPSMLFVENVVGFETSDTHAKLIEILEKTNFITQEFILSPLQFGIPYSRPRYFCLAKRKPSSFLNGCLNRQLIQSPRPLFEHFNTAPKEDDLSLEDSQNLLQSCQPIEKFLVLKNPNSDTNVESAASTTSLSNDTSRTSGTDNDHEYDTLDKYYVHPSLLERWGSAMDVVYPDSKRCCCFTKSYYRYVKGTGSLLATVQPVKRDKTSLKEQCLRYFTPREVANLHSFPEDFEFPEHISLKQRYALLGNSLSIAVVASLLQYLFTEA >RHN65121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64339198:64340794:1 gene:gene28022 transcript:rna28022 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKCRSRYKGEWQCTFVVTLDSSWMARYNYIFQGLSPSSLEIVHIIPYKKHEILKHSFMQENNNHTDDPFKKEKPHK >RHN41527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30783553:30784562:-1 gene:gene47860 transcript:rna47860 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LEC11 MHYSHFYFIINNTNMTINAIPKLFATKNSISLSIVIFMYLLILVANVKSDSSFNFPNFQPEALKKLGFANDATLKNGVIQLTKKDAYGDPLKHSAGQFGLLKPIRLFDQTTGKVASFVTEFTFSVNSNGRQDHGDGFAFFMASPKFKIPNKNKSEGGFLGMFTRETALYTKEIVLVEFDSFANEWDPNPSSNLGIGSHLGIDVNSIKSVANALWLNDFDDITVGKARIEYDSSDKNLKVLVTYSEKGAFNGDSSLVYNIDLTTFLPEMIEIGFSASTGDLVETHDILSWSFTSNM >RHN75870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45723390:45723877:-1 gene:gene12126 transcript:rna12126 gene_biotype:protein_coding transcript_biotype:protein_coding MQFHTPICSSALAAEFEEAKQSSKSTQMFPPRRGQIKLRIIKIIAAAFSCSGRGKGI >RHN64672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60894298:60899258:-1 gene:gene27513 transcript:rna27513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LisH family MPANPSGIKGISTLFTFTPRQVLLSNRTMKNGTLNPEQKQKLLLNQSIALYLERSGFSKTLKKFLSEAKIENDNTEGSTVDLEEMCRKYLETGKDAKSTINDQKAQGVDSKNDEEKSKGKKKKKSNVVSESVVDNAEDNQLESPTVGTENKVKDDLSTDAKVDNGVETEKRSKHKKKKNNKSNIEGGAIEQNAVTENKVKDDLSIDAKVENGAETEKRSKHKMKKKDKSISEGDAKEQIGDPDATNEEAIPEEKKDSKKRKRPISKENDEQDTEMKVDEETKRRKIENGSESKGEDQSAKPNGHSENGAVQKSQKKKHKESSEQKPVKAAFQRVQVDKVEFVDERLQDNSYWAKDGAESGYGAKAEEILGQVRGRDFRHEKTKKKRGTYRGGLIDLHSHSVKFNYSDEE >RHN77317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5974146:5976336:-1 gene:gene760 transcript:rna760 gene_biotype:protein_coding transcript_biotype:protein_coding MNQELHESGNTSFVFPQAEIPKWIDHQCMQGLSISFWFRNKFPAIVLCVVSPLTRDNYQPNVKVFINGKTFFYRDVEADYEWPISFHLHIFHMQIEKFNDDVDAALLENEWNHVVVDFGFEFHKSGIHVLKEKSSMMDIQFTNPENDVNMGVTL >RHN48202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45760501:45763682:1 gene:gene42892 transcript:rna42892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphate-transporting ATPase MAKEQIQVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGAGKPGTLPPNVSAAVNGVAFCGTLTGQLFFGWLGDKLGRKKVYGMTLMIMVICSIGSGLSFGHNPKTVMATLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGFGILGGGIFAIIVSAAFKAMFDSPSYEVDPVRSTVPQADYIWRIIVMVGALPAAMTYYWRMKMPETARYTALVAKDTKQAAADMSKVLQVEIQAEPQQAEKAKSYGLLSKEFMSRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPPAQTMNALEEVYKIARAQTLIALCSTVPGYWFTVALIDRIGRFKIQLMGFFFMTVFMFALAIPYDHWTHKDHRIGFVVLYSLTFFFANFGPNATTFVVPAEIFPARFRSTCHGISSASGKLGAMVGAFGFLYLAQNKDKSKADAGYPAGIGVKNSLLLLGVVNILGFLFTFLVPEANGKSLEEMSGENEEEAGTNGELEQSHSHNRTVSHV >RHN49723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:607291:609231:1 gene:gene33930 transcript:rna33930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MLFASLQLLITLPTNSNITKSLSLSLSLSLSLSLSLSLSLKEWIFEDLALLRVEKILMMASGYSNSPCAACKFLRRKCNQDCIFAPYFPPEEPHKFVNVHKIFGASNVSKILNEVLPHQREDTVNSLAYEAEARIKNPVYGCVGAISVLQGQLFRLQKELDATNADLIRFTHEGSSSLGLGHVHSDDFFNYPSNLSNDM >RHN60954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31808586:31810331:1 gene:gene23359 transcript:rna23359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MVFSSIQGYVDPPNWHHQQPNHQQANGSDNTQLLPPLPPQVGGSTTGGGTMGSIRPGSMADRARLAKLPPPEPALKCPRCDSTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNNKKNKRSRSKSPNSTNSQEKQTSSSSAIPSHHELIGHQLPQTIPNLPFMASMQNLNRYVVGNVNMGLGLREIQEQQQQQDHMGFQIGIGNGNSTSVSVSASSVAGGVGVEPWRNLQQFPFLNTFESNSSGNNPYTFQGESNIEAAAASGFVRDIASNSRANQEPPVKMEENRALNFSRTSLGVSEHNNQQQYYSWNDLSGSSSTHIL >RHN79606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30512462:30512863:1 gene:gene3419 transcript:rna3419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MSLLIQKLILICVLTLLSMHNVLGLTRRHVNILNSLEDNLDLTVHCKSGDDDLGSHLLHHGDSYGFKFLDNFFGGTQFFCSFQWKGEFKWYDIYIEKRDSTKCFICNWYIQKSGPCRFLYHGGSECFPWNINH >RHN51240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15301015:15302874:-1 gene:gene35647 transcript:rna35647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylsterol monooxygenase MPFIIESAWQYLIINFSDFQLACFGTFFLHEIVFFLSGLPFIWLERAGWLSNYKIQTKINSPESKERCIIRLLVLHFGVNLPAMIFSYPVFKYMGMHSSLPLPSWRVVLTQIIFYFILEDFLFYWEHRIMHTKWLYKHVHRVHHEYATPFGLTSEYGHPAEILFLGFPTMLGPAITGPHLITLWLYTVLRVLETVEAHCGYHFPWSPSNFLPLYGGADFHDYHHRVLYTKSGNYSSTFTYMDR >RHN69492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43846357:43848654:-1 gene:gene17971 transcript:rna17971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MPRHRCTVYSESDYDDDDDNVTMRCLSCEDDYDPDDAGTCKECYDEANETEEEFKRQIQDLKSKVSFLTLASPLDAPSTTDLVLLPSGDSFSPSIPAHKAVLVSRSPVFRAMLENDMEESRSGTIKIADVSYDALRAFVNYLYTAEACLDNQMACNLLVLGEKYQVKHLKAYCEKYLISKLNWEKAIVNFAFAHQHNANQLQDAALAVIMENMDNLTKNEDYTELVETNPRLVVEIYEAYLAKQVNTAATLGL >RHN53674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3256311:3258710:-1 gene:gene28606 transcript:rna28606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MMKTNYTMQLSLLVSLIFILCSFNQITSVFAAEENQEHDHNLMTYIVHVKKSENVASFQSEDLHSWYHSFLPQNFPHKDRMVFSYRHVASGFAVKLTPEEAKSLQEKDGILLARPERTLSLHTTHSPTFLGLKHGQGLWNDDNLGKGVIIGVIDSGIFPSHPSFNDEGMPPPPAKWKGHCEFNGMKICNNKLIGARSLVKSTIQEPPFENIFHGTHTAAEAAGRFIKDASVFGNAKGVAAGMAPNAHLAIYKVCNDKIECPESAILAAMDIAIEDGVDVLSLSLGLGSLPFFEDPIAIGAFAATQNGIFVSCSAANSGPEYSTLSNEAPWILTVGASTIDRKIVASAKLGNGEEYEGETLFQPKDFPQQLFPLVYAGSLGYGNQTQNQSLCLPGSLKNIDLSGKVVLCDIGEDVSTFVKGQEVLNANGVAVILVNSESDGFSTFATAHVLPAVEVSYAAGLTIKDYINSTYNPTATLLFKGTVIGDSLAPSVVSFSSRGPSQQSPGILKPDIIGPGVNILAAWPVSIDNKTPPFAITSGTSMSCPHLSGIAALIKSSHPDWSPAAIKSAIMTTANTLNLGGIPILDQRLSPADVFATGAGHVNPVKANDPGLVYDIQPEDYVPYLCGLGYTDQEIELIAQWVVNCSNVKSIPEAQLSYPSFSILLGSDSQYYTRTLTNVGLANSTYRVELEVPLAFGMSVNPSEITFSEVDEKVSYSVDFIPKTKESRGNNTYAQGSLTWVSDKHAVRIPISVIFK >RHN65515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2811828:2815796:1 gene:gene13362 transcript:rna13362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAETSVLFALGKVFEFLKEETNLLSRVHKDFSDITDELESIQVFLKDADTRAADETDTNDGIRTWVKHLREASFRIEDVIDEYLQLMHRAYPPGCGSLVCKIASLIKTLISLHQIASEIKNIKISIRGIKERSERYNFQISQTPGSSSSNNSSRETDNRRWCDPRLSSLFIEETAIVGFEGPREELSGWLLEGTAERTVISVVGMGGLGKTTLAKLVFDSQKVTTKFDCRACITVSQSYTVRGILINMMEEFCSETESPLLQMLHKMDDKSLIIQVRQYLQHKKYLIFFDDVWQEDFSDQVEFAMPNNNKANRIIITTRMMQVADFFKKSFQVHVHNLQLLTPNKSWELFCKKAFRFEVGGHCPPELNSMSIEIVRKCKQLPLAIVAIGGLFSTKAKTVTEWKMVSQNLNLELGRNAHLTSLTKILSLSYDSLPFYLKPCILYFAIYPQDYSINHKRLTRQWIAEGFVKSDERRTQEIVAEEYLSELIHRSMVQVSNVGFEGKVQTCRVHDLFREVLIRKMKDLRFCHCVHQDSESIAVGKTRRLSIASCPNNVLKSTNNTHFRAIHVFEKDESLEHLMGKLCSQSRILKVLDIQGTSLKNIPKNLVSLCHIRYINLSYTNVQTLPKSVGELQNLETLDLRNTLVHEIPSEINKLTKLRHLLAFHRNYEAEYSLLGFTTGVLMEKGIKNLISLQNLYYVEVDHGGVDLIQEMKMLRNLRSSGLRHVRGEHGNSLSAAVAEMTHLENLNISVISEDEIIDLNFISSPPQLQRLHLKGRLQKLPDWIAKLECLVKVKLSFSMLKYDPLQSLQNLPNLLNLCLWDNCYDGEIFHFRNGGFLKLNTLNLRHLNRINSIIIENGTLLSLEHLTLEKIPQLKEVPIGIKHMHKLKDIYFTDMPAQFVESIDPDKGQNYSIIKHVPLVFIRHWYGPNLYDYDIRTIHSSTKESYVN >RHN51347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16531872:16532960:1 gene:gene35773 transcript:rna35773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MSFIYYKFILLYLLQETRKNNMAKTLKFLCGLVLFVYLFFIKKDVAGNTFLMADNIECDTDAGCPKMVHHIFYKCIDNKCKQFRS >RHN39729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10051049:10057354:-1 gene:gene45789 transcript:rna45789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monogalactosyldiacylglycerol synthase MYNPATRVTKEPNAVLELGSRIGRFTLDTSSFLNLTSEACSPASLLSNYLSFNNLTRVASSSKRTVSLSLNNSGGGYKFRNILHDFNRAVRVHCERMPIGFASLRVGDGGDGGGGGGVGEGNDVGGNGNGVGVEDEGLGLKSGEGKKAKKVLILMSDTGGGHRASAEAIKAAFYQEYGDDYQVFITDLWSEHTPWPFNQIPKSYSFLVKHGPLWRMTYYGTAPRLVHQSNFAATSTFIAREVAKGLMKYQPDIIISVHPLMQHVPLRILRSRGLLDKIVFTTVITDLSTCHPTWFHKLVTRCYCPTTDVAKRAMKAGLQQTQIKIYGLPVRPSFIKPVRPKDELRRGLGMDEDLPAVLLMGGGEGMGPIEATARALGDLLNDEGVPTGQILVICGRNKKLANKLSSIDWKIPVKVKGFVTKIEECMGACDCIITKAGPGTIAEAMIRGLPIILNDYIAGQEAGNVSYVVENGCGKYSKLPKEIAKIVADWFGPKADELKEMSQNALKLARPDSVFRIVHDMHELVKQRSLLREYSCTA >RHN42532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39111208:39114533:-1 gene:gene48981 transcript:rna48981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSPDWPSTASDLPHIDELAKIFTCSICLEIFFEPCTTRCGHSFCIKCFQSSIYKSGRSCPLCRQSISTGESYKLNTVLWNTVKLMFPREVEGRKTMHALDRPKDLSAQFCDSLEFLVKWDKCMYLALAGISASTIAVHIWAMFTMNR >RHN64821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62214882:62217496:-1 gene:gene27685 transcript:rna27685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetraspanin/Peripherin MKISNNLIGILNFLTLILSIPILLTGIWLHKQATSECERFLEKPIIILGVFLLIVSLMGFIGGCCRVTWLLWFYLFFMFLLIVVLFVFTIFAFVVTNKGAGESLSNKGYKEYRLGDYSNWLQKRVNDNGNWNRIKSCLQSGKLCIDFHSQFLNDTADKFYLQHLNALQSGCCKPSNDCGFTYQNPTNWTMPAGGTYTNPDCDTWTNDPKVLCFNCKSCKAGLLDNLKTNWKKVAVVNIIFLIFLIIVYSIGCCAFRNNRRDGWKRY >RHN56672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32697699:32698703:-1 gene:gene32109 transcript:rna32109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MLLVVLDLTLLMCFKCCNHLVTKCHYCSSVIAYGSLSKWRLFKMVDIFVKLNNLVPKFESLFPNSLVNEAIAVRNRLGDASRVLFMKMHNFIFRVPAAKQVVSSYGQHHQMTIQVMSYVSSACRKRRKLEQILEEYPEVHNEVEASSFFLKQMEQIMRMLQRKLIVKSENCKDRALRHIFMLNNRSHIEAMNKFSRLETIFGNDWFQNNKAKIQQNLDLYKRSAWDEVMDFLKLDNNESITKELLKEKIHLFNNRFEAICRVQSAWFIYGSQLRGEIISSVGNILLPAYGIFVGRLHGILGNQAYKYIKYGMIEIQDLLNHLFLGNKMYGLEYR >RHN56621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32296924:32301907:1 gene:gene32051 transcript:rna32051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative crocetin glucosyltransferase MESPPTFFNHILAMPSPARGHINPMMNLCKLLISKNNNILVTFIVTEEWLTFISSEPKPDNISFRSIPNVVPSELIRGRDHADFMEAIMTRAWFFRVLNRVTIVGKQNGDERISYIPGISSTRLADFPLKDDSSRSKRMMQMTMKGFQWIHKAQYLLFTSIYELESQAIDTLKSKLPLPIYTIGPTIPYFINLTNNPKPNTTNSYIEWLDSQPTGSVLYIAQGSFFSASSEQIDEIANALCESNVRFLWVARGEATRLKEICGHMGLILEWCNQLRVLSHSSIGGFWSHCGWNSTKDGVIYGVPLLTFPINLDQPFNSKMMLRVKEDVKGDILVKKCEIVKLVHFYVVTLDGKFLHYYSTIK >RHN64618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60478229:60479478:1 gene:gene27449 transcript:rna27449 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFRSKSYADGRMQIEPYSAPSNGASSNVYGMQDLRCYSASYASSVHPTQNQIGNNNDVKFKKGKSTNGSTSKSWSFSDPELQRKKRVASYKVYSVEGKLKGSLRKSFKWIKDRCNRVVHGW >RHN72234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5972423:5973165:-1 gene:gene7923 transcript:rna7923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived nuclease domain-containing protein MFRMEKHIFHKLCHELVEHDLKSSKHMGVEEMVAMFLVVVGHGVGNRMIQERFQHSGETVSRHFHRVLHACLKLSFKYIKPEDPMFCECHAKIKNDQRYWPFFKNAIGVIDGTHVSCVVSASEQPRFIGRKGYPTQNIMAVCDWNMCFTFVLAGWEGTAHDARVFDKALTTANLNFPHPPQVLFGRFWLSNTNRVHWSI >RHN42825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41290335:41293763:-1 gene:gene49318 transcript:rna49318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase MKKRKNLGESTTKVNNQSESRDCPVSTRRRLNLDSTMISDLRCTLRSSGRRCSLRSSKMPVETGTTSLSRCSRPHISRGHSAAPDDIQQLDIPAQTIKTAYQVPEESSHQIADPPTLEPELGHKEAENVEYSTVGGREMMDEEDKAENSPSNHSSLMVRKNTFEEERIPMVEDVTNENHMKCISRTGCSSPSFSLKLTQLVSMAGAEDDEVDSEMQSQPEDMVNIYQVKPEFMSILRKIIGKHGDITKNCVTKSVKFRSAFLDTICEIISDLDKKDIANIKGNDLKIKIGDVNDIKNLKVEVEWLHTRLTEILEARQILKKSGTLKEKKDSIRKFIEIAEGELKECEVEKKKVSEKLKELSEKLKAICDKEADWKERLTRMQDESTKTSQRVKDVKSKVRRFVDCSLIDGLL >RHN70184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49450362:49454463:-1 gene:gene18740 transcript:rna18740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative double-stranded RNA-binding protein MSTTNEDFQGVSNCYVFKSQLQEYAQKAGLGTPVYETTKEGPSHEPSFRSTVIMNDVRYDSLAGFFNRKAAEQSAAEVALMELAKTGEVNQSITQPVHETGLCKNLLQEYAQKMNYAMPLYQSKKDDTPPGRAPLYSCTVDIGGMLYIGGTAKTKREAEIKAARTALLAIQTNASQASENQFGHLTVIPSRKRATESIADEASKAPKSKKSRFKGKYSKKKPHRNKKRRINADNAGDEAKIDNGAESLASANDESGLQEIKSEAAFPSEVMKNSENGVSSNHHEKETLAGLQEIKSEAAFPSEAMQYYDNGVSTNHHEKETLAGLQEVKSEAAFPSEAMKNSENGASTNYHEKETSAGLQEVKSEAAFPPDEAMQNSENGVPTNHHEKETLGAIKEVKSEAAFPSDEAMQNSENGASTNHHEKETLAAIQEVKSEAAFPSDEAMQNSENGVSTNHHEKETLATIQEIKSEAAFPSDETMMNSENGVSTNHHEKETLAAIQEIKSEAAFPSDEATMNSENGVSTNHHEKETLAAIQEIKSEAAFLSEAMTNSENRVSTNHHEEEKLAGVQEIKSEAAFLSEVMKNSGSDISTNLHEKEMYQSFVLNNQENFESGKLTELQSKEINIGNVVTEVSFAPEGYILAMSVEMNKQNCNGDMVSGE >RHN75761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44845023:44845558:-1 gene:gene12006 transcript:rna12006 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIKLDYFDNKSRYPIKKAYTRVTTKINLSNQLGFIQRVNIC >RHN62672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45104540:45106754:1 gene:gene25269 transcript:rna25269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MEGGNRAEAERWLYTANKLLSARDLHGARSFAIRARESDPTFDASELLLAVIDTLLAGESRINDHHRDWYGILQILRYTTNIDHIANQYRRLALLLDPNRNPFAFSGHAFSLVHDAWSVLSNPAKKAMYDSDLRLLTTPPVPSQPQPQLAPQYQQPPQQPLQQPPQPTPRKNSRSRDSTGATATEPTLSRQNRNPSEAGETTRQTRIASAAETVGNISFWTLCPYCYVHYEYPKEYEDCTLRCQSCRRGFHAVVIRSPPVNEIDSSFCTWGFFPLGFSGDSKDLNGASSNWNPIAPLFPCTMKGSSNRKKNWVYYDEEATAAFIDISDPSDNDSDDGDWRGGAGKRRGVKSSGVSTSKKIRKDSGNASGRGAVGRPRRSATGAASGNEKNVGAVDDASAAASGVRADANTIKKAALGSSRRRGAGNLDLNVEFSNDVEEPSRGVRAREGNATGNAEDNIDGVGFFEGLDEFLSSLPILNPVGDDKVKGH >RHN47647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41240282:41241913:1 gene:gene42269 transcript:rna42269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FCP1 domain, HAD-like domain-containing protein MYGSTRLMMDLAIMSFSNNNDEIGTSYVIPLCKKPLTDLSLREVLRLQNVIVEKRIIVMRVFLKPKKKKLLVLNLNGFLLHRSIHTFRFTDQGCCPNPILKSRTADQIYLNFLLFKRPFSEEFMKFCLERFEVGIWTCAKKINVDGALTFAIGEESKNKLLFVWDQSDCTDSLAMYSKENKEKPLFFKELNKVWNKVKKGGPYSASNTLMIDDKPYKLNPVIQSSFYLIL >RHN41398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29710706:29711122:-1 gene:gene47716 transcript:rna47716 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGISKESQNESLQNMTMVEIKASNECYEKSNSTGSSNLWKFRQNMNLRSNSDHKDSLVLLNASDPKNSRKPKVENIVNKKRKDEKHKNGLSAYEKLYVSNKTRKDSNKRRSFLPYKRQLFGLFTNMNGLSRNLHPF >RHN53067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41673943:41675745:-1 gene:gene37817 transcript:rna37817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MKNQNTIILVFLFLILHLATTKAGFGVGGSVGVGVGVGGGVVWGVGGGNSNQNPKSYESPTSKMESAYTVLQAWKSAITDDPLKILDSWNGSNVCSYKGIFCANPENGITSTTASTSVVLAGIDLNHANLEGTLVQELSLLTDMSLLHLNSNRFSGTVPETFKDLVSLQELDLSNNQLSGSFPLVTLYMPSLIYLDIRFNSFSGSLPQELFNKNLDAIFLNNNEFEGEIPTNLGNSPASVINLANNKLSGNIPASFGFMGSKIKEILFLNNQLTGCIPEGVGLFTEMEVLDVSFNSLMGHLPDTLSCLQNIEVLNLAHNQLSGELSDIICSLRSLANLTVAYNFFSGFSQQCSKLFFRNVGFDFSLNCIPGRNMQRPQPECSMIPGGSLSCLRIPTPKPLVCGSMSVSTSENARFSSQSHSHFSKSQSPP >RHN69536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44197511:44197864:-1 gene:gene18017 transcript:rna18017 gene_biotype:protein_coding transcript_biotype:protein_coding MIELRICDEVPVRLKIDNKSAINLVKNPICHGKIKHIETSSIFLRIKSIRTS >RHN78577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16410584:16410970:-1 gene:gene2195 transcript:rna2195 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFNDLLIENNKHVHVVILFCSKNIVNIITNFTVMSEVKSCELTRIGGGCPDISKCMKTCLPCYVGIGEIHYYCRHPGGPILYETCVCVMVKGAPCQVRGCPGNTPPAAVASNYNQTQFMKYLNVTA >RHN61996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39906483:39909240:-1 gene:gene24516 transcript:rna24516 gene_biotype:protein_coding transcript_biotype:protein_coding MILWVSSNVWFSHVLIQDYRCSRIPLLFALVVWLESGTGKLFPKDEFLESCSKT >RHN67120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24084994:24085883:-1 gene:gene15267 transcript:rna15267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-acetate O-methyltransferase MAPMEENVVVSNLELEKLFSMKGGKGEASYANNSQAQAIHAKSMIHFLRETLDKVKLGGGGGGDGDKAFVVADLGCSCGSNTINVVNVIINHIIKRYEALGCNPPEFSAYFSDLPSNDFNTLFQLLPPLANGISMEECLAADNQRSYFVAGVPGSFYRRLFPARSVDVFHSAFCLHWLSKVSNLNLSYYIIKVF >RHN46184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29467671:29469107:-1 gene:gene40629 transcript:rna40629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSYSYFPIAFQRKMEYTQNPNKSDQIRWSSDDPGQVRSYSCTFCKRGFSNAQALGGHMNIHRRDRAKLKQQSSEENLLSLDIANKNPNDQGDLEENHETQRGKLITTKELPHSSSFVGTQRIEEKKCDLDLELRLGYQPMDSSTLSTRSFF >RHN73601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18052486:18055806:-1 gene:gene9430 transcript:rna9430 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YA3 MKPFLSLNHPDTEFNCSQVDHNQSMAQTHAHYPYSDPIFAGSLVAYAPHAVNQPQMLPQMMGLASTRVALPLDLAQDGPIYVNAKQYHGILRRRQSRAKLEAQNKLIKNRKPYLHESRHKHALNRVRGSGGRFLSTKQLSESNAEFVTGSHSGPGNNYQKEDTSEMESHHSSKTRDNISSITSCSDRTCFSGNSFSFRQPEHMFLGNSPNMGGGAPQCSGGLTFGGTKQRASVVR >RHN56663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32664149:32664835:1 gene:gene32100 transcript:rna32100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MPEAKLAAPADGGHHPMMAKILAYLLFAIRSQHIEVVNGDRTFSIQTEGTMELLESILASKSEEYADPSLRHFFMMNNWKYLEVTNRPRNMDAVFGDDWLQKIRAKVQQNIELYQRNSWDKVLEFLKLDINDSMEVNFVVDLIKEKISLFNKHFTETCRVQCTWSIHYYKLRKEMIESLKNTLLPAYGIFIGRFQDFLKTDAYEYIEYGMFDIHDILDNLFLGNKKDK >RHN44983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10448979:10449340:-1 gene:gene39173 transcript:rna39173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L16 MVIRGCKPDGTKLGFGRYGTQSCRAGRLSYRATEAARRAIIGHFHRAMSGQFRKNGKIWVRVFADIPITGSESKKRMIDFTICVILFVGDALFIEKLAR >RHN58454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1857848:1858525:1 gene:gene20371 transcript:rna20371 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVGFEHGEMVMSNGKSRFELRSRGGGLMSGGYKVEQGWSSHVGLRDNFLILYLLSLLYNLR >RHN68483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35904669:35907620:-1 gene:gene16845 transcript:rna16845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, leucine-rich repeat domain, L MRAKKLYQGTAVMDALSRWRNVLNQAANLSGWDANNFRSEADLVKKIVKEVLTKLDSTHLSITEFPVGLESRVEELIEFIDDQSNKVCMIGIWGMGGSGKTTTAKAIYNQINRKFADRSFIENIREICEKDNTGIIRLQEQLLSDVLKIKVKKIHSITSGTTMIEKRLRGKTVLVILDDVSKFEQIKALCGNRKWFGTGSVLIVTTRDVHLLKLLKVAHVCTMKEMDEDESLELFSWHAFREPSPTKYFTELSRNVVAYCGGLPLALEILGSYLYGRTKREWTSVLSKLERIPNDQVQEKLRISYDGLKDDMEKDIFLDICFFFIGKDRAYVTKILNGRGLYADIGITVLVERSLVKIEKNNKLGMHDLLRDMGREIVRQSSVKNPGKRSRLWFHEDVHDVLTKNMVTKTVEGLAFKLQRTDRVCFSTNSFKEMKKLRLLQLDCVNLIGDYDCFSNQLRWVKWQGFTFNNIPDDFYQGNLVAMDLKHSNIRQVWIETTLMEKLKFLNLSHSKFLKNSPDFSKL >RHN58500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2283606:2295572:-1 gene:gene20419 transcript:rna20419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 43kDa postsynaptic protein MAPKSGKGKTNKAKTEKKKKEEKAVAPSLVDIIVVTPYDSQIVLKGISTDKILDVRKLLAVKVETCHFTNYSLSHEVKGQRLNDRVEVVTLKPCLLRMVEEDYIEESQATTHVRRLLDIIACTTKFGKPKRNIPGPDSSKPKKNGKAHNQNKNGLSPPATPNGETRVGSPTSEPASPISENVGMVAIHPTPKLSDFYEFFSFSNLTPPILHLKKCELKEEDDRGKGGYFQLQVKISNGKVIEVVASEKGFYSVGKLSLQSHTLVDLLQQLSRGFANAYGSLMKAFAERNKFGNLPYGLRSNTWLVAPSVGESLSNFPPLPAEDENWGGNGGGQGRNGEYERRPWATDFEILASLPSKTEEERVIRDRKAFLLHNQFVDTSIFKAVAAIQDVMESKSSMNSSPGSVMHQDQVGDLSIVVERGGNGKFDSTLNESSKQSDDVQKNLIKGLSADESVTVNDTSSLAVVVVHHCGYTATVKAIGKANTRKPKVQDIEIDDQPEGGANALNINSLRALLHKSGVDSSEGTLTSLSNFDDLDASKYLVRKVVEESIEKIKEEPSVSKRSIRWELGSSWMQHLQKQENSTDGSSNNNKDGSDVEPAVKGLGKQFKLLKKREKKPSDLNGADSVEQNNDEPNNDEPSSLNELETLLSPEAFLRLKESGSGLHLKSVDELINMAHKFYDEVALPKLVTDFGSLELSPVDGRTLTDFMHLRGLKMGSLGEVVKLSENLPHIQSLCIHEMITRAFKHLFKAVIASVDNAADLPSVIALTLNFLLGGCQTEDTDQTLGDDHHLKIHWLRMFLSKRFGWTLKDEFQHLRKLSILRGLCHKVGLELFPRDYDMESPKPFGKFDIISLVPVCKHVGCSSIDGRNLLESSKIALDKGKLEDAVSYGTKALAKMMTVCGPYHRNTASAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLKILQAKLGAEDLRTQDAAAWLEYFESKAIEQQEAAKNGTPKTDTSIASKGHLSVSDLLDFISPDNDSKGNDAQRKQRRPKILPISDNNSQEHDDTPIVDDIVIVDNAKDAAKAVEGKIEEPKAKHGTEEPKKIVDLSMHKPVVTVEAVYETSSDEGWQEANSKGRSGNAANRKSGRRQRPVLSKLTVKGSDNHMYKEASYRNDTTLHQKAAPKVASAMLSPSRKSKTPKALSSKISSTPASLSSLASKSISYKEVAAAPPGTVLKPLLEKTETEKVNDENEMPKNEGSVETSNADTVPQKDEKEPSDADTDPQQDESEQDNSSSELEKVSPSSDQAKSSETNGSKLSAAAKPFSPGTLSASRHLNPVPPASIYDANVSPGILVEPVLPPAAARVPCGPRSPLYYRTNYTFRMKHSSTKIREISGSGGPKIMNPHAPEFVPRSASQIETSEKNSTSKNSLSESEKSEIARQILLSFLVKSVHQNADAVDEPKVSEGKVESFENSSDEVAKDSAVIKIMYGTEEKNKTVVNSSDDSEEQDNLDGEGFVVVTNRRKSRQKTTNGVAELYNQPSICASVR >RHN48992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51625156:51626754:-1 gene:gene43770 transcript:rna43770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein dehydration-induced 19 MPTSKTTCDPFLSFLCGATASGEHENVQLDSSSEASIEEIHSHDTVLERDVPPSLSHKDQVEKARRSEFVQGLLLSTILDPDL >RHN42485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38762420:38763445:-1 gene:gene48932 transcript:rna48932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MDLNAKSPSSQWEWDHLPLPDTKATENKKLQPPNWSIKLDRENNVWLFDTPTGSGYSGSELIPGSTSGSSKSDSISSSPTRDISKTCKFAFESSHDDSNGKIELPKEGPIEASNAPMLSCVSSEPLLNLKLGKRMKFEDVSSLVFGRKCKSNDQNLQCPPLCQVEGCGLDLSSAKHYYRKRRVCVDHSKSPMVVIDGLERRFCQQCSRFHDLFEFDGKKKSCRRRLSQHNARRRNHSRKTAQSSQSALSSSPCGC >RHN58457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1875748:1879837:1 gene:gene20374 transcript:rna20374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamoyl-CoA reductase MEASGGVNNNKKVCVTGAGGFVASWLVKLLLSKGYFVHGTVREPGSPKYEHLLKLEKASENLTLFKADILDYESVYSAIVGCSAVFHVASPVPSTVVPNPEVEVIEPAVKGTANVLEACLKANVERVVFVSSAAAVAINPNLPKDKAIDESCWSDKDYCKNTQNWYCYAKTEAEEQAFNFAKRTGLNVVTICPTLVLGPILQSTTNSSSLALIKILKEGHDSLENKLRWIVDVRDVVNAILLAYENHKADGRYICTSHTINTRDLVERLKSIYPNYKYPTNYIEMDDYKMLSSEKLQSLGWKFRPLEETLIDSVESYKEAGLLQSA >RHN63316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50335626:50335844:-1 gene:gene26002 transcript:rna26002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MRKVQEELEIVVGKDNLVEESHIQKLPYLQAVMKETLRLHPTLPLLVPHCPSETTNIGGYTIPEGSRVFINV >RHN44485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5255931:5257959:1 gene:gene38609 transcript:rna38609 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMCWGLISVDTCLTPQIGAPVFSSSHRHTSYTTSNNGTCSNFSK >RHN38767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1877879:1880382:-1 gene:gene44733 transcript:rna44733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MKKIECCWSGRVHIAVVPGVGYGHLFPILQFSKLLVQLHPYFHVTCFIPSIESLPTDSKTIIQTLPSNINCTFLPSVSSKDLPQGIALVLQIQLTVIHSLPSIHQALKSLTLRTPFVALVVDSLAIDALDFAKEFNMLSYVYFPSSVTSLSSYFYLLKLNKETSCQYKDLLEPIQIPGCVPIHGQDLVDQAQDRSSQSYKFLLERVEKFRLFDGILINSFLEIEKGPIEALTEDRSGNPDVYAVGPIIQTPTKSGDDDNGLKCLAWLDKQQTCSVLYVSFGSGGTLSQEQINELALGLELSNHKFLWVVRSPSNTANAAYLSASDVDPLQFLPSGFLERKKEQGMVIPSWAPQIQILRHSSVGGFLTHCGWNSTLESVLHGVPLITWPLFAEQRTNAVLLSEGLKVGLRPKINQNGIVEKVQIAELIKCLMEGEEGGKLRKNMKELKESANSAHKDDGSFTKTLSQLALKWRNLV >RHN67763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30059252:30064047:1 gene:gene15990 transcript:rna15990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MEFPLQDPQSPKATIMSHVLVVPYPSRGHINPMMNLSKLLVSNNPNILVTFVVTQEWLTLIDSEPKPDNIRVESIPNVVGDKFMDVVEAVMTEMEAPFERLIDRLVRPPVTFIICDCFLFWAIRVGNRRNIPVAAFWTTSTSELWVQFFHIFLQRKNLENGEYYIDYIPSNSWVRLADIPLLDKNNHQILQWALKSCQWLLKAQYLLLPSIYELEPQVIDALKSKLTIPIYTIGPNIPYFNLGHNLNSLNATNNGAAQSYIDWLNLQPNGSVLYISYGSYLSVSRTQMDDIAAALHDSGVRFLWVTRDETHRLKHMCGKMGFVVPWCDQLTVLSHPSIGGYWTHCGWNSVIEGVFSGVPFLTFPLAMDQPLISKIIVEDWKIGWRVKKDDKLDTLVTKEEIVLLIRKFMDLDFDLGRDLRKGAKEFQLLCQLAIKEGGSSATNVKAFLKNIVESGLTHEASNGIHKVQAAIENFN >RHN48259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46135989:46136527:1 gene:gene42957 transcript:rna42957 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSMMVVKLLESTNTSPEDKCTMQLQRSSIDQDMHQLRKLLQVASSKLNPPNC >RHN72710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10061687:10068666:1 gene:gene8459 transcript:rna8459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, GRAM domain-containing protein MKLVVRVIEAMNLPPTDPNGLSDPYVRLQLGKQRFRTKVIKKSLNPKWDEEFSFKVDDLKEELVVSVMDEDKFLIDDFVGQLKVPMSLVFDEEIKSLGTAWYSLQPKSKKTKYKEPGEIRLSVYFELKTASIESNVHGDLVFHPRKFADSIPESPSRSSTGYSSSSSPAREEVTSVKDEKSGTQKSLTGRIAHIFNKSSDTSSTLSRRSVDSDQTEISKEEVIEVKTEDQSSDMTFDEAMKKLQSSDQGSEIPTNLPGGLLVDQYYTIAPEDLNTLLFSSESNFLRSLADVQVSTELQLGPWKFENGGESLKRLVSYVKAPSKLIKAVKAFEEQTYLKADGKNFAVLVSVSTPDVVYGSTFRVEILYTITPGPELPSGEQCSHLVISWRMNFLQSTMMKGMIENGARQGVKDSFEQYANLLAQDVKPVDPTELSSNKEQALASLQAEPQSDWKLAVQYFANFTVVSTVFIGLYVLVHIWLAAPSTIQGLEFAGLDLPDSIGEFVVCAVLVLQGERMLGFISRFIKARAQKGSDHGIKAQGDGWLLTVALIEGNNLASVDSGGYSDPYVVFTCNGKVRTSSIKFQKSNPLWNEIFEFDAMDDPPSVMDVEVYDFDGPFDATTCLGHAEINFLKVNISDLADIWVPLEGKLASACQSKLHLRIFLDNTRGGNVAKDYLNKMEKEVGKKINMRSPQTNSAFQKLFALPPEEFLINDFTCHLKRKMPLQGRLFLSPRIIGFHANLFGKKTKFFFLWEDIEEIQVVPPTFSSMGSPIVVITLRPGRGVDARHGAKTQDEQGRLKFHFQSFVSFSVAHRTIMALWKARSLTPEQKMKFVEQESETKTLISEDSCPFLVVDDVSMSEIYSCSLPIPASFLMEIFSGGEVDRRVMENSGCLNYSYTPWVSENSDISERAVYYKFEKHISSYKGEVTSTQQRSPLLDGKGWVVEEVLNLHGVPLGDYFNIHIRYHIEDLPPKAKGCRVQVFFGVEWLKSTKNQKRITKNILQNLQERLKVTFSLAEKELLPR >RHN56805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33866491:33869187:-1 gene:gene32259 transcript:rna32259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIKSYSTFYMQREKVMAEIINFVYVTILFLFFFHVATISAESHECDIAADCPPHMYLYKATCVSRLCIYGLRWH >RHN79633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30771274:30776453:-1 gene:gene3450 transcript:rna3450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucomannan 4-beta-mannosyltransferase MYAFQGARNGLGLQHEFLWRQIREPLIVPLLRVAVFVCLGMSLMMLVERVYMGIVISYVKLFGRKPEKRYKWETIKDDVELGNSNYPMVLVQVPMYNEREVYQLSIGAACGLSWPSDRIIIQILDDSTDPTIKELVQVECRRWRSKGVNIKYEVRDNRNGYKAGALKEGMKHSYVKQCDYVAIFDADFQPEPDFLWRTIPFLVNNPDIGLVQARWKFVNADECLMTRMQEMSLNYHFTVEQEVGSSSYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLSDLQVKNELPSTLKAFRYQQHRWSCGPANLFRKMVMEIVTNKKVSLWKKIYVVYSFFFVRKIVAHINTFVFYCIVLPATVMVPEVVVPKWGAVYIPSIITLLNAVGTPRSFHLLVFWILFENTMSLHRTKATIIGLLEASRVNEWIVTEKLGDAFKGKASGKGLKKLRFRIGDRIHMLELVVGFYLLLCGCYDLMYGKNHFFIFLYIQAFAFFIMAFGYVGTFVPNS >RHN82132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51469596:51473105:1 gene:gene6258 transcript:rna6258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MALFPFLCYLFFIAAYHAKADDALCDNNIGNYTSNSTYDNNLKTLLSSLSSHTEINYGFYNFSYGEEPNKAYVIGVCRGDIEPNQCRTNLNNSIGYLREQCPNQKEAIVWGGDFTLWYSNRSIFGLLETSPTLYLYLQRNTSYVDQYMQAVANLMRNLTAKAALGDSRRKYEADSVFETTSFETIYGYVLCMPDLSSQQCTDCLNAAISEIPNCCNGKMGGNVLKPSCRLRYDPYRFFNSTIVLDSPPSTNNTSSGQSKTGTVIAVVVPVVTVVLVLILICIYLRLRKRKQFSKANNGAYEDGDEDEDEITIVESLQFNFDTIRVATSDFSDSNKLGQGGFGVVYRGKLPNGQMIAVKRLSKDSDQGDVEFKNEVLLVAKLQHRNLVRLLGFSLEGREKLLIYEYVTNKSLDYFIFNPARRAQLNWEKRYDIIKCIVRGLIYLHEDSRLRIIHRDLKASNILLDDGMNPKISDFGLARLFVIDQTQGNTSKIVGTYGYMAPEYAMHGQFSVKSDVFSFGVLVLEILSGHKNSTNIGQGNDVEYLLSYAWKCWREGKAHNIIDPALNNISANEIMRCIHIALLCVQENVVDRPTMAAVALMLNSYSLTLSIPSKPAYFYGSGTRSLHDMELWAGNIDSTVSGESINQASNTDPYPR >RHN72610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9131044:9137416:-1 gene:gene8352 transcript:rna8352 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRSSTRYGPATVFTGPVRKWKKKWVHVLPSSSSSNSNNNNANQNHANGSNGNNGSHLLLFKWTPITQSQNNANGNGNGSVKDAAVEPVEEPPRRKFKYVPVAVLEEQKNEATEDEVAEKVEDESNPIEADSSAAEPTSKNETLDEKPDINDVPMEESEPQDKNQVVRQDLNESLDLSLGLTSHDEDQDSDSKTNQPTDGQ >RHN44508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5537652:5539412:-1 gene:gene38636 transcript:rna38636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative naringenin-chalcone synthase MLCSATLQYAHYSLHIKTISSQTFLHHNTLQPFSFLQQALNLIVFKFQYYILFLFDTKDIKMVSVSEIRKAQRAEGPATILAIGTANPANCVEQSTYPDFYFKITNSEHKTELKEKFQRMCDKSMIKRRYMYLTEEILKENPSVCEYMAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIVCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPVPEIEKPIFEMVWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPGIVSKNITKALVEAFEPLGISDYNSIFWIAHPGGPAILDQVEQKLALKPEKMNATREVLSEYGNMSSACVLFILDEMRKKSTIDGLKTTGEGLEWGVLFGFGPGLTIETVVLRSVAI >RHN42559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39318976:39323177:1 gene:gene49012 transcript:rna49012 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative magnesium transporter NIPA MGISTDNVTGLVLAVSSSIFIGTSFIVKKMGLKKSSTRGRSAASGGHAYLYEPWWWIGMISMIVGEIANFAAYAFAPAILVTPLGALSIIVSAVLAHFILEEKLHIFGMLGCALCMVGSTTIVLHAPHERIIHSVREVWHLATEPGFIVYTCVVVVLVFVLIFYCVPRYGERHLVVYVGICSLTGSLTVMGVKAVGIAIKLTIEETNQFTYFQTWFFTLFVIGCCILQINYLNKALDTFNTAVVSPVYYVMFTSLTIFASIIMFKDWDSQNASQIATELCGFVTILSGTFLLHKTKDMGNKPPEQSPASSTPDQANTDNNPST >RHN64980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63430672:63436245:-1 gene:gene27867 transcript:rna27867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydro-lyase MAFDLFLHKGAFIWGCSSKPHSQLDSHSGGLTDNLRYQLVHKCTILSVLAQKAAIPVEDEKPLVHSPPDDNALLHIHNKGFHKDINMLPKPLTSIDVSSSASDGSKVRVAYQGLPGAYSEDAALKAYPKCETVPCDEFEAAFKAVELWLVDKAVLPIENSIDGSIHRNYDLLLRHRLHIVGEVQLRVNHCLLGLPGVAKEELKSVVSHPQALAQCEMVLNELGVDKIGAHDTAAAAKTLAINCLRHNGAIASSRAAKIYGLDILAEGIQDDDANVTRFLVLAREPIIPGTDRPYKTSIVFSIEEGPGVLFKALSVFSMRNINLAKIESRPLKQRPLRVVDDSNEGSAKYFDYLFYIDFEASMAEPRAQNALGQLQEIARFLRVLGCYPMDTHV >RHN61631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36986767:36989075:1 gene:gene24115 transcript:rna24115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MAFFLCFFFFILASSATACDRCLHQSKASYFSKASALSSGACGYGSLALDFSGGHLAAGVSSLFYNGAGCGACFQVRCKNQAICTKEGTKVVLTDLNHNNQTDFVLSSRAFTAMAQKGMSQQILKLGIVDIEYKRVPCEYKKQNLAVRVEESSKKPDYLAIKFLYQGGQTEIVGVDVAQVGSSNWSFLSRNHGAVWDTSRVPQGALQFRIVVTSGYDGKWLWAKKVLPADWKNGVIYDSDIQITEIAQEGCSPCNDETWS >RHN45088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11631162:11632447:1 gene:gene39291 transcript:rna39291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSSQHSIPTVDRVSVLPDSVICHILSFLPTKESAATSILSKRWNPLWLSVLTLDFDDQNFTEFSTFRHFVYSVIALRNITLQTIQSFRLKCGNSSGFNPHDVKRFIHAIFQRGIQNLNLEMSPFKLGFKLPHCVFSCSNLTALKLKGLAIDYSCDFNFPLLKTLHLYTISFGRDRDCFLRLLKGCPILEDLETKDLLLHSSNSTGMYYFIQKYELSTFLNLTHMKIVFELTHNWPGKWKWLTKVLQHCPKLQNLTIHEGSSDRNKIEDVDWMDTPIVPECFSSQLKTCSLIGYKGMNCDFQFAKYILKNAKVLQTMTINASPVDINIKHQILIKLTLCPRGSTTCKISFD >RHN65603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3692231:3697995:-1 gene:gene13458 transcript:rna13458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase domain, domain X MAKLTTTSNFLKSLHSFSFLRFTSPFSFPGKHHSQHTLATELASLIEEPSSSPTPKPETRMQLKRFLELRIKKRVKSQLNNNGKFHNLVQTVVSNPQTLTDTYNIIKINSNITVSAKCGEDNAKDNGDSYYYFIDDVAKCLNEGSFDVNTNTYSISTRKKRKKSNSNEKEEMLTLVLPNLKLRVVQEALRIVLEVIFKPNFSRISHGCRSGRGRVAALKYICKGVVSPDWWFTLLVEKEFDGALMEKLVCVMEDKIEDEFFFDLIRSMFDARVLNLEFGGFCKGEGLPQEGVLSPILMNIYLDLFDSEFRRLEMKYEGVHGDGEGVGGDKPSSALRGWFRRQLDSNVVESSGVKVYCIRFMDEIFFAISGSRDCAVSFKSEIESYLKEYLMLDVGDRTDVLPCVGTGSGVRFLGTLVKRNVEESHAVKAVHKMKDKVELFALQKLEAWNYRTARIGKKWLGHGLKKVKESEIKHLADSSSLLSKVSHFRKPGMETDHWYKHLLKIWMQDVGVKNAKSEENVLSKFVGEPALPQELTDSFYEFMKQTEKYISTEADSILKLLPNNNSSTEQVVAKTEIIAPIYAIKKRLERYGLTTSEGFARSANLLVMHDTGEIIDWFSGIACRWLKWYENCANFNEIKVLISDQIRKSCIRTLATKFRIHETEIEKRFDEELSRLPPTQDTEKEAMNEESAVQVFDNDEALMYGITYSGLCLLSLARIVTEARPCNCFVIGCSAPAPRVYTLHVMERQKSPSWKTGFSTCIHPSLNKRRLGLCKQHLKDLYLGHISLQSVDFGAWK >RHN42084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35300577:35300909:-1 gene:gene48479 transcript:rna48479 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQLRFSPACRSARCLTNRADKPSILICNKSAGDDHRCSNTALIHKRVWYQSLSSRWRRLGRQRVGKSSPW >RHN73568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17741374:17742005:1 gene:gene9393 transcript:rna9393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MDLFRKCMEQVEKCLRDAKMDKKSVHDVVLVGGSTRIPKVQKLCRISLTERSYAIALIPMRLLHMVLQFVLHT >RHN50656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8886722:8887249:1 gene:gene34966 transcript:rna34966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MIHFPSITIVFLLCVTFSYATKIVDVEIICKEAEDPSFCSTFLKSRPPGISGDLVSLDKYSIEYVHANITYTVDLIKKLNAQSRDINEEDYYRRCLTHFDWVVYYIVEIEEKTKRGDYTDVHEKADFITIDINNCIYGDSPSEPVFHDTSSLPKYLDVIKKIANIISNKNGKPRF >RHN63107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48509320:48512656:-1 gene:gene25766 transcript:rna25766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Znf-LSD family MPVPLAPYPTPPPAPAPAPAPYTTPPTNGAQSQLVCSGCRNLLMYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASASTTEPQKFST >RHN79040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21801263:21801814:-1 gene:gene2732 transcript:rna2732 gene_biotype:protein_coding transcript_biotype:protein_coding MCKYNNIVNWDDSAAKEAFDNAKSRFWADINGLPCNIPFPDPDMYIDDIDWNSSVDPELLLDLDNVTTFPSQEESNKGVVIFGDAPFLDQSIPCSGWDEPEKDALKPAEFVSGAQVNLHENKNVTPREQDDVPYYAAKESKRQKYGNDSLGWNHRQHSHIGGSWNKRKLYGDRTKEECMARGK >RHN77370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6403941:6408261:1 gene:gene817 transcript:rna817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MEGTQNCVGSTKSLEELIYWTHFQFIHFTLFLSITDFHHQLALPKTFSDNLKKKLPENVTIKCPSGIVWNIGLTARGDTVYFTNSWQQFVKDHSLKENDFLVFKYNGESHFEVLIFNGNSFCEKAASYFVQKCGQAHSPSSGGVEDIYWSYFKFTHFTLLVHTNFERHLALPKTFSDNLNKKLPENVTLKGPSGVTWNIRLTTRDGFVYFVDGWQQFMNDHSLKANDFLVCKYNGESHFEVLIFDGESFCEKEASYFVEKCGHAQTAQGGSNASETNNSIEEVDTDSNGGDSPEQFTDDAVPKTTAIQSPFIPTGKRTKRRRRSPKAAANWGARAHAWVTCNKQHPEAASPHRSGKVDDHCILSGASLSKSTALIEEKIAQSFSSSFPYFVKIIKAFNVSGSRTINMPYQFSTAHLPNSDTPIFLQNLKGEHWLVNSVTKTKIHTSHSLCGGWMDFVRGNSIKVGDVCIFELIQECEFRVRIIAEVGKDGLVLPR >RHN62540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44225459:44226684:1 gene:gene25131 transcript:rna25131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MFYFSLQLINIRMSLYDLQEHHTFWHRGKGYHSENMLGQGGFGPVYKLKDFQGMEEFLNEVEVISKLQHRNLVRLLGCCIEVEEKILVDEYMPKKKLVFLSLRRYISPEYAMQGIVSEQCDVFSFGVLLLEIVFGRRNTSLFEDTESLTLIGSAWRLWNSDNITSLVDPQMYDPRFYKDIFRCLAVHMDFCVYKNIFAKR >RHN45670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24289551:24291577:-1 gene:gene40053 transcript:rna40053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (-)-germacrene D synthase MAKTLKFVYVIVLFLSLFLVAKNIDGGRVFYNSIKAKHCLRQTLHKNIPRLEAPSYISRYEQDPSHNENLLILAQLDFNMLQSLHQEEFGNFSNWWKELDVRSKLPYTRDMIVYYKPQYSMARKVMTKLFVIITVINDTYHAFGRIDELELFTKAIERSINTSHKDMCIIYIHFFSNLIESNF >RHN40363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15620544:15621261:1 gene:gene46510 transcript:rna46510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin activation peptide MIIILLFCLCIANKNYEVYGSIPYLSKNEDLQLEKHLEIINKPPIKTIHTEFGRIVDCIDINKQLAFDHPLLKNHKIQLKSSFQDAHTNVKTHDISGRYLKIGLDKDSCPEGTIPVQRTTKEDLIRSKRLSNNFGTLTQFDTGSHVGSLMSIGTQPH >RHN72979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12318572:12320250:-1 gene:gene8748 transcript:rna8748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MARKCEGLAVGIDLGTTYSCVAVWQEQHSRVEIIHNDQGNRTTPSFVAFTENQRLIGDAAKNQAASNPQNTVFDAKRLIGRKFSDQVVQDDVMLWPFKVAAGVNDKPMIIVKYKGREKQLCPEEVSSMVLTKMREIAEAYLQFPVKNAVVTVPAYFNDSQRKATIDAGVIAGLNVMRVMNEPMAAAVAYGLDKRTDCVGERNIFVFDLGGGTFDVSLLTIKGNVFQVKATAGNTHLGGEDFDNRMVNYLAQEFNRKKKVDMSGNPKALRRLRTACERAKRSLSFLVVATIEVDSLFEGIDFSSSINRAKFEEMNMDLLIECMKIVESCLSDAKMDKSRVDEVVLIGGSSRIPKVQQLLQDFFKGKELCKSINPERRLLMRQLFRKGRITILKTRNS >RHN80753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40654095:40657022:1 gene:gene4718 transcript:rna4718 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YC1 MDHQGHGQNPNMGVVGSGQMAPYGSNPYQPNPMTGSPGMVVPSVGTIQPGGQPDGTQLGQHQLAYQHIHQQQQQQQQQQLQTFWGNQYQEIEKVTDFKNHSLPLARIKKIMKADEDVKMISAEAPVVFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITGTDIFDFLVDIVPREDLKDEVLASIPRGPMPVGGPADGIPYCYMPPQNAQQAGNPGLMMHNPNMYAPQSHPYMAPQMWPQPPEQQQSPSDH >RHN81156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43709700:43714295:-1 gene:gene5160 transcript:rna5160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MLLSRLFWSICCIVLFLLFTSNFLNKSASALENNTDYSALLKFKESISSDPFGVLTSWNSSTHFCMWHGVTCGHRHQRVIKIKLVGYKLQGSISPHVGNLSFLRILYLDDNSFQANVPRELGRLFRLQAISLANNTLEGQFPISLTNCSQLRKINLYENHLIGQIPMEIHSLAKLEFFKVARNNLTGRIPPSIWNLSSLTILSFSANYLEGNIPEEVGLLKNLTKMSASRNKLSGKLPLSLYNISSLAYLHIGGNQFNGSLPTNMFTTLPNLRHFWVGSNRFSGLIPTSINNASRIQMFDIGLNNFEGQIPNLGKLQDLSVLAVAENNLGSNSSSSGDDWEFIKSLVNCSQLYIVIVESNNFGGALPKIIGNLSTHLSTLAMAGNQISGKIPTELGNLVNLIFLSLANNLLTDVIPESFAKFQNLQVLSLHINRLSGEIPATFLVNLSHLSQLDLANNLFIGKIPSTIGNCKQLQIVDFSMNNLSGTIPTQLLSLSYLSLLLNLSHNSLSGNLPPEVGKLQTIGTLDISENHLSGGIPENIGDCLSLEYLFLEGNSFDGIIPSSLALLKGLLQLDLSRNNLSGSIPQELQKNSVLELFNASFNKLEGEVPMLGVFQNASRVSLTGNNRLCGGVAKLNLQLCPPKNVKKRKHHIRRKLIIIFSIAFLLLVSFVATIIIYQIMRKRQRKASTDSTIEQLPKVSYQELHHATDGFSVQNLIGTGGTGFVYKGRLNSEERVVAVKVLNLQKKGAHKSFLAECNAFRNIRHRNLVKIITCCSSVDHKGDDFKAIVYEYMTNGSLEEWLHQNAEHQRTLKFEKRLEIVNGIASALHYLHNECEKPIVHCDLKPSNVLLDDDMVAHVSDFGLARLVSTIDGKSNNQTSSMGIKGTIGYTPPEYGMDTQLSTEGDMYSFGILLLEMMTGRRPTDEMFKDGYNLHNYVKIAFPNNILEIVDATLFSEENDLLAVTTEVASDLNRNVERFLSSLFKRIILFSGVSKRKNQYQGCHRGTQYNFKSFG >RHN79527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29889316:29890568:1 gene:gene3329 transcript:rna3329 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFFSDWRMVAGGVPARWPASNRGCSAAPELKVLLFLFFFRFLFYSSFYAYF >RHN78917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19992948:19994768:1 gene:gene2585 transcript:rna2585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MVVCVQLTVEVSTFKDMETLAVNIKDSFPSLLVLASHNDSEGFKLLLEKEGAASINEAGIWYGRQTGSTEIVLEHKTPLMVAATYGSIDVMKVILLYPDVDVNFTCVANKSTALHYAASGGSANVVDAVRILISAGANVSCVNSNGNRPVDLIVVPPNMQSLKVILEKLLLDNASDNISVGDFSISASVDSSSSGFLENGMSLPYPPSVSPPSPVVDSKFTENKQYPVDPSFPGIKNSMYASDEFRMFSFKVLRCSRSQSHDWTECPYVHPGEKARRRDLRRFSYSCMACPEFRKGACRHGDKCEYAHGIFECWLHPDQYRTRLCKDRPRCNKKVCFFAHDEKELRPLPSLTGSGVPSPRSSASAFNAMELAAAMNLLVGSPPSVSSFHPSNFSQPMPPSGNGISFQSAAWAQQNVLGSNFQSNRLGSSLRACNTLPEDFNVLSYLDGQQNLMKDLSCFSQPGPSSCRSKALTPSNLEDLFSAEILSSPRYSDPAMASVFSPSHKSAATNELKQLQHMLSPSNINMLSPKNDEHRLLQASFSVPSCGSMSPRRLEQISPLSPQMSALPHREKQQQQLQSVSSRELGYKIPSSVVGSPTDPWSYLGR >RHN81476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46252943:46253764:-1 gene:gene5525 transcript:rna5525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSNLADEVMISPKKRARHDNEEKNQDRLSDLPDCLILHILSFLNSKHTVQTCILSKRWKLMWKRIPTLILDSSNFPTVKHFSIFVSKILTLRDTSTALHALDLHRHGSIEPQLLKKVLDCVYSHNTHLQQLGISLHGETCLILRGISSCCALTSLKLSLYSRGSYNFDTTLFPKSLNLPALTSLDLTNFAFCGDENGRAEPFLTFTRLNSLVISSCTVKDAQILRISSETLVNLAMHNISSSFTKIELSAPSLCAFTINGSLDQKICGSGLFC >RHN49908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2203377:2206080:-1 gene:gene34139 transcript:rna34139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative matrilysin MALNLAKLSISFLLLLLLENPFSIVESRTIKPSKDSFTQSLQNLKGVQKGQTQKGIGELKNYLKRFGYLNVQHDATPSTTLNDHFDENLEFALKDFQTYHHLHVTGRVDTTTIKILSLPRCGVPDLPKHSHKQNGLEMSSSYAFFQDSPKWSDTKRNLKYMYKSSVDVLSMDVVRKVCENAFLSWSEVSDFTFTEVGDEGSADIKIGFHRGNHGDVYPFDGPGNVLAHTFPPEDGRLHFDGDENWTNNPTSLEDNFGLHAGLLGKESNPFQRPTATTKQFDLETVALHEMGHLLGLAHSTDQNSAMYPYWAGVRRNLNQDDVDGITALYVLHR >RHN75469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42515910:42516527:1 gene:gene11685 transcript:rna11685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin MSFIASKMLTLIISAFAIVQITLASDPDILTDFIAPTGTQVDGNFFTFTGFRALLPPNIQPSTFKALKASKAEFPALDGQSVAYAALIYPPGTINPPHTHPRSAELLFLAKGSLNVGFVDTTNKLFTQTLQPGDMFVFPKGLVHFQFNADTSKPALAFSAFGSANAGTISIASTLFNSTIDDNVLALAFKTDVATVQTLKKGFSS >RHN56410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30677578:30678840:-1 gene:gene31807 transcript:rna31807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PC-Esterase MLSEMRKDGHPSIYSGELSSQQRAYPDLSADCSHWCLPGLPDTWN >RHN64734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61390017:61394359:-1 gene:gene27584 transcript:rna27584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative replication factor A protein MHANQFDGNAAFAGGGFMPSQTNQGGESSLTPSKNRESQTLLPLTIKQINHALQSSDDRTGLTIDGVGVNTVTLVGRVCNKSGQITEFKFVLDDGTGTIECTKWLHEPADAMAVESILNGMYVRLYGQLKGFQGKTLSIFSLRPVTDFNEIASHFIECIYVHLYNSRLQYTQASIPSQQHAPNSIQITPTKGYQAQAIPPNQFSGQHNNGQKSVQELVLEYLALPTIRELEGGVFCGTIAKQLGVSLDNDVIPALDLLSGEGVIYEGLPKHYKICSDG >RHN41239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28528853:28532329:1 gene:gene47539 transcript:rna47539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MHHLNLSSMHILLSACPVLENLELFFSPESLDIIRVPSSLKRLKITVDNNVGAWLEIDAPGLKYLSLECITFRDAAAVGNLHSVEEAYLDVFPTPKSESVEPLLNLLRALSGIKHLELHNSTTKWLFAAPILNFPEFHYLLHLKLEYPPFNSTFLFDVLQKCPMLETLIAFMFDIDMVDRSYDSLPSYRWEAKPKSVPKCLISHLTFIHFYGVPENELEFIGYVLQHGLVLKTMIIDEYWRDQPDRWMKKISDLPRGSAMCQVKFL >RHN46681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34007155:34007884:1 gene:gene41200 transcript:rna41200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MASSMLFKVTCLAVICLVFGIPLANADLSCGQVLSTLYPCLGYIRNPGASVPAPCCNGIRIVNDEAKNTSDRQSVCRCLKSTIVLPGINLDALANLPTNCGVNLPYKITPDIDCNKIPY >RHN57044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36023008:36024068:-1 gene:gene32533 transcript:rna32533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MLFFRTIFIVFLQLLRSSSFVLSSLPQNNSSPNPYLTSTTLFLQNYQKMAQNFKVFMYQPNTNTNITQFSFKTEQESLFYSSLQNSSYLTQHPEQAHLFFLPFSSDTSTRSLARFISRIRNDFPYWNRSLGADHFYLSCDGISHVNDRNIVELKKNAIQIACFPTRHRSFIPHKDITLPPITNPHAPVRLSNEEFCVVEYQNDDVLWLGEALRLGCVPVVVTEEAVNDMPFMEVLRWREMAVFVKSGVNIETDTWRERKGNMRRLGVVGSKHLRWNRPAQPFDAFNTIMYQLWLRRHTIRYESVQSS >RHN66395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11795383:11796019:-1 gene:gene14365 transcript:rna14365 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIEFWVCGVFLSCLYGDVKPYMELRKIAGGFENSIVSMLNLKISEKLVQKTPCFCEIEEINNFVTRLVAGDEIRLDEDKELQRKLSELEKLFDYLSKEVDHLFDDVMTQRTELVGGFRHQK >RHN50087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3936237:3941007:-1 gene:gene34340 transcript:rna34340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GOSR2/Membrin/Bos1 MSNVFEGYERQYCELSANLSKKCTAATALNGEQKKQKVSEVKSGIDEAEALIRKMDLEARSMQPNIKGVLLAKLREYKSDLNNLKSEVKKIVSGNLNPSARDELLESGMADTMTASADQRTRLMTSTERLNKTGERVKDSRRTMLETEELGVSILQDLHSQRQSLLHAHNTLHGVDDNIGKSKKIMTNMSRRMNKNKWIIGCIVLVLVVAIIAILYFKLVK >RHN52166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32277586:32279380:1 gene:gene36800 transcript:rna36800 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKPYYLDMMLVPLGFVMTIGYHVWLWHKTRSEPFSTTIGINAHARRFWVPTMLKDIEKKNILVAQSLRNLIMGSTLMATTSILLSAGLAAIISSTYSVKKPLDDVLYGAHGEFMEALKYVTLLTIFLFSFFCHTLSIRFLNQLSLLICTPQDAISLVTPDYLNVILERGTTLNTVGNRIFYSALPLLLWIFGPVLVLLCSLAMLLVFYNLDIVCGSAKAKILLSDESNYV >RHN57871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42300686:42304087:1 gene:gene33461 transcript:rna33461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, V0 complex, subunit e1/e2 MGFVVTSLIFLLIGIIACLSTRICFNKGPSANLFHLTLVLTSTICCWMMWAIVYLAQMNPLIVPILSDGE >RHN47645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41233677:41234770:1 gene:gene42267 transcript:rna42267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MVFSKSCSSFLRLCLIIVSFIAFQCNADGGQTSTLVVNAAQGRPMPDTLFGVFYEEINHAGAGGLWSELVNNRGFEAGGKKMPSNFAPWTIVGTETTIHVETELSSCFERNKVALRMDVLCDNCPFDGVGISNPGYWGMVRITKKY >RHN39199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4882909:4888219:-1 gene:gene45203 transcript:rna45203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol oxygenase MTILIDQPDYFGSDVEAKTVVANETELVLDGGFVKPQANSFGHTFRDYAAESERQEGVENFYRKNHIYQSFDFVKKMREEYGKLNRVEMSIWECCELLNEVVDESDPDLDEPQIEHLLQTAEAIRKDYPNEDWLHLTGLIHDLGKVLLLPSFGGLPQWAVVGDTYPVGCRFDESIVHHKYFKENPDYNNSAYNTRYGIYSEKCGLNNVMMSWGHDDYMYLVAKENKTTLPSAAMFIIRYHSFYALHREGAYKHLMNDEDVENLKWLHIFNKYDLYSKSKVRVDVEKVKPYYISLIEKYFPAKLKW >RHN39580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8398778:8400537:-1 gene:gene45618 transcript:rna45618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGAIAAATATNGSSDALGDSNRIEITGKIMVLAIIFLFMVVMFVLMLHLYAKWFWWRFEERTVPQPRNRRRRRRFVFAPGQDPVVYGSHQIGLEAKILKSLPVLVFKNEDFKDGLECAVCLCDVVEGEKTRLLPKCNHGFHLDCIDMWFQSHSTCPLCRNLVSVESCKPNSTTSNGEEMNVLVSSEGENLSHVNGLESSNFPTNVLVWGNSQRQVSSSFGVSLEEGSSHQQPCSTSSSSSTLGMLKMK >RHN46491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32223421:32226023:1 gene:gene40982 transcript:rna40982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, leucine-rich repeat domain, L MDGIGKTALAKVLYDRISYQFDACCFIENVSKIYEDGGAVAVQKQILCRTIKEKNIDTYSPPNISQIMRNRLCKIKLLIVLDNVEQLEQLEELDIQPVFLHTGSRIITIARDKHILQAYGANDVFEAKLMNPEDARKLFCRKAFKSDYPSSGFAELISKVLKYANRLPLAVKVLGSFLFSRNANQWNSTLDKFEKNPPNKIMKVLNVSYEGLEQDEKEVFLHVACFFNGERRDYVSRILDACGLNPGINIPLLAEKSLITIINEEIYMHEMLHKLGKQIVREQHPDKPDLWSRMWLYRDFHDAMISNSEAVKAKAIIINQKDDVSKFNQLSTEGLSKMENLVVLILYHTNFSGRPTSFSDSLRYVLWNGYPFMSLPSEFQPYQLVELNMPDSSIEQLWIGIKNLPSLKRMDPKS >RHN65753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4988371:4989128:-1 gene:gene13638 transcript:rna13638 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGRRTSLKEEDHEESNGVLCCFGKMKIGGGLILAIRTLSATVALCVVSGLLYIYVVCSSLELNYDWNGWPERAFIAC >RHN75018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38805055:38806232:1 gene:gene11165 transcript:rna11165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MQGNIRITQTTVLYTCIIIVISSFLVMRRFKEKYFSNGDVYIGFVKGKRRHGKGKYTWSNGTIYEGDWVDGKRTGKGRIIYPSGASYEGEFFRNSRHGHGTLKKSDGGIYVGNFESDLFHGKGKYTWSHGTIYDGDWVDGRRTGKGQMIYLSGTSFEGQFFRNSRHGQGTLTISNGQVYIGNFKSNLFHGKGKYTWPDGEIYEGDWIDGRKTGKARIICPSGSTFEGEFSRNSRHGHGTLKKSNGDIYIGNFESDLFHGKGKYTWLDGTIYEGDWVEGRRIGKGRIIYPSGASLEGEFSRNSFHGHGTHTKSNGEVYIGNFKSDFYHGKGKYTWSDGTVYEGDWVDGGRTGKGRIIYPSGSIFKGEFSKNSRHE >RHN56740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33328784:33329116:1 gene:gene32181 transcript:rna32181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M41 MTIARFTPGFSGADLANLVNIAALRAAKDGAKAASTHDLEFAQEKIEGKSVVTSEELRKKIAYHESGHALVTIYTDGAYPVREATIDPRGVSLGMVSYLPPDKQSDQSFP >RHN48464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47654052:47659544:-1 gene:gene43183 transcript:rna43183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MGNISSTGAHNRRRHATASRRTHPPPPPPVTPQPEIAPHQFVYPGAAPYPNPPMHYPQYHYPGYYPPPIPMPHHHHPHPHPHPHMDPAWVSRYYPCGPVVNQPAPFVEHQKAVTIRNDVNIKKETIVISPDEENPGFFLVSFTFDAAVSGSITIFFFAKEDEGCILTPTKETHLAPVTVQFQQGLGQKFRQQAGTVKADASSDNHDGSNETETSSKPNSQITQAVFEKEKGEFRVKVVKQILSVNGMRYELQEIYGIGNSVESDVDDNEQGKECVICLSEPRDTIVHPCRHMCMCSGCAKVLRFQTNRCPICRQPVERLLEIKVGTEE >RHN60446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26866699:26867247:-1 gene:gene22758 transcript:rna22758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor OFP family MSFNKKRLMRRVFSTNIGSCGCAKKKAIEVHEPIQKTKISISQISKASSNTTLGERKGAGCSVDHSDDFSTTTFSEAETTQNYSPHKHSPLSNTVVVEKDSDNPYHDFKHSMLQMIFEDEIDSEDDLRVLLRCFLHLNDTCYHLVIVKVFNDICHEAFSDDKVCTTTSSAIKPSNIHVYENE >RHN76642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32633:34132:-1 gene:gene1 transcript:rna1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTNEEDEPKKTRLLANIIKDLGPDETTGHLNEEVAQISTTTRETRSRGRKFKSRVDESCKHVEKVVQNISTATKKIRSRGRKSKSCVDESCKHVEKVVPKVKEDPKANVASIKKANTVGGIVICEPNQSEAKGMKNFLINNNDEESNIDHKGKGNLIQTNELDSSSSSEEEDLAFASHSKLFPYDTLRFGEGASKFFQDGTDFRRQLNIGEGIKENALEDIQIPQNHVQENIAMPEVTDVLKDKLPNVGNANGLIGHSILFKATRGIGEEEVKFEKRISRKSKPQKKRDPKKRK >RHN52559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36620653:36628699:-1 gene:gene37251 transcript:rna37251 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMRCAPQSKGKDSPKVSEAGKNSKSTTNQEHNKVTTLDLAKHSEQGSSVSTINSDSRLAILTFLSFEPDGTWRILAVPVQCLNHVNLASGSISMDGLQLLFPPTTVNRPKIDQCKGPRGQVPPSAYSAKSYERRSFTGSNVRRRCQNKVANKACKLNELPVNSCAGSSVVNSSPSLSPQSSVAGISSDNCMSNTKEDKSLQKNSRRRARKKAKQMKKQSSESGSSERELRTEEYGCVSLASETCSSNDVDATAPDFSSSDDRLMKIDCERNEMNDKSNVVDALKCRDSCIDEAVMSKDESMNQLHDRETKDIQHVELCSFNDIQDSLVLDSVSIGSKSDESVNDGHIGKSFNKASSGVTSNSGDEYFLCQGLTSGSRNNYEHNEETRNSGQNCIVNDKRVQQKINMSKSSSFNKFSGVGRTGKENSHSVWQKVQKNNSSECGGGDLKKVNTTLSQSVSATEKDDPSAIKNCNNSVGANAVSGPEDKKNVKNKVSRKSKGKTDSVPRKGACNYSRKGSNFNRTVLNDNLKVSIQQNDSSTISSQENNQQGLVMEIQTNGAEQETSEIAHSEKFHADESDILKSSQETENGSIDIQSQVSCSDEQSQVSCKLLDNQVGQTVKEVSSADYNGQNHSSGSTALWKWIPVGKKDAGMAKSESNSSSSQYSDEPTSKIIDMENGLEPKSDSLSQNQDSSPDTRTTSIGRIEGENHKLGEEIAGSLTERMDKHQVDNHIIYECESQCLLENDSYRIAQAVNDACRVQLACDVVHKVTGAPVAEFEKLLHFCSPVICRSPDSLGCFTCAKNHLIGVPLCRHEIPEVSLGCLWEWYEKHGSYGLEIRAWDYEDPKTLGGVGHFPFRAYFVPSLSAVQLFKNRESRCVNNSVSFLNCKVSEACEMIDNSEDSFIGRFSNASNPSTDSTCSGDSELLFEYFECEQPQQRRPLYERIQELVRGDVQIQSKTYGDATKLESINLRDLHPRSWYSVAWYPIYRIPDGNFRASFLTYHSLGHLVCRSSNSDSPTLDSCVVSPAVGLQSYNAQGECWFQLNQSTRRTEMLGINPSVFLQERLRTLEETASLMARADVNKGNQTCTNRHPDYEFFLSRRRY >RHN47976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43957493:43959752:-1 gene:gene42639 transcript:rna42639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator C3H-WRC/GRF family MRIRKRQLLFSSSDSLSPLNLSDPHLMNKSPMVVQLGEGPKPCSSTPVLSREHASAGLSLDHYQPSDQTLPLIGKLNNGHDYPSGVEESGVHKQHNKLDPLEEKVREEEKESGEENKSNNISNGDILVVSQVLPSSSTFEQDGRWCEGEKAFPLKKRKGRFENIAMEGNDSKKVKAKTNTKMNKKKGSLGKDDEDEESERKVNIKKKVTKRGSAVMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRNRSNIGFSTTTTEPNNAVDSASSSNSDLEKKIIDENDDTLGNDDEKKPVVIAKKRMKLGMVKARSMSSLLGQTDNKVVVNENNK >RHN71702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2050648:2051134:1 gene:gene7330 transcript:rna7330 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVAFWTEVSVARRAVDAVFGPPTIRSETVFTETPAASPALAPVPAPAPNTQSLGSDACNIHSKAFLDVSCFFHMILFSYKRVEREMILFGYIQNKNDIFEKCLDILCKN >RHN51399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17276666:17277739:-1 gene:gene35829 transcript:rna35829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKGYGGINIPNWMKNTSILEGLVYVILYNCKNCQRLPPLGKLPCLTTLYVSGIRDVKYIDDDMYEGATKKAFPSLKEMSLRNLPNLERVLKAEGVEMLSQLYNLIINVNSKLAFPSLQSVKFLCAIGETDFNDDGASFLRVIAASLNNLEELFIQKFDELKVLPNELNSLSSLQKLLISFCPKLESVPQCVLQGLSSLRVLSFTYCKSLISLPQSTTNLTCLETLQIAYCPNLVLPANMNMLSSLREVRIISEDKTGILPNGLEGIPCLQNLQLYDCSSLASLPHWLGAMTSLQTLEIKRFPKLTSLPNSFKELINLKELRISNCPMLMNRCKKETGEDWHKIAHIPRLELKFDVEP >RHN47497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40165173:40167234:-1 gene:gene42108 transcript:rna42108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CDC50/LEM3 family protein MSIEHSSSFVAVLDIQSKNVSRKPNYSRFSQQELHAWQPILTPGWVIAIFTFIGLVFIPIGVASLFASEQVVEVPLRYDDQCLPSLYKDDAMTYIKGNRISKTCTKKLTVKSKMKAPIYVYYQLSNFYQNHRHYVKSRDHKQLRSKADENDVGKCFPEDYTANGYLPVVPCGLAAWSLFNDTYRFSNNNKDLVINKKNIAWKSDQKAKFGSDVYPKNFQTGSLIGGARLNESIPLSEQEDLIVWMRTAALPTFRKLYGKIEVDLEANDEITVVIENNYNTYQFGGTKSVILSTTTWIGGKNDFLGIAYILIGGLSLVYSLVFLLMYLMKPRPLGDPRYLTWNKNSKCLN >RHN75344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41452622:41453400:1 gene:gene11529 transcript:rna11529 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLAITPKCKHHGYSEGNGDWFGYTSVSCIKEDNRNGDRDSCKEGDDDDDGGYDYAPTA >RHN42658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40034107:40039499:1 gene:gene49127 transcript:rna49127 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSPSAPLCFSQWNNSLTTFSCNSFTPFLHRTVPICKARHIGGVYFRTESNVLHKYVSKCKESGRDLYTEEGTTSFDWGDEEEEEIDEDEGLPWEGAVIYKRNASILHLEYCTTLERLGLGNLSTDVSKNKASVMGLRITKAVKDFPNGTPIQISIDVTRKKKKLRLDGIIKTVLTLVCNRCCMPSAESIFSEFSLLLTEEPPVNEPETMDFGVIFGEDKIPTLGKSGDDDEDALIDLDDQLYFPPEEKQIDISKNIRDRVHLEITMNSVCDSGCKGVCLKCGQNFNTGNCSCSKEEVKEESFGPLRNLREQMQL >RHN79203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25781813:25782672:1 gene:gene2940 transcript:rna2940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MSQIFKFSLIIFFSLLLVVTNGYWKCTLDNDCPKNMCLLPQIAQCVKFNCECKFYFYVQ >RHN49613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55920818:55921184:-1 gene:gene44462 transcript:rna44462 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKCSSSYLSPPSQCILGVDPSDVFNSAFYFSYQSLSAIVK >RHN56479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31154058:31154879:-1 gene:gene31887 transcript:rna31887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MVCYHFLMQRIPDEFITRFGNELNNVATITVPDGRVWKMELKKRGENVFFCNKWQEFVEYYSIGYGCFISFKYEGNSKFSVIIFDATSVEISYPFETPSTNGEPKTNYPSSRKRSKVETCERLRKKVKNMSKYASKRVEDAAKELNPNNPYFRSKIVKGKYAYVNFDFATKYLKPNIPIKLQNSHGEQWEVFGIFHDARSSAIQITRGFSIFQKDNNLSYGDYCVFELIKTKPVVLKVTMFRAIDYCD >RHN48844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50656640:50660538:-1 gene:gene43603 transcript:rna43603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MCNFPSVKDAADVAITTMNSGIQVSRVELLDEVQVKAINIANGKNLPESPTLMFEFIGTEAYAREQTQIVRKIVSEHNGSDFVFAEEPEAKKELWKVRKEALWACFAMEPNMEAMISDVCVPLSHLADIISKSKKELDASPLVCTVIAHAGDGNFHTVILFDPAKEEQRREAERLNHFMVHAALSLEGTCTGEHGVGTGKMKYLEEELGVEALKTMKKIKSVLDPNNIMNPGKLIPPHVCL >RHN57413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38886001:38891935:1 gene:gene32956 transcript:rna32956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TLK family MMFLNVLRKKSGRGRGSSGSSRGRGSKSNDQTRTQISSSTVSASNGQNENVCRKDGRLKDPFRNDNGASLEEEIASLRAKVVVLEEDLRKAKQEASQHQNICPQLEKELKELKDLEEQMKPKRTKIISDLLISVSKTERQEARLKVRQDSLRLGNVGVIRAGTVLSETWEDGQALKDLNAQLKQLLETKEAIERQRKLFKKKQSGYEILLCYLVSHYSAHFLMGDGIDAEAGLLEDILIHEEIYKSRLASIKREEELVLRERDCYELEKGRLIREMKRIRDDDGSRFNNFQILNHRYALLNLLGKGGFSEVYKAFDLVEHRYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHRHIVRLWDIFEIDPNTFCTVLEYCSGKDLDAVLKATPILPEKEARVILVQIFQGLIYMNKRALKIIHYDLKPGNVLFDELGIAKVTDFGLSKIVENDVGSQGMELTSQGAGTYWYLPPECFELSKTPLISSKVDVWSSGILFYQMLFGRRPFGHDQTQERILREDTIIKARKVEFPSRPTVSNEAKEFIRRCLTYNQAERPDVLTIAQDPYLTYVKK >RHN50145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4504388:4506851:-1 gene:gene34400 transcript:rna34400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MFPSLYPIGPLPSLLNQTPQIHQLDSLGSNLWKEDTKCLEWLESKEPGSVVYVNFGSITVMTPKQLLEFAWGLANCNKPFLWIIRPDLVIGGSVVLSSEFVNEISDRGLIASWCPQEKVLNHPSIGGFLTHCGWNSTTESICAGVPMLCWPFFGDQPTNCRLIYNEWEIGMEIDTNVKREEVEKLVNELMSGEKGKKMRQKAIELKKKVEENTRAGGCSYMNLDKVIKERPHRFFTSVFSLFSYIRKISNMTNFEEKRPHAVLINSISSSRSYQCIVENSKTASPKRLSHKPLLTLNTITNVC >RHN79518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29808966:29809317:1 gene:gene3318 transcript:rna3318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative structural maintenance of chromosomes protein MTGGTSGGMEARSKQWDDKILEARVNKKEELELKLGELGSKRDVHRKESETEGKKNGLEKKIQYAEIEKVTP >RHN75146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39761902:39762350:-1 gene:gene11308 transcript:rna11308 gene_biotype:protein_coding transcript_biotype:protein_coding MREFGVQESWTRLVNVSYVQLGICVNLNLVDWLFPVCLSENGNVMLLASKGAFDDISMYNRRDDRAEHIELLDNQIWYADEHMKSLVFPRPLPH >RHN72532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8447434:8448143:-1 gene:gene8258 transcript:rna8258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative petal formation-expressed MLENYPAKFVPANWWPSKKGKTQSKKIGKMNNGWSKELEMEMQEVVEVIKRKDAEDYNRLGNIALKVDKSLAIAGPLLTGIAAIGSTFIGNGSLAAFVPLLAGSLASAVNTFEHGGQVGMKDLEKRENGELFEMKMALQLGRNVSELRERATKSASCRIEGVDIDEFSSKLF >RHN47724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41965659:41972385:-1 gene:gene42355 transcript:rna42355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKRCKKLKSELPSMKRCTKLKMAMKRRKKLKSELPAMKRCTKLESELPDSVISYIFSKLALKDLVKTSALSKRWVHEWGLRTDLNFDLHTMFDSNTIQVPNTFPLYQRLHFQSEFATRLDQFMLHYKGAIIHSIRVKFPLVNPLHGDAISNAINRLISKGIAKGVKRIELLLSSYSVTSYILPLTLLSGNHSLTYLHLQDCLVAEPLDYCTGFNNLTTLVLDLISVTPKLVQSMCSIWTHLVDLTLDACRYPSDLIINHSTLLRLNIVNCKVYIRSCLTIIASNLSSFEYSCNDDYQVHPINIQAHMLSKFSFRGIEFFKPVGFSGLKNVTTIVLDGEIENLSMDILPYLFSECLQLEDVTFKNFRHMSSIEIITGPKLRHLKIIDCGWADYSPSEIAIDAFNLSSFEYSAHIPRIISITAPKLLKVFWNASPGRKTPHLLDPIARLSHIENLSMIILTSQIEELTKVLVRFQNLRQLELLIEGGYDPSRDYFWILDIAMASQHLQKLSLTIRNLHREHSHIVEFKRQKREYTGFSHNDLKHVEFHGCVGSINVIELANDLLRSATSLNKMIFSSRDKAYIGAGRWTRDANDRRRRTIFCGVGGCRWFGENVIHEMLKDEVNEQCQLIIL >RHN80381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37591567:37593358:-1 gene:gene4302 transcript:rna4302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ALOG domain-containing protein MNSFEEFNSSSSTKNIINFTNTTTSEDNKNISNFTSSSSSAVPPATNTNTLSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHSQICPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEDNPFGARAVRLFLREVRDSQSKARGISYEKKKRKRPQIQPPPPPSNATN >RHN76877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2052382:2055007:1 gene:gene262 transcript:rna262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MIDEEPDRISCLPGDVIDRILLRLPIRDVVRTSVLCNKWRYKWTTIPNLVFDKQCVSATSHRPLVIERKLLAIIDHVLLLYSGPINKFKLSDCGVISLVIEISKGQPYKIPWCLFSCQSLLHLSLSYGLLKPPSTIVGFKNLKSLELDHFTMSQDAFENLLFGCPLLEKLIFDEFEGFTKININAPNLKSLGISGKFEDISFINTFKLTCVAVDLSLYLNSESSSNLLDFFTQLHHVYELYVSGYFSKSKFSVVHQYLAAGVVPLKLPTPCINLMSLWLSINFNELKEISTALSVFRSSPNLKELKIFAGKLSESSCLIMIDEEPDRISVLPGDVIDRILSCLPIRDVVRTSILSNKWRYKWITIPNLVFDSQCVSATSEHPAAIKRKLLAIIDHVLLLYYGPINKFKLSPFGLISATALDRWIFHLTRRSIKELVLGLSIGQSYKIPWCLFSCQSLHYLTLFSCLLKPPSMIEGLKNLKSLYLVKVTISQDAFESLISSCPLLEKLMLAEFDGFTQINIHAPNLNGLGIVGKFEDISFENTYLLNYVIVDLSLCLNSESNHSRLQGSSSNLLNFFAHIHHIYKLDIRGYFFKYLAAGVVPVKLPTPCINLTYLWLSINFYDLKEISTALCVFRSSPNLKELNIFVSTHLVWLDWLFFGC >RHN71003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55740004:55745044:-1 gene:gene19643 transcript:rna19643 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAATVSALNSFSSIATAQFSLPPKRNLPLSSSHIFSRRDIALLSFFSLSAPASAIDIGISGPKDWLREQKKKSSKFLLAPVDASRESLRSVYLYLTETGATYTDEDLQKFQQLFRSAARDCVPEDRNSFVAFQANTGVEVCTFRLIVKNAASLLGKKDPVKLEAEALLENLIRSFASISGLANETNIELASDRSKIADAVSDTILSLDKFEQGIRDCLEI >RHN72087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4881749:4886482:1 gene:gene7760 transcript:rna7760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ALOG domain-containing protein MSSSMQNDTVQASSSSSRPGGSDQQPTAAVAPLSRYESQKKRDWNTFGQYLRNQSPPVSLSQCNFNHVLEFLRYLDQFGKTKVHLHGCIFFGQPDPPAPCTCPLRQAWGSLDALIGRLRAAYEEHGGSPENNPFGTGAIRVYLREVKECQSKARGIPYTKKKKKRSQLKGTHNTTSKSFNQLAS >RHN44107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1732223:1745559:-1 gene:gene38166 transcript:rna38166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSRRVRRKVARKGKGNAVSSCPEIQDEVADLEAGGVVDWRCLPDDTVIQLLSCLSYRDRASLSATCKTWRVLGNSPCLWSSLDLRSHKFDANVAASLASRCVHLQKLRFRGADSADALLYLRAKNLCEISGDGCRKMTDATLAVVVARHELLESLVLGPDFCDKVTSDAIRAIAHCCPSLMKLRLSGIRDVNADAINALANYCPKLTDIGFIDCLSVDELSLGNVQSVRFLSVAGTPNMKWSVVTNLKLPNLIGLDVSRTDIGPSAVSRLLSSSPSLRVLIALNCPILEEETSFSASKYKNKLLISLSTDIFKGLASLFSDNTNRGKNVFLDWRTSKTYNDKGLDEIIPWLEWMLSHILLRSAESPQQGGLDNFWVEQGASLLLSLMQSSQEDVQERAATGLATFVVIDDENASIDCGRAEAVMRDGGIRLLLGLAKSYREGLQSEAAKAIANLSVNANVAKAVAEEGGIEILASLARSMNKLVAEEAAGGLWNLSVGEEHKGAIAEAGGVQALVDLIFKWSSTGDGVLERAAGALANLAADDKCSTEVALAGGVHALVMLARHCKFEGVQEQAARALANLAAHGDSNSNNAAVGQEAGALEALVQLTRSAHEGVRQEAAGALWNLSFDDRNREAIAAAGGVQALVTLAQSCSNASAGLQERAAGALWGLSVSEANSIAIGREGGVAPLIALARSEAEDVHETAAGALWNLAFNPGNALRIVEEGGVAALVELCSSSVSKMARFMAALALAYMFDGRMDEFALVGTMSESVSKSVGLDGARRMALKHIEAFVRMFSNQQAFAAAASSSAPAALAQVTESARILEAGHLRCSGAEVGRFVTMLRNPSSILKACAAFALLQFTIPGGRHAVHHASLMQKAGAARVLRSAAAAATAPLEAKIFARIVLRNLEYHHIEHAV >RHN54608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10746550:10748023:1 gene:gene29676 transcript:rna29676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MDNTEDVKRILKGSPWIVRNVWMIVHPWDRKVSIKDLNFSLVPLWIQLWGLPIHCKTATIGKSIGEQPGEVMDSAVYELADKAKFVNIKILFNISDPIRAGLFIGNKVDGVNWIDFRFENLPMFCFKCGLIDHIEDNCINTQEEEDTIEVETVNPRGAWLGSNNYGRRVVEKKEKTFRSDPRKSLSGGLFSPVPKELSDIMAKLNMDSESQEDSATDQYHNVLNQKHQYETEAAKSKQENNLKRKTAAATGITLSFEYP >RHN46275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30328236:30331635:-1 gene:gene40746 transcript:rna40746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PRONE domain-containing protein MDTTSTKHKLKQQKQQHLKHLKHYTPLLSLTRSTNSNPFSILVIWVTKSLKTLCFRLHFSHGFCLKKIQYHGIVYGSTTMEGLSLADKNEENGVGKRETFCDLIEEKGCESSSSSEFLSSENVGNEEHSMSSSTEVDSSSSPSLGWNVKEISESDCISVHDSEDGKKKHLVEKEFVKQVSALSEIEMMKERFAKLLLGEDMSGCGNGVPTALAISNAITNLCATLFGQLWRLEPLRSEKKAMWRREMEWFLSVSDHIVELTPNWQTFPDGSKLEVMTCRPRSDLYVNLPALRKLDNMLLEIQDSFVDTEFWYVDQGVIAPDAGTSPSSFRQALQRQEEKWWLPVPRVPPCGLHEKSRKQLQHKRDCTSQILKAAMAINSITLAEMDIPESYLESLPKNARISLGDVIHRYITSDHFSPECLLACLDLSSEHQAIEIANRAEASMYIWRKKTNSKPASVSGRSSSRTSWEMVKDLMVDADKRELFAERAETLLLTLKQRFPGLPQTALDMSKIQYNKDVGKAILESYSRVLESLAFNMVSRIDDVLYVDDLTKNSDQFSSHSKVGVITRKSISVPYSVPVPTTPYKSAFGTPTRSPAHAISPSKGGKSPLLNNGNKTPQRGVGVKKSLTDFLSIDAKGSSSNEKSVSESKTVDEVHA >RHN46496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32281974:32288899:1 gene:gene40988 transcript:rna40988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll synthase MASLINMLSVSPTILSPSITRTSSLQTRPNLSPCSVSFNKRRLTIRAAETDANEVKSEAPDKAPAKNGSSFNQLLGIKGAAQESNIWKIRLQLTKPVTWPPLVWGVVCGAAASGNFHWNVEDVAKSILCMMMSGPFLTGYTQTLNDWYDREIDAINEPYRPIPSGAISENEVINQIWVLLLGGLTTAGILDIWAGHDFPILFYLALGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDVIVLTLLYSIAGLGIAIVNDFKSVEGDRALGLQSLPVAFGSETAKWICVGAIDITQLSVAGYLLGAGKPYYALALLALIAPQVFFQFKYFLKDPVKYDVKYQASAQPFLVLGLLVTALATSH >RHN70282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50230170:50234347:1 gene:gene18846 transcript:rna18846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 22 MESQGQWNPLLSFSRFIHQNCNHLATRFEDTRKFAGTLIQSHTRTKPAFAATLTSNHVAKSLAGTSVYTVSSSNNEFVLMSDAEGAKSIGLLCFRQEDAEAFLAQVRSRKNEFRGNAKVVPITLEQVYLLKVEGIAFRFLPDPLQIRNALELRAANKEGFDGVPVFQSELLVVKKKNKRYCPVYFSKEDIEQELSKVSRVSKGPGVSKQIMVGSFEDVLKKMEMSEKNSGWDDLIFIPPGKSRSQHIQEIKV >RHN48389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47149478:47154700:1 gene:gene43101 transcript:rna43101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor/ chromatin remodeling BED-type(Zn) family MATLEEAEVAMSPDVKNKLNCTYSQPSNDNRPIDLEATLDTPMENSEAAPGDQLGDSKATLDRKSTPEAPPSNDVVDSEASPNNGVVSSEMQTSNDVVESEKQHNNERVLASETQPTEAEHNNEEVVSEPHCNDEVAMSEVQPSSEVVMSDSHQSNEVVFSDTQPSTETVMHEAGISNDAVVSDTQPNNDVIMYEAQISNDVVASEARPENELANLTVDPNNRLSHQEILLDNHQFDNLHTTSEYLLPPPEPLPDSGSPTNSEPVADSHDADDKPIPHNHLQEYDTHLNNHLDHHEALANHQLANSETLSPDQLANSQMMTHYDLGNSETLHDNRLVSSQAHYEMVNANNFPSYEIVNAETSPNNEEHTPETQPSKKRKKKSIVWEHFTIETVSPDCRRACCKECKQTFAYSTGSKVAGTSHLKRHIAKGACPALLRSLDPNQHASYTPRSRGSGAGNANNTPKRRYRTAGTPYIIIDQDRCRHEIARMIIMHDYPLHMVEHPGFVAFVQNLQPQFNMVTFNTIQGDCVATYLTEKQCLTKYFDELPGRVGLTLDMWTSSQSVGYVFITGHFVDSDWKLQRRLLNVVMEPCPDSDSALSHAVSVCISDWNLEGRLFTITCNQPLSKVALENLRPLLSVKNPLIFDGQLLIGHCIARTLSNVAYDLLSSTQGIVNKIRESVKYVKTSESHEDKFLNLKQHLQVPSEKSLFIDDQTKWNTTYQMLVAASELKEVFSCLDTSDPDYKGTPSVRDWKLVEILCTYLKPLYDAANILTTTTYPTAITFFHEVWKLHLDLARAVTNEDPFISDLTKPMHEKIDKYWRDCSLALVIAVVMDPRFKMKLVEFSFTKIYGEDAHGYVKIVDDGINELFHEYATLPLPLTPAYAEEGNAGSNIKMEGSSGRTLLSDNGLTDFDAYIMETSTHQTKSELDQYLEESLLPRVPDFDVLGWWKLNKLKYPTLSKMARDILSIPVSTVPSDSIFDKKSKEMDQYRSSLRPETVEALVCAKDWMQYGAPESLTALVKMEF >RHN42031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34905320:34905741:1 gene:gene48418 transcript:rna48418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MESISWTHKLTQRCIDGTTAMPFPKNVSEKLIMNDHSSLTLVDEETETLYECAIVTDPRDENLRYIGDGWFEYIASKKFAVGSSLIFIYTIESRMLYVTLIERT >RHN44374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3989462:3992360:-1 gene:gene38490 transcript:rna38490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MESEEVLKAVFPLLEGVDLASCMAVCKQWNDIAKDDFFWKCMCANRWPSICKRPNPLTETYYKLYKTFHKRQQRRTLLPPRISFDDLEFFIDIWAEDILLFSEAVSGSVLQSGFRSPASGVCDLLKYHLESSEYKMTFPVEPRFTIPSGQNQNVSVSVMVGRKDTNKVACIINKSMFDYIERSSYRALAYDYLDISPGYPFLPGIRAWISLLFMEEGSEDLMDVFGIQMDFCDVANSKEEVLWLLDMLDWK >RHN65717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4694892:4697009:1 gene:gene13591 transcript:rna13591 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAGGCLCVGDSFRGGLCTGDSFCGGLLTGGSLCGGLWTGGSLCGGLFTGGSLCDGLFTGGFVCGGL >RHN78111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11988326:11991958:-1 gene:gene1632 transcript:rna1632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ascorbate ferrireductase (transmembrane) MSACVMSGLAHLFGILSFILILVWLLHFREGIDYASVNPFRVFNVHPLMMFLSLIFLAGEAIMAFQTVPAQRHIKKFFHMALHLIAIVLGIVGLCAVFKFHDMLHIPHLYSLHGWIGILTLCSYGLQWVFGLVTFLVPGAQDATRARVLPWHKIGGKVLFLMAICAAETGLMEKAGFLSLKAHQTETSLVTFTGLSILLFGAFVFVSIGIR >RHN74883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37470252:37481540:1 gene:gene11015 transcript:rna11015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-DLSV family MFSLSFKHVSMLFFLFLAFNCFSMFGSNAQSLPQDEVKLLQTISDKVNNLNWKVTNRSCNSGDKGFGNEIIVEDQIVRNVTCDCSFNSSTVCHVTMIFLKGLNISGIFPSEFGNLTHLKTLDLTRNYINGSIPKSLGGLSSLVTLSLLGNRLSGPIPSEIGDISTLQEMNVEDNQLEGNLPPNLGNLKNLQKLMLSANNFTGTIPEAFGNLKNLTNFRIDGSSLSGKIPSFIGNWTKLERLDLQGTSLEGPIPPAVSVLKNLKELRISDLKGNTTMTFPDLKDLKRMQRLELRNCLITGPIPDYIGELENLKTIDLSSNRLTGPIPGSLEDLESINFVFLTNNSLNGTIPGWILSNKQNFDLSFNNFTESSAPDCQILDVNLASSVSPSANTTLSCLKRNLPCSGKPRYHSLFINCGGPATEFDDNEYEADDHLRGISNFVPSVSGKWAYSSTGVFLGNEKADYVARNVFSLNINNGSEYYQTARIAPISLKYFGFCMLKGNYNVKLHFAEIMFSDDQKFSSLGRRIFDVSVQGHKYLKDFNIMEEAGGVGKGITRDFNVDVNDSTLEIHLSWAGKGTNAIPMRGVYGPLISAITVTPNFKIPSNGLSAGAIAGIVIGSLAFVMLILFVLWKMGYLCGKDQTDKELLELKTGYYSLRQIKVATNNFDPKNKIGEGGFGPVYKGVLSDGAVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCIEGNQLLLVYEYMENNSLARALFGKPEQRLNLDWRTRMKICVGIARGLAYLHEESRLKIVHRDIKATNVLLDKNLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGMSNTNYRPKEEFVYLLDWAYVLQEQGNLLELVDPTLGSKYSSEEAMRMLQLALLCTNPSPTLRPPMSSVVSMLEGNTPIQAPIIKRSDSTAGARFKAFELLSQDSQTTSTFLQDSRELRGKSMDGPWVDSSISYPSKDEYSSTDKLI >RHN66934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21861730:21862248:-1 gene:gene15056 transcript:rna15056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative B3 domain-containing protein MSHAERRVKPKSTKKMKPKKQDKENLSSPPPILPIHIENKIKELNGTDIKYIMGKKLFASNLKDDQNRLLMSIKEVKVDFLTDIEKDVSLTKKDENNKPVGLEVIVLDPSFREFTMSLRKWSMGINKYYSLVHGWKTVVSKNSFEKGKKLNIWTFRVNDKLHFVLDNNQVML >RHN81519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46671374:46674996:-1 gene:gene5577 transcript:rna5577 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFARDINEDSILRCPFLRNINEPTNFSFVSPLAFPMPARAAKGPIFEDGPNFDLAFRLFHGSDGVVPLSERTFQCFDKVKPEAPKSQFNPLAAKAATISLSSFGFGGPFGFDSFHEKWKNQNKKSKSSKKEPSSKDGSKHEAGNDWLQNGNCPIAKSYQAVSKVLPLVAKVIQPPAGMKYKCPQVIVEARAAIARTAFAKNLRPQGLPTKVLVIGMMGMAVNVPLGVWREHTEKFSPSWFVAVHAAVPFIAMLRKSVLMPKSAMAFTIAASILGQVIGSRAERYRLKAVAAKKLTAIEASDVGSVQLPVVKSDVGSVQLPVVKSKDRHCGDEAMKWNPASLQLTATSSTDVFC >RHN78129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12145987:12146585:1 gene:gene1650 transcript:rna1650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine rich protein MNSKGLLFLAMLLASILLISAAEKSDKKDGKENGIDESKYGYYGGWGYGGPWRGGWGYGGPWRGGYGWGGPWRGGWGGGYGGWRGGWGGGWPKEHNDAHIDAEPHD >RHN60846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30879655:30879804:1 gene:gene23232 transcript:rna23232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase MSSLHEINEEPVCPSPFVFDFEQATLNEDDIKELIWRESLNFCKEQILE >RHN43765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48300866:48303756:1 gene:gene50387 transcript:rna50387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MLPKRKPHYKFPLIPITLFATFFSFLFLYYYYAKRDSHFTEYSQTKSHTLQCSSQALALSEKFMWYAPHSGFSNQLSEFKHAVLIAGILNRTLVVPPILDHHAVALGSCPKFRVVEPNHIRFSVWDHVIQLLRGGRYVSIAEIIDISSLVSSSLVRVIDLRDFVSIWCGISLDLACNNDPKSQSSVSESLKQCGSLLSGFHGNIAKCIYAINEDCRTTVWTYHVDGHEDGMLDSFQPDEQLKQRKKISYVRRRRDVFRTLGPGSKVESASMLAFGSLFSAPYKGSESYIDIHESHQDQRFLSLMEKIKFLPYVPEVMNAGKEFAKTTIKAPFLCAQLRLLDGQFKNHHKATFDGLRQKLVSLMQKGHLPIHIFVMTDLQRNNWTGTYLGDLTGDAHNYKVHFLREDDQLVMQAAKKLTTAGYGQRFIPNSDSRIGKKYCSNQILPDVLLYVEQTVCSCASLGFIGTPGSTIAENIELMRKFGSCSS >RHN72572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8777094:8778898:1 gene:gene8299 transcript:rna8299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperonin-like RbcX MESSSALTLSQLPYVYPTIPSTNKNYPFWPASKRRSSQLSTRLQCNKMFVPGFGEATPEAKAAKNLHNFFTYTAVKIVASQLESYNPEAYVELMEFVDKHPLDDGDKFCATMFRESSRHKNLALRIMEVRSAYCQKDFEWDNLKRLAFKMVTDSNTRLMRDYVSETSRSETEK >RHN55457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18553192:18563283:-1 gene:gene30638 transcript:rna30638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acylaminoacyl-peptidase transcription factor WD40-like family MVILMGWRTLSSVSPVAILSQTFSTHFPQNPFSTISLSHRSSFHYSSSSSSAKSPIFLLTKSSSMENPKTKEFPLGIDEETEQQYSLHSNLLQQFTSISSIDKSWIFNSNTDSSSQGMMFSVSQPNLLANKKRKFILSSTVNKRSDGSVGLDWAPFPVEMTGVSVMVPSPSGSKLLIVRNPEGDGGCKFEIWSNSCLENEFSVPQSKHGSVYADGWFEGISWNSDETRIAYVAEEPSPAKPTFNDQGYKVSGSDDKDSSSWKGQGDWEEDWGETYAGKRQPALFVINITSGEVQAVKGIDKSLSVGQVVWAPSSEGSAQYLVFVGWSYETRKLGIKYCYNRACALYAVKAPHESKPNENEIQATEDVQALKLTQTISSAFLPRFSPDGNFLVFLSARSSVDSGAHSATNSLHRIDWPKDVKLYQSAKVHDVIPVVLCADDDGFPGLYFSSILSDPWLSDGHTLIIPSVWHSSQVLLSVNVFSGQIKRITPADSNFSWSLLTLHGNNIFAVSSSPVDVPQVKYGTFVEKEGGNSEWRWSDVSNPIYKCSDKVRSLLSSLTFSIMKISVKEASENPTKGSCKPYESIFVSSKTKKSDACDPLIVVLHGGPHSVSLSSFSKSQAFLSSLGYSLLIVNYRGSLGFGEEALQSLPGNIGSQDVNDVLSAIDHVIDLGLASPSKIAVLGGSHGGFLTTHLIGQAPEKFVAAAARNPVCNLELMVGTTDIPDWCFVESYGTNGRDRITEAPSAEDLTLFYSKSPIAHLSKVKTPTVFLLGAQDLRVPISTGLQYARALKEKGVPVKVILFPNDVHGIDRPQSDFESFLSIAAWFNKYCK >RHN65004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63586126:63592091:-1 gene:gene27894 transcript:rna27894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEVVVPRQEQSNQHSEDMLKFSGCAKAFGNSKRKAEEFHECGGNEKPEPEGKGNTMGCLKEYKVSQENFGISHKRRFQEYHTHQTDTNSKGQKDVLECLKETPNLASKKRDGSKKLAASKKKRKLEDELLLDELEQDEEMLFLLKTKNRSRTGRINNIMGVQQNNRKCHQCMKKERTSFVPCTKCSKMYCMRCINQWYPDMSTEEVAESCPFCIKNCNCNVCLRSKGTIKTSNMDITNYEKAQYLHYMINLLLPYLKQICHEQCVEEDIEAKIQGKSSEIEIPQSLCGDKERVYCDHCATSIVDLHRICPNCSYELCLKCCKEIREGSITPRPEMKFQYVNRGYDYMHGGDPLPVSCDLETSDCHISTECNARSDGSVSCVPKEMGGCGSSLLELRRILPHGWMSDLEDKARSMLKIWEIKQTTLQHEEAVSSYGSESKSSLKEGMLLFRKHWTNGEPIIVRDVLKHGTGLSWEPMVMWRALCDNLASDISSKMSEVKAIDCMANCEVAINTRMFFKGYIEGRTYGNLWPEMLKLKDWPPSDKFEDLLPRHCEEFIRFLPFQQYTDPRAGTLNLAVKLPAHVLKPDMGPKTYIAYGIREELGRGDSVTKLHCDMSDAVNILTHTAEVLLTDRQKSTISNLKEAHRAQDEREHRAPQRADVCLNGRPCDSREHIENKEVLECNNMDNRPIEISGDIFHNVSEGGTFPAISTENETMVTGSALWDIFRREDTEKLGAYLRKHSKEFRHTYCSPVEQVVHPIHDQCFYLTLEHKNKLKEEFGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENVHECLRLTEEFRQLPKKHKAREDKLEIQKMIVYAIDQAVKDLEALLNCS >RHN56992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35505323:35506423:1 gene:gene32467 transcript:rna32467 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYIVNIHLGTAVLKQDGLFSICLDLDEVFSLLFSMVSLNNLNTLNFDQVLWLSL >RHN42445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38420071:38426627:1 gene:gene48887 transcript:rna48887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MAILDVLLGTINVTFFYVILIWVLFDSLRQSTRNNLQHFKHTPTIFSYITVFFNAVISLLNIAFVFYDYTTRGIIGFNYVSFGLTWVLATMVSFYSMKKTLRENKRFPFVLILWWFFVTFVHIISLSLKLVKNSKSINLWILLLEKNTVETVSLPMLLVMCFNAFPNVCVREQSEIEERLLQKEFESSTFEDEEAFAKAGVWSKLTFRWLNPIFEMGRIQKLEHVNVPSVPPSETAASASSMLEESIRKQKLECGSLSKAIVDSVWKSLALNAVLAGVNTIAAYIGPLLISNFVNFLLSNDDNSNIKYGLILAFIFFLAKTVESLSQRQWYFGAQRIGIQVRAALMALVYSKSLMIKCGGPTHGKIINLINVDVERIGDFCWYVHGVWLLPVQIILALVILYINLGCTPSIAALAVTILVMVCNTPLANMQEGLHSKIMEAKDSRIKMTSETMKNIRILKLHSWESTFLQKLLQLRDTEKKWLHKYLYLCSAVATLFWASPTLVSVFTFGACILVKTELTAATVLSALATFRILQEPIYNLPELISMITQTKVSVDRIQEFIKEEDQNQFMNRHASKTSTIAIEIKPGEYAWEANDQFLKKPTIHIAEKLMIKKGQKVAVCGPVGSGKSSLLCSMLGEISLVSGAATKVYGTRSYVPQSPWIQSGTIRENILFGKQMNKDFYENVVDGCALLQDINLWSDGDLTMVEERGINLSGGQKQRIQLARAVYNDSDIYFLDDPFSAVDAHTGSHMFKECLMKLLYDKTVVYATHQLEFLEAADLILVMKDGKIVESGRYRDLIACPHSEFVQQMAAHEETVCQIPCRKDDSVCCRPCQKNPTEIAEENIQEIMLDWKRTREEEAMTGRVKWSVYSTFVTLAYRGALVPIILLCQILFQVMQMGSNYWMSWATEKKGRVDNVQLMGVFALLSGGSSIFILGRTVLMATVSVETAQRLFHGMIASVFRAPVSFFDTTPSSRILSRSSTDQSTVDTDIPYRLAGLVFALIQLLSIIVLMSQAAWQVILLFFVVLALSVWYQAYYITTARELARMVGIRKAPILHHFSESIAGAATIRCFKQEKIFLTKVMVLIDDYSRVAFHNYATMEWLSVRINFLFNLVFYFVLVILVTLPRSAINPSLAGLVATYGLNLNVLQAWVIWNLCNVENKMISVERILQFSNIPSEAPLIIQDCRPEPEWPKEGKIEFLNLHIQYDPSGPMVLKGVTCVFPGQKKIAVVGRTGSGKSTLVQALFRVVEPLEGCILIDGVNISKIGLQDLRSKLGIIPQDPTLFLGTVRTNLDPLEQHTDQDLWEVLRKCHLAEIVQQDPRLLDAPVAENGENWSVGQRQLVCLARLLLKKRKILVLDEATASIDTATDNLIQKTIREETSGCTVITVAHRIPTVIDNDLVLVLNEGTIAEYDQPSQLLQANSSSFSKLVSEFLRRSSQSNCKKR >RHN47598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40877096:40878661:-1 gene:gene42218 transcript:rna42218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MADQLTDDQISEFKEAFSLFDKDGDGSITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAK >RHN67654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28974078:28974440:1 gene:gene15862 transcript:rna15862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MLRKQIISLSMVVMVLGMLVATLDARQIDDVSCSSALFSLLPCLPFLQGVGPATPTSYCCAGITDLNQKANTTQIRRDVCNCLKPAASRFGVNPDRSKQLPTLCNITLNVPFDPSVDCNT >RHN65244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:507952:508314:-1 gene:gene13063 transcript:rna13063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MIPFKMKNLSLGDALGLFSALKRKFGYEKGGKYESFLQIMKDFKAETIDARVVKLRVYELLDGHEDLILRFNTFLPAKYEIKLPLDRDDDDEEQDGRMTETNIEVLKKYRITPSVQLDTG >RHN78630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16909223:16909991:1 gene:gene2257 transcript:rna2257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase transcription factor GRF family MASFRSNSSNSRNKVDGSSHSNVVLRNRSEDQDLIECWCKEPSILRTVTKMGPNRERKFWGCREWMPNDDVVGCGYFNWLSKSKSDETQEQTQQLGTQCVECYKKEMVLMSITRKLSKKKNEEKSTKYMLKMVVVICLFLVVICIITTIGLIVNSL >RHN48887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50932105:50937031:-1 gene:gene43652 transcript:rna43652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BRCT domain-containing protein MANTQPSSAPSGDEVNDTNGNENYHETELFEDTLVIDDKFTETGLEILDLNNTEIVEDSEPVEDMITGTACEYETEVVLDSEDEEMNNTGKLTVGERFLQAKSSPAVNNSSVLFKKRLPKLPCEQDNSKSNATTCGKTGTGDKGASNDAESFDDNNHQYPPAMSYDAHSPTVAALGFVDQYLSSIDVDLFQGFQNGKIAMEKSPQVSSARGSISLAKKVKAQTQNEDKDPFKWADKDQNLKEAGIFCKKLEASFNFGSHGLTYKRRKQQKGSRLQNQGKCSASNGCDENQGNYSDENLVQEPTMAIDNNNSLKELYVESRAARDDVDIYSSVAGTEDMSDIGLDTQIAAEAMGALANLSPVGFHFSDAHQPKNMFDASLSDLKQAHKENSSFKENHGSHSIALKSNKRNVSSCRFSKVTSKSSSEQTYNQDSNLVSGKMKKIMGSKSTIEGQFKNNTSSSVCSESVSHKEVCLLEEDISFQPASKEPKIQNKSRWTRMTHQPSHPIEKNNNVEEDIIRYKRKGKCLVADPVVSDVKTKRLNLSTTSYGVARKSSLNHQIEFSPQISVTSSFSKIDSWACPKGPRGKRKRANAPRVLGIDDKENNVYSTRSLEGRNAVRKSRLLPVSAGDAIKFENLHDMRPLLLAHVEILSNKSVVQSSSEISASVGPSEGIKISNANHTCNEHRKKACEKNLPKSSLLKELIRLGVPKSTSEMMSKDLRHRRDMTNVRVLFSQHLDDNVLKQQQKILARLNISTASSSMEATHFIADKFTRTKNMLEAMALGNLVLTHSWLESCGQANFLIDEKNYILRDMKKEKEIGFSMPVSLARARQKPLLKGKRVYITPHIKPNKEVVASLVTAVHGQLVDENQIFADKNDNILDDLLILSCEEDFAICRHFLKRGAAVYSSELVLNGIIIQKLELERHKLFVNKLSRCNWFGKVYRKRLSPLS >RHN66056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8071200:8082084:-1 gene:gene13967 transcript:rna13967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAELIAGAFLSSFFQVTLDRIASRDFKDLFNKKLINKLEITLNSINQLLDDAETKKYQNQNVKNWLDRLKHEVYEVDQLLDEFDTHVQRKSKVQHFISAFTNRFESRIKDLLDNLNILAEQKDVLRLTERSYESAVSLQSSKRLPTASLVDESCIYGREGNKEEIINYLLSCKDNGKQVSTISIVGLGGMGKTTLAQLVYNDQRIEKHFELKAWVHVSKSFDVVGLTKTILRSFRSPADGEDLDPLICQLQKTLTGKKCLLVLDDVWNGNEQCLEQLLLSFNPGYSGSKIIVTTRDKYVAFVMKSDHQLLLNQLEEKDCWSIFVKHAFREKNVFEYPNLESIGKKIVEKCGGLPLAVKTLGNLLQRKFSQAEWFKILETDMWHVSKGGDEINSVLRLSYHNLPSNLKRCFAFCSIFPKGYVFEKDELIKLWMAEGLLKCCGRDKSEEELGNEFLDDLESISFFQQPLDYFGDKRLFMHDLVNDLAKSESHEFCLQIEGDSLQYITERTRHICCDLDVKECERLLNHISMFKGLRSFLAVPGGYRKDYFMTSNNLQRDLFSKLKYLRMLSFCGFKLKELSGEIGNLKLLRYLNLTASLIERLPDSICKLYKLETLILEDCFELTKLPSKFYKFVSLRHLHLEGCNIKKMPKKIGRLNHLQTLSDFVVGEQSGSDIKELGNLNHLQGELCISGMEHVIDPVDAAGANLKDKKHVEELNMEWSYKFNTNGRESDVFEALQPNSSLKRLIISHYKGNRFANWMRGCDLPNLVSIRLNLCALCSELPPLGQLPCLKEISISGCDKIRIIGKEFYGNNSTNVPFRSLEILHFDSMSEWEEWSHLEGFPLLKELSIKKCPKLKRALPQHLPSLQKLEIKDCKKLEALIPKCDNMIELDIKRCDRILVNELPTNLKSLFLCDNQYTEFSVDQNLINILFLEVLKFDFRGCVNCPSLDLRCYNSLRDLSIKGWHSSSLPLELHLFTSLSALRLYDCPELESFPMGGLPSNLCSLGIYNCPKLIALREQWGLFQLNSLRAFGVSDEFENVESFPEENLLPPTIHFLWFSNCSKLRIMNKKGFLHLKSLQYLDIINCPSLESLPEKEALPNSLYRLWIEDCGIIKEKYEKEGGERWHTISHIPGVFIV >RHN45290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14480316:14482002:1 gene:gene39538 transcript:rna39538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MFVFCFFFFLLFFVKKVLYSKLALSRVQRSKSKIIVTKMEHLPQEVVSNILSRLPSRELLKCKFVCKSWFNFITDPHFISNYYVFYNNLIYSQNQEENLLVIRRPFISGIKTYISLLSWSFNDPKTHVSSSLLNLPDGYDSDHKYWTEIMGPCNGIYFLQGNPNLMMNPSLRQFKALPESHLTDLNLNYSLTDFAGFGLDPKTNDYKVVVLRDIWLKKTDERQKGHWTAELYSLNSNSWRKLENAALPLPIEISGSSSRVYTYVNYCTHWWGYVDKYGNIEDVVLAFNMVDESFRKIKVPKIGYSRSSGECFKTLAPLNETNTIGAIVYPVRGNEKCFDVWVMKDYWDEGSWIKQYSVGPVPMISKFIGFYGSSGFLFKDKNEKLVFYEPEYENIKDLQVCGKHDSIRAVRYMESLVLLQRGKESSQHCFSCRLVPDHILNQNE >RHN39336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6203914:6208153:1 gene:gene45346 transcript:rna45346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-40S ribosomal protein S27a MSVMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >RHN69916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47327542:47338760:-1 gene:gene18449 transcript:rna18449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MNPQISNRIELLQLPKPLFPRKTFFFTQFPHSCFSQRLLRTKFPYKNPRNCKLRITASNVSNTSSSNDEDAQSLQLFENRVNEMEELQRKANVQLDRQLILASSWNRALLTFRGKLKGTELDPENSHRIDFSDFLKLLDSNAVKSIEYSDYGQTLSVILPYYKNVKTSGTEENPKDIVFRRHAVDRMPIDCWNDVWGKLHQQNVNVDVINVDAVPAEVYSTVATAVVWSMRLALAVGFFMWIDNLMRPIYAKLIPCDLGTPPPTPQTKVPALRRHALGSLGKSRAKFISAEERTGVTFDDFAGQEYIKRELQEIVRILKNEEEFQDKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAASGTDFVEMFVGVAASRVKDLFANARSFAPSIIFVDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKVSTAQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPLQDGRLAILKVHAMNKSFRSEEEKDTLLTEIAELTEDFTGAELQNILNEAGILTARKDLDYIGRDELLEALKRQKGTFETGQEDTAEIPEDLRLRLAYREAAVAVLACYFPEPHRPIVETDISSIQSQPNMCYNESSGKVFARKSDYINSIVRSCAPRVIEEEMFGIDNLCWMSANATSEASRLAEFFILRTGMTAFGKAYYRNNVDLVPNLAVKLEALRDEYLRYGTEKCSSVLKEYHSAVETITDILLEKGKIKAEEIWNIYKSAPRVAQPSVSPLDEYGALIYAGRWGIHGISLPGRVTFAPGNVGFSTFGAPRPTETKVVNDETWKLVDDVWDKKVQDIRDEALREIEEEKEKPQLLMASHFL >RHN39589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8494609:8498701:1 gene:gene45628 transcript:rna45628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MAIDHEDELKDEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKDMAKKVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNSEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >RHN50877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11355665:11356516:1 gene:gene35224 transcript:rna35224 gene_biotype:protein_coding transcript_biotype:protein_coding MQVREQKKSEYGSVAKQSQSHEAWLSERYAWLGEIRRFWTSNADVAETQWGRNLSMAKQSERG >RHN55311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17125232:17129199:-1 gene:gene30476 transcript:rna30476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MNSVGDGYAVEVTGLSPQATDKDVYEFFAFSGAIQHVEIIRSGDYACTAYVTFKDAYSQDTACLLSGATILDQRVCITRWGQYEEHDFWSRPSYSHEEENSYTTQHQTQHSSQFVSSAGEAVAMTQEVVKTMLAKGYVLSKDALSKAKDFDESHRVSATATAKVSELSQKIGLTDKLSAGYDAVKSVDQKYNVSGTTMAAASATGRTVAAAANSVVNSSYFSKGALWMSGALTRAAQAASDLGNRGTKQ >RHN82725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55909118:55910071:-1 gene:gene6907 transcript:rna6907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MPKRTNATMANLPSLLGIVRLLLLMTTINKFCSARTLSNPTPNRYHGHHRITFLMKHMLNDTHPNINTEKPSTTKVTNQLPFPKPLGFFPPNGGIPIPQSTQTQTLDLSTIGFSFPTRAAFQELEFGSVTSIDEELLVEGDHEDELKKLGKAQGVYVASEEDASSHMVAMTVSFLKGEYEDGLRLFGVHRSDVFESHVAVIGGIGKYYGANGYAVVKVVDKVGSSTVEGKVTSSKFLLYDVYFS >RHN48988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51592285:51593517:1 gene:gene43766 transcript:rna43766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MTHKHCFEAVDRTFRDILRFCNSRNLNLPFGGKVVVLGGDFRQILPVIPKGTRQKVVHATITSSYLWDFCEVMTLHTNMRLLTGSLDSDVKQRKDFSDWVLGIGDGSVGENDDDVDISVQIPDDFLIKSSGDSLASIVCSTYPSFLDNMNDLSFFKDRAILAPKNDDVDKINDYMLSLIPGDQKTYLSFDAPYSLNTDIDNPDDVHTTEFLNTITALGLPNHIVKLKVGVPVMLLRNIDHSSGLCNGTRLIITKMGKYVLEGKVISGSNIGHKVYIPRLSLTPDDIRIPFKFQRRQFPLTVSFAMTINKSQGQSLKHVGLYLSRSVFSHGQL >RHN44083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1496887:1497476:-1 gene:gene38141 transcript:rna38141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated domain, type 1 MRDCLCIFSRESHHSSTDVWLMKEYGNKESWIKLIHLPYFGDFGFAYTRIVYISEDDNRVLLVFREDRKLKWTVYDSKNDTIKNLKIQDLSWVESEVYVESLISP >RHN55658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20687329:20688123:-1 gene:gene30875 transcript:rna30875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MGNYILKLCYALFFIFLHSASSIHGHFNSTSSTKEVKCKEREREALLRFKQGLQDDYGMLSTWRDDEKNRDCCKWNGIGCSNETGHVHMLDLHGSGTHLLIGAINLSLLIELKNIKYLDLSRNYFLGSYIPELIDSFTKLRYLNISSCEFIGRIPNQLGKLKNLQYLDLKYNEFLEGQIPHELGNLSQLKYLNIEGNNLVGEIPCELGNLAKLEYLNLGGNSLSGAIPYQLGNLAQLQFLDLGDNLLDGTIPFKIGELLMVQSH >RHN42058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35125262:35131273:-1 gene:gene48449 transcript:rna48449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldolase-type TIM barrel, pyruvate/Phosphoenolpyruvate kinase-like protein MANQTTTTTLRVFCVGTLDTKLNELRFLSDSLRSNLHRFSDHTSPKLDIVLVDVSTAPTEPKPSPDFTFISRNEVLSCYDAVDTATLLPQDRGKAVSVMSQALHHFLQKSNSNQNIAGVIGVGGSGGTSLLSSPFTSLPLGIPKLIVSTVASGQTEPYVGTSDLVLFPAIVDVAGVNSVSRVVFNNAAAAFAGMVVGRIRSLGDSSLVDDKFTVGITMFGVTTPCVDVVRDRLHKEGFESLVFHATGVGGRAMENLIREGFIQGVLDITTTEIADYIVGGVMACDSSRFDVIIEKKIPLVLSVGALDMVNFGAKDTIPQSFQQRNIYEHNKQVSLMRTTVDENRKFADFIANKLNRSSSKICVCLPEKGISALDAPGKPFYDPEATGTLLHELQRLIQTDDIRQVKVYPHHINDLEFANALVDAFLEVNPKTVKDSTHPPAAIPETVQNVHEGSVSEKSSFGTIVYAPSEFPDAKPETLEKTQLILQQFKDQIDKGIPIIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAVVLDMANEVLPVVKKVPVLAGVCATDPFRRMDHFLKQVESTGFSGVQNFPTVGLYDGNFRQNLEETGMGYSLEVEMIQKAHKMGLLTTPYAFNQHEAIEMAKVGADIIVAHMGLTTTGSIGAKTAVSLEESVVLVQAIADAAHRINPNAIVLCHGGPISGPEEAEFILKRTKGVHGFYGASSMERLPVEQAITSTVKQYKSISIH >RHN47785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42523517:42524874:-1 gene:gene42426 transcript:rna42426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MHPWLENIVNLCRKRKNDNINMALFDQDPHASSIDLKRHCYGQFSSAFVQANEAMEDHSQVEVASRKALFLGVYDGHAGFEASVFITQHLFDHLLRAVRANENKITEPTLRDAVSATEAGFLEYVEKNYRQKNNLGKVGSCCLAGIIWKKTLHVANLGDSRAVIGTMVNNKIQAEQLTRDHNCKDEAIRKELMSEHPDDTTIVMYEREVWRVKGIITVSRSIGDTYLKRPEFSLDESFPKFEEVPEPFIRGVLSAEPEMRSRDLTENDKFLIFASDGLWDFLSNEQAVEIVQNNSRNIWNCKKACEYCSSTSGC >RHN55050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14707517:14715249:-1 gene:gene30176 transcript:rna30176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-PERK-1 family MDCNDVHYYVESPGFGYNHMNNGGSIHPLRSPSEATPPQMSGGQILFSYDQILEITNGFSSENVIGEGGFGRVYKALMPDGRVGALKLLKAGSGQGEREFRAEVDTISRVHHRHLVSLIGYCIAEQQRVLIYEFVPNGNLDQHLHESQWNVLDWPKRMKIAIGAARGLAYLHEGCNPKIIHRDIKSSNILLDDSYEAQVADFGLARLTDDTNTHVSTRVMGTFGYMAPEYATSGKLTDRSDVFSFGVVLLELVTGRKPVDPTQPVGDESLVEWARPILLRAIETGDFSELADPRLHRQYIDSEMFRMIEAAAACIRHSAPKRPRMVQIARALDSGDQLYDLSNGVKFGQSTIYDSGQYNEDIMRFKRMANGSFADSEFDMYSSEYSSRETSGSALVPKS >RHN56848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34183525:34192360:1 gene:gene32307 transcript:rna32307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cadmium-transporting ATPase MASSAPLAPSPLYQSFSIFFSHRSISLLHLSFFNFPGYFHCRRLRILAPRPSKTLRSVHQRSAKRFLKLCEANKGFYVKAGQFIASQKVIPREYSSTLSVLQDQVSPLPFEVIEKVLKENLGPDFSEKFLSIDERPIGAASIAQVHHAVLKSGQEVAIKVQYPWIEKQMHFDTRTMYFLSKTIGWLYPQYRFGWLPLAFAKTVSSELDFVQEARNSERAAKNFRNNKMVRIPHVFWELTTKQVLTMQFYAGHKIDDLDFLNQIGVDPEKVAKSLFELFAEMIFVHGYMHGDPHPGNILVSPEGRNGFSLVLLDHAVYRELDEEFRKDFCQLWEALVLKDSKKTMWFGERFGAGKYSRYLPIIFTGTTIESKYSSGMSLKEKESMKHELKSLLFEDLSSFMESMPPDFIAILRVDALLRSTIRKMDVSRLIRLLTYTKYAVYGRLCPKLDGELCKMPGTNVYFAVKAVFLSSISTLKYIRILIKVLFGAIFDSTPWQQKVKNVQNYLYRKIGSSDFWGILVHSAFLLFCIHPNALF >RHN71989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4197101:4198480:-1 gene:gene7654 transcript:rna7654 gene_biotype:protein_coding transcript_biotype:protein_coding MDINLEIDDDSKNDEQKVPLLRNAEVPEAERSLVQKAISSTFQSTAHLANLLPTGTVLAFQLLSPIFTNVGNCDSVCKSMTSVLVTLCGASCFLLNFTDSIRDSKGNICYGFATFKGLWVIDGSTKLPPQVAAKYRIKFIDFMHAMMSILVFAAIALFDQNVVNCFFPEPSKEIQEILTALPVAIGVFCSMLFVAFPTERHGIGFPLSTS >RHN67172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24556686:24556919:1 gene:gene15326 transcript:rna15326 gene_biotype:protein_coding transcript_biotype:protein_coding METKYVKIWTTYKIGMKTRKLFPGAVVASSGVVVTGEGCPFLIFLNGFCREDGGDSFYGDGFSKMKMVEQCKDRRRH >RHN61687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37537207:37540510:-1 gene:gene24175 transcript:rna24175 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRKPKWPHHPPPPPTPRILHFPRRPRRRLPSSSTTRRDPNMLGTLFDREKRAPAEPPINVPVVMLDRCEERRERVVIERGDGSLLEEEKWKFQAEMLRAECNLLRMEKEIAVKKLERTRSKMERTLRSAVHTLVSGRIKICEGKNMDRVLDEEIHELTDKLKKLQKRSTVKDFEGRRNSRNFDKQVSVLQRRLEKIGGPSDGIYLREFEEMENVSLSFKRSSRFDDSVVASGKLNVEILRRKMEGLSKGILLERMEEEYNSLLLSTASSSASTSKRVEFQVPHQENLSCEANLCSGHCKTIVRRIVEQVRVETEQWSQMQEMLGQVREEMEELQASRDFWKDRALHSDSQIQSLHNDVQEWKQRALSSESKKNEIEAKLSMLCVDFEKFQNEQNVVKGTKCPPVPLDTQKEFEKRIVICSSKEKSSNVTENRKHGEVLRNGERKTHAARGGLLVPKRMPFQDIGNNSSLLTRQQNGKAVFPLHCHLSSNAEKTYHLNER >RHN48766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50059696:50060093:1 gene:gene43518 transcript:rna43518 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVVAGVGFRVLCGGGGLVFVVVFVVVILLVLNCAQLQKRNKVRLHRFLVGLMVILDGFCNGFTQDLFMLLLVHLDFGFWR >RHN49500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55222186:55226156:1 gene:gene44339 transcript:rna44339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABI family protein MEFAMEQSKSFVFALQELKNLRPQLYSAADYCEKSYLRSDQKEIVLDNMKDYAARALVNAVDHLGTVACKLSDLLEQQTLDVSTMDLKISTLNQRLLTCQVYTNKEGVRQQQLLAIIPRHHKHYILPNSVNKKVHFRPYKQTDAKQNSFRTRNRPQPSGTPVAKTLSWHLASETKSTLKKRSSHASKKIKDAKFSAKTTGVFHLTDNEEITRFKPSASNNHVPNGVPTSGAATQTLGVTGRDALEDFRPLTTFGSFDNRNVHETVHVPSRSKSVLSSFFVKQRSAKLRAGSVS >RHN65975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7196225:7197356:-1 gene:gene13884 transcript:rna13884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFFLRALLPQHLPSLQKLKIGYCNKMEASIPKCDNMIELDIQRCDRILVNELPTSLKSLFLCYNQYTEFSLHQNVINFPFLEELVLDFTGFVECPSLDLRCYNSLSTLSIKGWHSSSLPFSLHLFTKLHYLYLYDCPELESFPMGGLPSNLRNLKIYNCPKLIGSREEWGLFQLSSLLEFSVSDEFENVESFPEENLLPPSLTDLNLRNCSKLRIMNKKGFLHLKSLKSLYIWNCPSLESLPEKEDLPNSLYTLRIEECGIIKEKYEKEGGERWHTICHIPMVTIDLIEQE >RHN39932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11805869:11806620:-1 gene:gene46016 transcript:rna46016 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKVKVKVKVELLKLSPVKMKADGGDFAPVQFHHRLKPNTHATFSLKLTTQQKNVRNNKNEIINRRRIELKEGGIGGTRGCYSLTHHQNWWNLNTNQSRRRWSNSMVLRFELGLCCCETQETFA >RHN81839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49258510:49263378:-1 gene:gene5931 transcript:rna5931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NUDIX hydrolase domain, cleavage/polyadenylation specificity factor subunit 5 MIPSQVVNTYPLSSYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEGILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLTSKLGANSPGLVPDWQIGECVAIWWRPNFETIMYPYCPPHITKPKECKKLFLVHLSEREYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFKMITN >RHN38434.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000016.1:38662:39778:1 gene:gene50746 transcript:rna50746 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKNTHRSYHLPSVRCVSASFACGFEFDFFLRYDLDPVRSIFVLHEFDSVSASSFFFYCDSVLFLLESGAILVLDRFELVL >RHN77462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7006360:7007502:1 gene:gene924 transcript:rna924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKKLCIQDISEMVNLGLDLETEILAWLPLKSLMLFRCVQRSWNVLVQSPAFLKRRHMNMHDSPSLMILNKKQNYVTLLSCDNLIHIKSPFSNNSHYPITMEGICNGVFCLKGFCWYNSCVNELAILNPTTREVHRIPHTCYLSNGSYLYGFGADDSNIIDFKVVKLCINNHWRKHKLSVSSAEVYSLITKSWTPTRHPPPPFTIITRQCPFKYNTLVNGVYHWITKNSFYHRYDGVANILCFNFRNDQFHQLRGPTLSAEDRYFLCDEEVAEIKGFLAYVVQCHYNNLKIWVMDHRNGWEKKYNIVPPLFNFRMCGLWKNGDQLLGGRAGELLRSYDHQGNSLCQFQIDVDYAKYFWIHEYVPSIAPLSAYLAKALVTPN >RHN79659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30980251:30980657:-1 gene:gene3477 transcript:rna3477 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTFHFLAQCKELVKTTPNAAKVFNFSIAKQSHIHTQGGDPLGAINQGSEKAREVLESVEEVGKENVETAWDATKNTVQLVTETTTAEADMNAVDTAESMDVRRKRWRCLR >RHN38501.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:110012:110851:1 gene:gene50610 transcript:rna50610 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEIQASIEYFDKVWREKMLEDIKRRSSPSSPLKELQRNRREKMMAIIDRGMADISKILEENLFQIQNHYIEPKINIEEKESLIVKETHEEECEKEREETKESDEKEVEEKGEEKENEKEKEEKNVRKFWPTITLVPSSKLICVFKCWDSSSNIIQLPNISLCHEGNKENEETFSQQVEENYVIWNDDHVHKSKVDEKKTNSSNKSEELSKVKVTCDGFHRFIFDPGGIQAINSRSNSVEEGEYDVILKLSLLTRIIKSLWNKRTKLILGSKFYFRRS >RHN80333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37259242:37262010:-1 gene:gene4245 transcript:rna4245 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEDSDICIIKEPDRVVVYSDGISQDSGHETGTDNHNMTESYEHINETTEHHSSEESTKEYEVKECTTEVSVKAPDVSNIRKSEEKLTPDFEGVLNAKSSKPHKTRGNHKPRDTVKPTVPRPFSLATERRATILTRPTFEEDNKGGHGRKSVNKKNVLSSNTLKQNQLKTPLVPRKPLKPDNKKHSDEDDSCSVASGTATSVKSFKSRATVASAPSFRSTERAQRRKEFYSKLEEKQQAMEAEKNQNEARSKEEKEEAIKQLRRSLKFKASPMPSFYHEGPPPKVDLKKLPPTRAKSPKLGRRKSNSGAVYSSEGVKEKGAAAQRKHRTSNTNNCNLSDVTNGSGLDGLMSKTENETEHTKLIEEINMIKANGQAGIEISSQ >RHN79565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30151111:30154966:-1 gene:gene3376 transcript:rna3376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylethanolamine-binding protein MGSITSDPLILGRVIGDVIDYFTPTTKMTVTYNNKEIFNGYEPFPSSVTTKPRIEIGGVDMRSLFTLIMIDPDVPGPSDPYMKEHLHWMVTDIPGTTDSTFGKELTSYEKPKPNIGIHRYVFVLFKQEKGKKHSIVAPFSRDHFNTRAFSAQNDLGVPVAAAYFNARRATAPRRRAS >RHN53407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1500578:1500784:1 gene:gene28310 transcript:rna28310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone synthase 5 MSGIGMPGADYQLTKLLGLCPDVKRYMIYQQGCFAGGTVLR >RHN67468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27499620:27499916:-1 gene:gene15661 transcript:rna15661 gene_biotype:protein_coding transcript_biotype:protein_coding MNCPFSQTYCKIKKLLHIALKPKSGSHLYPAIDWSRYWLGAGAATHMAGNGWLKWCHMDCNFYGWMRLFHPFLLFVIGWWDYINCELKHLHMCCTRNT >RHN53943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5570231:5574640:1 gene:gene28916 transcript:rna28916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Queuosine, Q, salvage protein family MDDVRSTSAWVASHSSHVVVDSSGIEKVVSTIDSIPKVEWDFEGIHYFDNGPLTVQYLFVLDALNFCFWPDKDLNYDNLASGLKAALQNDKSAFDADRLQKYTGPQLRELLNWPRPLPLEDERVRLLHEVGIELERNFDGKASNLVEQSGKSAMNLVALVARHFPGFRDHSVYKGRQVFLYKRAQIFAADLWGAFGGQGCGEFKDISSLTIMADYIVPAVLQQLGILKFSPTLASTIEASGEICPGTEEEVELRACSIHAVEKMRELISVKSGTPVLSVELDLWLWATGIQCESLKHHRTLSIYY >RHN73586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17891761:17895200:1 gene:gene9412 transcript:rna9412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MDTKRQTLILLLVLTIYIFPFTIKASSSGGIAVYWGQNLGDGNLTSTCDTGNYEIVLLAFLNVFGGGRVPNWNFAGHCGDWSPCTKLEPEIKHCQQKGIKVLLSIGGASGSYSLSSPDDAKNVADYLYTNFLSGQFGPLGSVTLDGIDFDIEGGSDLYWDDLARNLDNLRQQNRYFYLASAPQCFMPDHYLDKAIKTGLFDYILVQFYNNPPCQYDIINSDATLLLQSWNDWTSLALPNNTVFMGLPAAPDAAPYGGYIPPDDLISKVLPFIKPTSNYGGIMLWDRFHDVGNDYSNQIKEYVKRSVLRFVTQVSEAIVGSISAALNSMFPN >RHN82110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51306784:51310396:1 gene:gene6235 transcript:rna6235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MITILVKKNLIVQFFLRTLILSITETSASVFNNVTCTTNQTFTPNSTFHKNLNTVLFSLSSNVTNDVRFFNTTSGNDSNKVYGLYMCRGDVPFALCRECIGFATQKIPSSCPSSKEAVIWYNECLLRYSYRFIFMKMETWPRYKIEIPMGDPVLLQSKRFYSALRSVLNVLPKEAALSLGGFNKYAVKQENASASVTLYGLAQCTPDLSAGDCRRCIEVAVVEFPKDCCGGSIGETVFFPSCFVRFETYPFYQHSETSAAATTTKGGRNIPTNVVAIIVVLVVILAVILSTGCCLLLIKAKKRRRASHRENFVLEFKNSESLEFDFATIEIATNMFSEDSKIGRGGYGQVYKGTLPSGQEIAVKRLSKTSGQGAEEFKNEVMLIAKLQHRNLVRLIGFCLEDQEKILVYEYVPNKSLDHFLFDSRKQKQLTWPERYNIIKGIARGILYLHEDSRLKIIHRDIKPSNVLLDNNMNPKISDFGMARMVAIEQVQGHTNRVVGTYGYMSPEYAMHGQFSEKSDVFSFGVMVLEIISGKKNSCSFESRRIDDLLSHAWNQWKDESPFQMLDPIMQESYSPNEVEKCVQIGLLCVQENPDDRPTMGTVVSYLNNVSIEMPFPMEPAFFMHGRTRRNSGHSAGHESYSGHSTNYSLSSSSVCPR >RHN52075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31317677:31321670:-1 gene:gene36693 transcript:rna36693 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIIPDPSVHMAIMNEINAAQMLLLASEFKGEADKVLIVKKAEAEAESKFLGGVGVAR >RHN42979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42339106:42343405:1 gene:gene49495 transcript:rna49495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MDPSSSSQFVSSRNIGVYDPIHQFTIWEEHFKSNGDSSVYEPEMKLNNQSEDASFGILGTSIKYDHQEANKVTNKMQRRLAQNREAARKSRLKKKAHIQQLESCRLKLLQVEQELDHTKQGLYIGGGLDSNNLGFAGSVNSEIATFKMEYEHWVEELNRQMLELKGALSAHSSDIRIGELVNGLMNHYFKLFCMKSDAAKVDVFYVITGMWKTTAEGFFLWIGGFRPSELLKVLVPLIEPLTEEQRFDAYNLEKSCRQAEDALSQGMEKLQGMLVDTVAAGQLVEGTYIPQMDIAIERLEALASFVNQADHLRQETLQQMSRILTVRQTARWLLALGEYFQRLRDLSKLWTNRPREPA >RHN48676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49420659:49421575:1 gene:gene43418 transcript:rna43418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucosamine-phosphate N-acetyltransferase transcription regulator GNAT family MQNSEEQKYRARRLEITDKKKGFIELLQQLSVCDSVSDKEFEDRFREIDSLGDDHVICVIEDEITGKTIATGSVFIEKKFLRNCGKVGHIEDVVVDSSARGKQLGKKVINFLTDHARSVGCYKVILDCSVENKVFYEKCGFKEKEVEMAMYFV >RHN48400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47240733:47241768:1 gene:gene43112 transcript:rna43112 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSCISNCINDARDPRVPVRASYVNLYKWPESDAAFVRSVSSGRENGLQQHPRVVDSISCRQLYLRSYTFCRDDEKKVLEKTKKCFGRVKVKKVKAKERVVIGKKAKKISCSTLFSIFQRFLSCCASVDVVDENHHF >RHN43045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42806964:42807422:-1 gene:gene49566 transcript:rna49566 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMNYEQFDYSFTYMNQLHECTLENLSPLPAEDLLSPNTILDEILFDQDQSQYHLYPNTILDEIFDQEESMQGLLQQHTNLDFNIINHEQAMALENELCGGVTEKGRKNVFVSREEESYWNAVQEELMEETCLVDLLLMGAEVVESQNMTL >RHN69738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45958585:45960940:1 gene:gene18250 transcript:rna18250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nascent polypeptide-associated complex subunit alpha MAPGPVIDAPATESEVEQQIPSVDETTLKKKPQPEEDDAPIIEDVKDDDKDDEDDDEDDDDEDDDDKEDALAGADGSKQSRSEKKSRKAMLKLGLKPVTGVSRVTIKRTKNILFFISKPDVFKSPNSETYIIFGEAKIEDLSSQLQTQAAQQFRMPDMGSVMAKQDQGASASGAIPEEEEEEIDETGVEPHDIDLVMTQAGVSKSKAVKALKTHNGDIVGAIMELTT >RHN42032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34920268:34942231:1 gene:gene48419 transcript:rna48419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase-like ATPase domain-containing protein MSIVPKTEKNDTAIPVVDLDSDDDEGTSATMSDIQLPFQQNPPELISPPLVSQSQSFWKAGDYVAGPSSKPSPSQGHLDHARVHPKFLHSNATSHKWAFGAIAELVDNAVDEIQNGATFVKVDKLENMKDNSPVLCFLDDGGGMDPNSLRKCMSLGYSSKKSKTTIGQYGNGFKTSTMRLGADAIVFSRSTRSGRATQSVGLLSYTFLRRTGQDDVIIPMIDFDISGHWVEPIVYGTLDDWSANLKTILDWSPFTSKEELMLQFDDIGSHGTKILIYNLWLNDEGIYELSFDDDAEDIMLRDEAKNGNGKKLDKRTVQLQSHIFYRIRYSLRAYVSLLYLRKFSNFKIILRGKPVDHFDINDELIHSEVVRYKPQMAVMNEATVETTVGFIKEAPRLNVAGFNVYHKNRLIKPFWKVHADGSSKGNYVVGVLEANFIEPAHDKQDFERSLLFIRLEGKLKQIVYDYWKDHCHLVGFQPTNFRSQIGQKEARIRQSAGHLTNSRNEILADPHDICVAAEHQQIVNLHQPNEGLRATGKQCLTHEQGGTSSGASPSVSIDAICDENIKLFTRCEEYRQKETELRKTVKHLEEELKEYQSKCAFVSSQIEAKRKQNNAR >RHN39718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9909801:9915720:1 gene:gene45772 transcript:rna45772 gene_biotype:protein_coding transcript_biotype:protein_coding MDILNFSPPKTISYPFFCNPRTLYTSNRNTPFHKNTFSFYLTTSTSRKFQTLAHFRRPTNRRNSLRNKLLHDHQVSRNHIPNDPSSVSSNHVEEIDDASFVELEKLHKSELLGENVLLNKLDNWVDQYRKDIDFWGIGSAPIFTVYQDLFGGVKRVLVDEDEILKRVGGNDIEDKILEAKKLAREMESGENVIAKNSSVAKFIVQGEEEKGDFVKAVRGFIVQPGLVPKLSGVGGIVLCVFVMFGVKKLFRFGDKEVRYTEMEKKMMMRKAKARKEKEMLMKGAVEVIHESTETPVIGVKKPELDKEQLKYNILKAKASSDKLVVQNSSGEVITGSMDMDYKVREIREMARRAREIEGGDRSLVSKDMEMDDSVIGKSSKEIEVIKENSKQDNSLSNRQNEGASKTTDSNGILHTTSDDITENVDISIEHEIVRDDREICKVEIKINDVAMTPKDREDNKSSRTPINGSFMTNKSSVDKKPRIIRSVKEAKDYLSKKHDKENPDAKSGIELGKENMADSKPSEFVVFNDQKQKNLETNTILSRSDALNGLSYSKPDKNASEDSNQKEREKSPTKNGCSKDSGTEPGLKDLQKSETALDRQVNGIGSKESLPQEKSFDEVEPTVKQIRNDDTLNMKSDSRLDLNPSEDSDQKDKKFGPTKIGDIKDSGVEPRVANLPNSETTSDHEVNGDSRKKRFSGKTENWLEKNFHEVEPIIKKIRAGFRDNYALAKERVDQPLDIPTEMESIGVGEDGGEFDWMQDDHLRDIVFRVRDNELCGREPFYLMNDEDKDAFFRGLEKKVDIENKKLSHLHEWLHSNIENIDYGADGISIYDSPEKIIPRWKGPSVEKIPESLNEFLNKKIKTTSTGNLKPVKKDGKDSAKKSADSSSKVKVDGSIAPMKKSKNPKTVVEGSDGSVKAGKKSGKEYWQHTKKWSQEFLDCYNAETDPEVKSVMKDIGKDLDRWITEKEIEEAADLMSKLPERNRSFVEKKINKLKREMELFGPQAVVSKYREYEDDKEEDYLWWLDLPYVLCIEVYKVDDGEERVGFYSLEMAPDLELEPKPYHVIAFQDPGDCKNLCYIMQAHMDMLGGGNAFVVARPPKDAFRDAKENGFGVTVIKKGELQLNIDQPLEEVEEEITEIGSKMYHDKITKDRSVDINSIMKGVFGFNGSAKRFKRKLK >RHN47457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39907983:39915335:1 gene:gene42063 transcript:rna42063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CK1-CK1-Pl family MCLDIRKAHIPYYICMVHMIFSHTQQHSHTYIYTQPTTTPPIISPLPHPLFSFLFINQKNLKYFFLIKLDITKTEHKVNPYLISSSSSSISRTLISFINHHAFFSIVKQLFTFFFQHYAFLRFLLLILPRSLLSSLSLLFPDSIATRSFHFWSSTSRSDFFAVFGDYNVGYDLNGERMPELRSGARRSKRLGDLQPGPQPVDQGENWAEPAQNRTRRRVGGGRGRGGNATGLGKGSSPAVPTRRTAAGRGRGARLIDLDPQPCDLLPEPVALRAQEPVYNNVEVVANNNIAMEGGSGDKGVAAEEDASTTPVPERVQVGNSPVYKTERKLGKGGFGQVYVGRRVSGGSDRVGPDAIEVALKFEHRNSKGCNYGPPYEWQVYSNLNGCYGIPGVHYKGRQGDFYILVMDILGPSLWDVWNSLGQSMSPSMAACIAVEAISILEKLHLKGFVHGDVKPENFLLGQPGTADDKKLYLIDLGLASKWKDASSGQHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMSTSAELMCCFCPAPFKLFLEAVTNMRFDEEPNYSKLISLFDSLIEPCTPLRPIRIDGALKVGQKRGRMLINLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADNRLRQHVDKGIEDGLYISCVASSANLWALIMDAGTGFSSQVYELSPAFLHKDWIMEQWEKNYYISSIAGAVNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNAGYSDQVVELDFLYPSEGIHRRWENNYRITSMAATNDQAAFILSIPKRKLLDETQETLRTSAFPSTHVKEKWSKNLYIASICYGRTVC >RHN75850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45526807:45528999:-1 gene:gene12105 transcript:rna12105 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVEASDKPIFCVGDDEEHFNFKWLASFDKKTSHSASREDFESDDDDDDVPLSARFAKKRGATTLPIKRAFDNNNSLCCLMKKSKVSPKPYDDNDVKDNDDYVPAMSTDKSISELKKELAFIEKSFEERRRDMQVEKKRLHSIKRDIEECCRELENKQKEISYVGRINEEAHKKMQVKTEECVKDFVAKEGQLYLVEELIRERKQELKTKELELRQITDNISKQKEFESQVKELGNDLVSEHMHYKSRMKELESNEKQLGGQLKEHESEEKEFEDRVNELESKERYFNNWVEELNTKLMPLKGQFKELASKEKQLDGQVKELESKKKQFENRIKELESKEKQHEGRVNEHASKEREFEGQVKELKSKKKLFEIQVKELESKEQQLVDQMKEFKSKEREFEGQMKELASKQKHFKRRMKELEAKEKQHEGQVKECESKEREFKSQVRELESKKKQFERQVKELESKYNRVGQVKEFEPKEREIEGEAKELVLMVLKQKHLESQVKELESKAKQDEVRLKEHELNERELKGQVNDLVSKLNHFESRMKELESKEKQHEGRVKELESEKKHFESQLKELESKENQFKERMKEFQSKEEELKGQVKEFESKEKKFREQMKEFHSKEGEFKGQVKEFESKEEEFKGQVKELKSKEKQFEERWKELKTNENKFKLLVKELKLNEKQFEGQVKDPESKLEKFDGHRKESELREKQYEPLIKDFDEGSKSGKCSYLV >RHN59870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14216156:14219717:-1 gene:gene22035 transcript:rna22035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MVLFGVVFNTKGNFVKDPKLRYEGGKVFAVKAQDTEFWSYFEARDIILEHDEDFDLEKVNLWWMHIDGNLEEDIKPFKDDKDAVKMSTFAADNNCDVELYVEPKDSKIDLCVLLSKGKGVVEAHVEQSNKEKVGSDVDESQYETDEDSSDDSVKDIHFDDSEEERAFCLDDGFDELVNEVSLNVSNHKCVAAPGNVHVGEIVPLVQNASDNAPKAGSDGGKMLLINNAPQQSYLGFNRKMFMSNDSFIVDLDKRTCSCNFWDLVGIPCRHAVVSIGRALETPQSYVHTYYHKKTYLDCYDQTITPINGQKMWPKTELPYILPPNYKRGPGRPKKLRRREPDEGSQGNWKRNSTTHRCKKCLKYGHNARTCKVPNLVKEKEATNGNDTNVVVTTNEGVVATVGSDTTMPSDNASLIQTQGSQTEPAPKKGRPKGSKNSKGGPKVSKVPKVPKVPKVSKGGQVSKVDKPSAEPNSNVASSVELNSNVVPSAEPNSIVAPSAEQSQGTLIDPDLFVELLFTDEVPEMLSERMVCSTPVVSKPAVMVPFVSAADAPSISEPTTLSVFFYLMMFYCNDCNFILS >RHN55484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18893892:18899994:1 gene:gene30672 transcript:rna30672 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIIIIKCFGMKNNLIEVKKKTWKGRDRKQNGLRKAKKTIQEQQLKQQKNPSSQRMGGRWIKTLSSLLYNNNHRHIPTTTTRSSSSSSPLLFTPHFLFNRFLTVAAIPLDPDPIHIEPEDDPHVKIPIKAFFLSTSINLKGIQADNPRNVVPPSSRSASNYVALRFCNYNSDANGPGFHVKASNCRYMVVYQYGSAVLFNIEDHEVDIYLQLVKRHASGLLSEMRKDDYAIREKPQLVEDMLGGPDYIVLKSLDTDGIRLIGSVLGQSIALDYFVSQVDGLVEEFAGINRGMEKTGTFTMDKKKLLQLVGKANSNLADVILKVGLFERSEIAWRDAKYAQIYEYLREEYEVAQRFGNLDYKLKFVEHNIHFLQEVLQNRKSDFLEWCIIGLLTIENVISLYEILKDSNAIS >RHN68507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36066281:36066901:-1 gene:gene16870 transcript:rna16870 gene_biotype:protein_coding transcript_biotype:protein_coding MRCYVGFCCSLVLACCSLCVELFGCCSLLSIIGIGCCRNLGELEQFIFSVNLYWISTLRFLCILACFMLFFINNISFGF >RHN76354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49643128:49648208:1 gene:gene12664 transcript:rna12664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif domain, RNA-recognition motif (RRM) Nup35-type MSTTAQRTPRSGRQSLFFQDLASPVNARRGKFSSPGQSGASSSLWRENFGNSDLPPPPFFTLEDRSDFSPESGILDYQMSPETKSDHKTPIQTPNREFSTPAKGKSEASTSYALRGVQQNQQGSLSLNWWSSPAKSGGEQEEKGRGSPVEGVVQPGALITLPPPPEVARPEVQRNSLPAGNLNEEEWVTVYGFSPNDTNLVLREFEKCGEILKHVPGPRDANWMHILYQNRSAAQNALNKNGIQINGVLIIGVKPLDPMQRQALTERLNNQGFMPLPLPTARNSEVSTSRAPSRPYYLQNGNATTRQTGGTIASPTKSFASKIMDLMFAV >RHN57016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35779141:35783958:1 gene:gene32499 transcript:rna32499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKATGVTEVVLAINYQPEVMLNFLKDFEAKLGITISCSQETEPLGTAGPLALARDKLIDDSGEPFFVLNSDVISDYPLKEMIEFHKSHGGEASIMVTKVDEPSKYGVVVMEETTGQVEKFVEKPKLFVGNKINAGIYLLNPSVLDRIELRPTSIEKEIFPKIAAEKKLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKSSSKLAGGSNIVGNVIVDETAKIGEGCLIGPDVAIGPGCIVESGVRLSRCTVMRGVRIKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKTNILKPEIVM >RHN59716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12705516:12706145:1 gene:gene21820 transcript:rna21820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I Ycf4, assembly MSALLVNVPKFISWGSEKHGCRSQDAWLDIVPGSRKPINFFWASFTLLGSLGVLYLSVSSYYGRHFFSLISSEFVVPFLPQGVTLTFYGIAGLFLSLHWWLLIFWNVGSGYNFFDKKKRMVCFFRYGFPGTYRRIFLRVRMEDIQSLILQANPNPEPSSGVLYMQTREQGTIPLTPVDDYYDRTPRNVIQKAWDLSRFLSVPMEIVPYS >RHN43138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43499998:43500457:1 gene:gene49669 transcript:rna49669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MVHFSKLYFENISMSQILKKICDHPLLLTKRAAEDVLNGMDSMLKPNEVNVAEILVKHITDVVKTYTFKDENDVPCKISFIMSLLGNLIAEGHRVLIFSQTRMMLNFIQVSSEITSICILQYIFF >RHN60128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21304301:21305745:1 gene:gene22360 transcript:rna22360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MFFIFIYVCVFLRVYFPLENLILMFEIMMRNYNIITLHALLVLSFIVGFNLTTKNGDMKCKERERRALLTFKQGLQDDYGMLSTWKGGQNEDCCKWKGVQCNIETGYVQSLDLHGSETRHLSGEINPSITELQNLTYLDLSYLNTSSQISKFIGSFSKLRHLDLSNGHYDGKSLFLSSNSNLRINNQIVWLTNLSSLRILDLSGVQILNDSSQQTLQFLMKFPMSSLSVLDLSENQLESWIFNWVFNYSSNLQQLDLSDNLLRGPIPDDFGNIMHSLVSLDLSWNSLEGKIPKSVGNICTLETFRASEIHTSIGSLMELQTLSLSRNSFEGVVSESHFTNLSKLVALDLSYNPLTVKLSDDCEGEKNTRRGGGGGGVELCFLFL >RHN40413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16069100:16069782:1 gene:gene46561 transcript:rna46561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MHKKEIYEVLVKKIGLEGADNAYRMPEAYDDQQSGAWEEHQIRKAKLKYGSKNKRQVSDDYRFVFEEQIDCIKASVMDGHKFDYGQEIALKKSSAKRSVFEALQEERKKLPIYPFRDEFLQAVHDHQVLVIVGETGSGKTTQIPQYLHEAGYIKHGRMLACTQPRRVAAMSVAARVSEEMGVKLGHEVGYSIRFEDCTSEKTI >RHN44588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6557787:6559110:-1 gene:gene38726 transcript:rna38726 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEAGEDANWFNSEATRKVGNGNSSNFWEVAWRGEIPFRVKYPRLFALSNQKYTSSGPIYKRIVFLDTLNNVCI >RHN40291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14974675:14976487:-1 gene:gene46430 transcript:rna46430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MISQEHHCSLTRLYIRECSNLEMNLKKLDYEGFCNLSSLESLCLSNCPNLQCLPVEGLPKSISRLAICGPSVVKERYMKPKGEEWGKISHIQCLVINDVIIN >RHN77514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7338971:7346097:-1 gene:gene978 transcript:rna978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoside-triphosphate phosphatase MMRCACVNGGSVILQPSSSSSTIRFQFQQQQRYLNLVRSHSLSSFSLNVTPHNTEQLQLSSSFSNDAVLLPIQEQEQQQSSPPTPDEDKSTTKLKKKKKDKNDDDNSSNIDNRFKLRNGREVFEEKAYLVGVERKGEVSDSFGIEDSLSELEQLADTAGLLVVASTYQKLASPNPRTYIGSGKVSEIKSAINALDVETVIFDDELSAGQLRNLEKVFGGDVRVCDRTALILDIFNQRAATHEASLQVALAQMEYQLPRLTKMWTHLERQSGGQVKGMGEKQIEVDKRILRNQIGVLKKELESVRKHRKQYRNRRLSVPVPVVSLVGYTNAGKSTLLNQLTGADVLAEDKLFATLDPTTRRVQMKNGKEFLLTDTVGFIQKLPTTLVAAFRATLEEISESSLLVHVIDISHPLADQQINAVDKVLSELDVSSIPTLMVWNKIDRASDPQKIRLEAGKRDDVVCISALSGDGIQEFCNAVQDKLKDSMVWVEALLPFENGDLLSTIHQVGMVEKTEYTEQGTYIKAHVPLRFARLLTPMRQLCVPRP >RHN56305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29696333:29700219:1 gene:gene31684 transcript:rna31684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MNLHAMVSLPSVVVVGVTATLKLHPQFRKYPPTSFPIDKGQSISLQKTHISTHLDPNRNLKFQEAFSLAKESNEEVDSSFYPPLLQQCLENCSFSSTQIIHCHIVKTGSHEDPFLSSFLVTVYAKCGRMECAQQVFDHMNRRNAVAWTNLMKGYVQNSMPKHAIHLFEEMLLHSECYPSNYTLAIALNACTSLHSLKLGEQLHAYIIKYHVDFDTSIGNALCSLYTKCGGKLEVGLTAFRRIKEKDVISWTAAISACGEKGEAMKGVRVFVEMLLDEVQVQPNEYTLTSALSQCCEVKCLELGIQVHALCTKLGYESNLRVRNSLLYLYLKCGCIVEAQRLFKGMNDVNLVTWNAMIAGHAQMMELSKDNLSAYQKGIEALNLFSKLNRSGMKPDPFTFSSVLSVCSKMMALEQGEQIHARTIKTGFLSDVVVGSSMINMYNKCGSIERASKVFLEMSIRTMILWTTMITGFAQHGWSKQALNLFEDMKLVGIRPNLVTFVGVLSACGSAGMVNEAFNYFEIMQKEYKIKPVMDHYVCLVDMLVRLGQVQEAFDLIKKMDYKASEFIWSNLIVGCLSQGNLELGCDAAEKLLSLKPKDTETYKLLLNAYVSAGRYDDVSRVENIMREEKIGELKDWSWISIKDRVYSFQTNDKADIESSIGKSLEDLHIKAKNLGYEMLEYVEKSDKEKEKTSSPTIYHSEKLAITFGLENLPNSSPVRVVKNTLMCRDSHNFVKYISTLTSREIIVKDSKRLHKFVNGQCSCGNIGGFL >RHN82437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53808632:53812542:-1 gene:gene6604 transcript:rna6604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MVFLRQLLAFTLSSFLLTWLLCLAVEANYSSSKIGQGYRLISIEETPDGAVVGILQVKEKNNIYGVDIPLLSFYVKHETESRLRVHITDAKKQRWEVPYNLLPREQPPPLKLNIKRLKKNSLSVSEYSSSELVFSYTSDPFSFAVKRKSNGDTLFDSNYSNESDSFRPLVFKDQYLEISTKLPKNASLYGLGENTQPNGMKLHPNDPYTLYTTDISAINLNSDLYGSHPVYMDLRNYGGKPYAHGVLLLNSNGMDVFYKGNSLTYKIIGGILDFYFFAGPTPLNVVDQYTSFIGRPAPMPYWAFGFHQCRWGYHNLSVIEDVVENYKKAKIPLDVIWNDDDHMDGHKDFTVNPVNYPLPKLLNFLDRIHSIGMKYIVINDPGIAVNTKYGVYQRGMANDVFIKYEGEPFMAMVWPGAVYFPDFLNPKTVSWWADEIRRFHELVPIDGLWIDMNEVSNFCTGKCTIPKERFCPLQGEKLPNSTCCLDCTNITSTRWDDPPYKINASGNEIPIGYKTIATSAVHYNGVLEYDAHSLFGFSEAIATHKALSELQGKRPFVLSRSTYVGSGKYAAHWTGDNKGTWEDLRYTISTILNFGIFGMPMVGADICGFYPSFYPTLRYPISTEELCNRWIEVGAFYPFSRDHSSVISPRQELYQWESVAESARNALGMRYKLLPYFYTINYEAHISGAPIARPLFFSFPNYIECYGLSTQFLLGSSLMISPVLEPGKTEVKALFPPGTWYSLFDLTQVIVSKDGNYVTLDAPLHVVNVHLYQNTILPMQQGGMVSKDARMTPFSLIVTFPAGANEGEAKGNLFLDDDEMPEMKVGNGYSTYIDFHASVKEGTVKIWSQVQEGKFVLDKGLVIDTINVLGLNYGSGTLVSIEIDGEPSIGVSYVKVSTSEHKYLFKQGDEEKKTVMVGIKGLNIPVGKRFALTWKMGS >RHN55998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26586856:26587218:1 gene:gene31308 transcript:rna31308 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGEKKKKKKKKKKKHGQYPEYGVVITVYVESSRARSSNKSSKKTKPQYESKTRRGCDRKVQLLAYSLLSHLQLCCKLLYCGKKQANVVDAAAIAVIELLWRRLKLLLYCNHICSYRS >RHN64897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62770179:62778084:-1 gene:gene27770 transcript:rna27770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MAETLMVETETITKQHDPFQRKIEFIPVKKPFKGFSNDFNIETLNPTTSEHRQLVSANIQSSQPQPSKNSEFSEFGLDPELSLGITFRRIGAGLWNLGNTCFLNSVLQCLTYTEPLAAYLQSGKHKSSCHIAGFCALCAIQNHVSCALQATGRIVSPQDMVGNLRCISRNFRKARQEDAHEYMVNLLESMHKCCLPSGVPSESPGAFEKSLVHKIFGGRLRSQVKCRQCSYSSNKFDPFLDLSLEILKADSLQKALANFTAAELLDGGEKQYHCQRCKQKVQAVKQLTIHKAPYVLAIHLKRFYAHDPNIKIKKKVRFDSALNLKPFVSGSSDGDVKYSLYGVLVHSGFSTHSGHYYCYVRTSNNMWYTLDDTRVSHVGEQEVLNQQAYMLFYVRDKKSITPRKPVNIAKEEISKTNVIGSRYPSTPTNALKDYPNGHVENKFCGVPLTAETQKNLLNADPSRISDALIQQKNSGILAKSLMHNETPVSERTSKELTQMNSSDELPVAKSELECLSSLDHSGKDNVSGNQKCLVAPAGDKPNLFTEDTLLKEGVDSPLVEPIVSNPQTFIGKHASDRTSPSDEKNSPAEVDAVAAQDSVTNLSEHAGLVGTSNAVVPQGLVLKVSSKRSLKDSSLDQKQVKKSKKKFPKYKGSIMQLSLIRPSMRCLGPRKKNHKKNRRCLLGLKYHSKEKLKKNAISSDVGPSISRKEHLRSSLMKHDAEREIINRMDQNCAVLATATQVENMSRCSLQDDKRDQMQNTVVTQGLEETVVARWDDVELPSSQALESKTDQIARIGYVGDEWDEEYDTGKRKKLRCLKQSFGGPNIFQEIATEKSKLKRAKLDHSSFRNHPPIRI >RHN39026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3602741:3604483:1 gene:gene45011 transcript:rna45011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ethylene-responsive binding factor-associated repression, Ninja family MVEVEEIELDLGLSIGGAFKKSKPESRPDKLISLGHDVGLEQNQQPQMKREIQALRRMEVKRKRVQKRETCLETGDLKPECEQVFKREKTDIVDGVVSWMTPLQVVQRPQQYETLRYRLSLPFSFGSEKNEGRINGVGFNGGDGKVKSNGSSRCSSAISDYQSSSPEDGGSTESRSRSHSANSFAEPTHLKETIQTQPNGIKLNEQSAAAATKTDQIPIPIKKSLPQMPYVSTKGNGSNGKVVNGFLYRYSKCDEVSIVCVCHGSTFSPAEFVQHAGGTDITHPLRHITVNSSAFS >RHN51622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21151464:21162167:1 gene:gene36099 transcript:rna36099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQILMFVYFLIIFLSLFLVESIKIFTEHRCRTDADCPARELPEYLKCQGGMCRLLIKKD >RHN48815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50477611:50478649:1 gene:gene43569 transcript:rna43569 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKYENNHTRYYSVRSVHSVLAGGGCTTNVDRRTTGEASVLFMMLNYCHLLRLVILHNLLKRKVITDPSGTSCAICGASM >RHN39602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8603925:8607087:1 gene:gene45641 transcript:rna45641 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNQNLKNAIIAILVPLPSIIFYLTFLNNYHSSISIPNNPSFFSTLWTWCYHHPLLFANALFFFNVNIIFWLIGQIQSSHWLIDPYWTVIPVMLVHYYATHPLAQYDLWRSKIVILLTWVWSIRLTHNYFRREKWQWGAREDWRFTQMSQQYGKLWWWVSFFAVYVSQQIFLIGLSLPLYVVHFVNKPLSILDLVAIVVCLSGIVIAYFADTQLHDFMSRNNQLKGLGKPVIPVLDTGLWYYSRRPNYFGETLWWWGLVVFAWNLGHGWTFIGALVNTFCLAYVARLVEDRMLKQESRAEAFKLYQKTTSAWIPWFKSFPSDVKNKNA >RHN53954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5720877:5725006:1 gene:gene28929 transcript:rna28929 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLNFHCSLIPTARQTKPGSNHGSFIIQNPRASKFSQQVSIKVKAVKGEMNGETSGSSGGSWDPGLEIEVPFEQRPVNEYSSLKDGMLYSWGELGPGSFFLRLGGLWLAVFTVLGAPIAAASFSPSREPLRFILAAGTGTLFIVSLIVLRIYLGWSYVGDRLLSAVIPYEESGWYDGQMWVKPPEILARDRLLGSYKVKPVVKLLKQTLVGTGALLVTGVMLFIFATPVENFLHSTFTTEENKSTVQVPKVNTKYNLRKEELLKLPADVKADDNLAAAAAEAADGRPVYCRDRFYRALAGGQYCKWEDLLK >RHN63913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55012412:55012684:1 gene:gene26669 transcript:rna26669 gene_biotype:protein_coding transcript_biotype:protein_coding MIKYWWSCKSELQAPGMGIEAEAAVAIVNSTKSIIPTSPKASTGIANDSTTTFTASLLMTKDEEQDKKKHLLRLKLGKKINGIYGDWIDD >RHN81534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46796151:46798028:-1 gene:gene5594 transcript:rna5594 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MEHKMKTTTSPPHLPIPIHVPIHTMLSNSTPSSSSSPPLQSPTPFPSPPSQYSPPSAAPQPPSPPPPIIVSPCAACKILRRRCVEKCVLAPYFPPTDPLKFTIAHRVFGASNIIKFLQELPEPQRADAVSSMVYEANARIRDPVYGCAGAICQLQKQVSELQTQLAKAQAELVNMHCQQANLVALICMEMSTQSSPDHDMIINQPQPHVDMSCFLEDNNFGSAWEPLWT >RHN55192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15921498:15929570:-1 gene:gene30332 transcript:rna30332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MAITTKTKLILSLLQPHHHTFSLFQTPTTFSFSLLPNRSLKFPLSFSFKSPPSPIRTFNADDDEDEEEEIDDEDDEEEEDIAADEYDEVVVSGEASDEDEVEVEELGRYDDGFKWQRVEKLCNEVREFGVGIIDVDELASVYDFRIDKFQRQAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFREFRETFGDSNVGLLTGDSAVNKDAQVLIMTTEILRNMLYQSVGNVSSGSGLVNVDVIVLDEVHYLSDISRGTVWEEIVIYCPKAVQLICLSATVANPDELAGWIGQIHGGTELVTSSKRPVPLNWHFSLKNSLLPLLDDKGTQMNRKLSLNYLKLQAAEAKPYKDDWPRKRNSRKRGTRTSYDIDDRMLEQRSLSKNDINAIRRSQVPQIIDTLWHLQSRDMLPAVWFIFSRKGCDAAVQYVEDCKLLDECEASEVLLALKRFRIQYPDAVRETAVKGLLQGVAAHHAGCLPLWKAFIEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKRSDTGRTLLTSNELLQMAGRAGRRGIDESGHVVLVQTPNEGAEECCKVLFSGLEPLVSQFTASYGMVLNLLGGGKALRRSNTSDEMKTSSGKTLDEARKLIEQSFGNYVSSSVMLAAKEELNRIEKEIQLLMSEITDEAIDRKSRKALSQRQYKEIAELQENLRAEKRIRAELRRQKETKRISALKPLLEESENLPFLCLQYRDSDGVQHSIPAVFLGKVDSLGALKLKNMIGSVDSFALNSADADSELNEDPVPSYHVALGSDNSWYLFTEKWIKTVYETGFPDVPLVQGDTRPREIMSDLLDKEDMKWDNLANSEHGGLWVTEGSLETWSWSLNVPGLSSFSENEEVLLKSQAYRDAAEQYKDQRSKVARLKKKISRTEGHKEYNKILDAVKFIEEKIKRMKTRSKRLTNRIEQIEPSGWKEFMQVSNVIRETRALDINTHVIYPLGETASAIRGENELWLAMVLRSKILAELKPAQLAAVCAGLVSEGIKVRPWKNNNYIYEPSATVVNIIGLLDEQRNALLTIQEKHGVTISCCLDSQFCGMVEAWASGLTWREIMMDCAMDDGDLARLLRRTIDLLAQIPNLPDIDPLLQKNARAACDVMDRPPISELAG >RHN78378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14569664:14573087:1 gene:gene1925 transcript:rna1925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MWKPVLVMILVNLALAFVNIFLKKILNEGMDHLTILTYRQAISAICLAPIACFFERESKINGHIICLLFFSALVGATLTQYLYLVGLKYTSATFASAFLNTVPVFTFILALPMGMEKMSMKSKSGKAKILGTFVCIGGALVLVLYKGMPIINPQSHNIAGKATTPEPASSLKKWIMGSIFLTVGCVFWSSWFLIQERIGKKYPYRYSSTAIISLFAAIQSAILNLIIHRNNAKWILKGKLQIMTVVYSGLVGSGLCYVAMAWCVKQKGPVFTAAFTPLIQMFVAVLDLCILQEEIYLGSVVGSVLVISGMYMLLWGKSKEREQCALKDTQENQKDEECH >RHN63734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53601863:53604388:-1 gene:gene26474 transcript:rna26474 gene_biotype:protein_coding transcript_biotype:protein_coding MADSIFSIQYLKNFISSQIHDDEKWDFNVKLLRAAGLFAGSIVLMRNYGDLMAI >RHN58271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:469057:471799:1 gene:gene20163 transcript:rna20163 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVLTYLGTKLMVKSIQFAKRVLVTLWELYQRKVWFDEKTANAICTAMGIKTEALSFLLENKKQIIEIDDSDSDDEPTESPQAIILDKVRLNNKALHESLFFYLDSDSFILGMQSEKLSSTNFMSCDGKLMVAEALGGRSEKLNLQTLQSKVERDPIGYILEVLLIHSQFNSSLQLFQQAAMNFISVNGIIDSDLTTVASDPTVAEDLAERAMFLTLVAPSYPTLFFLADFPIRLVYLLHSFAQFIPSMSRFKLAHALILLVNRQTVAIDETLPLLMELQTLGDKKLTRFVSQHLVVNIRRLKRPAVIKTQKLQSVMFKMLQEENEAVAKRVLVILWRLYQRKVWFDEKTANAICTASFHPALSLNIMILYRHLSASRLRLYHSLQVVEKDCDDSDSDDELPHLKENHRDLTMYQLRKLGNRLPYNRKSLQKPLAERINNDYYSPLNHMIDRQGFAEKLFSCLQTYNEESEVKMMMLKFIARIVGRHKLALLEFYPFPHQQDVVNLLAAVVEACHDEVFTAGLNAVREICIRMPLVTTAISYSFENISI >RHN62617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44727152:44731084:1 gene:gene25212 transcript:rna25212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate 1-epimerase MGHSAAVWDFRAATEFTKDWNGIDQVVLRTPQGASARVSLHGAQVTSWRNEQGEELLFTSCKTLSKAPKAIRGGIPICFPQFGNCGSLELHGFARNKMWAIDENPPPLPPNDSSGKSFVDLLLRLSEEDVKCWPHSFEFRLRVSLTTDGDLTLISRVRNINAKPFSFSFAYHTYLLVSDISEIRVEGLETLDYLDNLSQKARVTEQGDAVTFESEVDRVYLSSPNIIAVLDHERKRTYIIRKEGLPDVAVWNPWEKKSKSMVDFGDEEYKQMLCVDGAVIEKPVNLKPGEEWTGRIQLSVVRSSFCSDRLGLDRSGI >RHN57175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37100350:37101352:-1 gene:gene32680 transcript:rna32680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative class I glutamine amidotransferase MLVLGRALGGKVGRSPTGWDIGVRTITLSSHISFPSLDLPTKLSIIECHRDEIQELPAKAEVIARSDKTGIEMFKYGDHIMGIQGHPEYSKDILLFLIDRLIQRNFIKEAFAIGARERAHLLEPDTNAWKKLCTSFLKNGF >RHN58525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2476466:2481070:-1 gene:gene20446 transcript:rna20446 gene_biotype:protein_coding transcript_biotype:protein_coding MHMGYSSGCVGCYKRPALVTVEVPSTGLTKQGKAVDKPSTSEDFWTTSTHDMDNSAVQSQGSISSTSLTNQAVAAHASSSNNPSEFVNHGLILWNQTRQRWVGNKKPENRTQQLREPKLSWNATYESLLTSNKPFRQPIPLAEMVDFLVDIWEQDGLYD >RHN45847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26253470:26255256:-1 gene:gene40268 transcript:rna40268 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFKSSASFNVSSLTPGLIFGEILISCSSRKTLLPSITAWSPSLHRGIIGFCIISKTTFVGSDDSDRNWYQ >RHN43933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49549469:49560076:1 gene:gene50571 transcript:rna50571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MAIVTGDRYLEKLVKFVEDQAGPLIEGSFVLKLNPAGLHYVQSRLESLHELESLLLGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLQPPVRDPTPLSFLPFGRLKVLELRGCDLSTSAAKGLLDLRHTLEKIICHNSTDALRHVFASRITEIKDSPQWNRLSFVSCACNGLVLMDESLHLLPSVETLDLSRNKFAKLDNLKHCTKLKHLDLGFNHLRTLAPFTQVSCHIVKLVLRNNALTTLRGIENLKSLEGLDVSYNIISNFSELEFLVGLLYLKSLWMEGNPLCCARWYRAQVFSFFAYPEKLKLDEKEINATDFWKRQIIIASMHKQPASFGIYVPAKDEAIVEGGNNRRRKVCRVASIESEEEITSICSDQESQSCVNEIQNNEDPDLFDDEAEIDDLINKVEHMKKERSILWLREFRDWMNISSDKSVETWIKGRGTSHHQKENLPKNHTNKEQHGEVSRYASDSVLASGDESSMNILESDSSFADMSAWFRRQQYFDYRGSLGNASGASLSDSGGVDVECFKSFLLQGINSSLSQSKNSHSDTVAPQGAHRMTGNVNVSPLTTIDDINGSQSSSTCPTSPPHFQKDLLHRRHNLVEEILQLSADSFSVASSDSNTSCSDVDCSESEPSVPIVDCHPYKNHVNGSVDGLISPNQHEENICSPRQGSIHAGQNDICSFGSSSDQTCKQGSIDFAAGAGDSSLSASQQTDFFGKKKIRKKAKKRVISILEEKVNISSGAHEQEQINQGQNTANSRQESAVDDFTEFRWRNCSTQENNDFIVTYFNSNIADSEANEVCNHCIRCNSILQMETNYKEREVAVLLSSHKKLYVLLINVASDGSGELLSVLSCHKMEEVREVLVGMGLQVLRVNFEGGETYLFVTSSIEMSRELLCTIQVFDSCRGNSRCSIRSLEQVQVELFGNQICGGSNVSIYQYAMVLVCCNHGNEESWLSRSLFVIGGYVLLCIEDIKQLYSFSSDASVSPYYRIDSCCSIADITEMVIVAGDSCCVTLGLKCSLTEFYPSTRLNLVTVSHGNRTPGSLKLKLRWFSKDNLLKFVSLLKAFHAEKVASPLVVRFSS >RHN64898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62789628:62791917:1 gene:gene27771 transcript:rna27771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MGVINDRVKFNVGGRVFETTATTLANAGRNSMLGAMLDENWNLLQDNGSEKFIDRNADCFSVILDLLRTGELYIPQNVPEKLLYREALFYGVLDHVRSAKWGQFDGNRLRLSCSVQGQAPGDGTAIRAGPDGGCCVAHGCMVHVYDWMLEEHCPLNLDYQRVNDVGWVDPNNIVIGVSERLGRGDGGMGLFSSHNGELRYKFQVSHENHVKSYTAGALSFSSDYKIFSSCKGRSNEYGVGVWDQVTGKQIDFFYEPLGWSLGDADKLQWLEGSNCLLVATMFPRKDNCYISLLDFREKKMVWCWSDVGATLAVDEKRVRDAIAMEDNSSICVVNEFEELGFMDLRSSAANSVRWSSRSRLMKGKMPEEPCYPKLALHGGQLFSSMNDCISVFCGPEWVLTSRLRRSYGGSICDFSIGGDRLFALHSEENVFDIWETPTPPII >RHN48623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48898319:48903141:-1 gene:gene43362 transcript:rna43362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase transcription factor C2H2 family MEFWGVEVKLGESLKVVPENSELIHISSACLGEVSKDKGSDPVSLYVKFDGQKLQLGTLSSEKFPQTSFDLVFGKKFELSHNWKYGSVFFSGFRIECEPEHDSYPFNTILYYTDSEEEDIPLNADNGKPVLEVNGAKPVVNASKQKKNQKDASTDDSSDSSDEDSSEDELMANGQIKSSSEDESDEDSDEETPKKTEGSNKRVAESSKETPVPVKKAKFVTPEKTGGRSSVHVDTPYPKQAGKSAANNKQPEKQETPKSSGDYSCKPCNRSFKTEDALGSHNKAKHSAK >RHN57460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39310842:39318275:1 gene:gene33007 transcript:rna33007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative post-transcriptional gene silencing PAZ-Argonaute family protein MGSQENEECLPPPPPIVPADIEPIKIEPQIVKKKLPTKVPMARRGLGSKGAKLPLLTNHFKVNVTNTDGYFFQYSVALFYEDGRPVEGKGAGRKILDRVQETYGSELNGKDLAYDGEKTLFTIGSLAQNKLEFTVVLEDVTSNRNNGNASPDGHGSPNDTDRKRLKKSHRSKTYKVEISFASKIPLQAIANALKGHETENYQEAIRVLDIILRQHAAKQGCLLVRQNFFHNDPKNFTDVGGGVLGCRGLHSSFRTTQSGLSLNIDVSTTMIVHPGPVVDFLIANQNVRDPFSLDWNKAKRTLKNLRITTSPTNQEYKITGLSEMPCKDQLFTLKKRGAVPGEDDTEEITVYDYFVNRRKISLQYSADLPCINVGKPKRPTFVPVELCSLVSLQRYTKALSTLQRSSLVEKSRQKPQERMRVLTDALKTSDYGSEPMLRNCGISITSGFTQVDGRVLQAPRLKFGNGEDFNPRNGRWNFNNKKIVQPVKIEKWAVVNFSARCDVRGLVRDLIKCGGMKGIHVEQPFDCFEENGQFRRAPPLVRVEKMFEHVQSKLPGAPKFLLCLLSERKNSDLYGPWKKKNLAEFGIVTQCIAPTRVNDQYLTNVLLKINAKLGGMNSLLGVEHSPSIPIVSKAPTLILGMDVSHGSPGQTEIPSIAAVVSSRQWPLISKYRACVRTQGAKVEMIDNLFKPVSDTEDEGIIRELLIDFYNSSGNRKPDNIIIFRDGVSESQFNQVLNIELSQIIEACKFLDEKWNPKFLVIVAQKNHHTKFFQPGSPDNVPPGTVVDNKICHPRNYDFYMCAHAGMIGTSRPTHYHVLLDEIGFSPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAASQVGQFMKFEDKSETSSSHGGSGRDINASPIPQLPKLMDSVCNSMFFV >RHN67108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23971246:23971674:-1 gene:gene15253 transcript:rna15253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MEHMTNIVDAVNDENVFFGMIERGGGGESGSSGGGDEANLKKGPWTTGEDTILIDYVTKHGEGNWNAVQKNIGLNRCGKSCRLRWANHLRPNLKKGAFSHEEEKIIVELHAQFGNKWARMAALVCMHFSSSFFCLSFSPNLN >RHN68519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36168043:36172780:1 gene:gene16882 transcript:rna16882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proline--tRNA ligase MGLSKEQLLHQLQELQIEFSKYEHPVVLTVEAQAKYVGSGGLSKNLFLKDKKNRFYIVSALAETKVDLKVLSQRLGLGKGGLRMAPEEALGELLQVPLGCVTPFAVVNESARDVSLLLDQGFKTQEHCFFHPLSNDMSISLNVRGLDKFLKSIGRDPSYVDLEANPAVGKDQPPDLAALVPSSSIILPDQPGKQSSTEVPKNENLVSVAVSVDTKTKTVPAKVVKPSVAGNNSKGTPEKTVQPSGSFADAGKLVEEILHKTSKILLSEINEETIKMHGEQLGTALSDKLQKNLTSDLKNLAMTFKNTAYTEGFQDGLQSVKIKLNDLFQ >RHN70197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49570872:49576660:-1 gene:gene18753 transcript:rna18753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MKLHHQLNQLNHSQKSAFVAVFVILFPFFIPNLFQPLGRASPSIFSEWIAPKPRHVTLLQGALQRRISVEVQTNLWSPLAYQGWKPCTVPPKSPSLPEKSRGYIQVFLDGGLNQQKMGICDAVAVAKILNVTLVIPHFEVNPVWQDSSSFADIFDVDHFIDVLRDEVSIVKELPGEYSWSTREYYATGIRATRIKTAPVHATADWYTENVLPVLQSYGVAAIAPFSHRLTFNGLPSDIQRLRCKVNFEALNFVPHVKELGDILVQRLRYNSSINQAESNDYLPEEADKLGKQQSGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVLNSQFNDEELRNQGRCPLTPEEIGLLLAALGFNNRTRLYLASHKVYGGKARLETLSTLFPFMEDKKSLVSAETMAKVNGKASLLAAVDYYVSMHSDIFISASPGNMHNALVAHRAYKNLKTIRPNMALLGQLFQNKSIGWSDFQRAVLDGHKNRQGQIRLRKEKQSIYTYPAPDCMCRA >RHN60340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25571990:25574181:1 gene:gene22641 transcript:rna22641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRRKNMAQILFYVYALIILFSPFLAALVIIDHHKPCVSDTDCAFYLDIPPTVKYCSDGLCAWYFPDNPLP >RHN68761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38346927:38353635:1 gene:gene17153 transcript:rna17153 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLRVLRLCILCCVLSTLCFITKCGGSDVTVKFLKAPHAFSHLNSATFAFEVLNSGSNRSCANCSLSCKLDNGIRSVCTNGRVTYSSLKDGNHTFEVCTNGHQGLFGCASHNWTVDTIPPTAYVTAATSFTSSLNVSVNISFSEPCIGEGFRCKSVNACNLLVYGAGQVIPSSFKILKPNLMYSLLVSLSSTVQYSRAILVMDKNFCTDIAGNSFTRMPNSSVYIHIDRRKVYVNIRTHVPEKLVQINSETRTVQATNDHNKLKVYLYFSAPVLNSSTEIMNSLKVSQGSLLPTSAENLGNRRFGFMIANISSTAIISVNFNSKSIITRQGTQVSPNAPVNFLYDSKRPAVMLSTHRMRTKDHNIQILIEFVKPVFGFNTSCISISGGLLKSFHKLKWSTYIVELQADDDFVFVSVPENVTHDVAGNKNLASNVLQVRHYSVPLISSVISAFATATFGLTSIAAGLLTISTASLQSVDTFTRSSSFLIVDPARNLFRILCHIQVFALARWLSVKWPVEFYEFSRHLQWTIPSFSVPWESGPMSLFMVGSSPFGSSSSSAKALATIPNMLLGQNLNYGASVYGSPLTSSEYQQYFESTNMKPEAEYILDSQHSSGWTDFYRTMFWLAVICGSFMVLHGFLLIILKFRKRNSEKNGTYGALVFPRFEIFLLFLALPGICKASTGLIRGGAPAAMAVGIILLIFVSTVLLALFMFLSVGITFGKLLQYKEVHHEGETFHWYQELIRVTLGPGKRGQWTWKEKAKSVYLTIFGPLFEDLRGPPKYMLSQISGGSQPSQNDHIIVSDDETEDAEAPFIQKLFGILRIYFVFLESIRRVSLGILAGVFIHTRSQSSKSPIIIMLSITSFMLFFMVLKKPFIKKKVQLVEIISLTCEVAFFATCFVLLKKDFSVRTETKFGIFMLVLFLVGYCSQIANEWYALYAQTKLLDPEEKSLFRGLKVASIGFVLYFIPQKWIKNLEKKLPQNGHANSETRDNALIAERCMHSGSRSSGTPDIPWLKRVRELAKGSFSKDRSGVQITDPSTSSTTRWSGFWGNKRSGSSSSDYKPKPKKALDEDLEAIFASKS >RHN40031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12414014:12420696:-1 gene:gene46123 transcript:rna46123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & lipid binding HD-SAD family MSFGGFVENNSGGGGGVRNIGEISYNNERMPFGSFSQPRLVTSPALAKSMFNSPGLSLALQTNIDGQEDVNGSMHGNYEQNGLRRSREEEQSRSGSDNLDGVSGDEQDADDKPPRKKRYHRHTPQQIQELESLFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENNLLRQENDKLRAENMSIRDAMRNPICSNCGGPAMIGEISLEEQHLRIDNARLKDELDRVCALAGKFLGRPISSLPNSSLELGVGGNNNNGFNVMNNVPSTLPDFSSGMSNNPLAIVSPSNRQTSMVTTGFDRSVERSMFLELALAAMDELVKMAQTSDPLWIRSIEGGREILNHEEYMRTFTPCIGLKPNGFVSEASRETGTVIINSLALVETLMDSNRWIEMFPCIIARTSTNEVISNGINGTRNGALQLMQAELHVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDTIRETSGATSFVNCRKLPSGCVVQDMPNGYSKVTWVEHTEYEENQVHQLYRPLLSSGMGFGASRWVATLQRQCECLAILMSSAAPSRDHSAITAGGRRSMLKLAQRMTNNFCAGVCASTVHKWNKLNPGNVDEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAMNSNQSSMLILQETCIDEAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPGSRGLENGDATANGGGEARVSGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALQCES >RHN48872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50805547:50820088:-1 gene:gene43636 transcript:rna43636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cleavage/polyadenylation specificity factor, A subunit MAVSEQECSSAKSSPSSSSSSTSRYYLSKCVVRASAILQVLYAHLRSPSSNDVVFGKETSIELVVIDEEGNVQTVCDQPVFGIIKDLAVLPWNDKFCTRRPQTQGKDLLVALSDSGKLSLLTFCNEMNRFFPITHVQLSNPGNIRDLPGRMLAVDSSGCFIAASAYEDRLALFSMSTSMTGSDIIDERIIYPSESEETASTSRTMQKTSISGTIWSMCFISVDSRQPIKGQNPVLAIILNRRGALLNELLLLEWNVKAHIVSVISQYVEAGPLAHNIVEVPNSPGLAFLFRAGDVLLMDLRDPHNPLCVYKTCLNILPNAIEEQTYVDDSCKLHDLDDEGFSVAACALLQLSDYDPMCIDSDSGGTNSGPKYICSWSWEPENYEVPRMIFCVDTGEFFMIEVYFDSDGPKLSLSECLYKGLPCKELLWVKEGYLASIVEMGDSVVLKLKDGRLCFTNLIQNIAPIFDVTSGDYHDEKHDQMFACCGVTPEGSLRVIQSGINVEKLLRTPSTYEGVAGTWTVRMKISDQYHSFLVLSFLGETRILSVGLSFTDVTDSVGFQPNVCTLACGLVSDGLLVQIYQSAVKLCLPTKDGHSEGIPLSSPICTSWYPDNLNISLGAVGHNFIVVSTSNPCFLFILGVRMLSAYQYEIYEMQHLELQNEVSCISIPRTKYGKKRSNSSISENNSSMASTVSGVDINKTFVIGTHRPSVEIWSFDPNGGVTVVACGTISLKSTAGTAKSFCIPQDVRLVFVDKYYVLAGLRNGMLLRFEWPTEPSHSSSINVVDTALSSINLVNSTTMAINVNLPCMLQLIAIRRIGITPVFLVPLDDTLDADIIALSDRPWLLHSARHSISYTSISFQPSSHATPVCSIDCPKGILFVAENSLHLVEMVYSKRLNMRKFHLKGTPRKVLYHNESQMLLVMRTELSIGTCLSDICCVDPLSGSVLSSFRLELGETATSMELIRVGSEQVLVVGTSLYSGPPAIPSGEAESAKGRLLVLCIDHVQNSDSGSMTFCSKAGSSSQRTSPFNEIVGHVPEQLCLSSSSLASSPDDNSFDGIKLDENEIWQFRLASATTWQGIVQAICPYLDRYFLASAANAFYVCGFPNDTPQRVRKYAVGRTRYSIRSLTAYFSRIAVGDNRDGILFFSYHEEARKLEQLYGDPSQRLVADCILMDDNTAIVSDRKGSIAVLCSDHLEAPNNASTECNLRLSCAYFMAEIAVSIRKGSYSYRLPADDLLSGGIGPKTNVDSLQNTILVSTLLGSIMIFIPLSREEYELLEAVQARLAVHHLTAPVLGNDHNEFRSRENPVGTPKILDGDMLTQFLELTNMQQNNILSMEPLDVVKPSLKPLLPQFSVNQVVQLLERVHYALN >RHN54667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11144504:11144911:1 gene:gene29741 transcript:rna29741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MAVNLTQGAIITMCFTSEVWEPVLQVFDMKLVQSQQNNTTEPYRLVLSDGLYYQQGMLVVQKNHLVHSGRLQKGSIVKLSHFYCDDVLNNKLSML >RHN46759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34640863:34644399:1 gene:gene41282 transcript:rna41282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vestitone reductase MHACIYIYIYVCVTINIHTEATKIKVKCEKIFCKSVLMEEGKGRVCVTGGTGFIGSWIIKRLLEDGYTVNTTVRSNPAGQKKDVSYLTNLPNASQNLQIFNADLCNPESFDAAIEGCIGIFHTATPIDFEENEREEIVTKRTIDGALGILKACKNSKTVKRVIYTSSASAVYMQDKEEDVMDESYWSDVNILRNLKPFAWSYAVSKTLAEKAVLEFGEQHGLDIVTLVPSFVVGPFICPKLPGSIFSSLAFLFGDIDNNPLAASRLHMVHVDDVARAHIFLLEHPNPKGRYNCSPFLATIDEIVHIVSSKYPKFQIPTSKELMGVKGPKLPHLTSKKLMDDGFKFKYSLEEMFEDAIECCKENGYL >RHN53030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41368423:41368796:-1 gene:gene37775 transcript:rna37775 gene_biotype:protein_coding transcript_biotype:protein_coding MKILTEIFIYLFSVFFTFASFSLVNTLKASLSQLQSLSLFLLLSQVFRLPAAICQLQHLTEMDLFFLGPQDENDDYFWVLNMFMNLWPSLKSVFEGELPLLN >RHN42418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38200835:38201478:1 gene:gene48856 transcript:rna48856 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRIARFFMEVAPPQYVSVMRHRASNMMETITEEDREISSHDSLISLPKSSSVISASSSACASSTNARVNTRYFLNEVHRTLSSLNN >RHN47491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40126119:40127983:-1 gene:gene42101 transcript:rna42101 gene_biotype:protein_coding transcript_biotype:protein_coding MISNKHFAFVQLLKFKRTRNNKIFQSFVNKILADFDVIIYAYNQIL >RHN54887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13256660:13257520:1 gene:gene29985 transcript:rna29985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain, legume lectin MALSNLKPNRSTLSSALIKIYITFVFLQYHVNSQYSPSPSHQPKNTVSFTILEFEKENPDIFLRGDASISGGILRLTKTDQSGEPIQKSVGRATHLTPIHIWDKTSGKLADFSTRFFFFVNTNGSELHGDGFAFYIGPLHFEVPKNSSGGYLGLFDPETAFDPSKNPIIAIEFDSFTNGWDPASPSQYPHIGIDVGSIDSVATVDWPVDFLPRNALGEANINYNSESKRLSVFVNYPGSGRKATGVSFVVDLRSVLPEWVRVGFSAATGELVEIHDIINWSFESSL >RHN46860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35377173:35384059:1 gene:gene41395 transcript:rna41395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MNFRFQNLLGAPYRGGNAVISNNTLLLSPVGNRVSVTDLRKSQTTTLPIQSSSNISRIAVSPDGTFLLAIDENNRCLFINLRRRALLHRITFKHRVGAVKFSPDGKLIAVAAGKLVQIWRSPAFRKEYFPFELIRTFADFHAKVTAFDWSSDSNYLLVASKDLTARILCLKKVYGGVKYKPFLFLGHRDSVVGSFFGVDSKTSKVSKVYTVTRDCYILSWGFTEDEELSEPPSPGTPDRDVEGDLMVEDDGDVKKRKEREFEDGGYLCKGKWELLRKDCFNQAPAKVSACDYHRGLDMVVVGFSNGVFGLYQMPDFVCIHLLSISKAKITTAMFNDLGNWLSFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCVAYSQDSQLLATGADDNKVKVWTVSSGFCFVTFSEHTNAVTALHFMASNNCLLSASLDGTIRAWDLVRYRNFRTFTTPSSRQFVSLAADQSGEVICAGTSDSFEIFVWSMRTGRLLDVLSGHEAPVHGLVFSPTNAVLASSSWDKTVRLWDVFDGKGAVETWPHTHDVLTVVFRPDGKQLACSTLDGQIYFWDPVDGLLMYTIEGSRDIAGGRLMTDRRTAANSSTGKCFTTLCYSADGSYILAGGSSRYICMYDVADQVLLRRFQITHNLSLDGVLDFLNSKNMTEAGPLDLIDDYNSDVEEGVETQTRGKLGLDLPGSVSNRGRPIIQTKCLRIAPTGRSFVAATTEGVLVYSVDESFIFDPTDLDIDVTPEAVDKALNENQPSRALILSLRLNEDSFIKKCIFTVSPADIPAVATSIPYKYLQRLIEALASLLENCPHLEFILRWSQELCKAHANSIQQNSRNLLPSLKSLQKSITSIHQDLADTCSSNEYMLRYLCSSSASK >RHN67859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30958692:30970991:-1 gene:gene16103 transcript:rna16103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative insulysin MALLSLPYFSLTLLSLSYLSCSKHSVRVQKTKPNKTLITIVAPKHSLSPFRFSTDKESMGLKGAPAAATTAATAAVALSSSDDVIVKSPNDNRLYRLVHLKNGLQALIVHDPEIYPEGAPKDGSIDEDDEEEDDEDEEDDEEDDDEGEDDEDEEEEDEDEEDVEGREGGKGAANQSKKAAAAMCVGIGSFSDPNEAQGLAHFLEHMLFMGSDEFPDENEYDSYLSKHGGSSNAYTETEYTCYHFDVKREYLKGALRRFSQFFISPLVKAEAMEREVQAVDSEFNQVLQSDACRLQQLQCHTSTPNHPLNKFFWGNKKSLADAMEKGIDLREQILKLYKDYYHGGLMKLVVIGGESLDVLESWVVELFGAVKKGPQVNPKFTVEGPIWKPGKIYRLEAVKDVHTLDLSWTLPSLHQEYLKKPEDYLAHLLGHEGRGSLLSFLKAKGWASSLSAGVGDDGVYRSSIAYVFVMSLHLTDSGVEKIFDIIGFVYQYLNLLRQNSPQEWIFKEIQNIGNMEFRFAEEQPQDDYAAELAENLKFYPSEDVIYGDYVYKTWDEQLIKQVLGFFVPENMRVDVVSKLVHKSEDFQHEPWFGSRYVEEDIPQDLIELWRNPQEIDASLHLPSKNEFIPSDFSIRAGGACDGDFENSTSPRCIVDEALIKFWYKLDSTFRVPRANTYFRINLKGGYDNAKSCVLSELFIHLLKDELNEIIYQASIAKLETSVAYVGDMLELKVYGFNEKLSVLLSKVLSTARSFMPTDDRYQVIKEDMKRALKNSNMKPLSHSSYLRLQVLCESFYDVDEKLQYLNDLLLDDLKAFIPELRSQLYIEGLCHGNLSEEEAVNISNIFKTNFPVKPLPIKSRHAERVICFPSNANLVRDINVKNKLEKNSVIELYFQIEEDLGLGSTKLKALIDLFDEIVEEPLFNQLRTKEQLGYVVECSPRVTYRVFGFCFCIQSAEYNPVYLQGRVESFINGLEELLDGLDDDSFENYKSGLMGKLLEKDPSLTYESNRLWNQIVDKRYIFDISKKEAEELKNISKNDVIEWYKTYLKQSSPKCRRLLVRVWGCNTDMKDAEAPSKSVHVITDPVAFKKQSKFYPSFC >RHN39127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4305770:4306186:1 gene:gene45125 transcript:rna45125 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLSINVLLSGCPILETLENHFWVKDYDKICLPPTLKTLKIIVDIDDGVASFMLNELVFYYINSTKTTLNDVGNLQYVAKASLDIFRSLDYSDDLDFTSLLNLLTALSGIKHLALSCSTTKVRFYYDGLIYRYSTKL >RHN74659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35542349:35544108:-1 gene:gene10764 transcript:rna10764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MADEVILLDYWASPFGMRVRIALAEKGIKHEYKEEDLRNKSPLLLQMNPVHKKIPVLIHNGKSICESLIAVQYIDEVWNEKSPLLPSDPYQRSQARFWADYVDKKIYEVGKNLWTKKGEEQEAAKKEFVEAHKLLEQELGDKTYFGGDKIGFVDVALIPFYTWFKGYETFGNINVEKECPKFIAWAKRCMQVESISKSVPDQDKVYGFMVEIRKRFGLE >RHN42132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35633277:35636355:-1 gene:gene48533 transcript:rna48533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MIINQGDKEDQTNTFNKYAFACAIVASMVSIVSGYDTGVMSGAMLFIKEDLGISDTQQEVLAGILNLCALVGSLTAGRTSDYIGRRYTIFLASILFILGAGLMGYGPNYAILMVGRCVCGVGVGFALMIAPVYSAEISSASSRGFLTSLPELCIGIGILLGYISNYVLGKYLSLKLGWRLMLGIAALPSFVVAFCILTMPESPRWLVMQGQLGKAKKVLMQVSNTTQEAELRLKDIKIAAGLDENCNDETVKLPQKSHQGEGVWKELILRPTPSVRWMLIAAVGIHFFEHATGIEAVMLYSPRIFRKAGITSKEKLLLATIGVGLTKIVFLVIALFLLDKLGRRRLLQISTGGMIIGLTLLGLSLTVVDKSNGNVLWALILSIVATYAYVAFFNIGLGPITWVYSSEIFPLKLRAQGASIGVAVNRTMNAVVSMTFISIYKAITIGGSFFMFAGISVLAWLFFYFFLPETKGKALEEMEMVFTKKSSGKNVAIEMDPIQKV >RHN40588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17944791:17945042:1 gene:gene46753 transcript:rna46753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase (ATP-hydrolyzing) MQKRCEPDTFIVSIKRKIEKQWVYEDGKIVHRKISYVPGLYNIFDIILVHAVEKKRRDPSMNRLRVKIDTKMNEISIHHNGAF >RHN80458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38265092:38268790:-1 gene:gene4387 transcript:rna4387 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQTEAAALVVIVRSTATGARVGSIVDVCVRQGSRSITSLSFSIAPCPSAHPSSDSLSKPLLRLSDLSPTKSASLSFLLSLASLSTSFCFFCSGKTVSCSGTLADPSTGSFSLFETSFSAPFHDSLFI >RHN74736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36252990:36261807:1 gene:gene10844 transcript:rna10844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDVIIPVAATFVEYMFVPIGRQFGYILYYKRNLERMRTEVKNLEGSKDSVQHTVDEARRNGQEIENIVQNWLNKADSTLGKAKKLIESEGHAKAQCSMRHCPNLCTRHQLSRKNKKMSQEISEVLAQGKFDKISYRSASQVAVKPFSRGYGALDSSTSMLSEIMMALNNPNIFIIGVYGMAGVGKTTLVKELLWQAQNDGSYSAVVMATISGSPDVENIQGQISDALDLEFIKETKEGRARQLRERITKEKNIIVILDDIWGRLDLEEVGIPFGDDHKGCKLVVTSRDLNVLSCEMGTQKEFRLEVLHEDDSWKLFVKMTGDVVQEFNIKPIAVKVAKCCAGLPLLIVTVAKALRRKNVSAWKDALNELERFDQEGLHKKVYSTLELSYNCLESEELKLLFLFIGSFGLDYIYIGSMFLYYLGLGLFRHYHTLTDARIRFYKLINDLKASSLLLESEIDRVRLHDVVRDVAKSISSRTRPTYGVKRYTEVKQWPEMDQLRKCHQIIIPWSYIYKLPEKLECPELKLLLLHNIDDFLKVPDDFFSGMRELKVINLYGMILTPSPPPSLYLLTKLQTLVLSGCVLEDISIVAELKSLEILRLERSHIKELPKEIGQLTNLRMLNLANCSALRFIPAYLISSLTRLEELYMGNCFIPWDVSGSKNASLEELRNLLHLTTLDIMIQDASVLPRDLQVFEKLERYNIFVGDRWKWSLEWSGGASESSRILKLTDNRNSSILLDPGLNFLLNSAEDMCLAKIHCVRNFLYELNREGFLQLKHLCIQDSTELKYIVKSMGWVHAYPALPNLETLVLQNLINLEEICHGPLPIPSFTKLKSLEVKGCEKLKNLLRYSLVKNLPHLLEIKISDCKMITEIIVEQTSEADKEIDNIMFPKLCSLELEHLPSLISFCSVPLIAEGHKKCVENYDDKHCMDVALIDQKVGMPQLEILKLSNINSRKLWDDNLPGHSCIRNIKSLTIDKCGGIACAFSSSVAKELVNLEYLEISNCQMLEGIFISDGKLGSLSSSQISFSDDEVIFPNLETLVISHMEHLKSVWDNQLAPNSFCKLKQLKIEFCNKLLNVFPSYVLDKLQNLETLTVSDCPALEVVFEMKGLKADCGRQSRLEMQLGTLTLKHLPLLKHIWSWNPNERFKFQNIFQLKITDCKGLSHVFPLSVAKELLHLQELYIEKCGIEIIVAQDETADTVPVLNFPELTSLSFRDLTQLRRFYLGLHTLDCLFLKDVDVLHCDKLELFTLRSLNCQDNVLVDTLPLLSIEKVVSNTRELILNSKDVTMLCNGQHNNETIYTVKALRLRCFHNESDKFPSGFLQRFINLKNLKITCSSFTKIFSGCASSGHSETIMKLRSLVLVNLHNLKFICEEKFEVQTVLQNIENLFVYRCPRLNNIVPSSVLFENLQQLEVGNCAGLENIVKSSTAISLQKLRKLIIEGCEKIGEIVASDDENDDSELSFMKLEYLRLSNLPRLRSFCKGRHGLKFPLLQKLFVVDCPMMETFSHGVLNAPKLRALNVKEQDDWHWNGDLNTAIRKYVAKMNSNDD >RHN79686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31236527:31236760:-1 gene:gene3510 transcript:rna3510 gene_biotype:protein_coding transcript_biotype:protein_coding MFILFLTDHLLPLIVSSSHRRSLQVLSLRPPLSLPSLSVSLPSQVLSLALPFVLIFFTGLSICVICDSCISYKNYTF >RHN73768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19788745:19789172:1 gene:gene9630 transcript:rna9630 gene_biotype:protein_coding transcript_biotype:protein_coding MIQDVHYNNRLCSFFWGTMETIRFKPRTSCMLDTKISNCRPHLMMRLQYCGCSNVCNRIELRL >RHN41980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34500557:34501074:1 gene:gene48361 transcript:rna48361 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTRFIRPLTLHLRTKVSAFSRTCSVSPMIRRVGRSYKSELDLAACRRREASFSVFDLRSRHSCLKDELPSSAALLTWSASWIDGKIVSEVVILQDDREIGCAVLMRVELCEIVLYCWCGRE >RHN41206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28142916:28143427:1 gene:gene47501 transcript:rna47501 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLESFSVNVRVRIKYAEKTRFDLWDQSRGSIFGFSHEKRQYFNSHLNV >RHN72869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11386738:11394296:-1 gene:gene8631 transcript:rna8631 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YA6 MTSSTHDPTDNEADGQQQSESQMQPISANGISHASIDNAQIVQYAAPPQLGTGHAMAPPPAYPYPDPYYRSIFAPYDAQPYPPQPYGGHPMANLQLMGIQHAGVPLPTDAVEEPVFVNAKQYHGILRRRQSRAKAESEKKVTRNRKPYLHESRHLHALKRARGCGGRFLNSKKDENQQDEVGSADNSHSNINLNSDRNDLAQSDKQP >RHN73359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15651280:15653113:1 gene:gene9161 transcript:rna9161 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLPSSAIVKITLLAIDPTSRAIDEENNRIGLVKVCVAIYIF >RHN71312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58157749:58165213:-1 gene:gene19979 transcript:rna19979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydrofolate reductase, Thymidylate synthase MYIVYYTMYVIENIALHFQKNWLCSPYFHPNQSLSLCRRFLSSTMAGDSSAIFNGNGNGSINLQRTYQVVVAATKEMGIGKDGKLPWRLPTDLKFFKEITTTTSEPGKKNAIVMGRKTWESIPLQFRPLLGRLNVVLTRSGTFDIAAAENVVICRSMSSALELLAASPYHTSIEKIFVIGGGEIFREALNAPECEAIHLTEIQSNIECDTFMPPIDTTIFQPWYSSFTKVENNIRYSFTTYVRVRSSAAEYPKNTDSFFDSNSASKKFEVQNFSFLPKMIFERHAEYMYLNLVQEIISQGTTKGDRTGTGTLSAFGCQMRFNLRRGFPLLTTKRVFWRGVVEELLWFISGSTNAKVLQQKGIHIWDDNASREFLDSIGLSEREEGDLGPVYGFQWRHFGAKYTNMHGDYANQGLDQLLDVINKIKHNPDDRRIILSAWNPADLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHICELVPGDFIHAIGDAHVYQTHVRPLQEQLHNLPKPFPTLKINPKKKDIDSFVATDFKLIDYDPHQKIEMKMAV >RHN50464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7309303:7312394:-1 gene:gene34747 transcript:rna34747 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPIIAGILSALRRVIARRVSIKNQLKRRLHALTIASATCFMFPIAMWDVIIGSPSESNGKLPFSAWAFSSTILFGNIVIFYADSIAEERLHMVFSSPRHLMAASACIIVMEIVYKMDFSLAGFVICSLILGLGIYQATALERNRKDSVRNSDLSNGEFDNQIQMSSLPT >RHN39304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5732757:5738345:-1 gene:gene45314 transcript:rna45314 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYSSPGGTWTMIPTPNSNPQIQSQSQSQSNQDPNLYLQQQQQFLHQQQQQPFQQSQTTQSQFQQQQQQQLYQQQQQQRILQQQQQQLQQPQQQQNLHQSLASHFHLLNLVENLAEVVEHGNPDQQSDALITELSNHFEKCQQLLNSISASISTKAMTVEGQKKKLEESEQLLNQRRDLIANYTKSVEELVRSEP >RHN41616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31510908:31520597:-1 gene:gene47958 transcript:rna47958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PNN-interacting serine/arginine-rich protein MDSYQQQPHGGGYMRPPPPPHTADPHQQQHHQFHQIPPPPPPQGPWFTPQFQYQTPSPPQQWPPPPAPAPPPQSNPYAYHPNQFPPPPAPPPPHHARPPPPQFQPHSHLPQPYPQEWNNNPGWPQNQAYPAHTNEEDWAAKARAWADAKSAMDNQHPQPHYSPAGRLPEQTHYHDPYQQSVDPRYTDVQNHSHSSSGYQQFSFVDPSMQRNSGHSQEAPSASLEAAYTSDGHSYSTRDGTSVGDPNASFEQANLPTNPSVHPQEVPSSYSSVAGKEAADQAQQSYAMMSLPSSSSQEQYHVQPSMHAPSFASHSHSVDSSINLADQPLNFAPTFSRDSDLQMQSTYNHHDSASSMNNWGAPVAPGVVYPPIHPSHPSGPQHDPSITTPGHVAAPYGRFPGPGHPPTIPTNGAPYSLNTAATIHPTAAFSADAYGVSGVPERPKKASVPNWLREEIKKTVITAPSADHPKVEETLGVDGIDKSYVKADDETDSKSIDSSRSAEDEEEEEVEVARTAAINQEIKKILTEVLLKVTDELFDEIATKVLSEDDLTAEVGYNVSTSNHKASISPPSAPVPKATAKVLVPVKAKETENDGANEKSNSSSPGDVLGLGNYGSDADDEIESSTVPAPAKDDAYMVNNTAKTNSSLSRNSNGAAIDQSHDAKMTKESDSNVVSKDARDNGLDEIERSHNRFNGFSSKDMSGVPRAELREQNGVEKATDDHLGKESRRKSEKNDRHDKSSSEKDFKEVKSSHKTRPDEKGDESKRRKDERNQKKEQTDYISESKERVKEQNDRHGEKAKESESRKRSSHVDVKDDRKGAEKAHRGSTTEDTSRKKEHTKDKGENKSRRREASDHNRHKRRRSSSVSSRGRTSKDHNHADDSSGEGSEGSKRKLRSKKRDLSPSPVRSKRRQVSRSPHSKRSHRGHSPYSSLDNSRVRRSRSKSPVRKHRSRSRSPVRKHRSRSRSPVRKHKSRSRSPVRKHRSRSKSPVRKHKSRSPVRKHRSRSRSPVRQHRSRSRSPVRRHR >RHN71151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56794078:56795855:-1 gene:gene19800 transcript:rna19800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MAVEASDGPVTFKSSSASKSNQLYSEMRKSTSHSHDERSYKNTSDVSSSNGQTSSSQNGKVVPSAKASAVESSVGNTKASTSNLKTQRNKDLINVNEENNSTKHLKEDRCEDSEEEDSIPLSSIKMKMNNGNVKKATPDVLKKSYEDSDDDDDDIPLSARLPKNTNLGKSRCNFDDSNKQEMTSMLSAKRSLDKIDSLHSSGKKSKLSDPASSINAKQKTMKCDAKAEEEEEDDDIPISRRRNKLVNKSSSLKKLTNVTKVNKGAAPSFKKKSKLKKSGNKSKHVKSTKLQSSSGDGQKKWTTLVHNGVIFPPPYKPHGVKVLYKGKPVTLTPEQEEYFYVRVLILKSRVPDNIMNLECTCRLPQCLQSSMQNEIFKDNFWNDWRKLLGENHVIQNLKDCDFTPIYDWCQVEKDKKKQMSSEVSCFIYFHLKMIESKLISQSIICFFHKISCASSDTSGDLFF >RHN43240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44236429:44239796:-1 gene:gene49785 transcript:rna49785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MGISKFSFLGFLSVAFLSSIDAVDLHRNQPTERISGSAGDVLEDDPVGRLKVFVYELPSKYNKKILQKDPRCLTHMFAAEIFMHRFLLSSAVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQRNHVCLKEGSITVPPYAPPQKMHSHLIPDKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEDIGVFVDEQDVPKLDTILTSIPPEVILRKQRLLANPSMKQAMLFPQPPQAGDAFHQVLNGLARKLPHDRSVFLKPGKKSLNWTAGPVGDLKPW >RHN75193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40075292:40085886:1 gene:gene11362 transcript:rna11362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myosin ATPase MMLSPSPSSIARSSLEEMLDSLRRRDEEEKPKDLPPALPARPTSRGRLPPARRSLPKSFKVDGENGNEMGHRRKGSFGNKKLMLDLESPYVVISEENSVISEEASPCPVSSIPVDDDSSVASVAPPSPELEDDNVSYFIKKKLHVWCRQPRGKWGLGRIQSTSGEQASVSLSNGNVMKVARTDLLPANPDILEGVDDLIQLSYLNEPSVLHNLRCRYSQDMIYSKAGPILIALNPFKDVQIYGNDYVSAYRKKSLDSPHVFAMVDAAYNEMIGEEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSCGIENEVLQTNVILEAFGNAKTFRNDNSSRFGKLIEIHFSTTGKMCGAKIQTFLLEKSRVVQLANGERSYHIFYQLCAGSSPHLKERLNLRAASEYKYLNQSDCMKIDGVDDAKKFHRLKKALNVVQMCNEDQERVFKMLAAILWLGNISFLVNDNENHIEVVNDEAVTSAASLMGCSSQGLMTVLSTHIIQAGKDTITKTLTLRQAIDARDALAKFIYASLFDWLVEQVNKSLEVGKRRTGRSISILDIYGFESFQKNSFEQLCINYANERLQQHFNRHLFKLEQQDYEIDGVDMTKVDFEDNQECLDLFEKKPIGLLSLLDEESNFPRATDLTLANKLRQHLQANPRFKGEWGKGFSVCHYAGEVVYDTNGFLEKNRDPMPSDSIQLLSSSSCELLRSFSKTLNRSQKQSNSQHIGALDSQKQSVGTKFKGQLFRLMHQLESTTPHFIRCIKPNAKQNPGIYDEDLVLQQLKCCGVLEVVRISRAGYPTRMTHQDFARRYGFLLYEANTSQDPLSVSVAVLQQFNIPPEMYQVGFTKLYLRTGQVGALEDKRKLVLQGVLGVQKWVRGHQARSHYDKLKNGVTTLQSFVRGEIARRKYGVMVKSSITISSENIEEIEAIILLQSVIRGWLVRRHNSSLCKFKIHPENGKTRRRSRSKMSDDKDASKDRSQNLPSALAELQRRVVKAESTIEQKEEENAELREQLKQFEKRWIEYETRMKTMEEMWQRQMSSLQMSLAAARSSLASENANGQPSRHDVASPSPFCYDSEDATSMGSRTPRTPGCSTPLKYSSSLSEIKAMRDGNGSLGNLMKEFEQRSQTFDEDARALVEVRTTGHSVNPNSIDDLRKLKHRFEGWKKEYKMRLKETKARLKLRNSEMEKSRRRWWAKLSSRAQ >RHN41875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33775781:33781426:1 gene:gene48239 transcript:rna48239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isocitrate dehydrogenase (NAD(+)) MATRRSIPLLKHLLSTRSQSEPFTISKRCVTYMHRPGDGTPRPVTLIPGDGIGPLVTGAVEQLMEAMHAPVYFEKFDVHGDMKAVPTEVLESIRKNKVCLKGGLVTPMGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHDNVDIVVIRENTEGEYAGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKQVTAVHKANIMKLADGLFLESCREVATKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGAEHAVFEQGASAGNVGNEKVAAQKTANPVALLLSSAMMLRHLQFPAFADRLENAVEKVILEGKYRTKDLGGTSTTQEVVDAVIDALD >RHN71017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55824859:55825314:1 gene:gene19658 transcript:rna19658 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDHILRDNLICGMDSDFDNALNGLRGTISNFDIISFTCKDTVLPKAHVLASALFSKSVKDMEVKFGMNIRQKTILGCLHAAHAHDFLLAIPIDELSQHMSRVEYRAILRYRLVIPLFPIDKVCPVCRKACLNTFGEHVVHCKELLSFKY >RHN58984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6396365:6400666:-1 gene:gene20962 transcript:rna20962 gene_biotype:protein_coding transcript_biotype:protein_coding MCNTACRNFLLPVHNLFVVGGCLCSGCSEIDSDFISVSILLHFRWVHGRKKNSGLTNFATHKLVCIH >RHN82637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55323269:55325576:1 gene:gene6812 transcript:rna6812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TCP family MSNPDGTTTTTTTTNGSIIDPNRQQLAVKKPPAKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSSLSVSLRGSSNSLPSPSSTSLDHKPLLSPTPFILGKRIRTDDDSSSSSAKDDALSVGSLSTPAALWALPARPDFGQIWSFAAAAAGAPPPEMMVQSLSQQQHQVQANFFAHHHQQQQHQQAMGEASAARVGNYLPGHLNLLASLSGGHGNSGRRDDEHH >RHN48524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48171315:48172468:1 gene:gene43250 transcript:rna43250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEIFKFFYALIIFISLILSVANADPMYCFNDDDCRELKCSHPRVRKCRMFLCRCEEVDKEDEK >RHN75385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41799369:41804917:1 gene:gene11575 transcript:rna11575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase assembly factor ATP10 MQGLKPLIRRRFNVRDSIMAFTDITEPENLHYRLTPKRSFIDLYQFGNKEAVAKERARLKDELSRGHFADLNEFKQHGGKIAIANKVIIPEMVAVKFPDIKVNFSDGKTMKLPIRISDYAVDSDKSSVPKASLVCLSFRAISQEMINSWTVPFAKAFSDSKDVNLYQVSFIDSWLLCRAPIKRLLLWSMKKSNHNENKDTLQGKMVYSFGDHYYFRKELKLVNLLTGYIFLLDNFGRVRWQGCGSATDDEVSSLLSCTSLLLGR >RHN69479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43705811:43723234:1 gene:gene17956 transcript:rna17956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein translocase subunit SecA, P-loop containing nucleoside triphosphate hydrolase MATLSYPFFTVQRTTSTHTNAFFIRPYPSFIRLPRRRFTPVFASVSSIKENLGRIHKTFTDFTSLNHWVVSDYFRLVDSVNAFEPRIQALSDEQLAAKTEEFRLRLKRGETLADIQAEAFAVVREAARRKLGMRHFDVQIIGGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALTSEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQKGMNSEERRFNYRCDITYTNNSELGFDYLRDNLAGNSEQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEASQDAARYPVAAKVAELLIHDIHYKVELKNNSVELTEEGITLAEMALETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRDGKALIINELTGRVEEKRRWSEGIHQAVEAKECLKIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFQTPVIEVPTNLPNIRKDLPIQAFATARGKWEQVRREVEDMFRQGRPVLVGTTSVENSELLAGLLREWNIPHNVLNARPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLARGIIEDSVVSFLTREDPNSVHASEAISEKMLPKIKVGSSSLALLARTALMAKYVSKSESKSWTYQKAISFILESIEMSLSYGSEELEKLANEESEMYPLGSTLALAYLSVLKDCEEHCLLEGSEVKRVGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVRLISKITNDEDLPIEGGAIVKQLLALQINAEKFFFGIRKNLVEFDEVLEVQRKHVYDLRQLILIGDDESCSQHILQYMQAVVDEIVFSNIDPVKHPRSWGLSNLLKEFKTIGGKLLHESFGGINDDTLLNSLGQLNEVKSVDVVNFCLPNLPAPPNAFRGIRRKSSSLRRWLAICTDDLIENGKYRTTSNLLRKYLGDFLIASYLDVVEESGYDERHVKEIERAVLLKTLDCFWRDHLVNMNRLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRFTVEALLRHWTSPMESQELFL >RHN47341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39009725:39010854:1 gene:gene41929 transcript:rna41929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MQNTRKSRPVEGFDFSSIGFGLPSLNALHLDDTIFQYFPDFMLLLAGCPNLEHLQVANQLQHPYDDIPIFHNLTQLTLCYNWELVGLVLQHCPMLQNLKLYKEYRDSRVEEYEGEGEKNWVEPELVPECLSSYLRTCTMDAFPDLQSELMLAKYILKNARMLQIMKIRNGNKAEHLEIEKQLSTFPKASATCEFLFFTYRA >RHN49617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55950157:55950940:-1 gene:gene44466 transcript:rna44466 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYRRLLGSVAKWSASGILHSSFSFSSFSSASVSSQLEVVLEPQEDRSISFLPSILLESGFSEIGWFISRLIVAFDEVFNLASPLSSDSDEETAGDLEPEC >RHN53259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:459005:466858:1 gene:gene28150 transcript:rna28150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative The Golgi pH regulator/GPCR-type G protein MGWGRGILEGFVVLGSLFSLGWAGLWFLNRRLYKEYEEKRVLVQIIFSIVFAFSCNLLQLVIFEIIPILSKESRVLNWKIDLFCLILLLVFLLPYYHCYLMLKSSGLRTERAALGAVLFLFAFLYAFWRMGIHFPMPSPDKGFFTMPQLVSRIGVIGVTVMSVLSGFGAVNLPYSYLSLFIREIEETEIKALERQLMQSIETGISNKKKIILCQLEMENKQGSEERLTARSFIKRIVGTVVRSVQEDLKEQDIKGMEAEVLALEELSKQLFLEIYELRQAKEAAAYSRTWKGHMQNLLGYACSVYCVYKMIKSLQSVVFKQAGSVDPVTRMISIFLQFFDIGINAALLSQYISLLFIGMLVVISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGTYFVSSILLIRKSLATEYRIIITEVLGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQIDKHPIDCEKHILPIYMYSFYCNESELLKRSSMGS >RHN62074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40515209:40517498:1 gene:gene24605 transcript:rna24605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MEFLFNCKLIKLMGGGNLNSLVCKIVFLFIAVLILRAFLLPQNLVFMSKNVSSYGFVVQQQKFLEVPQIVWGLNNQKIAFARACLTARMLNRTLLMPSLSASLFYKEIDLLQPISFDKVFQFDKFNELCSGFVQLGRYSDLLNRTQVVELQKGSGRKWTVQRDLDQLRQYKNGSFDDIEVIKIDGKNPFLWHDHWPVKDYAKVFECLVLVDEFTQEADRVASMIRKVGSHDDKGNSFQNYVPYVAVHMRVEIDWTIHCKKLEQRLNTNQICSSKNEIMERVGNIEGLNKNQVVLYLAVADKLLQNSSILEGWKEGFLPFEKKKLGVDKIYAKYPYLIQSAIDYEVCLRADIFVGNSFSTFSSLIVLERTQKMIKLGVNEMCGSDVRWPSFAYNIKGELNGPMRWVTNMSDSSLHAISYGTNHISC >RHN58172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44322361:44326017:1 gene:gene33789 transcript:rna33789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative association with the SNF1 complex (ASC) domain, immunoglobulin E-set MGSNSRGKDGEGTSGINTVDDDDDSFEYLQELNFVPYETLLQNNMNTNGFVASFVMQQVPVIVMQRPQPQPPQALMQNRYVETVIHEKLKSVRITWIHGGTNVSIAGSWNNWETVEALLRVGQHFVIVKTLPISIYYYRFIVDGQWTHAPEFPSDLDDSGYVYNILDLQDYIPQRLQKSEDPESPPSSYDNIFLNEDEFNKPPPELPPQIPVTITQEEASTSNIDQVPSSTHVDLNHLYINKSDGDQFVTLRSTHRFQHKFVTTILYKSLQRER >RHN63468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51508710:51511172:1 gene:gene26167 transcript:rna26167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MENKDDTPKEAKDYYSRSIWWSETAQAFEDHKTYATNGTYSFQEILTPEISETFGDLKNLSSNKNRVHEIVPDTGSEENGKFVPYSVQLLSTEIVEIVEDGKSITIKKDRRVDDLYVAVGEDDMDVVKWVLDHAVSPGARIFLVHVSPPITLIPTPVGMLGRSQLTPQQVRRYLNEVNNKRKDLLQKYIQLSTEAKVTAETLILESKDKGKAILDLISILNITNLVLGVKKLRRNDKLREGEFVKKNAPKTCDVTLIYNGELFVYEIDAFMDECQSQSKYHSKCNFFHQCICFSGCVGEDMDK >RHN56446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30939047:30940457:1 gene:gene31851 transcript:rna31851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MSTEKSHVYHERQRLQFCLIHTLNSLFQQKDAFTRANLNAISEKLALDESFNNESSWTPLSIFFKPHHNALTGNYDINVLTAALEEKGKSVVWHDRRKGGSSVDLDASEDVLMGVVINIAVKRFAGIWKSRHWIALRKIDGVWYNLDSDLSAPKSFRDTDEVREFLDSSIVRGGEVLLVMNQKQS >RHN80594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39281886:39282181:1 gene:gene4534 transcript:rna4534 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDEESVTPKYTSVKHDYDTADKKTDSGKAPRFNGDPEEFSCYGTYWKMELMTWI >RHN41039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26305829:26309023:-1 gene:gene47312 transcript:rna47312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MEERNGCEKLEEVMLPGFRFHPTDEELVGFYLKKKIQQRPLAIELIKQLDIYKFDPWDLPKLASTGEKEWYFYCPRDRKYRNSARPNRVTRAGFWKATGTDRPIYSSEGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLIDSSSSPKKYVDKTIPANESWAICRIFKKTNATAQRALSHTWVPPLLETSTSNVLTTNDGNHNQFCSSNMILTKKPSFASHSSTSTNNLTQNLTSSSSTTLCPLDVPSYNNPIIDPLIYKPLYRLPISNDQDLNLSTGLVFSSPLETSCNKTSMDFSSLLLGMSSEVTTSNYIGNLQDHYNNGYPLLANIPNMNVPRVDDQQEMDTVRPIGFPFSVPLNIGDAWKSSSLVWDTSSCTSDEPSSYSTTKCYT >RHN53577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2646702:2647604:1 gene:gene28499 transcript:rna28499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGFPTDIVLPKVFVQILSILSFIKKLITIFFFYIGLDSGFAFPESLPEFQSVNPLLLIRQILPVVKFSELELELELAVESCAVCLCEFKAEDEIQRLTNCRHIFHRSCLDRWMGYDHTTCPLCRTTFLPHHMLDA >RHN52079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31329934:31330860:1 gene:gene36697 transcript:rna36697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MIKAHSMSNHSYRDSVAVFKLLTRDSGFFPNRYSFVFAFGACGNGLCVREGEQVFLHAVKVGLDCNVFVVNALIGMFGKWGDVEDARKVGCFMEALDLFHKMLQSEVKPNEYTMVSTLAACSKLVALDQGNWIHVYIRRGEIKMNDRLLASLIDMYAKCGEIESASSVFCEHKVKRKVWPWNAMIGGFAMHGKPEEAINVF >RHN58093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43708964:43710213:1 gene:gene33701 transcript:rna33701 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSILGFITLFLLTLCITSSIHRIRQISDYNFPNTRVDAYEKTLNVLGSRKMLVGVDRFSLITRQHGSIGGLRVLHSCYIDSVGPEDKSQIGEVKMQLQIYCKTTKTLSDHKSINRSKVLDGEHPFALRMRQHGYTGGLKMLHHCYIDSIGQENKSDELKMEAQIHCITEKTLLGMKATM >RHN73342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15509901:15510388:1 gene:gene9143 transcript:rna9143 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQPSIQKVLYPTTRPSSSSSATTTSKSTGTKYPTMDKIFGIRN >RHN70323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50663127:50663690:1 gene:gene18893 transcript:rna18893 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLCILFIIVVAFGMLLFAVYDNSSHPKLPYFGVESASLNSLTMNGSRLSGEWNINLTFTNPNNGWNEASYNTICVSILYNNEHNPSLLASTQLAPFSKKDDATVLKQVQFKMDLHDAEIERSFSCGAVNFEIEMSTSVRFTGAFDFRKDYSLKVLCNPLTIKLSPGSSKWALAQGLTCQTTTTSG >RHN47443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39785876:39788860:1 gene:gene42046 transcript:rna42046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monodehydroascorbate reductase (NADH) MAETLIKTSLVSSWHGNRNQQRQRVSMVPRTCSFNTGVKSFPSLKLKSQLHRSSSSFSSEFHGKKAIFRVNRSTPKRVNSQFSVSAAPKMTLRVGKVQKWWEKGHQPNMREVTSAQDLVDSLLNAGDKLVIVDFFSPGCGGCKALHPKICQFAEMNPDVEFLQVNYEEHKSMCYSLNVHVLPFFRFYRGAQGRVCSFSCTNATIKKFRDALAKHTPDRCSLEPTKGLEEKELIALSENRDLNFTYTPKPSQPVHTPANEEVATEAVPSLTSKSEVSKERPLVTAWR >RHN72141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5326296:5331891:1 gene:gene7821 transcript:rna7821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MASGAIFSSLRRRRSPTLEAFLAPVDLSDVALVQTLVTVVNELVCCFSKRSFFFQRKNTRSLIRKVEVFQVLLEYLNDSGSGSGSVLPATGLLCLKELYLLLYRSKILLDYCAQSSKLWLLLQNHSISGHFHDLNQEISTLLDVFPVKDVCLSKDVREQIELLLKQSRRAKLFIDMEDDALRVRFFEFLDQFENGRIPDSEELRSFYVDKLQIMDAACCRTEIEALEEQIVNHEGDIEPTVSVLKGLVAMTRYCRFLIFGFEEDELDMENGSQKKIKKGLITQEIADTFLTVPKDFCCPISLDLMRDPVIISTGQTYDRSSISRWMDEGHTTCPKTGQTLAHTRLVPNRALRNLIVQWCSAHGIPLEPPEVMEAMGEAFASACPTKAALEANRATANLLIQQLANGSQSGKTVAAREIRLLAKTGRENRAFLAEAGAIPYLRDLLSSPNSVAQENSVTALLNLSIYDKNKSRIMDEASCLVSIVNVLRFGHTTEARENAAATLFSLSAVHDYKKIIADETGAVEALAGLLQDGTPRGKKDAVTALFNLSTHTDNCVRMIEAGAVTALVEALGNEGVAEEAAGAIALIVRQPFGAKALVNQEEAVAGLIGMMRCGTPRGKENAVAALLELCRSGGSAATERVVKAPAIAGLLQTLLFTGTKRARRKAASLARVFQRCENASLHYGGLGVGYAFASNSAQTRDSSFAAGDVSVPMSISVPVI >RHN64246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57610401:57618923:-1 gene:gene27040 transcript:rna27040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin protein, neddylation MSMSERKTIDLEQGWDFMHRGIMKLKNILEGLPEPQFSPEDYMMLYTTIYNMCTQKPPNDYSQPLYDKYKEAFEEYIVSTVLPSLREKHDEFMLRELVKRWANHKIMVRWLSRFFHYLDRYFIARRSLPPLNEVGLACFRDLVYKELHGKMRDAIISLIDQEREGEQIDRALLKNVLDIFVEIGMGKMDHYENDFEADMLKDTSAYYSRKASNWILEDSCPDYMLKAEECLRREKDRVAHYLHSSSEPKLLEKVQNELLSVYASQLLEKEHSGCHALLRDDKCEDLSRMFRLFSKIPRGLDPVSSIFKQHVTTEGMALVKHAEDAASNKKAEKRDIVGTQEQVFVRKVIELHDKYLAYVNSCFQNHTLFHKALKEAFEVFCNKGVAGNSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLAKENQTSFEEYLSNTPNADPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFKEFYSTKTKHRKLTWIYSLGTCNISGKFDPKTVELVVTTYQASALLLFNSSDRLSYSEIMTQLNLLDEDVIRLLHSLSCAKYKILIKEPNTKTILPTDYFEFNAKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGYQQLVMECVEQLGRMFKPDVKAIKKRIEDLISRDYLERDKENPNMFKYLA >RHN69535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44194841:44196394:-1 gene:gene18015 transcript:rna18015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Blue (type 1) copper binding protein MSKGRGSAAMNMVIVISLLCLMVLAKSTNAETYTVGGPKGWTFGIKKWPNGKSFVAGDVLDFGYNPKMHNVVLVDQTGYDKCKTPEGSKVFRTGSDQIELVKGDNYFICNLPGHCQSGMKIYINAA >RHN69602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44817125:44821697:-1 gene:gene18091 transcript:rna18091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MMECNKDEAVRAKEIAERKFSEREYIGAKKFAIKAKNLYADLEDISQFLTTIDIYISAENKVSGEMDWYGILGVSPFADEETVRKQYRKLALTLHPDKNKSLGAEGAFQLVSEAWSLLSDKTKRLEYNQKRSLKGFQHTTPNRAGHPSNVPSSNGYYHFKKNATSNVRTGNHNARAPATSAPPQKKAETFWTICNKCRTHYEYLRIYLNHTLLCPNCNEAFVAIERSPPPNVFKPSSLPSHQQHQNSRRHPGSNNSNLQWGSHSRMAGFGSADGSSSVAAQAASVVQKASEKVKREGAPSIAEWERIQMSKKADGSMKKRKVDDIHVNGYPGYTANHFATGSGAAGLGSFSGSGKANLEKERTYGFSGLGGKHYSIRELSLFELRNMLMDKARNEISKKLEELRLMAEAKIANKNKRQKNIFNDKTSGSEKYGESNVNGNKHFSIDSLPVTSDGTVKSQAYVTINVPDPDFHNFDLDRAESSFAEDQVWAAYDDDDGMPRYYARIHKVISTKPFRMRISWLNSRSNSELGPIDWVGSGFYKTCGDFRTGKHEVSESLNSFSHKVRWTKGTRGVVRIFPGKGEVWALYRNWSPDWNEHTPDEVIHKYDMVEVLDDFSEEQGILVTPLVKFPGFRTVFRRHQDQNEVRRIPKEEMFRFSHQVPNHLLSGQEAHNAPIGCRELDPAATPLDLLQIATEANEAAGNV >RHN70775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53926534:53926810:1 gene:gene19392 transcript:rna19392 gene_biotype:protein_coding transcript_biotype:protein_coding MNCPFKLMTFPSGPMKTKAGIFFISKILQRSCLVLSSYGIASQGMLVLLTLNSLRSLSVETKTISKQWPFVSLRLLYASAS >RHN43900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49320598:49323721:1 gene:gene50535 transcript:rna50535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative High mobility group protein HMGA MDLTSFDLPPPPPPTTVPSFTHHVAPHPPYAEMIYKAIEALKEKDGSSKRAIGKYIEHVYKQVLPPEHSTLLTQHLNHLKSAGLLIMFKKSYKLPSSLPPPPTLNRSDHSEAHLPFTPLTQRGRGRPPKHKPILIPNAQPVFVSLDPPPPTTAVEPVLSVKRGRGRPPGTFRSKSIKKPKSVSNGLKRRPGRPPKTQSHPTTVIPFAAAPAPSVVSDEANVSVRSTRPRGRPKKYSDTVVPGAGATRRGRPKKLAVVGRPKNPSGRPIGRPKGAKGKKFVNEDLRRKLEHFQSKVKESLDILKPLFDHQSPATAVAAIQELEMLATLDLKAPLRDETLQPQQFPEPQPLQQELPSQQLHPQPVPQALPSQQLHPQPVPQAPPSQQLHPQQVSQAQNYEQQYRQMPLQQQVQQLFQPHNLAPS >RHN72838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11173055:11176412:1 gene:gene8599 transcript:rna8599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MGSINVLGVVFWCAVLMHAGYAQLSPSFYSQTCPFLYPIVFRVIFEASLTDPRIGASLIRLHFHDCFVQGCDGSVLLNNTNTIVSEQDALPNINSLRGLDVVNQIKTAVENECPATVSCADILTIAAQVASVLGGGPSWQIPLGRRDSLTANQALANQNLPAPFFTLDQLKAAFLVQGLNTTDLVTLSGAHTFGRAKCSTFINRLYNFNSTGNPDQTLNTTYLQTLREICPQNGTGNNLTNLDLTTPNQFDNKFYSNLQSHKGLLQSDQELFSTPNADTIAIVNSFSSNQALFFENFRVSMIKMANISVLTGNEGEIRLQCNFINADSSGLSGGASKDELLSSF >RHN72101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4988778:4989690:-1 gene:gene7774 transcript:rna7774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TGA like domain-containing protein MKNLVVERFSEFYEKWVVKLEEIQRQLLEISKKKTEVTMNEQELKALVSKVTAHVKEYYTVKWGAAHEDVLVFFTPTWLTPLENAHLWVTGWKPSTVFHILEDPKGEFNMTEEQKKKILGELRVRIRMEEEKVEREMERQQVAMADLKMVELAKLSCRAKKDDGRVDGMVGVALNGVFAGLEKVMKTSDCARLKSLKGVLDILSPIQCVDFLAAHIGMQLWLRQLGMKMITIG >RHN80351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37366228:37369667:1 gene:gene4266 transcript:rna4266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aconitate hydratase MCPEYGATMAFFPVDDVTLEYLRLTGKSEETAHHERVYTSNLQLDLGEVESCVSGPKRPHDRVPLKDMKADWHACLENKVGIKGYGISKGEKDKEVKFSFQGHHANLKHGSIVIAAITSCTNTSNPSAMLSAGLVAKKACELGLEVKRWIKTSLAPGSRVVTEYLIQSGLQKYLNQLGFHTVGYGCTTCIGNSGELDNSVASAISENDIIAASVLSGNRNFEGRVHPLTRANYLASPPLVVAYALSGTVDINFYEEPLGRGKNGRDVYLKDIWPSNEEVSKALQTYVLPDMFKSIYETITKGNPMWDRLSVPASTLYSWDPNSTYIHEPPYFKNMTMEPPGLHRIKDCYCLLKFGDGVTTDQISPPGSIHKDSPAAKYLIEHGVDHKDFNSYGSRRGNHEVMVRGTFANIRLVNKLLNGEVGPKTVHIPTGEKMTVYDAAMRYKEADQDAIILAGADYGTGSSRDWAAKGPLLLGVKAVIAKSFERIHRSNLVGMGIIPLRFKSGDDAETLQLTGLERFTVDLPERVNDIEPGQDVNVTTDSGKSFTCKLCLDTRVELAYIDHGGILPYVIRILIKQ >RHN65599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3664878:3670941:1 gene:gene13454 transcript:rna13454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase with an alcohol group as acceptor MGAGEDLANNAASVAENPVNQATPTTENPISNQAGGAENPVNVAKDQAVGAENPVNDTLGGSDVLVNDKAHAAENSINDTAGDDGKESAMKDKAGEDDKQGAAENLAEEKPDAIETPVSSKADRLPEEAKEILISLAGKWEDVLDANALQVIPLKGAMTNEVFEIKWPATTEETSRKVLVRIYGEGVEIFFDRDDEIRTFEYMSKNGQGPRLLGRFTNGRVEEFIHARTLSASDLRDPSISALIAAKMKEFHDLDMPGEKKANLWPTLRNWLSEAKRLSSPKEVEAFYLDTVDKEISILEKELSGSHQRIGFCHNDLQYGNIMLDEETNSVTIIDYEYASHNPVAYDIANHFCEMAANYHTETPHILDYSKYPDVEERERFVKAYLRTSGEEPSDSEVEHLLQEVEKYTLANHLFWGLWGIISGQVNTIEFDYKEYAKQRFQEYWARKPYLLSSDAPSPFNTPEGTGELASAAHPKGSKNSGIFRKMKRVLGLGLFRSKT >RHN58170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44299521:44300425:-1 gene:gene33786 transcript:rna33786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDPNDQKKNNNKFDVGRIHQNTRANSMKAIEKKKSLASISKSCKVYEKPKGRHHDEGSTSSKIHKCELCNKIFRCGKGLGGHKRIHSQALGKEGESKAEANCNSNDVKLSCDVCKKNFQSNKALHGHMRSHPEREWRGMNPNKDNNIDYHDYDQDQYFLSAFNSKELVIDEESKSWPRRLFKTCKRGRSSGEVVIAAQILVYMSTDKHLHDTFDEDLDNEDKKENVLPSTSGLVGDIDETKLKNDNNKRRKKLVKLMNPYSTSKDNVLLD >RHN81810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49064797:49067678:-1 gene:gene5900 transcript:rna5900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MELIRLDCIIVTMLATLCLLLLLILTFSWWVFPILKHKKLKSCGLGGPTPRFPLGNIEEMKIKDNVAYSHLSHDIHANVLPYFSSWQKLHGKVFIYWLGTEPFLYIAGAEFLKKMSTEVMAKRWGKPSVFRNDREPMFGSGLVMVEGNDWVRHRHIIAPAFNPLNLKAMARTMIVSTNQMIDRWTSQIKLGKHEIDVEKEIIATAGEIIAKTSFGMEDENAREVLHKLRTLQMTLFNSNRYVGVPFGKYFNVKKNLEAEKLGKEIDRILLSIVEARKKSLKNNSYQDLLSLLLKENSDVSQSAKTLTTREVVDECKTFFFGGHETTALAITWTLLLLATHEDWQNQLREEIKEVVGNNEFDVTMLAGLKKMKWVMNEVLRLYPPAPNVQRQAREDIQVDDVTVPNGTNMWIDVVAMHHDPELWGDDVNDFKPERFMDDVNGGCKHKMGYLPFGFGGRMCVGRNLTFMEYKIVLTILLSNFTFKVSPGYHHSPAIMLSLRPAHGLPLIVQPLN >RHN41097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26961470:26961724:-1 gene:gene47386 transcript:rna47386 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVVIFVKMKFDSSFYMDLSVFSCLETFRQTLDQIWTLGSQKWDFGVKNGFSPKQNSSNLSQLAMESWRRVGGAFCVSTRQGE >RHN54021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6266981:6267724:1 gene:gene28996 transcript:rna28996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MDEDILKNLGNVESNMDMMTMMMQMEKFSDSYEPFYSNTLNLNLTNNDFPYGNSNVTSSLTPSLINPPLSYPLQQPMTPPLLQPNRVQTQIPSGRNNNSSLSYPEKKNSMSAMREMIFRMAVMQPINIDPETIKPPKRKNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKKQVQTLEQVGANRPLNVVGFPGVVSNANFSYSSLIRGCSQPCQMVGPTSKQMLS >RHN69799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46342969:46343103:1 gene:gene18314 transcript:rna18314 gene_biotype:protein_coding transcript_biotype:protein_coding MIAELLFCSAATGGDFFWVSSVTAIAVVAFFSTNGALFCFRVVF >RHN43936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49576426:49579867:-1 gene:gene50574 transcript:rna50574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MGMLPHKNRHKSMLLILAVGLLLLLAEAEAADLDSQRAALLTLRSSVGGRTLFWNATNQSPCNWAGVQCDHNRVVELHLPGVALSGQIPTGIFSNLTHLRTLSLRFNALTGSLPSDLASCVNLRNLYIQRNLLSGQIPDFLFTLPDMVRLNMGFNNFSGPISTSFNNFTRLKTLFLENNHLSGSIPQFKAFTLDQFNVSNNVLNGSVPVNLQTFSQDSFLGNSLCGRPLSLCPGTATDASSPFSADDGNIKNKNKNKLSGGAIAGIVIGSVVGLLLLVFLLIFLCRNKSSKNTSAVDVATIKHPESELPHDKSISDLENNGNGYSTTSAAAAAAAAVAVSKVEANGNGNTAAAVGAKKLVFFGNAARAFDLEDLLRASAEVLGKGTFGTAYKAVLESGPVVAVKRLKDVTITEKEFREKIEAVGAIDHQSLVPLRAYYFSRDEKLLVYDYMSMGSLSALLHGNKGAGRTPLNWEMRSGIALGAAKGIEYLHSQGPNVSHGNIKSSNILLTKSYDARVSDFGLAQLVGPSSTPNRVAGYRAPEVTDARKVSQKADVYSFGVLLLELLTGKAPTHALLNEEGVDLPRWVQSVVREEWTSEVFDLELLRYQNVEEEMVQLLQLAVDCAAQYPDKRPSMSEVVRSIEELRRSSLKENQDQIQHDHNDIEL >RHN72802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10821088:10826735:-1 gene:gene8560 transcript:rna8560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative post-transcriptional gene silencing PAZ-Argonaute family protein MEAAGEGYGGRGTQQPSDEVSLQPEWRRRTASTGREQTLTLQPEWRRGKPTASPSPSGTGDEGEKKIEEQKKELEWRSSKVNASSVWEPEWRRSRSDTSATATAYPWNRNYGVKKTVGSSSGGVHRDRNVEELQRNAPSSDYSVPKLERLQISDNLASSSPALEKEDKTSPIRRPDSGGTLAVHTSTLRVNHFPVKFDPRSIIFHYNVAVKPKFSSKVGQPKKLSKNDLSMIKEKLFSDDPEKFPLDMTAHDGANNIFSAVQLPEETITVEISEGEDEKITTYSVTITLLNKLRLHKLMDYLCGHSFSLPRDILQGMDVVIKENPVRRTISVGRYFYPTNPPLVMKELRPGIIAVGGFHHSLKPTSQGLSLCVDYSVVPFRKQMSVVDFLHERIDNFNLGEFEKFRKYVEEVLIGLKVSVTHRKSQQKYIIAGLTPTVTRYVTFPIDHTKGWKLEKEVGLLSFFNDKYDKDIVYKDIPCLDLGKGNKKNYVPMEFCVLAEGQRYPKERLDGISAKTLTAMALAHPSERQGAIQKMVQSSDGPCGGDLIQNFGMRVSTTMTTILGRVIGPPELKLGDPNGKNVKITVDLDKCHWNLSGRSMVEGKPVERWGILDFTSIGPYNRKLRRKEFVEKLIGKYKKLGIYMQEPIWYEESSMKILTSHDLLSELLEKINNICKYNQGRLQFLLCVMANKSPGYKYLKWISETKVGIVTQCCLSYSANQGDDKFYTYLALKINAKLGGSNVELNNRLPYFEGEEHVMFIGADVNHPGSRDNKSPSIVAVVATINWPAANRYAARVCPQFNRSEKILNFGEICVELVSCYWQKNGVRPEKIVVFRDGVSEFQFDMVLNEELLDLKRAFQRLNYFPTITLIVAQKRHQTRFFPDSWRDGSSSGNILPGTVVDTKVTHPFEFDFYLCSYYGSLGTSKPTHYHVLWDEHKFTSDELQKLIYEMCFTFARCTKPVSLVPPVYYADLAAYRGRLYHEAKTGMQPKKSRTYLSSKDSSSIPPTASFEQGFYRLHADLENIMFFI >RHN44689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7392606:7392836:1 gene:gene38839 transcript:rna38839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative perakine reductase MLQHLPRFQPENLQQNQTIFDKVNELAVKKGCTPSQLALAWLHHQGNDVCPIPGTTKIENFNQNIGALSVKLTPEE >RHN72550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8549265:8549651:-1 gene:gene8276 transcript:rna8276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MVIKLKNELMLNSYKTIDGRGFKVEIANGPCITIHNVSHVIVHGIMIHYCKPSNPGLVRSSSIEHVVHRQRSDGDGISVFASSNIWIDHCYLARCTDGLIDVIHNSTNVTMSNNYFTLHDKVSIKKLK >RHN55366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17706529:17708128:1 gene:gene30536 transcript:rna30536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MGEETSEVVLLGNWASSYCTKVELALKVKGIPYKYVDEDLRNKSDSLLKYNPVHKKVPILLHKGRSICESQIILEYIDEIWDHSPKLLPEDPYQRAKVRFWANYFDQKIIPSSYRIFQSRGEERKKAAEEMEEILVVFEEGVKEGFNEKFHFFNGETLGLLDIVVAATSCTYEAFQEACEIEVFTPEKMPKFFIWVNNLKEHPLVKETLPPHEKLVAKLKSIIGT >RHN50842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11046351:11046647:-1 gene:gene35180 transcript:rna35180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MLGMKSDDIKVEVEDDNVVVITGERKREEGGRVKYLNMEQRRRFGKFTGRFLLPQDANAEAVSAACQDGVFTVTALKKLPPPQNPNKHRRTIEVVKIA >RHN46163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29310129:29312120:-1 gene:gene40606 transcript:rna40606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MFIKLVFMLFFHVTLVASIDNSFIYKYNGFQSSNLYLDGTAELASTGLLRLTNDTKQEKGQAFYPNPIVFKNTSSGSVSSFSTTFVFSIKSSYPTLSGHGIVFVISPTKGLPNSLPSQYLGLFNQSNIGNGGNHVFGVELDTLLSSEFDDINDNHVGIDINDLKSANSTPAGYYDEYNRFRDLSLSSGYPMQVWIEYDRVKKKIDVTLAPYSVGFSHKPTQPTLSLTKDLSPILNNRMYVGFSSSTGFIAASHYIHGWSFMVNGQSQNLEISDLPGERVFAEKKKSKFLTVRLPLILLSLVFIITLGVMYYIKLKKFAEVLEDWEHEYGPHRFKFKDLYSATKGFREKGLLGVGGFGRVYKGVIPSSKLEVAVKRVSNESKQGMREFVSEIVSIGRLRHRNLVQLHGYCRRKRELLLVYDYMPNGSLDNYLYNEPKVRLNWIQRFRIIKGVASGLVYLHEEWEKVVIHRDIKASNVLLDSEFNARLGDFGLSRLYDHGADPHTTHLAGTIGYRAPEHIRTGKATKFSDVFSFGAFLLEVVCGRRPIGRVGEYESLILVDCVFECWKRGDILEAKDVNLGTDYVSEEVELVLKLGLLCSHSEPLARPSMRQVVQYLETDIPFPDLSSLSLSTFGLTFGYQECVEDKTMPYTSVSIAESVLSGGR >RHN59221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8541347:8542138:1 gene:gene21221 transcript:rna21221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartic peptidase A1 family, xylanase inhibitor MDPMHRVKVALWYPKDKLYKPNGNQLVKCSDPICAAVQPPFSTFGQKCAKPIPPCVYKVEYADNAESTGALARDYMHIGSPSGSNVPLVVFG >RHN61968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39657286:39660624:1 gene:gene24485 transcript:rna24485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific protein-tyrosine kinase RLK-Pelle-DLSV family MSFITYILFALSLIVSNSIASDDTSSIITQSQSISDGETIGSPKGLFELGFFSITNPNKRYLGIRFKNIPTQNVVWVANGGKPINDSSATLKLNSSGSLVLTHNNDIVWFTNSSTNVQKPVAQLLDTGNLVVKDSVTETYLWQSFDYPSNTLLSGMKLGWDRKKKLNRRLTAWKSDDDPTPGDFSWGVVLNPYPEIYMMKEEQKYYRFGPWNGLRFSGRPDMKPNNVYNYNFICNKEEVYYTWNIKDSSLISKVVLNQTSYERPRYIWSKDDELWMLYSKIPADYCDHYGLCGVNGYCSSTNSPTCECLKGFKPKFPEKWNSMDWSQGCVRNHPLNCTNDGFVSVANLKVPDTTYTLVDESIGLDQCRGKCLNNCSCMAYTNTNISGAGSGCVMWFGDLIDIKLIPVGGQGLYIRMPASELGKLKTKGNFERHMDDLDLPLLDLSTIITATDNFSEKNKIGEGGFGTVYLGKLGSGLEIAIKRLSQGSRQGTREFINEVKLIANVQHRNLVKLIGCCIEREEKMLVYEYMANGSLDYFIFDRTKSKLLDWPKRFHIICGIARGLMYLHQDSRLRIVHRDLKTGNVLLDDTLNPKISDFGLARTFGGNQIEGNTDRIVGTYGYMAPEYAIDGQFSVKSDVFSFGILLLEIISGKKNRECYIKKQTLNLVAYAWTLWKQGRALQIIDSNIVDSCIVSEVSRCIHIGLLCVQQYPEDRPTMADVILMLGSEMMTLDEPKEPGFIMRKESVEKNSSSSGRDTSSNYEMTMSSFIAR >RHN58930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5998424:5999276:1 gene:gene20886 transcript:rna20886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIKLFNYFYKTIQFIIHYKEINSFTIIWIQIGKNMAKTPKLVYVLILFLSIIFSIIVSNSFPDKIFIGDCKTDKDCKPKRGVNFRCRKGKCYPR >RHN79018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21135029:21135511:1 gene:gene2698 transcript:rna2698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH dehydrogenase (quinone) MNRGKTYECASYKKRPHDSQYGASTPIDEWCSSTYCYYCEPILGYFDRGMEKIVENRTIIQYLPYETRWDYLATMFTEAITINGPEQLGNIQVPKRANYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELIYDLFEVTSVMRMMHNYFRIG >RHN38588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:508471:509964:-1 gene:gene44543 transcript:rna44543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MEQKTCIAMIPCPGLSHLIPFVEFAKLLVLHHNNFHVTFLIPTLGSPTPSTKSILNSLPPNIDFTFLPQINIQDLPPNIHIATQMKLTVKHSIPYLHQEVNKIVTCSKTNFVGLVFDLFSSDVIDIAKKFNLMSYIFATSSVISLQFCLNLPKLDESVSSEFMDTTKTFDIPDSNVSFKVKDFPDPVLFGRSSETYKAFLCACQRLSLVDGVIINSFTYLEHDAIKSIQDIICVYPVGPIIQRESKSKENKLECITWLNNKPSKSVLFISFGSGGALTHEQINEIAFGLESSGCNFLWVIRIPNKHSSSAYFSGSSKKGNFNYTLDDDPLNYLPLGFLERTKDQGLVVPSWAPQVEILSHSSTGGFLTHCGWSSSLEGLVYGVPMIAWPLFAEQRMNAAALTDVFKVAVRPKIDDEDGIVKGEEVARVIKIIMNQYSRDGEGLQLRKRIEDLRVEAAAAAVSEDGSSRRALSSLVLKWEERVTLRN >RHN54835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12745865:12747402:1 gene:gene29927 transcript:rna29927 gene_biotype:protein_coding transcript_biotype:protein_coding MATIWACTVGFWFIFVDLMMGDNRVNPLPNQHLSSLNLNL >RHN72981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12328572:12330883:1 gene:gene8750 transcript:rna8750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MARKCEEGRAVGIDLGTTYSCVAVWQEQHSRVEIIHNDQGNRTTPSCVAFTEDQRFIGDAAKNQAAANSQNTVFDAKRLIGRKFSDPIVLDDALLWPFKVTAGVNDKPIITVNYKGQEKQLCAEEVSSMVLTKMREIAEAYLESPVKNAVVTVPAYFSDSQRKATIDAGSIAGLNVMRVMNEPTAAAVAYGLEKRADCVGERNIFVFDLGGGTFDVSILTIKDNIFQVKATAGNTHLGGEDFDNRMVNYFAQEFKRKNKLDISASSKALRRLRTACERAKRILSFLVVATIEVDSLFQGIDFSSSINRAKFEELNLDLLNDCIKTVESCIMDAKMDKSTIDDFVLVGGSSRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAALLSKDVKNVPNLVLQDVTPLSIGRSIIGDVMSVVIPRNTCIPVKKTREYFTVEDNQSSSLIKVYEGERTKASDNNLLGSFRLSGFPPAPRGSPSSDVCFAIGENGILTVSATNSSSGNSNEITITNYKERLSSEEINKLIKEAENFHIEDQRFLRKAKAVNALDDYIYKMGNALKKKDIDVKLSSQEIEKIESAIAVATNLLDEKNQQVEIDVLEDYLKGIESRMEQIIAKTN >RHN76000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46889230:46890162:-1 gene:gene12269 transcript:rna12269 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLSFTLSQEDFLLFHKSDRDLYNILVTNLSRDPYKSAQLLAMWLWLERVGFHDVVKKIVSLPVILIDEIVVESMMCLSCITNNNNTSQIEKSYYEENDIPLLQSLMDKEISLKFFLENRVEAIRGVEKTEKEVCMRALSDIMQQAIMRNMTHRMMNNNNFWFGSIGPANLQFGPVRIDGAIVQQQNNNNEGRGGEIIPAEDRTLFVTFSKGCHVEEWEVKNFFTMVYGDCIEALFMQKTAPNEQALFARIVLHKVDTIDMILRGHSKAKFFINGKHIWARKFVPKRVNISKTMFPGETSGFGSGFGM >RHN70897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54849371:54851904:-1 gene:gene19529 transcript:rna19529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycoside hydrolase family 18, catalytic domain, glycoside hydrolase superfamily MCFSARYLPHVSETICIDRSTPTKKMAYSKKHSFLLISTLLMILQLQFSSTNAAIKGGYWYSDSDLAVSDIDPSYFTHLFCAFADLDSNTNQVTISSTHAASFSTFTETIQSKSNSVKTLLSIGGGGGGPTLAQKFANMASQASTRKSFIDSSIRLARNNNFHGLDLDWQYPSSDAEMTNFGLLIKEWRAAVAAESRSSDKPELLLSAAVGGSDQITPLKYYPGQDVANNLDWVNVMTYDLYISDINPTQTQPPAPLKNPTGQFSADEGITKWVGLGVPKSKLALGLPFYGYKWSLADPNKHRIHDKATQGLGAVKYKDIKNDGAQIVYNSTYAQNYCFKGTDWYGYDDTQSISAKVAYAKQNGLFGYFAWHIEQDNNWALSQAASQAWGKPSVRHGVVIVTSGSTPIFFSCSD >RHN73329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15443898:15448678:1 gene:gene9130 transcript:rna9130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, peptidase, FtsH MKKLQLFIILLCIALLLHVSLPSHSFLHLHSTHVSCIASLITLPFSLSLSLSLSLILFIKMAASLACLVGSGLTTQSNKMTLGKDLNGRRLLLSRRHSSSRRASKTILVKALLDQSEHKGRRGFLKLLNTTVGLSALLGSANANADEQGVSSSKMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAVSPELGNRVQRVRVQLPGLNQELLQKFRTKNIDFAAHNAQEESDSFFSNLIGNLAFPLIVIGGLFLLSRRSSGGTGGPGGSGLFGFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAIGARIPKGVLLIGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGIGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVSVDVPDIRGRTEILKVHGNNKKFDGDVSLEVVAMRTPGFSGADLANLLNEAAILAGRRGKAGISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLVPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEIIFGEPEVTTGAAGDLQQITGLAKQMVTTFGMSDIGPWSLMDPSQNADVIMRMMARNSMSEKLAEDIDSAIKRLSDEAYEIALKHIRNNREAIDKIVEVLLEKETITGDEFRSLLSEFVEIPPENLVPTSTPSPVAV >RHN48282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46288557:46292805:-1 gene:gene42983 transcript:rna42983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MNHVPVLVTILVLVVLYYLLKTLHSIFYVPYKIQQHFRNQGISGPTYRPIFGNSSEIKRLYAETKSESNPFDHDILKRVVPFYNRWSCMYGKTFLYWFGSTPRLAISDPDLIKEVLVTNCVEYGKVPYNPQSKLLFGQGLVGLQGDQWNFHRRIFNLAFNTEILKGWVPDIVLSVTTMLEKWENQRGGRDDFEIDVHRDLHELSADVISRTAFGSSFEEGKHIFKLQEQQMHLFSQAVRSVYIPGFRYLPTKKNRDRWRLDKETRESICKLIETKSSVKENTKNVLNSLMCSYKNEVGGENKLGLEEIIDECKTIYFAGKDTTANLLTWALLLLAKHQEWQSMAREEVLRVIGHSQLPVADNLNDLKIVSMIINETLRLYPPALMLMRQTNKNVMLGSIEVPAKTQLYLPLTDIHHNREIWGEDCHGFNPMRFSEPRKHLAAFFPFGLGPRTCVGQNLALVEAKIALALIIQHYSFEVSPSYIHAPVLFITLQPQHGAQILFRRISC >RHN47804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42642918:42644008:-1 gene:gene42447 transcript:rna42447 gene_biotype:protein_coding transcript_biotype:protein_coding MFKYQAVPLWEKKFCASIGAVPWRKLIEVKRYMSLHPNVVNWDDSAVKEAFNNAKNRFWAEINGLPCDIPLPDPNIYLDDVDWNATIDPELYLDVEREAVARRNIEEKGEEAVILSSSFLLSHSFSGPGWGDEEEKEEVRKPSEPNYAGQGWESNQHENNETNSWEQYLPPIDEHAKQYGWQNSHNDSQRWIQREHYGGDLHNKYQQGRNGGSGNWGTWDGYSRRRENNMSWSKSPENHYGNNEYQMNRGRGRGRGRNRGRGGGWTR >RHN79682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31185680:31186319:-1 gene:gene3505 transcript:rna3505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamine synthetase, beta-Grasp domain-containing protein MDLRELRKAVEEVEDVDDLENVSFVRIIWVNFVGQHRCRAIPRKRFYDVVTKNGVALPFGTMVLTSILDKLAPDSGLGYVGEARLTPDLSTKRKIPWCKHDEMVLGDLNVKPGQAWEYCPREALRRVSKILKDEFDLVCSTMLHI >RHN42276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36959834:36966644:-1 gene:gene48696 transcript:rna48696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MEQRKLVVLGIPWHVDTDGLKEYMSKFGELEDCIVMKERSTGRSRGFGYVTFASVDDAKNVLSSEHSLGDRTLEVKVATPKEEMRAPVKKVTRIFVARIAPSVTEETFRSHFEKYGDITDLYMPKDRGSKTHRGIGFITFATADSVENLMKETHELGGSDVVVDRATPKEDDFKPIGRTRTPQLGYSAYSTYIPASTRYAALGAPTMYDRPSSIYGRGEPARGISKKIFVGRLPPEATTEDLRLYFGRFGHILDVYIPRDVKRPGHRGFGFVTFADSGVADRVSRRPHEICGQEVAIDSAAPLDEAGPSGNTMLNSMDSFRGYGGPVRPYFDESPVRSYGRMYNSLDFDDLGYGVASRRPSRTTDWRYRPY >RHN78511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15845803:15849823:-1 gene:gene2086 transcript:rna2086 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASFIPLFAFLLLQPLSTKSDFLSPLLSPIFEDVCKEVECGKGTCKPSKNSTFPFECECDHGWKKALDSIDDEGLKFLPCIIPNCTLDYSCSKAPAPAPEKAKKSNESIFDACHWVDCGGGSCKKTSTFNYSCECDTSYYNLLNITTFPCFRECSIGMGCSDLGISMSNSSSSSAPPPVLNENSKNEAISILQGRSLWLVLVIMVMAKIQLQ >RHN73388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15898289:15899380:1 gene:gene9192 transcript:rna9192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-Os family MDKFLNDMEKEKPIRFTDQQLRIATDNYSYRLGSGGFGVVYKGSLSDGTMIAVKVLHGSSDKRIDQQFMAEVGTIEIAIGTARGIAYLHEECQQRIIHYDIKPGNILLDTNFNPKVADFGLAKLCNRENTHITMSGGRGTPGYAAPELWMPFPVTHKCDVYSFGILLFEIIGRRRNHDVNLAESQEWFPIWVWKKFDAEQVNELVAACGIEEKNMEIVERMVKVALACVQYRPESRPKMSVVVQMLEGLVEISKPLNPFQYMIDGTSSYLSMPISQINTDTSIGSGSSVMVTESSVEGVTPIMTKYQVESASV >RHN39688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9582638:9585590:-1 gene:gene45737 transcript:rna45737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MEGLSPNSCPRQGLEKKARPQEQINCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKKIPSSLANPNNSSSKIPDLNPPTLQVSHLSSQNPKIQGGQDLNLAFPSMENYHHNHGMPSSYVEMHNNNESSSSALDLLRSSMASRGMNPYANNNNLGLPNSNAIYPSGFTMQEVKPSLGFSIDGINGNRSYDHVQVQEGGGGGGGRLLFPFGDVNVNNKQLSTSGVEVEHNKDQQGNSTGYWNGMMGEGSW >RHN56416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30719888:30722022:1 gene:gene31813 transcript:rna31813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MEQETAKNQKSRTVRKKSETNTDGGGNASALPKKRRSSERTCVHKVAVPIDYISTKDEALYGTLSSPLHNGTMAKTFPFTLDQFQQVSIACLERNESVLVSAHTSAGKTAIAEYAIAMSLRDKRKVLYTSPLKSLNNQKYSELRQEFTDVGLITGDITIYPSEAKCLIMTTEILRGMLYRGSEVLNEVDWVIFDEIHCMKDGERGVVWEESIILLPPTVKMVFLSATLSNALEFAEWISTLRKQPCHVICTNFRPTPIQHYVFPIGGRRLYPIVDENEEFMGDNFVMAENTFLKQKLGEGNKGDMFKIVKMIMEKKFQPIIVFSFSKKECEHNLKSISKLDFNTQEEKERVLDIFEMAVLTLNEEDRSLCAIKEVLPHLQRGIGIHHSGLLPVIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFSSVKKWDGHEHRYIRSGEYIQMSGRAGRRGKDEHGICIIMIDEQVSVLLVIFVFCTFDAA >RHN82570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54842970:54847426:1 gene:gene6743 transcript:rna6743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MLSELVNFLKACFRPGSDGYARTTSDAGGKQDGLLWYKDSGQHICGDFSMAVVQANNLLEDQSQIESGSLSLNESGPHGTFVGVYDGHGGPETSRFINNHLFQHLKRFTSEQQSMSVDVIRKAFQATEEGFMSLVSQLWSISPQIAAVGSCCLVGVICNGTLYIANLGDSRAVLGRLVKATGEVLAMQLSTEHNASIESIRQELHSMHPDDSNIVVLKHNVWRVKGIIQISRCIGDVYLKKAEFNREPLYAKFRVPQPFKRPILSSEPSILVHQLQPQDQFIIFASDGLWEHFSNQEAVDIVQNNPRAGIARRMVKTALKAAAKKREMRYSDLNKIDRGVRRHFHDDITVIVVFLDSNLMSRASTVKFPGISVRGGGVSIPHNTLAPFTTTTDNGGT >RHN65089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64118457:64120912:-1 gene:gene27986 transcript:rna27986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Smr domain, tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MSYHLCSSSSPLFHDPLTISSRKFKLRNFPSSFHTPSSSSSSSSSSLTPHSKTLLHISLQESIPQQQPQDANSQKDAKFDNPIGNSTSSKSSYIWVNPKSPRAKQLGKKSYDARYSSLVKLSNALDSCEPTEHDVSQILKCLGDKVIEQDAVIIINNMENSVVVPFVLQYVQRKSIPTREVILYNVTLKVFRKCKDLDGAEKVFGEMLQRGVKPDNVTFSTIISCARSCYLPDKAVEWFEKMPLFGCEPDDVTYSVMIDSYGKAGDIDMALNLYDRARTEKWRIEPATFSTLIKMYGVAGNYDGCLNVYEEMKALGVKPNLVVYNTLLDAMGRAKRPWQARTIYKEMINNDILPNRATYASLLHAYGRARFCEDALVVYREMREKEMDLNTHLYNSLLAMCADVGYTDLAFEIFEDMKSSDTCSPDSWTFSSLITIYSCSGRVSEAERMMNEMIESGFEPTIFVLTSLVQCYGKAKRTDDVVKTFNQLLDMGIEPDDRFCGCLLNVMTQTPKEELGKLIDCVEKANPKLSFVVRYLVEGLEGDGEFRKEALELFSSITDGVKRAFCNSLIDLCINLDLLDRARVLLDLGLTLEIYTDIQSRSQTQWSLILRGLSVGASLTAFHVWINDLSKAFESGEDLPPLLGIHTGHGKHRYSDKGLAGVIESHMKELDAPFRESPDKAGWFLTTQVAVKSWMESRGSSKLVAA >RHN63385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50849872:50851277:1 gene:gene26079 transcript:rna26079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide chain release factor eRF1/aRF1 MVDVHHTDKLQESEAKFGFIVMDYNGAVFGTLSGNTREVLHKFVVYLPKKYGRGGVPVLRFSRVRMEKRHNYVKKTVDLATQFYINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKVLGVVDVSYGGEYGFNQAIELSSEILSKANFTQEKCLSE >RHN47153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37534951:37538185:1 gene:gene41713 transcript:rna41713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c, class IA/ IB MASFDEAPPGNPAAGEKIFRTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKNKAVDWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKDATSKE >RHN47515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40255776:40256099:1 gene:gene42127 transcript:rna42127 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFFIFYKKDPILEFPSVFWEDMVLLLEEISPSPSEENIVDERYHFMPLLLNLTSTFLYMVTIYNIVPRTDNYSLNLVNIHLILTSFILSIKIYDMTIMFLLLSSQ >RHN62431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43395950:43399416:1 gene:gene25008 transcript:rna25008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Znf-LSD family MMQSQHQVNKEDDDGPPPGWQPIPPPQRPRPPPPSLPSGFAQMVCGSCRRLLSYPPGAKHVKCSCCQTVNIVLEADQVGQVKCGSCAVLLMYPYGAPQVRCSSCRFVTEIGTTSGLHGLYNKVNQLLPKLVVSDLSIVLLTGSSLYNKL >RHN79181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25307280:25308092:-1 gene:gene2914 transcript:rna2914 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHTMSIYSWPISLLREMERWIKNFIWSGDIHKKKMVTVAWKKVCADYDEGGLGIRSLVCLNAASNMKICWDLFQSEEQWAQVLRSRVIRNSTCIHHHVYSSIWSGAKTEFQNLIDNSNWLVGDGDTINCWLDNWCGETLVDLFDIDSQQLNMLPKKLRNYMQNFNWCFPDDILSLFPDMRLLASKVTIPKHCIRDKLIWKHSNNGELTLQDAYKFKKTNFPKVNWAKHIWSPDIPPSKALLVWRFMLNKLPTDDNLMNKGCNL >RHN60200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23422300:23422733:-1 gene:gene22458 transcript:rna22458 gene_biotype:protein_coding transcript_biotype:protein_coding MFTWGETSLRDALIENGDGIVTLSDLGAVGSYAKIDEDHEMSNDRGNVLKGHLLNDMKGMLTLAGLFVSFVTIVLQTLDLDVDWRGRGFDV >RHN79575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30239657:30240729:-1 gene:gene3388 transcript:rna3388 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPPLVSCRCHRCPTHLLSVVVIFSIVLISTNIRYFSLNNYINNTRFTLKIEIKNLKLFYEFVTFIMLLGFPLHINFQTFTIFEALCILNWVIALENRFYTRFVVLVGGWGILPRSFSYVLGGCFMWKGVVMRIFPAYWFMLFSSTQSVNLVNLHACRSIRVIVACDPRTGKITLICASFPKNFGWDVARVLQILNMNVTVKQDPLRRGDTSDNHVIVNIKVLNQLRKTNTVVLAYACDRPMTVESLSAFWLPCLCQNLELVV >RHN52331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34032127:34032921:1 gene:gene36994 transcript:rna36994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease III domain-containing protein MNESDFPPPSPLPPPLLYEVEVIPHTMEAHVHKEEALIIQTCALKIDDKEEQSPKQGQEEEEEEHMKENENDAETEENDSPPPPHEVEAILEYEFKNKLLLEEAFTQRMVFIQFGYSYSVIHFGSMISGTIVLRWLFNMPSPILFYFFYIKIEFLFYKKNSIYYYKLHLTLKKMPRMRIQEFTKGVAEYPLHSNGLIDVPKDLADIIESTIGAIFVDCNSLVETVWKVLIHFTLF >RHN56535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31724884:31730191:-1 gene:gene31951 transcript:rna31951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEALLRAAFEKVNSLLQSEFSTISGIKSKAKNLSTSLNHIEAVLVDAEKRQVKDSYIKVWLQQLKDAVYVLDDILDECSIESARLGGSFSFNPKNIVFRRQIGNRLKEITRRLDDIADIKNKFLLRDGTVYVRESSDEVDEWRQINSIIAKPEVFGRKDDKEKIFEFLLTHARDSDFLSVYPIVGLGGIGKTTLVQLVYNDVRVRDYFDIRSWVCVSETFSVKRILCSIIEYITGEICDALDSDVIQRKVQELLQGRIYLLILDDVWNQNEQLESGLTQDRWNRLKSVLSCGSKGSSILVSTRDKVVATIMGTCQAHSLSGLSDSECWLLFKEYALGHYREERAELVAIGKEIVKKCNGLPLAAKALGGLMSSRNGEKEWLDIKDTELWALPEENYILRSLRLSYFYLTPTLKQCFSFCAIFPKDREILKEELIQLWMANGLISSWGNTEVEDVGIMVWDELYQKSFFQDKKMDEFSGNISFKMHDLVHDLAKSVMGQECIYLENANMTSLSKSTHHISFNSDNLLSFDEGAFRKVESLRTWFEFSTFPKEEQDYFPTDPSLRVLCTTFIRGPLLGSLIHLRYLELLYLDIQELPDSIYNLQKLETLKIKHCGELICLPKRLAFLQNLRHIVIEYCISLSRMFPNIGKLTSLKTLSVYIVSLEKGNSLSELRDLNLGGKLRIEGLKDFGSLSQAQAADLMGKKDLHELCLSWESNYGFTNPPTISAQQVLEVLQPHSNLKCLKINYYDGLSLPSWIIILSNLVSLELGNCKKVVRLQLIGKLPSLKKLELSDMDNLKYLDDDESQDGVEVRVFPSLEELHLLCLPNIEGLLKVERGEMFPCLSELRITACPKLGVPCLPSLKSLYVLGCNNELLRSISTFRGLTELSLDYGRGITSFPEGMFKNLTSLQSLVVNDFPTLKELQNEPFNQALTHLRISDCNEQNWEGLQSLQYLYISNCKELRCFPEGIRHLTSLEVLTINDCPTLKERCKEGTGEDWDKIAHIPKIQLE >RHN70452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51520126:51521304:1 gene:gene19032 transcript:rna19032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MRIARKCSYCGNFGHNSRTCNNSLKEQFHLYSSSPSYLPTKRSIRKNYLPSSRTSLSIASSWPTLFGSNENSDSCVRNWHTSTIRPSKKGMPWTEEEHMIFLRGLEKLGKGNWRGISRDFVTTKTPTQVASHAQKHFLRQSQNSLVNRRKHHLSLHNVGCEKPGINLTPNDISCISQLSGSRNFLSQLLSHPQHSMLKWPNSSTSTNCTPHSAAPDLELKLATPMPLEPTSP >RHN74852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37156736:37162309:-1 gene:gene10978 transcript:rna10978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MGYIHYILSYFFLILMVVVSVKAKGYGGSSQQCDMFTGKWVVDESYPLYKPTSTCPFIEREFRCEANGRPDLIYTHYRWQPLSCNLLRFDGQDFLERMRGKSIMFVGDSLSRNQWQSLTCLLHSAVPNSSYTVARVGDVSIFTFTEYEVKVMLDRNVYLVDVVREDIGRVLKLDSIQGSKLWKGIDMLIFNTWHWWYRRGPTQPWDYIQVGNQVLKDMDRMKAFERALTTWARWVDANIDPAKVKVFFQGISPSHYNGTLWNEPSAKSCIREKTPLTGSTYPGGLPPAVGVLKGVLSTIKKPVTLLDITTLSLLRKDGHPSIYGLFGSKGMDCSHWCLSGVPDTWNQILYNLI >RHN82620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55235973:55236692:-1 gene:gene6795 transcript:rna6795 gene_biotype:protein_coding transcript_biotype:protein_coding MESMVLPLELILLFKNSDFPSQQAYDAGLRRSLKVLETGLLLHQHLPLNKADPSAQKLRRILSGSLEKPMDIANSSESMQPLRSVVISLSCRSFDGSVPETCHWANGFPMNLCIHQTLLEACFDTHVETCVIED >RHN43422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45726156:45732916:-1 gene:gene49993 transcript:rna49993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosinetriphosphatase MEHKSILISALSVGVGVGVGVGIGLANSGQNVSKWGPNYSFSSNAVTADKIEHEMLRQIVDGRESNTRVLLTSAAYVHLKHAEVSKYTRNLAPASRTILLSGPAELYQQVLAKALAHYFEAKLLLLDLTDFSLKIQGKYGTGNKEFSFKRSTSESTLEKLSDIFGSFSIFSQREEPKVSGKMNRQSSGMDLQSMGDEASCNPPKLRRNASSTSNISGLTSQSNPTNPAPLKRTASWSFDEKLLIQSLYKVLLSVSKTYPIVLYLRDVDRLLSRSQKIYNMFQKMLKRLSGPILILGSRVLDSGNEFEELDEKLTVLFPYDIEIRPPEDESHLVSWKSQLEEDMKMIQVQDNKNHITEVLAANDLDCDDLDSICVEDTMVLSNYIEEIIVSAISHHLMKNKDLEYRNGKLIISCNSLSHALGIFRKGKFSGRDTSKLEDQALKSEIPSVVETVAKPAVKAESAAPADGAAPVKKAEAEISTSVAKAGAEKPVAASKASEVPPDNEFEKRIRPEVIPANEIDVTFSDIGALEETKESLQELVMLPLRRPDLFTGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSTITSKWFGEDEKNVRALFTLASKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMSHWDGLTTKQGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSVENREKILRTLLSKEKVDKEIDFKELATMTDGYTGSDLKNLCTTAAYRPVRELIQQERLKDLDKKQKATKEQNKNTQEAKEQNKNSQEAKEDEVPQERVITLRPLNMQDFKEAKNQVAASFSAEGAGMGELTQWNELYGEGGSRKQKQLSYFL >RHN68319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34550109:34553598:-1 gene:gene16655 transcript:rna16655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEKRKGDKSEESNEEKWVYDGSFDYKGRVPLRASTGAWKASFFVIMVEISERMSHYGLTMNLITYLTEVIHEDLKTAAKNVNTWIGVTTLMPLVGGFIADAYTGRFHMVQLSSVIYLTGLCVLTMTQYIPSLKSCHTEKCHRPRKIHEVVFFLALYCKSFGTGGYRPCLQSFGADQFDDGHLKEMKKKLSFFNWWNFGLCFAVLISSTVIVYVQDFVNWGVATLILTAFMAIAVITFCVGKPLYRYRKPEGNPLLPILQVLVAAIRKRDLCCPSNPALLYELQISDHSQGRLLSHTSRFRFLDKAAIIEEKYGEQEVNPWRLTTVTRVEETKLVLNIVPIWLTLLASGACAAQGSTFYVKQAAATDLNIGNGFEIPPASLNAISAIGTLIGIPIYDKIFVPIMRKITGNERGISILSRINIGLTLSAIIMVLSALVEVKRLRMLENEILRTGETGQVTMSVYWLLPQNLLAGFADAFLMVGIQEYFYDEVPDSMRSLGLALYFSVFGIGNFLSNFIIVVVDHVTAKSGKSWIGKDINSSRLDNFYWMLAAISSLNVCVFLVISKRYTYKTVQRRTTETNGYKSDDESMKEATKV >RHN73610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18149776:18154292:-1 gene:gene9441 transcript:rna9441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative replication factor A protein MAVNLTANAITAIIAGDVDAKPLLQVLDIATIVSTKNSQQQRYRLLLSDAVSSHHAMLAAQLNDRVNTGRVKQGSIVQLLDYICTPLQNRKIIMVLNMETIIPDCEIIGNPKSFVDSELPVQKALPDNTVAGSSRSINNLAAQNAGSNGQNFRPTVQPPYQPPPLYKGRGAVMKNEAPARVIPIAALNPYQGRWAIKARVTAKGDLRRYNNARGDGKVFSFDLLDSDGGEIRVTCFNAVVDRFYEAIEVGKVYLISKGSLKPAQKNFNHLKNEWEIMLDLNSTIELCPDEDGSIPRQQFSFRPISDIEIVENNAILDVIGVVTSVNPSVPILRKNGMETLRRVLNLKDNSGKSVELTLWGEFCNREGQKLQEMVDAGVFPVLAVKAGKVNEFSGKSIGSISTTQLFINPDFPEAQTLRNWFDQVGKDSASLSISKDITHGGPKNEIRKTVSQIKDEGLGRSGKPDWITMRATVSFMRTDPFCYTACPLMIGDRPCNKKVTKSGDTKWQCDRCNQEFEECDYRYLLQAQIQDHSGLTWVTAFQEAGEEIMGYPAKDLYVLKYEQEDDEKFGDIIKSRLFNEFVFRLKIKEELYNEEQKVKITVVKADKVNYSAESRYMLDFISKFRRQ >RHN79085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22269636:22270226:-1 gene:gene2783 transcript:rna2783 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEEKSKEKKNNYSLGMEILKAYASMAVANSTSIGGDDTIFRVKLRRSLLAEKSAH >RHN53480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1939690:1940555:1 gene:gene28389 transcript:rna28389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MNWSTSTTSTSDDSNKKFKGVRRRKWGKWVSEIRVPGTQERLWLGTYATPEAAAVAHDVAFYCLKRPSTLDKLNFPDILSSYCIQQRDNLMSPRSVQKVASDVAMDVDARNIASQATTLPEMNHMDNVVPDDVFWWDGLGDDQGVSCSQQQEDLNISIEDYL >RHN59100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7469866:7471766:1 gene:gene21089 transcript:rna21089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MWITSKLWNTDHLPEDVPKAFGRTLRDLQLDYLDLYLIHFPVSMKNGQLTKPDIPSTWKAMETLYDSGKARAIGVSNFSVKKLQDLLDVAHVPPAVNQVELHPSLQQPNLHAFCKSKGIHLSGYSPLGKGPKSNILKNPVLDTTAAKLGKTPAQIALRWGLQMGHSVLPKSTNTARIKENIDLFDWSIPEDLLANFNEFKQERVVSGTQYVSKTSPGYKTTEELWDGE >RHN52605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37041696:37051126:-1 gene:gene37299 transcript:rna37299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAPLTVTNQFKYDVFLSFRGEDTRHGFTGYLKKALDDKGVRTFMDAKELKKGEEITPSLLKAIEDSMMAIIVLSENYASSSFCLQELSHILDTMKDKAGRYVLPVFYKVDPSDVRKLKRSYGEAMDKHDAASSSSHDVNNKWKASLQQVANLSGSHYKGDEYEYEFIEKIIEQVLRNIKPIVLPAGDCLVGLEHQKQHVTSLLNVGSNDTIHMVGIHGIGGIGKTTLALEVYNSIVHQFQCSCFFEKVRDFKESGLIYLQKILLSQIVGETNMEITSVRQGVSILQQRLHQKKVLLLLDDVDKDEQLKAIAGSSEWFGLGSRVIITTRDKRLLTYHGIERRYEVKGLNDADAFDLVGWKALKNYYSPSYKDVLLEQKQGRELNANELCRLKYLKKDVRFSSYANVLKRAVAYASGLPLALEVIGSHFFNKTIEQCNHVLDRCERVPDKKIQTTLQVSFDALQDEDKFVFLDIACCLKGWNLTRVEEILHAHYGNIMKDHIDVLVEKSLIKISVSGNVTLHDLIEDMGKEIVRRESPEDPGKRTRLWAYEDIKKVFKENTGTSTIKIIHFQFDPWIEKKKDASDGKAFKKMKNLRTLIFSTPVCFSETSEHIPNSLRVLEYSNRNRNYYHSRGSNLFEWDGFLKKKFENMKVLNYDCDTLLTRMPDISNLPNLEQFSIQDCTSLITIDESVGFLSKLKILRLIGCNNLQSVPPLNSASLVELNLSHCHSLESFPPVVSGFLGELKILRVIGSSKIRLIPSLVLPSLEELDLLDCTSLDSFSHMVFGDKLKTMSFRGCYELRSIPPLKLDSLEKLYLSYCPNLVSISPLKLDSLEKLVLSNCYKLESFPSVVDGFLGKLKTLFVRNCHNLRSIPTLKLDSLEKLDLSHCRNLVSISPLKLDSLETLGLSNCYKLESFPSVVDGFLGKLKTLFVRNCHNLRSIPTLRLDSLEKLDLSHCRNLVNILPLKLDSLEKLYLSSCYKLESFPNVVDGFLGKLKTLFVKSCHNLRSIPALKLDSLEKLYLSYCRNLVSISPLKLDSLEKLVISNCYKLESFPGVVDGLLDKLKTLFVKNCHNLRSIPALKLDSLEKLDLSHCHNLVSIPSLKLDSLETLNLSDCYKLESFPSVVDGLLDKLKFLNIENCIMLRNIPRLSLTSLEQFNLSCCYRLESFPEILGEMRNIPRLHLDETPIKELPFPFQNLTQPQTYYPCNCGHSCFPNRASLMSKMAELSIQAEEKMSPIQSSHVKYICVKKCKLSDEYLSKTLMLFANVKELHLTNSKFTVIPKSIEKCNFLWKLVLDDCKELEEIKGIPPCLRELSAVNCKLTSSCKSNLLNQKLHEAGNTRFCLPRAKIPEWFDHQCEAGMSVSFWFCNKFPSIALGVVSAYTWGYLEHPARVIINDNTFFYTHGRKIDRCSRPDTYHLHLFHMQVEYFNGNMDKALLENKWNHAEVDFGFPFMFSGIHVLKEKSNMKDIRFTNPENDANIVLHSGF >RHN75925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46177618:46178271:-1 gene:gene12186 transcript:rna12186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGEEKGKLENLEAEIEEMGEDRGKLENLGLEAKIEELGEEKDKLENLGLEAEIEEKGKLKEGPPSKFVCSKCHVKFQIICQATDICSHWFCGTCTMVDWEQSPDIEPCKCPHCHRPITLLVPTENHNYDDNGNKYRHDPEVLIGIQTYNCIFSDQSKAPITQRLLDLPFLLRTLFKDFIEPSTFLPFLFRARVVITMILIVIYILNPLQEMLHMARE >RHN81864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49412817:49418614:-1 gene:gene5958 transcript:rna5958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding, P-loop containing nucleoside triphosphate hydrolase MGFLRRLFGAKKPIPPSDGSGKKSDKDNKRRWSFGKQSSKTKSLPQPPPSAFNQFDSSTPLERNKHAIAVAAATAAVAEAALAAAHAAAEVVRLTSSGVAGSSNKTRGQLRLPEETAAVKIQSAFRGYLARRALRALKALVKLQALVRGHIVRKKTADMLRRMQTLVRLQTKARASRAHLSSDNLHSFKSSLSHYPVPEEYEQPHHVYSTKFGGSSILKRCSSNSNFRKIESEKPRFGSNWLDHWMQENSISQTKNASSKNRHPDEHKSDKILEVDTWKPQLNKNENNVNSMSNESPSKHSTKAQNQSLSVKFHKAKEEVAASRTADNSPQTFSASSRNGSGVRRNTPFTPTRSECSWSFLGGYSGYPNYMANTESSRAKVRSQSAPRQRHEFEEYSSTRRPFQGLWDVGSTNSDNDSDSRSNKVSPALSRFNRIGSSNLR >RHN63629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52801126:52802482:1 gene:gene26354 transcript:rna26354 gene_biotype:protein_coding transcript_biotype:protein_coding MHFILFQSHFIIFLPSFFIFIFSNHFSNKFDLFFQILYIEATYYIEQLQSRKMNNKKKEEEFHFTSQNQDINAKEVVKKLCSRYLKLLHNEFR >RHN80209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36127350:36129202:-1 gene:gene4108 transcript:rna4108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione peroxidase MGATQSVLENSIHEYKVKDARGKEVNLGIYRGKVLLVVNVASKCNFADANYTQLTQLYTKYKEIGLEILGFPCNQFLRKEPGTSQEAQDFACDRYKAEYPILGKIRVNGQDTAPVYKYLKSQKCGSLGSRRIKWNFTKFLVDEEGRVIQRYSPTTQPLAIENDIKKALRVAN >RHN50076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3897840:3898286:1 gene:gene34328 transcript:rna34328 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFAYIMDETSSLQVPIRTPMKQQLSKKKKNVLPLIPGHRIAMSECDCESRRDFKFASFYSARAEDVVKGITSEGAGIGRRRKESFSISKIRLRGKKDKKNGDVADSSRRAVSFRFPLQSNVGSGNDDLKGKNGMFHTRSTVSETKK >RHN47902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43433978:43436750:1 gene:gene42556 transcript:rna42556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MADNPYSNLFSSSSRFFQFNHIFPSSNSNPSPQNHHPFLHHHNNNNHTFFHYHHHISSSSPPSPPLREELPLLNLIPTKQEKYDEDQQEHEVDIQDLPCTAMDLEEREEDGSSRTTTVTVALHIGLPNPSAAEMASVLSSSNNSSEIIVTDKEHGGEDSCSGFMVNNRLNKGQYWIPTPSQILIGPTQFSCPVCSKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCSKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGSGHAAYGCDGFEEEDEPASEVEQDNE >RHN50262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5627243:5632434:-1 gene:gene34532 transcript:rna34532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MDIVGAILGGFKEGLIDVLKFGPTRASETNTETLDRETLDRGLLRSNDVFLSFRGEDTRASIVSHLYASLLNAGVFVFMDDDSLPRGDHIATSLLQAIEESIISVIVFSKNYADSSWCLNELVKIMECRRTIGQIVLPVFYDVDPSDVRHQTGEFGKAFQSLLSRISKKTDKSLKWRDALSEVAGLAGFVVPNFRNESEAIKDIVKKVVRLLDKKDLFVANHSVGVEPRVQDMMQLLDKEIQQDVGFEYSEDIDFEYFEDILHLPDIQQSSLQKKDIQQSNNVRLIGMWGMGGIGKTTIAKAIYNKIGRNFEGRSFLANIREVWMQNVGQVSLQQQLLSDICKETTTNIQNIDAGKNTLMDRLCHKKVLIVLDDVSTSDQLNALCGSCEWFGPGSRIIITTRDKHILKEIGVYQVYEMKEMNENESMELFSWHAFKQARPKKDFAALSKNVVEYSGGLPLALEVLGSHLFDRMVTEWESVLNKLKAIPNHQVQKKLRISYDGLSDYTEKEIFLDVACFFIGMVRNDVVHILDGCDLYAGIGINVLVERSLVTVDDRNRLRMHDLLRDMGREIVREKSQKNIDECSRLWSSKNVLHVLSKRTGTKVEGLSLKLPRANVQCFNTEAFEKMEKLRLFQLAGVELDGNFDKLSKNLRWFSWDGFPLTSIPSSFYQGNLVSLELENSNVKFLWKKAQMMEKLKILNLSHSHYLTQTPDFSIMPNLEKLILKDCPRLREVSHSIGHLDKILLINLEDCISLSNLPRSIYKLKSLKTLILSGCSMINKLEEDLEQMESLTTLLANDTGITSVPFSIVRSKSIGYISLCGHEGFSRDIFPSIIWSWMSPTSALSSPFETSAAMSSLVSLDIPCSSSQELSSISNHLSRLRSLWVECGSELQLSEDAKIILDALYATISKEMDSTSATSKVSNMKTSALVQHCSQLRAFESKNLLKSVLLQLGMNSEVTNNLKENILKNMDENGCGGCLFPSDSCPDWLTFNCEGSSVMFEVPQVEGRTLKTLMICIDYSTTLDNITSNGLANLLVKNYTKATIQLYKSEALVSFEDEEGQRVVSSIEPCNKVEVVSVFENGFIVKKTTVYLVYDEPIRENMEQCQEQEENDIVCSSGDEDECY >RHN47362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39159685:39160562:1 gene:gene41954 transcript:rna41954 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTDTGNASQYLRSPAGAVPTYALTYFFLMLKVILDIGRS >RHN56766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33548873:33549154:1 gene:gene32210 transcript:rna32210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate decarboxylase MAKYPVTMDLHAPTSSFPYKMLEWDFRLPLVKSINVSGHKYGLFYIGIGWVIWCSRRGCCGATVGLFWLIKCHQGLNTLQCYMRSLGRGFHGH >RHN50517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7860226:7876777:-1 gene:gene34813 transcript:rna34813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MHLPMLRHSNTNTLTNSSMSQFHKLNPFKSPIPSIITKSSTSNPVHSSIINEPGSLNPLTNLTTLLSFCAKTKNLRLGQTIHASILINGFLNKTSSFLNSLINMYSKCNQIQTSRFLFDNSSIKDNVSWNSIISAYAKLGTKTSYGEVFQLVYRMHRFGYAFSDYTLSSVLNACCFCVDDNCFYGRLIHGFGIKLGLDFNVVVATALLDMYAKSGCLRDAVRVFEGFDLKSKNDFMYNAMIAGFLRGGLCCENAREAVRVFNEMRRMGVKCSKFTFSSVVKACVGNGDFEVGRQIHGQVLKNSLEGDEFVASSLVDLYSFFGEIDDGLRCFEMTPKLDVVSWTSAIAGCVKNGKFENGLSLFYRFLADGRKLDEFIVSSVMGACADMAAARTGEQIQGYALKFGVADFTVVKNTQICMYAKSGDIDSARNTFQETEKPDVVSWSVMICSYAQHGFAKESLRLFELMTVSGIVPNQITLLGVLTACSHGGLVDEGLGYYETMKKDYGMAANVKHSACIVDLLGRAGRLEEAQRFIYDSGFEDDPVLWRALLGACKVHKDTEMGKRIADKVIELEPHEAASYVLLYNLYNDVGKKKHALEVRKLMQDRGVKKEPGISWIEVGNTVHTFLVDDRSHPISELIYSRLGELLAKIKEISFDNEKLAFYISETEQSGTVRMSHHSEKLAVTFGIISLPISAPVRVMKNLRVCSDCHTTMKLISKVEKREIILRDAIRFHHFKDGVCSCKDYW >RHN69839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46703880:46704200:1 gene:gene18360 transcript:rna18360 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLFTCLNIMYNDSLVYDQTIRTATNFRVERIVVLLLHTHGHAPHLSQCVTPIINIVADMRAPHVAFYDVLRCHFSSNSLTFTSMVCLRKEGEQGRLCKACQKF >RHN74415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32967688:32969328:-1 gene:gene10477 transcript:rna10477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aconitate hydratase MGDFTGVPAVVDLACMRDAMNRLGSDSNKINPLVLVDLVVDHSVQVDVARSENAVQANMELEFQRNKERFSFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLGRVVFNNEGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMPGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQILRKHGVVGKFVEFYGNQDLLHFLLIIRLCRSIYLVSWFLCSRQWYG >RHN58702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4161722:4164106:1 gene:gene20635 transcript:rna20635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prenylated rab acceptor PRA1 MATVSSAPPVLPVTTVPPVSTTTGSTVSGTGSDVPVNSPAVRVLINNLSESLRNGLAQRRPWTELVDRSAFSKPESFSDATLRVRKNYSYFRVNYYAVVAGILAVSLLTNPFSLILLIGLLASWTFLYLFRPTDRPLVLFGRTFTDFETLMILSGLTIFVVFLTSVGSVLVSALMLGVSVVCLHGAFRAPEDLFLDEQDNSQATGFLSFLRAPTTGAAAVPSSGRV >RHN51527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19384005:19385465:1 gene:gene35982 transcript:rna35982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA synthase MEATSKKSHDPVNECTNFTLLSNHLLSKLSYFLFLCSIILATFAQAFSLLQNYELIFHFLFLCFLLLSFLLTHFLSKLSPIYLVDFSCLKPPRCCRVPFSTFIENASLIESCFDSESIDYMQKVLYSSGQSEETCVPPSLLYIPPKTQNIESIKEAHMVLFPIMDDLFVKTNILPIDIDILILNCSGFCPSPSLTSIVVNKYCMRSDIKSYNVSGMGCSASVICIDLAHNLLRVHKNANVIVLSTEILSNGWYPGKERSKLHLNCSFRMGSAAILLSNKKEASKTAKYKLVRSLRTQRAFDDKAYFACRREEDSDGILGVTLNKDVVQAAGEILLSNISTLGSEILPVSEKFWYVVSMIKERFIKSEGIYVPDFKTVIQHFILPCPGRGVIRGVGKRLKLGERDMEAAWMTLHRFGNQSSSSLWYELAYLEAKEKVQKGDNIWLLGIGSGFKCSSVILKCNRPKLGESNKGPWSDCIHQYPISTFN >RHN56157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28239262:28239786:-1 gene:gene31514 transcript:rna31514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MGSLGKKENKPHVVCVPFPAQGHINPMLKLAKLLHFKSDFHVTFVNTEYNHKRLLKARGPNSLNGLPSFRFETIPDGLPESDLDSTQDIPSLCESTSKTCLPHFKKLISKLNNAIDTPPVTCIIGDGVMSFTIDAAEELNIPAVLFWTTSACGFMCYMQFRQLIEKGLTPLKGT >RHN69486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43804816:43807801:-1 gene:gene17965 transcript:rna17965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MQLYHHPFDLDSQKVRLALEEKGIDYTSFHTNPVTGKNLDSLFFQMNPSGSLPVFQNGSHIIYKTIDIIQYIERIAVVSAGSEDISSNRSEVIEWMKRIQEWDPKYFSLSHIPEKHRVYVSKFLRRVVIARMSESPELAGAYHRKLREAYQTEEKLKDPHVLRRSEEHLVRLLDDAERQLSETPYLAGEEFSMADVMLIPILARLKLLDLENEYVTVRPNIAEYWILVQQRPSYRKVIAKHFDGWRKHKTLFKTWCFVHIRSLLKRY >RHN61851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38852230:38852599:-1 gene:gene24354 transcript:rna24354 gene_biotype:protein_coding transcript_biotype:protein_coding MANAVLTSLPPYNMQIQWFLQYACETLDRIVINFVWQVGRRLLNPGRLVTWIFARL >RHN58931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6003656:6004448:-1 gene:gene20887 transcript:rna20887 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHLKFTEFVSDIICEEVEVGVKQIDAFGYSEIPIFDWFCWM >RHN78057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11543215:11561770:-1 gene:gene1572 transcript:rna1572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative KH-domain/beta-lactamase-domain protein, archaea MTIEVLVLGAGQEVGKSCVIVKINGKRIMFDCGMHMRHTDHSRYPDFKKISDSGNFNDALDCIIITHFHLDHVGALAYFTEVCGYSGPVYMTYPTKALSPLMLEDYRKVMVDRRGEEEQFTSDHIAECMKKVIAVDLKQTVQVDEDLQIRAYYAGHVIGAAMFYVKVGDAEMVYTGDYNMTPDRHLGAAQIDRLRLDLLITESTYATTIRDSKYAREREFLKAVHKCVSGGGKVLIPTFALGRAQELRILLDDYWERMNLKVPIYFSSGLTIQANTYHKMLIGWTSQKIKDTYSTHNAFDFKNVHKFERSMLDAPGPCVLFATPGMLIGGFSLEVFKHWAPSEKNLVALPGYCMAGTVGHRLTSGKPTKVDTDPDTQIDVRCQIHQLAFSAHTDSKGIMDLVKFLSPKHVMLVHGDKPKMVSLKERIDSELGIPCSHPANNEIVTISSTQYVNAEASDTFTKNCLNPNFKFQKCSSMDTCNSTLIDRNLTPELQVEDERVADGVLVMENNNNKKAKIVHEDEILLMLDEKKHEV >RHN55540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19338831:19342893:1 gene:gene30740 transcript:rna30740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 14-3-3 protein MSAEKERETQVYLAKLSEQAERYEEMVECMKKVAKLDVELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNEHNVKMIKSYCQKVEEELSKICGDILTIIDQHLVPASTSAEANVFYHKMKGDYFRYLAEFKTDQERKEAAEQSLKGYEAASATANTDLPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEETIKAEEAKPAEAEH >RHN53816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4358758:4360184:1 gene:gene28766 transcript:rna28766 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAAGTYHDSSPQKLTSKTSAVSTPAVPTTHKRGKMQSSTYLTIVLDQILNVERSKCITMMKSFFLC >RHN76334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49423174:49423781:1 gene:gene12639 transcript:rna12639 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYKTWEMLIHTKKTKSDPSPKLKEETKRKFGNREIDTTSNQKILGTQFVLSNNYFQITNLL >RHN50882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11393572:11404223:-1 gene:gene35230 transcript:rna35230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, shikimate kinase/gluconokinase MEKAASSVLKQMNITSRLVLPGSCCCSSFQSSSSSSLHFPFPISVPFKFRSRRSTNSVSDAAFPVPSSVIKVAATDLSLAVKKKAADVSPELKGSSIFLIGMKSSLKTNLGKQLADVLRYYYFDSDNLVEEAIGGASAAKSFKESDETGFYDSETEVLKQLSSMGRLVVCAGNGAVQNSTNLALLRHGITFWIDLPLEIVARDVTENPTQFPSFEISTSGSYPEVMDELCALYNKYKDGYATADAIISLQKVASRLGYESLDDITTEDMALEVLGEIEKLTRVKKMMAEAARPF >RHN76365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49700056:49701749:-1 gene:gene12677 transcript:rna12677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Beta-grasp domain-containing protein MSLLQLKLTPNAFTPLSSSSHKKFRPNTTLFARTKIRAVGTVPEKKDSETTTDSNDPPSIGFAFVSSVLLPDGTPDVHYRTACGGQKLRNIMLDSNIELYGPYGRILLNCGGGGTCATCMVEVLEGKELLSPCTDKEKEKLKRKPKNWRLACQTTVGEADSTGVVVIQQLPEWKGHEWKYEKDDDESAESL >RHN61490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35847011:35849829:-1 gene:gene23961 transcript:rna23961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MGQILNSIVFFLFFFSLSLNQVLSSDEELPKTFIFRVNSYSKPSIFPTHYHWYTAEFTEQTNILHTYDTAFHGFSAVLTRQQVASISNHPSILAVFEDRRRQLHTTRSPQFLGLRNQRGLWSESDYGSDVIVGVFDTGIWPERRSFSDMNLGPIPRRWKGVCESGEKFSPRNCNRKLIGARYFSKGHEVGAGSAGPLNPINETVEFRSPRDADGHGTHTASTAAGRYAFQANMSGYASGIAKGVAPKARLAVYKVCWKNSGCFDSDILAAFDAAVNDGVDVISISIGGGDGIASPYYLDPIAIGSYGAVSRGVFVSSSAGNDGPSGMSVTNLAPWLTTVGAGTIDRDFPSQIIIGDGRKFSGVSLYSGAALKGKMYQLVYPGKSGVLGDSLCMENSLDPKQIRGKIVVCDRGSSPRVAKGLVVKKAGGVGMILANGISNGEGLVGDAHLLPACAVGSNEGDIIKAYISSTANPTATIDFKGTILGIKPAPVLASFSARGPNGLNPQLLKPDLIAPGVNILAAWSDAVGPTGLDSDTRRTEFNILSGTSMAAPHVSGAAALLKSAHPDWSPAAVRSAMMTTASVLDNRNLPMLDEATGNNSTPYDFGSGHLNLGRAMDPGLVYDITNSDYVNFLCAIGYEPKVIQVITRKQEKCPVRKSSPENLNYPSFVAMFPVGSRKVASKTFIRTVTNVGPVNSVYRVNVESQIKGVTVTVRPSKLVFTEGVKKRSYVVTVTADTRNMKMDPSGAVFGSLTWTDGKHVVRSPIVVTQIEPL >RHN38867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2511351:2512896:1 gene:gene44839 transcript:rna44839 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTLVGMCFFLIVFLDAQEGMVEIEACEIPCILFDGECNSLQDNDHEERTNEALPVNVNPVQRRSGYSERDI >RHN44012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:810309:811829:1 gene:gene38056 transcript:rna38056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylic ester hydrolase MASSITHLPNKTSMIHITFPQNFHPKTHPFGQVSLPNNNHLSPSLKSSIVASNSALLEIILPTTTNNNNNIYTNTISTSITPKHTQKITHKISTSSTTITTTKHLANIWREIQGCNNWENLLNPLHPILREEIIRYGEFVTSSYKAFDLDTNSKRYLNCKYGKKNMLKEVGMENCGYEVTKYIYATPPNIMENNSSGRWIGYVAVSSDDSYKKLGRRDIVVTFRGTVTNQEWISNLMSSLTPASLDPNNQLPNVKVESGFLSLYTSDESSSKFGLQSCREQLLSEVSRLMKKHKGEKNVSISLAGHSMGSALAILLAYDISELGLNKKNDKNDASVTVFSFGGPRVGNLEFKKRCEELGVKVLRISNVNDPITKLPGVVFNENFRVLMGGRYEFPWSCSCYAHVGVELMLDFFNMQNPSCVHDLDTYIGLLRCPKKKIEEVIHSQSDGGGVNFLLEKARMFLLKSSISQVFAAGGGNYHDFLSSVSTDILCSWSDELLFGLVLLLL >RHN52241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32954459:32956636:-1 gene:gene36890 transcript:rna36890 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPSGSQCHPNARPPSPSVFIPDDLILEEKEIICVGMCGLGSQIQLVGILLVVHIAFSPTGFPPFGSLVASRYISC >RHN47242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38267827:38273095:1 gene:gene41816 transcript:rna41816 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSSVKNLAITDKVQKPGGCVGIFFQLIDWKKRLVKKKLFSKKLLTPGRAKKFRGDEKMPNSKLHLIANENSGGFPKGGSHGVDVERKSEMRVPSLVARLMGLDSIPAAQREKSKKALCPDYSFSDGEECLSNHCELDRKGKDLEMRVVKHDSRPQKLQKTGVCERKAVTRFGAEALHIKSVLSRAKKHNHQHHPKLASPLKSRPRITSGKSASRSSRLMGAAAKILEPGLQASRGKGTLTYHASACPLKGGIVKGGVGNAIMPNHSCYVSSASKTSVGQTSCKNCGNLLGVIDCKAEVRGPPDVPPPTVSAVITATSMLSSCKKGMPITPFHGQGRDIVLLRSQEKFASHVTDGEEENYAQQSWNEPTTIRIPMPREGPAQRSSNSSCRPIRAQEDDASSFAYKRKAQESKLSSESSSSGSTMCSMQVKRVSSCANTTSGTKDFVALNRSISGQTRMRSPTKVDSSKFDLEKKPCNRQRLESLSHVRTLERKRTPNVTRLEGMTAANSVGLKQRNVRREATGGKRSDFNSSSLNSSNIKNKGQGEPVKASHNMSNDAASFTFSSPLKQKTVIHVEDEETNRNNERKAYFQRPAPLKVDNLGAFLEQKLKELTSQENELATTGVPQKSSAVILQELISALSSENLICHDGHVHNEDASFLCGAKRERLLGTSCNDNHLSPGSVLEASFSSSSLDDSSGRGFHPDSMNFSYSLPEPSEHDDELLDSAASFNKGSIGKILAVIGSEIPMALQCLYSFGTQCTRSKLNNMKDTLLNAELVLRIANDHVEEETPQLLIYRFLLNELDAVSDDAMWTDFNCFVGCEDSKSRKMINGFVFDCVMEYLESNCWQYFYTGFKAWTKLPLCVKAETLAQEVKREVNKWVCMVGMVPDEIIEWEMSHSLGKWNDFDIEAFEAGGDIDGDILHSLVDEVVQELVGFKNNSYCL >RHN49702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:407808:409241:-1 gene:gene33907 transcript:rna33907 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQNLAGTVESYDRHVFLCYKNHQTWPPCVEASDDHPLPKSVATATSSSRSLKESNVDSFFNDVMVSCKPWDSGVQDVIAGSYIFVCAHGSRDCKVCCLWTVAEPGNLWSLGEKLIVNSHVI >RHN68429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35473271:35474155:-1 gene:gene16782 transcript:rna16782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSSPNSRSLNWHYTELDDRDLEIKGRTLFFVIVLFSIFLLVIVLFFYTRWVCRYHTHITTTYSASIHAPPAQPPQGLDPAAIKKLPIILHQAPTDPENGAWEETECCICLGEFRDGEKLKVLPGCEHYFHCDCVDKWLTHQSSCPLCRGSLKVEPSFPKILIQEPPIRIDIQF >RHN47572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40709417:40715256:-1 gene:gene42189 transcript:rna42189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain, eukaryotic translation initiation factor 3 subunit A MALFLKENALKRAEELINVEQKQGALQTLHDVITSKRYRSWQEIQEKIMFKYVELCVDLRKGQFAKDGLIHYRIICQQVNVGSLEEVVKRFIHLSTEKAEKACSQAREMEQALDVDDLEADKRPEDLLKCYVSEEKGKDRSDRELVTARFKFLWETYRIVLEVLRNNSKFEALYAMTAYRALQFCKQYKRKTEFRRLCEILRNHLANLNKYPDQRDRPDLSAPESLQLYLDTRFEQLKIATELELWKEAFRSVEDIHGLMCMAKKTLKPSLMTVYYATLIEIFWISSSHLYHAYAWFKLFLLQKSFNKDLSQKDLEFIASSVVLAALSVPPHDRNLELEHEKERNLRMANLIGFNLKNKMNSSKVLSRSSLLAELASKGVMSCVAQEVKDIYYLLENKFLPSDFALKVPPLLNKISKLGGKFSFASSVPEVQLSQYVPALKKLATLRLLQQVSNVYQTMKVENLAGMMPFSDFSVVEKISVDAVNQKFLSMKVDHMKKVVIFCKKSLEADGLRDHLASSALNKAREMISPPDRKQSKLGPLLPSLLEVVAKDHKILLARKSIIEKRKEVQERKPLETEQEEESKEAAEQRQLLTVFNSEHRRNQRIHREIAEREHEEAERHRNEELNLTNQLRMRQEMEKKLKKLSKTMDFLERAKREESAPLIEAAYQQRLVEERILHEREQQQEAELKERLSHRMENKQIFEEKVFNRRKVEFNRLGGEREESRKQEREKMRKLKHYLRLEEQRQQKLNEEEEARKHEEAERKKKEETERLAKLAEILEKQRQREREVEEKAEKQKREALAGKPNEPALRPYKPPPARRSLESDTAAPATSSAPAPGKYIPKFRRGGA >RHN39756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10275812:10280946:-1 gene:gene45818 transcript:rna45818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monogalactosyldiacylglycerol synthase MDKGKGVMGSSGRRWAVDFSDNSTSRDFIDPPGFSRASLNQDDSTLSRQKKDAESNWKSQACFPSLLQFNLGEGNDVGGNGNGVGVEDEGLGLKSGEGKKAKKVLILMSDTGGGHRASAEAIKAAFYQEYGYDYQVFITDLWSEHTPWPFNQIPKSYSFLVKHGPLWRMTYYGTAPRLKAWEVAQAPFKNLLMMGFMMWMAGNTVHLFSIGITFSALWQPISALQSVGKMFEPYKDAKVELLGPKLVFIALNLGGMLLGVWKLNSLGLLPTHTSDWVSSLAPAQEVEYSGGGLNFR >RHN58060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43442934:43446950:1 gene:gene33666 transcript:rna33666 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTYQLGMQIQGRHKLSNSMKLVKCSDNSVSKTDSNGNSMLENHLDDTSEKKDQGPNVGKILEDNEVKDLEMV >RHN79600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30455474:30457846:1 gene:gene3413 transcript:rna3413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Transposase-associated domain-containing protein MDQYIIHRSWMYERTMGKKSLQPLFKEGVRGFVAFITSQDSHKRDGGTRCPCLKCTCRFYRSAEEIITHLEKVGFMEEYWLWSHHGEGLPLTNTEVIHDIRAYANRVLTECGKRPLEPRKPLKNTKYCTYRVLTEAECRQLVPPPPFWIPRVKDSSSAPPLYSTPFPWPIPNPAMVTHGVTTSLSTHSPENITTPFSTLPPPTTQPSIVPEVVPDEQQQQQREEADPMQQAHQFQEEVEKQDGRYVIYLFSDSLMPSNISEQYIKSAIQACYKKFWPHYGYLKDEDKRDVFDEFRKHCVWEAHHEAEVKDIFHHRARSHLSDMLLDEHNTYCGNSDYQPGWIDEEIWNQLLHYWATDARIKIRPEAGKRIRASMEGGFLHSKGCVSAHTHAGEDVAGKGANYKKFGLGSLAPNMRRRSLFDVLDNAEGSSHPPELTPEMHTLMQQMTQQLQAQSKQLQTQSQTEKELRDQLHQEVEHRRVFEKRIEQQFASHNRPPIPSPVEIPQYDSEEEYS >RHN66239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9965643:9966200:-1 gene:gene14183 transcript:rna14183 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGISDYFPHPMLFCYLNKFWKPISDMSTKYVDICVFKRRFYLVDNTGRTVTIESDSSVQLVDNPFIPGDRKLLVESEGALLLVNIYENLMTFNVFRLDEKNKKWVKLMSLRNRVLFFVNGCSFSASASDLCVAKGNCVIFIDDAYNICTYFYNYCLDRSQPLSVSEYFEYLSLFSAPEWIGKS >RHN61106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32998984:33008028:1 gene:gene23531 transcript:rna23531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDKYLVPKKHLKERVKLLHRFRWKRSLVELNGKFEPNFRHEMMNLLMGSYSEVGVFPHLYQMEIDAPCNSNINRILSEASGERLLPLRRRKGVSSVDFDNKGIYLASVTKSGCLTVHDFEALYCKTNKLKCLPEDESKLLLHLSPDSQLDVVKWNPLNQNEVLCGSLKRNALLIFDVTYMMSEPIEELRTRTTTTVNGSNIPKGLSDVAFASDDSRIFGSDTHGTINVWDRRVKSLPCLELTSASFGTLNSVQLDAENQIIYGAGRHGIVYVWDIRGGRASTAFLSHKETCHPPVTSVKLATLLEKIGSLKAQTEIVPKEIQSIGLNPSCPYQLAFHLEDGWSGILDINKFEVTHIHCPPPAWLNDSYASLDQMDLRKPSWLSTCSVYLAGSPFDRGLHMLDFYPSINSPSHVDYKEDIQELSNPTKQKNQNRFIHLPEEVLSCAAHPLYNAIVAGTKETSLLVISQKRKSCKEED >RHN61775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38270739:38271510:-1 gene:gene24270 transcript:rna24270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MASSSMFLIGLVLLLTPMLLEAKEFHVGGKDGWVVNPSEDYNQWARTHRFRVNDTLHFKYVKGNDSVLVVKKEDYDSCNTNNPKQKLDNGNSKFKLSDSGFYYFISGNADNCKHDEKMIVQVMAVRPNVTPNVTAVPPSQPPASASPPKIPLTYVDSPAPSPSKASSVGVVVWVVLMLFGGYVGFVY >RHN72734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10264277:10270613:1 gene:gene8486 transcript:rna8486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MFPRLIHPHDGIVVGQDDLQGGVSNHKGDPCLVLTADPKPRLRWTQDLHERFVDAVTQLGGPSKATPKAIMRTMNVKGLTLFHLKSHLQKYRLGKQSGKDIGEGCKDGMTGSYLLESPGTENPSPKLPTSDTNEGYEIKEALRAQMEVQSKLHLQVEAEKHLQIRQDAERRYMAMLERACKMLADQFIGATVIDTDSQKFQGIENKAPRGPLVDHLGFYSLPSTEAAGVNVPEEEVPQTIPPQRADCSTESCLTSHESSGGLTLEGSQVGGKRRMLGMDSMAAPLIWSEAKMKTQAINLGQGNHPLGISRYGM >RHN43198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43946780:43954820:1 gene:gene49738 transcript:rna49738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling DDT family MPLLRRKPLALAEPPEDLKPDEHVYQVRFTKEIFRDYHDYLQRLNLYRQRVWSCKVTGKTGLTYEEAIVSEQRATEKFLQFPKEFMTPALKIIQYSMLPLKELADSLAEKLQERLFVGAELYGKKNDDVCPCKILKVIHEGADKYCYEVAWYDKNKNISEKTEVHAEDLVQKKPLFSRNTLKSFIRESTYRNAPWVLHDKLAQNHGISTDVPEELRGKVFLKDGLIICSKKRNNEESMEEADKHKRKKLDRTLVNGSAQEKEHEEHKEIPVKYPIDDLLVKPSPDDPVFTDRPSPSRDFNVPMHCVGNLLMVWDFCTSFGKQLNLSPYSLEDFENAICHKDGNAVLLVESHAALFRVLIKDDGEYTSAVQKRSVKKITMVNWREYLCDFLEMINIPQLRHCEGTIKRGHYCLVDASAKLEILCELVNRALETAIFRENLDEFIEQRQELGASKREQALEEGRKRREQKERLKADSESNGNHLNGADILSNNNHIKQNGHVGKKINGEIESSRQDNSLGKSGVKRSSPASKKTPKNLDTELKEPAENGKELSRKDPSEKNSIEQRREYFEREMEKRPISRSPLGKDRDYNRYWWFRRDGRIFVESCDSKEWGYYSSKEELDALIGSLNCKGERERALQKQLEINYRSICTELQKRSKELLQNIANDESVLRRSTRVRAPPRENPASAFLKYVNKWKED >RHN55231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16300269:16301005:-1 gene:gene30376 transcript:rna30376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide repeat protein MLAFPLLELGQMKEAEEAAKRGFEINNQDGWSQHATCHVLQYECRFREAVEFMEECSPSWNSFLSFMLTHNWWHVALCYLEGNAPMQRVLEVYDNYIWKELDKTDATVPEVYLNAVALLLRLCVRDELEFFGDRLKMLADRLADQVSYDSQ >RHN70819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54275636:54276239:-1 gene:gene19441 transcript:rna19441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MHNEDHWWQIDRWNIFCDFNIVFDAPTQSLNLEKVDTFAESSKSVPIPTDYTAKLLDLGLAKDGPEGEETHVTTTCMMGTNGYAAPEYIMAGIGILP >RHN52702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37864997:37867584:-1 gene:gene37400 transcript:rna37400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MASALDTLCGQSYGAKQYRMLGIHMQRAMFILMIVAIPLAIIWANTRSILILLGQDLEISTEAGSYAKLMVPCLFAYGLLQCLNRFLQTQNIVFPMMFSSAVTTLLHLPLCWFMVYKSGLGSGGAAIASSISYWLNVIILALYVKFSPSCKKTWNGFSKEALALNNIPIFLKLAIPSAVMVCMEMWSFELMVLLSGVLPNPKLETSVLSICLNTTAAVFMIPFGLSGAVSIRVSNELGAGHPQAARLAVCVVVVIAVIESILVGGVIILVRNLWGYAYSNEEEVVNYVATMMPILAVSHLLDGMQAVLSGTARGCGWQKIGAFVNLGSYYLIGIPAAVVFAFVLHIGGKGLWLGIICALIVQALCLMIITIRTDWEKEVKLFIFIYNVFHPFIKYLCDAFWGVNMTLFFPIHFVQANKATDRVHNAITTESLVS >RHN82195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51865452:51870172:-1 gene:gene6335 transcript:rna6335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase Clp MSSSLSPSLSSPFIHDSSTTRHGTKLLPFPHATATRKPRCFKSFSFNCSLDRIPKQFRQENLKDGLMDNYKNAPQFLYGLNPSQMDMFINADNPMHQMSERVTEESISSAKSYLAHSGMGGVSSMDTNASSRHSMSVSMYRGGRGTGRPRNAPPDLPSLLLDSRICYLGMPIVPAVTELILAQLMWLDYDNPAKPVYVYINSSGTQNEKNETVGSETDAYSIADMISHIKSDVYTVNLAMAYGQAAMILSLGKKGYRAVLPHSSAKVFLPKVHRSSGSVADMWIKAKELEANSEYYIELLAKGTGKSKEEIAKDVQRTRYFQPQDAIEYGLADKIMHSMDGENAYKKRNYDEIRAQRALRRGGGNPQAAPSGR >RHN64906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62881125:62882961:1 gene:gene27782 transcript:rna27782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c oxidase, subunit VIb MATEAYAAEGNGDKIQSDVLIKARESCYKARDAFYSCIENKCDKKPTEIATVGLLYPKECQQSRNQFVKQCRSSWVKHFDRQYCQNKKAQQRLLDDKGSRRGV >RHN62091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40660227:40665925:-1 gene:gene24625 transcript:rna24625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S1, PA clan MNRNRLGLSAHHSGSTQSEESALDLERNYYGHPSSSPLHMQTFAVGVQHSEGNAAYFSWPTLNRWNDAAEDRANYFGNLQKGVLPETLGRLPSGQQATTLLELMTIRAFHSKILRRFSLGTAIGFRIRGGVLTDIPAILVFVAHKVHRQWLNHVQCLPAALEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYTELADGLRGSDSCVGSGSQVASQETYGTLGAIVRSRTGNREVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFAEDFNMNNVITSIRGVGDIGEVHRIDLQSPINSLIGRQVIKVGRSSGLTTGTIMAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLILLTGQNREKPRPVGIIWGGTANRGRLKLRVGQPPENWTSGVDLGRLLDLLELDLVTTNETLQDSGQEQMNGSTAGIGSTVGESSPTVPIKEKLEESFEPFCLNMEHVPVEEPSTIVKPSLRPCEFHIRNEIETVPNVEHQFIRTSFAGKSPVHQSFLKEDMQFKSLSELRNEPDEDNFVSLHLGEPEAKRRKHSNSSLSLKN >RHN66025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7732330:7737017:-1 gene:gene13935 transcript:rna13935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target SNARE coiled-coil domain-containing protein MLGSKKSPLKDAKPRSKHNPFDSDEEKKDNRKNISSKKNSSQYNLVQRDTNTNPFDDVDARGHSSSSSYAPSYGNRNMYKNDFRDSGGLQSQSVEDLEEYAVYKAEETTKSVNNCRKIAEEMREDATKTLVMLHHQGEQITRSHDIAAGIDHNLSRGEKLLGSLGGIFSKTWKPKKTGTITGPTIFGDDPVRKSANHLEQREKLGLNSAPKGQSKPRKALSEPTNAFERVEVEKEKQDDALSDISDLLGELKGMAMDMGTEIERQTKAIDGFEKDTEKLNIRMNGANQRTLHLLRK >RHN50641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8750018:8750539:1 gene:gene34951 transcript:rna34951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MSRFLILVVVFLFCVASSYAANDVNLQDLCKQTKYPSFCLTLLKSKPRNVGGDLVSLARYSIDVLNTNASATVTLIKKLIAESRGVPKKQAHYKDCLDHFGEDGILGDLLETRLLLKSSDYQGVNIHMAGVMTNVDDCLSGYSSDTSLLPKYANDVNQVADIILIIAAILLKK >RHN57732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41369997:41370536:-1 gene:gene33315 transcript:rna33315 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSFAARYGRNYITSSSSSSSLSSSTRRIPNPNKVIFSNFLSQSSSSSTSCNPSFTYRDNDDNVITASKDLQKVMSLLSNASTRGRRYNKDLTNLVQHHQQHFLISVIETSCQTKLAETLEVPPQNLIYFIKMLWKDNKDLIDTHVLEYLVESISTTVHYDFNVKLRKKYIFFSVGHA >RHN78568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16347710:16357123:1 gene:gene2186 transcript:rna2186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleolar protein MAKSSKRSIANGTNTSNKSTSKKKKNNKMGPEAVAMKAKAQKTNTNPFESIWSRRKFEVMGQKRKGDTKRMGLARSLAVEKRKKTLLKEYEQSTKSSEFIDRRIGENDEGLDDFGKAILRSQRERQLNVKSSKKSKYHLSEEDDDEFEGIDGLGRDDFEDEMLGEDDDETDDLGRRHNAHGMQSPGEAADNGENRHKTKKEVMNEVIAKSKFYKAEKAKEKEKDEDLLEELDKDFTSLAHSEALLALTEPHKMKALKALVNSSISNEKSDKDSLSAKRTMNNSVQEKPDDYDQLVRQMGFEMRARPSDRLKTKEEIDQEEREHLEELEKKRQKRMTAAEDSSEEDNEESEKPSKEKPRSLSGDDLGDSFSVDEETMTKKGWVDEILERRDEEEGSSSEDDDGEDDDDLERSEDSDEESEDDLDENDKNTTLKDWEQSDDDDISAGSEDEDDISVGSEDEDEDEERAAEEVDKVKGLNVGIHKKAKRNDSVESVKGNNGSLDTKKIVVGGKMSKELEIPYIIEAPKTFEELCSLVDKRSNSDTILIINRIRKSNPITLAAENRKKVQVFYGVLLQYFAVLANKKPLNVELINMLVKPLIEMSTEIPYFAAICARRRIEITRKQFVESIKNAESSSWPSSKTMCLLRLWSMVFPCSDFRHPVMTPVILLMCEYLMRCPITSGRDIAVGSFLCSMLLSVFKESRKFCPEPIVFIQTLLLATTESKHISCENSQLYHLMELKDLKPLLRINEEVDKISALNFFKLIDMPEDSPFFTTDSFRASVLVTAVETLQGYINAYDNLSSFPEIFLPILRLLLEIAEQKNMPNALRDKIKDVAELIKLKVDELHTLRRPLQMRKQKPVPIKLLNPKFEENYVKGRDYDPDRVRAERKKLKREVRREAKGAAREIRKDNYFLLDVKEKERSLMEKARAEKYGRTKAFLQEQEHAFKSGQLGKGKKRSR >RHN67365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26468801:26469428:1 gene:gene15546 transcript:rna15546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase RPB5 subunit, eukaryote/virus MAMNENGNETRSECLVRMCNEESDIETIHYFECRKTLMEMLHDRGYNVSESDLTLFLSIVNVSPKYEVLTANEKQNLLKYKVEEKQLPHMLRTDAIASYYGLEKGQVVKISHSGEMFNS >RHN70929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55131961:55132985:1 gene:gene19564 transcript:rna19564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MSEANEDKTNGDFKEMGHRLAQEVISFVKSKMDRVTRYGKLGDIKLSFVGHSMGNLIIRTAIADKMMQPYLRHLHTYVSVSGPHLGYLYSSNSLFNSGMWFLKKLKNTQCIHQLSCTDDPDLQKTFLYKLCKKKTLEHFRNVILISSPQDGYVPYHSARIESCQAASHDTSKKGKMFLEMLNACLDQIRANPSEHRVFMRCDVNFDASAHGKNLNSFIGRAAHIEFLDSDIFAMFIMWSFPDLFR >RHN44499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5449445:5450206:1 gene:gene38627 transcript:rna38627 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKVVGTGFVLIFSFSLKLLLSLLSRKKKKQRPLFPFFSGETNFPARWPVVAPPRRSFKTQPNSKKISVLNILFLALCESELRFLKTNSRKPRSNKEKSKKSELKTLPFDLLRSVYSSPVRSFLFRFVLPCFGSDCVAFGSDLCCCGSDLCWLLLLLLSKVFEVMVLLGFSMNL >RHN55829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24517302:24518904:-1 gene:gene31099 transcript:rna31099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L14P MFISIWPNFCRKICTIRMSLGLSVAATVNSADNIGGNSLHIISVKGIKGRLNRLPSACVGDMVIDGYCEEGKT >RHN73190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14230644:14234999:-1 gene:gene8978 transcript:rna8978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MEGNKDEALRCIQIAEEAISSGNKSRALKFIKIAQRLNHDLPLDSLFAKCEHLDSQSTASSSGVGASPAKDRPGRINKNDGLNGEKNYTEENVKLIREIKGKSDYYSILGLEKSCSVEEIRKAYRKLSLKVHPDKNKAPGSEDAFKKVSKAFKCLSDDGTRRDYDQGGLVDEFDSGYGQNHSFRRRRRRAATSHDIFEDEFDPDEIFRAFFGQSDVFGGRRNHVYRTHGGGGMGHHHHHQRPEVQGGGGHHLMLLIQLLPLLIIVLLAYLPFSEPEYSLHKNQSYQIRKVTEDYGVEFFVKSQAFDVNYPIGSLARETIEDTVIKDYRNMLRRYCQLEIQRRTWNRHLPIPHCDKLKNFGVVA >RHN77769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9305980:9309071:1 gene:gene1257 transcript:rna1257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MMKRQAQFSCSSFVQLQTLVKIFASIFILFHQNCLAKHHQPCPTSSCGKIRNITYPFRLKTDPNHCGDTRYELDCNKNGPSLTMFSGKYYVQHIDYKGFKIRLSDAGAVEDANCSFIPRYFLYDQSFNNVLFGEDNFGSEPFILDPINPLRIAYFNCSSPIKDPRYVKVDTSRCSRHGNSSTNHVYSVLEPSLFEYRVEDIKVGCDFMVATLGKAQSETVKENVTYDEIHGMIVDGVEVSWLPVICEDRCGKGTSCKVVDEEKGEVQCNKQLCHYAYQTTDKCELQDQIFGYTRAYLRGIFVGLGSRITFSTRQLDNPVGLEYFDGGIIIGRNVIPLFIGIRFLFGVIVLLVLFIYKWRRRHLSMYDNIENFLLESNLNPIRYEYKEIKKMTGGFRVKLGQGGFGAVYKGKLRSGPDVAIKMLTKSNVNNGQDFINEVATIGRIHHVNVVRLVGYCVEGKKSALVYEFMPNGSLDKYIFPKEGVDPLSYEKIYEICLGIARGIEYLHQGCDMQILHFDIKPHNILLDEDFVPKVSDFGLAKLYPVNDSIVPLTAARGTLGYMAPELFYKNIGGVSYKADVYSFGMLLMEMAGKRKNSDPNAAHSSQHYFPFWIYDQFKEEREIEIMEDVSEEGMTVAKRMFMVALWCIQLKPSDRPSMNKVVEMLEGKTESLELPPRPSFYANENYKHHDEISSDHTSWGDSTSIGQSSTNYSLDD >RHN39668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9435326:9445726:-1 gene:gene45714 transcript:rna45714 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b5-like heme/steroid binding domain-containing protein MGSKSETLTFEDVAKHNHKNDCWIIVNKKVYDVTPFLDDHPGGDEALLSATGKDATTDFEDVGHSDSATEMMEKYYVGEFDANTLPVEARNNPTAPIQASTINSNQSSGVLLKFLQYLVPLLILGVAFALQYYGKRSKSNES >RHN56593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32101709:32102368:1 gene:gene32023 transcript:rna32023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDKHNTLVYLIELYKLYKGQIMFYFSHEKTKIMVEIHKFVFAMIQFISLFLITIEVGRLRYGCETDADCPRYTHNNFSLKCINKKCEWSAKLH >RHN53716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3555521:3556155:1 gene:gene28654 transcript:rna28654 gene_biotype:protein_coding transcript_biotype:protein_coding MSFATFYVFIAVLKSNSPKPLYKKNSDLLSLFIEYPYQSSLL >RHN76612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51649215:51650519:-1 gene:gene12963 transcript:rna12963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MSRFSVLTLIFFYLCCFIYFSHASKKGLSIEMIHRDFSKSPLYHPTVTKFQRAYNVVHRSINRVNYFTKEFSLNKNQPVSTLTPELGEYLISYSVGTPPFKVYGFMDTGSNIVWLQCQPCNTCFNQTSPIFNPSKSSSYKNIPCTSSTCKDTNDTHISCSNGGDVCEYSITYGGDAKSQGDLSNDSLTLDSTSGSSVLFPNIVIGCGHINVLQDNSQSSGVVGMGRGPMSLIKQVGSSSVGSKFSYCLIPYNSDSNSSSKLIFGEDVVVSGEIVVSTPMVKVNGQENYYFLTLEAFSVGNNRIEYGERSNASTQNILIDSGTPLTMLPNLFLSKLVSYVAQEVKLPRIEPPDHHLSLCYNTTGKQLNVPDITAHFNGADVKLNSNGTFFPFEDGIMCFGFISSNGLEIFGNIAQNNLLIDYDLEKEIISFKPTD >RHN58461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1892238:1893357:-1 gene:gene20378 transcript:rna20378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDALLCQISRFPLKLTSFNLSNQHAIPTKGLQAFSQKITTLTSLTCSNIAHLHTNDFFLIADCFPLLEELNLGNPTYIEDASNYPNGVEALLSKLLNLRKINLSFHRHINNRSLFRLFRNCKLLEEVIISKSYSITYASIAFAICERPTLRYLSFSMTPTMEYGETYLTSHFNGIRYLSSLNISDELLYSIAMKSLPLKRLVLGHCTGYSYDGIRCLLSKLHLDIQNAKVVNNYNIDYLSFLLSNLESINLSHGVLCSMRSILENTSIGEMGLGLENYSPLLYFVVNPQMKMKRLHLANNSSLNDETIKLFASICPNLQLLDLGDCRCISKGIVEVLRCSKITQLNLTSCPKFLNWRC >RHN55999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26587247:26587576:1 gene:gene31309 transcript:rna31309 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKKHGQNTENGVVITVYVQSSKKIKSQCESKTRRGCNRKAQLLAYSRHLRNIEASQNIQVQSQPKFKASSLFSSMVAICCHICGYTANFYIVVKRRKMGLMRSQLQL >RHN69019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40345739:40346479:-1 gene:gene17445 transcript:rna17445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFDCPFLTRWYLKSFSVTNFIEAIETNKITPYFVDSLWSLKGLTCLDLSFSCISDFLLCSLALDALPLTKLVLHGCFHYTYYRIYRLLSKSSFLQHLDLQAAMFLNDHRINELCAFLGDLVSINVNGCDKLTNAAFFALLRNCPFLSEIHMESTQLGIGSRPSLNLVVYHQLKSLHLANNSHLQDEDINMFAFTFPNMQLLDLSSCDDICIGKVSKICCNIRHLNSPSVHELSYFRPTLNLPIWRW >RHN56450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30971690:30977219:1 gene:gene31855 transcript:rna31855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MQTIVSNKMSHNEKTSFSWRQNSNRKSARNRHVRSCTFRIPWQSEFSPIELVKDLAERVTNALRLVSRRRSLNRDSSSLGRSASAGSSAASHRTAAVEDCIEFIHSSFSRSNSSTKTSHEDSIEAA >RHN40142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13610181:13610642:1 gene:gene46252 transcript:rna46252 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDRFCAVNKIGRTVAFGPGDSVELLTEYVDGGDMKFLVESEGELLLVDIYDPHRYGFPGEYGLKLDVFRLDEKEKKWVKLASLGERIIFLSKEIVSSLVMMHSTMLTRCFVGCVFFTWINAGFPLYLIVPSTSTCFGHLQNGSSTAAFVKR >RHN57973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42845155:42847931:1 gene:gene33570 transcript:rna33570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MENNFLWFSILLSLIVLKCLILNVGKRLKSKSSDNNTTSKLPPGPWKLPIIGSIHHMIGSLPHHSMRELSQKYGPLMHLKLGETSAIVVSSKEIAKEVLKTNEITFPQRPRSLGLEIVSYGCTDIAFSPYGEYWRQLRKICTLELLSVKRVRSYQSIREEEVSKLIRYISINTGSTINLTDEILSLTYSITSRASFGDNCKDNEAYILFMKESMSVAESFSIHNLFPSQHWLHVISGMVHKLKRLHRSGDMFLQNIINNKVTKKTSEDGSLLSYLLNLKHDASNPDGFHLTINNIKAVIQTMLIGGSETSSLTIQWAFSEMLKNPRVMKKAQEEVRQAFGSRGYVDEKDLQELKYLKAVIKETLRVHPPNPVFPRECIETCEINGYTIPAGTQVFVNAWAIGRDQKYWIEGEKFYPERFLDSSIDFRGSNFEFIPFGAGKRMCPGISFAASSIELPLAQLLYSFDWKLPSGTTHENFDMTESFGATVKRKSDLFVIPIPYNP >RHN69871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46994736:46995351:1 gene:gene18399 transcript:rna18399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA/RNA-binding protein Alba MMSFLSLVATEKEADDIILKAMGRAINKTVISMQKIEKDCLFIRTLILDQLI >RHN45772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25451555:25452950:-1 gene:gene40169 transcript:rna40169 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEDPQVRLLFSVSSSSSSSSSSSSLFLLLLLRCNFFCLGFGRRKLGLRFFGLSLLRIFFFFVVSSSSSSLFLLLFRVWETKVRVFELKPLLKYNEFLSYFRNLCQYSDLSILYPLLKQMEGRFEVVFHHQGRFTGLTNLDYYGCEDVWSVDEDFWSYFAIIGKVKEMGYPIIEALWYYDPHIDGEIARLRDDSGIRRMKNIAKHYDRVHLYVTHPVCKPEIVALDPLIEYPIMAEHAKYTDPSAHENEMEAGPSVVGSTSGKEKEFEGTFVGSTKGKEKEHGPSVVGHTEVENGWRNIPNCVGTTCEKECETGPTLVGEIHDGPIIGNTCFQENGPCLDDFGEDVSGVNVDFGVGGADINEEDCVDLNDVGGA >RHN47892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43369143:43369856:1 gene:gene42545 transcript:rna42545 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLMFAVAFSAVPLTLYIPPIRSFNLFVGTLQLFLRDYTLFSVRTFPRIRLALSRIFNSILHITW >RHN61271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34348557:34348882:-1 gene:gene23712 transcript:rna23712 gene_biotype:protein_coding transcript_biotype:protein_coding MELESTRMSYEVGDLDRGGASCSAGAVLSILLFWACSSVSAFCTRQLLDEFLAGVSLGSLLVVVVLVLRHVYEWYGFHFKV >RHN75131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39619570:39624486:-1 gene:gene11291 transcript:rna11291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MKMQKWFMHVVFTLFCFSLLHVQSSHKVDDEINRSQFPNGFLFGTSTSSYQIEGAAFEDGKGLSNWDKFSHTPGMINNDENGDIANDHYHRYLEDIKLMSSLGINVYRFSISWSRILPRGTYGDINPSGVMFYNNLIDNLLLRGIEPFVTIHHHDLPQELEERYGGWLSPLIQRDFVHFAEICFKSFGDRVKYWVTINEPTLVSDFAFIRGKYPPSHCSPPFGNCYTGNSDVEPLLVMHNMLLSHAKAVELYRKHYQAKQAGTVGIVAHTFMYEPLRDEECDRQATNRALAFVIGWLLDPLVFGEYPAEMRSILGNRLPKISTKEKSLLRGSLDFIGINNYGALYAKDCYLSTCPLEAARPIRGFLETTGMRDGIPIGDQTGMPRFFVVPKGMEKIVDYIKIKYQNLPMYITENGYSSPLNASMTMHDLLHDFKRIEYHKAYLAALLRAIRKGADVRGYMVWSLFDNFEWNSGYNVRFGLYYVDRQTLERIPKLSVEWFSSFLNNTSDDTNIEDLSKQYRSKYVTATAVV >RHN61812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38545530:38549542:1 gene:gene24311 transcript:rna24311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor VOZ family MMKENSGGKYGSSSQKSMKEKERHMVNKIQGIFTNLQSARKESRATDILIIEEQMHQLLREWKAELESPATSLNDGSLGSFPGELAQLLQGFEEKDDAISPLTKPGMFNTDYHQNNINDSNYEFYQEKCFDNNQSLGHTFEGSASTPFSSPLNSSGMAQLDFHSFGLNQDMDHNAVGHSSDLIGRHKFCQDHILGYNTEVKNSESAQFNLEEGFDEYDQLFGDNYTKNFGDNFIPNILPNIRPPPSAFLAPKCALWDCFRPAQGLEQCQDYCSSNHELLAKSEDEGKTPILRPGGIDVKDGPLFAAVLAKTLGKEVGIPKCEGAASAKAPWNASELFDISLLEGETVREWLFFDKPRRAFDSGSRKQRNIPDYCGRGWHESRKQVMKEHGGQKRSYYMDPQPVDYVEWHLYEYEINNHEGCLPEKNNHNGCLSTKINHGCALYRLELKRVDKKKSPKVKVTKESLADLQNKMGKLTAAAPSPDDGKSVKGKTEAKSQNIGLPEY >RHN70407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51247911:51250932:-1 gene:gene18986 transcript:rna18986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MKKDDEDNDPKRTIILVVVTAAVCTIMHGSVVKTFRWFSYELSKSRPHAFRRKSGSSYTTKHNKPMQEELIRRAQNAFNRERNKYSGGFESWKEHAPNQHFQTEYSYPKNDTSYKDRRTSYRETPRESGNYALSHHYSVLGLDMYRKAPYSDDEIKLAFRTKVKEYHPDQNQDNKDVAESKFKEVMTSFEAIKQENKNKSQ >RHN50322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6147295:6147672:-1 gene:gene34594 transcript:rna34594 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGNEQGEDGADLINGSRFDVNKTSFNVCTIFIADFMCL >RHN78160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12400439:12401446:1 gene:gene1685 transcript:rna1685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PetM of cytochrome b6/f complex subunit 7 MATASATTPSTITVVGSSVIGTKSRSTKKGNNVKFITGLNSYNGLKAQNSTVTSLGVPMCTEQAFAKVMSSLKYPSNGGRRGGAGSSTCNAAGEIFQIAAIMNGLTLVGVAVGFVLLRIEAAVEEAE >RHN51350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16546202:16547182:1 gene:gene35776 transcript:rna35776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKPTLVTTLCFLLFSFTIYFPLPFTHANKIIVKDIFGNPVVPSGSYYIWPDYLINGGELRLGETENSTCPFTVLQDYSNLGPGLPVKFTPQNQTSSDDPITLMLPIEITFENKPDCAESSKWLVVEAENEYPTPWVTIDGTNKNVYDGYFMIVGFKKTGYLIFFCHKLLSPTPGVCIYLSRRNDENGMRLVYEMDGDALGAVFVNVNDAARARRSSVLKKDHAFRLPMI >RHN48484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47839764:47843029:1 gene:gene43204 transcript:rna43204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSLPMKILKFFCFINLLSNFILSSSLAVDPYSQALLSLKSEFIDDNNSLHDWVVPFGANLIESGSSYACSWSGIKCNNNSIVTSIDLSMKKLGGVLSGNQFSVFTEVNNFNMSYNLFSGKLPPEIFNITGLKSLDISRNNFSGQFPKGISKLKNLVVLDAISNSFSGQLPAEFSELENLKILNLVENSFSGSIPFEYGSFRNLEFLLLAENSLTGSIPPELGNLKTVTHMEIGSNSYQGFIPPQLGNMSQLQYLDIAGANLYGPIPKELSNLTNLQSLFLFLNQLTGLIPNEFSKFKKLTYLDLSENFLSGSIPESFSELKSLRFFCLMTNNMSGTVPEAIAELPSLESLLIWDNQFSGSLPKSLGKNSKLKWVDVSTNNFSGSIPSDVCLSGVLFKLILFSNKFTGSLFSISKCSSLVRLCIEDNSFSGEIPLKFSHLPHIAYVDLSKNNFVGGIPLDISLATRLEYFNVSYNMKLGGNIPSQIWSMPQVQNFSASSCGLLGNLPSFESCKSISTIDLGRNNLYGTIPKSVSKCHALVAIKLSENNLTGQIPEELANIHILEIVDLSNNKFNGLIPEKFSSSSSLLLLNVSFNNISGSTPKGKSFTLMDSSAFVGNSELCGVPFRPCIKSVGLLGSIGIWKITHTVLLSAGLLINLLILGFGILHF >RHN67166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24524531:24525147:-1 gene:gene15317 transcript:rna15317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDRTKNMAQILKFIYAFIILLSLFFVVTNSSSFTRCRNDVECPQYSCLRGLKMKCICFKCMCV >RHN50894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11527319:11528701:1 gene:gene35243 transcript:rna35243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone 7-O-glucosyltransferase MKDTIVLYPALGSGHLMSMIELGKLIVTHHPSFFITILILTPPNKNTLSPQEQYIASVSSTFPSINFHYIPLISPPTTLPPPPLLTLELSHQSNNYVHNILQSISKTTNLKGVILDFLTYNASKVTTKLEIPTYFYFTSGAIVLALFLHYPTIHQNATKPIKDLHTPLRIPGLPKNISTDDYPDEAEFFLESAKTMRQCDGVIVNTFDAIEGKANKALNEGLYIPDGTTPSIFCIGPLITASYGEDENECLSWLESQSSQSVVLLSFGSMGRFSKAQLNEIALGLEISEQRFLWVVRSELDLEEQSLDELLPEGFLERTKQKGMVARNWAPQGAILKHDSIGGFVTHCGWNSVLEAICEGVPMVAWPLYAEQRLNKVILVDEMKVALELKDSKDGFVSGTELGDRIKELMDSDKGKEIRKIIFKMKISAKEAREEGGSSLVALNNLAQLWKQKKQPSMAN >RHN55375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17756569:17763170:-1 gene:gene30547 transcript:rna30547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L34Ae MALNFVSVRVFISNYVFYSFGLILRFFLRFLNLGDAKKDLINLPSQSLGDGNFHDFKVDGFVEELSNFLFWSDDFHQRETECSVFMDSVHEEKTEFSVLKEIHNDFHEDSVKTEIYMESVLSKVVECEIHEEGIDKEKEDESVQQDGGKENEGVSERFVSMENDPNVDEMETKSFVCLKNGYDLCHDHMKIEEKEEEIIEHAIVENNSNVHEDGRIFDGMETEYSVFMENVSDVIEDGEKIVEKDIEESVFKDDEDNLHEVSKKIGGIETMITVFGNDDDNKIEEETEQETEDSVFVESETIKTTTSRYEYFSEKDISCFVEEPTTLRFSFREYYTSPDVSTISQNANKEFSKLDSEKDIVTEELEEKEKESIHSTDIPLLFESEAFGGTDSSDEDYFIFNENSVTSDSESESSSSSGLIWSNSNKIDDSFSYEFLGSKNGSEILKLMMRDETIEDLDENQSSFDDKVSKFGVDEVYSENEYIEMDPHMKGLKTFEEHGFEVKDQKEGMKKSEEELNGSESDEDDFEWEHEEIVEQLKLELKNSRQGGLATIIEEVEDEEEQEQEEKESPKVVEELKPLKIEVKLEFKDQMDQIEKVYKSYAEKMRKLDILNYQTMHALGLLQLKDPLKLISIPKSTISNGIISQNLWPRKSTKITSDPFLKLVHQLHRDLELVYVGQICLSWEILCWLHMKAIELQQYDSQRSHRYNHVAGEFQLFQVLMQRFIENEPFQGGPRIQNYVKNRCVIRNLLHVPAIKDDIKGGEEDPIASGRLQDIIKESMRVFWEFVRTDKDNGNVNVISKQIGSDLKDPAIANLLVDIRIQLQKKDKKLKDIVRTGNCIVKKFQKHHEDQLDHEQLVAQVGLRLISRVINMSQLRKEQVLWCSEKLNRIKFLSRKIVHVEPSFLLFPC >RHN68330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34620755:34625025:-1 gene:gene16667 transcript:rna16667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PRONE domain-containing protein METLSKNSQKKKDGSRSSCVTDSSVESRTTSFSGSNSTSSISTSEVEAKSNGTPLGWPILKATLSSSKRSCSSDDKENKHKSNMEVEHTKCTTMDVKVSDVDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATAFGQLWRLEPLPCEKKKMWQREMEWLVSVSDHIVELIPSWQTFPDGKKLEVMTCRPRTDICINLPALRKLDNMLLEILDSFTATEFWYVDQGIVAADSEGSASFRKSIQRQEEKWWLPVPRVPEAGLGEKSRKKLNHSRESASQILKAAMSINSIALAEMEVPESYLETLPKNGRTCLGDFIYRYITSDQFSSECLLDCLDLSSEHIALEIANRVEAAIYLWRRRSNHRSTPNPNRSTTKSSWGMVKDFMLDGDKRELLAHRAENILSSLKHRFPGLTQTTLDTSKIQCNKDVGKSILESYSRVLESMAYNIISRIDDLLYVDELTKHSDRFALVPTTGNMVSQQILRPFSVSVSGTPHKAAFGTPKFSPSVPLISPVRGERTPFLHNNNNNNVNNVNNIKPQRRGFGVRRALSNYLGVETKTKTCANTTEVNGSNPSSKKTEQPQKETCAVKFG >RHN42126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35593571:35597715:-1 gene:gene48527 transcript:rna48527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein TauE MALEVKKMAISRELSTIIWLVSWSLIMIYHVSLAERVLEDEKPEIVVMKKTSFFWYSGESSYERVWPEMKFGWRIVVGSIVGFFGAALGSVGGVGGGGIFIPMLTLIIGFDPKSSTALSKCMITGAAGSTVYYNLRLRHPTLDMPLIDYDLALLFQPMLMLGISIGVAFNVMFADWMVTILLIILFIGTSTKALVKGIDTWKKETIMKKEAFEEAAQMLESGSTPDYASEEDYKSLPADLQDEEVPLLDNIHWKELSVLMYVWVAFLIVQILKTYSKTCSIEYWLLNSLQVPIAISVTLFEAICLCKGTRVIASRGKEITWKFHKICLYCFCGIIAGMVSGLLGLGGGFILGPLFLELGIPPQVASATSTFAMVFSSSMSVVQYYHLDRFPIPYASYLVLVATIAALTGQHVVRKIIAIFGRASIIVFILAFTIFVSAISLGGVGIGNMVEKMENEEYMGFDNLCHHGKKYGV >RHN57336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38170373:38170771:1 gene:gene32862 transcript:rna32862 gene_biotype:protein_coding transcript_biotype:protein_coding MALESAGANNGPRNAFWIDFEFIQSDSKSPEKREQDKDNKQEHEESQQSNEKELHQQSKEKGLNRYKDRTEKVPIDNLPRIVAVNSDHTYKDMVETLILVSTLIITASVAACFGVPLVLLSFVYGHSLPSTD >RHN70500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51839013:51840572:1 gene:gene19082 transcript:rna19082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II MQLHSTQVRCKHITSITNKSKKKMASTSVATALPLGSATQNKLKNPSSESFFRPLPITQPKRITQSKSKVEINASLKEKAVTAITAASLTASMVIPDVAHAAGSDLSPSLQNFLLSIFAGGAVLTAILGAVIGVSNFDPVKRA >RHN62647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44916740:44917848:-1 gene:gene25242 transcript:rna25242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MFQDIPVIPEIGPSQNASSVTVETKGTAESDRAGGEFPKDCTQKEMQKKWTCALCLVTTTSNKDLNSHLTGRKHRDTIEALSIANKQPTLQKQKDAEGTNEIMATDNKEILKANGERLETENKDIKDLEAIEKKEFPSTEKRTYANIVASQTASSAIIETKGTAESVTACGEVPQSSTQKAVQKEWTCALCLVTVTCEKTLISHLRGRRHRETMEVLKAKKQPTLQKNLSEPIRMINSKIICKVCNIMLPSEDYVASHIKGWKHLSNVKS >RHN79708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31404265:31413616:1 gene:gene3533 transcript:rna3533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MSGSVATVSSLDRTQSLAIFSNIDPISILTNGEAGHSTEIAGFRVRELSLPNGESYSGSLLGNVPEGQGKYVWSDGCVYDGEWRRGMRNGFGKIQWPSGVLYEGEFSGGYIHGTGSYIGPECLTYKGRWRFNLKHGLGYQVYPNGDIFEGSWIQGNPEGPGKYTWANGNVYLGNMKGGTMSGKGTLTWVSGDSFEGSWLNGMMHGLGAYTWGDGGCYVGTWTRGLKDGKGTFYPKGSCLPSAQEVYLNALRKRGLLPDLRKQNQVHIHNTASVDMGNGRFGETRRTGGVPSGTGNLLNLDQSRSKNVSLERRWSLEVAIEKVIGHDSQFASTDSVFAGGNKEVNEKIPILEREYMQGVLISEVVLNNSFASLTRRAKQLKKKIAKDIKRPGEQIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRASDFGAKASFWMNFPKEGSQLTPPHQSEDFKWKDYCPMVFRNLRELFKIDAADYMMSICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLRRSEVKVLLRMLPDYHHHVKSYENTLVTKFFGLHRIIPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSSDKIEIDENTTLKDLDLNYCFYLEPSWRESLLKQIETDSKFLEEQHIMDYSLLLGVHYRAPQQLRPPVSFSHSISSDGLAILAEEDPLEDEVYNYPQGLVLVPRGADDGSVVVGSHVRGSRLRASAAGDEEVDLLLPGTARLQIQLGVNMPARAEQIPGKEETQMFHEAYDVVLYLGIIDILQDYNMTKKIEHAYKSLQFDSLSISAVDPTFYSRRFLDFIQKVFPSNVAG >RHN81423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45808810:45809540:1 gene:gene5462 transcript:rna5462 gene_biotype:protein_coding transcript_biotype:protein_coding MANSQYSKSMHLSCKTFSLTLLLVYLLFVGSCTSTRMGITTMKLKMNENTEHLRRKHNPRLVFNFFPKGMRIPPSGPSMRHNSEVDSTPKN >RHN62496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43861710:43864917:-1 gene:gene25078 transcript:rna25078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MPAVGIPTGGGNKEYPGNLTPFVTVTCIVAAMGGLIFGYDIGISGGVTSMDPFLLKFFPLVYRKKNLGTSSNKYCQYDSQILTMFTSSLYLAALLSSLVASSVTRRFGRKLSMFFGGLLFLIGALVNGFAQHVWMLIVGRILLGFGIGFANQSVPIYLSEMAPYKYRGALSVGFQLSITIGILMANILNYFFSKLKGGLGWRLSLGGAMVPALIITIGSIVLPDTPNSMIERGDRDGAKVHLKRIRGVEDVDEEFNDLVAASEACMQVKNPWRNLLQRKYRPQLSMAILIPFFQQFTGINVIMFYAPVLFSSVGFEDDAALMSSVITGVVNAFGTIISIFGVDRLGRRALFLEGGLQMLICQIGVAASIGAKFGIDGNPGELPKWYAIVVVLFICAYVAAFSWSWGPLGWLVTSEIFPLEIRSAAQSVNVSVNMFFTFFVAQVFLTLLCHMKFGLFIFFAFFVVVMTFFVYFMLPETKGIPIEEMSKVWKGHPYWSRFVDHDGKGDGLEMGRSN >RHN69067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40761678:40764327:-1 gene:gene17495 transcript:rna17495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cardiolipin synthase MVLVVAKPNLISCNFPSLKTKKSIQPHITVVASGCCCRLSSFKPLVAMKTPFVAATTSFRRKSSSSSIVICNDSNKQNSSVEEKEEVKRDWTTSILLFLLWAALIYYVSFLSPNQTPSRDMYFLKKLLNLKGDDGFRMNEVLVSEWYIMGFWPLVYSMLLLPTGRSSKSSVPVWPFLSASFFGGIYALLPYFVLWKPPPPPVEEAELKTWPLNFLESKITAMILLASGIGIIIYAGLAGEDVWKEFFQYCRESKFIHITSIDFTLLSTLAPFWVYNDMTARKWFDKGSWLLPVSLIPLLGPALYILLRPSLSTSAVAQSPAESE >RHN50049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3532906:3535186:-1 gene:gene34297 transcript:rna34297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-methylene-furan-3-one reductase MASTPSIPSHTKAWVYSQYGNIEEILKFDSNVPTPHPKEDQVLIKVVAAALNPVDIKRALGHFKDIDSPLPTVPGYDVAGVVVSVGEQVKKFKVGDEVYGDINEITLHNPKTIGTLSEYTVAEEKVLAHKPSNLSFVEAASLPLAIITAYQGLERVEFSSGKSLLVLGGAGGVGSLVIQLAKHVFEASRIAATASTTKLDFLRKLGADLAIDYTKENYEELTEKFDVVYDAVGDSERAVKAANEGGKVVTILPPGTPPAIPFLLTSDGAVLEKLQPYLENGKVKPILDPKSPFPFYQTLEAFSYLNTNRAIGKIVIHPIP >RHN75071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39184052:39189555:1 gene:gene11223 transcript:rna11223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MAASIIISDGTATDDNGRLNTEPEMEKKWWNKILDIKETKHQLMFSLPIILTTLLYNSITLVSVMLIGHLGELQLAGATLANSWFGVTAVGVMVGLSGALDTLCGQGFGAKEYHMLGIYLQSSCIISFIFSIIISIIWFYTEPILVLLHQSQDIARTAALYMKFLIPGLFAFGTLRNMLRFLQTQSVVMPLVILSAIPAIVHVGIAYGFVQWSGLKFKGGPVAISISLWISMILVGFYILYAKKFKNTWRGFSMRSFQYLFTNLKLALPSAAMLCLESLAFEVLVFLAGLMSDSQITTSLIAICANTEFIACLITYGLSAAASTRVSNELGAGQPERAKHAMRVSLKLSILLGFCFALMIVFGHGIWIRLFSSSPTIKHEFASIAPFLAISILLDSVQGVLSGVVRACGWQHVAVYVNLATFYLIGLPISCILGFKTNLKYKGLWIGLICGLACQTGTLLLLTRYAKWTKLNLSGDKDKDQPVVVLTTECMPIRTE >RHN53361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1200479:1202541:-1 gene:gene28262 transcript:rna28262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MSLSNGKNQSPLPTSNGNGNGIGAPFKIFVGYDPREDIAFQVCRHSIMKRSSIPVEIIPIKQSDLRKSGLYWRERGQFESTEFSFTRFLTPSLANYQGWAMFVDCDFLYLADIKELLDLIEDKYAIMCVQHDYTPKETTKMDGAVQTVYPRKNWSSMVLYNCGHPKNKVLTPDAVNSQTGAFLHRFQWLEDDEIGSVPFVWNFLEGHNRAVENDPTTSPKAIHYTRGGPWFEAWKNCEFADLWLNEMEEYLTQAKKEKSDN >RHN69704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45675895:45676483:1 gene:gene18210 transcript:rna18210 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLFNASKLKSFFALLGILLLLMSSMMMVSAAQSNFKHGRKLFDDTPTYSPYPGGGGYP >RHN62801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45935249:45937169:-1 gene:gene25410 transcript:rna25410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MATTISSTCFIFVPIILSSLLCPKTTLCATVLEDLKNLHKPPDFNTTIFNNCLKNPSLRYCTSSPMVDLDEIFKHTIVASHLCNESNNPNCVESFPKIDLRNRPNIASLYLSFTFFWKYCPLSIQSIDFSNNSIKGGFPNDVLYCTQIQSLDLGINEFSGEIPIQSFSSLTNLTFLNLSYNCFSESEISDSQFFKKFNASSFLHSGALVDHKKFTIKAIILLVGFPILVILMVIFLGWLCFQRPDFLPRILQSSRGYTFTPAILEAATCGFSNKNLVGKSDIVHIYKGVLRDATEVKIEMYWDDISRDSYHKFVEECKILSELNHKNLVKVLGWCKGRKFRAIITEWTEEENVEMWLSGASPSWNHRVKVLMGVVECMLYLHEEWPEVDYDLKTSSVLLSDKLEPLISRFQVGERNNSRRKVCKFGVFLLEMILNKSVQEDFDGDDAGFISYMRTLRLSEMQQMVDERINVTETTLHQVKQALSLGLMCIDQSSKEQPSLAQIFNIVSKAYKSNLVLPSANHKTFHGDKVMGHKHFQFR >RHN70398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51168729:51170479:1 gene:gene18974 transcript:rna18974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MNFKMDSDKAKLFVGGISRETTEDTLKHHFTKYGDVLHSTISFDRTTRIPRGFGFVTFSDISSAHNALQDTHAILGRKVEVRKAIPRSEQLQQNQLQNRGGNSYSGYECGNEHIRTKKIFVGGLPANMSVEEFKRYFERFGRITDVVVMQDSLTHRPRGFGFITFDSEDSVQSVMMRSFHDLNGRQVEVKRAVPREGNAGSEGFSKIRYKSDRGAAAESFHHSSPGNVFPAIAPLPLSTSDGVYGYGSNPYGCWYPMGGYAYGGNGYAAPSDVYRNLWYGGQMVTGPQAYQQVPYANVMPTVAYVGGSVGIVGSGAGNWGYNSILASATNYKFDQPLITNGFVPFNMPSPHGVKQIVGASSFKGSNGEISS >RHN61230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34019498:34020715:-1 gene:gene23671 transcript:rna23671 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSEKDSCIYAASVGVTAELNQSEESGCSSRKRARKEGGFFDLNLPAEVVDRN >RHN48161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45500493:45502556:-1 gene:gene42848 transcript:rna42848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MCSMSRHIKDKRMAMFEELPEECIAIILSRTTPVDAGRLSLVCKTFHSAANFDDVWNRFLLFSVPQFMASIISHNLLLTKKAIYLALSDRGSPIIINHGKIGFYLDRKSGKKCCILAAKSLSIDRYRRFIVTTIHDSRFPEVAELRDVFSLEIRGRIDTLALSRNTQYVAYLVFKLIDAQGLENHPVELSVGVKGGLNITNVGCLDPYVRGMRFNNIKGLRRASVRNDGWLEIHMGEFFTSDLKDEEIQMSVIEKHGAYLKRGFFVEGIEVRTKKTI >RHN78318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14077198:14080429:-1 gene:gene1860 transcript:rna1860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MSLNNVFIFILLCCFSLIHNVACADYGTALTKSLLFFEGQRSGVLPSNQRVTWRGDSALKDGQDAGIDLVGGYYDAGDNLKLGFPMAFTTTMLSWSTIEFKDQLGKKNELTNALAAIKWGTDYLMKAHQQPNVLYGEIGDPDSDHQCWQRPEDMSTPRNSYKIDEQHPGSDLAAETAAALAAASIAFQSVDNDYASKMLVHATQLFDFANNNQGLYHNSIPPAAKTYSSSGYKDELLWAAAWLYHATNLKKYLDYLGGAGDNGGARTMFSWDDKYLGAQILAAKLVLDGTVESSGIWTQYKANAEQFICSCAQKSTQNFQKTPGGLLWFQPWGNNQYVSTATFAMSTYSQYLSTKQASLQCNSGVVSPSDLTSLVQAQVDYILGSNPKQMSYMVGYGSNYPQQIHHRGASIISIKQDSSPLGCKDGFEKWFNKNAPNPNTLEGAIVSPDQNDDFTDNRNDYQLGEPTTVSVAPLVGVLAYLA >RHN75061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39094278:39095713:-1 gene:gene11211 transcript:rna11211 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKKTIHMVNKSMSFYRLSTASFSTAYERAEGSNAVAEANSDDRMTMEGIYKGKEEDRAMTSDPAREGPIKAEEEGDMVRDTAKDSMDGAWMAAQDAKHKGSDRDRRGMN >RHN53568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2615682:2619144:1 gene:gene28488 transcript:rna28488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plectin/S10 MIITEKNRREICKYLFQEGVCYAKKDFNLAKHPEIDVPNLQVIKLMQSFKSREYVRETFAWMNYYWFLTNDGIEFLRTYLNLPSEIVPATLKKQAKPAGRPFGGPPGDRPRGPPRFDGERRFGGDRDGYRGGPRGPAGEFGGDKGGAPADYRPSFGGPGGRPGFGRGSGGFGAPTSSNDA >RHN60270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24457998:24464229:-1 gene:gene22554 transcript:rna22554 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TPS4 description:tricyclene synthase TPS4, chloroplastic [Source:NCBI gene (formerly Entrezgene);Acc:25492046] MLLNSSFISLPSFFKSQELGRTNLLIHRNGSPLLCYATNTNVSQRKSANYQPNIWNYDILQSLKHDYEDARYVDRSRRLQEEVKRMIKDENVNILELIDTVKQLGLSYHFEEEIGEALDRFLSLEKCSGRNNFGRSLHETALRFRLLREYGYDISPDIFEKFKDHNGNFKACLVQDIKGMLSLYDASFLSYEGEQILDEANAFTSIHLKDLSEGRSSILIDQVNHSLELPLYRRVQSLEARWFIDSYENRKDANKVLLEAAKLNFNIVQSTLQQDLKEMSRWWKGMGLAPRLSFGRDRLMECFFWAAGMTPFEPQFSNIRKGLTKVCSLITLIDDIYDVYGTLDELELFTTAVESWDINAIQILPEYMKIFFLALYNTVNDFTYDTIKETGHDILPYLVKVWSDMLKAFLQEAKWCHNKHMPKFDDYLNNAWVSVSGVVLLTHSYFLLNRNITKEGLGYLENCPMLLQTPSIIFRLCNDLATSSAELERGEGANSIICYMNENGVSEEVAYKHIQNLLDQTWKKMNKDRVINSPSSKYFSETIINLARISHCTYQYGDGHGAPDTLAKNRIKALILEPIN >RHN40365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15636532:15637218:1 gene:gene46512 transcript:rna46512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MASSNSPCAACKFLRRKCQPECAFAPYFPPDQPQKFANVHRIFGASNVTKLLNDLHPHQREDAVNSLAYEAEMRLRDPVYGCVGVISLLQHQLRQLQMDLYCAKSELSRFQNLSIAAAATAGHGLITGMTGESVTAAAGNYHHTQNTAGGGNNSNGRDHRYHQHHQFFPRDQHQQHNLVRNFDGGIGSNYDASLLAMNISASLGQINQLQHHSAAGGGGDDRRTVNRS >RHN72001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4281115:4282142:-1 gene:gene7668 transcript:rna7668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutaredoxin-like, plant II, thioredoxin-like protein MYQAIPYRTSVSTVTRNLPITTGILESNVTTMVSENAVIIIGTRGCCLCHVVKRLLQGLGVNPPVYEVDQDHETAVAAQLSTNTAETVQFPAVFVGGKLLGGLERVMASHISGELVPILKDAGALWL >RHN62271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42240693:42245017:1 gene:gene24826 transcript:rna24826 gene_biotype:protein_coding transcript_biotype:protein_coding MANPVVKVESVLDEQIGTTSSKVFEDAEVDIVSWTNKGDVGSTKNEDPDATEYSSSFADTDSDAENSSRSSDAEVDSEFFGENGAASPHDTFGPEFRTRKRKLTDHWRNFIRPLMWRLKWTEIRLKQIESQELKYTRELEEYDKVKHTAAHDHFTLKESGSKSLPFSSHQYRSKAKMRRRRKKVEDTTDIASYAAHHYLFSYLENKKSDADGSLDDDFDNPVITEPHVDSTEKTEDQPLLKCTDTDMSFELLLQNIENLQCRVRTLKSGINEITSRNVSRFSSSENFSLILHGDVQTSSAQSPTNSAGNGYTASVGVGGIYNSSQHAADEFEFADFVFPDSCVSSFGEATSIPDIIESTVGLLAAADVTLQSALVADSGEHMVENVLMHELQEVKREVEEGSHSVSILMSDNVATTACTSQEQSALKTCVNKRKRGERKAGSGGWNMKSHGEPDNQ >RHN70432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51404050:51410995:-1 gene:gene19011 transcript:rna19011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MEKMKIEEVQSTTKKQRVATHTHIKGLGLEVSGKAVPFASGFVGQADAREACGLVVDMIRQKKMAGKALLLAGPPGTGKTALALGICQELGTKVPFCPMVGSEVYSTEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEETESITGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGICTVRGTDMTSPHGIPVDLLDRLVIIRTQTYGPAEIIQILAIRAQVEELAVDEESLAFLGEIGQRTSLRHAVQLLSPASVVAKINGRDNICKADLDEICSLYLDAKSSAKLLQEQQEKYIS >RHN47996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44147208:44150510:1 gene:gene42662 transcript:rna42662 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDNIQINSTKPHASSPSHKNSLSCSSPSHKNSLSCSSPSNKIVLITSSINITLQTQSKRDRISIAKPANHITPQSFSESTQTQFFIIISAQCFNPEPATKIQQQTTTTIEPRSKVKEIASENWCWNLYWNAKH >RHN79397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28448251:28449850:-1 gene:gene3183 transcript:rna3183 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLLLFFLFLQITSFIAFAEKLETLHHKPATPHHSPTKSPVHKPLASPPHHNHSPSHAPSHVHTPLHPPHPAKPPTHHHHQHQHHSPSPTPSHVHPPLHPRHPAKPPTHHHQQHSPAHSPIKSPVHTPLHPPHPAKPPTHHRHQHHSPSPAPSHVHTPLHPRHPAKPPTHYHHHSPAHAPIKPPVHKPLLPPHSAKSPTHHHPPAHAPTHTHVSRNLIAVEGVVYVKSCNHTGVDTLKGATPLPGAIVKLQCNNTKYKLVLKAKTNKKGYFYIGGPKNITGYSTRHCNVVLDSAPKVLKPSNLHGGVIGALLKLVKRSMSKGVYVKLFSVGPFAFEPKCHH >RHN81712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48372550:48373447:1 gene:gene5794 transcript:rna5794 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVSGELGRGGGVGVDGGGGVDKGDMEVEEMNTCMASLGEEVNGILDGGVVWRWWRVVVRFRAVMMHWWRRRGIFVWLLWWWWGMVVRFRAYMHWWWRRRIFVWFLWGWWRMVVRFRVDIWWRRRRILVRFLWWWWW >RHN57962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42767242:42770746:-1 gene:gene33557 transcript:rna33557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative clathrin, heavy chain MKILSRTQVLKFRFFLQCGKIDPLSKRFSSSFSNGSSFSSSETYGNGKVSPFMNDNYEKNEAKVEGMRKTVYDLCGVLENGLWGPDVEEALNVFDEMSQPEVIVGVMKRLKDVNVAFQYFRWVERKTQQAHCPEVYNAFLMVMARTRNLDYLEQILEEMSVAGFGLSNHVSVELVASFVKSHKLKEAFGVIEMMRKFKFRPAFSAYTTLIGALSAANRPDPMLTLFHQMQEIGYEANVHLFTTLVRVFAREGRIDAALSLLDEMKSNSFTADLVLYNVCIDCFGKVGKVDMAWKFFHEMKAQGLVPDDVTYTTLIGVLCKARRLDEAVELFEELDLNRSVPCVYAYNTMIMGYGSAGKFDEAYSLLERQKRKGCIPSVIAYNCILTCLGRKGKVEEALRIHDEMRQDAAPNLTTYNILIDMLCKAGELEAALKVQDTMKEAGLFPNIMTVNIMIDRLCKAQKLDEACSIFLGLDHKVCSPDSRTFCSLIDGLGRRGRVDDAYSLYEKMLDSDQIPNVVVYTSLIQNFFKCGRKEDGHKIYKEMVHRGCSPDLMLLNSYMDCVFKAGEVEKGRALFEEIKAQGLVPDVRSYSILIHGLVKAGFSRETYKLFYEMKEQGLHLDVLAYNTVIDGFCKSGKVDKAYQLLEEMKTKGLQPTVVTYGSVVDGLAKIDRLDEAYMLFEEAKSIGVDLNVVIYSSLIDGFGKVGRIDEAYLILEELMQKGLTPNSYTWNCLLDALVKAEEIDEAQVCFQNMKNLKCSPNAMTYSIMINGLCMIRKFNKAFVFWQEMQKQGLKPNNITYTTMIAGLAKAGNVMEARGLFDRFKASGGVPDSACYNAMIEGLSSANKAMDAYIVFEETRLKGCRVNSKTCVVLLDALHKADCLEQAAIVGAVLREMAKSQHATRLP >RHN52523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36334642:36335990:1 gene:gene37211 transcript:rna37211 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFQNPSSQKSSRMETPICVAKLVLISIGIISTLILFKVAIIPYTFDLVLSTLPQLWFSIRTWFTLPFLYIIVNFIIIIIVASSSFSDPKHTTTSILETTTNPIELENQTNEPHQEEKKVEEVEEQEQEEKRVVKDSELFHNKFITDPIPEKCSKDFYLPDSDDKVKDFRLFCNKFIDDPSPEKCCNDYNLPDSGDKGDDDSLEATWKAIMEAQEKTKKPHLKKSGTWTARIVKAEPFRNNGGFCGGDDDPVAWAQRELKKSETFNDRASLKREKSMSPEELNKRAEAFIKKFNNQMKLQRMESYHRFMKLNKRV >RHN79917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33496665:33498147:-1 gene:gene3774 transcript:rna3774 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGSSRNISLTNEQPANKATNSDTNTVSVSQSERPSDAPLQLSTSFLTSTSVSNGKNEGLDVGISQEDEMEEEAPETSNSPELSLGSLGGFGLGSTPNPSIPKSNPFGGSFSNVATSLSSSTNALSVPNGALFRPPSFTFPSTPSPTSTQSTNSGAFSGGFGVGAAVPPQAPNAFGQPAQVGSGQQVLGSVLGTFGQSRQLGGALPGTGFASPPGFGGGFAASNNTGGFPNAAAGGGFASVASTGGGFASVTSTGSGFSGFGAPAGSGFAGAAPAGGGFGAAPAGGGFAAAANSTGGFGGAGSGGGFGGAGSGGGFGGAGSGGGFGGAGSGGGFGGAGSGGGFGAFSSQGSGGFSAFSNTGGNKPPELFTQMRK >RHN79610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30585817:30590480:-1 gene:gene3424 transcript:rna3424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructose-bisphosphate aldolase MSSFKSKYEDELIANAAYIGSPGKGILAADESTGTIGKRFASINVENVEANRRTLRELLFTAPGCLDCLSGVILFEETLYQKTAAGVPFVDVLKKAGVLPGIKVDKGTVELAGTNGETTTQGLDDLGQRCKKYYEAGARFAKWRAVLKIGPNEPSPLSIHENAYGLARYAVICQENGLVPIVEPEILVDGPHDIAKCADVTERVLAACYKALSDHHVLLEGTLLKPNMVTPGSDSPKVAPEVIAQHTVRALLRTVPAAVPAIVFLSGGQSEEEASVNLNAMNKLKGKKPWTLTFSYGRALQQSTLKAWGGKDENIPKAQAALLVRCKANSEATLGTYQGNANLGDGASESLHVKDYKY >RHN68218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33782802:33800137:1 gene:gene16537 transcript:rna16537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative htrA2 peptidase MEDPSERLGSDGIDSIAAVKTDDLSMEIDPPFQENAATAEDWRKSLRKVVPAVVVLRITSTRAFDTESASASSATGFVVDKRRGIILTNRHVVKPGPVVAEAMFLNREEVPVHPIYRDPVHDFGFFRYDPSAIQFLSYEEIPLAPEAASVGLEIRVVGNDSGEKVSILAGTLARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVGLNAGSKTTSASAFFLPLERVVRALRFLQTGSETYVDKWMPVSIPRGTLQLTFLHKGFDETRRLGLRSETEQVVRNASPASETGMLVVESVVPGGPAYKHLEPGDVLVRVNGEVITQFLKLETILDDSVNSNIELQIERGGTSKSLTLLVQDLHSITPNYFLQVSGAVIQPLSYQQARNFRFHCGLVYVTEPGYMLFKAGVPRHAIIKKFAGEEISCLEELISVISKLSRGARVPLEYISYVDRHRRKSVLVTVDRHEWYAPPRIYTRDDSTGLWIAKPAFQPDSPYLSSGDKDVGNLASRPSSLTGEHARGGDVCESNHQELADGVTSMETNSEDPLECVSHDNGSDGIVKKRRVDDLSADGSVADISLNEPEETKLEKSSALPDNGLMDYQGATAATANASVTERVIESTLVMFEVHVPPSCMLDGVHSQHFFGTGVIIYHTQDMGLVAVDKNTVAVSSSDVLLSFAAFPVEIPGEVVFLHPVHNYALVSYDPSALGPVGASVVRAAELLPEPALRRGDSVYLVGLSRSLQATSRKSVVTNPCAALNIGSADSPRYRATNMEVIELDTDFGSSFSGVLTDEQGRVQALWGSFSTQLKFSGSTSEDHQFVRGIPIYAISEVLDKIISGANGTPLLINGVKRPMPLLRILEVELYPTLLSKARSFGLSDAWIQAFVKKDPIRRQVLRVKGCLAGSKAENLLEQGDMVLAINKEPVTCFHDIENACQALDKSDTNDGKLQMTIFRQGREVELLVGTDVRDGNGTTRTINWCGCVVQDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEINGKPTPDIDSFVNVTKELEHGEFVRIRTVHLNGKPRVLTLKQDLHYWPTWELRFNPDTGIWHRNVIKALNRSSV >RHN76756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1012173:1027627:-1 gene:gene129 transcript:rna129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MSSPSPRNRLNQFYTTKKRKAISPAPKAGRIEKVARIVADGSPSAKGSLEGYLVPSQEDPVRPDLVRRKLGLDIGGSLDKQPVSLAQEIRHLDAMRAGIENEVTMVDDSVKEHTLSDLGDVGEKSELKQFKAELFSLYCGQLPQETRVKGHKKYDSLTLVEESSSIPQKCDDTSVLHFGCENISSEKITVDMKSGVAGNTLESIPGCSAKVSDGGATNAFDTGFRKCSNTSTSMNMAECQTPGSLIVKACIRGTPKSTRGSSMFSPGEAFWDEAIQLADGLVVPTGNDASKVIEESNVVGDQVEMKGSCNLQNYDGKARKILDQSKNIGLAEMHTKDSMKEASSLPVKHFDFSNDHNMDENILQNCRVDNQVNVTCGSGRKYEPISVVSRTHEQPYEVKKILADELGKRMRKDNSNMTSNNFSSPNNEARTTLSIHASFEATTPSSNVSLDNHLDLHSWLQPEICSIYRKKGISKLYNWQVDCLRVDGVLQRRNLVYCASTSAGKSFVAEILMLRKVITTGKMAILVLPYVSICTEKAEHLEKLLEPLGKHVRSYYGNQGGGSLPKDTSVAVCTIEKANSLINRLLEEGRLSEMGIIVIDELHMVGDPKRGYLLELMLTKLRYAAGEGISKSSDGEGSGGSSDKNDPAQGLQIVGMSATMPNVAAVADWLQAALYQTEFRPVPLEEYIKVGNSIYNKSMELSRTIPKGADLGGKDPDHVVELCNEVVQEGQSVLIFCSSRKGCESTARHVAKFLKSFTVDINENNCEFADITSAINSLRKCPAGLDPVLEETFPAGVAFHHAGLTVEEREIVETCYRKGLLRVLTATSTLAAGVNLPARRVIFRQPRIGCDFIDGTRYMQMAGRAGRTGIDTKGESVLICKPQELKKVMGLLNESCPPLHSCLSEDLNGMTHAILEVVAGGIVQTANDIHRYVRCTLLNSTKPFLDVVKSAQESLRWLCQRKFLEWNEDTKLYSTTPLGRASFGSSLCPEESLIVLADLSRAREGFVLASDLHLVYLVTPINVDVEPDWESYYERFVKLSPLDQSVGNRVGVTEPFLMRMAHGVPMGSNKSRWSNNKRQNQHGMSSGIVNSDDQTLRVCKRFYVALILSLLVQETPVGEVCEAFKVARGMVQGLQENAGRFASMVAVFCERLGWHDFEGLVAKFQNRVSFGVRAEVVELTTIPYVKGSRARALYKAGLRTPLAIAEASIPELVKALFESSSWGTEGSAQRSLQFGVAKKIKNGARKIVLDKAEEARIAAFSAFKSLGYDVPQFAPPISTAVCNSIRKEVGSSSGSDTADTSHSFIDTNHIDNSNVPALEKEKDLIKSSDNGALVSVEGKSDSVMPHSLSTVPVVVPSINELSMTSGPAKIPDVTTLSVHLQKQNDKSIMHNGCHAQGTGEQDHRGNLASGNMINSSRKGPINAVSSPGGLDSFLDLWDTVAEFYFDIHYIKRLELHSAAPFEVHGIAICWENSPMYYINLPRDILLSGNRKDDGFSLTACSSKQKVSSSNSKQDLMNAMHRWSRISKIIEKKEVRKFTWNLKVQIQVLKKPSVSVQRFGSLDTIDKNMHLEVVDNSYILLPPIHVKDAIDMCIVAWILWPDEESSSSPNLDKEVKKRLSPEDAAAANQCGRWRNQMRKAAHNGCCRRVAQTRALCSVLWKLLVSEKLVEALMEIEIPLVNVLADMELWGIGVDLEGCIQARKLLVKRLKQLEKEAYKLAGMTFSLSMPADIAKVLFEHLKLPIPDGKNKGKNHPSTGKHCLDALRNEHPIVPIIKEHRTLAKLLNSTLGSICSLARLSVSTQKYTLHGHWLQTSTATGRLSMEEPNLQCVEHAVDFKMNGDKNEGDADESCRVNARDYFVPTQDNWLLLTADYSQIELRLMAHFSKDSTLIELLRKPDGDVFTMIAARWIGCPEVSVGSRQREQTKKMVYGILYGMGPNSLAEQMDCTSDEASERISNFKSTFPGVASWLHEAVAFCRSKGYVETLKGRKRFLSKIKFGSSTEKSKAQRQAVNSICQGSAADIIKIAMIKIYSEIFPGVDKLDSSSSVTAKFEMLRDRCRILLQVHDELVLEVDPSVVKEAALLLQTSMENAVSLLVPLNVKLKVGRTWGSLEPFAPDKFTGDTPIADS >RHN77986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11080405:11081295:1 gene:gene1500 transcript:rna1500 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYINETLVQWNARGQPIKHKNKDFASFVGVTVLHLVPIANIGVTFGMTFNSKVGSSSLNLWL >RHN59793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13251467:13258832:1 gene:gene21946 transcript:rna21946 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLRAISGEPVIDTENGEELKRVLPGVDIALANLPRESPGTLYITTKQVIWVSDVDKSKGYAVDFLSISLHAVSRDPEAYSAPCLYTQIETEADEDLNSDDSDSESNHVLDLSNVREMRLIPSDPTQLDSLFQVFCECAELNPDPNNEEPEEEHNWIFSADQMEDEEAEDEGYLSHNPTNTLGHSNGHHDLARNILELEINDERFEDAEEAEHDGDNTHHH >RHN64294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57969201:57972078:1 gene:gene27097 transcript:rna27097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acylpyruvate hydrolase MSTASSCQKLFDLGTKIIGVGRNYAAHAKELGNAVPKAPVLFLKPTSSYLKNGGTIEIPHNESSLHHEVELAVVIAKKARDVSESSAMDYVAGYALALDMTARDLQSAAKSAGLPWSLAKGQDTFTPISPILPKTAVPNPDDLELWLKVDEEIRQKGSTKDLIFKLPFLISFISSYMTLFEGDVILTGTPPGVGPVKEGQKITAGITGLVDVEFNVGKRKISGT >RHN48640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49112735:49115556:1 gene:gene43379 transcript:rna43379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator C3H-WRC/GRF family MDFPTKQWRNQQHESEKQHSTKMPKLLHPAQSQSQSHSHQQSPALPLFLPQPNTKVTNLSDSALPSNNRFPRIGMGSHFSLSQWQELELQALIFRYMLVGASVPPELLQPIKKSLLHSSPYFLHHYQPTALLQSGYWGRGAMDPEPGRCRRTDGKKWRCARDVVAGQKYCERHMHRGRNRSRKPVELPTPTSNGGGSFSALSSISSQPLVTSSFKSPFDLHFTERSTGTKIEEKSLCESDDHVGGDGRPGGQMLRHFFDDWPRSLQDSDNAENNGGSSSTCLSISMPGNNNTSSSSSDVSLKLSTGYGEEPCPRNENVGLVQTEQQQQQLQLNWIGGWNSGNQVSSMGGPLAEALRSSTSTSSPTSVLHQLPRCSGSQTSYIST >RHN68252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33991172:33991794:-1 gene:gene16583 transcript:rna16583 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPDPFGEKGMAARSSSNLLHFHFLLIFLLILINGEDPNSDRSWVMIFRTNRVFPTKDLSHCNHRPFFLLSAKQLKAMNN >RHN39781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10469176:10472598:-1 gene:gene45843 transcript:rna45843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DOMON domain, cytochrome b561/ferric reductase transmembrane MKAYLASLIFTICFVASANLGSSQVNGSSSSQGDGSSSQGDSCDTKLNLSVPLPFDTTKLNCLAVWNAQGYILRYSQTSSNIWSFILSAPNQNSYISIGFSPNGGMVGSSAIVGWISSNGASGGMKQYSLSGYAPNQVVPNRGNLPIINNSTMITSQSSRLYMAFQLQTNRPLSRLIYAVGPNGVFPTAPSFSLMQHQDKVSVTVNYATGSSVLGNSSMNLKRSHGVLNILGWGIFIIMGAIVARYFKDWDPFWFNFHASVQSLGFVLGVIGVITGLILNNQLHINFNLHKTLGIIILVLACLQVMAFVARPKKESKVRKHWNLYHHNIGRIVIILSIANIFYGIHLAKEGSEWTVAYGIVLAILLSIAVIFEIGLWSKD >RHN67609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28644624:28647883:1 gene:gene15815 transcript:rna15815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PH domain-containing protein MENLWRSATGQEPNPSDYTGIQFWSNPERSGWLTKQGDYIKTWRRRWFVLKQGKLFWFKEPSAATSPSSVPRGVISVSTCLTVKGAEDVLHKASAFELSTPQTTMFFIADNDKDKEDWINSIGRAIVLGSRSHAASEVIDYNNQSSAT >RHN56839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34118861:34120791:1 gene:gene32298 transcript:rna32298 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAVQLGLFAACVVLFVPMGMAGWHLSRNKVLFFSGALFITLAVGVHLTPYFPSVSDFMSSVKSSNDDVVFVEDRGLCVSLLHEIAWEVMPSKVFDPLLRNNSLNYDKFWSWSRLNSVSECEFQRLKRKDVMDLLNGSWVMIVGDSQARIFTLSLLSLVLDSERVESVRSFLFKRHSDYHIDIDEMGLKLDFIWAPYPNNLTDLVMRFKKKHLYPDVLVMGSGLWHMLHITNASDYGGLLGLLRNSVTSMLPVSPKFGNDEPAMGSVSSVRSPHLFWLGMPTLINSMLNTQEKRVKMNDVMQGEYEREVRKSGILREFGGPFQLLDIGSLSLNCGIKCTDDGMHYDGAVYEAELHIMFNALLIESHQKL >RHN74558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34747820:34749688:-1 gene:gene10646 transcript:rna10646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MMATITSNDVAGNSPIYDRKSELKKFDESKVGVQGLTENGVTKVPRMFYCEQSNINHGSVNESNSKLSVPTIDLTGIHDDPVLRDEVVRKVRNASEKWGFFQVINHGIPTQILDEMINGTCRFHQQDAKVRKEYYTRDLTKKVVYLSNFTLYLDQSADWRDTLAFFWAPDPPKADELPPVCSDIVNEYTKEVMALGSSLYELLSEALGLNRSHLKEMGAAESFVHLCHYYPACPEPELTIGTTKHSDASFITVLLQDHIGGLQILHDNQWIDVPPIHGALVVNIGDLLQLVSNDKFTSVQHRVLANHAGPRISVATFFRTQHDYSHEGMEKVIGPIKELLSKENPPIYRDTSLKEYLAYRFANGLGASALSPFKLSHKEAT >RHN42452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38470038:38478167:1 gene:gene48895 transcript:rna48895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MQFDSDSDSDGSHISSTPPRQQPNSPPPPKPPLPVSNKKSKTKTKTSKSNKPLSKPPEILPEQESFPFPSIPSLPFNIRQRTSDAPSTSSSHSIETLPAGFFSKSISFSKIRKPLLNLETSEAEPVVDSAKTVAKSVKKHPNLIGASVVTPIVKALKGAGEGNFVKLNLNGKRKKFLNKGWKKNGKFGSGKRYFRNSNGSNSGRSSRSKRIKSEYKEDDDDDEGDGMGVENAKQQEKKNGWENECEVLEEAVLAARDDASDENLVKLLKLIHGFDSFREGQLEAIKNVLAGKSTMLILPTGAGKSLCYQLSALILPGITLVVSPLVALMIDQLRQLPPLISGALLSSAQTFEESSETLNQLRQGTIKVLFVSPERFLNEEFLSVISGGLAVSLVVIDEAHCISEWSHNFRPSFMRLRASLLHKSLNVGSILAMTATATTTTLDAIMSALDIPRTNLIQNAHLRDNLCLSVSLIKNRMKDLLVLMKSSPFAEVKSIIVYCKFQSETDQISRYLNDNNIKAKSYHSGISAKERGYVQELFGSNKIRVVVATVAFGMGLDKRDVGAVIHYSLPGSLEEYVQEIGRAGRDGRLSYCHLFYDDEMYFKLRSLMYSEGIDEYAVNKFLSEVFPADKSSCGKICSLIKEPASRRFDMKEEVILTVLTRLELGDVQYLHLLPQTNATCVLNFHMTPAVSLAQKVSAIAAILKRSENKHGQYTFDIPTVANDMGVTPVELTNQLYALKLKGEITYEMKDMAYCYRILEAPADFFSLSADITRWLSEVECCKVRKMDAMFNAAYFAVNLCDKMSGCSSSDHTSCLQRIILDYFAGVDNADFCKKIDQSSRFLRADIKVFLQSNSHARFTPRAVARVMHGIASPAYPYTIWGKTHFWGRYTKIDFNVVMEAAKEELKTFIGKDYSSNL >RHN49740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:748245:750397:1 gene:gene33947 transcript:rna33947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sirohydrochlorin ferrochelatase MKNLLCHTKYVNFQLVLLLSLCTRFSACEIRTNQRSNSLSFSSKSLLSTQNSSGVGPGDAVIIVDHGSNRKEANLMLSKFVEMFRNKTGYKIVEPAHMELAKPSIADAFQSCVQQGAHRIIISPFFLATGKHFNEDIPALSAEAAKQHPGVSYLITAPLGLHVLLVDIVNDRINGCLKHGAGDAEECSVCDGIGKCILKQEAGSQRNV >RHN41560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31063750:31067564:1 gene:gene47894 transcript:rna47894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MNMICSTCFHVFILFAATLTLLCLQHKNTASALGNDTDQLSLLRFKETIVDDPFDILKSWNTSTSFCNWHGVKCSLKHQRVTSLNLQGYGLLGLIPPEIGNLTFLRYVNLQNNSFYGEIPQEIGHLFRLKELYLTNNTFKGQIPTNLSSCFRLKSLSLTGNKLVGKIPKELGYLTKLEFLSIGMNNLSGEIPASIGNLSSLSVLIFGINNLEGNLPEEIGHLKNLTHISIASNKLYGMLPFTLFNMSSLTFFSAGVNQFNGSLPANMFLTLPNLQQFGIGMNKISGPIPISISNATNLLLFNIPRNNFVGQVPIGIGNLKDIWSIAMEYNHLGSNSSKDLDFLTSLTNCTNLQVLDLNLNNFGGYLPNSVANFSRQLSQFYIGGNQITGTIPPGVGNLVNLIGFDLEFNLLSGSIPSSFGNFDKIQSLTLNVNKLSGKIPSSLGNLSQLFQLDLSNNMLEGNIPPSIGNCQMLQYLDLSNNHLSGNIPWQVIGLPSLSVLLNLSHNSFHGSLPFEIGNLKSINKLDVSKNSLSGEIPSTIGQCISLEYLNLQGNIFQGVMPSSLASLKGLRYLDLSQNNLSGSIPQGLESIPVLQYLNISFNMLNGEVPTEGVFRNESEIFVKNNSDLCGGITGLDLQPCVVEDKTHKNQKVLKIIVIIICVVFFLLLLSFTIAVFWKKEKTNRRASNFSSTIDHLAKVTYKTLYQATNGFSSSNLIGSGGFGFVYKGILESEERVVAIKVLNLQVRGAHKSFIAECNALKSIRHRNLVKILTCCSSMDYNGNEFKALVFEYMENGSLDKWLHPDFNIGDEPSLNLLQRLNILTDVASAMHYLHFESEHPIIHCDLKPSNILLHNDMVAHVSDFGQARLLCVINDISDLHTTTIGFNGTVGYAPPEYGVGCQVSVQGDVYSFGILLLEILTGRKPTDEMFRNGMNLHSFVKVSLPDKLLDIVDSTLLPREFEQATVSTTAEEKNNSDQQQCLLELFYIGLACSVESPRARINMKTVTRELDVIKIALSRK >RHN72096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4940076:4945042:-1 gene:gene7769 transcript:rna7769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSEEGHEIHNNYIQSEDLQLVEESDNSSGSSKKWSSFDLNEEATSEDNNHEATLEENENEKENIEGNSRSREGNNERRGKVRHYVRSKMPRLRWTPELHHSFLHAVERLGGLERATPKLVLQLMSVRGLNIGHVKSHLQFSFQMYRSKKLDEFGQVLCQTQRSTQEVGRSQKLDLSQHFKMGNGGIILSSSYNEQHHNPQYFPTLLKPCPSFSLSRNYSHTNSSHHDDSRHQHWHFNHQPFKFAPVTPTQFLEEKNWSPFEIMNNIHQPEFKRLLSNVTSKTWSKPIEKVEWNNTSSSITNNTEYLLKSKEPCTSSTSLLLQFEAPFRIKLNQEKVHKDEKRLLPDLQLGLSHIYGNNDGKIDHCRETKEISTKLSLS >RHN53165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42473269:42478076:-1 gene:gene37938 transcript:rna37938 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDDAVDWLNLPRELWLVIISKNLNPFDVLRFRSVCALLRSILPPPFPSPSHTLRIPDGKFLLFTETKIFRLQPLFPTSSSNKGWIIKVEKSKSGKLRHLDVVTNTHMSHTFPSNVLDFMNLRVMELFQAYTINFSRDGGDLIAFEPLSDVYKVVLFSVEGPGQMVFALHQDGKLRVSNIGYNNLIIVDDGNRIYNDIILYMGKVYVVDKSGIIFWINCSSFKLVQCSPSLSNDRSKKCLVDSHGSLFVVEMYSRRTGVNTCKLLMDISVLNVDGESSRWLRVTDLGDNLFVLGKDLNFSLSANDYYGFERNCIYFCCIGRTARYNLNSSGFKYVDDIFWPCSTLFNSESVSDPLNIECAADHEP >RHN38641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:951114:951443:-1 gene:gene44599 transcript:rna44599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family METNETQRVRKYELLKFSFLWQLELTNIRKQVCPDLELQLGGMKMMDSMVNYFMKKLIAEATKHVDSNCLDVREIMAAVYQVFPEELAKNAHQRGLEAVNQTLPKREGR >RHN49081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52297151:52299104:-1 gene:gene43870 transcript:rna43870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MSGKVIISAVSLILVVGVAIGVVVAVRKNGEDPEVQTQQRNLRIMCQNSQDQKLCHETLSSVHGADAADPKAYIAASVKAATDNVIKAFNMSERLTTEYGKENGAKMALNDCKDLMQFALDSLDLSTKCVHDNNIQAVHDQIADMRNWLSAVISYRQACMEGFDDANDGEKKIKEQFHVQSLDSVQKVTAVALDIVTGLSDILQQFNLKFDVKPASRRLLNSEVTVDDQGYPSWISSSDRKLLAKMQRKNWRANIMPNAVVAKDGSGQFKTIQAALASYPKGNKGRYVIYVKAGVYDEYITVPKDAVNILMYGDGPARTIVTGRKSFAAGVKTMQTATFANTAMGFIGKAMTFENTAGPDGHQAVAFRNQGDMSALVGCHIVGYQDSLYVQSNRQYYRNCLVSGTVDFIFGSSATLIQHSTIIVRKPGKGQFNTITADGSDTMNLNTGIVIQDCNIIPEAALFPERFTIRSYLGRPWKYLAKTVVMESTIGDFIHPDGWTIWQGEQNHNTCYYAEYANTGPGANVARRVKWKGYHGVISRAEANKFTAGIWLQAGPKSAAEWLNGLHVPHYLGFKA >RHN57011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35732696:35735726:1 gene:gene32494 transcript:rna32494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MKQYSFNQRFRFHVDVKSFNVIPTVNVECLPVTVTGSLPVNKSGPHLTTTNVSSPNTTSIDCVSIIHFVYQTNQNFFTYNFHLSIQLNFNPMAEICCGVVGEEETLTLPVEPSSRPSGRRSLDLLPLKYMADPPENSRKRQKLDPSVSPPPPPARECENAVDSCESSTDEESKENEVVLENKVVVVEECSPKYGITSVCGRRREMEDAVSVHPSFCREKQDHFFGVYDGHGCSHVATMCKERLHEIVEEEVEKEKVDWKSTMEKSFIRMDEEVLNSSKTKQSFSCKCELQTPHCDAVGSTAVVAVVTPEKIIVSNCGDSRAVLCRNGVAIPLSSDHKPDRPDELDRINKAGGRVIYWDGARVLGVLAMSRAIGDNYLKPYVISEPEVTITERSDEDECLILASDGLWDVVQNDTACKVVQMCLKANKTVTPPGSPGLEVAGNGSDKACSDASILLTKLALARNSSDNVSVVVVDLRRDQRQQ >RHN55288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16826320:16828456:1 gene:gene30440 transcript:rna30440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MAKLYHLFLLTCLVVTISTLVHANVKEDKAYWDRILPTLNTTYWQKKASIAAKANDKAYTPDPYAVSGNLSSTVSEMIIGGINGRRNLVGLGNSSCMATNPIDRCWRCDPNWANNRKKLADCVQGFGRKTTGGKDGPIYVVTDPSDSDLVNPRPGTLRHAVTRNGPLWIIFARSMKIRLNQELIMAGNKTIDGRGADVTIANGAGITIQFIENVIIHGIKIYDIMVGSGGLVRDSEDHYGLRTISDGDGISIFGSSHIWIDHVSMRNCRDGLIDAIMGSTAITISNSHFTDHNEVMLFGASDTYDGDQKMQITVVFNRFGKKLIQRMPRCRFGFIHVLNNFYNRWEMYAIGGTMHPTIISEGNKFIAPNNGHAKEITKRTLVPEAEWKTWQWRSINDLYLNGAFFRQSGAELINRPFSNKDMIKAKPGSYVGRLTRYSRSLRCRVGKPC >RHN81164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43787701:43791427:1 gene:gene5168 transcript:rna5168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein Networked (NET), actin-binding (NAB) MSESTMKMKNQPSQSHWWWLDDNTTVRRSAWLQSTLTELNEKTKAMLKLIEGDADSFAQRAEMFYKKRPELVSMVEDFYRKHRLLAERFDQVRPESGIRLLTPSASLKHSQPEKLVSFDDDRGYDSYSESCDVEESVKSEIDDPEHEEEVQSVDANEVMKLTSETERLGEEKKTHKEHIKQKDDILDEVMKLREEIELLRKENEAHKDKLKQKETISNEVVKKLREEIEQLKKVNNAQKDGLNQKDTICNDVMKLRDEIKQLEKENEAQKNELKQKGTINNEVMKLREETDELKKGNETLKLELKHKDDISNELMKLKEEIERLEKENEAQKAELKEKDTICNEVVKLREENEAQRDELKQKDTICNEVMKLREELERHKKENEAKKRESFREYTICDEVMMLMEERESFREENRTQKNDLKLKDKEKIEVIRQLSSTIDMLKQENVKMRSFIAKESAKKWKTPFEFNKLIETFSGKLLKGIPRNKPSIVAL >RHN71915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3543378:3550833:1 gene:gene7566 transcript:rna7566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydroflavanol 4-reductase MERKCKVCVTGGAGYIGSLLVKKLLEKGYTVHATLRNLKDESKVGFLKGFPHANTRLVLFEADIYKPDGFWPAIQGCEFVFHVATPFLHQTDSQFKSIEEAAIASVKSIVETCIKSRTVRKLIYTGTVVASSPLKDDGCGYKDFIDETCWTPLQSLHLPLTPFHKDYAYSKTLAERELLTSNGKDENGNGGIEVVTLAVGLVGGDALLSYLPASVAVIISQIHDNEVAYQSLKFLEDIDGKIPLVHIDDVCEAHIFCAEDPSINGRFLVANSYASSAEIANYYLQNYPEFNLKEKYLEEPNKAIKWASTKLTDKGFVYKYDLKMILDDSVKCGRRTGDFSM >RHN74612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35134481:35140516:1 gene:gene10708 transcript:rna10708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative snapin/Pallidin/Snn1 MEASSDTHHTSTDENDTVVVQTEPSSSHAGDNSSETENAAGDSLSKSSDVLAKGLSSILSSVITDFDFRAQQTLISQNHLSSSIDRLTGELDQLLEDAPLPFIMQHAAKISSVRKRVSSLNSLLKSIQGRIDNIDRIMSTGTTHEKATTESSG >RHN59251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8773912:8778200:-1 gene:gene21254 transcript:rna21254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MVNSAICSRCGEHEESFFHCVRDCRFSKIIWHKIGFSSPDFFSSSSVQDWLKDGISCHRPTTFLAGLWWIWRHRNLMCLNNETRSVYRLNFIINSTADLINRCLQSDTSSPPQARLVRWNNEDHACSILNVDGSCIGDPIRTGFGGVIRNFSGSYVIGFTGFIDSPNDILFAELTALHHGLTMAASLDFAVLACYSDSLLAVNLILFLFFLSFSCFLLLLHVY >RHN71234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57504782:57508363:-1 gene:gene19890 transcript:rna19890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 12-oxophytodienoate reductase MEEGKEVIPLLIPYNMGKFNLSHRIVLAPLTRTRSFNFVAQPHAALYYSQRTTKGGFLIGEASGVSDTAQGYPNTPGIWTREQVEAWKPIVGAVHEKGGIFFCQLWHAGRVSNYGYQPDGQPPISSTNKALQKEGSGSTKYPPPRRLTTDEIPKIVNDFRLAAKNAIDAGFDGVEIHGANGYLLDQFLKDKVNDRDDEYGGSLENRCRFPLEVVKAVVDEIGADKVGVRLSPYADYCGCGDSNPHALGIYMAKSLSQLGILYCHVIEPGMCTMFEKYDTNESLMPMRKIFNGTFIVAGGYNRTEGNNVLASNGADLVAYGRLFLANPDLPRRFELDTQLNKADKSTFYTNDPVVGYTDYPFLENAS >RHN40396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15931113:15931611:-1 gene:gene46544 transcript:rna46544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MESRGLLYLHQDSRLRVIHRDLKACNVLLDDEMNPKISDFGLARKFEKGQSQTETKRRIHGSRICYGWIIFSEI >RHN54156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7398508:7399026:1 gene:gene29147 transcript:rna29147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast envelope membrane protein MAKKKAFIPLTCLTSIVFLPWCISFTFKKSLESWITNWWNTKQSEIFLNIIQEKTILKKLIEFEELFLLDEMLKEYPETHFQNLRMEIYKETIQLIETNNQDRIHTILHFCTNIICFLILSGYSVRGNQELIILNSWVQEFLYNLSDTIKAFSILLLTDLCIGFHSTHQIIF >RHN64701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61114350:61120290:-1 gene:gene27547 transcript:rna27547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MLSRKSSEVREEKKVSESKCSSQENESNIGRDNGDMIERDEVKMVMEKMGFFCSSESEELEEKYGSKELCEVFEENEPSLEELKQAFDVFDENKDGFIDAKELQRVLVILGLKQGSEFENCQKMITIFDENQDGRIDFIEFVNIMKNHFCC >RHN81249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44346214:44347680:-1 gene:gene5262 transcript:rna5262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Type 1 galactoside alpha-(1,2)-fucosyltransferase MFKSTGSKNSSSPSTKSHADNDILLDGLLSSGFDKGSCLSRYQSYLYRKVSPHKPSPYLISKLRSYEDLHTGCGPHTRAYKRTMVKLSRFKSNVTTGCQYIIWTYANGLGNRMVSMISAFLYAILTDRVLLVEFNDDMVDLFCEPFPNSTWILPKDFPFSEHKGHHFETYQNILKKDRENNSTEFLLPSVMHLNLQHTSHDHEKFFSCDHSQYLLHKVPLLILRSDQYFVPSLFMAPSFNQELNKMFPNKDTVFHHLGRYLFQPSNDVWGPIKRFYKAYMDKADEKIGIQIRVFNPNLTPYQTIMNQVLSCSQKHKILPDFATNISEVSPSNNQILKSVLVTSLYPEYGENLRTMYLNKPTVTGESIGVYQPSHEENQKFGDNLHNKKAWTDIYLLSLCDVLVTSALSTFGYVAQSLGGIKPWVLYKLIDAKVPDSPCVQDVSMEPCFHIPPKHDCKEKPLDDIGTTFPYMRRCVDFGSGIKLINDLQ >RHN38806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2173258:2173940:1 gene:gene44774 transcript:rna44774 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTNFQPIKNHQPIKIHVFTCLSKKIGIGNLDIPTIKYWKRC >RHN50047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3515441:3516554:-1 gene:gene34295 transcript:rna34295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein MAASTMALSSSSMVGKAIKLSPSTPDLVMGRITMRKTTTKKTAPSGSQWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWAVQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHIADPVNNNAWAFATNFVPGK >RHN79435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28859640:28860855:-1 gene:gene3225 transcript:rna3225 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAEIVSVVLLVFLHFNFIVLLGLKIHYLLLLKITVLEKSFSCQCCIEGSFLKLKTLSWK >RHN65713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4669004:4669760:1 gene:gene13586 transcript:rna13586 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVASSLKACKLEELHKLEDCKLEDCLKSCILEHCKLDLEKMVVQNLYKVVTHYLEACKLV >RHN49814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1365746:1366007:1 gene:gene34035 transcript:rna34035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myosin ATPase MILYRQLSYLNEPSVLHNLRFRYSQDMIYSKVGPILIALNPFKDVQIYGNDYVSAYRI >RHN66188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9573027:9574978:-1 gene:gene14121 transcript:rna14121 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSHVAPLMSRLEPSPCARLLSISFLFTSVIPKLPCRTFSQNILLLETRTYIFHLETATLSTSIIPKFQIWNLQLELPCC >RHN50993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12726003:12727204:-1 gene:gene35353 transcript:rna35353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (+)-abscisic acid 8'-hydroxylase MGLINMLLCWILSLIFFLVVLSILLLMYLTRSPKEMEGIPGNLGWPIIGESLKFISDFSSPSGIFSFMNNRQKRYGKVFKSFVLGRFTVFMTGREASKILLTGKDGLVSLNLFYTGQQVLGPTSLLQTTGEAHKRLRRLIAEPLSLDGLKKYFHFINTQAIVTLDQWEGKKVHVLEEASTVECHKIRMKFDSLRSEI >RHN40046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12727238:12728074:-1 gene:gene46138 transcript:rna46138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSHPIIDNNAVDRVIFREYIGVKPYPKPFKFPDIDNYKIAEFHIILAFAHETYNEDGKGTGSFSADWDLDICGIQSVKDIKQKCPNVKLVFSIGGRGTKYPFSPIEKNYWCDNAVDSLKTIIKQYNDIFAGIDINYEHINTNDENDFSNYVGDVINRLKNEVGIDVVSIAPSHANDNYYKLLYSAHADDINWVDYQFYMQPIPTENEFLSLFLSLAREYALEKLLVGASTDPRDGGNVPLDVFVQTCTNLIKHKSLSGIFIWNANDYEKIALDILTNN >RHN71247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57574618:57575561:-1 gene:gene19903 transcript:rna19903 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLEKIVMHVDMDYSPPITRLEGDDYNKDNDNDDDKLGDFNNLKPTTIGKPPRHASSLRHSLSSNRLKLAASDLVCYSTFS >RHN54017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6248176:6249034:-1 gene:gene28992 transcript:rna28992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rapid ALkalinization Factor MASSTLLNLTLTLLLCLLLTLFNTHVDAQIEEPTLNLISDTLEWPTTMSSLYNDLEEDNEEDTDSDFSRRSLFWSRVKYYISYGALSANRIPCPPRSGRSYYTHKCYEARGPVHPYYRGCSAITRCRR >RHN43963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:371027:372446:1 gene:gene38005 transcript:rna38005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MDIGKNMKQGKGNKKIGLITKTWERCKSIGGGHKSKSYSSSVTPTTRRSKSWPGLPRGEENRRKKVAPEGCFSVYVGPQMQRFVIKTEYANHPLFKMLLEEAESEYGYSCQGPLALPCNVDVFYKVLMEMDNEAPLQGCTFGRSRSSYHLLSPSRMIVLNNF >RHN77299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5863008:5863155:1 gene:gene741 transcript:rna741 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSKLQIRMKEGVCELLFMKFWWICLLLHIRNFRSEILVIFVVYCCL >RHN79693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31307494:31308307:1 gene:gene3517 transcript:rna3517 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGMSMASQSLAMPPGVTNAGLRPDPVASSEIALTNPALWKTPEETLDDGENPRPSLRLFSQSCITLPQPAV >RHN71505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:621422:623510:1 gene:gene7113 transcript:rna7113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MRQRAMDFLRRPVRRRVHDALWWALCCALVLVFIYILITGTHIESRPALSKNLQDDRIMEDPNTTEEMLSSDSVTRHLNDQISLAKAFVEIAKESKNIQFAGELSAQIRNSQIFLSNAAISHSPLTTRDSERAIYDMALLLFQAQKLHYDSATMIMRFKAKLQALEEEVNSVREKNLKYGQIAAEEVPKSLYYLGVRLTTEWFKNLDLQKKLKDKRHVEMKIKDENLYHFCVFSDNIIATSVVVNSTAKNSKNPYMIVFHLVTDGINYAAMKTWFAMNDFRGVTVQVQKYEDFTWLNASYVPVLKQLQDSEMQRYYFSGNTDDGRTPIKFRNPKYLSMLNHLRFYIPEIFPELKKIVFLDDDVVVQKDLSDLFSIDLNGNVNGAVETCKETFHRYHTYLNYSHPLIRAHFDLDACGWAFGMNVFDLVEWRKNNVTGIYHYWQAKNADRTLWKLGFGYTKVDPRLIEKGVVLHFNGNSKPWLKIGIEKYKPLWEKHIDYSHPLLQECNFH >RHN75644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44057257:44057508:-1 gene:gene11878 transcript:rna11878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MSKPGKSVNVIAGSPNLAVYETDFGWGKPKKSDAVHLDSSGSISLSDCRGGGGGIKVGLTLERSRMINFINIFQEQLDNISSM >RHN48467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47667036:47674446:1 gene:gene43186 transcript:rna43186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin reductase (NADPH) MHWMLLNVLLVKLNEELAPSIFFLLINIILYLITSGRIFGFVFSTTGQGDTPDSMKVFWRFLLQKSLSQHWLKRVHYAVFGLGDSCYQKYNFVTKKLDKRLMDLGGKAILERGLGDDQQPSGLIYEGTLDPWLSSLWRMLNMIKPELLPSGPDVLIQDTTLIDQPKVQITYHNIENVVSHSSDTGSVRSMHPGKSSSNRNGYPDCFLKLVKNLPLTKPNSGKDVRHFEFEFVSHAIEYDTGDILEVLPGQDSAAVDAFIRRCNLDPDSLITVSPKGMDCNGHGSRMPVKLRTFVELTMDVASASPRRYFFEARCYAGVMRFFATAEHERERLEYFASPEGRDDLYQYNQKERRTVLEVLKDFPSVQMPLEWLIQLVPMLKKREFSISSSQSSHPNQVHLTVSVVSWTTPYKRKKKGLCSSWLAALDPRDAVSLPVWFQKGSLPTPSPSLPLILVGPGTGCAPFRGFIEERALQSKTISIAPIIFFFGCWNEDGDFLYKDFWLNHSQNNGVLSESTGGGFYVAFSRDQPEKVYVQHKLREHSGRVWNLLAEGASVYIAGSLTKMPTDVTSAFEEIVSKENDVSKEDAVRWIRALEKCGKYHIEAWS >RHN56620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32294017:32295973:1 gene:gene32050 transcript:rna32050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MNFSGDDMCHVVAMPFPGRGHINPMLSLCKFLISRKPNNILITFVVTEEWLTFINADPKPEAIRFTTIPNVVPPEREKTLDSFHRFYEAAMTKMEAPFERLLDQLELPVNVIIGDIELRWPVDVGSRRNIPVAPLWTMSASFYSMLHHLEVFSRHQHLTHDKLENVPGISSLHIEDLRSVVRGNHPRAMQLSLECISKVTKANYLLLTTVQELEAETIDTLKSIFPFPIYPIGPAVPYLDLEGKKTKNTDHTHDYIKWLDSQPTESVLYFSFGSFHSASNAQTDEIIEALNNSDIRYLFVARGETSRLKDKCGDKGMVIPWCDQLKVLSHSSIGGFWSHCGWNSTLDTVFSGVPILTFPLAYDQFPNSTQIVDEWKNGWKVEKSSKLESDVVFAKEDMEELVKRFMDLESQEGKKIRDRARELKIMCRKAIGKGGSSDRNLDAFISDIFS >RHN46069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28255839:28258021:1 gene:gene40509 transcript:rna40509 gene_biotype:protein_coding transcript_biotype:protein_coding MMASQTINEKFVELQKSKQTPQNSRPKIQRVAEYLRNRKNFEKHYSPKLLSVGPIHHDNTNLKLGEKYKLMWAAKYIENTGHIPEDLHKKIADNIDELKGHFGDDVLTLTGKSLEGFGSLEEKLSWMLFVDGCSLLYILEKAKLDEPGHMNIKVDQLVLVMMDVLLLENQLPYVVLKLLWKDNDSELIKSMMTFLDCHHWATPDESQPDKEKDMVPKGKGEGEHSVSITNESQLETPTHLLDLQRKIILTTSNSKTKSNEANNNKWSQKNSDENVKKNSKEDVKMMTYRSIQDLRAVGIRLKSSATRRPTDVDFSAGWFAAKLTLPEIVVDDTSAATFLNLIAYEMCPDFENDYGICSFAAFMDSLIDRPEDVKELRSKGILLNSLGSDEEVADFFNIISTDLVPNTKIYFEVREKIHEHYCNKCKTWIALGFHTYFSNPWAIIAFLAAFIALALTFIQTWFAVDPAC >RHN59604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12422980:12424492:1 gene:gene21655 transcript:rna21655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative catechol oxidase MASISPLSIISTIKISHSSSMYQFSQKQQKSSKHRKLPRRQVITFSGNISNQNNPKEEQELQNIVVGNRRNVLIGLGGLCGTFTTNPFALASPISPPDLSTCGPPDLPLGATPNNINFLRKPNNINCCPPNSTKIIDYKIPSSNQPLRVRQAANLVNDEYLQKYKKAIELTKALPSNDPRSFIQQANIHCAYCDGAYSQVGFPNLDLQVHNSWLFFPFHRWYLYFHERILGSLINDPAFALPFWNFDAPNGMQFPSIENVPHNTIHTWSGDNRQPNHENMGNFYSAARDPVFFSHHSNIDRLWSIWKTLGGKRKDFNDKDWLESEFLFYDENKNLVKVNVKDCLDTQKLGYVYQDVDIPWLNAKPTPCKKIQKKVEVAQGNSFGTGKARLSEINENLTNSRNDVKFPLVLDNTVSTKRSKKEKEEEEEVSAIKGIEFDTNIRVKFDVFINDEISCRFGLTDLLEDLGADDDDSVVVTLVPW >RHN65212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:260924:261894:1 gene:gene13031 transcript:rna13031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation initiation factor 1A (eIF-1A), RNA-binding domain, S1, IF1 type MPKNKGKGGKNRKRGKNEADDEKRELIFKEDGQEYAQVTRMLGNGRLEANCIDNENNIRKRLCHIRGKMHKKVWIANGDIILIGLRDYQDDKADVILKYMPDEARLLKAYDEIPESIRLNEGIAGGMDDDDADGDANDYVHFEDEDIDRI >RHN42357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37662083:37666432:-1 gene:gene48789 transcript:rna48789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MIAGKDLRNGVTDSDGENSRSKLSRPNFDPNKRYPDDKDAVFLRDSEMGGGRAGGSNQVSGGNSGFQELTLSYLCGNPRLKEIQGESFLSQKGKEVMVSENSNQDGGKWVERDFLSLSDSSKRSIEDDGERESNIRDKRPKVQTLDLSLGLPDVSLSLTASNAFQLNGDHQQPFKVKPSRPSTTHTSYSNDYTAPLSHSYSNAFSFSNAFSHNPSCSLTRNSTDNFDYSASKDDQIWNCGEGTNGSVHSRFKPIGDGIVALANPGNGTGVSSFMQPGNSSHYKTTSSENHSFFPSELPARHRFETHSGDSRGRNSESMRGFEGVDGGGNRNLSKPERIVREIIWESVPIFSLTIQELAEEVVTSTKEYLKNLIETKKEELVNLQSRLERRSDLSKETLSKGTKVQLEILVAVKMGLSSFLYGNLQLSELVEVFLYRRCRNVTCKSLLPVDDCDCKICSGNKGFCSSCMCPICLNFDCASNTCSWIGCDVCSHWCHAVCGIQKKLIKPGPSLKGPSGTTEIQFHCIGCEHASEMFGFVKDVFMSCAKDWGLETLLKELDCVRRIFMGSEDCKGKELHLKTDGLLLKLQAKIVSPSEACNQIMQFFNYAENMANFPASVFSSKELITSQSSLPKDTLSLPKSSSSIPNYAYESSYSTRPHSGAPSKELHQKDLKDSIFSELKNDDDLQLAALLSKGGIESLESIVRIKEAEAKMFQTKADEARRAAEGFQKMIRTKTAQMDEEYATKLSKLCLNDTEETQRRKLDEMKVVENSCVDYYKMKKKMQDEIDGLLARMEATKQHWV >RHN49527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55404857:55410804:1 gene:gene44368 transcript:rna44368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GH3 family protein MLERVKEFNMDKVIEEFELMTKDAERVQRETLKRILEVNASAEYLQNFGLDGRTDLESFKSCIPLATHKDLEPFINRILDGDDSPILTGKPITTMSLSSGTTQGKPKYIPWNDELFETTMQIYRTSFAYRNREFPIKNGKALNFIYGSKQFKTKGGLIATTATSNVFRNPSYKHAMKALKSQCCSPDEVIFGGDFFQSLYCHLLCGLIFREEVQLVCSTFAHSIVHAFRSFEQVWEELCNDIREGVLSSRVTVPSIRTAMSKLLKPNPELANIIHKRCIGLSNWYGLIPELFPNAKYIYGIMTGSMEPYLVKLRHYAGVLPLCTADYGASEGWIAANVNPKIPPELATYAVLPQIGYFEFIPLTQLENENTFLCVNPQPVGLTEVKVGEEYEIVMTTPTGLYRYRLGDVVKVMGFHNSTPELKFIRRSSLLLNINIDKNTEKDLQLAVEAAAKLLVEEKLEVVEFTSHVDLSKEPGNYVIFWEINGEASEEVLHECCNCLDKSFVDAGYTSSRKVNAIGALELRVVRKGTFQKILDHYLGLGTAVSQYKTPRCVGPTHNIVLQILSENVVKSYHSTAFN >RHN80965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42284977:42289193:1 gene:gene4952 transcript:rna4952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MRRHGWQRPLHPLQFVGMGIYSFLVVCFYTFLGLFLGNRTAEITLTSIFSFMAISVMFLFVRCTAIDPTDRTSFKKKKKKAKRNAIPKLNYGFIIGQIVVRFFRRVEKKLLRTFIQRKYLDPLKTSAQVEPLLPFPFVMKDDDDAVVPDLKEDDISFCTLCDFEVKKHSKHCRTCNRCVEGFDHHCRWLNNCIGKKNYTTFFLLMIFVLLMLIIEGGTAIAIFIRCFVDKRGIEKELHRKLFLEFPRGLLATICVFLLLLTAYSSAALGQLFFFHVLLIRKGMRTYDYILAMREENEAMELESFDDSDLSSDDSIDFDSPEKPTLMSRILCKGQSSPRLSIKIERDTEPSPLINTKRFHVSINPWKLVKLTREKALLAAEKARERLVRERPMREHSSLRPLPLETKCGPLTNADKNIGNEGSGSTPFIAKGELHVSPSRLSSPRRRFSAGTPSVFSSSTIASPQNKYRSSFDLKLAGVSRELETHISRQVLCSVISKDGNEPSPR >RHN74261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31238971:31246789:1 gene:gene10297 transcript:rna10297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MENSSSRSEQTVEDGKLYRHLNSLIVAHLRHNNLTQAATAVASATMTPFNVQAPPNKLLQLLSKGLAAEKDDLPRGISSSPFQDLGASLPLPRPGATTIDFSSLSDIKGSSKSFPKHETRHLSEHKNVARCARFSPDGRFVATGSADTSIKLFEVSKIKQMLLPDAKDGPVRSVVKTYYDHIQPVNDLDFNPQGTILVSGAKDQTIKFFDISKTNAKRSYRVIQDTHNVRSVSFHPSGDFLLAGTDHAIPHLYDINTFQCYLSANIPDANPNGAINQVRYSCSGSMYVTASKDGAIRLWDGITANCVRSITAAHGTAEATSAVFTSDQRFVLSCGKDSTIKLWEVGSGRLVKQYLGAIHTQLRCQAIFNATEEFILSIDELNNEVIIWDAMTTEIVAKWPSNHVGAPRWLDHSPVESAFISCGTDRSIRFWKEV >RHN39319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5980617:5981211:-1 gene:gene45329 transcript:rna45329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MRHHSCCNKQKVKRGLWSPEEDEKLIKYITTYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGSFSQQEVALIVELHNILGNRYIHYISSFVFFFLKFKSYSGMSIYYFLHHFHDIFPYTSRANNWYFIRTLKKKKKKEKVTCILKTQVKRKGLAITGSNF >RHN78966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20363027:20363656:1 gene:gene2635 transcript:rna2635 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGVGFRLTRKHSRCLRRGGHLLAHLEDLSLKHFSVCGDRIYLGILSLERESFRNPLELLKLFILTHHTDDLLQIAFHFISRMNIGWIESWSKRKGLGADLVRKYEDSETKICLPKVRSLARPCHPPGSLLLLLLRF >RHN81770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48748139:48754455:1 gene:gene5857 transcript:rna5857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferric-chelate reductase (NADH) MCSMMMKRHTIIFLRIIFLVMFLGWLSVWILLPTKIYKKIWAPMLQNKFNSTYFRGQGINLLFFTFPMMFIGALSCIYLHFHQEKITEKLPSRSGGALKRCLGFLRRPFLVMSPIGIVSAMELIFVLMFAALLIWSLSNYLHTGFDHLRMHKQDEKIWEAKLRSVSLRLAYIGNICLAFLFFPVTRMSSILPLVGLTSESSIKYHIWLGQLSMVLFAAHSIGFIIYWAITNQMIEMLEWSKTYVSNVAGEIASLIALAMWITSIPQIRRKMYEVFFYTHHLYILYILFFAIHAGVGSMCVIAPGVFLFLIDRHLRFLQSRQHARLLSARLLPCDALELNFSKNPSLYYNPTSLVFINVPKVSKLQWHPFTVTSSCNMETNYLSVAIKNVGSWSNKLYQELSSSSLDHLNISVEGPYGPHTAQFLRHEQIVMVSGGSGVTPFISIIRDLIFQSQQQEFQPPKLLLVCIFKNYADLTMLDLMLPISGLKTRISQLQLQIEAYITREKQEPSKDTQKQIQTIWFKTNLSDCPISAVLGPNNWLWLGAIITSSFVMFLLFLGIVTRYYIYPIENNSGEVYNWTYGVMWYMFSFCSCICICSSVVFLWLKRLNKLENKHIMNVEVSTPARSPGSWIYGSERELESLPHQSLVQATNVHFGSRPDLKKILFECEGKDVGVMTCGPRKMRHEVARICASGLADNLHFESISFNW >RHN42423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38232161:38233163:1 gene:gene48861 transcript:rna48861 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISTGHWLAYTLKQEETVALLLPLLAGKDLIPPNSAQTMSSPLTETLTALSISGHHPTRIYH >RHN70770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53898430:53899163:-1 gene:gene19387 transcript:rna19387 gene_biotype:protein_coding transcript_biotype:protein_coding MESYSLYFCVFLLVEKIQYRCVLLEDADVSKALIEYASRTGIEHLVLGSSTRANLLERFKVFDIPGTVSKMAPNFCTVYVINKGKIQSMRSASRPAPNISPLQVNQTTIELYQSNTTEMEEETHGSEGPRHADARTNDQSTILQVLDALQKLQGSVTQLEQTVATRIEAVGDRLEKVEDKVGILHQQAKD >RHN59233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8656121:8657663:-1 gene:gene21236 transcript:rna21236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MATLDPKLHFVLFPMMAQGHMIPMMDTAKLLAHHENVIVTIVTTPKNASRFTSIIARYVESGLHIQLIQLEFPCKEFGLPEGCENLDMLPGLALASNFFNVSKLLQQEVEKIFQELTPPATCIISDMFLPYTIHIARKFNIPRISFAPVSCFWLYNIHNLHVSNIMEIMANKESEYFYLPDIPDKIQMTLAQTGLGSTKINEALKQFNEDMLEAEMSSYGIITNSFEELEPTYATDFKKMKNDKVWCIGPVSLSNIDDLDKVQRGNSNKVLVHEWKHLKWLNSHKDESVIYACLGSLCNLTSLQLIELGLALEATKKPFIWVIREGNQLEELEKWIEESGFEGRINDRGLVIKGWAPQLLILSHPAIGGFLTHCGWNSTMEAICAGVPMVTWPLFGDQFFNECLVVQILKVGVKIGVKSPMQWGEEEKSGVLVKKEDVERGIEVLMDETSECKERRKRIRELAEIAKKAVEKGGSSHSNVVLFIQDIIKIKNKVTKTI >RHN55490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18948313:18950409:1 gene:gene30678 transcript:rna30678 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKNINKITVRMKTRWYLISSKPCQDNQQCLRITAIAQISKNS >RHN47025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36537903:36541844:-1 gene:gene41571 transcript:rna41571 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPQDPPRSFFPFGNPFRMIAPKGTKLPPQLLAVLSDFEATLEERLKNLIPKSKDEVVSLSWMTSAMQALCESHNDIKSLMTNLELPVTDWDEKWIDVYLDISVKLLDICIAFSSELSRLNQGRLLLQCTLHHFGSFSSDQLFQAYSSLDGWRQHIGSKNPRIENCGSVLDNLAGSSDLPKVKKSAKGKVLMQAIYGVKALTVFVCGVFATAFSGSTKNLMDMDVADVYSWAPTFKGLQNLVNEEIRVRFSSGRFTVLNELEAVDLSVRELYPIIQGVVDTIETESLAKTVEKLGRATENFSQGLDLLTKEVDGFFQVVLSGRDALLSNLRSGATVNDHILRGNKDAQVVN >RHN49794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1179227:1179863:1 gene:gene34011 transcript:rna34011 gene_biotype:protein_coding transcript_biotype:protein_coding MELWPVCFFAVVQRLKNMWALHILSPSPLFLTTTKLEKCLLSLLSLSSTSLSFFSFTKHSVKYLVDRCNDENKFEEQFHPHYFRVGGLRVE >RHN56070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27364071:27369785:-1 gene:gene31396 transcript:rna31396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan 1,3-beta-glucosidase MMTNSMNIKLWFLNLIMLFCMILSMTHGRPINPEFRVKAVNLGGWLVTEGWMKPSLFDAIPNKDFLDGAGLQFKSVTTKKYLCAESGGGTILVANRSSASGWETFRLWRINKETFRFKVFNKQFVGLDGYNVVAVSNSSIDSETFHIVKENDNSTFVRIKASNGYFLQAKTETLVTADISEVRGWKDDDPTVFEMTIAARLQGDFQITNGYGPIKAAQVMKDHWSSFIVEDDFKFIARNGLNAVRIPVGWWIASDPTPPWPYVGGSLHALDNAFSWAKKYGLKIIIDLHAAPGSQNGFQHSSTRDGSQEWGQSDENIQQTVDVISFLTARYTKNPSLYAVELLNEPLSPGVTLETINKYYKAGYDAVRKHSTTTYVVMSNRLGPSEPKELFPLANGLMRSVIDVHYYNIFDDSFENMSAQQNIDFIYNNRSSELNFITTSNGPLTFVGEWVSDWRVKDATKEDFQRFGKAQIEVFGEATFGWSYWAFKNANLHWSLEWMINNGYIKL >RHN74334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31921506:31922287:1 gene:gene10375 transcript:rna10375 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLFDIADSLLEKLASYAHEDLQGIKDTLSIVKCVLLDAEDKKERNHGLREWLKQIQDICYNAEDVFDDVECQNSRNQLVQASGSKRMKVGHFFSLPFFRLRIAHRIKDVRDRLDKVVADGNKFGLERMDFVVEKREMTYSHVVL >RHN61494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35891898:35896520:-1 gene:gene23966 transcript:rna23966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative choline transporter MDDPNNKDSSSSSSLLSQPFLPKPSIITTYPTLEDQDSNQESDSNQQYLHITYNHGPRSFKDLPFLILFLLFVLSTFAFGIFSIFHRNNNYSTLNSYTYDTSTTSCINPSFSSNFFTNNFLSLSSPFVKDLIWTLVITLILSLPICWLLLLLLKHYTKHLVYASIPFFILIPIFLNVYWFVACTIKTSCSENFPMVYRILVLVFVFLVIGVIVWILVINWHRVELTVSIIGVASDALSWNMGLFGVLPCLTIGLVVYYVPIVVFLVFAKYNGKVVPKKLHSEYECVWKEDSWVPAYFALAILTMLWSAAAMLEAQVYVISGTIARWYFTKDFEAPTKSIRTSLRNAFGPSSGTICLSGLLIFVVRVVRSVVDNARQEAAPGLVNIVLRCCVNALLTAVDFLNKFTINFAAITGEAYCSSARMTYELLRRNLLSAVFVETISSRLLVGIVFVLSAIYTIVVCVILKAATNLGSDAYFVAAAAWLLLIIVLGFLVHVLDIVIDTIYVCYAIDRDRGEVCKQDVHEVYVHLPISRSLRQSNITRTLGV >RHN70003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47909971:47919370:-1 gene:gene18541 transcript:rna18541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MDVFMLLVSMILDSSVHRRVPLQVFGHEKKVVRISAGYNHSSAITVDGELYMWGKNTTGQLGLGKKAPNIVPLPTKVEYLDGITIEMAALGSEHSLAISDGGEAYSWGAGASGRLGHGHESSILGFFKSYSEYSPRLIKDLEGIKVKYVAAGMLTSACTDENGSVFIFGDKGIDKLLLKGTSNTTKPSLISELPYAEEVACGGYHTCVLTNFGELYTWGSNENGCLGIGSSYAIHLPEKVQGPFLESSVVQVSCGWKHTAAISGGRIFTWGWGGSNGTFSDDGHSSGGQLGHGSDVDYINPSRVCFAEDVKALQVSCGFNHTGAIFEYA >RHN39699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9683824:9684607:-1 gene:gene45748 transcript:rna45748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MAHLDESSTNQAKFSLTIAKHLFSKESDKNVVFSPLSLQVVLSIIAVGSDGPTQQQLLDFLRFKSIDHLNFFVSHLLYVLLKDATSSGGPHLSFANGVWVEKTLSLQPSFKETMSTDYKAALSSVDFRNKAIEVTKQVNLWAEKETYGLIKEILPQASVDSLTRLIFANALYFKGAWSNPFPAWRTSEYDFHLPNGSSVKVPFMSSNLDQFIRAFDGFKVLRLPYN >RHN69397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43128830:43130199:-1 gene:gene17862 transcript:rna17862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pollen allergen Ole e 1 family MARIVLLLMALSLISILPLLATAMFHIKGCVYCDTCRAGFETNATFYIQGAKVGIRCQDRKTMDEVFYTEGVTDSTGTYNIMVENDHHDNICKTVLVSSPISSCNTPDPGRNRSSIVLTHYKNGVLNHLHYANAMGYFKDDPLPQYCHQLLKYYLSDSDV >RHN80316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37120020:37122432:1 gene:gene4224 transcript:rna4224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sieve element occlusion MACKAPGEEIAYKTTLAILNKLSNYSWVAKGVLTLSAFALEYGDFWLLSQYLPTEPLAKSLAIMKRVPQLTKPESLKKHRYAILEVNNLIKATWQVIDIIIELERLNSRHDIKEVPALAPALEQFPVDVYWVINTIVAIVTQIECLTTDSEERQDLSQFGQKINIIISKLRKHVSQITIQIDEAEYNKLLKKLFQTPTEIMEVFKVLIFWKDTPQTPIYCGSTKTLVNIDVLKKKDVFLFISTLDICQEDISTMIRIYDHIQKTGSQHQIVWIPIVEEWNDRGRKKFDSLKSKMPWYVLHHFATIKGIRFIKEELHFKLNPLVVVLSTQGKILHQNAFHMIHVWGVKGFPFTKTKEESMTQELMWVDSVLVGIDIKIKWREDDIVIICGGKDKEWIQQFTKYFGALVNDATIKQTNTSIELICLESQQQNVVNTFWKKVESLFVTKMHEKTNSVTQQVEKLLSYKNESGWAIVTKGSIVIAVGHGTTVLKTFAEFGTWKGDVSTKGFEHSFREYHNTIASSVHICSHLEIPNVDGKIPDFIKCPDCHRTMEVYISYKCCHNG >RHN77441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6883908:6887697:1 gene:gene893 transcript:rna893 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRALADLGIPSYEPAKSDQGDYSGVQCRLAKSHRQDSSLVCADLKTEVTSYVIDGDTS >RHN41651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31793325:31794869:1 gene:gene47994 transcript:rna47994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin activation peptide MDFSSLLLHVFLIVSFVYPIHSCHNQLNNQAFLGENEFHKLNKTMITSHLQRINKPASPDGDIIDCILTHKQLAFDHPLLKGQKPLDPPKRSRGVHQTGNFSNIFQLWTLSGESCPEGTIPVRRTTEQDLLRAGSITQFGRKYIDRDSYEVCNHINSFFFFINFSLSFLLVSFSYGN >RHN81774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48794328:48796046:1 gene:gene5862 transcript:rna5862 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEMKNKLVRRIKKMLPCSVCRSSSPNHQTHVDVREEYANAFRTESYVEFWTRVVSYSNGPQRRSCLSREESTTSTRLPSYRLFAEHLLDPDQPTVTQILTQTKLQPEIQSLLLDYFAYTSNASVLCSHLLKDIDGVRVKYRSLNTILQCVTTNQIPSPKVVAHLIEFSNSLNPFSTSGPSPCRVRSTLCQCSELQKQLESSRDNARAKLKMVTMFEHCSTCVVVVITASLVVLVMAHGFALLVAMPGLALMNLGSKRKLTKVTAQLDAAAKGTYILNKDLETTSRLVARLNDEFEHIRTMVKFWLERKEDKIQVDGEVGRLLKKNQCNFSDLLDELEEHVYLCFMTINRARDLVLNQIDASA >RHN77949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10766535:10766840:1 gene:gene1459 transcript:rna1459 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSKEQSKLIRLKDLMPSYPRKTPQLPISELRGWQYMKLKEPIVKDGVKVYWETKRLANEVEDPKVVTPNQGVVKLFNIYVVNVIKRLIDMIVAKVSCK >RHN72248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6114000:6117646:1 gene:gene7940 transcript:rna7940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MGSLSPEPPSSSFWLNWRAVLNSGERNWLDLPKDVVLTIFEKLGTIDTLHRAHNVCTTWRKISKDPFLYHTIDMPNIGTDLGTDEYLELLCQRAVHYSSGHVTDINIEYFGTDDLLRHIANSASQVRRLRLLCCYGVTDEGLCEVAEKLPQLEELDITISSLSNEPLEAIGRGCPQLKTFKFNIEGYRRPHFVCDDVAFSIAETMPGLRHLQLFGNKMSNDGLRAILDGCPLLESLDIRQCFNLSLRGSLGKKCREQIKDLRLPYDATEDYPFQVIAYDYGSPDEDEDYPSGISDMDLLSDDDYEYDEFSGSEFSEYDSDGVYSYDDDF >RHN54688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11311123:11324844:1 gene:gene29762 transcript:rna29762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein ENHANCED DISEASE RESISTANCE 2, PH domain, START MSSKVVYEGWMVRYGRRKIGRSFIHMRYFVLESRLLAYYKRKPQDNQVPIKTLLIDGNCRVEDRGLKTHHGHMVYVLSVYNKKEKNHRVMMAAFNIQEALIWKEKIEYVIDQHQGAQPSNGNKYISFEYKSGMDNGKTASSSDRESQFSAQEDEDDEPHSNLLRRTTIGNGPPESIFDWTREIDSDLSNQNVNNQAFSRKHWRLLQCQNGLRVFEELLEVDYLPRSYSRAMKAVGVVEASCEEIFELVMSMDGTRFEWDCSFQEGRLVEEVDGHTAVLYHRLQLDWFPMFVWPRDLCYVRYWRRNDDGSYVVLFRSREHDNCGPQPGCVRAHIESGGYNISPLKPRNGRPRTQVQHLMQIDLKGWGVSYIPSFQQHCLRQMLNSVAGLREWFAQSDERNAPPRIPVMVNMFSTSVTSKKSQKTNDISVNSTSLDQNAANRNSVLMDEYSDDDEDFQIAEPDQDAFQIGQSDVRKTALDEEPDDEIDLSSFSGNLRRDDRDNARDCWKISDGSNFRVRSKHFCYDKSKAPAGKHLMDLVAVDWFKDSKRMDHVAKRPGCAAQVASEKGYFSIIINVQVPASSHYSMVFYFVTKELVPGTLLQRFVDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPKYLEIDVDIGSSTVANGVLGLVIGVITTLVVDMAFLVQGNTPDELPERLIGAVRISHLELKTAVDPKLDPDPL >RHN61435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35493476:35493733:-1 gene:gene23904 transcript:rna23904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPSIIKRSSSSKSVGVPKGYLAVYVGKDMKRFVIPISYLKQKSFQELLNQAEEQFEYDHPMGGLTIPCKEEVFLDITSNLN >RHN72241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6045636:6049330:-1 gene:gene7933 transcript:rna7933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MAMGINIIIAKRLFNLRSVYSTSLFYSTIPTTTPSKTPLEKQFETWINHLKPGFTPTDVNHALTSQSDPDLALDIFRWTSQQRSYKHTSLTYHTIITHLATSRHYQQAETLVEEVLAGACEPTLPLFNTILHFCCSRKFLFNRAFDVYNIMLNSQDAKPNLETYTLLFNSLLRRFNKLNVCYVYLRSVRSLTKQMKAIGVIPDTFVLNMIIKAYAKCLEVDEAIRVFREMGLYNCEANAYSYSYIAKGLCEKGRVEQGFGFYKEMRVKCLVPSTSTYVIIVCSLALERRFEDAIEVMFDMLGNSRSPDCLTYKTVLEGLCHEGRVDEAFELLDEFKKRDVGMNERMYKTLFNDLQFVCRD >RHN47224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38074958:38075797:-1 gene:gene41793 transcript:rna41793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prenylated rab acceptor PRA1 MANHATKHRTQTKPTTPSSISETYQPKNPHEKLYTDFKIYFPFSIPLTSEAAAIRVIRNIENLGLYYTLFVWIILFIILIPHHKTSLILLVIMTYVITIYHLLLRACPNNVVLHRRVDKRFVLCVLVFATIVQLILTDAGIRLAVTLACAVPLVLVHAVLWVSHYVFEVEDVSCCCCNKELAPLVGHSEFGGDGLENV >RHN41900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33991370:33993080:1 gene:gene48267 transcript:rna48267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucosyltransferase MDLYKPTHIVLLSSPGLGHLMPIIELAKRFQIHHNFKLTILAITSQTSHTESHILKSATNPSLYNIIQIPSPNISSLLPVSATVCTRIFLTMRHSIPSIKSALTNLTLPPSALIVDIFGTEALPLALELNIPRFIYVASHAWFLSLYVYSPVLDKQIQGPYIEQKEPLKIPGCKSVQPNDLVDPMLDRYNLEYKEYLTVAKNFSKSDAILVNTWDELQHRELKALNDGDGELSSLLKVPVFAVGPLVRQAESEIGQASESVIQWLDKQPKESVVYVSFGSGGTLSNEQMNELAFGLELSEQRFVWVVRACASTTEAVDAAFFTTGSGGDGFGDELDDQIGKHLPEGFVERIKNKNVGLFLHEWAPQVTILKHPSIGGFVSHCGWGSVLESLTNGVPIIAWPLYAEQRMNAALLVEELGVAVRTVVSPGKNVVEREEIASLVRKVILVDQNGKRNHVRERVKEVRVSAEKALLQGGSSYNALSHVAKIINKQDFVCVSKNMDTDGDDIKDRKNQ >RHN67816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30471392:30472522:1 gene:gene16052 transcript:rna16052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MSSSTLQWLSLVGIIWLQAINGTNTNFPAYSSQLKQLLSISQVELNNLAFASDAGKLFGWFSGLAAIYLPLWLVLIIGSFLGLIGYGLQYLFITNQISSMSYWQVFLLTFLAGNSICWINTVCYVVAIRNFIFDRQVAVGLTTSYQGLSAKIYTNIVAFIFPNKKAETFLFLNSLIPVVVGLIVAPLAREIEVKNPKHSSVCFAIMFVITIATGIYAVMSSLQYFTNKISSLGVLIGILVSLLLPLLLPISLKIKELLESWHRKRERLRIYHFTMEENISEERIENEAKEGEDSCEIQEIGVMEEIGVKLMLRRINFWLYFFVYFFGATIGLVFLNNLGQIAESRGCSATSSLVSLSSAFGFFGRLIPSLLDYFYR >RHN81575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47197517:47197915:1 gene:gene5644 transcript:rna5644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MLRGFYKLNVDAASPIEGDKWGIRIVVRDNEGVVVGASFWQIFSLPDSEVAEALAMRKGLEFAKDMSFLNLIAESDASNVVLALNAHQQSPNYAGSIIQDCISLKGSFRSLNFLHVRSEACNTPFSQRKNFI >RHN79558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30114080:30116041:1 gene:gene3369 transcript:rna3369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MDNLPVEVIGNILSLLGSARDVVIASLTCKKWRQAWRYHLHTLEFITFDWPVYRELSSSTLEIIITQTIFQTKALRCLTIKMDDVHEFSAASVIAWFMYTREDLRRLHFYVNTPPMFNIIEKCARQKLEVLVLGQNFITRVEPSYLKFPCLKSLSLSFVSISALDLSLLLSVCPRLETLALVSPEIAMSDSQASMELSSSSLKEFSVESFGLDKFVLEADLLECLHLKDCTFEVFEFIGKEGLKVLKVDDVSVIHLDIGENADNLEYVDISNFTIMWPKFYHMISKASKLRRLRLWEVVFEDDDEVVDMETISVCFPQLMHLCLSYDLRDGVLNYGLQGFSLMRNVAVLELGWTSINDLFVEWVDGLLERCPNLKKLVIHGFVSEVKTHEECQILAKFTEFIIQLGREYMDIKIEFEYE >RHN50821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10815241:10820289:-1 gene:gene35156 transcript:rna35156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MVNPIKAAIGDFVLTFTWMFISSTLGLVTTEIMKAFDLQFVTYNGVNYPFIIITTLLIFIIIFTFTAIGNALGGASFNPTGNASFYAAGLGSDTLISMAIRFPAQALGAVAGAKAIKEVIPPKYSHMIGGPALKVDLHTGAIAEGVLTFSITFAVLFILLRGPRSEFMKTLLMSMSTVAVILIGSAYTGPSMNPILAYGWAYLDDWHHTWDQLYVYWICPFIGAILAAWIFRAVFPPPQVVKKQKKRKEA >RHN55909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25358848:25359296:-1 gene:gene31194 transcript:rna31194 gene_biotype:protein_coding transcript_biotype:protein_coding MWMWYSNHFDDSYKRETYRETVLEMSILDGTYENMKDTCNMFIWADEVEEIDDTDEIEDVDDVGCKDVSVIALGIAEESRKKNVKLYKRFNQERMKGKVSLTLLIVSLIINLVLVMKLMF >RHN51484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18722863:18723555:1 gene:gene35925 transcript:rna35925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Hemopexin-like domain-containing protein MTKSGYINAVFRSSRKNEAYFFINDKLLLLDYAPGTSNDKILYGPIFVRHGFPSLDNTKFGSNGIDCAFDTDDNEAFVFYQGLCAKIEYTPHTDKDKIISGSMKIAEMFPFLEGTGFEHGIDAAFRSTLNKEVYLFRGDKYARIDYGTNSLVQIIRDINSGFTCFRDTIFEKGIDAAFASHIPNEAYLFKGDNFVRITFTPGRSDDYIMGGVRSTLDVWKSLQDIIPLKN >RHN49554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55611652:55611807:1 gene:gene44396 transcript:rna44396 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRPLFTRRGSQKMVASVLFERLPVVIPKIDPIVYAFQLILSSALISNK >RHN81567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47099649:47103733:1 gene:gene5633 transcript:rna5633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SNARE associated golgi family protein MAAPRSFTALKDEESGGSMEQLEDDSPSSKKPKLEKFPLNSWEFAVAVAVFFLFSTALFCIYLTMPATTSANLKLPRTLSDLRTLKDQFSTYANENPVQFIIGYCSTYIFMQTFMIPGTIFMSLLAGALFGVVRGIMLVVFNATAGASSCFFLSKLIGRPIVTWLWPERLRFFQSEIAKRRDKLLNYMLFLRITPTLPNLFINLASPIVDVPFHIFFFATLIGLIPASYITVRAGLALGDLKSVKDLYDFKTLSVLFLIGFVSILPTVLKRKRVYE >RHN66193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9613114:9613563:1 gene:gene14129 transcript:rna14129 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQLRQLYLRLFWKRHSMVQLQFANFHWDHLYLIGLRSNVHIPI >RHN64029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56020967:56021352:1 gene:gene26804 transcript:rna26804 gene_biotype:protein_coding transcript_biotype:protein_coding MECSKRKIRIFALLLFCLKIESVSCFAELFNHSWGSSFAVVSFWFCVFAYHIISYVLAWLSKTLLVWLFSCW >RHN55973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26218391:26226096:1 gene:gene31274 transcript:rna31274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxysterol-binding protein MHPFCCISAVSDHQSPAHLSMPPLPSSTSPRSESSSAAISANGGGDCSRGSQLAVVHTAVDVRINDLVGNGISGILQKWVNYGKGWRHRWFVLQDGVLSYYKIHGPDKIVINPETEKGFKVIGDESMRIINRNRNSHHSQNWRKPFGEIHLKVSTIRESRSDDKRFSIFTGTKTLHLRAETRDDRVAWVEALYAVKDMFPRMSISELMAPVDNTAVSTEKLRHRLMEEGVSEEAIQDSEQIMRNEYAALQNQLLLLKQKQLALIDNLRHLETEKVDLENTVVDESQRQWNGQQASSMMRQEKFSEVSASGSEDDNERNDAAEEETDDDDTPFFDTRDFISSSSSVRASSFSSDDEGISVVGSEGDIDPSIRSVGTNYPHVKRRKKLPEPVEKEKGVSLWSLIKDNIGKDLTKVCLPVYFNEPLSSLQRCCEEMEYSYLLDRAYEWGKRGNTLMRILNVAAFAVSAYASTDGRNCKPFNPLLGETYEAEFPDKGFRFISEKVSHHPMIVACHCEGTGWKFWADSNLKSKFWGRSIQLDPVGILTLEFDDGEIFQWSKVTTSIYNIILGKLYLDHYGTMRIQGNQEYSCKLKFKEQSMIDRNPHQVQGTVQDRNGKTLATLMGKWNDSMYYVNGEYGGKGKGYESLPEAQILWKRSKPPKFPTRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRYLENGEYEMGNSEKLRLEQRQRQARKMQESGWEPQWFARDKTSGTYRYVGGYWEARQQGSWNSCPNIFGQIPSEHLLDEGSTTL >RHN71659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1717927:1721202:1 gene:gene7283 transcript:rna7283 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNAKNMDGGAIPLPLPPLFRTLISSLFTTTAVDKTTTFLSFSVNYKLIQTLRFIILTFYLFLLRFIPSFFFIENYEHSAIKTQNNFTHDTRNDTAIGRALSQLLSALNDIPVSSRKYEVVRSLTEKIIDDNHLDGVHSLREVNRVALSSAFGRALGQLEVKVAERDQGEGEEGDGGEWYRMVKRRVWRVVGWRVKGGEGGVPAEKLAAELVWMVKKMVECGFADEALRRWAVADNLGFLALTADPRLQASLVKLAAFLFKEAKDFGADEIEESKMKQCIQVKLKMLQTWLPLLCRASNGSDAPALSINERAELERVLEGIIERLEQEKQEQVLSLWLHHFTHCSSTDWPNLQSCYARWCCKSRKQLLLSSEN >RHN81121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43450411:43459157:-1 gene:gene5120 transcript:rna5120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase transcription factor C3H family MASPPSSPSPPPSPTPSITPSLLQSTFSHLPVMSMRKKIIDKIQQNRVTLIIGETGCGKSSQIPQFLLEENMTPILCTLPRRFAVVSVAKMVAKARNCQLGEEVGYHIGHSRHFSASSEIVFKTAGVLLDEMQEKGLTALKYKVIILDEVHERSVESDLVLVCVKQFLLKNNDLRVVLMSATADISRYRDYFRDLGRGERVEVLAIPSSNQNMLFQRSVSYLDQVAETLGITSEIMHSKYSSCLNPSISNAYIKSELHSLIHELVLHIHENEPDIEKSILVFLPTYYSLEQQWRLLKPLESTFRVHILHGSIDTEQALMTMKIWKSHRKVILATNIAESSVTIPKVAYVIDSCRSLQVYWDKSRKKDCSALVWVSKSQAKQRSGRTGRTCDGQVYRLVPGSFYNDLEDHETPVILKLSLRLQILSSCCAGSKAINDPKVLLQKALDPPDPQIVEDALSLLVQMGALEKTPTRGRYEPTFYGRLLASFSLSFDSSVLVLKFGDIGMIREGILLGIMMDTQPLPIIHPFGEDELFAKYIDCYYGDRTILAGRKEMEFMANFCAFQFWQHIFKDKYRLEHLKQVLKSDDVYPDTHLMLKLEEDWCFFHNLYQSSLHQMSEIYNDILYTIHRFRPKFLSSFHGLVPYYDPYEFSHTCLFKSQPDGHSDVVSVDEEGFEPSNQTKKCVAVPYVTLNHLNSYEVAKMFAAIVKETRAQYPDDSSSHPPEDADVGNFHVYGEVSPCVYFMRGSCSRGNSCSFSHTLQAKRPQCKFFFSLQGCRNGGSCLFSHDVDRPAVSARKNICRPEDNAMNSASLLNLFPKSSNRSILILDDTDLHFSSCFACHYDPSKIISTTSLSETTFTEPSLTGVRILWGLYHPYQTIIAKAGRSLIPWNEVQCVLWFPCFDSYGEDLDGKKKALQNFFQYLAFRILADDLQEVQVIITMNNIRFSQLQVEKLARDCFFILTESFAFDEISFGILHDSVTNRRPMVVSRSISYVFSLQPPTDELCGDYVATMKRHLHKIQKN >RHN57276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37762586:37772385:1 gene:gene32798 transcript:rna32798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MRLICICIAWLILITQIIMVTCKTKNHVPAVIVFGDSSVDSGNNNRIATLLKSNFKPYGRDFEGGRPTGRFCNGRTPPDFIAEAFGVKRNIPAYLDPAYTIDDFVTGVCFASAGTGYDNATSDVLNVIPLWKEIEFFKEYQEKLRVHVGKKKANEIISEALYLISLGTNDFLENYYIFPTRQLHFTVSQYQDFLVDIAEDFVRKLHSLGARKLSITGLVPIGCLPLERATNIFGDHACNEKYNRVALQFNAKLENMISKLNKELPQLKALSANAYEIVNDIITRPSFYGFEEVEKACCSTGTFEMSYLCSEKNPLTCKDASKYVFWDAFHPTEKTNLIAANYLIPKLFAAFR >RHN68590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36837031:36849230:-1 gene:gene16960 transcript:rna16960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ligase MASAGRGNGYLNGVLPSRHPATTTEIDEYCNALGGNKPIHSILIANNGMAAVKFIRSVRSWAYETFGTEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLILEIAEITHVDAVWPGWGHASENPELPDALKAKGIVFLGPPAISMAALGDKIGSSLIAQAAEVPTLPWSGSHVKIPPESDLITIPDEIYRAACVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLICDQHGNVAALHSRDCSVQRRHQKIIEEGPITVAPPETVKELEQAARRLAKSVNYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLWQIPEIRRFYGMEHGGGNDGWRKTSLLATPFDFDKAQSTKPKGHCVAVRVTSEDPDDGFKPTGGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYTIDLLNASDYRDNKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASASSAALVSDYVGYLEKGQIPPKHISLVHSQVSLSIEGSKYTIDMIRGGPGSYKLKLNQSEIEAEIHTLRDGGLLMQLDGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLIGETPCKLLRYLVADDSHIDADTPYAEVEVMKMCMPLLSPASGIIHFRMAEGQAMQAGELIAKLDLDDPSAVRKAEPFTGSFPILGPPTAISGKVHQKCAASLNAARMILAGYEHNIDEVVQSLLNCLDSPELPFLQWQECFAVLATRLPKDLRNELEAKYKEFEIISSSQTIDFPAKLLKAIFEAHLSSCPENEKGALERLVEPLTSLVKSYEGGRESHAHKIVQSLFEEYLSVEELFSDNIQADVIERLRLQYKKDLLKIVDIVLSHQGVKSKNKLILRLMDKLVYPNPAAYRDQLIRFSQLNHIVYSELALKASQLLEQTKLSELRSSIARSLSELEMFTEDGENIDTPKRKSAINDRMEDLVSAPLAVEDALVGLFDHSDHTLQRRVVETYIRRLYQPYLVKDSIRMQWHRSGLIATWEFLEEHVERKNGVEDKTLVEKHSEKKWGVMVVIKSLQFLPAIISAALREATNNFHDPLKSGSGDSSNHGNMMHIGLVGINNQMSLLQDSGDEDQAQERIDKLAKILREQEVGSIIHAAGVGDISCIIQRDEGRAPMRHSFHWSSEKLHYVEEPLLRHLEPPLSIYLELDKLKCYENIRYTPSRDRQWHLYTVVDTKPQPIQRMFLRTLIRQPTTNEGYSSYQRLDADTSRTQLAMSYTSRSIFRSLMGAMEELELNSHNTTIKSEHAHMYLYIIREQQIDDLVPYSKKINIETGQEETTVEAILEELAQEIHSSVGVRMHRLGVFVWEIKLWITACGQANGAWRVIVNNVTGHTCTVHIYREMEDAITHKVVYSSVTLKGPLHGVPVNENYQPLGVIDRKRLAARKNSTTYCYDFPLAFQTSLEQSWSIQQTGIQKANDKDLLKVTELKFSEKDGSWGTSLVPAERVAGLNDVGMVAWLMEMCTPEFPSGRTILVVSNDVTFKAGSFGPREDAFFRAVTDLACAKKIPLIYLAANSGARLGVAEEVKACFKVGWSEESKPEHGFQYVYLTPEDYARIGSSVMAHELKLESGETRWVIDTIVGKEDGLGVENLSGSGAIAGAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSSILKWLSYVPSHVGGALPIVKPLDPPEREVEYLPENSCDPRAAISGTLDVNGKWLGGIFDKDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMQIIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAILDFNREELPLFIIANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPIFVYIPMMGELRGGAWVVVDSRINSDHIEMYAERTAKGNVLEPEGMIEIKFRTRELLECMRRLDQQLITLKEKLSEAKSNKDFGAYDSLQQQIRFREKQLLPLYTQIATKFAELHDTSLRMKAKGVIREVLDWRNSRSVFYRRLHRRIGEHSLINSVRDAAGDQLSYVSAMNLLKEWYLNSDIVKGSEDAWLDDEAFFRWRDDTSYYEDKLKELRVQRLLLQLTNIGDSALDLQALPQGLAALLSKLEASSRDKLTNELRKVLG >RHN62658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45013555:45020385:-1 gene:gene25255 transcript:rna25255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MGSNTEESSFTTFKRNNGHVVFRLLCHASRIGAFIGKSGSVIKSLQQLTGARIRIDDAPVDCPERVIVVIVNLNGDGDVSLNPQEALLKVFERILDVAAAESDGNGVGDRVVSCRLLVNAGQAGGVIGKGGMVVAKIRADTGCRIRVLNDKLPACTKPSDEIIEIQGIASSVKKALVAVAGRLQDCPPLDRTKMMGTRPYEAFQNETSAVPHEGLTDLNMDFRLQRSSAISTSSIRSNGVPSKSHPLSVEDNRVSSLDPEALKQEVTFRILCSGDRIGAVLGKGGSIVKALQNETGANISVGPPVVECEDRLITITALENPESRFSPAQEAVVLVFCRSIECCIEKVVDWRSNKESSVTAQLVVPSNQVGVLLGKGGAIVSEMRKATWTSIRITRNGEVPKCASFNDQVVQISGELPNVRDALYNATRRLRDHIFLIAQNSGGTGPYRRPRDSIPLGLGGQSVVGSNHGPSIHSLSQSMDHLTLSRNSGRSASSGVWAPKVVGGKNSRYTDDAGRRLNPREGDLELASGSNTVIGNAAIITNTTVEIMLPNDIIGSVYGENGSNLDQLRQISGAKVVFHEPRPGTSDRAKIVLSGTPDETQAAQSLLQAYILNGSS >RHN54929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13644332:13645056:-1 gene:gene30030 transcript:rna30030 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGSNSKSLNKRSWNPLNYSKDRFNFVPNTDHNPKNLNSWAKKTDFVLDYSGEVGSSESEKFELFQSRGKGSSSSPEIEKDSTVERIEHDKDIEIQPAKARCCLWLKCLGV >RHN73639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18413951:18417836:-1 gene:gene9476 transcript:rna9476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TMEM192 family protein MATERFSSRPPTSQEENALFLDILHEAPLFAHRKPARIFGSVLYCILLAGYTTLAVGAQWIFRPVQGLISPVLCSCDVLLLLLTGIFQQYLVYQVQKIRLQGYYSFSQKLKFIVRIPFSITAYGTAAMLLVIVWKPYTGSLSISGILRIIMVVEALCAGCFMSLYIGYIHQYNSLNSHPDVLKSLYSPLQPASSLEGLRQTFCNLVSFLITSSFNIHV >RHN48224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45913156:45913383:1 gene:gene42916 transcript:rna42916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFQFCTPCFPFKIYLEPVQDKQALLAFISQTPHSNRVHWNTSDSVFSLRSNGLTCEIPADFSNLTFLRNIYLQEE >RHN49016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51810406:51811390:1 gene:gene43795 transcript:rna43795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MASVQRVTSSGSDGVNGAMDERKRKRMISNRESARRSRERKQKLLEDYQDEANRLRNENRRLSENIRVREEGFNANEAANGVLRAQTQELTDQLKFLKSIIEKAEREKIPKIPDPQLNPWQMLYPTQTIRASDWFLH >RHN49858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1747191:1747595:1 gene:gene34082 transcript:rna34082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endo-1,3(4)-beta-glucanase MLAHPLHLHLQLLYKKDCCVTVLSYFKYKSIYAELVNVVGDSWILKTDHASITWHSSKGVKEEFHDEIVSALLKYVEGLNSSEITRNSSYFYGKSIARVAWSALIAEEVCFLDVIPKVRKYFKKTSSIGLAELK >RHN45374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:18204187:18204623:-1 gene:gene39665 transcript:rna39665 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYWLFNIYSTKRQYKLKQSIQQGAHVLLLRNTAKLIPTIE >RHN58051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43372409:43378143:1 gene:gene33657 transcript:rna33657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle transport protein, Got1/SFT2 MEGWFSTSSSANDDQQQKAGGSSSSSLLADWNSYASSQQDQDPSNSAISFDLESAVRSANNTVTGTFSVVSKSVRDIPGNFQSATSSVPSGKALLYFGLFLASGVFFIFIAFTLFLPVMVVMPQKFALCFTLGCGFIIGSFFALKGPKNQLSHMFSKERLPFTLGFILSMFGTIYVSMVRHSYILSVVFSVVQVLSLGYYAISYFPGGSAGMRFLTSALMSRIMTCFGR >RHN75657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44124648:44125479:-1 gene:gene11891 transcript:rna11891 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFLNKGKNGINKFVPFRRKMSSIKYPNNGMETSSCSVPFHPAPFYSAPLHSIRFKISKHSLRILVNLMFFYKLLNSI >RHN75749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44757989:44759055:-1 gene:gene11991 transcript:rna11991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MKFRFLCLFFLHIMDLPETLHDFLLVFLGSGIILGSLGVVLLTNPIFSAFSLGLVLVCISLLYILSNSHFVAASQLLIYVGAINILIIFAVMFMNSSEYYQDFNLWTVGDGITLIVCTSIFVSLITIISDTSWYGIIWTTRPNQIIEQDLISTSQQIGIHLSTDFFLPFELISIILLVALIGAIVVARHWSMMLEHVLVLSAYLFSIGIYGLITSRNMVRALMCLELILNAVNINLVTFSDFFDNRQLKGNIFSIFVIAIAAAEAAIGLAIVSAIARNRKSTRINQSNLLNK >RHN54669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11159772:11160922:-1 gene:gene29743 transcript:rna29743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, CDT1 Geminin-binding domain-containing protein MVYDIRKESGVKKRSIKCNSFKVKLNIIFFFCEYFDAIHSSIKLLKLRGFITSFSKIKPKVEIICGRAFTLRVLAQLVYILPERIIVNKIQLLDEHGCSRSELEVSITTPAYEDGLDDLKFRRVRNLFC >RHN69195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41710888:41717718:-1 gene:gene17630 transcript:rna17630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative von Willebrand factor, type A, Zinc finger, Sec23/Sec24-type, sec23/Sec24, trunk MSEMANTDPEGIDGVRMTWNVWPRSKVESSKCVIPLAATISLIRSHPDIPSLPYAPLRCKTCTSILNPYSRVDFTAKIWICPFCYQRNHFPPHYNAISETNLPGELYPQYTTVEYHIPHTDPNPPPSPVFLFLLDTCIIEEEINYLKSALGRAIGLLPDNALVGFLSFGTQVQVHELGFSDMSKVYVFRGSKEISKDQILEQLGLASSNSAARRPIKGGPGVIGGGAPFPNSGVSRFLLPASDCEYTLNALLEELQRDQWPVPPGKRPARCTGVALSVAAGLLSACNPGTGARIIALVGGPCTEGPGTIVSKELSDPVRSHKDLDKDAAPYFKKAVKFYEGLAKQLVSQGHVLDLFASALDQVGVAEMKVAVERTGGLVVLSESFGHSVFKDSFKRVFEDGEQSLGLCFNGTLEINCSKEIKIQGIVGPCTSMEKKGPSVADTVIGEGNTTIWKMCGLDKSTCLTVMFDLSSSDRSNTPGGVNPQLYLQFLTSYQGPDGQLVLRATTVTRRWVDSAVSSEELVQGFDQETAAVVMARYASLKMETEETFDCTRWLDRFLIRLCSKFGDYRKDDPSSFTLNPSFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRENISNAAVMIQPSLISYSFNSLPAPALLDVASIAADRILLLDSYFSVVIFHGMTIAQWRNLGYQNQPEHQAFAQLLRAPQDDSQAIIRDRFPVPRLVVCDQHGSQARFLLAKLNPSATYNNAHEMAAGSDVIFTDDVSLQVFFEHLQRLAVQS >RHN43308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44835511:44836073:1 gene:gene49859 transcript:rna49859 gene_biotype:protein_coding transcript_biotype:protein_coding MALQEQLDRFKKQQEKCQSTLSSIASSKVGSRKPNTPVVATNASANGRNSRTGVKFSSDTERLQQI >RHN48837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50593187:50600605:-1 gene:gene43596 transcript:rna43596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling &Metalloenzymes JmjC family MLSFRMMNLLSRIKTRTKKKIKRKNPNQNPHKLKNQNPISSITKNHSSLVEEEEEEDTKECFSIKSSAPSHTHGVQPLGNLYFNPGSINSRDTGLGNLHTLTDELVLDILGFLDGNSLGVLACVSKSFYVFTNHEPLWRNLVLENFVDGFQYNGSWKSTFVVSKGYPLLHMASGDVRSFKVRDFYSDYLFQSWLCANLEMKGEWLERDNIVRKRGISVAEFVANFEEVNKPVLLEGCIDNWDALRNWDRDYLVNLCGDDVKFSVGPVEIKLKDYFGYSDQVREERPLYLFDPKFAEKVPKLGLEYEVPVYFREDLFGVLGNERPDYRWIIIGPAGSGSSFHVDPNSTSAWNAVIKGSKKWVLFPPDVIPPGVHPSPDGAEVASPVSIIEWFMNFYGATKNWKKKPIECICKAGEVIFVPSGWWHLVINLEESIAVTQNYVSRRNLSSVLEFLKRPNASTLVSGTKDRVNLHDKFKNAIEASFPGAIDELAQKEEEKKIQQRKLSFWDSVADTRVGAFKFSF >RHN56230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29060231:29064641:-1 gene:gene31598 transcript:rna31598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MMWEWEQEHEQQHQFHDNHNTSQKPDQIPDPNSYLNFDFLSTLSKPKDYYKILEVDYDANDDTIRSNYIRLALKWHPDKQKDQDSATSRFQDINEAYQVLSDPDKRREYDINGMRYVYDYNIIDYLNRYKGLILTCNGLGIKHSIW >RHN62458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43583761:43586477:1 gene:gene25037 transcript:rna25037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MYTFLPSSLSQERFYSLLPMLFFTIFPLLLSLLFIIKWYFNNSATKKHLPPSPPRFPLLGNLHQVSLFPHRSLQDLAHKYGPLMLLYLGKVPVVVVSSADAASQVMKTHDLIFSDRPQRKIFDIMLYGSKGVASCAYGEYWRQVRSLSVLHLLSNKRVQSYRRVREEETAKMMQSIQQKNTCVNLTELCSTITNDITCRVALGKRYGEKGGVLPELMLEFGELLGSFFIGDYIPWLKWLGKVNGFYSKAEKVAKHLDEFFEKVIEEHISGSRSDGHVGEGTSDFVDILLSVQKSNAAGFSIDRTAIKGLLLDMFAAGTDTTYTVLEWAMTELLRHQTVMHKLQDEVRTVVGNRTHVTEKDLVNMNYLKAVIKETLRLHVPIPLLVPRKSMEDIKLNGYDIEAGTEVIINAWAIARDPSSWEHPLEFKPERFLNSSIDFKGFDFELIPFGAGRRGCPGVLFAIAVNELVLANLVHHFDWKLPDGIAEKDLDMSEVVSFTCHRKNPLIAIATKYVKNV >RHN57692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41093231:41098763:-1 gene:gene33271 transcript:rna33271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MQERLKKDWEVKKLKNKEKEDAQLYTIIKVIRDEDLAEQIGKDIYFNLVDHGKVRSFCVQKQTSFNVFKEEVAKEFGIPARFQRFWLWAKRLNHTYRPFRPLTYIEEAAPVGQFRDVFGIHIAELELFLEVERGPDLRPIAPLKKRKDDILLFFKLYDPERMELRYVGRLLVNCTDKPSQILTKLNKLAGYDPDEEIELYEEVFEPNVKCLPVDMKLTFQESELENGDIICFQKDSSMDIVKQIPFRDVCSYLEYVHKRYPYVASSFEYVHNRQVPFCHPGIEFEDEDSSEEDSEDEDSSEEQNENITAEASHYEGTSKANASKPTKLS >RHN81272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44481031:44482701:-1 gene:gene5288 transcript:rna5288 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAGVASLIVYSRETVTDNQIQNTPSLAKVWNVLEVRILVALSLYMQIVLIFLGNRRKYIANIWLQLLNWLTYLSADWTATLALGVLSKDHKTDPNFVIMAIWAPFLLVHLGGPDTITAYSLEDNELWYRHMLVLLSQLTVAVYVVYRSWNGSPLNYVNIPIFVAGIVKYGERSWSLWSGSSKGFRESILPPPDPGPNYAKFMDDYTAKKDEGYHVTLEEVDETTPSLLEHNYQGETKIPNPNIPHARALHDGLKFYKIPECLFADLILSFQDHKTSQLFFQNMDWEHAFEVIAVELGLVYDMLYTKAVLTYSKRGIFLKSVSFFCTLFALISFSFLIYKGDVNQHDLHLNYDHIITILLFTGAIILEIYAVIVLLSSSRAMFWFSNHNSRRIHLLYKFISCFQFFLNFHTLSRGLILCHNSTSLDFA >RHN59679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12638027:12638610:1 gene:gene21763 transcript:rna21763 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 1 MRNQNMVFGECERLPFDLPEAEEELVAGYQTEYSGIRFGLFYVASYLNLLISSLFVTVLYLGGSNISIPYIFVSEFFEINKTYGVFGTTIDLFITLAKTYFFLFVSIITRWSLPRLRMDQLLNLGWKFLLPISLGNLLLTTSSQLFSL >RHN47641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41201250:41201640:-1 gene:gene42263 transcript:rna42263 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKHNHLASSPSSSVAASVETSNLKNRRLYQVWKGNNVHFFFFLLLFFSPLFLLTIFGIYAFL >RHN80892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41613630:41619368:-1 gene:gene4870 transcript:rna4870 gene_biotype:protein_coding transcript_biotype:protein_coding MSISDKQASVDPLLKDLNDKKQSFRRNVVSLASELKELRSRLASQEQSYVKETLTRQEAETNAKVMELEIGKLQKKLEEKNEQLQVSTSSAEKHIKELDDLRTQLVITRATADSSAASTQSSQLQCFELQKELDEKNSSLREHEERVIRLGEQLDNLQKDLKSRESSQKQLRDEVFRIERDIMEALAKSGENKDCELRKILDEVSPKNIEKMNKLLVVKDDEIVRLKDEIKIMSAHWKLKTKELESQLEKQRRADQDLKKRVLKLEFCLQEARSQTRKLQRMGERRDKAIKELKDQLAGKQQTVSDAEKQNQNFWDTSGFKIVMSMSMLVLVVFSKR >RHN62433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43409980:43411227:1 gene:gene25011 transcript:rna25011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDFEHEEFFNQEWKADGNSVTETEKENIDNCFDCHICLDFAHEPVVTLCGHLFCWSCIYKWLFVQRASLAPDEHPQCPVCKHDISHTKMVPLYGRGQTLSSRRGRNRKATLEDISIPPRPPASEIQSLLETRTSPQSDQQEQENDDTSQVQNLDTLVTPVIPRYMFGNYEDLHLMVANKSLNKISFFFFLCFVMCLILF >RHN53979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5942570:5945232:1 gene:gene28954 transcript:rna28954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-associated protein RP/EB MATNIGIMDAAYFVGRSEILSWINSTLQLNLSKVEEACSGAVHCQLLDAAHPGTVPMHKVNFDAKNEYEMIQNYKVLQDVFNKLKITKHIEVSKLVKGRPLDNLEFMQWMKRYCDSVNSGQHSYNPLERREMCRGGGREVSKKSAHSQPSNKGGSTSHRPPQSSHTARRNDVSTANHANPVAAKAAKPAAAAAAASAAPAYDQQITELKLSIDSLEKERDFYFAKLRDIEILCQTPEVEHSAVFAAIQKILYATDDNGSELEEAQAMLTSGLQEAEPLSPIAEVSEEKSSSENLKRKNFANPEVDAAGIDNLSPRRRLSDVSNVHHNGSPLMI >RHN66113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8608210:8609674:-1 gene:gene14029 transcript:rna14029 gene_biotype:protein_coding transcript_biotype:protein_coding MASINLSKFIFPFMLLALFSTNGNTRVAGARNLLESNIPQFPKLDFPPLPKPSLPELPKPNFPNVPELPKPNVPELPKPDLPNVPEMPKLEIPKVPELPKPELPKFNVPELPKPELPKITESPKLEQPKVPELPKPEIPKVPEMPKHELPKFNVPELSKPELPKIPESPKLEQPKVPELTKPEIPKVPEMSKPELPKFNIPELPKPELPKAPELPKSEVPKVPELQKHEFPKAPELHEPRLSKVSELPKPELPKIPELPKPQLPKTPELTKPEFPKVPELPKPELPRIPEMPKPNLPKVPELPKLEQPKVPELTKPEIPKVPELPKLELPKVPETPKVVSTTTP >RHN45409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19948605:19949537:1 gene:gene39732 transcript:rna39732 gene_biotype:protein_coding transcript_biotype:protein_coding MDITLILHHGGCLERDEYRRLNYVRGEICVWEKMAVDVLSLWDIEKMVKHCRGYFKVYKLWYFKPFKGAEEDLNICLNPLTTDKDFLDMVKVARANGNEVDIYAQHVVDTSEVEIVPLSSEEREELERVMEESLRSMQTQAEPCNVMDVDILTAEERSVIESLVANVQNRVGDEEDNVGNVGATQATQETQAGNDGQNDVNGVANEAVLRQYVPAKRYDIKGPVISNERPKQTPMRGPAPDIVRVPYPNYGLSGSSQPKFMEFIPTPGFPKK >RHN51591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20454040:20455559:-1 gene:gene36056 transcript:rna36056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DHBP synthase RibB-like alpha/beta domain-containing protein MASKALPKQCIRFGTTAAKYAARKNVGVRMPDDAICQAILKEMSTPLICTRYV >RHN64381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58660119:58665204:-1 gene:gene27197 transcript:rna27197 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSATPAALEDEEIEEETETGVAGLFKTSDAAGEGAGKGGSWVVEGGADMSVSMVGNQSNKVAEEEVKSFVLNKSM >RHN53922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5363661:5364943:-1 gene:gene28888 transcript:rna28888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MVETTHVEHDANLHHSSPPLGTDNVNITSNPMVEGVEKLVNDIVEQAKENIVSNVPHLEMEFESEALAYEFYNEYSRKCGFGIRREYANKSKKDGVLTSRIFMCSKEGRCGGDKRDYLTKEARAETRTGCLARMVISLVRKIGKYKVIDSVARHNHLLLPAENNLQ >RHN65082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64076703:64078361:1 gene:gene27979 transcript:rna27979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MRLSKLVAFRNTERKGRRRRRRNTTVTAVNNCYLSDNCWEYVFTFLINDNPRYLKSLSTVSKQFLSVTKLFSLIILYQTCTFLPRLFQRFTYITYLDLSSYNGDLNALLCQIPFPLNITSLNLSNQPIIPATGLRAFSQNITTLNSLICSNIASLRNNDLVLISDCFPFLEELDFSSSNPFASRDFDMNVWVKTMAMVLPKLRKVNLSGYYNIDDSSLLHLCKNCEFLEEVMMLKCPFLTHDGVASAIRERPTLKSLSVRWRTNGSHDNIGSNFIGSLVSLNGLTCLNLSSLRISDELLFSIAMGGLPLRRLVLQNCTGYNYVGIYSLLSKCRIQHLDLQNATFMNDHDVAELSLFLGDLVSINLSECSMLTDSAMFALVRNCPSLIEVKMEHTSLGEKSVDNSNFSMDCVLNHQLKSLHLACNFQLLNENIILFASIFPNLQFLDLSSCHNISEEGICEVLRRCCKVRHLNLAYCSRVKLLRINFKVPELEVFNLSHTCVDDETLYMISKNCCGLLQLFLENCDEVTENGVKHVVENCTQLREVDLGVVIM >RHN43947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49689125:49705080:1 gene:gene50587 transcript:rna50587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MRIRCLKREVVSILLINWRQRHRQIRSFSPHPHPHRVSDSDAAATQLARYHISRNEIQLARHVFDQIPKPSVVLWNMMIRTYAWSGPFQQSIYLYLHMLQLGVTPTNFTFPFLLKACSSLQALQLGRLIHTHAHILGLSMDLYVSTALLHMYAKCGHLYQAQTLFNSISHQDRDIVAWNAMIAAFSFHALHAQTIHSVAQMQQAGVTPNSSTLVSILPTIGQANALHQGKAIHAYYIRNFFFDNVVLQTALLDMYAKCHLLFYARKIFNTVNKKNDVCWSAMIGGYVLHDSISDALALYDDMLCIYGLNPTPATLATMLRACAQLTDLKRGKKLHCHMIKSGMDLDTTVGNSLISMYAKCGIMDNAVGFLDEMIAKDTVSYSAIISGCVQNGYAEKALLIFRQMQSSGIAPYLETMIALLPACSHLAALQHGTCCHGYTVVRGFTNDTSICNAIIDMYSKCGKITISREIFDRMQNRDIISWNTMIIGYGIHGLCVEALSLFQELQALGLKPDDVTLIAVLSACSHSGLVTEGKYWFSSMSQNFNIKPRMAHYICMVDLLARAGNLDEAYTFIQRMPFVPNVRIWGALLAACRTHKNIEMGEQVSKKIQLLGPEGTGNFVLMSNIYSSVGRWDDAAYIRSIQRHHGYKKSPGCSWVEISGVIHVFIGGHQSHPQSASINKKLQELLVQMKKLGYRADSSFVLHDVEEEEKEQILLYHSEKVAIAFGILNTSPSSRILVTKNLRICVDCHSAIKFITLLTEREITVRDASRFHHFKDGICNCQDFW >RHN77851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9922696:9923503:-1 gene:gene1347 transcript:rna1347 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMNFPNNISNERSQKRELQLQGLPRPTPLTINKNSHKIKKPPLGPKIQIHEPVIIYTESPKIIHTSPSEFMSLVQSLTGSSSSSSSSSNKVSMLNDSSTDNTS >RHN65238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:471738:472060:-1 gene:gene13057 transcript:rna13057 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAMEDINSMVTSTFYGRYGIRIYTLFKLVLSLNVCHKIVSALFMCSTYEIQALRY >RHN52389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34728160:34729773:1 gene:gene37059 transcript:rna37059 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKAVINSMLVLRIITLAASVTTVALLVTNTAKFDDDTNMKFQDLISYRFVVAVAAIAGAYCIVQLPFSIYYAVQQKRLIRNGFLPEFDFYGDKVISALLATAIGAGFAISIEFKRFFDQIFDASGVSKDDATRSTNNKFYVRGIVASSVLLVAFLAMFVVSVLSSFNRNKKGIFG >RHN53415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1530138:1545200:1 gene:gene28318 transcript:rna28318 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLRDLSQPIDVPLLDATVAAFYGTGSKEQRTAADQILRELQNNPDMWLQVMHILQNTQNLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNFISDVIVQLSRNEASFRTERLYVNKLNIILVQILKHEWPARWRNFIPDLVSAAKTSETICENCMAILKLLSEEVFDFSRGEMTQLKIKELKQSLNSEFQLIHELCLFVLSVSQRTELIRATLSTLHAFLSWIPLGYIFESPLLETLLKFFTMQAYRNLTLQCLTEVASLQFGNFYDAQYVKMYNIFMVQLQSILPPTTNIPEAYAHGSSDEQAFIQNLALFFTLFFKVHIRILESTQENISALLLGLEYLINISYVDDTEVFKVCLDYWNTLVSELFQPHRSLENSAAAATNMMGSQVSLMPPGMVDGLGPQLLQRRQLYAGPVSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDSDVLVQYKIMRETLIYLSHLDHDDTEKQMLGKLSKQLSGKDWTWNNLNTLCWAIGSISGSMGEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCRRKFVITQVGENEPFVSELLSGLSTTIADLEPHQIHTFYESVGSMIQAESDSQKRDEYLQRLMVLPNQKWMEIIGQARQNADFLKDQDVIRTVLNVLQTNTSVASSLGTYFLPQITLIFLDMLNVYRMYSELISKSIAEGTPFTSRTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKASMIEDIPHIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALICLSSQQLKFVMDSIIWAFRHTERNIAETGLNLLLEMLNKFQASEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLLCLAESGALTEPLWDAATNSYPYPSNGAFVREYTIKLLSTSFPNMTAAEVTQFVNGLFESTNDLSTFKTHIRDFLIQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPVELQDEMVDS >RHN39776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10443335:10443947:-1 gene:gene45838 transcript:rna45838 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVVQSLTRWCCHLTLSQKYEPCPSPHTHSPLCFHRPKMLTKRTTVLTMVYSRILRIVAAGFVLLLIQRNLFYDVVVIECPCFM >RHN56639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32477835:32478320:-1 gene:gene32071 transcript:rna32071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative costunolide synthase MNTPITKPNVKHTAFHNPSFNSNFVASFLIFLVLLKIVKRWRCNNSTINLPQGPRTLPIIGNIHQIIRNSLPHQCFKNLAEKYGPLMHLKLGEVSYLIVSSPSMAKEIMKTHDLNFCDRPNFLLSTIFSYNATGIAFSTYEEHWRQLRKICTLQLLSAKRV >RHN41320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29082929:29087255:-1 gene:gene47628 transcript:rna47628 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKAVVDKFVEELKEALDADIQDRIMKDREMQSYIQEREREVAEREAAWKADLSRREAEIVRQEARLKMERDNLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFQKKK >RHN67364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26466766:26468013:1 gene:gene15545 transcript:rna15545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b561 and DOMON domain-containing protein MASIPKLLLLLTLFTSFINLTTSLPCNSYTFPNNLNFARCSDLPVLDSSLYWNYNPKTSVIDVAFKKINVKDSSWIAWAINPNSNGMIGSQALIGHRNFDGSFKVYTSQITSYQTMLQEGNISLPVYNISGMYLDGSMMIFASLELPKNVSLVNHVWQEGSVSSDGRLRSHALTGANVQSFGTLDFQSGNIISHSFGGKLMSKIKLRIVHAIFNVISWGTLMPIGVIMARHLKRFEGPTGSTWFQLHRACQSIALLLGTVGFATGLYMGNQPGIHNTPHKCVGITLMTLALVQVFVAILLRPKKDHKYRIWWNIFHFLVGYTTIILAIWNVFKGFDILGGNIMFKIIYACVIGCLVLIGLSLEVVAWIMWLWNKKSKELGV >RHN63039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47871542:47875905:1 gene:gene25691 transcript:rna25691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative formin, FH2 domain-containing protein MKHFTFFLIILLSSFSFSLTTTLADRRILHQPLLIPATSAPPPEFSPPPPDNTPPSPDIPFFNELPTGPPPPPVANNQNLPSPSGSNARIANPTATKPTKPAKKVAIAVSVAFSLFVIFSVVAFFLYKHRIKHSPETETRKLGGENSNRILEASTLVPPPSTSSFLYIGTVVEPNGTPANEPNRFNKLNPIGNHDRPSPELHPLPPLTKSLVVDSHSPPAVSSSSSSSEEDNRETRETAFHSPRESSSLNLSHEESYYTPVSRRSHGSPTAPVTATPVVPYSKRTSPKSRLSGSSPEMKRVMIPSIKHAPASPSTLFQHGSPRRPKFSSPPPAPNLTHLHSNDSRDSLTLPPPRPPPPPPPPRVVSVSTSSVPRNYQWTRSMKHGEDSASGNSVNVKKALKEDDEIEMDEAKPKLKALHWDKVRATSDRATVWDQLKSSSFQLNEDMMETLFGCNSLNSAPKPKEMSVTRKPVFPTVELETRVLDPKKSQNIAILLRALNVTRDEVSEALLDGNPEGLGAELLETLVKMAPTKEEEIKLKNYDGDLSKLGPAERFLKQVLDIPLAFKRVEAMLYRANFETEVIYLKKSFQTLEAASEELKNSQLFLKLLEAVLRTGNRMNVGTNRGDAKSFKLDTLLKLADIKGTDGKTTLLHFVVQEIIRSEGTTGESASENVQNQTNSQFNEDEFKKKGLQVVAGLSRDLGNVKKAAGMDSDVLSSYVIKLEMGLDKVRSVLQYVKPDMQGNFFNSTEIFMKDAEEKILKIKADEIRALFLVKEVTEYFHGDTTKEEAHPFRIFMIVRDFLNILDQVCKEVGRMQDRTVTGSSRSFRIAASASLPVLSRYRARQDTSSDDESLSP >RHN74358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32167518:32174826:-1 gene:gene10405 transcript:rna10405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mediator of RNA polymerase II transcription subunit 22 MNKGGPGGGGPTAAAAAAAAQKQKTLLQRVEGDIANIVDNFSHLVNVARVNDPPVRNSQEAFMMEMRAARMVQAADSLLKLVSELKQTAIFSGFASLNDHVEQRRLEFNQLAEKTDHTLSTIGEEAAASLKELESHYSSSAQKTIQDVQP >RHN50218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5257784:5258179:1 gene:gene34485 transcript:rna34485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MCYFIWYMQKLVEQSKLDSFNIPSYCPTSDEIRKVIEEEGSFDVQRLETIRTDWVKNVDVIDDEYTVVDEETRAEGVAKFIRAVAEPILKSEFGEEIMDELFIRFKNKIIKLYGVEKLEVANLVMHITKRT >RHN67489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27655968:27656654:-1 gene:gene15682 transcript:rna15682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MDGKNSLQITKASGAYDDDGHAKRTGNLKSAVAHIITAVIGSGVLSLAWSTAQLGWIGGPVTLLCCAIVTYISSFLLSDCYRNPDSVTGKRNYSYMDAVRVNLGNKRTYVAGFLQFLTLYGTSVACVLTTATSLRQFSYSTLHEFGRLIFFSFRLELDKQLS >RHN55402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17958969:17960679:1 gene:gene30575 transcript:rna30575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MKLSSISFLSKLLILQYLSVQCLSAQDFDFFYFIQQWPGAICDSKQSCCFPKTGKPTADFTIAGLRPNFNDGSSPSNCNIKSVFDKSKISDLIKGLENNWPSLSCPSGNGIRLWSHEWMKHGTCSESKLTQHDYFQTALKLKKKSNLLQILKNAGIEPDNKFYNTGNILDAIQQATGYSPGIECNRDSARNSQLYQVYMCADISGSKFIECPGLPMGSCDANVQFPKF >RHN66294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10427338:10428238:1 gene:gene14244 transcript:rna14244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MFRTHLFGTPSIIVYTSTIHKFMLYSKDKFKAEWPTIELMGRNSLVAVHGKAHTQVHNFVTNAINRPDALNRIVALVQPRMVAALQSWAQMDKIKARFETQKMTFENIGKLFFSKEPGPFLHSLDKLYQDLLLGVRAYPINIPGFAYHHALQCRRKLDDFFWIEIDNRKNKDKVETIDLMDGLMQIEDDDGDKLSDKEVVDNIVSLVAAGYLSTSLASTWAIYLLAKYPIVLKKA >RHN74500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34000898:34001765:1 gene:gene10585 transcript:rna10585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MKNLTIAVFFIFWTCSYPAMSRTLLESSIAAKTHEQWMKDFGRTYADDVEKEKRFKIFAKNLEYIENFNRAGNETYELGLNQFLDLTKKEFTSKYTCANLKGKLESSMVASVAALFNVSKISTNNSLKGKRKPIPESIDWREGGAVTSVKRQGACASCWAFATLAAVEGIVQIKNRELVSLSAQ >RHN81009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42594604:42599118:-1 gene:gene4998 transcript:rna4998 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDGSEKGKNGIEASCSSSSNFCDVVGVDECNLELLVDKGLEHVNGNHGKQKIKFTNPRKPQKPPLPPRGPSLDAGDHKFVKELAELALRKRARIKKMNAVKKMKASKLPSSSTYTNLSAMVITIFFFLVIIFHGIKSASSASVGLTASPESAVASDEGLISVQYPTNFNTSNGDEPGSRFPSVCRKDDMEILKILSFVHSVSLGYFSLV >RHN70264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50118983:50120682:-1 gene:gene18827 transcript:rna18827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase METKDSLDIKSTKKFPLTLRLVVLAIAVVCGIYICSICFELKGVRTYSKFLGVSVFTQPCPHPSNVQEWEIPYLHYPEPKTYSREECSCNPVRYFCIVSMQRSGSGWFETFLNSHINVSSNGEIFSVEKRRENVSLILKTINEVYNLDWFTSASKNECSAAIGYKWMLNQGLMDHHKEIVEYLEQKQVSTIFLFRRNLLRRMVSVLANSYDKDVKLLNGTHKSHVHSTMEATILAKYKPKINVTLLIPELKKTNETIAKAISYFKSIRHIVLYYEDLVNNRTKLKDVQEFLKVPYRELESRQVKIHTAPLSMQIENWDEVQKTLTGTSYESFLYKD >RHN59848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13961883:13962540:-1 gene:gene22009 transcript:rna22009 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTRKLSLGVTFILAFFILASDLHMESQAWRVDHDPYKSCHSDEDCTKIHYYCPPSKVPYCQVDRCGCGN >RHN47676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41455429:41455778:1 gene:gene42301 transcript:rna42301 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYVLNCLISSKMSVFSWPTSLLREMEKWIKNFIWSGDISKRKLVTVAWKKVCVGFDEGGLGIRSLICLNQASNLKLCWEMFQQWADLLRSRVLRGSTCIPYHIFSSYGVI >RHN43957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:197316:201361:-1 gene:gene37999 transcript:rna37999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LPS-induced tumor necrosis factor alpha factor MGRKEEKEVMAVGVPVYERNGIPPNAIIGDPKGIPIQQTIYRDTPAPFNCPHCANTSLTTIRSKISLAAFVGCLMPMMLGVCFLCPSMDCLWHKYHYCPQCHQKVADFEKSDPCIVMDPPSWTQESFALAGY >RHN57472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39384866:39385826:-1 gene:gene33019 transcript:rna33019 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIRGGEQRKRVDEKSVDVPVLVVGTKSSPALSDAQTPKPINHVEKLSVPSVGQVAPRAPSVQKSNGSGNFNLKNKMPSPRSRKPGPKRNRNTNGTPHFPVATMPYPNGENPKHVSPAAAGQGFTPPAHAIDAKHVQPPVQEDLNAYAVNYPNGRPNIQEQGDHVNHGRHHQRPFPAKANMPMQHSKISN >RHN77762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9254729:9256289:-1 gene:gene1249 transcript:rna1249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK family MFADIDECKSPTNTCINEDHCRNKDGFYECFCPDGQSGNGTKEGGCHRRDVITKVAIGASAGIIILFVVISSLYLTYQKRKLIKLKQKFFQKNGGSILLQQLSTSEDTSQAAQIFTEEELKKATKNYDESLIIGRGGFGTVYKGVLPDNKIVAVKKSKIIDANQIEQFINEVVVLTQINHRNVVKLLGCCLETEVPSLVYEFVSNGTLFDFIQSTKDKTNNPTWKTRLRIAAETAGALSYLHSSASIPIIHRDVKSTNILLDDNYTAKVSDFGASRLVPLDQTEIATMVQGTLGYLDPEYMQTHKLTEKSDVYSFGVVLAELLTGDKPLSFNRPEETISLAMHFLSCLKQDKFFEAIQVGFVNDDNKKEIKEVAILASRCLRLRGDERPCMKEVAMELDGIRLMEKHPWNDTEQNFEESQRLLHEASCSIYNETGDSYNLGYNGYDSLKDQSLIALDDGR >RHN75264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40749275:40755261:1 gene:gene11439 transcript:rna11439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MVGTPMNGRRLSFGFGNGGHDLGPSSTPPSNAGSDYGSYIDFTREDVEALLNEKSKRKDRFNYKERCENMIDYIKRLKVCIRWFQDLELSYSLEQEKLKSSLELSQQKCVEIELLLKIKEEELNSIITEMRRNCTSLQEKLVKEETEKAAAMESLIKEREARLDFERSQTTLSEDLGRAQRELETANQKIVSLNDMYKRLQEYITSLQQYNGKLHSELSTVEGDLKRVEKEKATVVENLTMLKGQLALSMASQEEATKQKDAFASEVTSLRSELHQVRDDRDRQISQVQTLSTEIVKFKDSSEKSGSEVNNLTMKTNELETKCTLQDNHIKELQEKLTLAENKLEVCDISAIETRTEFEGQQKLVNELQKRLADAEYKLIEGEKLRKELHNTILELKGNIRVFCRVRPLLPDESCSSEGKIISYPTSMEASGRGIELTQNGQKHSFTFDRVFAPDALQEEVFTEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGHLGEKGLIPRSLEQIFQTRQSQQPQGWKYEMQVSMLEIYNETIRDLLATTNKSPSDTTRAENGTPGKQYSIKHDASGNTLVSDLTVVDVESVKEVAFLLNQAANSRSVGKTQMNEQSSRSHFVFTLRIYGVNESTDQQVQGVLNLIDLAGSERLSRSGSTGDRLKETQAINKSLSSLSDVIFALAKKEDHIPFRNSKLTYLLQPCLGGDSKTLMFVNIAPDQASSGESLCSLRFASRVNACEIGTPRRTTNGRPTESRLSYF >RHN59206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8436977:8437651:1 gene:gene21204 transcript:rna21204 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGESFTTTRPFSSIISSITGPFFTNLWISSFLDTVVFIIASVDLRIYTMPWR >RHN46185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29483586:29485617:1 gene:gene40631 transcript:rna40631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tropinone reductase I MGETKLSSFKDKRWSLHGMTALVTGGTRGIGYAIVEELAEFGASVHICARNEEDINKCLEEWKNKGFNVTGSVCDILFHEQRKKLMETVSSIFQGKLNILVNNAAKPTSKKIIDNTDEDINTTLGTNFVSGYHLCQLAHPLLKQSGYGSIVFTSSVAGLKAIPVLSVYAATKGAVNQFTKNLALEWAKDNIRANAVAPGPVKTSLLESVMDYDSEGYKAIAGIVSQTPTGRMGETKEISALVAFLCLPAASHITGQIIAIDGGYTS >RHN78034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11421245:11423100:1 gene:gene1548 transcript:rna1548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MVCLVSRSGRQMQRYNETGGRQVVGCIPYRYKQDIDGNMGNELEVLVVSSQKGQSFMFPKGGWELDESLEEAACRESLEEAGVIGTVEHELGEWSFISKRYGTYYEGHMFPLLVKEQLEHWPEKNLRTRIWMNVVEARDVCQHWWMKEALDILVDRLTLQKNKNI >RHN59905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14594049:14597191:-1 gene:gene22073 transcript:rna22073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTEKRKKRESSEEATSSPPILPSDLIMQILSWLPVKLLIRFTSVSKHWKSLILDPNFAKLHLQKSPKNTHMILTALDDEDDTWVVTPYPVRSLLLEQSSFSDEECCCFDYHSYFIVGSTNGLVCLAVEKSLENRKYELFIKFWNPSLRLRSKKAPSLNIGLYGTARLGFGYDDLNDTYKAVAVFWDHTTHKMEGRVHCMGDSCWRKTIDCPTFPILLRTSNGPFVNGSVNWLALHNLNSDDYKWENITIQQLVVFSLDLRKEKCKYILLPDGFGEVPQDEPALAVLRGRLCLYYDHMRTHFVLWEMREYGVQESWTRLVNVSYVHLKFYDIVPDFLLLPLCLSENGDVLLLANKEELLDLVIMYNRRDDIVEFLQFPNNKIWAADEHMHSLVLPHSHPH >RHN52589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36871381:36878691:1 gene:gene37283 transcript:rna37283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain-containing protein MGVHKAWSNHYKNRLKKTKKALHQVADLSGFHLNNGDAGSELELIERIVKEVSRELKLDTYSVKMQSQLKEVSSLLELGSDEVLMAGIYGTKGINRSKTKLARAVYNMIADQFEASCFLDDVSENSNKHGLVHLQNMLLSKMGVEDIWLGNAYKGVSIIKRKLHNKKVLLVLDNVDTLEQLEFLVGGTDWFGSGSRVIVTTCDKHLLAFHGIERRYEVQELKSGSLRAYSAYLPERADEETYALDDNNIQMILKDIFDALEKDTQSIFLDIVCCFKGYELTEVQNILRAHHGYNVKHHTEVLIYESMISISDGKVIIHHLIEKMAKELVRRESPTEPGKCSRLWLPEDIIHVLKENTGSSKIEIIHLDIPSTEHEEVIECDEDTFKNMINLKTLIIRRCRFSKALKNLPNSLRVLEWKTYLNFSHELPSNFDIKQLHICKLLHVLELQSCVTEVSHTSNWDFRTLLTSKRVFNTNVMWRIVGIVSSVVGLLCNAQSHSFNRFIGKWNTLKFFLYGVFSLVIFTTMLFVKPFSTSTRYGHIKPYTGFTVLMIISVYSFYYDKAVNGKPEILSIVSNAAFALTSLSLSKLIRFGFEIGIFSYFLGCLAVQLWTINWMLISVAIIFGCPLFVMHSSSHYQEEVDRDQIIDESSGSDPEVGNTVQPDVAHESRVIIDSYLDNQQEVSSEGQVIDSSVTIPEVASCGSDQGVVDIILEENSVNVVAS >RHN66811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19196071:19196430:-1 gene:gene14903 transcript:rna14903 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNVGSGSSGSKRSHEDSVGFSARPMGREAAKKKGKMKSKGETLEKVEKEWVQFKELKEQEIEQLKELTLVKQQKNKLLQEKTQAKKMKMYLKLRDEEHLDDRKKELLEKLERELFEN >RHN81285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44564943:44570685:1 gene:gene5301 transcript:rna5301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MGHEEPLLLPPPPSATTINPSPLTVSVPSPSASASAAPPTSLAPGFRFHPTDEELVIYYLKRKVSGKPFRFDAIAEVDIYKSEPWDLFDKSRLKTRDQEWYFFSALDKKYGNGGRMNRATLQGYWKATGNDRPVKHDLRTVGLKKTLVFHSGRAPDGKRTNWVMHEYRLVEEELERERAGAGPSQPQEAFVLCRVFHKNNIGPPNGQRYAPFVEEEWEEGSGMVPGAEPVSNGPVSNGPVDRHLRLEWNGGVSCSEGRNHVVQDTQSNNKTPLDVNKLPIETQNLLAVCKRESMTEFSSPEKDDSKHMHVDDEYPLPPTDNPKPIPQIYKRRRYNLNSNHSNVSGDSFHPNSQDPCSSTITTAATTLPTTAAAVTTAKPAPKKHFLSALVEFSLLESLESKKENHTSVQPADFDLANLESCVPPSVAKYIKYLQSETQKLGVEKETMRFELTSAQTMINILQSRVENLSKENEEMKMMMRNP >RHN69676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45473689:45475886:-1 gene:gene18178 transcript:rna18178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metal-dependent protein hydrolase MWHRTFPSFRRILSSSFSTSRAKRVGTHNGTFHCDEALACFMLRLSKLFSGADIVRTRDSNLLEVLDAVVDVGRVYDPKRHRYDHHQRDFDQVFGNGFVTKLSSAGLIYKHFGLEIIANVLHLDEDHPHVHQLYPAIYRNFVEAVDAVDNGVSQYDLKESPKYIINTDLAFRVERLNFDWIDSDQSADAENEAFHRAMALAGGEFVENVNYYAKSWLPAQSIVMECLAARETIDSSGEIIKLNRSCPWKLHIHELEEEMKINPSIKYVLYQDDRSETWRIQAVAISPATYENRKPLPYLWRGLENDRLSEVAGIPDCTFAHMSGFIGGNQSYDGALAMARASLKA >RHN47206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37909277:37916515:-1 gene:gene41772 transcript:rna41772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MGRSILSLHHLLFSSLLIFILMLNHVHASKKCYIVYLGAHVHGPTPSSVDLETATYSHYDLLGSILGSHEEAEEAIIYSYNKQINGFAAILEEEEAAQLAKNPKVVSVFLSKSHKLHTTRSWEFLGLSTNDVNTAWQKGRFGENTIIANIDTGVWPESESFNDRGIGPIPLRWRGGNICQLDKLNTSKKVPCNRKLIGARFFNKAYEAFHGKLPSSQQTARDFVGHGTHTLSTAGGNFVQNATIFGIGNGTIKGGSPRSRVATYKACWSLTDVVDCFGADVLAAIDQAIYDGADLISVSAGGKPNTNPEVIFTDEISIGAFHALARNILLVASAGNEGPTPGSVTNVAPWVFTVAASTLDRDFSSVMTINNKTLTGASLFVNLPPNQDFLIIISTDAKFANVTDVDAQFCRPGTLDPSKVNGKVVACDREGKINSIAEGQEALSAGAVGVIMRNQPEVDGKTLLAEPHVVSTINYYDARSITTPKGSEITPEDIKTNATIRMSPANALNGRKPAPVMASFSSRGPNKVQPYILKPDVTAPGVNILAAYSLLASVSNLVTDNRRGFPFNIQQGTSMSCPHVVGTAGLIKTLHPNWSPAAIKSAIMTTATTRDNTNEPIEDAFENTTANAFAYGSGHIQPNSAIDPGLVYDLGIKDYLNFLCAAGYNQKLISSLIFNMTFTCYGTQSINDLNYPSITLPNLGLNAVSVTRTVTNVGPRSTYTAKAQLPGYKIVVVPSSLKFKKIGEKKTFKVTVQATSVTPQGKYEFGELQWSNGKHIVRSPITLRRENTNLENCDGQMGNIV >RHN43162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43665039:43666890:1 gene:gene49696 transcript:rna49696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain, reverse transcriptase zinc-binding domain-containing protein MSLRGYTVGNLMEQNSKSWNEEVVRHIFTKETSQMILNIPLFPQVTEDKLVWNPEKNGHYSVKRAYRLCVEEIVDNSHLRKNGFWVGIWKLKVPPKVKSMVWRVCRECLPTRVRLNRRGVTCPSTCVLCNDPHEDSYHIFFHCCTAVGVWRTAGLWHLIEPLLNRFNDAPEIIFHILEHATTTQAELFATTLWSIWKRRNLTLWQQVTESNRNVFERATHLLEGWRHANIKQLPRTIPVEEAAAVTRQHGSAASDSSNRIHKWQKPRRGRLKCIVDASFSMAENKLGIGMCIRNEEGRFIRAKTMWFSPVCSVDVGEALGLFYAIRWVHELRLQNVDFEVDSKRVANYFNRSNRAITEFGNIMDSNIHYCHSNLENSHVEFIRRQANVVAHELAKASTSLTSFRIFDDVPTCIHDLIFNEML >RHN39771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10378982:10384102:-1 gene:gene45833 transcript:rna45833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain, formin, FH2 domain-containing protein MCYYSFFFFFLCILIFFKPISSNEPRRFLHQPFPQNNNLSPSSPPSSPPPSPNPKYPFSTTPPNTSSSSSTPPFFPTYPSTPPPPSPSSFASFPANISSLTIPQTQKPKSSSSKLLAVAITAVIAAVAVVAISAFIYCRRSRNKRFLADDKTLRSDSSIRLFPRDGGVATIAKSRNVSSTSSEFLYLGTIANSRADELPDPRGAGGGGRNPRKMDSPELQPLPPLMRQGSMFDEGNGGATVTVGEDDEEEFYSPRGSLNGNGSGSRRVFAGISAENLVGRSSSESTSSSSSYSSSSASPDRSHSISLSPPVSLSPRRSQPKSPENVVTPAPTQPLLVSDVGRSSLSSSRASSNRHVQSCSSMSSSPEKIFAGECKSPSLSPLNLSPTKNLDGSFVKVEKTQSCNEEGSSSPRLSNASSGKSSSSSSSAFTLPSPEKMMTMMNLHSNHGLDQSPTISDVSDRFRHSPLSSLPLSPTLLSSPERDIMSTQPPPPPPQPASRKHWEIPDLLTPIAESPAILNQNGVSQRKHWEIPVLSTPITPSNRVSAPPPPPPPPPPPPPLTMPMKQRKQWEVPSPTTPVGQQVVCRPPELKPPSRPFVLQTPSNTLVSPVELPPSFEENEEVSKPKLKPLHWDKVRASSDREMVWDHLRSSSFKLNEEMIETLFVVNTPNPKPKDATPRSVLTPPSHEDRVLDPKKSQNIAILLRAVNVTVEEVCEALLEGVTDTLGTELLESLLKMAPSKEEERKLKEHKDDSPNKLGSAEKFLKAVLDVPFAFKRVEAMLYIANFESEVEYIRKSFQTLEVACEELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRTEGARHSDTSTNQTPSATLIDDAKCRRLGLQVVSSLSSDLANVKKAATMDSEVLTSEVSKLSKGITHIAEIVKLNQTVGSDETVRKFAESMNKFMRMAEEEILRIQAQESVALSLVKEITEYFHGNLSKEEAHPFRIFMVVRDFLTVLDRVCKEVVNINERTMISSAHRFPVPVNPMLPQPLPGLHGKRHYSSSDDDSSSP >RHN46777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34803723:34804145:-1 gene:gene41304 transcript:rna41304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MDLGCGDEGGGPCGACKFLRRKCVKGCCIFAPYFESSGQGSAHFAAVHKVFGASNAAKLLTRIPVHKRLDAVVTLCYEALARATDPVYGCVAHLFALQQQVYTYIHIYIYIYICVCVFCFDTINLMTLLFLPSFSFFLSK >RHN44709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7561264:7572829:-1 gene:gene38859 transcript:rna38859 gene_biotype:protein_coding transcript_biotype:protein_coding MALSIGKLTILLGAGLFGGAMASKEGGLADFSGLVSGAFKVFLRQLQSNDSTPTVKKPHNDVLLDQVSNLRKQIEDLVRDNKDIIIVNPSGTEGRKYAAVVIIGVGCGCLWWKGWIPHMMFATRRSLNDACTGIGKQLGKVYESIEEAQGKIGGRIIGVEKHIDQIEAIADDTQKNINAIQRDTEEIDGDINKFRIIIRTIEDKITLIEGNQVATNDKVKGMCLFTESLQNSSTPQYIQGSSSMPAIELPSVSPSSRALQSGPSRLYLEQPSITPISRTGSVPPTRSADPPSPSNTVGSDQESSPISDERDFSSSRVDSMKTPPAGNKINESSSGGFLGINFSSVYAPLLRTRSVTSSVLQQTRPSS >RHN59854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14048108:14048440:-1 gene:gene22017 transcript:rna22017 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSFSAFSANSNRENESVSYTKNPTRKRSNCRRKLNAAADTEDLSRDPGVMMVWDFYKDAQKREGIKKRKIKAKDGESSRGDLLAQADSQARFKCERCGKMFRPGNKAY >RHN54453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9722779:9725445:1 gene:gene29499 transcript:rna29499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoside-triphosphate phosphatase MVGTGKCFLVTGPPGVGKSTLIMRVFESLKASNPTLKVQGFYTREVRVAGERVGFEVVTLDGRTCPLASSNFSSQESHRWPNVGKYKVDVASFESLALLELQVREDTDLFIIDEVGKMELYSSSFFPAVLKVLESNIPILASIPVPKFGRDIPAGRNLEDQLMFEAPYLLNLQIFSLHFGSCKVKESCRSNFVYIEC >RHN58207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44575666:44576505:1 gene:gene33825 transcript:rna33825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKGGDKNWSDDHSSGDQYRMKDDTVTGKKKSKELGGESSSSSSNNIARTCTECGKIFWSWKALFGHMRCHPEREWRGINPPPNFRRQQLVVTPEEQEGAASLLLLSNSNPKNKKAKAKATTTVVDEDDQFVCSCCNKVFGSHQALGGHRASHKNVKGCFANTTTTITASSNSTTGRTFMTPHDDTMTRGGNVEVEGEAVNNNEMINCIIGHKCSICLRVFSTGQALGGHKRCHWEKVEPKTPTPTPTLPFDLNFPFPPLPPPDHNHSSSPLTLDLRLGL >RHN42436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38355955:38356554:1 gene:gene48878 transcript:rna48878 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKIRKGAKRWGAKSLKKKKEEMQNRTIDLAFLFLLQFFYSI >RHN64930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63084364:63084546:-1 gene:gene27811 transcript:rna27811 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVVVEDSFGVVNVLGEGFPAGMRVLAVDDDPTYLKVLEKQLLTCNYNGSLPFFFVHSI >RHN71130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56694830:56700751:1 gene:gene19779 transcript:rna19779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MCTLMIYFFILLGVVSYTTKASLATIELPPNVSFPAVFVFGDSIMDTGNNNNNMKTYARCNFLPYGKDFNGGIPTGRFCNGKVPSDYIVEALGIKEFLPAYLDPNIQPSDLVTGVCFASGGSGYDPLTSKSASAISLSGQIILFKEYIGKLKGIVGEGRKNFILANSVFLVVQGSNDISNTYFLSHLRELQYDVPSYTDLMLASASNFLKEIYQLGARRIGVLSIPPIGCVPFQRTVVGGIERKCAEKINDACKLFNTKLSKELSSLNRNLPNTRMVYLDVYYPLLDIILNYQNYGYKVVDKGCCGTGAVEVAVLCNQFATQCEDVRDYVFWDSFHPSESVYSKLLNPLLRKYIHQFF >RHN53727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3589354:3594037:1 gene:gene28666 transcript:rna28666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MNNFSHVPPGFRFHPTDEELVDHYLRKKIASKRIDLDIIKDVDLYKIEPWDLQELCKIGSDEQTEWYFFSHKDKKYPTSSRTNRATKAGFWKATGRDKAIYSNHICLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTTPQEEGWVVCKVFKKRIATTMRKMDDSPCWYDDQVSFMQSELESPSRISSHHPYNASYQHQQQHYPCKQELDQLQYNIHINNNDANNFLQLPQLENLNSPMQCSLSTHSMTQEQYGQQQSMQMLYGGSNDLQAVVEQSNEWKALDKFVASRLSQDQDHASKGTSSYCNVAQQIALLSNDESKKSETGGQEHVTSISNSNCQIDTWK >RHN38878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2572546:2577721:1 gene:gene44852 transcript:rna44852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation CHROMO-DOMAIN family MNKTTTLVAPNDVPAGGFEVGEGSGGGHGNGGGDGGKDGFFTEKENTQLENEDTQMENSDEENGDDDLELEERLPSLGDGFYEIEAIRRKRYRKGKLEYFVKWLGWGEHANTWEPPDNLVGVPEIIEAFEKGCKTPKQPKQQKRKRKHAGPQTQVKKRVERSATPYSLRCLKTSTANNNHAQAAANNHSAPASLSEQLPYIPNTPAFPQTVLFADEVENNGEGSSLTKENHANDNKTANPPEEVPQNNEEKEYDPKLSELKATTSNGIDADKPEDATTNGNGAGNLAMQFQEAMVPPGETGMNDQPMVVSTEGNVVSTEGNEVSTEPVQSGSGRPRGRPRKSLAKKFKIDSCADKPGNTQIPNSAPVDTAELAQTGVTDNATASSNTATESVKPAGDIVKIIKPVGYTPAAASTTQDVLVTFEAIRSDGSEVVVDNNHLKTYHPILLIDYYEQHLRYNPKAM >RHN53435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1648678:1651323:-1 gene:gene28339 transcript:rna28339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome maturation factor Ump1 MEEVAKSITHQIGGIQNDALRFGLHGVKSEIVDSHPLQSSQKSASRVDEMMKKQCMVNLYGTSFPLKMDLHTQILSRFQRPPDSRESETIRPLDMHNGMEVRIGLSKGPVCPSFI >RHN79345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27814526:27817386:-1 gene:gene3122 transcript:rna3122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative universal stress protein A MEEIGRAIHEGREGAEERRMKMKVMVAIDESDGSFYALKWALDNLFNVMTTMEGTSSENEGMVFLVHVEPIFHNYVHPIGPGGAAFYPASVVVDSVKKGQQERSAVILSRALQMCKDKQVKAESVILNGDPREMICQASEQMQVDLLIMGSRGLGTLKRAFLGSVSDYCAHHAKAPILIVKPPEDHHKKH >RHN49687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:302501:308332:1 gene:gene33891 transcript:rna33891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-binding, CRM domain-containing protein MFSVRNLHRHYSFKPFSSLFQLQSNLFRTVLPLGQGVEQSKTDDKIEADHKVSFYCYVKPLNLLNGSLCRGMSTMRGKSMRSKVERRMQKESGKTIRELRRAKKLTKKLMTDEERLVYNLKRAKKKIALLLQKLKKYELPELPPPRHDPELFTPEQLQAYKKIGFKNKNYVPVGVRGVFGGVVQNMHLHWKFHETVQVCCDNFPKERIKEMASMLARLSGGIVLNVHNVKTIIMFRGRNYRQPKNLIPINTLTKRKALFKARFEQALESQKLNIKKIEQDLRRMGVNPEDPTAMASIQRVASTFFNAIDKKDGSPYVNRGDKSSLIEPNDGLEESDPSADSDQDELDRFIADIENAADKEYEAEEAKEKEEFGRLRYWNREEYGGRFRRLDDSRNDGEVRGSRVRQNIHPKHRTADSDDEENAHSDNDDDDDEWHPGNIADDSDVDSNFDGSDEARGRFKESRGRRERINNTSIANGQVNGGQSRHGEAKFRTNMGVQDFESEDILSEVENAMWESDLEDHDSVHLRGANSNNYKSSSSDDEGQIDDSTQYHNSFNAPKSLRGRQAGIIRTKSGSRDAFSGSEDWMSQSEGEEDTRGDDKDYPTSQNSKVVKSDIHKKRSPKEADEAWDSD >RHN55433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18254455:18262183:-1 gene:gene30613 transcript:rna30613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MPPAIQEISFDDYTAVTRKDFHPSLGRISFTPTKEGTSQNPYNRGSTSSESESSESESTTNSQLSFQRNFSNHRSFISKPIHPLSFPDLTTARDAFDHAVSDYTGFDTSNRLRDSQRSSNASSSQDSADITESFDLETPAHLHTQSDEFRCSLCEKFMSQRSPWSSRRIVRSGDMPAAGVLPCRHVFHAECLDQATPKTRKIEPPCPVCVKLEEQYSPDQRGVVRLRNSFPKFKSDDSMFLLNRNRIRKNLSMRGNLSNQFPGKVRKTGGYPSQLFTGSSANHEVGIYSKAKAGPSIES >RHN54332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8775803:8778645:1 gene:gene29348 transcript:rna29348 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIVKQILAKPIQLADQVTKAADEASSFKQECSELKSKTEKLATLLRQAARASSDLYERPTKRIIEETEQVLDKALSLVLKCRANGLMKRVFTIIPAAAFRKTSSHLENSIGDVSWLLRVSAPADDRGGEYLGLPPIAANEPILCFIWEQIAMLFTGSQEVRSDAAASLVSLARGSDRYGKLIIEEGGVGPLLKLIKEGKADGQENAARAIGLLGRDAESVEHMIHVGVCSVFAKILKEGPMKVQGVVAWAVSELAANYPKCQELFAQHNIIRLLVGHLAFETVEEHSKYAIVSMKANSIHAAVVMASNNNNSSSNLNPKKGTENEDGVVVGGGNKHGRVSHHPLGERPRNLHRVITSTMAIHAASKQPNEGNEANQNQNILANSNTPNGNGLGNGNGNGNDGGKQGNHNNHQRNYSHSGINMKGRESEDAETKASMKEMAARALWHLAKGNVAICRSITESRALLCFSVLLEKGPEAVQYNSAMALMEITAVAEKDAELRKSAFKPNSPACKAVVDQVLKIIEKADSDLLIPCVKAIGNLARTFKATETRMIGPLVKLLDEREAEVSREASIALRKFAGSENYLHVDHSKAIISAGGAKHLIQLVYFGEQMVQIPALVLLSYIALHVPDSEELALAEVLGVLEWASKQSFMQHDETLEELLQEAKSRLELYQSRGSRGFHHKLHQ >RHN73673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18735023:18735616:-1 gene:gene9519 transcript:rna9519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MCHYVFSGDRGMSHAELTWPNRLKIAKGIARGLSFLYTEFSTYDLPHGNLKSSNVLLTDDYEPLLSDYAFQPLINPSIAVQSMFAYKTPDYVQNQKLSQKADVYCLGIIILELITGKFPSQYHSNGKGGTDVVQWVLTAISERREAELIDPELKNNASNKTSNMLQLLLIGAACTESNPEQRLHMKEAIRRIEEAQL >RHN72890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11564370:11574275:-1 gene:gene8656 transcript:rna8656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease III post-transcriptional gene silencing PAZ-Argonaute family protein MEEALMEMDDYDEQQIRPNALPFARSYQLEALEKAIRENTIVYLETGSGKTLIAIMLLRSYAYHLRKPSPYIAVFLVPKVVLVSQQAEALRNHTDLKIGMYWGDMGVDYWDGDVWKGEMEKHEVLVMTPAILLSCLRHSFIKLSMIKVLIMDECHHAAGRHPYACIMTEFYHHQLRSGITELPRIFGMTASPIKSKAANSESTLSKSIRELMTLMHSKVYTCVCDAVISQFIPTSTPKFRFYRDNGISYTLFEELAKRLNELKQQHERCITSSDLTKSAVQSAHKKIARIFDASIFCLEELGIWLALKAAESLSSIEIETFLWGISGDQIVKKFSSATMMTLQSCIPSDPRWTIADNMNSNLEMGLLTSKVCCLIECLLEYRGLTEMRCIVFVERVIAAIVLEVLLNALLPKYNSWRAKFIAGTGSKLQNQTRKIQNEIVEEFRKGLVNIIVATSILEEGLDVQSCNLVIRFDPSPTVCSFVQSRGRARMRNSDYILMVKSGDAVTRSRLEKYLDGGQMMRKESLRYSSLPCESLESDRFNEQAYRVASTEAVVNLSSGITLIYLYCSRLPSDGYFKPTPMWDKHKGILYLPKSCPIHAIHVQGDNKFLKNIACLEACKQLHKIGALTDNLVPSIVVEEAEVEEFGNEPYSEEQPSYVPFELVNHMSNNSSTIYYCYLIELKQNFSYDITVQDIFLATRVELDPEIGCMQFDMCFDRGSLAVNLRYRGNINLSPDQVLLCKRFQVSILGILMNSKMDKESFSEKYSLEDDLEIDYLLLPSIAIEETPSVDWLTISSIHPSKIQCRYHAANILTEKGFACGCILRNALICTPHNGRTYITAGMMELDGNSPLEVGDGEVTTYKKYFGQKHGIQLRFEHQRLLKARHVFPVKNYCHGYSQAKDRDVSKTFVELPPELCSIIMSPVPLSTLYTFSFIPSIMHRLESLLGAYNFKRMHLDYCPQNKIQTFKVLEAMTTKSCKETFHYESLETLGDSFLKYAVSQQLFNMYQNHHEGLLSVKREKIICNAALSKLGCDFRLPGFIRNDPFDPKTWIIPGVKSESFKLEETVFNGRKIYTRGDRKLKRKVVADVVEALIGAFLSAGGEMAALLFMDWIGIKVNFNIIPYERQFIACPDNLVNVRFLESLLKYSFRDRSLLVEALTHGSYMLPDVPRCYQRLEYLGDSVLDYLITMHLYRVYPGMSPGQLTDMRSASVNNDCYAMSAIKVQLHKHVLHASQELHKHIVATLDKFDDQSSSSFGWESEATFPKVLGDIIESLAGAIFVDSGYDKEAVWKSIRPLLEPLVTPDTLTIHPIRELNELCQKMNYTKEKTLSRNDGVTSCKIEVIADGVIHQFEYKGSTDKKTATRLACKGVLHSLQWK >RHN82491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54198193:54198660:1 gene:gene6660 transcript:rna6660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WIYLD domain-containing protein MEPSRRPLKVKGGSRMDAALDAMTALGFDKKVVHQTVNKLLKVYGSNEGWVFIEDGDYRLLIDQLLEAQQQDQVTHSLLLLFILQATHTTYIV >RHN62542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44232922:44236036:1 gene:gene25133 transcript:rna25133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-40S ribosomal protein S27a MSAMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKILDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >RHN73512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17241283:17241755:-1 gene:gene9332 transcript:rna9332 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLQNLVCCIVLMSALFGHNLFFIIGTEQIALCLGDNLVQEAPLQWQCQQRYDITMYSYDVNSGAIACSGTI >RHN79351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27896713:27897201:-1 gene:gene3129 transcript:rna3129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MAASHTVCIMLVFIASFVLLVQTGLSDDVTDPPIGSPTEYIYAEEPLSSYQIYLNNCANQLYPICGEEIFSNVFFGNQTFSNICCLNLVKDLGKSCHDDLVKYILSTPKFQKNKVSIWERSEQVWNDCASRAQDNTPVEVEAEPPVEAEPPVEIEAEAPYNV >RHN67339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26212784:26215171:-1 gene:gene15519 transcript:rna15519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDLQTIFPFTLFSIFLLSIIVTLKLKKKIKKIESIPNIPPGPRKLPIIGNIHNLIGSPPHRKLRELSKKYGTLMHLQLGEVFFTIVSSAEYAKEIMKTHDVIFASRPLTLTSEIMFYDSTDIAFSPYGDYWRQLRKICSVELLSIKRVQSLWPIREQEMNNLIQRIASDEGRVINLSQEVISMMYTFTSRAAFGKKYMEQDEFISLVREMLRINGGFYIGDLFPSAKFLQNITGRRSRLEMLHQKVDRILEKIINDHKETKSTTKQGLVEGEEDLIDVLLKFEVDRSNDPDFCLTERNIKAIILDVFIAGSDTAAHTINWTMAEMMKDPRVLKKAQNEVRVTFEKTGKIDETCINELNYLKAITKEVLRLHPPAPLLIPRECGQNCEVDGYHIPIKSKVIINAWAIGRDPKYWTDPDKFYPERFIDSFIDYKGTNFEYIPFGAGRRICPGLNYGMANVELALALLLCHFDWKLPGGMKNEDLDMTELFGASVIRKDDLCLIPTTYPSFK >RHN67896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31238933:31246946:-1 gene:gene16141 transcript:rna16141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling CW-Zn-B3/VAL family MGSDIGMVNGSCVHEWKKGWGLRSGGFAQLCFKCGFMEEWKSGNLDTLSPFESSVFCNKFHRQQTGWRECNFCNKPIHSGCVVSKSLFEYLDFGGIGCVSCVNTSQLNMIRNTENPKWPVSSTQNNARDIHSAHFDSGLFMSNVDEGKLMQLCRVVEASESIHMSHAPINNMITSNGKNNPEVRPSFREGDTGFSNVIKPPVQSLTFATLENNRPTWEIKNMHESNARPSLNMCLGNPSGNNSFPPSGGETTDGRLEGKVSPPFHQGPRSRPILPKLLKTGLTMNIETEKGTLSQPRIARPPGDGRGKNQLLPRYWPRITDQELERLSGDLKSTVVPLFEKVLSASDAGRIGRLVLPKACAEAYFPHITHSEGLPLRVQDVKGNEWTFQFRFWPNNNSRMYVLEGVTPCIQAMDLRAGDTVTFSRIDPGGKLVMGFRKSSNSVDTQDASTSAHSNGGSAKGDTFSGGNENLPSGSNCADLLRSPNANGELRLNGHPEPSHLVTGAAGLLKAENGEMTSNNSLDQKNSALEKKRTRNIGLKSKRLLIGNDDSMELRLTWEEAQDLLRPPPSVKPSIVTIEDQVFEEYDEPPVFGKRTIFSASSSGAKEQWAQCDDCSKWRKLPVDALLPPNWACLENVWDTSRSSCSAPDELSSRELENLLKSSKDFKKRRIVENSKLIQEHEPSGLDALASLAVLGENLLGPADSSAGATTKHPRHRPGCSCIVCIQPPSGKGRHKPTCTCNVCTTVKRRFKTLMLRKKKRQSEREADAAAKKEHIHKRVEESDTNGGASREVANPLENEGGLNKGQVEVGESSAGKIDLNCHPNRDDLQVDNIAKLSDHIWEHINMNQNGGPRTVNTEMQEGQHSSLVTQSNGEDTRYLSEERCIASTVRNKERKDEVLNHSNESEKNLS >RHN73148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13822194:13828987:1 gene:gene8934 transcript:rna8934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lipoprotein lipase MAAGTMATAAGAAVMLYYVSRRLVKKEEDEEEDRGDGDVSKLSRLRRRRISRRPAQAPATLLESIVTLSETLRFTYSETLGKWPIGDLAFGINYFMRKQGNLAVASVYAGSDCVELKGDEIIVELYELLRLLTLCMLFSKKPFPVFLDSAGFTLDDVLIQKPKAGLLKPAFTIIRDTQSKCLLLLIRGTHSIKDTLTAATGAVVPFHHSVLNDGGISNLVLGYAHCGMVAAARWIAKLCTPTLLKALGECPDFNVKIVGHSLGGGTAALLTYILREQKEFSSSTCVTFAPAACMTWELAESGKHFITTIINGSDLVPTFSTSSIDGLRSEVTASSWLNDLRDQVEHTKVLNVVYRSATALGSHLPTISSAKARVAGAGAILWPVTSGTQVMIKRAQSVAEAVVRTRSSLSSWSCMSARRRNVGPTPNSDTEDSTGVSLLSERIAGSLLTKETATPSVLTDEHNSSSGGSGHDDTDEEEQLIPANQDITTSAVDDITEGQLWYELEKELQKQDDTIDIHDQEEEAAAVKEITEEENQLADAAECSNSITTSDNLDNHRFYPPGRIMHIVSMPSSDSSDSNSDDPMEERVSLYETPRELYSKLRLSKTMINDHYMPMYKKMMELLIRDLEKDNSSNSLM >RHN74842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37107722:37108904:-1 gene:gene10967 transcript:rna10967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MNLVQNSAPRPDGRKAGEQAVALRISGDRAAFYNCKILGFQDTICDDRHNHFFKDCLIQGTVDFIFGSGTSLYLKNELRALGDAGPTVIVAQARKSASDADLYSFVHCDITGTGTTTFLARAWMTYPKIIYAYSTMTNVVHPKGWDNGMHPEFDKTSYFGEYQNTGPKSDPKGRVSITKQLSEAEVKPFITLGMIQGSKWLLPPPKV >RHN71310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58135252:58136014:-1 gene:gene19977 transcript:rna19977 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPCDEIRRCSFHLQDINSIFSLYQLQCFSSYSYHYHSHTFATLFDPSHHHYSHPSPTQFPIDTSYIPSLPNPSLFLSPVSLPTSFCYHLHSLYSLFERISPCIHRLFYDLLRCSQLLRWVFSDQNLGFSDTCCYS >RHN75343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41450373:41450788:-1 gene:gene11528 transcript:rna11528 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFTVIAPLCKQNACGEGNGDWFGYTSVSCIVEDYRNGDQDSYKEGDDDDDGGYDYAPAA >RHN45180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12662770:12666388:1 gene:gene39405 transcript:rna39405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-primeverosidase MLSSLRFIMAILYIVFLHSNLSHARGFIGREYPISFKGFQNSSSIPKRASFPSNFIFGVGSSAMQIEGAAHEGGRGLGVWDDNVERHRATFVDGDKFSTLIEHYKRYKEDVQHLKHLGVDSYRMSISWSRVMPSIEPFVTIMHFDYPLALQQKFGGFLNRSIVHHYKDYCELLFKTYGDRVKHWTTFNEPTATPILHMHGIDNDAAERCQDTGKCSEAYTILHNYLICHAAAVKLYRQKFQATQGGEIGLVLQAQNFVPYSSKEEDVDAAQRLMDFTYGWLVQITFHMIITYFSCVNFFKNN >RHN74569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34787653:34788372:1 gene:gene10658 transcript:rna10658 gene_biotype:protein_coding transcript_biotype:protein_coding MELAKQIKIPASSIAREDAGADAEKVIKAAEEVQELVASEAGSLMNIAAGSSEATIPKGLKGILDSPHSDSVVVESDSTPSISTETTSSTFSSDLDDVPLGKIYTTIKKSLSPSTKIHKKAGVNYTTFEPMVPSPDERIGGLAQRRIDVCKHLPPNHPFQPPFIQPLNTLPAETNPETTSQYPTSTNQDPEPQKASEVASEEVTSESPQQQPTTNSQTTIPTSEQTVPEQVVSEQFAYE >RHN59832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13810109:13810825:-1 gene:gene21993 transcript:rna21993 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQILKTKLKEVESMLEDGIIRGPHSHEDIEQKLAFMTNLAATEFKSSNPSSNPQHMHQILQRLESARNSFNERDKDSISHFTTFSNPEFDKDSLSNSNSTSSFNESCLNDAGETLDESGMIVFDEPDKLFPEFIGEKAIVKFRGNGVEKVGGGKIGKFYFQDAEDSFEDFGMEKQVVENKYKKLKREGRGKNGFGKNCCALVSGVCIGMILMGFIMVNLSGCFEYGEQTRFVIPT >RHN59356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9809650:9811389:-1 gene:gene21379 transcript:rna21379 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIVANQILVAVLGLQLPQLLLLISLDFAHFSQQTLQIKVHSTCLVTPKFHKPLM >RHN77976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11008300:11008740:1 gene:gene1489 transcript:rna1489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MNTYYGLLLFMVYFVVRTTTAMVNNSADLTCGNQVIKFPFHIKNHNPNPSSYGYPGFELFCSSNNETMIELPHSMKLNVKNIDYRHQTM >RHN64870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62601634:62603989:1 gene:gene27738 transcript:rna27738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MEVESEAEWGELTRECLINILSRLSFEDQWRGSLLVCKSWFNAFKEEPSLHSVFNLDPYFDKPLESPRWWTLQFESQIDSMLRSIVQWTHIFLTQIRIRHCSDRSLALVAQRCPNLEVLSIRSCPRVTDDSMSKIATGCPNLRELDISYCYEITHESLVLIGRNCSNIKVLKRNLMNWLDPSQHVGIVPDDYLNACPQDGDSEAAAIANSMPHLEGLEIRFSKLTAKGLNSICQGCPNLEFLDLSGCANLTSRDIAKASSSLSHLKDIKKPNFYIPRSVFHTERYGHWSLYDERFQTDVFRI >RHN57470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39376500:39377630:1 gene:gene33017 transcript:rna33017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MKLVLNSYSCIFGIESMEGRKSIQLPLLQIDLNGPASVQDPSEHSMEQQQEKTGTDIIESGGAVAILNSNDNNVATLNSDENNLIQAESGPEESHPPGRVQRKSIHWTDDEHKLFLKGLKKHGKGRWKDISKEFVVTKTPTQIASHAQKYFIHQNVKDIEKKEKKRKSIHDTTLNKNDTLVTVAVEQRDEIPSVELQSVIPPQGMQQTQTQQNEISPMLCLLPISSTIPSVQQQNELTPVVTAPMEQQHETPAILNNKDNENLQTQLVLLYPIGSTLPDMNKLEKMCNLLAKEL >RHN56533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31706834:31708423:-1 gene:gene31948 transcript:rna31948 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFNTPFDGLTEEASLLIASTTSHTILQVSERIFLNYLSSHWTSSK >RHN77124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4264770:4268862:-1 gene:gene548 transcript:rna548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ceramidase MMMIQNWMVAHATKQQQQLRRLKITTHRVIVATFLFSISFFLFSPKIPRSPTHHQFADLRNLLGVPNTLNVITNFPFLVVGVLGLVLTLEGGFFTISSQAETWAWILFYAGIIGVAFGSVYYHLKPDNNRVLWDTLPMMVAYSSLFSSLVIERIGQRIGLCCMCALLFAAFTCVLYERIYDDIRLCLMFQFILPLAIAAAAFVYPSNYTHARYWFSSIGVYLLAKFEAVSDKKLYRANNYVISGHSLEHLCLALIPFLLSVMLIYRERKLKRLGDVKDQL >RHN56732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33287738:33289579:1 gene:gene32172 transcript:rna32172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MDSRKRRSKGAVQVEREFKRSKAEEDIQTLRNFSRYEYLKRREEKKLQHLKEYIIDEQYLFEGVRVSKAEYCDLKHKKDIYDVVSKKRGLESAEDYKEYIMPEAYDDHQQSGGVNQEKRFSVATQRYSTADKEEAWEEHQIRKATMKFGSKNKTNVSDDYQFVFEDQIDVIKESVMGGDKFDCQEEIASEKSRAKRSAYEALKEERKKLPIYPFKDEFLQAVHDHQVLVIVGETGSGKTTQIPQYLHEAGYTKDGRMIACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTIVKYMTDGMLLREFLTQPELDSYSVVMVDEAHERTLSTDILFGLVKDVARARPDLKLLISSATLDAEKFSNYFDLAPIFKIPGRRYPVEIHYSKTAVSNYMDAAIVTTLQIHATQPPGDILVFLAGQEEIESVEENLKYQMRGLGTKLDELIICPIYANLPTELQARIFEPTPKGARKVVLATNIAETSLTIDGIKYVIDPGFFKMKCYNPRTGMESLLVTPISKASAMQRAGRSGRTGPGKCFRLYTAYSFQNDLDDNTTPEIQRTNLANVVLTLNSLGIEYDKLLRFEFMDPPPAEAKRMPWSFYIIWVL >RHN44828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8968124:8969059:1 gene:gene38994 transcript:rna38994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGEHLDIVSIGSCVLILLLHGNDLYTLNLGDRRAVLATCSEKVNAIRLTDSHTVDNEAERLPEKVYGICHKKNLNDALMEILRVCNLSSPPYISSQPSLNVHKISNSDQFVIVGSDGLFDFFSNEEAVKLVESCILNNPFGDPARFLIEQPIPLVNFSISHAKFNFICYNMEVLMNVPDMRRRKYHDHVTVIVIMLGMNKRNGWAKISKNCS >RHN57641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40695751:40699686:1 gene:gene33219 transcript:rna33219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator ABTB family MDNNSNEASSSLSFVSSQLSNASSNNHNITSSTNNEHVAMANTEIVSLNKLSGSLEKLLSDVDYDYCDAEILVEEIPVGIHRCILASRSQFFHELFKKGKDGEVKDGKGKPRYLMKELVPYGSVGYEAFIVFLHYLYTGKLKAPPPEVTTCVDEACIHDSCRPAINFALELMYASSTFQMKELALVFQRCLLNYVDKALVEDVIPILMAAHHCKQDQLLSHCIQRVARSDMEIIYLERELPHEVVTEIKSLRVQSLPESTPDSMEVEPVIVSDKSIRKILKALDSDDVELLKLLLDESSVTLDDAYALHYACAYCDSKVVQEVLTLGLADILLKNPRGYTVLHVAARRKDPSILVALLKNGACASETTLDGQTALSICQRLTRRKDYHEKTATGKESHKDRLCVDVLEREMRRSSMSVNMEVLSQLTADDLHMRLDYLENRVAFATLFYPAEARVAIENAGADSTPRYASSTALKGNIKEVDLNETPSVRTRKLQLRLQSLLKIVENGRRFFPHCSEVLDKYLDDDMPDVFVLEKGTEEEQRAKKARFMELKDEVQKAFHKDMAENNQSGFSSALSSTSSSARRESLNHKVRRK >RHN56581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32023556:32025445:1 gene:gene32007 transcript:rna32007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MGSMSFPTVWRNWIKECVGTATASVLVNGSPTDEFFLEPGLRQGDPISPFLFLLAAKGLNVLMKALVDTDLFTGYRVGRENSVVVSHLQFADDTLLIGNKSWANVRALRAGLVLFEAMSGLKVNFHKSSLVGVNINDSRLSEAASVLGCKVGKIPFLYLGLSIGGDPRRLLFWEPVVDRIKSRLSGWKSRFLSFGGRLILLKSVLTALPVYALSFFKAPTGIISSIESLFNKFFWGGSEEKRKISWVRWETLSMRKEYGGLGVKRLREFNIALLGKWCWRLLLERDALWRQVLVARYGVEDGGLEDGGRSCSSWWREIVRIRDGIGEGGEGWFGTCVRRRVGDGADTDFWRDCWCGDVPLCVRFRRLFDLTAHKSISVRNMFLLGVDVGGEALRWRRRLWAWEEELVEECRALLLTVSLQESVIDRWLWLPNHDDGYSVRGVYDMLTSQEQPQLHHNMDLIWHKQVPLKVSIFAWRLLRDRLPTKLNLANRGILSVEERLCVSGCGIVEDPMVRDWLGVVGVDSRSTSDHFEQFINYAGCSRGRRSFFHLIWLLCVSVLWNERNDRLFRNRQSIVPQLLDKVKSTSLWWLKTSNVVFSFGTHQWWSSLLLCLGI >RHN79399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28471153:28474701:1 gene:gene3185 transcript:rna3185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ML domain, phosphatidylinositol/phosphatidylglycerol transfer protein MEKIITSKLFFFFFFSTLFLLQAFTDATDVHYCGKKDSYDVQVKGVQISPDPVARGQPATFTISANTSQALSEGKLVVDVSYFGWHVYSETHDLCGESSCPISVGDFVIAHSQVLPAYTPPGSYSLKMKLYDGNNNELTCIKFGFDVGFFSSVADI >RHN55769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23482049:23483109:-1 gene:gene31017 transcript:rna31017 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSYMPLKTIYFNEQFNLCSLSFLEIKLYYLYINSIKLLIFIINYKLLCVLYNNKVTSK >RHN53144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42305997:42308497:1 gene:gene37914 transcript:rna37914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNDHRITRLPVQNFKGRMKKLLKGHNRLIFGFNAYMKDRRITLPIRQPVRGGHSNGQKKKKVEENCQLPWDLLDIILRNLDFDDLFQFGSVCKNWREFHKIYWRNFMASEEPLLIQWCAVKRSLNFFSLPHDKVYHSKMINNYFRFVYHGSSSGYFIMTRKDNSFILINPFTRRKMLINNSVFQVNFSYFSCKVLLAFSKGSKEFVLVVSCKNSDNLHVYQSRNLCWTAYSTPQKVVDFAVLNSIIYVVTDKASIGILSLKYANINFLELKSTPGVTSSRYWSHVGLVSCDGYLLVLNFMSKVTYNVYKIDFSTMDYVKLESLADIAIFCVPPKRYYALSNPHMWGYENNSIYAIDVPCGKYTVYKGDNKKMPEFIIPGFKRSELPHPIYCRSKQRYIDWCFRHLQYEVDYTLVE >RHN61877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39059509:39060630:-1 gene:gene24386 transcript:rna24386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MCYLIVHNKKFSRLRKTKLSIERMKLGEELEIEILLRLPTKSLSRFKCVQKSWNNIIKSPYFATRRNRLLILQNAPNMKFIFCDGGNDQKSIPIKSLFPQDVARIEIYGSCDGVFCLKGISSCITRHDQLILWNPTTKEVHLIPRAPSLGNHYSDESLYGFGAVNDDFKVVKLNISNSNRMAKINSLLKADIYDLSTKSWTPLVSHPPITMVTRIQPSRYNTLVNGVYYWITSSDGSDAARILCFDFRDNQFRKLEAPKLGHYIPFFCDDVFEIKGYLGYVVQYRCRIVWLEIWTLEQNGWAKKYNIDTKMSIFHIYGLWNDGAEILVGEFGQRQLTSCDHHGNVLRQFQLDTLENACFWYYEYVPSMAPLSK >RHN51850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26718568:26718893:1 gene:gene36395 transcript:rna36395 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYPRRRRNRVSTHSNTAASLSHLYQFSQTNSFVHFPNTMKSETPATAAVTKQVKNTRNGGCCEVGNTNDRRGDKRCSRETPDNQ >RHN77193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4849558:4850121:-1 gene:gene619 transcript:rna619 gene_biotype:protein_coding transcript_biotype:protein_coding MRRADWLSLHGIEQKDFEVIEKISEPLQFADCGCHDTDLSEVCLIPKAIWCPSVFVWRLIWKQVVLCQFETWKSPIQRNISIIPGLQS >RHN46683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34026621:34031004:1 gene:gene41202 transcript:rna41202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-II family MGCFTVLKSKKKKSDSFAYVKRISHNEHAPSVLPEPQTHTRSLQSAPPSFRTRVKPIQSVNKATNNRIRALSAPSTLDVADQDALASIEYEEQEELKHRGGGSMKEPRSSSPQPLPLPSPQGGSSLKAIGSFKLGTASGPLHASGPLPLPPTGSLRNFPYEEVAAACHNFSSDRCMSECLSSTIYKAYFGDDPSNSKKFEATVTRLHPSSQGLKEFINEVNTLATLQHPNLCKLLGFHARDGSEHKMLVYERLYHGSLDRLLYGRSDGPSIDWNTRMKIAMCAAHGLTFLHEEGPFQAMYNEFSTANIQIDKDFSAKLSGYGCVGHIPEEEISSSSSAVGNLSMETLEKGMLTPKSNVWSFGIFLLELLTGRKNLDSRHPKEERNLVKWSKPFLADNYRLSLIMDPQLKGRYPSKAARTIADIAQRCLQKEPSDRPTMRTVVEHLKTIQDLKYSCRFPLQEPAPLSGKEMLRSPSLNGIICPAPRLSYSPSRPSVALIPISPPRWSGVPVLPPRACSSTLSLVELDRQESRKSSSSASRRASVEGF >RHN78945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20175223:20182751:-1 gene:gene2614 transcript:rna2614 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSSSSNSENSGGELLCVGTLEIATPKPVGFLCGSIPVPTDNSFHSALLPTPQTVNAPRYRYRMLPTQTDLNTPPLLPVGSAVHSNTSAGGGGDFPWESTAVASNFARKCEALAVSGFVDYGDEIDIIAPADILKQIFKMPYSKARLSIAVHRIGDTLVLNTGPDIEEGEKLIRRHNNQSKCADQSLFLNFAMHSVRMEACDCPPTHHVPSEDQSNSSVFPGNTPHIVVQNDDVVQSEGYNCHSDYSQVGQDSLFWGSKKSRRNKSHPVNKVSQVGEKPRSSMKESEKQRNVGNDSFLRVLFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVTRQVTPLTWLDAWLDNVMASVPELAICYHHNGVVQGYELLKTDDIFLLKGISEDGTPAFHPYVVQQNGLSVLRFLQDNCKQDPGAYWLYKGAGEDDIQLFDLSVIPKNHSSNSSDDASSSMPSLISGGRSDAVYSLGILLYRIAHRLSLSMAAKNRARCVRFFRQCLEFLDDSDHLAVRAIAHEQFARLILNYDDELKLTPESLAVECELSVTEAKESSLDGENSNSELVAHEMFDVHADGKSGEHVKITEHLESGGPAKMVSEAHNPVSGELIPVGNTELSNQRGVEPCLSSDVRSSVREVCPVSPPVVQTVADPISSKLAAVHHVSQAIKSLRWMRQIQSSEPEMMDQLNNNHDSPSSPFNVSVCACGDSDCIEVCDIREWLPTSKLDHKLWKLVLLLGESYLALAEAYKEDGQLYQALKVIQLSCSVYGSMPSHLEDTKFISSMASYSSLQRKHINMNENVTWLDDKEDETYIERKSSTYLFWAKAWALVGDVKIEFHRIKGKEISTEDLTKPATRELRMSSEVVKEVKRLKKKLVQLNQNCSSCSLVNCSCQSDRASSGNSASSSSVEVTMTYGRKHSKRLSSKTANHLPARDSGDEFVQNKESRKDSDTEDFEHSNYGGDLTETLENNRTGVESSAAMKSRNVEGSSEMDKSCSSVVSQTELNSRETGKAKIGGIFEYLAEPLVGDAEHNLLASLKCYEEARKALFKLPSGLSELQSVIKKKGWVCNELGRIRIENKELHKAELAFSDAIDAFREVSDHTNIILINCNLGHGKRALAEEMISKMDNLKQHNIFQIAYNHALETAKLEYKESLRYYGAARLELNAIKDDADTGTNGLRNEVHTQFAHTYLRLGMLLARENTTAEVYENVSSEKTHLTHTNSHGRKAKKDLRKHEISANEAIREALSVYESLGELRKQEAAYAYFQLACYQRDCCLKFMNSSSKRNALAKGENSMVQRIKQYASLAERNWQKAMDFYGPKTHSNMYLTILMERSALSFSVSSHLHSNVMLESALAHMLEGRHVSDRNADTFSTSYPELHAKYWRQLQGLLKKMLATVLSSSANKSLCQPSSTSSKFGDSQKIKELYKMSLKGTDMVQLHTMHTLWTT >RHN75302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41099020:41099587:1 gene:gene11482 transcript:rna11482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START domain-containing protein MSAEFYLPSPFIPTRECVFARYSKQFTHNIWAVVDVSLEDILPSFSNNFHKRPSGCLIIGMPNGNSKVIWVEHVVADHSQLNGLFKTFVTSGLAFGAPRWLASIVQHIEWSETLNATKLIADARGTFHLYVGFIQLHRRYWVYLYVVQPLLFHEM >RHN53476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1906220:1906567:-1 gene:gene28385 transcript:rna28385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MLSTLGSKTTSNEVKRMMEQIDQNGDSYIDLKEFTEFQWDDVVVAAVGKDDELRDAFDLYDLDKDGLISPKELHIFLNKLREKCSLSDCQRMISNDDVDGGGNVNFEEFNKMTAR >RHN56421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30742052:30742837:1 gene:gene31818 transcript:rna31818 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVWDEVMENEEENEKEHQEEEPSPDAEDEKDEKEQEREEEHSLDSFVADDEKVISQDESGNDYEEAVSVEWVDKSLNLTFKCPCGKGYEVLICANNCYYKLV >RHN55934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25730216:25736169:1 gene:gene31228 transcript:rna31228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MVYPHSLAFLCFSSLLLTLLFSSEVSSSSKGATKIGQGYRLVSIEETPDGALVGLLQLNQKTKIYGPDIPLLRFYAKHETENRLRVHITDANKQRWEVPYNLIPREQPPPLPQTLGKFQKNPIEVSEYSGSELLFSYISNPFSFSVKRKSNGETLFNSTSTSSDPFSSLVFKDQYLEISTKLPKDASLYGLGENTQPHGIKLYPSDPYTLYTTDISAINLNADLYGSHPMYMDLRNNGGKASAHAVLLLNSNGMDVFYKGTSLTYKVIGGVFDFYFFSGPTPLNVVDQYTTLIGRPAAMPYWAFGFHQCRWGYHNLSVVEDVVDSYKKAQIPLDVIWNDDDHMDGHKDFTLNPKNYPRPKLLNFLNKIHSIGMKYIVIIDPGIGVNSSYGVYQRGLANDVFIKYEGEPFLAQVWPGAVNFPDFLNPKTVNWWVDEIRRFHELVPVDGLWIDMNEASNFCSGKCKIPKNTICPNGTGPGWICCLDCKNITKTRWDDPPYKINASGIQAPIGYKTIATSATHYNGVLEYDAHSIYGFSQSVATHKGLLGLEGKRPFILSRSTYVGSGKYAAHWTGDNQGTWENLRYSISTMLNFGIFGVPMVGSDICGFYPQPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWDSVAQSARNALGIRYKILPYLYTLNYEAHVSGSPIARPLFFTFPSYTKCYDVSTQFLLGSSLMISPVLEQGKTQVKALFPPGSWYSLLDWTHTITSTGGTYVTLDAPLHVVNVHLYQNTILPMQQGGLISKDARKTPFTLIVTFPAGASEGDAKGTLFIDDDELPEIKLGNGYSSFIDLYASVKQGGVKVWSEVQEGKFALDKGLIIDSISVLGLDGNVGAVASLELDGKPLIGMSSLNVTTSEHVHLEGEGNGESKTVMVTLRGLSIPVGKNFAMTWKMG >RHN49949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2557478:2558541:-1 gene:gene34186 transcript:rna34186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-dehydrosphinganine reductase MKANEVAQKAFYGIRRGSFIISCNLEGITLSLATSGLSPQRSFLMAFVEVIAAGIMCIAALCLQWNWYGSIEKWHKQRKCQLMVLLFN >RHN42472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38610507:38611994:-1 gene:gene48916 transcript:rna48916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microsomal signal peptidase 12kDa subunit MQILLLAFAVIAFGAGYITASFQTMILIYAGGVILTTLVTIPNWPFFNHHPLKWLDPSEAEKHPKPEPSSNVAAKKKSIKK >RHN62108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40782813:40783402:-1 gene:gene24642 transcript:rna24642 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLNIMTAVSIYVEFGHRIYTCLKRIKFMVVVFLLVKNTGTSKGNTSKFVSKDFEEIDAMIDEDVVAAIDRVLLEGITFPLKSRHSVQGQQASKLDPNLFEQLFQELRDIAFKEDLVEKFKGLLSLKRTWLKNLGVVVSFPNNIVRILRNSTI >RHN72373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7137431:7141603:-1 gene:gene8076 transcript:rna8076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGCVHGKCCSRYPAPSIGGSRDYREPVPKKHILTQRSLHFVDVASHNFTMEYSVLTQRGYYPDSPDKENQDCYCVRTQLQGNPSVHFFGVYDGHGEFGGLCSNFVKNKLVEKLSNDSALLEDPVKAYNSAFLATNDELHKSEIDDCMSGTTAITVLVIGDTLYVANVGDSRAVLAVKDGNRIVAQDLSSDQTPFRRDEYERVKLSGARVLSVDQVEGHKDPDIQTWGDEESQGDDPPRLWVQNGMIPGAAFTRSVGDSLAETIGVIAVPEVLTVQLKPDHLFFVVASDGVFEFLSSQTVVDMAASYSDPRDACAAIAGESYKLWLEHEGRTDDITIIIVQIKGPSHSGTSEYGSGEINVSTITRAKKGKGTSGISASAGLDVHRAVTNGFSDSLSGQHVVSTRSHAIVVPSPTFQSPIEL >RHN53192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42701091:42710980:1 gene:gene37971 transcript:rna37971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MGIFEPYRAIGCISTGVPFSVQRLNTETFVTVSVGKAFQIFNYAKLTLVLVSPQLPKKITSLASYRDFTFASYGNHIAVFKRAHQVATWSSHTAKVKSLLLFGEHILSLDAQGNIFLWAFKDIKDNLVPVGHIVLDQNFTPTCIMHPDTYLNKVLIGSEQGTMQLWNISTKKKIFEFKGWDSPISCIVSSPALDVVAVGCTDGKIHVHNIRYDEELVTFTHSTRGSVTALSFSTDGQPLLASGGSSGVISIWNLEKKRLHSVVREAHDGVITSLHFFANEPVLMSSSADNSIKMWIFDTSDGDPRLLRFRSGHSAPPLCIKFYANGRHILSAGQDRAFRLFSVVQDQQSRELSQRHVSKRAKKLRVKEEEIKLKPVIAFDCAEIRERDWCNVVTCHTDTAQAYVWRLQNFVLGEHILTPCPENPTPVKTCAISACGNFAILGTAGGWIEKFNLQSGIHRGAYIDMSESRSCAHDSEVVGVACDATNTLMISVGYQGDIKVWDFKERSLKSKLDVGCSVVKIVYHRYNGLLATVADDLTIRLFDVVALRLVRKFEGHTDRITDLCFSEDGKWLLSSSMDGSLRIWDVILARQIDAIQVDVPITALSLSPNMDILATTHVDQNGVYLWVSQAMFSSSPNVDSYASGKEVVSVKLPSISSTGDTQVAHSGEPVNDSQPKDAPAFPTQDKQIPDLVTLSLLPKSQWQSLINLDIIKVRNKPIEPPKKPEKAPFFLPSVPLLSGEILFEPGKVSVEKDGIDNAKQMNKIKLDTPSSRFLYLLQSTKESDNFAAFTDYIKGLSPSTLDMEFRMLQILDDDNDEQEDEKRPELVPIEQLMDYFIFELSSRNNFEFLQAVIRLFLKIHGETIRQHKHLQEKARKLLDIQCMVWQRVDKLFQSTRCVVAFLSNSQIHA >RHN39240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5203933:5212947:-1 gene:gene45245 transcript:rna45245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSGGDEVVVAPEEPVQPLEWKFSQVFGERAAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDSKDHGSRSDLERMDYSVNRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANGAVFLLSTNDKTIKFWKVQEKKVKKISDMNIDSSKATGNGSIASSSNSSSSKPHLANGGPSDKSYSYLSNDFSFPPGGLPSLKLPSVVVSHETSLLARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISSQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDLRQSALCDSHAKLFEEQEAPGSRSFFTEIIASISDIKFAKDGRYILSRDYMTLKLWDINMDSGPVATYRVHEHLRPRLCDLYENDSIFDKFECCLSGDGSRVSTGSYSNLFRVFGCAPGSTEATTLEASKNPMRRQVPTPSRPSRSIGNSITRVVRRGAENTGVDANGNSFDFTTKLLHLAWHPSENSIACAAANSLYMYYA >RHN52492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36122945:36127202:1 gene:gene37177 transcript:rna37177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MVALQKIGNEKEVSHLVKLYLSKTLNHRPQKQTHSYSEFSNSFFIQFLSIIMAMQLPSSSSSFSSAFTYQVFLSFRGTDTRHGFTGNLYKALTDKGIKTFIDDNDLQRGDEITPSLLKAIEESRIFIPVFSINYATSKFCLDELVHIIHCYKTKGRLVLPVFFGVDPTNVRHHTGPYGEALAGHEKRFQNDKDNMERLHQWKLALTQAANLSGYHSSPGYEYKFIGDIVKYISNKISRQHLHVANYPVGLQSRVQQVKSLLGEGYDNGVRMVGLYGTGGLGKSTLVKAVYNLIADQFECSCFLENVRENSASNKLKHLQEELLLKALQLKIKLGGVSEGIPYIKERLHTKKTLLILDDVDDMEQLHALAGGPDWFGRGSRVIITTRDKHLLRSHGIESTHEVEELYGTEALELLRWMAFKNNKVPSIYEDVLNRAVSYASGLPLVLEIVGSNLFGKTIEEWKGTLDGYEKIPNKKIHQILKVSYDALEEEQQSVFLDIACCFKGCGWEEFEYILRAHYGHRITHHLVVLAEKSLVKITHPHYGSIYELTLHDLIKDMGKEVVRQESPKEPGERSRLWCEDDIVNVLKENTGTSKIEMIYMNFPSEEFVIDKKGKAFKKMTRLKTLIIENGHFSKGLKYLPSSLRVLKLHGCLSESLLSCSLSKNFQNMKVLTLDKCEYLTHIPDVSGLQNLEKFSFAYCRKLITIHNSIGHLNKLERLNAFDCSKLESFPPLGLASLNELNLSHCGSLKSFPKLLCKMTNIKKIWLQNTSIRELPSSFQNLSELHELTVREAGMLRFPKQNDQMYSIVFSKVTKLELYNCKLSDECLPIFLKWCVYVTYLDLSWNNFKLIPECLSECYLLSSLRLDNCKSLEEIRGIPPNLARLSAIGCKSLSSSSRKMLLSQKLNEAGCNICFPNFSDGIPDWFEHQSRGDTISFWFRKKIPSNISIILFPGCMSIPQLYLFVNGYKCFPFSYLIWGFGSIEILESEHSFLFNLKLEEEITHLSRMSEMDKALLKTEWIHVELNFIKSVWNKSICDRVTEKLSSAQMGIHVSKEKSNAEENVIFTDPYREYRNTSLSQFEPPLKKQRLVEVVVSETEIWQQQHLSLESGMQNLVLTETKEAPLLDRFLRPGNHL >RHN75249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40651682:40658469:1 gene:gene11422 transcript:rna11422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-I-2 family MKEESTGLIIGISIGVVIGVVLAISALICFRYHRKRSQIGNSSSRRAAAIPIRTNGADSCTILSDSTLGPESPVRSGRNGMNFWIDGFKKSNMISASGILEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMSTGETVAVKVLATNSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSKGNLASHLYSEENGNLGWDLRVHIALDVARGLEYLHDGAVPPVIHRDIKSNNILLDQSMRARVADFGLSREEMVDKHAAIRGTFGYLDPEYISSGTFTKKSDVYSFGVLLFELIAGRNPQQGLMEHVELAAMNSEGKVGWEEIVDSKLEGKCDFQEVNEVAALAYRCINRSPRKRPSMRDIVQVLTRILKARHQRNHHKKSLSATTDEVAIDVDEPENKGHVTDHRRDESIDSRHRRDESIDSATDMYDL >RHN45842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26214088:26217191:1 gene:gene40263 transcript:rna40263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTNSDPPPKHPHSSGGAPTSILLDELITDILSRLPVKTLMQFKCVCKSWKTLISHDPSFAKLHLQRSQRNTHLALVSDLSSEDQSNCSVVPFPVSHLLEAPLIVTPFEPYYPLRNVAIPNDPYYVLGNMDCSLIIGSCNGLLCLDSYSYTYEDQEHHWFRFWNPATNTLSEDLGCLNKFLRLTFGYDISNDTYKVVAFSADEVKIFSLSDNIWRDIPSFPIVPFDIDASRCHPYVNNGVYVSGTINWLTIQNKTEYEWNDISIDQFLILSLDLTTETYQHLRPPQGFVDVPPVDPAVTVLMDCLCFSHRSKETHFVLWLMMEYGVQDSWTQFLKISFQDLQIDYGISDSLDYGSQLYLYPLYLSESDNTLIMASNQEGHDGYDNHAILYNWRDKTVEQITSVDNEILWFHTKDYVESLVSTF >RHN63897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54888912:54889363:-1 gene:gene26652 transcript:rna26652 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSSYSSTIVRATDEFSVDISSTLLAASSSLQMATSNGILPILRSISDGSNSKKEISFHGKSSIGQKAVHLIPVVLIFCGLVLWIFSHP >RHN48288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46337460:46337922:-1 gene:gene42990 transcript:rna42990 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKFKDGSFEYINMDGKNVGATMRLHHRSNNKGESKDCCCINIYVNNNVQGVTNSVLHDSEVRMRDPGVSIYFEDLKFDKGIPTYSKRFCWGDKLGYCLCILILLLALIFLLVLLFSTRIIIFIL >RHN67082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23619160:23620691:1 gene:gene15223 transcript:rna15223 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLKHQSSTKYLTKDDDDEWDFSAFEGNSAGKSNGAAAKTTTEVKIKISKKQLEELLSKVDIRELRVEQVLAQLMNHSNGYESLQRSWRPALQSIPELD >RHN74737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36261736:36262005:-1 gene:gene10845 transcript:rna10845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase METECPKLMAWTKRCMERDSVSKALPDFIVSIKKALGLYVNLMTIESFRKKKALVKKLLKKQLCFSKNVNLMTIESFSKKNLNINDQHA >RHN61539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36257076:36257620:1 gene:gene24012 transcript:rna24012 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative uracil-DNA glycosylase MDMLVEQSWLEGLPGEFQIFDFKGSASSAVNPPQHLIFNALNTTPFHPVKVVILGQASCHKITLVGVCKVQNGEAAVVKHLGSDWFNRDIGESE >RHN55391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17894310:17896231:1 gene:gene30564 transcript:rna30564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MTDVESDRISCLPDHLQHHILSYLTIKEAGRTSVLSSKWKKKWSTQPDLVFDRQCVSTVASEDFSVIEGKFLRIIDHVLLLHSGPINKFEVTDSECDLLGVNSMADVDRWILHLTGRSVKELVLDIWAGELYKIPWCLFSYQSLHHLKLSHCLLKPPTMFKGFSSLESLVLHDALMTQDAFENLISGCPQLEKLILTSVKGFTQFNIHAPNLKFFRINGKFEGISFDNTLQLAVVYLELSSYLNSESNHSNLFNFFDHLPHIKSLLIVEHCLKYLAAGIVPVELPTPIIDLSSLLLEINFNDLREISVVPCLLKSSPNLQRLRMIARLEEQTDLVTPVSNCMEDIFSGPTMSLSVKYVVIKDISGTKSELDFIRFLLQYSPVLQKMTVKPAENVKPELMTELIRFRRASGQAEVIYHGKDSS >RHN61148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33461483:33469285:1 gene:gene23582 transcript:rna23582 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSASRTTVTLLIFIFLLISEFSFTIAIRKDIGFKFIPFCNNTVQGRFLLSDNNGYVCNALSVDSKSRCCPQTGKKFSCHGCNLLSQCCNSYEYCVSCCLNPAMTKKEHVLKMKIAKPSTARTYESVFDYCAGRCRHSSESVVHENAYLSDFHHCFSLPSNSSVANSTLTEARLNGINVVAGRQGESCNSVCKSRGQSCVPNKLVVLNHCDIIQKYMSCKGGCLASVGTDQPAEVVYDAPKYMNPESCLYTETQSILSCDGSHQHTRRLCPCA >RHN43187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43870692:43881224:-1 gene:gene49727 transcript:rna49727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative magnesium transporter NIPA MSSTNLTGFLLALISSAFIGSSFIIKKKGLQLARVNGPSASVGGYGYLLQPLWWVGMVTMIVGEIANFVAYMYAPAVLVTPLGALSIIVSAVLAHFLLKEKLQKMGMLGCLICILGSTIIVLHAPQEMSLSSVQQIWKLAIQPAFLMYTTSAIAITLFLVLYCAPRYGQSNILVYIGICSIVGSLTVMSVKAIGIAIKLTLEGANQIFYFQTWVFTMVAITCIIIQLNYLNMALDNFNTAVVSPIYYALFTAFTILASAIMFKDYSGQSIGSIVSELCGFITILSGTFLLHGTREPDPPVNPDLYSPLSPRVSWYFQGNNESWKQKEEDVPPFNLIAIIRQDHFK >RHN80417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37924653:37926195:-1 gene:gene4344 transcript:rna4344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphate-induced protein MSPTPILLLLLVLFLSFFFSLIVSEQNGEFETLNTKFNPKLPLPPLKTLSSSKRYEGSSDLVKLKYHMGPVLSSPINIYLIWYGNWPKPHKSLIKDFLNSISDTTVPHPSVSDWWRTVTLYTDQTGANISKTVSIAGEYSDYRYSLGKRLTRLSIQDVIATAVRSKPFPVDHRKGIYLVLTADDVTMDEYCRAVCGFHYFTFPSKVGYTLPYAWVGNSGTQCPEVCAYPFAVPSYMAGGGPGKLSPPNGNVGVDGMVSVIAHELAELSSNPLVNAWYAGEDPTAPTEIGDLCEGLYGTGGGGGYIGEVMKDKSGKTFNVNGIRDKKFLVQWVWSNVLNACAGPNAVDLN >RHN50685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9442082:9442807:1 gene:gene35006 transcript:rna35006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAHIFNYVYALLVFLSLFLMVTNGIHIGCDKDRDCPKQMCHLNQTPKCLKNICKCV >RHN81834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49236221:49238931:1 gene:gene5926 transcript:rna5926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative remorin MTDQEQPKKIESESTSNPPPPPASTETTTTPLPEAPKKDVAEEKSVIPQDNNPPPPPPVVDDSKALVIVQKTDEAAEEKPKEGGSIDRDAVLTRVATEKRLSLIKAWEESEKSKAENKAQRRLSTITAWENSKKAAKEAELRKLEEQLEKKKGEYAEKLKNKIAALHKAAEEKKAMIEAKKGEDLLKAEEIAAKYRATGTAPKKLFGLF >RHN67714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29615124:29615412:1 gene:gene15933 transcript:rna15933 gene_biotype:protein_coding transcript_biotype:protein_coding MTPADSPQQLDVDEVGFSEDKNYALNGKIMFLVLVIVFTLFMVLILMIPYLKKRARRSHESETSLGDSMAESNRIAYNIKKKSPM >RHN42406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38077122:38082382:-1 gene:gene48843 transcript:rna48843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deoxyhypusine synthase MSETKQEDDTIMSSVHSTVFKESENLAGKCLQIEGYDFNRGVDYQQLLKSMLTTGFQASNFGDAVKVVNQMLDWRLVDEPIDEDCDEDKKDLEYRKSVSCKVFLGFTSNLISSGVRDVVRYLCQHHMVHVVVTTTGGIEEDLIKCLAPTYKGEFSLPGAYLRSKGLNRIGNLLVPNDNYCKFEDWIIPIFDQMLKEQKEEKVLWTPSKLIARLGKEINNENSYLYWAYKNNIPVYCPGLTDGSLGDMLYFHSFHNPGLIVDIVQDIRAMNGEAVHANPSKTGMIILGGGLPKHHICNANMMRNGADYAVFINTAQEFDGSDSGARPDEAVSWGKIRGSAKTVKVHCDATIAFPLLVAETFASRTKPPLL >RHN40388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15895566:15898622:1 gene:gene46536 transcript:rna46536 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSMKSKSKSKAKAAKEQQKTSPKTSGSTNDESSIPSSACDLETSLVDSSSIVNDNSQFAKINETDDHSISPQGTVSEYDSVSNNGSCSGESEDTKEKAANSSTRLEFIPGCDNDRRDKIRLKNERKHQRQRERRAHELHERCVAYLMSRKLEKLVQKLVAMGFTTERATLALKLNNGKLEESISWLFEGGSEAKDTTNLVSDGNLKIDISEALEQIYALEVKYNCSKQEVERAVVACEGDLQKAENTLKSQKEESHVNQSEDSAQNNNSSIRSHGLQPASVSIQQRGNESDFNYYNVRGADSMFHDPENRNPQSLHLNHQNELTQKRWGVGATASNPSNMLQSMQAMSPYVKMEVQPSAFRNDGRMIHQGVGREPVVMMQHPQFADAKQNYLNSMNSIASGTSSWYVNNVPSYENTRSNGNLLLQNHGMGNVGADRLQQLSQAPYKEYSHVFGQADSSISSGGMGGFYKPMVASSPSPTMPSQSHPQHHGSRNTTASSPALTVPPSLGLFCGHQNPSARSFSSHSHVDWNTGGMVQEFDYNNIDWSLDCPPSSRSGDVWLGFSSSLRNNAGNRMASSYMTGSRNVGTAREISSSAGLRDWTSPFAGKDIFSAPRKFVTFPPM >RHN58180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44382505:44387452:-1 gene:gene33797 transcript:rna33797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin regulator PHD family MANIITHHLPCDADGVCMVCKQKPSETETLHCKTCTTPWHAPCLPVVPTTSEMLDWLCPDCAQPSDVVAASAAPSVAGDLVSAIRAIENDPSLTEEEKRKKRQELHGGSLKEKDEVHVRRSGVLDIFDGSLNCSFCVKLPERPVTTPCGHNFCLKCFEKWVGLGKRTCSNCRTAIPPKMASNPRINAQLAIAIRMAKLARAESVGGSTAPKNYQVVLNDERPDTCYTTERARKTGKANACSGKIFVTIPKDHFGPILAEYDPNRNRGVLVGDTWEDRMECRQWGAHFPHVAGIAGQSAHGAQSVALSGGYIDDEDHGEWFLYTGSGGRDLSGNKRTNKNQSFDQKFENMNEALRTSCRKGYPVRVVRSHKEKRSSYAPEEGLRYDGVYRIEKCWRKVGIQGHKVCRYLFVRCDNEPAPWTSDLTGDRPRPLPTIKEFKGAVDITERKDDPSWDFDEEKGCWLWKKPPPLSKKPMNVVDSFDPTKMKIVRPKAIKPSFKIKDRLLKEFGCNICRKVLASPLTTPCAHNFCKGCLEGAFAGQSYIRNRTTQSGRSLRTQKNIMKCPSCATDIAEYLQNPQVNREMMGVIETLQRQAEQQEEQMEENSGESSAKSEENDKPDEETEVSKSSDSSEKVVEEINEDDVNPPQKKRKGDDDKAVVNVEEQIDDAGVESKTVACN >RHN72040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4567964:4570502:-1 gene:gene7708 transcript:rna7708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-chelatase subunit ChlI MSSTTLGTSSIAFLPSRYLSSPSSNPSIHTLSLTSGQNCSKKLYGGIGISSTKGKSRLPVVNVATEVNSVEQSLNTISKESARPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIKVVFGDPYNSDPEDPEVMGVEVRDRVIKGEQLSIVLSKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDKNPKEFRETYKAEQEKLTDQITSARKILSSVQIDQDLKVKISRVCAELNVDGLRGDIVSNRAAKALAALKGRDQVTVEDIATVIPNCLRHRLRKDPLESIDSGLLVTEKFYEVFS >RHN46825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35153752:35155877:1 gene:gene41356 transcript:rna41356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MSPQNYSLSKPWNVNSLTLEQFMVENQYDPSMFQALIFCPLCPLPINKMVFQLLPCLKVVVTTSTGVNHIDLSECQCRGIQVANVGSLYSEDVADVAVALLIGVLTSIVAADRFVRATMQFDFPQASYSKEALAWKVAKRLEAFGCIILYLSRKKKPFITYPFYSNMLELASNSDALVLCCPLNEETRHMVNKEVMLALGNKGVIVNVGRWSLIDEELVNCLIEGHIGGAGLDVFENEPNVPQQLLVLDNVILSPHNAAFTNETFMAATQLVEDNLEAFFSNKSPVTPIKLN >RHN78204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12777940:12780456:1 gene:gene1738 transcript:rna1738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MVIALAAQKGWNVYQLDVKSAFLQGELNEEVFVDQPQGYVEKGDELKVYKLRRALYDLKQAPRAWYSRIEAYFTKEGFERCPYEHTLFVKLSERGNILIVCFYVDDLIFTGNDENMFKEFKKSMEKEFNMSDLGKMHYFLGVEVIQNEEGIYICQRKYVTDLLERFGMEKSNLSRNPIAPGCKLIKDENGVKVDATKYKQIVGCLMYLAATRPDLMYVLSLISRFMNCPTELHMHAVKRVLRYLNGTINLGIMYKRNGSDKLEAYTDSDYAGDLDDRKSTFGYVFLLSSGAVSWSSKKQPVVTLSTTEVEFIATAFCACQSVWMRRVLEKLGCTQFGSITMYCDNNSTIKLSKNLVLHGRSKHIDVRFHFLYGTLELVHCNSQHQKEDIMTKPLKLEVFEKLRGLLGMSFMPTT >RHN47204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37872027:37880320:-1 gene:gene41770 transcript:rna41770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MGGSILFHHLFVSSLLIFTLLLKDVHASKECYIVYLGAHSHGPTPSSVDLETATSSHYDLLGSILGSKENAKEAIIYSYNKQINGFAAMLEEEEAAQIAKNPKVVSVFLSKEHKLHTTRSWEFLGLRGNDINSAWQKGRFGENTIIGNIDTGVWPESKSFSDRGIGPIPAKWRGGNICQLDKLNTSKKVPCNRKLIGARFFNKAYQKRNGKLPRSQQTARDFVGHGTHTLSTAGGNFVPGASIFNIGNGTIKGGSPRARVATYKVCWSLTDATSCFGADVLSAIDQAIDDGVDIISVSAGGPSSTNSEEIFTDEISIGAFHALARNILLVASAGNEGPTPGSVVNVAPWVFTVAASTLDRDFSSVMTIGNKTLTGASLFVNLPPNQDFTIVTSTDAKLANATNRDARFCRPRTLDPSKVNGKIVACDREGKIKSVAEGQEALSAGAKGVILRNQPEINGKTLLSEPHVLSTISYPGNHSRTTGRSLDIIPSDIKSGTKLRMSPAKTLNRRKPAPVMASYSSRGPNKVQPSILKPDVTAPGVNILAAYSLFASASNLITDTRRGFPFNVMQGTSMSCPHVAGTAGLIKTLHPNWSPAAIKSAIMTTATTRDNTNKPISDAFDKTLANPFAYGSGHIRPNSAMDPGLVYDLGIKDYLNFLCASGYNQQLISALNFNMTFTCSGTSSIDDLNYPSITLPNLGLNSVTVTRTVTNVGPPSTYFAKVQLAGYKIAVVPSSLNFKKIGEKKTFQVIVQATSVTPRRKYQFGELRWTNGKHIVRSPVTVRRK >RHN55372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17726328:17727729:1 gene:gene30543 transcript:rna30543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, leucine-rich repeat domain, L MVGIYGMGGLGKTTLACAVYNCIADQFDSLCFLGDIRENSKKRGLVELQDMLLFELTGEKDIKLCSLNKAIPIIESRLRGRKILLILDDIDSLEQLKALAGGLEWFGSGSRVIITTRDKHLLQVYGVERVYEVEGLKHEEALELFVWNAFKSKEVEPSYFDIAKKVLLYSKGLPLAIEIIGSDLYGKTILEWQSAIDTYERIPHENIQDILRVSYDGLKEFEKEIFLDITCFFKGYKLSDVMNILHSGRGYAPDYAVQVLIDKSLIKMNEYRVRIHDMIEDMGREIVRLESPSKPGGRSRLWFTKDILHVLKENKGSDKTEIIVLNLLKDKEVQWDGNALKNMENLKILVIEKTRFSRGPNHLPKSLRVLKWFDYPESSLPAHYNPKKLVILDLSDSTGLFTFGNQMIMVLIFCLFSEF >RHN80380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37570298:37577110:-1 gene:gene4295 transcript:rna4295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MSRGRSDGSQKKRIVASICVVTVFIGLLYVYGGSIFGSQTSGSSALEYGKSLRKLGSSYLGADDDNDDKQDDSSSGFGQVDEDDNIVPKSFPVCDDRHSELIPCLDRHLIYQLRMKLDLSVMEHYERHCPPAERRYNCLIPPPAGYKVPVKWPKSRDEVWKANIPHTHLAHEKSDQNWMVEKGEKIAFPGGGTHFHYGADKYIASMANMLNFSNNNLNNEGRLRTVLDVGCGVASFGGYLLSSDIITMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEENLRIWKEMSDLVGRMCWRIASKKEQTVIWQKPLTNDCYKKREPGTRPPLCQSDADPDAVFGVNMEVCITPYSEHDNKAKGSGLAPWPARLTSPPPRLADFGYSNEMFEKDSELWRERVDKYWSLMSKKIKSDTIRNIMDMKANMGSFGAALKDKDVWVMNVVPQDGPNTLKVIYDRGLIGATHDWCEAFSTYPRTYDLLHAWTVLSDVAKKDCSPEDLLIEMDRVLRPTGFVIFRDKQPMIDFVKKYLTALHWEAVATADSGSDSVQDSDEVVFIIQKKLWLTSGSFRDTE >RHN54712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11515972:11517741:1 gene:gene29790 transcript:rna29790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MEGRKVQQLPHHIDLNERTSIQDLPENSLDQQREKLDTVVEFGRAVAIPNSNDNNLGQAELVPEGSHEAKRGRKERVHWTEGEHKLFLQGVKKHGRGRWKDISREFVKTKTPTQIASHAQKYFVHHQTAKEIEKKKKRRSIHDITLNNNDTIVTVPLEQQEATHRIEKQHEIPPQDTATLNNKDNDNDNLQQTQQMYQLALLYPIGTALPDMNKLEKMRNLFNLLAKDL >RHN49552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55596781:55597046:1 gene:gene44394 transcript:rna44394 gene_biotype:protein_coding transcript_biotype:protein_coding MIDASDDEINIILQEHKQDLKAYYISLYIVSYYQIRVNLLFISLINYFIVITIFL >RHN39262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5383017:5389089:1 gene:gene45270 transcript:rna45270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MEENSQSLGSRLTIGETLCMVCIPLIGIAEALFCSLAGCFDFRSSELKKKEKEKKMFSTFQEILALANDSPFNVNEIEALHELYKKLSCSIIDDGLIHKEELTLALLKTSVGKNLFLDRVFDLFDEKKNGVIEFEEFVHALSVFHPYTPLEKKIDFAFRLYDLRQTGYIEREEVREMVVAILSECGMDVENEILESIIDKTFQDADADKDDKISKEEWKAFVIRNPSLLRHLTLPELKDVTTLFTSFIFNTGVDDFH >RHN77399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6580540:6583669:1 gene:gene846 transcript:rna846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MIQRTTNMAIISLRLDSFHYSIFLLIIIFSSSQLAKADNTTQNFHYFCNPNNVTGTYTANSIYQTNLKSLLSTLTSNTQINYGFYNFSNGENTNKVNAIGLCRGDVKTNECLSCLNGSSNNLTQLCPNQKEAIGWYEDEKCMLRYSDRSIFRLMEIGPAYYANNMVNATDLNDFNQVVRDLLDNLTSRAASGDSRLKYAVARARGPNDQSIYGLVQCTPDLSESDCNSCLVQSIDRIPIDCCKDKKGGRVVRPSCNMRFETDFLFYDPTAPPPPPPSTTTTNTSSPGNSNTTTVVIAVVVPVVAVVVLIFICICLRVRKPRKKFKIQEGEEDEDVEIEISESLQFTFDTIRDATDDFAASNKLGQGGFGTVYKGRLPNGQEIGVKRLSRDSGQGDLEFKNEVVLVAKLQHRNLVRLLGFCIEGRERLLVYEFVQNKSLDYFLFDQTKRTQLNWNRRYKIIVGTARGILYLHEDSRLRIIHRDLKASNILLDEEMNPKIADFGMARLFDVDQTQENTNRIVGTYGYMAPEYAMHGQFSVKSDVFSFGVLILEIISGHKNSGIRHGTSTEYLLSFVWRNWRDGSPTNVIDPTLNNDSVNEIMRCIHIGLLCVQENAASRPTMASIVLMLNSHSLTLSRPSEPAFYVDSGAGDFQDMMQSLESSSVQESVNEASITEPYPR >RHN46757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34607774:34615600:-1 gene:gene41280 transcript:rna41280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MKSGGLGAVQSSYGVPAKRRWRGLIIAVLGLVILSMLVPLVFLLGLHNSFHTSGYIYEQRNTPSSPNIIEYNRHDVRHKEDKSEGDKTSHVKELITKFEPTLPKDVLKNYSKGDKNGIVNTNEEKHRGVKTPPPLPPNAALQSPPTTNTPKVHNPKHGRTEQVTHPKTSSADETGTSCELTYGSYCLWQQEHKEVMKDAMVKKLKDQLFVARAYYPSIAKLPAQDKLSRQLKQSIQELEHVLSESSTDADLPPLVETKSERMDVAIARAKSVPVVCDNVDKKFRQLYDLTEDEADFHRKQSAFLYKLNVLTMPKSFHCLALKLTVEYFKSSHDEEEADSEKFEDSSLHHYVIFSNNVLAASVVINSTVTHAKVSRNQVFHVLSDGQNYYAMKLWFKRNNYGEAAVQVLNVEHLEMDSLKDNSLQLSLPEEFRVSFRSYDNPSMGQFRTEYISIFSHSHYLLPDIFSKLKKVVVLDDDVVIQRDLSSLWNLDMGEKVNGAVQFCSVRLGQLKGYLGEKGFSHNSCAWMSGLNIIDLVRWREFGLTQTYKRLIKELSVQKGSTTAAAWPASLLAFENKIYPLNESWVRSGLGHDYKIDSNSIKSAPVLHYNGKMKPWLDLGIPNYKSYWKKYLNKEDQLLSECNVNS >RHN74058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:25575148:25579345:1 gene:gene10005 transcript:rna10005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MPFRKPHQTADPTESNKWNDAKTECMIQPKGLNIIWGNDPRYWKITNDCAELIQVSWLEVSGKVKVEKGNTYIVKFMVEVKQDGFGWGSTDVLVMAKVGKKGLYKYEAVKLDCGKKKDPIPEVKDKLEIEVKSDETDLELHFGLYEVWSGKWKGGLKIYNAEVVKKS >RHN82049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50873727:50879743:1 gene:gene6166 transcript:rna6166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MTGGGFSGGNDREFEAKITPIIIISCIMAATGGLMFGYDVGVSGGVASMPPFLKKFFPTVLRQTTESDGSESNYCKYDNQGLQLFTSSLYLAGLTVTFFASYTTRVLGRRLTMLIAGFFFIAGVSLNASAQNLLMLIVGRVLLGCGIGFANQAVPVFLSEIAPSRIRGALNILFQLDITLGILFANLVNYATNKIKGHWGWRISLGLGGIPALLLTLGAYLVVDTPNSLIERGHLDKGKAVLRKIRGTDNIEPEFLELVEASRVAKEVKHPFRNLLKRNNRPQLVISIALMIFQQFTGINAIMFYAPVLFNTLGFKNDAALYSAVITGAINVISTIVSIYSVDKLGRRKLLLEAGVQMLLSQMVIAIVLGIKVKDHSEELSKGYAALVVVMVCIFVSAFAWSWGPLAWLIPSEIFPLETRSAGQSVTVCVNFLFTAVIAQAFLSMLCYFKFGIFFFFSGWILFMSTFVFFLVPETKNVPIEEMTQRVWKQHWFWKRFVENDYIEDEKVTGGNSPSRNDLVSQL >RHN80983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42393847:42401948:1 gene:gene4970 transcript:rna4970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MGERLGAENYHFYGVGGSSDLSGMGKRSREWNLNDWRWDGDLFIASRVNQVQAESLRVGQQFFPLGSGIPVVGGSSNTSSSCSEEGDLEKGNKEGEKKRRVIVLEDDGLNDKAGALSLNLAGHVSPVVERDGKKSRGAGGTSNRAVCQVEDCGADLSRGKDYHRRHKVCEMHSKASRALVGNAMQRFCQQCSRFHILEEFDEGKRSCRRRLAGHNKRRRKTNQEAVPNGSPTNDDQTSSYLLISLLKILSNMHSDRSDQPTDQDLLTHLLRSLASQNDEQGSKNLSNLLREQENLLREGGSSRNSGMVSALFSNGSQGSPTVITQHQPVSMNQMQQEMVHTHDVRTSDHQLISSIKPSISNSPPAYSETRDSSGQTKMNNFDLNDIYVDSDDGTEDLERLPVSTNLATSSVDYPWTQQDSHQSSPAQTSGNSDSASAQSPSSSSGEAQSRTDRIVFKLFGKEPNEFPLVLRAQILDWLSQSPTDIESYIRPGCIVLTIYLRQAEAVWEELCCDLTSSLIKLLDVSDDTFWKTGWVHIRVQHQMAFIFNGQVVIDTSLPFRSNNYSKIWTVSPIAVPASKRAQFSVKGVNLMRPATRLMCALEGKYLVCEDAHESTDQYSEELDELQCIQFSCSVPVSNGRGFIEIEDQGLSSSFFPFIVAEEDVCTEIRVLEPLLESSETDPDIEGTGKIKAKSQAMDFIHEMGWLLHRSQLKYRMVNLNSGVDLFPLQRFTWLMEFSMDHDWCAVVKKLLNLLLDETVNKGDHPTLYQALSEMGLLHRAVRRNSKQLVELLLRYVPDNTSDELGPEDKALVGGKNHSYLFRPDAVGPAGLTPLHIAAGKDGSEDVLDALTNDPCMVGIEAWKNARDSTGSTPEDYARLRGHYTYIHLVQKKINKTQGAAHVVVEIPSNMTESNKNPKQNESFTSLEIGKAEVRRSQGNCKLCDTKISCRTAVGRSMVYRPAMLSMVAIAAVCVCVALLFKSSPEVLYMFRPFRWESLDFGTS >RHN39607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8619223:8620622:1 gene:gene45646 transcript:rna45646 gene_biotype:protein_coding transcript_biotype:protein_coding MTRISKVSKKVINRTSAGVNVLEPSSHHSQHSQSPILNFLCSQLLKLLRRTTSPTKWIKPKSTRVSYISSCELVVWKDRVSVDTTWIEDVSPPSTLGPTDENELNYEECGGVCEILLLSSSVP >RHN47292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38534887:38539748:1 gene:gene41870 transcript:rna41870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MIKRRFFKVDHGDRDGSGNSSSSSDEDYDNNQVAQVSDDNDDNDSDGEVSSASSGYKSEDSPANDIDANSAGLLSSEDDAGTINEREMITNKEFPSERNYEKSNVMAEKKPLPSDIPSSLVLQIKSVFKCRLCPRVICLTEDTLRNHLQSKRHARSEKLHEKGRLKAMLNSDGEIEDQEVSDNEAEDAENGKGSKPFKKRFKKNKEATEMQTEDTEDNTKKNGKGPKPYKKRFKKNEEVAEIQTEDTEDNTMKNEKGPKLHKKRLGKKKNYNANSRKQRRSGKGSSKRSNKE >RHN64382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58660331:58662647:1 gene:gene27198 transcript:rna27198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MKKNMANSSTTAFSGEIPNKKNKGSCVINFPFSPALPSCSIFDMIPPSQSDDQQSSSFAGYIDLLSTNDFTSSSATLFDWFPTIDTDMSAPPSTTQLPPLPAPSPAASEVLNNPATPVSVSSSISSSSNAAGVADKVMVEENEHEHEEELEGDEAEEKDEDHGIRLVENQNDQDQMKKQLKPKKKNKNKKLRPARVTFKTKSDVDHLDDGYRWRKYGQKPVKNSPFPRSYYRCTAGNCEVKKRIERSAADSSIVLTSYEGHHIHLSPVLLRAANLGIMSDPSGFQEQVHQHHNTTSGVVGSSVLGNEFAMSQSQQYQKFQDQNQNVLHHQRQAVPSLLYNSDYNNSFNISPLSNIVNSADNLMISSTSFGGFLQNQENYGGGNSSLSSSMMTSTNDMVRNNGLLQDMIAPMEMGGAGAKE >RHN80941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42061841:42064159:-1 gene:gene4926 transcript:rna4926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-mRNA splicing Prp18-interacting factor MFIKFLWWWIAATFRSREDHQKQIQLEEACKSGLAPAEFDEDGKEINPHIPRFISSPPCCGAMTHVAKECMERARKVDKSIAADEKKETFETAERDYERKRDRWEGFDVSTYARVVKVYEARDHARMKYLKEQKLKKLEKGDQNDEGAAIDESEDDLRLDEAKVDEMKQMDFAKVEKRVRTTGGGSRGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPESDPNEKFYLGDNHYRNSGQALEVKELNNNAREAFDKGQDVHMLAGPSQVELLHKNFKVMKEKSMSQWKKTIIEKYNYVALKDPLPRELLLGQSERQILYDRAGRIIKGEEALFPRSKDEEDI >RHN52369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34372141:34373605:1 gene:gene37034 transcript:rna37034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLRFSTQMELSKLTKITASYCRLLLPNHNDTFSSTMFSNVEHLNLKYNNFSDECLPLLLKWCVNVRYLDIWGSNLKVLPECLNECHLLRAINLSRCDYLEEIRGIPPNIERLSARQCESLNPSSRRMLFRQKLHEAGCTYILLPNGTEGIPDWFQHQITGQTISFWFRKKIPFIRSIVLLGFCNDVINVRVNLFVNGCEYCLMRCCPFRFEPNHTCLFDLRLEENIRLYSQDYPGKLVCELEEVLLENEWIYVELKLLGRSLNPSEDEIRTLSRAQMGIHVLKEKNSIEEDVIFTNPYMKKRKLDEYLRT >RHN46060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28154530:28158085:1 gene:gene40500 transcript:rna40500 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIKEKFVELQKSMQTPQNSRPKIQRVVDRFRNRTNFEKHYSPKFVSLGPIHHDNPNLKKGEKYKLMWAAKYIENTRHIPEDLHKKIADNIDELKGHFDNDVLASTSWSLEGFGSLEEKLSWMLFVDGCSLLHILERAKLDEPGQMNIKVDQLVLVMMDVLLLENQLPYQVLKLLWKDEDQSGLIKSMMNFLACHHWATPDESQSEKKKDMVPKRKAEREHSLSIRNESQLETPFHLLDLQRNIILITSTTKLLCARLSTILKM >RHN82686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55668721:55669462:-1 gene:gene6865 transcript:rna6865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MNCLVVCQSRLWIVTIVLYTCVFIPLKQLKMSLANIFGLILMFFFSYESKCTAKEMEFPDIPSLPAVRFEDLDGDEDEICSICLVEFEREDGVSKLRRCGHVFHFNCINQWLERSQFSCPLCRSSLFSEIHTNN >RHN72697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9886379:9886867:-1 gene:gene8446 transcript:rna8446 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSNDHQSDNIIFLDVVEGVDKKGHIYGLGPEAGKYKPSTSIPFDNISPSEYEHMMTVISKMSVENMTLKEQLKTHEELIRSSKVESRLLRERSHEDSCLLREQFQKFRSLFHKAIQIYLHIDLILQVRIHLRVHLLDYLLYYFEKLIIIFSFNYNVIILY >RHN42757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40695001:40696620:-1 gene:gene49241 transcript:rna49241 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMLEPGLKLLKIFKEYSSKTCILDDFGFYEGRQKTILEKKAKQQFPKQARLSTIPVWEGKPTDEKIEVNGETNTQKSEVNSELLKESTLAKDNDDNKHSENGAVPTTGDAPKGAKPVVSESKIVPNGVANGC >RHN52082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31342237:31343434:-1 gene:gene36701 transcript:rna36701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNIQKLPRVKYSCSNLANSHEHRQSPVILPDELITEILSRLNVKSLMRLRCVSKLWKTLISDPTFVKMHLQVSKRNSYLALHMDNGYFGYTYTVAPISVSLLLESTSKPITRTGNHYSRFHARYCCGIVGSCNGLIYLLQFVIISLDLAKETYKQLLLPQCCDEFPLDNQTLCVLMDCICFSHDVKKTYFVIWQMKEFGIEESWIQFLKISYHNLQIDTGYVRRLVPLCLSDNGDTLILRTKSVVQAILYNLKDNRLKRIESTYEIWWYSAKDYVESLVWYRPVESRGHYAKLCHVVFFSRCP >RHN51065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13546748:13546984:1 gene:gene35436 transcript:rna35436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine hydrolase FSH MENEEKVRKPKILCLHGFRTSGEIMKKQIHKWPQNVLDKLDLVFVEAPFPCNDKSDVEDIFDPPYYEWFPFNEVKLSD >RHN43906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49352560:49357796:-1 gene:gene50541 transcript:rna50541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLNPIRYAIPKFSISSRFFGHFQPQNPHKNCRFRNVDDAVTCFNRMVRVFPPPPTSVFDKLLGAIVRMGHYPTAISLFTQLQSKGISPSIATFTILINCYFHQSHTAFAFSLLATILKSGYQPNLVTFNTIINGFCINGMIFKALDFCQNLLAQGYLFDQFTYGTLINGLSKNGQIKAALHLLQEMEKSSVQPNLVMYSALIDGLCKDGFVSDALGLCSQIGERGILLDAVTYNSLIDGCCSVGRWQEVTQLLTKMVRENVDPDDYTFNILIDALCKEGRILEAQGVLAMMSKRGEKPDIVTYNALMEGYCSRENVHEARELFNRMVKRGLEPDVLNYNVLIDGYCKTKMVDEAMVLFKELCNKNLVPTIASYNSLIDGLCNSGRISHVKKLLDEMHGSAQPPDVVTYNILIDALCKEGRILEALGVLVMMMKKGVKPNIVTYNAMMDGYCLRNNVNVAKDIFNRMVKSGLEPDILNYNVLINGYCKTEMVDEAIVLFKEMRHKNLIPDIASYNSLIDGLCNLGRIPHVQELLDEMCDSGQSPDVITYNILLDAFCKTQPFDKAISLFRQIVEGIWPDFYTNHAIVDNLCKGEKLKMAEDALKHLLMHGCSPNVQTYTILINALCKDGSFGEAMLLLSKMEDNDRPPDAITFEIIIGVLLQRNETDKAEKLREEMIARGLVNIEKRLMYEEGGNL >RHN78108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11972133:11972628:1 gene:gene1629 transcript:rna1629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative taxadien-5-alpha-ol O-acetyltransferase MKLPQGYYGNAFVLASAYNTVKDLVAANNLDHCVKLVQQAKASDKTIVPDGSLCLPVTQWNRLGLEEVDFGEGKPLYTSPTANQFHCLFLPVIGDPNAIRVVFSVPMHIVEKFQRNMTEIDSNEASSSHTHNHLTILRMVMLLLCEVSLC >RHN74158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29463880:29464199:1 gene:gene10160 transcript:rna10160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronosyltransferase MNHDHHFMEQPSKRTPSNAPFARKFVRNVPVFNKIDAELLGRNADGCMPNMWFSQANSSVTKQHSAVRNITELRLGPGAERLKHRIDGYYRHKIFTQMSVLNTHV >RHN42924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41966471:41972179:-1 gene:gene49431 transcript:rna49431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-sugar transporter MAPPKTRGGATQAQGNSARILFFSVLLALQYGAQPLISKRFISREVIVTSSVLSCEAAKVVFALYFMAKEGSMGRMYKEWTLVGALTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKIFFTALFTYFMLRQKQSIQQMGALFLLIAAAVLLSVGEGSNKGSTGANADQVLFYGIIPVLIASVLSGLASSLCQWASQVKKHSSYLMTVEMSIVGSICLIASTLKSPDGEAMRRHGFFHGWTPLTWIPVISNALGGILVGLVTSYAGGVRKGFVIVSALLVTALLQFIFEGKPPSLYCLVALPLVASSISIYQKYPYQIKKKES >RHN82387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53363456:53366486:1 gene:gene6550 transcript:rna6550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK-LRK10L-1 family MNPLFIFKSLIFFQLLLVSAQDGGCPTSFSCGYLGQITFPFTVTQYPHCGILAISGCDKKNTSAPKSIQLGKMPSKQPLIVTYVEGNTITVSDKTQRKYLLSKKCQAFHNYPVPPTTPLGSFYLKFNITMFKCNRSLKVTPPKSFQNYANCSGYDIYYDLQNIVRPPPFKVPNSLAQCTQCQAAVRDMPNDDPFEFLSPQISIVVQLSDDCNQCLHHQGGRCRLDIQGKFHCAEGDKSLAWKLGLGIGLLVIIITGLLIIWRCKRRVPDFYSNKYTESNSFYHGVPVFSFKDLEVATKIFDSSRELGEGGFGTVYYGKLKDGREVAVKRLFQHNFKRVEQFMNEIKILTRLRHRNLVSLYGCTSHHSHELLLVYEYISNGTVSSHLRCESTNPGFLPWHIRMKVALETATALAYLHASEIIHRDVKTNNILLDNTFCIKVADFGLSKLFPNDITHVSTAPQGTPGYMDPEYHQCYRLTSKSDVYSFGVVLVELISSMPAVDMSRDKDEINLANLAIRKIQKSKIHELVDPSLGFESDKDVKRKIVLIAELAFQCLQRDKELRPSMDEVLDVLRRIDSVSGRDEFGNVEEVIARGAVMSSINVCSLSSSSPDHVEMKLLKNKKLTSPTGVTDKWDSESTTSNVSGQSASRI >RHN50136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4408663:4410361:-1 gene:gene34391 transcript:rna34391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MSNFAKTKPHAVLIPCPAQGHINALLKLAKLLHLRGFHITFVNTEYNHKALLNSRGPDSLDGFTDFNFETIPNGFTAMESGDLIQDIHSFFRSIMTDFLQPFGELLTRLDASATAGLIPPVTCIISDCYMPFTVDAAEERALPIVLFSPVSACCSLTTSHIPKLFQNGVLPLKDESYLIDGYFDIEVDWIPGLKNFRLKDFPETIKIKDPNDFMLKYTNEVTNKCQRASAIVLNTSNELESDVMNELYSIFPSLYAIGPLSSFLNQSPQNHLASLNFNLWKEDTKCLEWLESKEPGSVVYVNFGSVTVMSPEKLLEFAWGLANSKQPFLWIIRPDLVIGGSVVFSSEIVNGISDRGLIVNWCPQEQVLNHPSIGGFLTHCGWNSTTESICAGVPMLCWPFFGDQLANCRFICNEWEIGLEIDKDVKRDEVEKLVNELMVGENGKKMREKIMEFKKKVEEDTRPGGVSYKNLDKVIKDVLLKKILK >RHN63937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55170816:55173480:1 gene:gene26696 transcript:rna26696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MASTLALLSILFSIFFMLFTLIEATHNTTEKTQPIIIPLGSFLAPKGENTSWQSSSGHFAFGFYPKGNGFAVGIWLVNPSENTTTVVWTANRDAPAVSSKSMLNLTEQGLLLQNGNRDSAMNKDLRDDSEENLVSKASMHDSGNFVLYDENSTVIWQSFDHPTDTILGGQSLTADDYLISSISKSDHSRGCFYLGMQNDGNLVAYPLYSRFSDLDAYWASNSWDLTYIPKQLSLSIQGFLCLNMSDEDDGDRLCLNDINKHSKKLHNNTTSIYRATFDVDGNLRLYEHQFDFESKNSSRVVILWQALNDTCQVKGFCGLNSYCSFNMSGDAVCKCYPGFIPSNTKSVPIDCVQTHSKDDCESIEDRTLLYNFTHFENMHWGDVPYSVIPVLIDMDTCEKACRQDCVCGGAIYTNGSCNKYRLPLIHGKFQNDSSSTVSVALIKIPSNIPIIISPPTSNNTNVPKPKVVIDNKKNLIMILSLTLGVVSLICFITAVSIFFTYRRQVNRYAMLSESEKLGFTEECSLTSFSFDELSESTGGFSDEIGRGSFGVVYKGTMGDNNRIIAVKRLEERIVDAGDREFRTEVTSIARTHHRNLVKLIGFCIEGSKKLLVYEFVSKGSLANILFEGEVRLSWKDRMKLALDVAKGILYLHEECEVQIIHCNINPQNILMDEAWNAKISDFGLARLSKRGHSRTKIEDDGTVKYLAPERQKEDASVSVKADIYSFGVVLLEIICRRRSIEMNNIHSPGEILLSSWAYQCFEAGQLNKLIRHDEKDVDWKILERMVKVGLWCVQDRQHLRPTMKNVILMLEGLEDIPVPPSPARLLE >RHN73783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19864798:19867071:1 gene:gene9645 transcript:rna9645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Coiled-coil domain-containing protein MREQSENKSKSAKPKTGTKEKKKSEFEFCKVCKINHDQGLRHKYFPKHKQSLSTFLSRFKNKLSDVRFFLKTPSPLTPQLASGNRFWCVFCDQDIDEHSSSFACENAIRHLASVEHVNNLKHFFWKYGGTVDQLDVFTVSHNDVAKWDKRCANLKKEASLQSEESPGAVFGPSSDIHNQSNNENIDSFKNNIYSNSVNSNVVLPLHCYTNEYQVSSSGHSGVGNTGLLDIGKSSLPSEACSSANTLALQDFAGIQMLTRISFVPAENGGGNVHSGAPPPWFETTEGVQMHPKPVLGDLVSHSNKSGKHKKLNPKRVGAAWAEKRKIEMEMEKRGETVRNECDASWLPNFGRVWQSGSRRESRKEFEKEKQELSNVEAQPEMPIKIQPYVSKRMVRFTF >RHN48002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44177302:44178418:-1 gene:gene42668 transcript:rna42668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MAKSSTFSITLLISLNLTFLSIISLATATNYYQNLSPTMLGFQEEKFTHLHFYFHDIVSGPKPSMVFVAEPNGKVKNALPFGTVVAMDDPLTAGPERDSKLVGKAQGIYTSISQEEMGLMMVMTMAFTDGDFNGSTLSILGRNMIMSEPVREMAIVGGTGAFRFVRGYAQAKFYSVDYTKGDAIVEYDVFVFHY >RHN42804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41132732:41134733:-1 gene:gene49294 transcript:rna49294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MMSARDKCRVTYWPINKNQSHTLILHIKKMDTFLQSLLLILIPLIAFFCFFLKTKQIGTKNMPPGAFGWPLVGETYQLLFKNIENFIQERAEKHSSEIFKTNLFGEPTVVMFGPAANKFLSINESKLVKVWYMKSQCKLFNLPDQNQNQTQVGVASPPVKVLGLLKHEGIIRYMGNNNNIESIIQKHFITHWEGKTELKVYPLVKSFSISLAFQFFLGTDETHYVDKFATKFENLFSGIYSVPMDFPGSTYHRAIKGASEIRKEIQYMIKDKIEGLSKGKVMDGLLAHIVDAEKSGKYVPKIEISNTIMGLMNASYISIATTLAFMIKHIGLSPHIYQRIISEHADIKRSSKESGTSQLDWDSIQKLKYTWAVALESMRLYSPAPGAFREAKTDFTYEGFTIPKGWKIFWAFIGTNKNPKYFDKPESFDPSRFEGNNVLAPYTYIPFGSGPRSCPGKDYTRLAILTFIHNLVTKFKWEVMLPDEEVSGAMIPIPTEGIPIRLHHLF >RHN70273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50165024:50169532:1 gene:gene18837 transcript:rna18837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MWGFGGRYYWGRRKVDCEKANGIVVVFAWMSSEEKHLMRYVDLYSSLGWNSLICHSQFLNMFFPDKATIPAVDILNELVEVLKIRRCPIVFASFSGGAKACMLKVLQIIGGECETHNMDDYQLVRDCISGYIYDSSPVDFTSDLGVRFVLHPSVLKVSHPPRFASWIANGIASGLDSLFLNRFESQRADYWRTLYSTTSMQVPYLIFCSENDDLAPFEVVSNFFHRLKDLGGDVKLVKWSSSPHVGHFRHHPDEYEAAITEILGKAVAIYRHKNRRFEDEKLGIEGTRDEITDPFSELRKAATTSTSFQGFAVAPSENLSPSSMEYYDDKDVGSVADERKGSFIHLPTRPSINANGVLGQILFDVCVPKTVEDWDVRSNSKNAGLLSGTRRHAPFNPIKCIRRSRL >RHN40334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15420652:15422627:1 gene:gene46480 transcript:rna46480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative quercetin-3-sulfate 4'-sulfotransferase MPKAGTTWLKALAFAIVNRQHFSSFENNNNHSLLTFNSLELVPYVEFNLERPHKVLFLKYEDLKEDVNFHTKRIAEFVGFPFTQEEENNGVIGNIIIAVNVNREFFFRKGEIGDQ >RHN38394.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000029.1:16609:17967:-1 gene:gene50793 transcript:rna50793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative short-chain dehydrogenase/reductase SDR MTSNEAKFPPQTQQTQPGKEHVMEPLPQTINPDHNPTNKLRGKVALVTGGDSGIGRAVCLIFAKEGATVAFTYVKGVEDRDKDDTLKMLLEAKTSDAQEPLAIAADIGYDENCKQVVELVVKEYGSSIDVLVNNAAEQHLRNSIEEITEQQLERVFRTNIFSHFFLACSEAHERGSSIINSTSVNAYTGKAETLDYTSTKGAIVAFTRGLAQQLVSKGIRVNAVAPGPIWTPVQPATMPYEKIQNLGSDVPMKRAGQPCEIAPCYLFLASLQDSSYFTGQVLHPNGGVIVNA >RHN38860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2488322:2488656:-1 gene:gene44830 transcript:rna44830 gene_biotype:protein_coding transcript_biotype:protein_coding MIWTLIKKILRKFWADDLDTDQASDSTLEPDTFTEQQQTLLEAHPEVHEFLSHNIDTAKKGKRGRHGNRAVKNGPGSWAGPLAHIFWPGRA >RHN64484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59482128:59484216:-1 gene:gene27303 transcript:rna27303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MMLLDNIGFVANMASLVLYFLFVMHFDSPGASTTTTNFMGTTFLLTVVGGFISDTYMNRLNTCILFGIIQLLGYALLVIQSHDEKLQPELCGKKSCLHGTKALLFYASIYLLALGGGGIRGCVPALGADQFDDMNPKEYLQLASFFNWFLFSITIGASLGVTFVVYVSTIFDWYKGFLISLSCSASALICIVAGKRFYRKRIPGESPLLSILQVLVVTVKNWSVKVPDNSEELYEIETHKSTLKNKLIPHTSQFRVLDKAATLPKDTEAIKWRVCTVTQVEEVKILTRMMPILLSTILMNTCLAQLQTFSVQQGTFMNTFIGTFNIPAASIPVIPFVFMILLIPVYEFAFVPLARKITGHPNGITELQRVGVGLVLSAISMIIAGLVEVKRKHYFNDHNNKKISLFWLSFHYAIFGIADMFTLVGLLEFFYKEAPQGMRSLSTSFSFLSLSIGYYLSTVFVELINTVTSRITKSNKGWLEGTDLNQNHVELFYWFLAILSMLNFVIYIFCAKWYKYRNDISFDREMLLKHLATTSNHGNVSTSSVTRFQDMPFKEERSETSH >RHN57453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39243291:39249366:1 gene:gene32998 transcript:rna32998 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSKMEEDKALQLCRERKKFVRQALDGRCSLAAAHISYVQSLRIVGTALSKFTEPEPHSESSLYTNATPEALALTDKAMSQSSPSMSQQIDAGETHSFYTTPSPPSSSKFHANHMKFGSFSSKKVEEKPPVPVIATVTSSGIAQNGSRMSETEAFEDSSVPDGTPQWDFFGLFNPVDHQFSFQEPKGMPHHIGNAEEGGIPDLEDDEEKASSCGSEGSRDSEDEFDEEPTAETLVQRFENLNRANNHVQENVLPVKGDSVSEVELVSEKGNFPNSSPSKKLPMAALLPPEANKSTEKENHSENKVTPKNFFSSMKDIEVLFNRASDSGKEVPRMLEANKFHFRPIFQGKKDGSLASFICKACFSCGEDPSQVPEEPAQNSVKYLTWHRTASSRSSSSKNPLDANSRENVEDLTNNLFDNSCMNAGSHASTLDRLHAWERKLYDEVKASEIVRKEYDAKCKILRNLESKAEKTSTVDKTRAAVKDLHSRIRVAIHRIDSISKRIEELRDKELQPQLEELIEGLNRMWEVMHECHKLQFQIMSASYNNSHARITMHSELRRQITAYLENELQFLSSSFTKWIEAQKSYLQAINGWIHKCVPLQQKSVKRKRRPQSELLIQYGPPIYATCDVWLKKLGTLPVKDVVDSIKSLAADTARFLPYQDKNQGKEPHSHIGGESADGLLRDDISEDWISGFDRFRANLIRFLGQLNSLSGSSVKMYRELRQAIQEAKNNYHRLNSQSQNGHLNYQSYHGHHHNSESQDEQSQSQSQVV >RHN60856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31004128:31013376:1 gene:gene23243 transcript:rna23243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-RSK-2 family MQHKNFKKHHPSHQGEENPRVLCLHFDANHILEEEEILILIQCIPSLRPLKIKSTRVAVLSWGMFIRKSLSNNESFNDEQLADDEDSSEDDERPDSVDEKIKKREKRKGLDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVKKIRQAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSQHVEPLHNRIAEDTAKEGENLVKKTAENVDDALRELPDANMKPEDLWMNHSKVVHPKPHRRDDAAWRAIQKIMESGEQIGLKHFKPIKPLGSGDTGSVHLVELCGTDQHFAMKAMEKAVMLNRNKVHRACTEREILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFLLLERQPAKVLKEDAVRFYAAEVVTALEYLHCQGIIYRDLKPENVLLQRSGHVSLTDFDLSCLTSCKPQLLISTTNDKKKGQQAPIFMAEPMRASNSFVGTEEYIAPEIITGSGHTSAVDWWALGILLYEMIYGYTPFRGKNRQRTFANILHKDLRFPKNKQVSLSAKQLIYRLLQRDPTSRLGSNGGANDIKNHPFFRGINWALVRCTKPPELDAPLFDTNEEEKGKEKEDKYVDIGQENMSVF >RHN59508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11517302:11518411:1 gene:gene21549 transcript:rna21549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTRIPLDLVAEILCQLPVKFLVQLRCVCKSWNTLISDDSSFVKKHLHVSTTKCKHLLACTWISPPLPEFRMMSYPLTSIFTSEPTLLECFSPIPPDTLVGSCDGLLCFSVNKDLVLWNPSIRKFKKLPSLEQVVTNCAFGYDPFIDTYKVVSLSSYSCESDGIDGTPMKVFRTQVNIYTLDTHSWKRINDFPSIPLNGLSEGIIVSGTVNWFAYSTASGDFSRVIVSLDLGKECYQEISEPNYDEKPIYLTLGMMRDCLCIFSYSHSFTDVWLMKEYGNKESWIKLIHLPYFGDHDSHDDNIHYQKIYGQKILYIFEDENDVLLVVNKEFKKWKWVVCDSKNYTIKSFKIQKDFGWLESKVYVESLISP >RHN44118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1833416:1834633:-1 gene:gene38178 transcript:rna38178 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAWKWRRLFAWEEELVGECADRFSSIVFQGGVADRWVWKLHSSQSYMVKPAYFYLTIDECNHTEGFDHFLWLKRCGSR >RHN42550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39241290:39244662:1 gene:gene49002 transcript:rna49002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine hydroxymethyltransferase MDPVSEWGNTPLVTVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDQIENLCRSRALQAFHIDPQSWGVNVQPYSGSPANFAAYTAVLNPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSTTGFIDYDRLEEKALDFRPRLIICGGSAYPRDWDYKRFRDVADKCGALLLCDMAHFSGLVAAQEVNNPFEYCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPENAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQAMSPGFKAYAKQVKANAVAIGNYLMSKGYSLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRVGAPAMTSRGLVEKDFEKIGEFLHRAVTLTLEIQKEHGKLLKDFNKGLVDNKAIAELKADVEKFSSLFGMPGFLVSEMKYKD >RHN80383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37617247:37617866:-1 gene:gene4304 transcript:rna4304 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTHLLFIACHVYWRPNGKLTPGLFWTYGLICKKVNMRTNLQ >RHN78255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13278432:13279937:1 gene:gene1789 transcript:rna1789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MFEYTTFFLAILFIIFYNIWRHNKNKIIPNWPIIGMVPSVLHNQSKIHDFVTLALKHHRGTFQFKGPWFTNIATFIITSDPMNVNHILSKKFSNYGKGSDFLEIFEILGVGIFNLDSKEWEQERALLHSLLKTKNFEIFYQQNIQKKLENCLLPFLDHASKEVKVLDLQDVLERFTFDISCTFFFGFDPNCLPYKCNELSDIAYEKAFSVLEDMVLRRHYIPKCIWKLQKWLQIGLEKKGKAARENLHQFLEKCITDYKGNEDVDESRYCLLKELMKERLGKGEMIDEKYIRDTAVNLFGAGNGTISSGLSWFFWLVSTHPIGEAKIIQEIKDNCLTQEENLINNLNVEKIDKLVYLHGAICETLRLYPPLAFQHKCAIKSDILPSGEHVGPNTKLIYSLYAMGRMQQIWGDDCLEFKPERWISARGGIINVPSYKFIAFNAGPRSCIGKDLSFVQMKMVAASLLWKFRIQVVEGHPVTLRTSIVVRMKHGLKVEVSKRCI >RHN71192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57092049:57094352:1 gene:gene19843 transcript:rna19843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase transcription factor C2H2 family MVRIKLSRSIGPLPRRIFRSSLPLTPWYLPCCPPMIDGKCPNCSYDPSTDEEEIVGGSSKPTTETNDENDDVLDNYCSFCIELPDRPVTTPCGHNFCLECFKKWMKQGKQTCPNCRTTIPTKMASTPRINTQLAITMRMAKLARSKGVGGSITPKVHCIDHYAILVTIPKDHFGPIVAENDPTRNRGVLVGDKWKDRMECRQWGAHFPHIARVAGQKNQGAQSVVLSGGYTQDEDHGEWFTYTGSGGRNQFLDHQFNNTNEALRLSCRKGYPVRVVRSHKEKQSSYAPEAGVRYDGVYRIDICWSEFGKNGEKVCRYLFVRCDNEPAPWTSDLSGDYPRTLPFIEEFRDAVDIIERNGDPSWDFDEEKGCWLWKKPPPLSKRPLNIVDPIENALNPPLKRTKGAGGKAVMK >RHN63179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49144450:49148302:-1 gene:gene25853 transcript:rna25853 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKQVSYRKDKAVLVKVYVEKPKKKRSSSSIQQHHHHHHHHYHIHHTVKQQGIDGSASTSSSKGYDRRSGLLMYSRLLRNSTKGGASSMPLLPKDSANNNIQTPIVQMNSLKKKPKNAGTPGCFGNWKLLIPSFLRPWSNDPKKEKKKKKGISGNGFKKLQVSRGKSIIPKVFSTCK >RHN50864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11267117:11270883:1 gene:gene35206 transcript:rna35206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TMEM70 family protein MSLIQLLRSQTRHRLFSSLSSPSVYQCFRSSTCGRPITTNPTIKPWNNATPAYKPSSQFAASQIRWTSKGTTTEEDKISIGPASGVKSEEGDKDTGVYYFGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPDSNVILKGAVASSVIFFSASTTAILHWFVSPYVHKLRWEPGSDSFEVEMLSWLATYIPKTIKFSDIRPADTNRPYVTFKANGNFYFVDTEHCHNKALLARLTPQKETHDSAFKNL >RHN66162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9337541:9339412:1 gene:gene14090 transcript:rna14090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MFRLFHATLKTCMLLKHQLKPLTYCCSFLSQTSLQFNAISTHHFDPFLSTLILHLKSSSSVSICRIIHAHVIKSLDYRDGFIGDQLVSCYLKMGPTKDAYLLFDEMPKKDFVSWNSLVSGLAKIGQLGECLSVFCKMKSDSELKLNEFTFLSVISACVSEKACDEGYYVHCCAMKLGLVYEVKVVNALVNMYGKFGFVESAFRLFSEMPESEKSIVSWNSIVAVCAQNGMPNEAFNCFDMMRVNGFFPDDATMVSLLQACENFPLGRMVEVLHGVIFTCGLDENLTIVTTLLNLYSKLGRLNNSRKVFEEISKPDKVAWTAMLAGYAMHGCGKEAIEFFERIVREEGMEPDHVTFTHLLSACSHSGLVKEGKYFFRVMSDVYKVQPRLDHYSCMVDLLGRCGLLDDAHELIKNMPFEPNSGVWGALLGACRVHRNIDLGKEAAKNLIALDPSDPRNYIMLSNMYSAAGLWNDASKVRTLMKNKVLTRNQGCSFIEHGNKIHRFVVDDYTHPDSHRIHKKLEEVMKKIQDVGFVHETESILHDVDEEVKIDMITKHSEKIALAYGLLVTNADMPLVIIKNLRICRDCHNTVKFVSMVEKRTIIIRDTKRFHQFSGGLCSCGDYW >RHN54482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9934809:9935114:-1 gene:gene29532 transcript:rna29532 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPHRLSSSLPVMSPSPLSSSFHDLRRLHHPRRSLSSYQTYPNSPHQPPSTTNNVMPYTDLETQMGERACRRTECVRLEFSEWGQENRTARTVVGLHVGE >RHN45645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24085147:24090907:1 gene:gene40026 transcript:rna40026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MMATNLHRHRRRISTLTHRLYSSFSTTTTTKTSTLYTTDTPDPTTVQLLSWGKGASGQLGGGVEETRLYPSPVTNLLLAPKFTLFNTPGLLPGPEGSNNKGVEVGISCGLFHSCLVVDGGLWVWGKGDGGRLGLGHESSMFVPTLNPHLENVKSVALGGLHSVALTSAGEVFTWGYGGFGALGHSVYTRELFPRLVKGAWEGTIKHIATSGAHTAAVTESGDLYIWGREEGEGRLGLGPGRGPDHAGGLSIPCKVKELPFPVAAVSCGGFFTLALTEEGQLWNWGANSNYELGRGDRIGGWRPRPVPSLEKVRVIQIASGGYHSLALTDDGKVLSWGHGGQGQLGHGSVENQKIPTLVEAIAHEHIIYISCGGASSAAVTDEGKLYMWGNASDSQLGVPGLPAIQPYPVEVNFLMEDDGLGSHKVLSIANGASHAMCLALRESC >RHN43316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44877736:44881407:-1 gene:gene49867 transcript:rna49867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetraspanin/Peripherin MGMGTSTFVTRWINFLTMVLAIVVIIFGVWMSTRPDACRKSLTIPVLSIGAVIFLISIVGFLGAMKRSSMLLWVYLIMLFFILVGILVFTVLVFIVTNNGSGHSVTGLRYKEYQLQDYSSWFLKELNNSHNWKRLRACLVKTEDCNNLSKRYKTPKQYKLAKLTPIEAGCCRPPSECGYPAVNASYYDLTFQPVSPNHDCKRYKNSRAVKCYDCDSCKAGVAQYMKIEWRVVAIFNVVLFAVLSIIYFVGCCARRNAARSQSKS >RHN77911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10447793:10448251:-1 gene:gene1416 transcript:rna1416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde dehydrogenase (NAD(+)) MASSKLNKKVFDLKEASTTVKDLRITFDSGKTRSYEWKVSQLKALLELTEKHEKEIVEALYSDLSKSEAESFIQEVLNFSQYYFTIFVVYRNLSAPSFLVSVFVFISLIYMLLEINLIGFGVVLF >RHN55094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15129311:15135534:1 gene:gene30222 transcript:rna30222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MRGWLNLNGTSKLLPFVGMIISVLAQSGSMVVIKFAMKDGMNKYVMVVYSMGLSSILLLPLALFINRSQRPPLTFSALWSFFLLALIGSSAQIMTYGGIELSSPTLASAMLNLIPAFTFVLALIFRMERIYWRHFSSQAKAIGTIVSMAGAFVVILYKGPPILKIHSSISYNTLQFSPNLNWILGGFLCAGDSLLSSMWYIYQVSVTKKYPAVIVIVFFQVVFITIQTGVYALIVVRDPSAWELKLDMGLIVILYQAVAAIGIRYFLQTWSVQRAGPLFCAMFKPIGIIFTVFLGSIFLGDDFYLGSLIGAVIIVVGFYAVQWGKASEEKVEKGIENLETQSNVVPLLQNKV >RHN80144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35497610:35500753:-1 gene:gene4034 transcript:rna4034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MRYLSHLRQCTTTTHTTMLTSTLPPNHTLPTFSHRPISLKEAFQSLTHFFTDPLPTTTRFPLQQAYSQALELCASHKALPQGQQLHAHFLKTQNYLDSVFLDTKFVHMYGKCGSFYDAVKVFDKMSERTIFTWNAMIGACVSAGRYVEAIELYKEMRVLGVSLDAFTFPCVLKACGAFKERRLGCEIHGVAVKCGYGGFVFVCNALIAMYAKCGDLGGARVLFDSGLMEKDDPVSWNSIISAHVGEGESLEALSLFRRMQEVGVESNTYTFVSALQACEGPTFIKIGRGIHAVILKSNHFTDVYVSNALIAMYANCGQMEDAERVFKSMLFKDCVSWNTLLSGMVQNDMYSDAINHFQDMQDSGQKPDQVSVLNMIAASGRSANLLAGMEVHAYAIKHGIDSNMHIGNSLIDMYGKCCCVKYMGSAFEYMPEKDLISWTTIIAGYAQNECHLDALNLLRKVQLEKMDVDPMMIGSILLACSGLKSEKLIKEIHGYVLKGGLADILIQNAIVNVYGELALVDYARHVFESINSKDIVSWTSMITCCVHNGLAIEALELFNSLIETNIEPDLITLVSVLYAAAALSSLKKGKEIHGFLIRKGFFLEGLIANSLVDMYARCGTMENARNIFNYVKQRDLILWTSMINANGMHGCGKDAIDLFSKMTDENVLPDHITFLALLYACSHSGLVVEGKQHFEIMKNEYKLEPWPEHYACLVDLLARSNSLEEAYHFVRNMPIEPSAEVWCALLGACRIHSNNDLGEVAAKKLLQLNTENSGNYVLVSNTFAADGRWNDVEEVRSIMKGNKLKKKPGCSWIEVENKIHTFMARDKSHPQCNNIYLKLAQFTKLLKEKGGYRAQTKLVFHDVCEEEKTQMLYGHSERLALGYGLLVTSKGTCLRITKNLRICDDCHAFFKIASEISQRTLVVRDASRFHHFERGLCSCGDFW >RHN65300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1023393:1026478:-1 gene:gene13125 transcript:rna13125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MAFFMAIVGVFLILCFCSALLRWNEVRYRKKGLPPGTMGWPVFGETTEFLKQGPSFMKNQRLRFGSFFKSHILGSPTIVSMDPELNRYILLNESKGLVPGYPQSMLDILGKCNIAAVHGSTHKYMRGALLSIISPTMIRDQILPKLDEFMSAQLSNWDDKIIDIQEKTKEMVFLLSLKQIASIKSSSTIADSFKTEYFNLVLGTISLPINLPGTNYHRGFQARKNIVSILSQLLKERRASNETHKDMLGYLMEREENKYKLSDEEIIDQVITLMYSGYETVSTTSMMAVKYLHDHPKALEELRKEHLAIRERKKPNEPIDSNDLKSMKFTRAVIFETTRLATIVNGVLRKTTQDMELNGYLIPKGWRIYVYTREINYDPFLYPDPLTFNPWRWMDKSLESQNYILIFGSGTRLCPGKELGITEISTFLHHLVTRYRWEEVGGNKLMKFPRVQAPNGLHMRFSSF >RHN52129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31842255:31842791:-1 gene:gene36758 transcript:rna36758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MRQYSSLLLGLFILHVVSSKSISTKVVEVKVICKEAPNPSYCLNLLNSKPGRVKGVDLVNLAEYTIDVLNDNWTNTFNLLNKLIQSAENDTVTNYYYRCSLDLFNLDSVSSRLGDVQLNLELGKYSAMAKDSADIMQYLLECIDSLHKHETSPLLAKYVDDLRQGDQVLQIIIKYLNL >RHN51649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21637475:21637804:1 gene:gene36131 transcript:rna36131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MNDFCKNVLESIDLIIGNGRLPIILGGSNSYIKKLIEEPTIAFLSKYYYFFIWVDVSLPTLFQYVGKKVDEMVESGMVDEIREYYAPGQTTRRELEGLLRFLSLILFFR >RHN39133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4348621:4349538:-1 gene:gene45132 transcript:rna45132 gene_biotype:protein_coding transcript_biotype:protein_coding MVYNFVSRHCCLDLLVLRADKIMSVGSCMNNEVYLPSKGCASFTSDWILHD >RHN78470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15417219:15419089:-1 gene:gene2038 transcript:rna2038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MQIYNPSKTTSSTIHYTWSPLISVSEMTVGYGILPDTVGVVNILPVSGFLGLGLCGKQVHGLCVYERMRFKDVVTWNAMVTGYSQNGRFEDALSLFGKMREERIELDVVTWSSVISGYAQRGFGCEAMDVFRQMCGCSCRPNVVTLMSLLSGCASVGALLHGKETHCYSIKFILKGEHNDDNDDLAGINALIDMYAKCKSLEVARAMFDEICPKDRDVVTWTVMIGGYAQYGDANHALQLFSEMFKFDNCIVPNDFTISCVLMACARLAALRFGKQIHAYVLRRSRIDSDVLFVANCLIDMYSKSGDVDTAQVVFDSMSKRNAISWTSLLTGYGMHGCSEDAFRVFDEMRKEALVLDGITFLVVLYACSHSGMVDRGIDLFYRMSKDFVVDPGVEHYACMADLFGRAGRLCEATRLINDMSMEPTPVVWIALLSACRTHSNVELAEFAAKKLLELKADNDGTYTLLSNIYANARRWKDVARIRYLMKRTGIKKRPGWSWVQGRKGMETFYVGDRTHSQSQKIYETLADLIQRIKAIGYVPQTNFALHDVDDEEKGDQLLEHSEKLALAYAS >RHN58752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4489966:4490847:-1 gene:gene20693 transcript:rna20693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MERGKNMVELLKFVYVMILFLFLFFVTTEACGGKTHYSEIIECKNDADCPIGYKCIDEMCKYG >RHN56614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32279805:32282527:1 gene:gene32044 transcript:rna32044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MNISDSGNAVCHVVAMPFPGRGHINPMLSFCKILTSQKPNNLLITFVLTEEWLTFIGADPKPESIRFATIPNVIPPEREKAGDFPGFYEAVMTKMEAPFEKLLDQLELPVDVIVGDVELRWPVNVGNRRNVPVAAFWTMSASFYSMLHHLDVFSRKHHLTVDKLDEQAENIPGISSFHIEDVQTVLCKNDHQVLQLALGCISKVPKANYLLLTTVQELEAETIDSLKSIFPFPIYPIGPSIPYLDIEEKNPANTDHSQDYIKWLDSQPSESVLYISLGSFLSVSNAQMDEIVEALNNSGIRYLYVARGETSRLKDKCGDKGMVIPWCDQLKVLSHSSIGGFWSHCGWNSTLETVFAGVPILTFPLFLDQVPNSTQIVDEWKNGWKVEIQSKLESDVILAKEDIEELVKRFMDLENQEGKKIRDRARELKVMFRKAIGKGGSSDRNLDAFISDISS >RHN43567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46922068:46924069:-1 gene:gene50169 transcript:rna50169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-aminocyclopropane-1-carboxylate synthase MGIEIEQSCVQLSNIAISDTHGENSPYFAGWKAYDENPYHELTNSSGVIQMGLAENQVSFDLVEKYLEVHPEDYNGFRENALFQDYHGLKSFRTAMASFMEQIRGGKATFDSERIVITAGATAANELLTFILANPGDALLVPTPYYPGFDRDLRWRTGVNIVPIHCNRSNNFQITPKALEAAYKEAESMNMKVSGVLITNPSNPLGITVKRSVLEEILDFVTKKNIHLISDEIYSGSVFNSSEFVSIAEILETRRYKDAERVHIVYSLSKDLGLPGFRVGTIYSYNDKVVTTARRMSSFTLISSQTQQFLANMLSDKKFTEKYININRERLKKRYEMIIEGLKSVGIECLKGNAGLFCWMNLSPLLKESTKEGELELWNIVLNELKLNISPGCSCHCDQPGWFRVCFANMSEHTLEVALKRIRNFMANRTGTKKM >RHN70528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52040409:52053812:1 gene:gene19113 transcript:rna19113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease III post-transcriptional gene silencing PAZ-Argonaute family protein MDLSNDNLDSSNDNDDQNQTKNLKRKFDHLYAKPNVEQDSPAQEETLIANLVPRRDQLEAFEVAKKRNTIAIMDTGSGKTLIAILLIKETGQAIRSSGVKKLIVFLAPTVHLVSQQCKNIKLNTDLQVEEYYGSKGVDTWNLKSWQKEISDNDVMVMTPQILLDAFRKAFLSIEMICLMVIDECHWASGNHPYAKIMAEFYHQANEKPKIFGMTASPVGKRGVSSTLVCEGQISDLENILDSRSYVVKDRTEMDVYIPSAKESCRFYDPARFHALSLKPKIEASWSKCDVLLSEFQSDYKDMDQKFKALHQRMSNELAKILYCLEDLGLLCAYEAAKICQQKFSKIHGECEVYRKANLQCVTIIEEVIKIIEESLHLADEMILDVEFDCSKAVGMGYISPKLLELIKLFETFGEPSQLLCLIFVERIITAKVIERFVKKVSQISHLTVAYVTGSNTSADALARKRQKEILDSFRSGKVNLLFTTDVLEEGIHVPNCSCVIRFDLPTTVCSYIQSRGRSRRANSQFILMLERGNLKQRNQLFDIIRSERSMNDAAVSKDHESNLRAFTVRKTESYCVDSTGASVTLDSSVSLINQYCEKLPRDKYSSAKPNFVSLPMEGGGHVCKLILPPNAAFQTLVGPSGKDVRQAKTLVCLEACKKLHQMGALNDHLVPFVEDPLEADNIIKNKESSAAAAAGAGTTKRKELHGTASVRALCGSWGDKPDGAKFHAYKFEFKCNIVSEIYSGFVLLVESKLDDDVGNIELDLYLISKMVKTSVISCGQVDLDAEQVTKAKGFHELFFNGLFGRLIRKSTTVQGEREFLLQKDSELLWSPKNSYLLLPLEKSNDICIRSLQIHWSAINSCASAIEFVRQRFSLVTEVSDDNSKIISPPCDTDNSKIISPPCDTDNRKIISPPCDTRSSSDMECESTNMFHFANCVVDVSSVKDNVALAIHTGKVYCIIDVVDNSSAESPFDGNSDKSGAEDKMTFTQYFQKRYGITLRHPEQPLLRLKQGHNAHNLFLNLPEEDGGDKSSQVGPVAPKVPVHVHIPSELLCLLDVKRDVYKSMYLLPSLMYRIESLMLSSQLRAEINGHTDNFKIPSSLVLEALTTLRCCEKFSMERLELLGDSVLKYAVSCHLYLKYPKKHEGHLSSLRQWAVRNSTLHKLGTDHNLQGYIRDSAFEPRRWIAPGQDCIHTVPCDCGLETLEVPLDVKFHTEDPKVVVGKLCDRGHRWMCSKTIADCVEALIGAYYVGGGLIASLHMMKWLGIDSGLEPSMVDKAITAASLHTYTPKVNEIASLEAKIGYEFSVKGLLVEATTHLSESEHGTGCCYERLEFLGDSVLDLLITWHLFQSHTEIDPGELTDLRSASVNNENFAQAAVRRNLHQHLLHSSGLLQSQILEYAKVISEPEDNAVPLQGIKAPKALGDLVESIAGAILIDTKLDLDQVWKVFNPLLSPIVTPEKLELPPLRELMQLSDSLGYFVKVKVSHDKKGTMEHVEIRVQLPNERLVREGKGPNKKSAKGDAAFQLLKDLEKRGISYSSSKGKKVMDYTIPACQIEDQPPKPVAIKKPKLDKTNSAADESTGDLKDVSSKASDTSGSIPVVSSIKMNKKGGPRSELYEVCKKKLWPLPSFDSTEYKDRTLFESCKGLEGSKGLNCFVSKITLGIPGYGDIKCQGEARSDKKSSYDSAAVQALYELQRLGKIMIKIDDGDVECQGEATSGIKSSLDSAAVQ >RHN52030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30639403:30640095:1 gene:gene36644 transcript:rna36644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MSLIPSFFGGRRSNTFDPFSLDVWDPFKDFPLTNSALSASSFPQENSAFASTRIDWKETPEAHVFKADLPGLKKEEVKVEIEDDRVLQISGERNVEKEDKNDQWHRVERSSGKFMRRFRLPENAKMDQVKAAMENGILTVTVPKEEVKKPQVKTIDISG >RHN51932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28634907:28637971:1 gene:gene36505 transcript:rna36505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-XI family MLHRKLFQSQPSTSSSPQPPHHHRHHHHILIPLLAATTVLLTLLLLFTFLHRRRKKYTSPLTFTDDPPHRFSYSHLRRATNSFSTPLGHGGFGTVFSGTLSSQPIAVKLMDTDTEISPQINRSLDRIPSFYKNKHKKLIDTSFHQGEREFHNELFFASKLRSLYTIPPLGFSSDPKRRRFLLVYPLMQNGNLQDALLKRKCVELLNWKKRFEIVMDIAKGLCYLHCFDPPVIHGDVKPSNVLLDCDFNAKIGDFGLARLKSEPVRVEIEVLGVESDDEVKKGNGNDELEVVIDDCGSMESGHTGFFEEGGHLGTPVASPESVEMRMNMAMAMAASPGFDKVSEKSEKGKGLTSNSVRDWWWKQDNEVAGLTQVGEGKKVKDYVMDWIGREVKSEKSELEGGKIGNEKKKEKKRKQKLEWWESMDEEIVGGMMRKEKRKSVREWWKEEHCEEVAKEKKKNKKKKKGVENGDDGISNGDNWWMSDDALYGDRKKGKRRSGNNRSGNIDWWLDGFSGDLWRARRNSFDSASGDIPKSGGVSSTPSMRGTVCYVAPEYGYGEDVTEKCDVYSFGILLLVIISGRRPLQVTGSPISEFQRANLLSWARHCARNGKLIELVDDSIQSLDKDQALICIKVALHCLLKSPTRRPSMKEVVGMLSGELEPPQLPIEYSPSTPSRFPFKSRKKGQGR >RHN42700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40306413:40309558:1 gene:gene49180 transcript:rna49180 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMFIIFFWGQMRHPNTSKNLNKQYPIWVVEASYIPSHCGSLQFRIAFIVINAQRIHLLYYE >RHN79071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22100305:22100926:-1 gene:gene2767 transcript:rna2767 gene_biotype:protein_coding transcript_biotype:protein_coding MANDLISGGACNRIKEGVYKNNGYLMVSCNGGLNQMRAALSGWNMCLVFAGVYKNNGYLMVLLICDMVAIARYLNVMLIVPELNRTDVRLTNNSQSLEIQKLCCRVNFSALRFTPQIEELGIKVINHLRL >RHN44662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7356357:7357457:1 gene:gene38806 transcript:rna38806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein TIC214 MNISNIRSIYNYLINNYLFPEHEQVKIYSEEKKRKKKFLFEAIRTDQNNQTIFNRKKCTGINEISKQVPRWSYELIDELEQMTERLTKEFQIRSAKAERMVIFNGNTDSLTLNIGPRNDNDAILEVDLNHEFFLVNFLREPDFDRDIIKGSMRPLRRKIATTKLSQGNAQPHSPIFLEMIDPLYFLFGDLFDDLSQIFKEMFRKPGTDNSEFVEFQERLEHKYEEDAKDDAEIRRLKIEEDWESILYGLIIRSFVLLIQSFFRKYILLPSLIITKNIIRILLFQNPEWSEDFRDWSREVHIKCTYQGIPVSDKELPKNWFDEGIQIRILNPFVLKAWHKSKVQSTEKKKKKDPQKKNILKTKTSGF >RHN41705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32199167:32205896:1 gene:gene48055 transcript:rna48055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MPPNITLKPSSSSSPFKNITFHNKPPIPTQPIDHSHLSQLLSKPNSDWVILLNHQLHTNKLLLTPPSLSTIFQNIHNPLHSIKFYTWVSSINSSLVNNSSIHRILGNTLYRNGPVVLSAEFLNDVHKSGFKVSEDLLCVLMSSWGRLGLARYCVDVFGQISFLGISPTTRLYNSLIDALVKSNSIDLAYSKFQQMVGDHCFPDRITYNVLIHGVCKIGVVDEALRLIRQMKDKGLFPNVFTYTILIDGFCNAKRVDEAFGVLDMMKESRVCANEATIRTLVHGVFRCVDPSKAFVLLSEFLDREEDFCSGKLACDTVLYCLANNSMAKEMVVFIRKALARGYVPDSSVFNVIMACLVKRAELREACEIFEIFTKRGVKPGIGTYLTLAEALYKDEQRDEGDQISDQMVSDGLISNVVSYNMLIDCFCKVSLMDKASEVFSEMQLRGFTPNLVTFNTLINGHCKDGAIIKARELLEMLLENRLKPDIFTFSCIIDGLCRLKRTEEAFECFNEMVEWGVNPNAIIYNILIRSLCSIGETTRSVKLLRRMQEEGISPDIYSYNALIQIFCRMNKVEKAKKLFDSMSKSGFNPDNYTYSAFIAALSESGRLEEAKKMFYSMEANGCSPDSYVCNLVIKALVRQDRVEEAQKIVERCRQKGIALNCTPDS >RHN64198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57201152:57201595:-1 gene:gene26985 transcript:rna26985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MDYICLCGLDYDKIIMQQISGDDISCSNTTNSTTKDLNYPSFTLKAPHPNNHISGSFKRTVVTNVGSPTFTYRAFLTAPNELDVSSVPDVLSFSSLGEQQTYVLTINGALRKESTGSASLVWDDVVFHPNRNYNTNRFMAWSWFICR >RHN48027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44376507:44377471:-1 gene:gene42696 transcript:rna42696 gene_biotype:protein_coding transcript_biotype:protein_coding MERNNTTTSNFSICRKFRQVLATNLTFKTMHRMKQQNQELKPQHIKIVNIEGGGGEGLIPITFDHSMVHSNASKVASPHVGISERKGKLVTDPRLVQSETIVKVEPDDRNVNIKQGMMGKIYNGKPMKVGLVNLEKQDKKSLDINDAFSEFIEREKNRIRTMSNVGKGQNNHVLEEANYVNKMENHNDHFSDFIVQAKKRIRTTSNVGKTSSLKRG >RHN50442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7168656:7169018:1 gene:gene34725 transcript:rna34725 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMNSSRDTGSIPSLSSKPTPSLRPSSARPSARPFTLACDSGSNSDSS >RHN45161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12498453:12501662:-1 gene:gene39379 transcript:rna39379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain-containing protein MRRRPGIGGLQTAAAARDQYKKLGENVAKIRTDMMKEQLSTFRSQLEDFARKHKNDIRKNPAFRSQFHEMCAKVGVDPLASNKGFWAELLGIGDFYYELGVQIVDICLATRPLNGGLINLQELSQLLRQRRKSDRGVVSEDDCLRAISKLKVLGSGFEVISVGKKKLVRSVPTELNKDHNEILELAQGQGFVTVDEVEKRLSWILGRAIDALDTLLDEGLAMIDDGHKDGKRRYWFPCVSPISSLTRIDSL >RHN71978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4128874:4129982:-1 gene:gene7643 transcript:rna7643 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKCVFASCSKVIHSELNLNLKLQVIASMFKHIDSTQTIIEVCHRFSVGFPHNWKNYSAHSSGNECEHVDKVTGFDNSNTSSHKRTADETSQEAEGNNNIVSLRLSLPQVGVIYNGENGFSNLDNSNASSHKKAADETLQEDMEPEGNDNIASLDLSQLQVAVISNGENGVSSVAAAKNSQSLMRVFEFGPTHEPGSVRSPLYAKKLEFDQLSSDHKDKKCIKKKNVDDTGKLCSRVLTRSIAKKSHIMLIKDGKAEVKCFTSPVRRSTMVLNYKK >RHN60092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:19978696:19979452:-1 gene:gene22313 transcript:rna22313 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIRFEKLMLLFPGFFSCGCWNRKLVVDNFDFRFWLIEIGFFD >RHN54103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6939110:6944284:1 gene:gene29087 transcript:rna29087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK-LRK10L-1 family MFLHLHHMIKNFIMIITIFLLSKTRAQNNSNNTTCLNRCGDETLQYPFGISEGCGIKLNCTNNKVQIDDFLIQNLTKNSIFIYLPAKCNRSIASIRPLFNDNFAPTRNNSFLVQDCSASLGGCVIPASSFGGNQIEVESCDSKSSNISCFTQEYHEGDVDVLSYDELNKTKCNYLFSAIAVEQNKEISLQFQAIELGWWLQGSCECSNNATCSTVNLQGNGTGFRCQCVDGFRGDGFASGTGCRKAPSCSASTITSGGCGSATKIGVVVGAVVAGVVIVAALILIWYCARRRFTWLRKHTRVKRLLREAAGNSIVPLYAYKDIEKATNSFSDKHMLGTGAFGTVYAGKLHNDEFVAIKKIRHRDTNSVDQVMNEIKLLSSVSHPNLVRLLGCCIEEGEQILVYEYMPHGTLSQHLQRERGKGLPWTIRLTIASETANAIAYLHSAIHPPIYHRDIKSSNILLDYNYKSKVADFGLSRLGLMETSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITAMKVVDFGRPQSEINLAALAVDRIRRGSVDEIVDPFLEPNRDAWTLYSIHKVAELAFRCLAFHSDTRPTMMEVAEELEYIRRRAWATMEEAICLGSSVGSGSSSPRYGSENSVSGIKLKTVGQESEGLIVPPKNDNILKSMEMEVMDSSPVSVHNPWSSGPSSPSTNSLLGNVVR >RHN50577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8225066:8233500:-1 gene:gene34880 transcript:rna34880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate dehydrogenase (NADP(+)) MATKDCWQCVQRSSIENDSPLSVDNNGPENGSLSIVVLGASGDLAKKKTFPALFNLYKQGFLLANEVCIFGYARTKISDEELRNRLRGYLVKEKDASPEKLETVSKFLHLIKYVSGSYDSENDFRLLDKEISKHESTTNTAEGSSRRLFYLALPPSVYPSVSKMIKTACMNKSDHGGWTRIVVEKPFGKDLESAEQLSTQIGGLFEEPQIYRIDHYLGKELVQNMLVLRFANRFFLPLWNRDNIANVQIVFKEDFGTDGRGGYFDQYGIIRDIIQNHLLQIFCLVAMEKPVSMRPEHIRDEKVKVLESVLPIKDEDVVLGQYEGYRDDPTVPDNSNTPTFASVILRVHNERWEGVPFILKAGKALDSRKADIRIQFKDVPGDIFKCQKQGRNEFVMRLQPSEAMYMKLTVKQPGLEMSTVQSELDLSYRQRYHDVTIPEAYERLILDTIRGDQQHFVRRDELKASWEIFTPLLHRIDKGEFKSIPYKSGSRGPKQADELLEKAGYVQTHGYIWIPPTL >RHN60747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30085840:30091258:1 gene:gene23104 transcript:rna23104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein disulfide-isomerase MLYHNKRLVVFLFLTTLLLISSFSFTLSEKTQNNDDDDEDLSFLEEPEDTTTTHHDHADFPDPDNFDDDDEGDDGDEFGDFSGYDPHSDEDFKEPEVDEKDVVVLIERNFTTVIENNQFVMVEFYAPWCGHCQALAPEYAAAATELKKDGVVLAKVDASVENELAYEYNVQGFPTVYFFIDGVHKPYNGQRTKDAIVTWIKKKTGPGVYNITTLDDAERILTSETKVVLGFLDSLVGAESDELAAASKLEDGVNFYQTVIPNVAKLFHIDPDVKRPALVLLKKEEEKLNHFDGQFVKAEIANFVSSNKLPLVNIFTRESAPVIFESPIKKQLLLFVTSNDTAKFITVFQEAAKLFKGKLIFVHVEMDNEDVGKPVADYFGLSGNTPKVLAFTGNEDGRKFLLDGEVTIDNIKAFGEDFLADKLKPFIKSDPIPESNDGDVKIVVGNNFDEIVLDESKDVLLEVYAPWCGHCQALEPTFNKLAKHLHSIESIVVAKMDGTTNEHPRAKSDGFPTLLFYPAGKKSSDPITVDVDRTVVAFYKFLKKHASIPFQLQKPTTTSKTNDSGSSDIKESQSSITDAKDEL >RHN60791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30466855:30477744:-1 gene:gene23170 transcript:rna23170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MDLVAGIKEKLTYFRIKELKDVLTQLGLSKQGKKQDLVDRILSILSDEQVSKIWAKKNAVGKEQVAKLVDDTYRKMQISGATDLASKGQVVSDSSNVKVKAEVEDSFQIQTTTTTKIRCLCGSTLETGDLIKCDDARCQVWQHISCVIIPEKPMEGIPPVPDKFYCELCRLSRADPFWVSVSHPLLPVKLATTSIPTDGTNPVQCVERTFQLTRADKDMVSKQEFDVEAWCMLLNDKVPFRIQWPQYTDLAVNGLPIRTTTRPGSQLLGANGRDDGPIITPHTKDGINKISLTVCDARIFCLGVRIVRRRSLQQILNLIPKESDGEPFEDALARVCRCVGGGNAADNADSDSDLEVVSDTFSISLRCPMSGSRMKIAGRFKPCIHMGCFDLDVFVEMNQRSRKWQCPICLKNYALENIIIDPYFNRITSMMINCGEDVTEVEVKPDGSWRVKAKSESERLDLGILGQWHLPNGSLCTSTAGDIKRVETLKQVKQEGFSDGPAGLKLGIRRNRNGNWEVSKPETTNTSSGHILKEVFGNPEQVVIPMSSSGSESGRDGDDPSVNQGGGGHIDYSTTNGIEMDSQSRNNVDLARGYTVHNTSAQVGGAEIIVLSDSEEDNDILVSPPIANNNHQNDTADGYSMPPPGIVDPYVEDQNLGGSSCLGLFPNEDDFGISSLWSLPSASQAGPGFQLFGSDADASDALVHLQHVPINCTSSLNGYALAPETALGSGSLLQDSSAGRSDADLNGGLVDNPLAFAGDDPSLQIFLPTRPAESSMQNELRDQANVSNGVSTEDWTSLTLGGGAGGSNGDASTQNGLNSRHQVPSRDNGTNTLADSASLLLGMNDVRSDRASRPRSGSPFTFPRQKRSVRPRLYLSIDSESE >RHN39533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7927373:7927738:-1 gene:gene45563 transcript:rna45563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ClpP/crotonase-like domain-containing protein MVDGDSKVITSLNKRFGVKSLNLVYSREYSLVRKWTVGISRSKEVIALIRLSKTINRKIIGQEFIDKIREVGDSTKFKAVNIRIDSLGGDVLYYSSLWTEIRSLAAKKPVIASWSDLAMGA >RHN67800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30361998:30363912:-1 gene:gene16036 transcript:rna16036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative taxadien-5-alpha-ol O-acetyltransferase MAYQKEPLNLEMKDVVLVKPSKSIPSCILSLSTLDNKDNNYNLCQAVHVYRPSTIHDSDSGFDPCHVFKEALSKALDYYYPLAGRIVRHAGDGRLRVEFGVGGAEYGVPFLEATANCTLSSLHYLDNTDTDIAKDLVLDVPSPQDKNYPLVFMVTKFICGGFTIGMGCSHVVCDGYGSIQVFKAIIELVKGRSEPSVIPVWERERLVGASTSTKQPLPPLLQPKEPIAFSPLVQPKTVIKIYCFKVENEVIKRLKMSLMKEGGSESEPVTTYESLGAYVWRSRARALQLSNNGKTMLNMLVGIRRSMKGYDPLPIGYYGNTTVDGKVVLKVSELNEMPLYEIVKLIKEAKKIASSTDYVKNSIDHTPETEHKQDFSMDGSGAVTILTEWKHLGFVDENNIDFGGYESVNFVPAPCNMFASLEGCIFASPGKLDDDDPSMKGGVKIFTSLPVAAMPRFKEEIEALRLLN >RHN81747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48644419:48645354:-1 gene:gene5832 transcript:rna5832 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFVGAMADSDTTIKVITSNGGIMEFSAPITVSFITKEFPGHAIFRSHDLFWKPLSQFDELEAGQSYYLLPINNNKIEATCGSDYEHVVRQGHVRSHSVPTTSYPAPYRMSLDYQHHQGMRFLKKSSIESLSSSSRFWKVKLVISPEQLMEILAQEARTKELIESVRIVAKCGDISSAAEDIASDQWSLSNTSWSISSKSK >RHN77581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7846837:7852177:-1 gene:gene1048 transcript:rna1048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MASLDEKAKSVGGVVGGQTLVAEMKLLKEMQEHCGVRKTLNSELWHACAGPLVSLPQVGGLVYYFPQGHSEQVAASTRRIATSQIPNYPSLPSQLLCQVQNVTLHADKETDEIYAQMTLQPLNSEKEVFPVSEFGLSKSKHPTEFFCKTLTASDTSTHGGFSVPRRAAEKLFPPLDYTIQPPTQELVVRDLHDNTWTFRHIYRGQPKRHLLTTGWSLFVGSKRLRAGDSVLFIRDEKSQLRVGVRRANRQQTTLPSSVLSADSMHIGVLAAAAHAAANRSPFTIFYNPRACPSDFVIPLAKYRKSVYGTQLSAGMRFGMMFETEESGKRRYMGTIVGISDVDPLRWPGSKWRNIQAEWDEPGCGDKQNRVSVWEIETPESLFIFPSLTSSLKRPLQSGYLENEWGTLIRRPFIKVPENGGTVEISSSMQNLYQENMKMFYKPQVINNSGAFLPIMQQDYSPTRVPFQDMKSTQALENPKVHLSSTESIPNNTFNMHSLLKNDQPEKLQPLAKTDNSKLESEVLPDHIFDFPSFESCSNIEKVNTANQLTYHNQNQSQNPLLAHTSPWTMQQPQLESSMSHPQLIDMVQPDSSIVNSMLPQLDVDEWMMYSSCQPQVVNPSIPSMNQEVWDQYVKNFNLRDLSAESNNQSEICVNVDASNSVSTTVVDPSTSNTIFDDFCSMKDKDFQHPQDCMVGNLSSSQDGQSQITSASLAESHAFSLRDNSGGTSSSHVDFDESSFLQNNNSWKQVAAPIRTYTKVQKAGSVGRSIDVTTFKNYEELIRAIECMFGLDGLLNDTKGSGWKLVYVDYESDVLLVGDDPWEEFVGCVRCIRILSPSEVKEMSEEGMKLLNSGALQGINV >RHN49981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2855741:2856527:1 gene:gene34227 transcript:rna34227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKQKQYLPISHYIYRAEVVAKTQTIFTLIRKTMNPILSLSLSFFLVFVFITNLSPNNAAKQVLDIHGTPLIPGSQYYIFPASENPNSGGLTLNKVGNLECPVTVLQNNAMIGLPVKFTIPENSTGNILTGTDLEIEFTKKADCAEASKWLMFVDHNTQLSCVGIGGATNYHGIETISGKFLIVKHGSGHVYRLGFCLDVTGNCGYIGLQMFNSEEGGSRLFLTAIDVYSVLFVEANGNSAL >RHN54665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11131458:11138570:-1 gene:gene29739 transcript:rna29739 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSQSNQQDPPRPQRNGGVMAFVILRWIIAILLPFVFLFSIPFLLGLLLLAISDFSVPNPVSLQSHCKIVSTGVDIRSSKICELGLLNYKAKDVFRHFESSKFRCRYDYYWASVFKVEYKDHFSGQRQVAFAEAPSEALPLYCRPNFGAAWLTQYKFKVNETYDCWYTSGISKVHLYQDNLFGCRADEQSIIQKIIQYSTQAMETINYWFSDKGRRANFWRWEIILGVISGFSTSLITITFIMFLKQFLSSLHRPFAAWILFWRVNAILMKRTCFLIAYSSFVAWLVIVYGKRLGLADIFRFPIL >RHN50967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12457068:12459503:1 gene:gene35325 transcript:rna35325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLTLSTFQLLSNLSLNLQELHLSQNNIVLSSHVYPNIPSLVILDLSHNNLTSFQFIGNLTFSTKLQELYLTSCSLTNKSFLVSSTSTFKFLSSLLILDLSSNLLRSSEVFFWIFNFTTDLHSLELYDNLLKGRIPDGFGKVMNSLENIDLSQNHLQGEISSFFGNMCTLEALYFSNNNLSGEVSNFIENYSWCNKNKLRILDLSYNRITGMIPKRICLLSRLEHLVLKRNSLEANINESHLTNFSNLKSLWVPPFQLTSLKLASCKLVSSFPSWLKTHRSLLKLDISDAGINDYVPELIWNNSQYMLFMNMSHNNLRGTIPNFSFQLPQNPAIFLNSNQFEGGVPSFLLQSSHLILFENKFSHLFSFLRDKNPPPTKLATLDLSNNQIEGQLPNCWNSVNTLFFLDLTNNKLSGKNPQSMGTLVKLEALVLRNNSLNGDLPSTLKNCRNLMLLDVSENLVYGSIPTWIGENMQQLIILSMKWNHFSGNIPIHLCYLRKIQLLDLSRNNLSEGIPTCLENFTSLSEKSMERGFKHPEMRLKSIDLSSNNLTGEIPQKIGYLVGLVSLNLSRNNLSGEIPSEIGNLVSLDFLDLSRNHFFGKIPSTLSRIDRLEVLDLSNNSLSGRIPFGRQLQTLDPSSFEGNLDLCGEPLEKKCLEDVTPVNPRGSEIHGEDDNSVFHEGYYMFLGTSYFTGFWGLLGQILLWRPWRIAYIRFLNKLIDYIYVLVAVNVTRFQMWLKHQKVHSANDFDIFSFNFSLKF >RHN80848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41281633:41282348:-1 gene:gene4823 transcript:rna4823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inorganic diphosphatase MNGLVLMLMPSLVLFPASVVAFCFGAVMGFLLAANGMLKRLINSECFNTKGFYWFDYLSNASLPIFSMTMKSVGVQLSKMVVEVHSKFNSIRSLIKKRAKPDYATCIKISIDALLKKTITPGILVMLTPLIAATFFRFHVWIAAEFGKFTVAP >RHN66439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12310310:12317070:1 gene:gene14426 transcript:rna14426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAATLVGGAFLSATVQTLVEKLASQEFCDYIRNTKLNSSLLAELETTLLALQAVLDDAEQKQITNTAVKQWLDQLKDAIYDAEDLLNQINYDSLRCKVEKKQAENMTNQVWNLFSSPFKNLYGEINSQMKIMCQRLQLFAQQRDILGLQTVSARVSLRTPSSSMVNESVMVGRKDDKERLISMLISDSGTTNSSVGVVAILGMGGVGKTTLAQLLYNDKEVQDHFDLKVWVCVSEDFDILRVTKTIHESVTSRGGENNNLDFLRVELNKNLRDKRFLLVLDDLWNDNYNDWDELVTPLINGKKGSRVIITTRQQKVAEVAHTFPIHKVDPLSDDDCWSLLSKHAFGSEDRRGRKYPNLEEIGRKIAKKCGGLPIAAKTLGGILRSKVDAKEWTAILNSDIWNLPNDTILPALRLSYQYLPSHLKRCFAYCSIFPKDFPLDKKELILLWMAEGFLEHSQRNKTAEEVGHDYFIELLSRSLIQQSNDDGKEKFVMHDLVNDLALVVSGTSCFRLEFGGNMSKNVRHFSYNQGDYDFFKKFEVLYDFKCLRSFLPINLRNWVGGYYLSSKVVEDLIPKLKRLRVLSLKYYRNINILPESVGSLVELRYLDLSFTGIKSLPNATCNLYNLQTLNLTQCENLTELPLHFGKLINLRHLDISKTNIKEMPMQIVGLNNLQTLTDFSVGKQDTGLSVKEVGKFPNLRGKLCIKNLQNVSDAIEAYDVNMRKKEHIEELELQWSKQTEDSRTEKDVLDMLQPSFNLRKLIIRLYGGTSFPSWLGDPLFSNMVSLCISNCEYCVTLPPLGQLPSLKDLTIEGMTMETIGLEFYGMTVEPSISLFRPFQSLESLQISSMPNWKEWIHYENDEFNFPRLRTLCLSQCPKLKGHLPSSLPSIDEINITGCDRLLTTPPTTLHWLSSLNEIGIQGSTGSSQWLLLEIDSPCVLQSATISYCDTLFSLPKIIRSSICLRFLELYDLPSLAAFPTDGLPTSLQYIRIDDCPNLAFLPLETWGNYTSLVTLHLWNSCYALTSFPLDGFPALQDLFICRCKNLESIFISKNSSHLPSTLQSFEVYECDELRSLTLPIDTLISLERLSLGDLPELTLPFCKGACLPPKLRSIFIRSVRIATPVAEWGLQHLTSLSSLYIGGDDDIVNTLLKERLLPISLVSLSISNLCEIKSIDGNGLRHLSSLETLCLNDCPRLESLSKDTFPSSLKILRIWKCPLLEANYKSQRWEHLSIPVLKINNEVII >RHN63140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48796736:48799163:-1 gene:gene25807 transcript:rna25807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSPRMKHAWTCNTTRRRNSPEQLLSALESTTGTQHFNQIHTQLIINNLIQHPLLSTTAIKKLSSHPRTTPSSALFFDHLHHPDAFLCNTIIRSYLRSSNPSAAFHFYYHRMIAKSVPPNHYTFPLILKLCVDHGCKLEGEKGHARVVKFGFLSDLFVRNSLIRMYSVFGRIDDARLIFYESYVLDLVSYNTMIDGYVKNGGIRDARKLFDEMYERDVFSWNCMIAGYVSVGDLAAAKELFEAMPNKDVVSWNCMIDGCVRVGNVSLALEFFNQMDGVVVRNVVSWNSLLALHVRMKNYGECLRMFERMMESGEAMPNEATLVSVLTACANLGKLNLGLWVHSYIKSNEIKLDVLLETCLLTMYAKCGAMDLASDVFVEMPVKSIVSWNSMIMGYGLHGNGDKALEMFAEMEKAGQKPNEATFICVLSACSHAGMVMEGWWYFDLMRRVYKIEPKVEHYGCMVDLLARAGFVKNSEELIEKVSVKGGSAMWGALLSGCRTHLDLELAENVAKRLVELEPQDISPYILLSDVYAAQGRWDDVERVRLAMKINGLQKEAASSLVHLEDFEKDSVLNVG >RHN71303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58090225:58091298:-1 gene:gene19966 transcript:rna19966 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLNSKSNFHGRSISLPSRPHPLILKCNEHLDTLLRSSNETSSSSLIFHKIDGLQNLIECVEKLVQLPLTQDALLHEHQENGVNNLLDGSLRLLDVCSAAKDALIHTKECTRELQSLIRRSKRRGSGEVELTSEVKKFLISRKVVRKSISKTLVNLKGNAKNSNILSTNKDHQTVALINLLQDVEVATLSTFQAVLQFISGTTQSKSNSWGSISKLIQPKRVSCSLVADESEFAQVDGALQSFILTKNRKLEAINDLQSHLEKAESCIQDLEEGLEFLFRRLIKIRVSLLNILNH >RHN70677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53153610:53155918:1 gene:gene19287 transcript:rna19287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, EAG/ELK/ERG MYESHNDDDALDHERNMNMMENHNGDGILPEHDDGSMYSLTGGAPLPPLGDFGAKRTKLRRRTISPNDRRYRWWQMFLVVLVFYTAWVSPFEFGFLNEPLRPLSITDNVVNIIFFFDIWLTFFVAYYDKTTYLLVDSHGPIARRYLRTWFLFDLVSTIPYELAHRLFPRLDTYGYFGMLRLWRLRRVSAMFARLEKDRHYNYFWLRCSKFICVTLFVVHNGACIFYFLADHYAKDLSTTWLGLVPDAVDKKNTMSLYVASVYWSIITVSTVGYGDLHPVNTREMLFDIFFILFNQGLTAYIIGNMTNLAVHATSRTRQYRYTVQAAANFARRNKIPIHLEEQMLSHLFMKYRTDLEGVQQQEIIDSLPKAIQSSISYYLFFSMMDNVYLFKGVSKDLIFQLVTEMKAEYFPPKEDVILDNEAPTDFYIFVTGAAVGNYTPLISYFILFFDKICFQLKLFYTSFITAFMKKKMLSI >RHN49321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54032067:54033266:1 gene:gene44138 transcript:rna44138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative The fantastic four family protein MGMLLYYPVPILLSFSLSFVVPSVITMFKKNIHSLLNLNLNLNLNLTTTTTITQSNSVSGLALVTATADNNLPNVLESTTLNSKPSITENCPDPPSIGFVDGLMSCTESLGFESSDEWRVNDDKMDHVKVNSDENDEIWRKKMMTKKAESRGYLKRSFPPPLPSLNRNGKPSFYLRPVRKNGRLELTEVRIHRPDILHASRHDGRLTLHLIPDRDEEDEEEEQEQEHVEEEEEEEEEAQQEEENRGIPMLRRCHEMVNQQNLHGNHHMRMRMCGISIV >RHN47599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40886072:40886389:1 gene:gene42219 transcript:rna42219 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDMEKGEDGFFKKERKGLILWVDDHGSETKKKVSFHVHTLRKLQYHYNLAVDNSNQTFEHVWLEKEKTEDGERFVHPLVRSLCSISSKLFFYALHVCLFIIKN >RHN42527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39040392:39042560:-1 gene:gene48975 transcript:rna48975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-40S ribosomal protein S27a MMETGGFCMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGN >RHN68782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38547269:38551264:1 gene:gene17178 transcript:rna17178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(ADP-ribose) polymerase, catalytic domain, RST domain of plant MEFAQQQEQWDHGASIGEDSSTVSDCESGVSATTTGEEQRQRRQNSDSFLVWLGEGDSVYDLLKTRFLHGLGALSSKAEILAIQRNACSDAVSQARLRSFLVYAEAVSKLRGGDSNVKYAWYGSSGENDVRGILSNGFSHVHGNSICLSPDDSPLQSVKSCAVGRDGVRHLILCRVILGRTEIVQADTKQCYPSCADYDSGVDSFSAPTKYMIWSSRMNTHVWPAYVLSFKVSSLKAVEIEGYGRPTSPSVPFPTLISMLSKVSPQLDIALICKFYKARKEKKISRHELIEKVRQIAGDKLLFSIIKYYRAKKKPTSFQQARSKNGN >RHN57168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37055069:37055413:1 gene:gene32672 transcript:rna32672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation protein, beta-barrel MLCKLTYLRIYQGVIRKGDFITNVNTGEKIKVNHLFKRSCDGIDEVNEAHAGQIVVVCDVDCAPGETFTDGSVRWAMTSANVLDYSVSKDSSEQSSNVVNGLQRNDPTYPVGLV >RHN44169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2166347:2167969:-1 gene:gene38258 transcript:rna38258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monodehydroascorbate reductase (NADH) MGSFLSSLVGGDSATASQSSESSENSSVKTFHSSARWQLHFNELKDSPRLVVIDFSATWCGPCKMMEPILQAMANEFTDVEFIKIDVDELSDVAQEFKVQAMPTFLLLKNGKEVDKVVGAKKDELKNKVQKHKA >RHN72935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11976232:11980922:-1 gene:gene8701 transcript:rna8701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-glucosidase MVTSPRTKTSNILLPLLAFCFNVFVILSQTVADSPSKETQVGYGYTIISVKSDPTGKSLSANLKLIKSSSVFGPDIPLLNLSASFEAKDKLRVRITDSNNQRWEVPEELIPRDSSSSSLSHHFRQQNSQNSKYIITHPNSDLIFTLHNTTPFGFTITRKSNKDILFNTLPEDPLNPETFLVFKEQYLQISTSLPSKRASLYGFGEHTKSSFKLKPNQTFTLWNEDIGSSNVDVNLYGSHPFYLDVRKGSSDGRVKSGTTHGVLLLNSNGMDVVYSGDRLTYKVIGGVFDLYFFSGSSPELVLDQYTQFIGRPAPMPYWSFGFHQCRYGYKNVSDVEGVVTNYAKAGIPLEVMWTDIDYMDAYKDFTLDPVNFPQDKMINFVDTLHKNGQKYVLILDPGISINTTYATYVRGLQADVYIKRNGVNYQGEVWPGQVYYPDFLNPHSQQFWAEEIKLFKDVLAFDGLWLDMNELSNFITSPNTPHSNLDNPPYKINSSGVQRPINEKTVPATSLHYGNITEYDSHNLYGLLESKATNKALVDITGKRPFILSRSTFVSSGKYTAHWTGDNAATWNDLAYSIPSILNFGIFGVPMVGADICGFSADTTEELCRRWIQLGAFYPFARDHSDKNSIRQELYLWDSVAASARKVLGLRYRLLPYFYTLMYESNTKGTPIARPLFFSFPEDITTYEINSQFLLGKGVLVSPVLQSGAVTVDAYFPRGNWFDLFNPSNSVNAKSGKYVTLDAPSDHINVHVGEGNILALQGEAMTTKAARNTTFELLVVFSGNGNSYGQVYLDDGEALDIEGEKDQWTLVRFYGALYNDSVSVTSNVTNGKFSLDQKWIIEKVTFLGIPNYGRLNGNDLAESELNVVSVKNSMRKRVLITKFDRSSKFVTVEVSNLKQLIGEQFELKTKIR >RHN41665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31918371:31919507:1 gene:gene48010 transcript:rna48010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kelch-type beta propeller MATKKIQEKEVEAPIHGDILEATLSYVPLIYLVPASYVSKQWNHAVLSSLRHVNPVKPWLTVHTQNPRAPHVTTTYAYDPRSLTWIQIHAPQINHTPALRSSHSTLLYTLSPTEFSFSFDPLHLTWNHVPSPRVWRVDPIVARVGKCIVVAGGVCDFEDDPLAVEIYDMESRDWIRCESMPEMMKDTTASMWLSVAVAGEFMHVMEKNSGTMYSFDCKMMTWQGPYDLRGEESVFHYETGTLKNRLVVVGIVGNAENMKGVKVWEVKEKLGLWLKMEKLGVMPSKMVKKLRGNKSELLNTIKLISIGDLLFVHNPSEPEEMVACEVSKKGGCEWWSVRNAVVNDETKMGRIVLCAGDVCLEDLKSAVLRDCKFELKQL >RHN40370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15690922:15693573:-1 gene:gene46517 transcript:rna46517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MVRSFSSQNAPLSLLFSVLIILNIIICQTNASCNIKDKQILLSFKHGLTDSLGMLSTWSNKKDCCEWRGVHCNINGRVTNISLPCFTDDEIITENKKNKTHCLAGKFHLSIFELEFLNYLDLSNNDFNTIQLSLDCQTMSSVNTSYGSGNFSNVFHLDLSQNENLVINDLRWLLRLSSSLQFLNLNSVNLHKETHWLQLLNMFPSLSELYLSSCSLESVSMSLPYANFTSLEYLDLSENDLFYELPIWLFNLSGLSYLNLGGNSFHGQIPKTLMNLRKLDVLNLEDNKLSGTIPDWFGQLGGLEELDLSSNSFTSYIPITLGNLSSLVYLDVSTNHLNGSLPESLGNLTNLEKLGVYENSLSGVLSHKNFAKLPNLQWLSLGSPSFIFDFDPHWIPPFKLQNLDLQYANLKLVPWFYTQTSLTSLNITSSSFRNTSPKMFWSFVFNFSFLYLFNNSMSNVLLNSDFVWLVHNGLSGSLPRLTTNVSIFNINGNNMSGSLSHLLCHNIKEKSNLKYLSVIDNHLSGGLTECWGNWKSLIHISLGRNNLTGMIPHSMGSLSNLMSLHIYNTKLHGEIPVSLKNCQKLMIVNFRNNKLSGNIPNWIGKDMKVLQLRVNEFSGDIPLQICQLSSLFLLDLSYNRLTGTIPRCLPSITSMIFKNVSQDQGVLHIVDHDIGIIFVISLSLLAKGNDLTYDKYMHVVDLSNNQLSGRIPIEVFRLTALKSLNLSQNQLMGTIPKEIGNMKQLESLDLSNNTLSGEIPQTMSAITFLEVLNLSFNNLKGQIPLGTQLQSFTPLSYMGNPELCGTPLIEKCKKNEAPGEDTNVMAKEEEGSELMECFYMGMGVGFTTGFWIVFGTLLFKRTWRHAYFNFLYDVKDWFMSRWT >RHN77161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4534860:4539390:1 gene:gene587 transcript:rna587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGICISVASSEIHGIPQVHDENVMIFEASKVQNETKRLCSVYSKQGTKGLNQDAASLHQDYGMENGAFCGVYDGHGKNGHIVSKIVNNTLPSLILSQKNALEEIHTTKNGVDNKQNKFSNNYLRWKEAILGAFNVMDEEVKKQENLDCSCSGTTAVVVIRQGEGLVIVNLGDSRAILGTIQDEKLKAIQLTTDLKPGLPCEAKRIRSCNGCVYALKEEPHVQRVWLPNENYPGLAMSRAFGDFILKDHGVIATPDIWYHRLTSSDQFIVLASDGVWDVLSNEEVASIVWMVESEEEAARAVVEAATAAWAKKFPSSRVDDCTVVCHFLQKKPQNLEYMDSGKLG >RHN69346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42763979:42770888:-1 gene:gene17798 transcript:rna17798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase transcription factor C2H2 family MKKTFSTNHTPHFHRQTPHSACPVYRHRRPGFYSNHRFDRPPERNPPHRPPNFILKLHLGRRALNRDDVDSLIGKCKPNPDNYCFYPCDGVAASLNFLQWTDARDAVVWFWESRISGGHDFTPELISNVMVPSDTVELEGSLRRVFASHVKELMEGKEVKKWVEEWDRVSKEISRVVSLLGKPFPIRVQEQNIQMKKGLDEEKSLIERRLKEFEFAMECILQHLEEDSKVDSGDDFVPVFRFGGGFDWGKIHSLIVRERRRLEEGLPIYAYRREILQQIHHQQITVLIGETGSGKSTQIVQFLADSGIGADETIVCTQPRKIAAKSLAERVQEESKGCYEENSIQCYSTFSSCQKFDDSRIAFMTDHCLLQQYMSDRNLSGVSCIIVDEAHERSLNTDLLLALIKNLLCKRVEMRLIIMSATADAKQLSDYFYGCGIFHVLGRNFPVEVRYVPSEYGEHSGSAVLAPYVFDVVKLATEIHKTEKEGAILAFLTSQVEVEWACENFKALSAVALPLHGKLSSEEQFHVFQKYPGKRKVIFSTNLAETSITIPGVKYVIDSGLVKDCRFDPCTGMNVLKVCWISQSSANQRAGRAGRTEPGRCYRMYSEADYRSMELNQEPEIRRVHLGVAVLKILALGVKNVQDFDFVDAPSPSSIEMAIRNLIQLGFIKLNNNVHELTYEGRYLARMGIEPRHGKLILGCFRLGLGREGIVLAATMPNASNIFCRFGNEGDKQRSDCLKVQFCHPDGDLFTLLSVYKEWEAQPRDRRNKWCWENSINAKCMRRCQDTVLELESFLEREHGFVVPSYWRWNPHTPSVHDKNLKKVILSSLAENVAMFSGRNQLYEVAQTGQHVQLHPSSSLLVFAQRPSWVVFGELLSVSNEYLVCVSAVDFQLLYSLQPPPLFDVSKMEERKLQTKTLTGFGTILLKRFCGKGNGNMFGLASRIRKACMDERIFVEVNIDENLIQLYATSHDMNTASMMVNDVLEYEKKRLRTECMEKCLYHGSGSSSPIALFGSGAEIKHLELEKHSLSVDVCHPNINEINDKELLMFLEKNTSGCICAVYKFPGMVKDVEDREKWGKITFSSPDAAKRAAELDGEEFCGSSLKILPSHSVIGGDKTFSFPEVKAKIYWPRRFSKGFGIVKCDKNDVDFILRDFYNLAIGGRYVRSALSNKSMDSIVISGLDKELLETEILDVLRTATSRRILDFFLVRGDAVGNPSCSACEESLFKEISPLIPKINPHISSCRVQVFPPEPKDSFMRALINFDGRLHLEAAKALEKIEGKVLPGCLSWQKIKCEQLFHSSLIFPAPVYHVIAEQLEKILTSFNNLKGLEWNLNRTANGSHRLKITANATKTVAEVRRPLEELSRGKVIDHDSITPAALQLMLSRDGFNLKSSIQQETRTYIIFDRQNLNLRIFGSPNRIALAQQKLIQSLLSLHEKKQLVISLRGKDLPSDLMKQVVKNFGPDLHGLKEKVPGADLELNTRQQIIFLHGNKELKPRVEEITLEIARSSHHLVERLDTGPSCPICLCEVEDGYKLEGCGHLFCRLCLVEQCESAIKNQGSFPICCAHQGCGDPILLTDFRTLLSNDKLDELFRASLGAFVASSSGTYRFCPSPDCPSVYRVADSDTASEPFVCGACYSETCTKCHLEYHPYLSCERYRELKDDPDSSLKEWCKGKEQVKSCFACGQIIEKIDGCNHVECKCGKHVCWVCLEIFTSSDECYDHLRTIHMTI >RHN68736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38142003:38147074:1 gene:gene17124 transcript:rna17124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLTQFHWLHSELTNVCKSLLRVKQLHACLLKTHLSKDPFYATQIIRLYAFNNHINYAHHVFDKTSTRSVFLWNSMIRAFAKARRFSNAISLFRTMLVDDIRPDNYTYACAIRACADSFDFGMLRVVHGSAVSVGLGLDPICCSALVSAYSKLGVVHEARRVFDGIVEPDLVLWNSLISAYGGSGMWEIGIQMFSSMRLAGKKPDGFTLAGLLGGIADSSLLSIGQELHGLSQKSGLDSDCHVGSLLVSMYSRCKCIDSAYRVFCGIFNPDLVTWSALISGYSQCGEYQKALLFFRKLNMKSKKLDSVLIATVLASITQMANVLPGCEIHGYVLRHGLESDVKVSSALIDMYSKCGFLHLGTCVFRIMLERNIISYNSMILAYGLHGCASQAFTMFDEMLQKGLVPDEGTFSALLSACCHAGLVKDGRELFWRMKDEFNIKARPEHYVYMVKLLGGVGELEEAYNLTQSLPKPVDKAILGALLSCCDSYGNSELAETVAQQIFKSNPADNVYRVMLSNIYAGDGRWDDVKKLRDKMVGGQKKMRGVSWIEGSYY >RHN55941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25813529:25813867:1 gene:gene31235 transcript:rna31235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MYYINLLNHQGVFPSDQDLASHPTTKEIVNLFASNQNEFSNKFANAFVKVSQLSVLIGNQGEIRKSCFAPSNRQSNNGVCGRRGGGNCSKHINYCKIIINLTVISNNFSFLV >RHN44356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3816206:3816592:1 gene:gene38466 transcript:rna38466 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKTNLVGDILICEPKESEIEGMNNVSINNSDDEASVVDKGKGNMIQTNEELESSSYLEEKVLEFSLQFLLFPHDVLQFGEGASKFFEDRTHSRLELNIGEGIEENLLDGFYFPPNNVQVKYILCT >RHN49216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53346823:53349602:1 gene:gene44024 transcript:rna44024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MSGGGDAKRNKRLAIIGVSTFLLVAMVVAVTVNVGFNNKKEPGEETSKESHVSQSVKAVKTLCAPTDYKKECEDSLIAHAGNITEPKELIKIAFNITIAKISEGLKKTHLLQEAEKDERTKQALDTCKQVMQLSIDEFQRSLERFSNFDLNSLDRVLTSLKVWLSGAITYQETCLDAFENTTTDAGKKMKEVLQTSMHMSSNGLSIINQLSKTFEEMKQPAGRRLLKESVDGEEDVLGHGGDFELPEWVDDRAGVRKLLNKMTGRKLQAHVVVAKDGSGNFTTITEALKHVPKKNLKPFVIYIKEGVYKEYVEVTKTMTHVVFIGDGGRKTRITGNKNFIDGVGTFKTASVAITGDFFVGIGIGFENSAGPEKHQAVALRVQSDRSIFYKCRMDGYQDTLYAHTMRQFYRDCIISGTIDFVFGDSIAVLQNCTFVVRKPLENQQCIVTAQGRKEKNQPTGLIIQGGSIVADPKYYPVRLKNKAYLARPWKDFSRTIFLDTYIGDMITPEGYMPWQTPAGITGTDTCYYGEYNNRGPGSDVKQRVKWQGVKTITSEGAASFVPIRFFHGDDWIRVTRVPYSPGATKTPPSRPTH >RHN62630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44821156:44824686:1 gene:gene25225 transcript:rna25225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MENINVDTEKGTMKEEEEEEESPIEEVRLTVKKTDDPTLPVWTFRMWFLGLLSCALLSFLNQFFAYRTEPLIITQITVQVATLPLGHLMASVLPSKTFRIPGFGSKRFSFNPGPFNMKEHVLITIFANAGAAFGSGSSYAVGIVNIIKAFYGRNISFLAAWLLIITTQVLGYGWAGLLRKYVVEPAHMWWPGTLVQVSLFRTLHEKDDNPHQFSRAKFFFIALVCSFTWYIVPGYLFTTLTSISWVCWVFSKSVTAQQIGSGMNGLGLGALTLDWSAVASFLFSPLISPFFAIVNVFVGYALLVYAVIPIAYWGFNVYGANRFSIFSSDLYTAQGQPYNISNIVNDKFEIDLAKYHEQGRIHLSTFFALSYGFGFATIASTVTHVACFYGREIMERYRASKNGKEDIHTKLMKNYKDIPSWWFYLLLGVTFVVSLMICIFLNDQIQMPWWGLLIASALAFIFTLPISIITATTNQTPGLNIITEYIFGIIYPGRPIANVCFKTYGYISMAQAVSFLSDFKLGHYMKIPPRSMFLVQFIGTVLAGTINIGVAWWLLDSVKNICNKDLLPKGSPWTCPSDRVFFDASVVWGLVGPKRIFGSLGEYSTLNWFFLGGAIGPILVWLLHKAFPKQSWIPLINLPVLLGATGMMPPATALNYNSWIIVGTIFNFFIFRYRKKWWQRYNYVLSAALDAGVAFMAVLLYLALGLENVSLNWWGTAGEHCPLAACPTAKGIVVDGCPVF >RHN54580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10600150:10600658:1 gene:gene29645 transcript:rna29645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MFQKIQYLGLGGNRLSGDIPAFIGNLSQLYYLGLSENKLEGNIPPNIGNCQKLEYLNFSQNDLRGSIRLEIFSISPLSKLDFSRNMLNDRLPKEVGMLKSIEGVDVSENRLFGDILGTIGECRVLQVL >RHN62137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41022118:41022663:1 gene:gene24673 transcript:rna24673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative secoisolariciresinol dehydrogenase MFNNAGIGGPNNSRIIDNDKADFERVLSVNVTSVFLGIKHAAQAMIPARTGSIISTSSISSYVGGAASHAYYSAKHAVVGLTKNAVVELGQFGIRVNCVSPYALATPLATQFVGCNDGELETTMNMLANLKGVTLKTDDVANAALYFASDDSRYVSGHNLLIDGGFSIVNPSFHMFQYSDS >RHN82033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50733156:50734066:-1 gene:gene6149 transcript:rna6149 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQSLFSPLKKIWLKLNSTQKKRRGLYILYEDVKSCPYEDVHVLWSILVESHSPSLPLKK >RHN50892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11507780:11514250:1 gene:gene35241 transcript:rna35241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidinol-phosphate transaminase MKMTHMAIEYAFFPFYRLCILLLSLFFVLFFYSYVCFCWYYGTKNDFSCREKDGIWFIYYSCVLDPGDKIVDCPPTFTMYEFDAAVNGALVIKVPRRPDFSLNVEHIIEVVKQEKPKCLFLTSPNNPDGSIIDDDDFLKILELPILVVLDEAYIEFSTIESKMSWVKKHDNLIVLRTFSKRAGLAGLHVGYGAFPLSIIKYLWRAKQPYNVSVAAEISACAALQNPTYLENVKDALVKERGRLFDLLKAVPFLKPFPSHSNFILCKVTSGVDPKKLKEDLAEMGVMIRHYSNKELGYVRVSVGKPEHTDVLMNNLFSCRIS >RHN73058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13118099:13119066:-1 gene:gene8835 transcript:rna8835 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYLFFLFITMPLIVFCDFEMPSGSCTKRDISISQSKGSTSGIPQYIVQIVNTCVSGCAPYDIHLHCGWFASARIINPKLFKRLSYDDCLVHGGKPLTSNQIIRFTYSNSFMYPLAFKSARFC >RHN61537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36246934:36251965:1 gene:gene24010 transcript:rna24010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSTRTSRVPVGIRTNRRRKAAIDLDLNRVPAAEHSTARNPIAENPSGENREQERRLTQLGPQEVEVQANQQQEVEVQANQLQLVAQPEPTLIDVEAIDDDDVVLSSPRAFAEAKNNSRRNRGRTVVDVDLEEQIRLSNNNRNKRRRESPKRSIFNCDLYINLDASSSNTMESVKKPPEPPKEPVFTCPICMGPMVEEMSTRCGHIFCKSCIKAAISAQAKCPTCRKKITVKELIRVFLPTTA >RHN43608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47191820:47195480:-1 gene:gene50214 transcript:rna50214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MAARFSFSFIFAASLFLLLLDCCSGSFIGICYGRNADDLPTPDKVAQLVQLHKIKYVRIYDSNVQVLRAFAKTGVELMIGVPNSDLLSFSQFQSNADAWIKNSVLPYYPATKVTYITVGAEVTESSYNTSSLVVPAMNNVLTALKKLGLHKKIKVSSTHSLGVLSRSFPPSAGAFNSSHAHFLKPMLEFLAENQSPFMIDIYPYYAYRDSRNKVSLDYALFQASSEVIDPNTGLLYTNMFDAQIDAIYYALMALNFRTIKVMVTETGWPSKGSPKEKAATPDNAQTYNTNLIRHVINNTGTPAKLGQELDVYIFSLFNENRKPGLESERNWGLFYPDQTSVYNLDFTGRGAVDMTTAANVTRSNRTTWCIASSKASEIDLQNALDWACGPGNVDCTAVQPSQPCFEPDNLASHASYVFNSYYQQNGASDVACSFGGTGVKIDKDPSYDNCIYMRDGNNKTSGGNTRAMSSTSSSQQKGICYSSISIYLLVTCLFILLNIEQS >RHN55170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15734939:15735409:1 gene:gene30307 transcript:rna30307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidoglycan binding protein MMKLYQFELLLFLLLIIDNTTLSQKISPKIVSSILKPILKEVRQQATEYALKKSLDKITQSPTPEQQAEVIQGLYQIKQYLYYFGYLQQYGPFNNVLDQQTMSAIKIYQQNFNLQVTNGYLNTETSQQILLPRCGVPDMNFEYSFTNDKSFPKGNK >RHN58480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2112243:2113565:1 gene:gene20398 transcript:rna20398 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSRGAAETELERVRDAADRQTRELSEASSTIKDLEVELSQVENKANLLTQKNNADQVVKTELEDGLKKLLDEAANNASKLVGSSETIKSLEDALLKAQDDIFTLEDANKIAKQEISSLSLKLNSYMDELAGKNRSLENKSLELIGFLNDLQVLMKDDTLFLRIKQCFERKCETLKNVDLIVSKVRNHISLSAKDSRGHLEMEEDPPVRKSFSDGLEKFEVELDNREINGIDIDTIISSFGKIVKGFQLRNEHIADKFDDFSDSIDAFISPLHGKLLEKRQIA >RHN69980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47734793:47742151:1 gene:gene18516 transcript:rna18516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MQEVEATTASPPPPRRVLLISAGGSHSVALISGNVVCSWGRGEDGQLGHGDTDDRLLPTQLSALDAQQIVSVVCGADHTLAYSESKVEVYSWGWGDFGRLGHGNSSDLFIPQPIRALQGLRIKQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGTTEDSLVPQKIQTFQGVPIKMVAAGAEHSVAITENGELYGWGWGRYGNLGLGDRNDRCIPEKVSVDCDKMVLVACGWRHTISVSSSGELYTYGWSKYGQLGHGDCKDHLVPHKLQAFSDKLICQVSGGWRHTMALTTGGQLYGWGWNKFGQVGVGDNVDRCSPVQVKFPHDQKVAQISCGWRHTIAVTEKDNVYSWGRGTNGQLGIGDTIDWNYPKIIEALSVDGSCGQHIESSNTDQLSGKTLASLAERYAVVPDEAAPVANSGSLDRLDLSVPESDVKRIRV >RHN75593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43602321:43603114:1 gene:gene11823 transcript:rna11823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator Znf-B family MAKDKEQSSSDYRSCVPSNPIHSDPIHSISFRFIPSGAFMEALCEFCGVARAVVYCKPDSARLCLHCDGNVHSANSLSRRHPRSLLCDKCNFDSAIVRCVDHKLSLCQVCDWNTNDCFVLGHKHVLLTFYTGCPSLAELSKIWPHLVDANSSNAAWESPSTSSLPKTESSSGRGQHLEQQPEKNGFVGLANDKLGEGDTCVKYEPWIENSPIIPSNSNCTQYYKDQPFLFNQDSNQQKVLISF >RHN70344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50770532:50773509:1 gene:gene18914 transcript:rna18914 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGLFWGLKEDLMRGMSPASSSSPMSSLLQRKKKEDVLPLELFVGGICPSTPVRPPLEAMSPLREGPEETECSFGVSSSSDLRLLLSVLGAPLAPLHVTITEPFPHLAIKDIPIETSSAQYILQQYIAASGGLKIQDSINNVYAMGKVRMIASEFETANKLVRTRNPTKAAQSGGFVLWQMKPEMWYVELSLGGSKVHAGCNGKLVWRHTPWLGPHAAKGPARPLRRALQGLDPRTTASMFINSRCVGEKKINGEDCFILKICSDPSTLKARSEGSSEIIRHVLLGHFSQKTGLLIHLEDSHLTRIQNNGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSQVTLFKFGETAMSHTKTRMEEAWVIEEVAFNVPGLSVDCFIPPAELRFASVSETCEFSPSQKMKSDV >RHN40765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20582104:20582472:-1 gene:gene46966 transcript:rna46966 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSLLPCSFRFSMVEEEIVVPALTWPGEDPLETESSFALDGTGEIPFTSVGKIEDLAVVVPSTSDRVCSEYDNHVFPVYEVVFEDMGFQLPFFDFQREALRWTKLSPSQINPQLQYFHDYV >RHN76222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48720597:48727899:1 gene:gene12514 transcript:rna12514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H MNALFSHLSSFTSTILARTTQFVANRSLHTTPHTFSFPVKSHHSLLTSFHSQFVLTTVTRCYSTRKLRKTGSSKLHKVEAETTMNQEQNESDAFYVVRKGDVVGIYNTLTDSQAQVGSSVCDPPVSVYKGYSMSNETEEYLLSHGLKNALYTIRASDLKEDLFGTLVPCPFQDPSSTQGTTSNADSSKKRALEVLEQNNVKATGLTSISEDPLRKQVKLDRAAPGVASSLANKTCIVEFDGASKGNPGKAGAGAILRSKDGNLIYRVREGVGIATNNVAEYRAMILGMRHALKKGFTSICIQGDSKLVCMQIDGLWKVKNENLSTLYKVAKELKDKFVSFKISHVLRDLNSEADAQANLAVNLADGQVQEDYVG >RHN56569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31944807:31945586:1 gene:gene31987 transcript:rna31987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartic peptidase A1 family, xylanase inhibitor MIYVSIMLMSFSSFFDQFRVFSISCNGCPQTSRLQSGDLTKSDRAVDGIFGFWQQQMSVISQLSSQGIASGVFSHCLRGDSSGGGIPVLGEIVEPNIVYTPIVPSR >RHN55273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16641703:16647507:-1 gene:gene30425 transcript:rna30425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Transposase-associated domain-containing protein MDKEWTKLPRLSREYKKGVVSFLDFAFTKGKPEGREILCPCDKCKNTWYEKRRVVFDHLVAYGFVEGYKVWVYHGERRSRSTKIDDQMEVQEDSHDDIDGLLFDTFRNFVETDGVNKGPNEDAKKFYKLIDESKQPLYTGCENFSTLSFIIRMYLLKCLHGWSNASFTSLLELLKEAMPDLNIPNSFNKTKAMIKDIGLDYEKIDACPNDCMLFWREHKDDNFCRFCKASRWKESPQVDCESEQHKNDHKVAEKILRHFPLIPRLQRLFMCSKTADSMRWHKDDRTKDGCLRHPADGQAWKDFDRLHTDFASESRNVRLGLSSDGFNPFRTMSISHSTWPVVTVAYNLPPWLCMKPEFMMLSLLIPGSQSPGKNIDVYLQPLIEELKVLWESGVETYDASKNQMFRMRAALLWTISDFPAYAMLSGWSTKGKLACPCCNNNTSSTYLKHSRKMCYMDHRTFLPMDHAWRENRKSFNGKKNLDLHRLC >RHN63159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48975908:48976517:-1 gene:gene25829 transcript:rna25829 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKITLVQTVATAGVFSAISFWYGFMFGRESSRKELSHLIEELRSGNPPPPPHS >RHN53023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41305514:41309950:-1 gene:gene37768 transcript:rna37768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ceramide glucosyltransferase MFNVQGCIICLVLAFGWASAACVRKREIRRIRRSIQNGNSFAFICHDINELEHCNQVNLPKVTVIMPLKGFGEHNLHNWRTQLTSLYGGPLEFILVVESTEDPAYHSVSRLLSEFEVQGSVEARVIVAGLSTTCSQKIHNQLVGVETMDKDSKYVLFLDDDVSLHPGSIGALAREMERNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSIGFATGGKTFFLWGGCMMASSFYLFSFSLVYKNTCILTVHFFLKMHAEDFRQDNCGVVSELQNGGYSDDMTLAAIAGAHKKLISSPPVAVFPHPLATDLNFGRYWNYLRKQTFVLESYTTKVNRVMNRALFTVHCYLSWGFVLPYIIAIVHVAAALQFHYKGYSVEEMAYTSGGLSLVYFLAICTLLELLSMWNLTRIEVQLCNMLSPEEPPLSIVNYNWCLVFIAMLVDNFLYPISAIRSHFSQSINWSGIRYHLKNGKICKIDRTQPNKDMAPVFTDLAGKLLHGRKGFPSKGPLLSSLSKKYTEWRQPKRSE >RHN48104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45038970:45039513:-1 gene:gene42779 transcript:rna42779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MVVVGFKPTGATLVSVLSACARNVATWNAMIFGLASHHGHVEDALNLFESMKKEGIVVPNGVTFVGILSASCHADLIDVGREVFCSMKVVYGIEPKIEHYGCMVDHLGRGRGTYKRDAMETRRGCYFGIFAGI >RHN41688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32077179:32078117:1 gene:gene48038 transcript:rna48038 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDNCDLFSIVRSCKATTFATPTTNFETLPPTLTTTTNNIISPQNTTPCFDYFSFFEDNSSAPITPLKPNDFIELEKLIININPTTTIPTPTITSIPTITTTPTTTPTPSSTNIPSHITTNTITNTSFHDSNQNSTFSNFPKLIEQQQLELNEFTELEKMILKFYPNTTIPTSTITAPTPTTTIFTTPTTIIPTTTFATLTTSTPIIPTITTTMTTTSVCKTNQYLTISDYQILIEQQRNEPNRNNEVSVSEPHTRTEIATSNFDCAFNHPSIPQQSLRKRNQLPFLLPRTNSRFLPNTHPKKPKFKSRKR >RHN69448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43501118:43509859:-1 gene:gene17924 transcript:rna17924 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:IAR36 MKFRNSLFYFMIIFSSTVIILEHFAVSTAVKIPSAAYDRVLFSDRRCCDRENNELTLSPCKNVTRKAKTATNCEVWNEACSEAVLSVARLPETVEWLKSVRRKIHENPELAFEEIETSRLIRKELDLMEVSYRYPLAKTGIRAWIGTGGPPFVAVRADMDALPIQEGVEWEYKSKVAGKMHACGHDAHVAMLIGAAKILKTREHLLKGTVILLFQPAEEAGNGAKRMIQDGALEDVEAIFAVHVSHEHPTGMIGSRPGPLLAGCGFFRAVISGKRASAANPRNSADPVLAASAAVISIQGIVSRESNPLDSQVVSVTSFNGGNSHDMIPDSVVIGGTFRAFSNTSFYQLLERIEQVIVQQASVYSCFAEVDFFEKEYTIYPPTVNDDQMYEHVKKVSIDLLGQKNFRVVPPMMGAEDYSFYSQVIPSAFFYIGIRNETLGSTHTGHSPHFTIDEDALPIGAAVHATIAERYLNEHG >RHN66156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9166516:9181386:1 gene:gene14083 transcript:rna14083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative clustered mitochondria protein MAGKSNKGRNRKGSNTAAVAVATAAVSGGVETAIQADVPANDNVEAVTEVANIDAVEVAAVGDGAVVSSEVNENEAANEENQPKQGDLQLYPVSVKTQTGDKLELQLNPGDSVMDIRQFLLDAPETCFITCYDLLLHTKDGSTHHMEDYNEISEVADITTGGCSLDMVPAFYDDRSIRAHVHRTRELLSLSNLHASLSTSLALQNEAAQNKAANAAVDAAKPEVPELDGLGYMEDISGSLGNLLSSPLKDIKCVESIVFSSFNPPPSYRRLVGDLIYLDVITLEGNKYSITGSTKMFYVNSSSANTLDPKPSKATSEATTLVALLQKISPRFKKAFREILEGRASAHPFENVQSLLPPNSWLGLHPIPEHRRDAARAENSLTLLYGSEPIGMQRDWNEELQSCREFSHTTPQERILRDRALYKVTSDFVDAAINGAIGVISGCIPPINPTDPECFHMYVHNNIFFSFAIDADLEKLSKKHADSNSKTSSSSISLPSSDKVPNGRKEDGSSLEDTETTQDISPEVLAENEQATYASANNDLKGTKAYQEADVPGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKISWNEGFHAKVSEAAKRLHLKEHSVLDGSGNVLKLAAPVECKGIVGGDDRHYLLDLLRVTPRDANYTGPGSRFCILRPELINAFCQVQAAEASKPKDITSEGAENISTESQNATDEDKPDLTKEEKTEDVKEQASASNEASCCKEDIVFNPNVFTEFKLAGSPEEIAADEESVRKVSQYLTDVVLPKFVQDLCTLEVSPMDGQTLTEALHAHGINVRYIGKVAGGTKHLPHLWDLCNNEIVVRSAKHVIKDLLRETEDHDLSPAISHFLNCLFGNCQAFGGKLVTNLTQSRTTKKDHAGHRSPGKSSKGHVRWNGRASSRKTQPSYMNMSSDTLWSEIQEFAMVKYEFELPEDARSRVKKISVLRNLCLKAGITIAARKYDLSSPTPFQTSDVFDLRPVVKHSVPSCSEAKELVETGKLQLAEGMLSEAYTLFSEAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIMQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMNTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSHQHEKKTYDILVKQLGEDDSRTRDSQNWMNTFKMREVQMNAQKQKGQAINAASAQKAIDILKAHPDLIHAFQAAAGGSGSSVAAANKSLNAAMMGEALPRGRGNDERAARAAAEVRKKAAARGLTVRPHGVPVQAVPPLTQLLNIINSGTAPVAADNGNANGAKQDEDVAKKEANGAQTEANGPPSSDSTDAEKSAPVQEPAPVGLGKGLSSLDNKKQKSKPKAGA >RHN74119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28445643:28447892:-1 gene:gene10106 transcript:rna10106 gene_biotype:protein_coding transcript_biotype:protein_coding MCQKSTEPAHFSRAYFFSFKRSGNPSPLISFRRFCFLLKREPSFPSLTCLVCVAQVTCSLSSFSFVHFFNLFMVVVRECDERGRDLPPSNPLDRQKLRLQCLKHATRGNGLIDWKVYDSTYFSHMSFSDISSESDCSESDDSDCVLLYSTSGDPLGQGDVTTAPPKMVRGKEKQLACPETDTECFSDDVQRYDSAYNDQSKVDFFRSKTLVSSTMREEDIVLTPCPPGEKVCTMHPKGVKEIFHMYGAVLEEFGVKIPFTLFEMDVLRLLNVAPTQIHPNSWAFIRGFEILCDALDMIPTAGVFFHFYGTKGVDKGSWVPISAHPGKQLFPAFASNFKRDWKKSFLRVEASKNSPVSVARVAGEVKFPLGWTANPVAVSGYEYKKMSPYEQDVVGFLDRMLHTDLRKLLNKEGDSEDLELYLLPMLPLSGKERRKYLEALKEKHASGEHIASDPARVILCKGAKKRENVSSSEPAGGDLGVVPEKVAEVEVVGGEVTDQTLSPATKKMKTGRKGGGRTLSAEAGAAFEASFWHRDFDYRRYMEENIPFSAGDKDAAFHGKFDELVQDAGTSALRTLLYIQSMERKHEALEKEYQDSVKDVEKFKHKATTFEERVEGLLKDKSSLEQTVADTEKEKSAWEEEKKALEAQNAKLQGDLEKAKGEVEDGKMALVSFFEDRFERAKSQALHFYPELDLSGLNSLKIVQDGELVEEP >RHN56275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29426356:29427063:-1 gene:gene31647 transcript:rna31647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 peptidase MDSIKGHHNGLKDLVQSYLSEEWKDRKKDTYGEDLSSRFFNMHFLPVEVPQQENSFDCGLFLLHYLELFVAQVPFDFNPLRLTNCSNFVSGFHG >RHN80346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37346681:37347193:1 gene:gene4260 transcript:rna4260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MPSMDSPNPLLADHFPDPFCVMEQTYFGVEKDQSAMTLSPVKVDWKETPEEHVIVMDVPGLRKDKIKIEVEENSVLRVIGERKKEEEKKGDRWHRAERSYGKFWRQFRLPENADLDSVKAKMENGVLTLTLRKLSHGKIKSTRLVSIEEENEKASKLSDDEANNNELGNN >RHN51294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15898979:15900256:-1 gene:gene35710 transcript:rna35710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKRKRITSSLQSSSQQIILYLPDDCWESIFKFIINNNDENSLKCLSLVSKQFLSITNRLRFSLNIKEATRPFLFSLFKRFTNLTSLDFSYCHYSIGILLRQISIFFPLKLTSLKLPMLCTFPANGLRTFSRNVTTLTSLTCSGIYFDIDDLLPIVDCFPLLKELNICRPKVPKNNETNFVSTIQRLLSKSPCIQHLELQSTYFLNNQHVVDFCLCLGNLVSINLNFCHHLTETTLFSLVRNCYSLIEVKMECTAIGKENVGNSDSLVEYGVYPQLKSLYLGHNSWLNDEIIILYASIFPNLKLLDLQDSPISEGICQGLRKCCKLKHLNLEFCSGVKLHGINFAVPKLEVLNLSNTKVDNETLYMISKNCCGLLRLLLEKCNDVTEKGVKHVVKNCTQLREINLAGFHLSLKIRKLISRRGCHLC >RHN62841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46149919:46151801:-1 gene:gene25461 transcript:rna25461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MMLQNYDHVLVRNSGICQGNTIPQYFGLGSETRGTTTDIPDPFGIETGSPEEIPEGFEEKWLLNVHAIDTRGTEDKLGCTECKCDLYNVTVDEYGKSIRPDYKGGLLCCYDSTRCKLKEGFEGPKRSLYLRCTVKWVDWDDFIVPVKIYIIDVTGSLKLSDDSKGMKSDHDCKEGNGCVHVKRTSLPLQSGRDGRVICSSIPSYGTGNEAGNEATYIVGMSTCYPKPGSVKIIDGETLTLESNYNSTREHTGVMGLFYLLVAEQLPYQQLRLTSRSSFVVDVKFSDN >RHN41440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30027482:30031688:-1 gene:gene47758 transcript:rna47758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol 4-kinase MSRKSDSPVQTQMAVALFRSPLGREYIEKKSMGGRQSSGRRRVFVQTESGCVLGMELDRSDNAHTVKRRLQIALNVPTEESSLTFGDVVLNNDLSSVRNDTALLLTRNLMHRSSSTPCLSPTGRDMQQKDKSGPIEIVGQSSSLDVMKHMVKDIVKAMQMGIDPIPVNSGLGGAYYFLNKRGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYGHFARVPPTALVKITHSIFNVNDGVNGKNIQSKKVVSKIASLQQFIPHDFDASDYGTSGFPVTAVHRIGILDIRILNTDRHGGNLLVRKVGAFGEVDLIPIDHGLCLPEALEDPYFEWIHWPQASIPFSEDELSYIENLDPAQDCEMLRKELPMIREACLRVLVLCTIFLKEAAAYGLCLAEIGEMMTREFRSGEEEPSELEVVCLEARNMLAEREELSPHTDLRDDEFLFDIDCDLAGSDLTLKMTMDDPLTRSLSLPGLGSGNGRNPFSKLYESIEEEEEEGDGESPEGFGPFPCYEKTPVVSKLSVSLKNIMLSETSQKYQKHSGGKADNGCYGNTSSSGHKSANEQLSASSSFVKLADMTEDAWTMFLEKFQELLYPAFAKRKSITIGQKQIQRLGTSCQF >RHN45675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24361962:24375620:-1 gene:gene40058 transcript:rna40058 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MDSVVVDSDKGNEADHSFLEESTHILDGVELQEPYVGMEFDSEEAARKFYAEYARRVGFVVRVMQRRRSGIDGRTLARRLGCNKQGFSPNSKGTLGKEKRPRPSAREGCMATILVKMEKSGKWVVTRFIKDHNHPLTATANGFRTEDDKDKKIAELTMELERQDELCAAYREKLLSFINNIEEQTEEMSTRVQLIIENIRRAESEMQKSSQKVYN >RHN46092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28425419:28429012:1 gene:gene40532 transcript:rna40532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP cyclohydrolase I MDKMYKLLYNMMVRDEEAEVAGSFASVVLVLFQRQKVKDIVQGALFPEAVLDNRVGHAGGAGGLVIARDLDLFSYCKSCVLPFQVKCHVGYVPSGERVIGLSKLSRVVDISAK >RHN43644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47441963:47445532:1 gene:gene50253 transcript:rna50253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-binding, CRM domain-containing protein MALKLATTFPISASNADQSSRRPTGKPNKNPSKPKVDPQSHPALKFSNIPKQKLKPVNKTPENVKISEDGVSYVIEGAPFEFKYSYTETPKSKPVQMREPPFVPFGPVTMPRPWTGRPPLPPSKKKLKEFDSFVLPPPHKKGVKPVQSPGPFLPGTSPRYVMSREEVLGEPLTKEEINELVRSTLKSSRQLNLGRDGFIHNMLDNIHAHWKRRRVCKIKCIGVCTVDMDNVCQQLEEKTGGKVIYRRGGVIYLFRGRNYNHKTRPRFPLMLWKPVPPVYPRLIQQVPEGLTLEEATEMRQKGRTLTPICKLGKNGVYYNLVNNVREAFEECELVRVNCQGLNKSDYRKIGAKLRDLVPCTLLSYENEHILMWRGRNWKSSFPDLVEDFKEATKADADNKNDKTLQSEALDVSTPSLNHNPVEHVSNLSHDTSISFCPDDVTVDKVPCPTKNSKQSMSVVADASLTKVYEAETTNVATDSYGEPESCSNTSPGSNAMLGSRNSNIYGTVDPHADELLNDSGAADVSPLPRAAAPFMKGISLLLEQAVEQGNALVLDKDSLDADNVYRTTVSFAQSAPPGPVFMKHRKVAVQKSDKQEALTPETRETTTVTTKGTTVATKGKRERSPRIRRKENFDERFMNLVPQGTLGVDELAKLLT >RHN58808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5063457:5067447:-1 gene:gene20757 transcript:rna20757 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperone DnaJ MGVDYYKVLQIDRNAKDDDLKKAYRKLAMKWHPDKNPNNKKDAEAKFKTISEAYDVLSDPQKRAVYDQYGEEGLKGQMPPPGAGGFSDGGDGGPTMFRFNPRSADDIFSEFFGFQRPFGGGMGDMGGHPGASGFPRGMFRDDLFSSFRNSAGEGSANVMRKSAPIERTLPCSLEDLYKGTTKKMKISRDVTDSSGKPTTVEEILTIEIKPGWKKGTKITFPEKGNEQRGLIPADLVFIIDEKPHTVFKRDGNDLVVTQKISLVEALTGYTAQITTLDGRNLTVPVNTIISPSYEEVIKGEGMPIPKEPSKKGNLRVKFNVKFPSRLTSEQKTGIKRLLTSS >RHN51560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19861110:19864060:1 gene:gene36020 transcript:rna36020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFVLRLSYFNLKLSLRPCFTFCAVFPKDFEMVKEELIHLWLANGFISSVGNLEVEHVGHEVWNELYARSFFQEVKTDKKGEVTFKMHDLIHDLAQSITGEECMAFDDKSLTNLTGRVHHISCSFINLYKPFNYNTIPFKKVESLRTFLEFYVKLGESAPFPSIPPLRALRTSSSELSTLKSLTHLRYLQICRSFIKTLPESICRLQNLQILKLVNCPLLSSLPKKLTQLQDLRHLVIKDCYSLDSMPSKISKLTCLKTLSTFIVGLKAGFGLAELHDLQLGGKLHIRGLENVSSEWDAKEANLIGKKELNRLYLSWGSHANSQGIDTDVEQVLEALEPHTGLKGFGIEGYVGIHFPHWMRNASILEGLVDITFYNCNNCQRLPPLGKLPCLTTLYVFGMRDLKYIDDDIYESTSKRAFISLKNLTLLGLPNLERMLKAEGVEMLPQLSYFNISNVPKLALPSLPSIELLDVGENKYRFLPQYKVVSLFPERIVCSMHNLKLLIIGNFHKLKVLPDDLHCLSVLEELHISRCDELESFSMHALQGMISLRVLTIDLCGKLISLSEGMGDLASLERLVIHGCSQLVLPSKMNKLTSLRQVNISHSGRNNRILQGLEVIPSLQNLTLSYCDHLPESLGAMTSLQRVEIISCTNAKSLPNSFQNLINLHTLLIVGCSKLEKRCKKGTGEDWQKIAHVPELELIAKKTY >RHN43719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47953962:47958447:-1 gene:gene50337 transcript:rna50337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MAPKLAKSGSFRYTLAEKKERLLSMKGGDHIGNGLLQEQEESNMVKFKEMMKLVAEKAWEMGRSDPRKIIFAAKMGLALALISLLIFLKEPFDFTRHSVWAILTVVVVFEFSIGATLNRGFNRGLGTLSAGGLAVGMGELSALAGEWEEVIVIISTFIVGFCITYAKLYPTLKPYEYGFRVFLITYCYITVSGYHSGEFLDTSISRFLLIALGAAVSLGVNICIYPIWAGEDLHNLLIKNFTGVATSLEGVVNHYLNCVEYKKVPSKILTYQAAADDPVYSGYRSAVESTSKEDSLLGFAVWEPPHGKYKMLNYPWKNYVKVSGALRHCAFMVMAMHGCILSEIQAPAEKRHVFLNELKRVGSEGARVLRELGNKVKKMEKLDRGDLLFDVHEAAEELQQKIDKKSYLLVNSELWEIGNRPRDESNDDHPKGLFHMDEDRKFLEYKSLSEAVLDLRSIEVQNNWDEKTTDDNSNNHDVPPIANENMFVKQMSWPAHVYYKPEVKAKEEESKTYESASSLSLTTFTSLLIEFVARLQNLVDSFEELGEKANFKDPLEKQGAVASGGFWTRLFNYFRS >RHN61641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37100634:37107308:1 gene:gene24125 transcript:rna24125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MVRKHGWQLPAHTFQVVAITVFCLLVIAFYAFLAPFLGGRIWEYTFIGVYSPVALIVFILYVRCTAINPADPGIMSKFDPRVRNKFDSAHDLLGKHQSSEHGGVAAGEHSSPSSAASKRSMTNMSKKSSVEGPDRVDDLRNQNNPNSCDVIGGILCILFSHEDCRKQEATADEQGGGEDALFCTLCNSEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGQKNYHSFISLMAFSLAWLVIEAGVGIAVIVRFFVNKRGMESEIIDRLGNGFSRPPFAAVVMVCTAVSVLACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPADGDIPHNALYSPTGSTTTGLSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMLPSTVDPDAAGFAERGQKMPKRPVRISAWKLAKLDSQEAVRAAAKARASSSVLRPVDSHRPLDAELSSSGNLSIRSSMSTETGINKETKYDLRLSPVRNSIAPSQGSRDEYETGTQSMSSFSSPSHVQEAVTLSPLPQGRTLGGFRAGTSVPSLVPERPLASKATLPNFKNPISNPSLGFDGTVMPKGTSNDPLLLSASSTSILRDVKRTSVVWDQEAGRYVSVPSLPLEARNRSSLQVELPNSIAETSSIGRKPVIPLQEPRKPVIPRQEPSSSAPKSPRQHAQNLMYTGESIFFGGPFLSVAAKDGLKNERHLGSAEAHDSIAVNLPQEPRYRRDSHSNQLPVFVPGGFDTALQPRSGMN >RHN43060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42909290:42913353:-1 gene:gene49583 transcript:rna49583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannitol dehydrogenase 1 MAKSPETELPLKAFGWAARDTSGTLSPFHFSRRENGDDDVSVKILYCGVCHSDLHTLKNDWGFTTYPVVPGHEIVGVVTKVGINVKKFRVGDNVGVGVIVESCQTCENCNQDLEQYCPKLVFTYNSPYKGTRTHGGYSDFVVVHQRYVVQFPDNLPLDAGAPLLCAGITVYSPMKYYGMTEPGKHLGVAGLGGLGHVAIKFGKAFGLKVTVISTSPNKETEAIDKLGADSFLVSKDPEKMKAAMGTMDYIIDTISAAHSLMPLLGLLKLNGKLVTVGLPSKPLELSVFPLVAGRKLIGGSNFGGLKETQEMLDFCGKHNITADIELIRMDEINTAMERLHKADVKYRFVIDVANSLSSL >RHN73174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14095938:14097232:1 gene:gene8961 transcript:rna8961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zf-FLZ domain-containing protein MVGLSVVLESQKGGGISNSKKKETPKVINKTMMLSSINNKQSSIVSFSSYFHHESHFQEQQTTFLELCFLCRKKLLPGKDIYMYKGDRAFCSVECRCKHIVMDEEESNNIQNENCYYAAISSSSSEASYHHEKGRRNQNGGFAY >RHN72739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10348943:10349881:1 gene:gene8492 transcript:rna8492 gene_biotype:protein_coding transcript_biotype:protein_coding MASHKIVWQTIFMVTCWHLWKWRNKSIFEEEFHRPFNPIDMILKMAM >RHN65352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1394746:1397121:-1 gene:gene13180 transcript:rna13180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MLICFPQVNFIAEQVTIPFEATEDKIIWQPSNNGDLSFEQAYEFKYGVGQNIKWAKDLWCLDIPPTKSLLVWRILHNKVPLEENLLSRGFQFPSWCSFYNILCESTFHLFFECSFAMHLWNWLLSIININMQFANCFDFLKILDRKWSPQCRTVLKACMFNIIYTILIRRNDVRFNNQSLHWKLALNMIIAQTGLAGNITTEVYRGDMQEFRIVKAFKVNIKPPQCPHHQRCDLDPSSDNLD >RHN67997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32020950:32021859:1 gene:gene16272 transcript:rna16272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dUTP diphosphatase MNTLLHSSSHFLYKQLNSHLTLHIHTHFQFSFLRFSTMSNLNGVVSSNFLRVKKLSDKAVIPSRGSPLSAGYDLSSAADSKVPARGKALIPTDLSVAVPEGTYARVAPRSGLTWKHSIDVGAGVIDADYRGPVGVILFNHSDVDFEVKVGDRVAQLIIEKIITPEVSEVLDLDSTVRGEGGFGSTGV >RHN39977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12028350:12031963:-1 gene:gene46064 transcript:rna46064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MHDILVYYYVVGAIVFLFIVHNIPFTFQIFLFIIVHNTSFTFQTSNFKLKMGETAKRYAVVTGANKGIGFEIVKQLASAGIKVVLTARDEKRGLHALQTLKAYGLSDFVAFHQLDVADDASVASLADFVKSQFGKLDILVNNAGIIGTIIKDKELINLAIYNRGALSNDNRRKAMTQTYELAEECLQTNYYGAKITTESLLPLLQLSDSPRIVNVSSTLGQLESIPDGWPKRFFSEADNLTEEKVDEVLKKFLEDFKNGLLDYDNGWPKTLGAYIISKAAMNAYTRILAKKFPTICINSVCPGYTITDITANNGLLTVEEGAVSVVKLALIPNGGTSGMFFYRTEVSSF >RHN61245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34132231:34135817:1 gene:gene23686 transcript:rna23686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-acylglycerol-3-phosphate O-acyltransferase MAVLTRVTSKLGAKYRTLAPFRFLRGLVCLLVLVSSAFVTLVFFGFISSVIMRFFSISYSRKTTSFFFGTWLTLWPFLFEKINKTKVVFSGDIVPSRERIVLIANHRTEVDWMYLWDIALRKGCIGYIKYVLKSSLMRLPIFGWAFHILEFIPVERKWEADESNMRRMLSTLNDPQDPLWLAIFPEGTDFTEQKCLRSQKYAAEHGLPILKNVLLPKTKGFCTCLQELRGSLNAVYDVTIGYKYRCPSFLDNVFGVDPSEVHIHICRFPIDCIPTSEDEISTWLMDRFRFKDKLLYNFQFEGQFPDQAKERDLPAMKGILNCVTVIILTGLCMYFTFSSVWFKLYVSVVIAYLVPATYFNIRPQPILRVFKM >RHN69290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42369238:42373649:1 gene:gene17737 transcript:rna17737 gene_biotype:protein_coding transcript_biotype:protein_coding MSMELQPELKLDPKWRPVEDVELKDLSIDDDDIEPFVIKCDRFEYKNKAQIKLDEEIEAAMAEYDKRHRNLSPFDAIAPPAIASLAGGIRPIDITKNAHFSLTSPNLLSTTRIIRIRIRWNVFHYPFKAKAIDATTNPETNFPGPSLEQEVCT >RHN67138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24229806:24230348:-1 gene:gene15287 transcript:rna15287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stigma-specific protein Stig1 MNIIQVHGLAFVTYLMLVLIYIEGNSMPNANLSQNVTSVSSSNSPWLKSAMKLRPLGCRGRPWMCSRGEFPPRSMCCRNRCVNVTSDRNNCGLCGIRCPFNWKCCRGLCRDINLSIFNCGKCGHRCPLDELCFFGMCGYGMGSSPFLVKPSKRMRPKPPQIPWIPSYHYPPPPIDPKEEF >RHN57292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37856053:37879672:-1 gene:gene32815 transcript:rna32815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MENSDHDEPDKKKPHLLTPISSRVSRNSSNHSPNSKTADAGVLQLQNQQLVQQTEVQKQAIQDLEEKTKELKERQNSYDDILIAINQHWDQLVDDMALLGIQAGRGKDSLKTLDYLDNPQDSFPSCPPDDLLLCRLIQKDSLEGGSNDEITNYVEEALALRRLSTRELLKLIQDTVDDHMERIENIGQVLHEDLSTEDVIIKMSKIDDMTKKESNNFREVIDTLHAKHKEYTVGIQNYIDECLRDQSDIRHLTGEFDEIVAELEESRRKLVSLKMQKDAAMGMNSSNADAVNGKVSPEKPAERAMGLSELKNSIEEVKIVNADRLSELQDSGEENQILTKQFEELQNELNDDKYVRTSRVYSLAKDQLQHWIAELDRFKSLTESLQASRTNIAKREKELNSKLESAVNARHIHDISDSRTDELKIQLQKCINERNDLEIKMEEAKQDIGRKDIKAEFGVMSSALSKEMGMMETQLKRWKDAAHEAVSLRAKAHSLREKLSGKTSELKSLANKCAEQDSEIKSSKAMIEKLQEENQELEFVLDMYGLEDYQKSLPEVRESESKAHSQAEMLKKALDEHGLELRVRAANEAEAACEQRLSAAEAEIEELRAQLDANERKKLEMTEAIKAKEAEAETYISEIETIGQAYEDMQTQHQRLLQQVAERDDCNIKLVSESMKAKHLHSTLLSEKQAFVDQLQKINSLIENSKKRIANSEEQIKHILSEAAKCTHDEKHLAAALEFARWELADAEKELKLLKSVASASEKEYEQIQKDVEAFEKELDSERSSRKKLEEELMEVNNQITELNSDAKKTAVQQLEEEIRVCKNMIKCTVCSDRPKEVVIVKCYHLFCNPCIQRNLELRHRKCPACGTAFGQSDVRFVKI >RHN68663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37583013:37584911:-1 gene:gene17043 transcript:rna17043 gene_biotype:protein_coding transcript_biotype:protein_coding MKINTVPIRGLRFVGAFVGLIAGFFYLQEIDDDALFCPFHLQR >RHN68840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38975209:38975784:1 gene:gene17251 transcript:rna17251 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVCHLLVCLICKKYGMEQYNFGCKELSGFKYKCDFVRDVPFDLFIRKGVLVKKETLVNFFTERSTLKYVNVMSYKLVGEKYTCVDLTGVSQLVKLRIETFTVGQTTLKTMSSKVVKHEKICSNNQHVFVLFAFDTFDVQFQRLLSFYVVMYNNVKSSRYMNVVSTRNNFAIQKGLVMLFVARLSSIQL >RHN50401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6801419:6801748:-1 gene:gene34679 transcript:rna34679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I MFLMKKVGADECWGPCSVLQTPPCPLSKCYCIPLFLVVGYCSHASSPTVMKMVEEHPNLCQSHADCTKKGSGSFCARYPNLDIEYGWCFASNSKAQEVFFEIFSNYEFI >RHN76933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2503179:2504989:-1 gene:gene326 transcript:rna326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MGETKEETYEEELLDYEEEDEKAPDSVGAKVNGESGKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEGKLSVPLYLVIVVFLFELL >RHN39600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8594995:8595888:1 gene:gene45639 transcript:rna45639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MLTMNSSRAIAVKYEARLDDGTLVKKSDGVEFTVKDGKCIQILMLSRLTSFSRESSLMFTRHVIGHFCLALSKAVKTMKKGEKAILTVKPQHGFRYMGKPAHGNEGSVPPNATSLQITLELVSWKTVSGVIFDKKVVKEGEGYKCNEGAVVKLKLIGKLQDGTVFFKKGYNDGESELFEFKTDDGNLNFSNVSPSH >RHN67258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25244507:25245881:1 gene:gene15430 transcript:rna15430 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKEMGHTPYLYNFEPGGSNRPAHDPFLLKALFFLFTTVLLIAPLFLLSAPLC >RHN62572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44423114:44424720:1 gene:gene25164 transcript:rna25164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSRNIVSKFCKNWLGLYRVLQAQYSSAECSIKPCFLVLHFLLLTLSLEARTTQHKTKMYRILQRSVHTITEKSPNNIKSISQDLYKEQNLKTLVEKFKKASDIDRFRKKNGIYEDTVRRLAGAKRFRWVRDIIEHQKSYADISNEGFSARLITLYGKSNMHRHAQKLFDEMPQRNCERSVLSLNALLAAYLHSKQYDVVERLFKKLPVQLSVKPDLVSYNTYIKALLEKGSFDSAVSVLEEMEKDGVESDLITFNTLLDGLYSKGRFEDGEKLWEKLGEKNVVPNIRTYNARLLGLAVAKRAGEAVEFYEEMEKKGVKPDLFSFNALIKGFANEGNLDEAKKWFGEIEKSEHDPNCGGQTGE >RHN60941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31717528:31718024:-1 gene:gene23340 transcript:rna23340 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGYLENVDMVVIIDNMNLSSRSLEEMHQSRRSETRSHQHGCQWRERS >RHN52246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33009065:33011860:1 gene:gene36897 transcript:rna36897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MEESPSTSRKSLMENLLGLLRVRVKRGVNLAVRDVRSSDPYVVIKMYNQKLKTHVKKKNVNPEWNEDLTLSVIDPNHPVTLTVYDHDTFSKDDKMGDAVFDASTFIEALRMNVTGLANGTVLKRIPPSKHNCLAEESCIYYSNGKIIQDMILRLQNVECGEVEITLHWIDLPGSKGL >RHN62408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43212356:43215453:-1 gene:gene24981 transcript:rna24981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MFFPFSCAAFFHFVSYQCVCVLCSFQIFLHDYLKKKGFLETAQIFQNEAQVNNGQTLAEFDQEPRGFLYYIWTRFYDSKLQMSGTSSQSQLLSCDFSSDGKIVASGGLGKQPFICYMETGNSFTTSETHLDAILEVRFRSGSPIFATSSADRTVKLWHAKRTRPERELLELAGHNGIVSSLDFHPLREILCSSDTCDAIKVWDLEQCVTINNFTEGGRQVRFQPGSGTFLAVANQNVITIFNTQDLSVFHKFQGHVKEIKSICWDATGNMIASVSEDDVRVLSVFMKESIYEYPSNGKRFQSVIFHPRYPNVLVIGCFQCLELLILENGQTHSSTHASDLSITGLAVAQNETITSASDDSVVKIWR >RHN73426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16345867:16353776:1 gene:gene9238 transcript:rna9238 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSFSFFAILALVVAVQLIHVEGVCTNVVANCADKEVHCKRVCQDFGRGAKLLEYNCDFYNLCTCTFEHPAPGVPPYDKCDKGMGLCSDECDNDCCNDRCRIKYPKSGIGYCIKNYGLNYCLCTYKRR >RHN78157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12386120:12386332:1 gene:gene1681 transcript:rna1681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MAYSRCLYKGGWVKLGQGWNEFTLENNLEEGDICVFKLLKTREEFLQVTLFRVKEDESIRRLIHLVRKLV >RHN45480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21314648:21320729:-1 gene:gene39825 transcript:rna39825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSSSNLHKLQRHDIGDMEDMMSELPEAVLLHILSLLPTKDAVRTSILATKWKYLWTHLSIFDFQVSCNPDVSKPEQESENCLIDWVDKLLHTSNHVERLCVQVQNADIDGDKANSLLSSALMHKLLDLKLSLDQTFARFVLPNNFSASQSLNKLCLEFGFTLYIPDGIRFPNLKTLYLSHLSFENEKSAQRLLSGCPVLQELTLYNFFWLNIEQINVAISTLRKLTIELNHFDPSDLRIRSFTIKFDVPNLLSLSYTAHPIIKFVLVNPTSIVDACIDLRCYDLQNQQQNTKQFAIELLSRLGSVKSLTISNDTLQCLNYAKDTLHLLPPFFNLTHLDVPLGYFYPTSEVLASIFHKTPNLEVLHISKGFFLFMDEGWPSNSFPWCFTSSLKVCSISDFLGIKPDIEAVKFLLENATVLGEINIFCSELLSKNLEELAYVRNQLQNLCQGRCVIKFRVMKIFVKILTEKQLENDRTLADYNIQTESTLHLVLMERVQVQ >RHN67933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31609390:31611956:1 gene:gene16194 transcript:rna16194 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSPPISMTRHFATPDVHVNNLQETSNTNDDQVSLNDDAVIKVTSIVEERYSKDVEFEVLESKPALIIPSPQAFQSPSMLSGGDPSQLDEELEDLDDQMDMTSFSIATTETNDEVSRNDDAFKKVSSNIEEQFPKIDDIIVSKSKPSP >RHN60443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26843372:26849534:1 gene:gene22755 transcript:rna22755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor E2F-DP family MSGTRTPPATAAPDQIMQQRQLPFSSMKPPFLAAADYHRFAPDHRRNQDLETEAIVVKTPQLKRKSEAADFEADSGDRMTPGSTAAANSSVQTPVSGKAGKGGKSSRMTKCNRSGTQTPGSNIGSPSGNNLTPAGPCRYDSSLGLLTKKFIALIKQAEDGILDLNNAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSKPGEADDSFASLQAEIENLTIEERRLDEQIREMQERLRNLSEDENNEKFLFVTEEDIKNLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEEINGVDVASKFPPSPEVNKVNKDQSTVVPEDKGKEIEVQRQDGEGPSSDLNNNHDFVSGIMKIVPSDVASDADYWLLSDADVSITDMWRTEPGVEWNELEALQEEYCMAREQSTTPNHASNIGEVPSASNPTVG >RHN38589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:511278:511796:-1 gene:gene44544 transcript:rna44544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MPGCRPIHGCDFPSTVQNRSSIVYKSFIYVCKMLHFADGIILNAFTNLEADTIKAVQKKEAKLPSIYLIGPIIQTDSSIKVRESEFFMGWLDNQPCNSVLYVSFGSGGTLNQEQIFELALGLELSGVKFLWVVRAPNKSPNGAYLKEMMKNKDVDPRGRNHASPYFKSNQCG >RHN67182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24681560:24686946:1 gene:gene15339 transcript:rna15339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MRTTRTSISSCYLRLKNHNNLTSNLHFSSNAVNSNPTNTRTHLLNTIRTLTNVNTAVTFFHQMLTLKPLPNIKDFNLLFTFITKTKNYTTTISLIKHAHSFNINADTYTLNIVINCLCHLGHTKFAFSVLSQMFKTGLKPTVVTLNTIVNGLCVEGNVARAVCLTREMENAGYEPNGYTFGALVNGLCKIGDMVSAVGCIRDMVERNYEPNVVVYNAIMDGFCKGGFVSEALSLFAEMNEKGVKGSLVTYNCLIQGVCSIGEWKKAYFLLNEMMEKGVMPDVQTFTILVDGFCKEGLILEAKSVISFMVQMGVEPNVVTYNSLIGGYCLMNEIDEAIKVFRLMVLKKCLPSVVTYNSLIHGWCKVKDVDKAMCLLNEMVNEGLYPDVVTWTTLVSGFCEVGKPLAAKELFFTMKQYGMVPTLLTCAVVLDGLIKCHFRFEAMLLFRALEESDLDLDIVIYNVMIDGLCKDGKLNDARKVLARLLVKGLRFDSYTFNIMIGGLCRKGLLDDAEDLLMKMEENGCQPNKCSYNIFVQGLLRKRDVLRSKKYLQIMKNKGFAVDATTTELLISIYSDDKESDTFQELMQN >RHN55827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24514872:24515920:-1 gene:gene31097 transcript:rna31097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSDATNYRWMEVVSDIGLNRVPVVDENSIFGNTSREQDEPSTQMRHDQTLALLPMEATDDDVIEISAKAFAKAIPNFRRNRRRTIDDVIDVYIEEEEEQHPKKPLISCPICMGPFVEEMTTKCGHIFCKTCIKDAIKAQAKCPTCRKKITSVRQLIRVYLPTTG >RHN50926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11875905:11884305:1 gene:gene35280 transcript:rna35280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MTGNCENYAIGIDLGTTRSCVAVYMPDHDRVDIIGTTLSSVSFTDTEKIIGGFSRNATKTVYDAKRLIGMKFSDDVVQSDMKFLLFKLISDVNDELKIVVNYKKTERHFTPEKISSMVLAKLKEIAEAKLGRVKDVVISVPACFSNSQRESTRKAGTMVGFNVMQIINEPSAAAIAYIHTKPSNHSRRNVFIFDLGGGTLDVSILTLENGAIKVRAVGGDTHLGGQDFNNTMVKYFVEVFRKRYKIDISGDLTSLRRLKSACEKAKLILSYKYETTIVIKSLNRGENLESKISRAKFEELNEHHIKKCMKIVEKCLEDSGMVKSDIHDVVLVGGSTRIVKLHRRLSGFFGEKKLCKSINADKAVAYGAAVHAAMLSVKQKFPLREVIPLSLGLKTPGEIMDIISPRNTKIPRNMEKVITTYFPNQVNIPIQVYEGDQLKTLLGSFEIEIPPVPAGVPKIRINFQIDHDGILHVYVSEKHLGIHNKKLVITVSDKVRRSMKEIERKINEAEKYKDEVKRRRMMVEADKRRRKNAINAVKRYAYKMKDAINDKDISFMLLSKEKKKINDAIGLTLLWLRVNHEDAKQHEIEEHRRMLSSVFDGIIVKKIKDEEHGVQENKKKNHWLPLLLKYTFQVVYIAATNDITGLISSIFVSN >RHN46490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32219347:32222256:1 gene:gene40981 transcript:rna40981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MNSKEMSQLNYEEHRYDVFISFRGPDTRNSFVDHLYDHLTRKGIFVFKDDKSLQKGESISLQLLQAIKDSRVSIIVFSKDYASSTWCLDEMAAIDESSRRLKQVVFPVFYDIDPSHVRKRSGAYEDAFVLHTKQCSDKVDQWRTAMTYLAGSAGWDVRNQLVVRDLGKRKRRFQIW >RHN40084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13091631:13094351:1 gene:gene46181 transcript:rna46181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase A anchor protein, nuclear localization signal MYTLPALKNIQARNIFKQYGSEDWGEYLIREAHLSKRFSFHENGYYHCCASIPFPENMQVE >RHN58052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43378283:43378759:-1 gene:gene33658 transcript:rna33658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MQRDGYTPTLVTYNSILNVYGKMGMPWSRVTAIFYSMKTNGVVPDLYIYNTLITCCRQGSLYEEAVNVFDRIKSAGFVPDRVTYNALLDVFAKVRRPKEALQVLKDMESNGFSPNVITYDSLISAHVRGGLLKEVSRLKVQMVEKGPDGFTKISSRII >RHN79973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33990996:34000452:1 gene:gene3837 transcript:rna3837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MGIAQFLEKISALSTSESSGSLHILSSTILGLSVLELAAICVNLTLVLLFLFVVSVRKILVYKRIGIVKDSTTSNDSPICSVIDRETSDVSIGVWFKLSVLSCFYVLFVEVLVLSFDVGAIIWGEVLHWSLISVPASQVLAWSVLSFSALNCKFKVLEKFPFLLRVWWFLSFVICLCTLYVDGRGFWLEGSKYFRSHAVANFAVTPALAFLGAVAVNGVSGIQVSRNSDLQELLIVEEEELGCLQVTPYRDAGLFSLATLSWLNPLLSIGAKRPLELKDIPLVAPSDRAKASYKAVNSNWEKLKAENQNSSKQPSLAWALLKSFWKEAALNAVFAGMNTLVSYVGPYLISYFVDFLGGKETFAHEGYILTGIFFVAKLVETLTTRQWYLGVDILGMHVRSALTAMVYKKGLRLSSSAKQSHTSGEIVNYMAVDVQRVGDYSWYIHDMWMLPLQIVLALVILYKNVGIAFVATLIATIISIVVTVPVARIQEEYQDKLMTAKDERMRKTSECLRNMRILKLQAWEDRYRIKLEEMRGVEFKWLRKALYSQACVTFIFWSSPIFVSAVTFATTVFLGTQLTAGGVLSALATFRILQEPLRNFPDLVSTMAQTKVSLDRISGFLQDEELREDATTVLPRGTSNIVIEIMDGVFCWDPSSSKPTLSGIHMKVERGMSVAVCGMVGSGKSSFLSCILGEIPKLSGEVRVCGSAAYVSQSAWIQSGNIEENILFGNPMNKRKYKNVIHACSLKKDLELFSHGDQTIIGDRGINLSGGQKQRIQLARALYQDADIYLLDDPFSALDAHTGSELFREYVLSELADKTVIFVTHQVEFLPAADMILVLKEGQIIQAGKYDDLLQAGTDFRSLVSAHHEAIEAMDIPTHSSSEDSDENESLDAPIRTSKKSISSVNDIASLAKEVHEGSSEIKEKKKAKRSRKKQLVQEEERVRGRVSMKVYLTYMAAAYKGLLIPLIIIAQTLFQFLQIASNWWMAWANPQTEGDEPKVTPTTLLLVYMALAFGSSCFIFVRAVLVATFGLAAAQKLFFNMLRSIFHAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASSTIQLIGIVAVMSEVTWQVLLLVVPMAIVCLWMQKYYMASSRELVRIVSIQKSPIIQLFGESIAGAATIRGFGQEKRFLKRNLYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMVLLVSFPRGSIDPSMAGLAVTYGLNLNGRLSRWILSFCKLENKIISIERIYQYSQIPSEAPPLIEDFRPPPSWPVNGTIQLIDLKVRYKENLPMVLHGVSCTFPGGKKIGIVGRTGSGKSTLIQALFRLVEPAAGSILIDNIDISGIGLHDLRSHLSIIPQDPTLFEGTIRGNLDPLEEHSDKEIWEALDKSQLGEIIREKGQKLDTPVLENGDNWSVGQRQLVALGRALLKQSKILVLDEATASVDSATDNLIQKVIREEFRDCTVCTIAHRIPTVIDSDLVLVLSDGLVAEFDTPLRLLEDKSSMFLKLVTEYSSRSTGMPDF >RHN76647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:80464:82535:1 gene:gene9 transcript:rna9 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MAKIALGTTREATQPDCIQALIVEFIATFLFVFAGVGSAMTADKLSGDALVGLFFVAIAHALVVAVMISAAHISGGHLNPAVTLGLLVGGHITIVRSILYWIDQLIASAAACYLLHYLSGGLTTPAHTLASGVGYTQGVVWEIVLTFSLLFTVYATMVDPKKGALAGLGPTLVGFVVGANILAGGAFSAASMNPARSFGPALVSGNWTDHWVYWVGPLIGGGLAGFIYENFFINRDHVPLAVDEESY >RHN59160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8037703:8047002:1 gene:gene21152 transcript:rna21152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEGLLFNMIDKLIGKLGSMVVEGWNMRDDLQKLVENMSEIKAVVLDAEEQQGTNNHQVQLWLEKLKDALDDADNLLDDFNTEDLRRQVMTCNKKAKKFHIFFSSSNQLLFSYKMVQIIKELSKRIEALNVGKRSFNFTNRTPEQRVLKQRETHSFIRAEEVIGREEEKKELIELLFNTSNNVTENVSVISIIGIGGLGKTALAQFVYNDKKVQEHFEFKKWVCVSDDFDVKGIAAKITESQTNVEMDKVQLELREKVEGRRYLLVLDDNWNEDRNLWLELMTLLKDGAEGSKIIITARSEMVAKASGSSFTLFLQGLGEKQSWTLFSQLAFENERELENEELVSIGKEIVKKCSGVPLAIRSIGSLMYSMQKEDWSTFKNKDLMQIDEQGDKILQLIKLSYDHLPFHLKKCFAFCSLFPKDYFIHKTTLIRLWIAQGFVQSSSDESTSLEDIGDKYFMDLVHKSFFQNITKHVFYGENEMFQMHDIVHDLATFVSRDDYLLVNKKGQHIDKQPRHVSFGFQLDSSWQVPTSLLNAYKLRTFLLPMNNYHEGSIELSACNSILASSRRFRVLNLSLMYSTNIPSCIGRMKQLRYLDLSCCFKVEELPRSITELVNLETLLLNRCSKLRELPKDLWKLVILRHLELDDCDNLTSMPLGIGKMTNLQTLTHFVLDTTSKDSAKTSELGGLHNLRGRLEIKGLEHLRPCPTEAKHMNLIGKSHLDWLSLKWNEQTVGDGNEFEKDDIILHDILHSNIKDLEISGFGGVKLSNSANLYTNLVELKLSDCTRLQYFKLSMLHVKRLNMYNLPCLEYIVNDNNSDNSSSFCASLTYIVLFQLTNLKGWCKCSEEEISRGCCHQFQSLETLMINDCYKLVSIPQHTYIREVDLCRVSSDILQQVVNHSKLEDLQIESILNLKSLSGVFQHLSTLSELCIVNCEEFDPCNDEDGCYSMKWKEFTNLKVLVFNTIPKMKYLPEGLQHITTLQTLSIIRCVNLTSIPEWVTSLQVFYIKDCPNVENQ >RHN79249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26636744:26640727:1 gene:gene3009 transcript:rna3009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MDARKRGRVDAALNGSVKKTKQEMDSTGVGSKTKPCTKFFSIAGCPFGEGCHFSHHVPGGYNAVAQMMNLKPAAPPRNVAAPPPVSNNGSAQHAVKSRICNKFNSLEGCKFGDKCHFAHGEWELGKPFAPSVDDHRLMAPTNVGRMPGRVEAPSGLGGTSFGANSTAKISVEASLAGAIIGKGGVHSKQICRQTGVKFSIRDHETDPNLRNIELEGTFDQIAQASNMVKDLLLTLSVSAPPKSTPGAPAGGGPAAPGRNIKTKLCENFAKGSCTFGERCHFAHGAAELRKLGV >RHN47799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42594316:42595089:1 gene:gene42442 transcript:rna42442 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPNLRVWWPPPTFIVDFFPTSLVVFPSSCSRSTLLSPQLSLHSFPESFSLTRDLLSQPRATAKLSFLPMRPPPEPPPQNNQMAVTIVSPISNPKTMFQALSLVAAPPPSWLAPTRPQPEPPPISSTAVKSIVPPDPNLCCRPPKPPWLICCLIYFVLFDLCFSVCVFVCDFVFYVCCIVFWILYSCLVCIKCVCQLSFDVWYNIRCAILYEVVPDFISFAFYQVLLIWCDIVNKIKTNYSRNARDEVKNMISITE >RHN65298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1005633:1006871:1 gene:gene13123 transcript:rna13123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MGRRKRCKGDDDAVSSPFPTKETISTKRRRRRKLTKTLTSSPSLPILPFDLVSEILCRLQVKLLLQLRCVCKSWNSLISDSNFAKKHNRTSAPTRLVHTLTDRVTISDRVIYDSYEFKYVLKSYTFESVLTNKNIKATELDLPGANRAFFVGSCNGILCLLAIVYGGDWNVRLCNPSIRKFKDLPPLEELSTSNINKLTMYGFGHDTVSDNYKIVIGGARDIRCNLVSETDVKVYTSGTNFWKNIQKFPIDCVVVQETGKFVSGTMNWLVSKDYARKNQYFVVSLDLRNESYQEVLLPDYGEVDARSLNLSVFRDCLCMIFGCDVWIMKEYGKKESWHKLFIISHMQDPRTPWLYIKAVHIFEDGQLLLKSSTFGNTTMFFQNSRNDTFEFPFYSLYKIPEVCFESLISPCS >RHN55158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15686893:15689523:1 gene:gene30295 transcript:rna30295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MSSSTSKTKWIYEVFINFRGEDTRSNFVSHLYATLSNAGINTFLDDENLEKGKELGPELLRAIQGSQIIIVVFSKNYVQSSWCLDELEQIMECHKSTGQVVMPVFYGVTPSFIREYASQTFGEAIVSKTNHFVLHNSDPRKNPRNKAQGDDHYSRDRLKISEKKDSLEQALGDASILAGWDMDNYR >RHN57755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41497583:41500544:1 gene:gene33339 transcript:rna33339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MYYLAIGLATYKITITVVCIISIILIKTINKLCVYRAYKIIKDVEREKKKLISNHDSVQEKIEATDHKTQKVNDIVLEWLKEVEKLVQEVENVTIIPEPESRYPNKMLNKLKALNIKCEFEPFFNPIPSLEHFSSGNFVCFEPIKETSDRLLEALENRKFYKIGLYGKRGSGKTKLVKAVAEKARYLRVFAAVLFITVSQNPNVKQIQDEIADFLDLKFDKNTEVGRARELYLTLESTDRPILVILDDVWENLDLEELGIPCNSNRCKVLLTTHCKQEFALMNCQEEIPLCPLSIEEAWTLFKKHSGIDDESSTDLLNVAYEVAIECQGLPGTIKDVGSSLRSKPIEEWKTSLDGLRHSMSQYDIFISFRGKDTRDSFTGFLYDALCREGFKTFMDDEGLKGGDEISSSLIKAIEASRISVIVFSKKIAHSSWCLDELVTILKCKKMKNQQILPIFYKIEPSDVRHQKNSYERGMAKQVKRFGNDFEKLQIWRSALLEVASLSGITYKTGYEYKLVQTIVERVKSQI >RHN79196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25654120:25656528:1 gene:gene2931 transcript:rna2931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3,9-dihydroxypterocarpan 6A-monooxygenase MSEMQYIQLFFVWLLSIIAVRAILTRKKNKNRRTPPSPPALPIIGHLHLISKVPHQSFHKLSKHYGPIMQLFLGSKRCIVTSSPNIAKEFLKTNETFFSNRFANAAVQQIEYGSKGFVFAPYGDYWKFVKKLCMSELLGKRTLDQFLPLMQQESLRFLKLLQKIGEAGNAVDVGGELLTLTNNIIARMAISKTCSENDSEVEEIREMLKDILELGGTFNVSDFIWVCKNLDLQGIHKRLKVAMERFDIMLERAIREHQEKRKVKGEGAHDRDILDILLEKLEDKSYEITLTRENIKAFIMDMFIAGTDTSAITMEWALAELINNPHIMEKARQEIDSVTENSRLIQEPDLPKLPYLHAILKETLRIHPTVPLIVKEASESCVVYGYDIPAKTILFVDLWSMGKDPKLWENPLEFKPERFMSEGNKFDFKGQNLQYMPFGTGRRACPGASLALQAVPTNLAAMIQCFYWKVSGDGTVNMEEKPALTLPRAHPLMCVPIPRFKSIPSN >RHN80673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39969448:39970510:-1 gene:gene4628 transcript:rna4628 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPRFTYLEGDMLLLVDVYLQQTNVDINGLVTCGLFVCNKLSVCDYVTVLAVRFICCASRDEV >RHN61300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34645927:34648216:1 gene:gene23748 transcript:rna23748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MHEPNYYIKDFKTLLFARQVELHFYLLLLILIRSTFQMAVAVKSTNVLVIGGTGSVGKFIIEASVKAGHPTFALVRESTMFNPAKSPIIQTFKNLGVNLVLGDIHDHESLVKAIKQVDVVISTVSYLHIPDQYKIISAIKEAGNVKRFFPSEFGNDVDRSNGVNWAENLFNNKAQIRRTIEVEGIPHTFVVANFFAGHFLPNLSGLRALLTPTNKVIIFGDGNPKAVFNTHEDVATYTIQAIDDPRTLNKILYVRPHANTISFNELVSIWEKNTSNTLERVYVPEELILRQIQESSFPNTMSLSICHATFVKEDQTNFEIEPSFGVEASQLYPHVKFTTIDEFLERNIDRTPFYLNQLIPENIE >RHN42830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41350981:41352994:-1 gene:gene49323 transcript:rna49323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative malate dehydrogenase MHLISKFVSLGGRIVLLNSVLNAIPIFYLSFLKIPAKVLKMVVRIQREFLWGGARGGRKISWVEWRKVCHPRSKGGLGVRDVKAVNLSLLAKWKWRLLHEDQSLWKRVLVEKYGDHVGGLAPREGARWPRFSSLWWKNLMALEDGVGEKWFSNRVMRRIGDGRNTSFWEDRWIGEEPLYKIYPRLFALSSQKEAKVADVGLLLGGMNDWNLTWRRQPFLWESNLINNLLAFLEGVTLGNEVDKWAWLPDDGGIFSVKSTYMVLESIMLVEEVGAQEEGVFSLLWRSPAPSKVVAFSWTLLLDRIPTRANLAIRHILDPESSLNCVLCDRGVETSTHLFLHCDVSLLIWRGVLNWLDINFVTPHNLFVQFDCWNSEVSSKRLKKGVWMIWHATIWTIWKEEMRGFLRIKRRMWMRLWRKSKLYLGFGYACLRALKGEADIIQCADVDSQVTELPFFASIVRLGRNGVEEFLPLGPLSDYERYAASNLLNKQIFYRYR >RHN44535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5843941:5856834:1 gene:gene38664 transcript:rna38664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MVNLMFTTTVESLPNSLIHNKNFKFLKKSHLGFSSNMWRNVSKQVCIGLLRRNVNYPSLVFSQNSILSGNNKVLCNIGKEIGSGRVSKSRFQLVENFGGCCCSQFDAKGYSSVAEAVSSTDVEDERNFHNSGFRFDAERYSSVAEPLSSTDTEGDDLSVIDDEIKELLEEMEREDKRKIDEFMLKNEGILHNDAEQADSAPVDDEINVLLKEMKREERKQNAGFRWHGLPEAKYKELRKRQVKIETELWQEAAREYKELLMDMCEQKLAPNLPYMKSLFLGWFEPLRDAIENEQEMYRNMKKRTAYAPYFLQLPSDKMAVITMHKMMALLMAGSEKGFVGTARVVQAVCSVGDSIEQEVRIHKFLEKSSKKKHDKGKKKEVVESTEVIKEEEKLRKKVINLMKKQKLAAVRGILKGLDDTKPWGPVMKTKVGSRLIELLVQTAYIQPPSEPESDQSQDGGPDIRPAFVHSFRTMKEAAKIGRKYGVIECDPLILKGLDRTAKSMVIPYMPMVVPPVNWTGFDKGGYLFLPSYVMRTHGVKQQREAVKKVPRKQLEPVFEALDTLGNTKWRVNNKVLSVVDRIWAGGGRLAGLVDRNDVEIPEELESDDEAEKKKWKWKVKSVKKENRERYSLRCDTELKLAVARKMKDEECFYYPHNLDFRGRAYPMHPHLNHLGSDVCRGILEFADGRPLGKSGLHWLKIHLANLYGGGVDKLSNEGRITFVENHLDEIFDSAEQPMEGRRWWLNAEDPFQFLAACMTLTEALKISTPESFISHIPVHQDGSCNGLQHYAALGRDKLGAAAVNLVAGEKPADVYSGIAARVLAIMKIDAQKDPETFSEARHAKILVNQVDRKLVKQTVMTSVYGVTYIGAREQIKRRLKERDAIADDSELFGAACYAAKVTLTALQEMFEGARSIMNWLGDCAKVIASENEPVCWTTPLGLPVVQPYRYQGRHIVKTSLQMLTLKRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAIACKKAGLSFAGVHDSYWTHACDVDNMNRILREKFVELYETPILENLLESFQESFPSLEFPPLPERGNLDLNEVLESPYFFN >RHN41062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26553913:26555469:-1 gene:gene47338 transcript:rna47338 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTFIISLQIVNYLLMILRICLNDFIFPSMPCRLASQRKRF >RHN46273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30318294:30318605:-1 gene:gene40742 transcript:rna40742 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIESLQETLPFARGKTLVKRSESLGKGTFVREFPRGGSNGKSARRKHFAKGYTPGRKTRGSTPGIWRGFIPVITLGKIPHFLLLCSLLSSDTLSRFTLLTD >RHN41974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34433071:34437370:-1 gene:gene48355 transcript:rna48355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MIINVRDSKMVQPSKEVARRRVWNSNVDLKTPNIHTPSIYFYRTNTTSKFFDTKIMKEALSKVLVSFYPMAGRFCYDKDGRVEIDCDGQGVLFVEAATNSFIDDFGDFAPTLHLGQLIPIVDYSRGIETYPLLVLQVTYFKCGGVSLGVGMHHYVADGASAFHFINTWSDVTRGLDASIPPFIDRTLLHARDPPRPIFDHVEFKSSPSMKIPQQPPGSVDVTRSIYKLSREQLNKLKDKSKEVGNTINYTTYEMLAGHVWRSVCIARSLPNDQETKLYIPTDGRSRLQPPLPLGYFGNVIFSTTPIALASDLISKPTWYAASRIHNALLRMDDEYMKSAIDYLELQHDIRAIPRNPNVKCPNLAIISWAKFPIYDADFGWGRPIFMGPGADFDGQCFIIPSSTNDGSLSVVISLQHEHMEVFKELVYDI >RHN44840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9034795:9035924:-1 gene:gene39006 transcript:rna39006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MFFTFRMLNYFVEDFKRKNNVDITRNPRSLRRLKTACEKAKRMLSFKLVTTIEIDYLCEGIDFSSSITRVKFEEINMDLFNECIKTVESCFNDSKIEKSSVDDIVLVGGSSRIPKVQELLSDFFDGKDLCKNINPDEAVASGAAVQAALLGTTDFKNVPNLALRDVTPLSLGILVKGDIMSVVIPRNTSVPVKTTQRLSFMRVCFTIDDNGILTVSAKEKSTGNTNEITITNDKERLSADEIKKLIQEAEEYRAEDEKFLRKAKVMNELDDCIYKLRNALKNKDVALKLSSQKVENINNAITVATNLLDRNNQQSEVEVLEDHLKELKSMLEDLVFKTKLVSFFSN >RHN44839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9027991:9030001:-1 gene:gene39005 transcript:rna39005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAKKYEGPAIGIDLGTTYSCVAVWQEQNNRAEIIHNEQGNRTTPSFVAFSGDQRLIGDAAKNQAASNPANTVFDAKRLIGRKYSDSVIKNDLQLWPFKVIAGTEDNPVIVVHFKEEEKHFVAEEISSMILAKMKEIAEKFLESKVKDAVITVPAYFNDSQRKATKDAGVISGLNVIRIINEPTAAALAYGLQKRANCVENRNIFIFDLGGGTFDVSVLTIKNNAFDVKATAGDTHLGGEDFDHRMVNHFVKEFKRKHSKDISGNSRALRRLRTACERAKRTLSFDTEATIDIDAISEGIDFCSSITRAKFEQLNMDLFEKCMETVERCLTDAEMDKKTVDDVVLVGGSSRIPKVKQLLQDFFNGKDLCMSINPDEAVAYGAAVQAALLGEGIKSVPNLVLRDVTPLSLGISIKGDVMSVLIPRNSIIPVKKKQVYHTCDDDQPGVSVDVYEGERSVASENNLLGLFELKVPLAPRGLPIQVCFAIDADGILNVSAEEETSGNKKDITITKENGRLSTEEIERMIQEAENFKEEDLKFKKKVKAMNVLDDYLYNMRKVMKDGSVTSMLTSTDKMKLNAAMIKGKNLIDDKEQHETFVFVDFLKELEKIFESALNKINKGYSDEESDSD >RHN67568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28324573:28327540:1 gene:gene15766 transcript:rna15766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MKWEMEILSPNSHPHAPFVQNSNNTNNWFLEDCSNSINRSTKWTPAENKLFENALAVYDKDTPDRWHKVAAMIPGKSVVDVMNQYKELEADVCNIEAGLVPIPGYSTTASPFTLDWVNSSGYDGFRGISGKRGSSGRSPDQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVITRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLTEVSRTSPTSEETKRSTSPNMIMNKYSTANTTSEMHFQPATMTAFNPSHEQVFMSPYGVNNNNSYGFKMQGQNMHRNPLHESSYFGPQTQNMVFQMQSSQQHYSHA >RHN77713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8890171:8897351:-1 gene:gene1196 transcript:rna1196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MDGERKMAVPQGDGPIDSVEKVRAALHGRTTGPTRRSTKGQWTPEEDETLRKAVQRFQGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDDVMIELVNKYGPKKWSTIAQHLPGRIGKQCRERWHNHLNPAINKEAWTQEEELALIRAHQIYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKLDSYLASGLLTQLQSAPHVGNPSQSMVATSSRLQCNEDENALQRIEGDEVLECSHESANALHFPSTREITSVDLQPEEENRPNEECSLGMDHSPSQASCSEPYLVSIDDSIDDVTICIPEIAHHEACSSEFNDQYSHEPGNSIKENHHLNLHSLPNISSMDLGNDSPQMQRDCTDPSGIRDLVNVPYQTSVGLAASMHMEATSMDSAKPDHMLISDDECCRVLFSEANNDRRFIHGDYNNGVGTVEFSGHPAFVCQSCHISIFVTGGTSTLPLTCPQCSNNFKGMSSSQSNHPVHSAEPENQQFGSRAPDNFIYGNDISSSHCIDAIDSAVMQDVNQNCCPTDEMPKPNMHTEKAGNGALCYEPPRFPSLDIPFLSCDLVQSGGDMQQEFSPLGIRQFMMSSMNCMTPSRLWDSPSRDDSPEALLKSAAKTFAGTPSILKKRCRDLLSPLSDKRIGKKHETDMASSLSKAFSSLEPDILSPSSLQKQNSGASGFDDDKENCGQADKGKQVEETIKSAILEEKKSQRDALDGNSPGKVKQRHFDVDSKMKIDAAATEIVLQSSGVLSEHDRNDLSLYSSDQVGFRDRIIGSSVRTPRNLNNRSSETAPNQSIPSKLSYENPCRFSSPCVRAKEHENLTVSVNRVKAPGDSSGDQSKNDGGFETYSIFGGTPFMKGFESPSAWKSSPWFRNTFLCSPNTEIRIEDFGFFKSPEGERSYDAIGLLKQVGEQTAATYENAQELLKNDTPKAVPKDASGNDNSPSANNSKLTSNALVERRVLDFSECGTPEKGDSSKSSDSRL >RHN75799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45169262:45170829:-1 gene:gene12051 transcript:rna12051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MSNPNDDRNHDDHDYYPFGNFYRGSSQSNFNKKILLTAIVSLALVLILVLALHLYARYVLKRQARRRAAIQQLSLTVAQAHTQFAEPANTGVDPTIIAALPTFLFKQKQQEENKNVSKNIVECAVCLSVVEDEEMMRLLPNCKHSFHVGCIDKWLASHSTCPNCRTKVEPRPEAEPREGPTLFISPSEGTSDGGSVSPKNISRLSSFRRILTRDRSSRRIQPSSTPREDIIIDRDLERQ >RHN48184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45620303:45621871:-1 gene:gene42871 transcript:rna42871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MMTGSGSPCGACKFLRRKCVRGCIFAPYFCHEQGATHFAAIHKVFGASNVSKLLAHLPVTDRCEAAVTISYEAQARLQDPIYGCVAHIFALQQQVVNLQAQLAFLREQAAQRCLNAPNSENPNEKNFGKPTNILPQDLQSWFQMENSNMCSQFLPDFSSSTDDLSSTTQYYNGTTTTLMDLNPIGNYENSGTILKESIPSFSNFDERCNNSMSFDNMQRQWTYQ >RHN68631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37304830:37306042:1 gene:gene17007 transcript:rna17007 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIMAKQRPLNVHVKKLWEVVTVVVAYVFVGNVGLIFTKGDLKEVSEEEVAKYKVEFLKSQTSFFQVLNIPTKINKGIVKIITLVELIKKGDKGWIFRSCIAFQDPSKLAVAVVAAPAAASGGAPAAAAAAKEEAKKEEPEEESDEDIGFGLFGDD >RHN44594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6604724:6605716:1 gene:gene38732 transcript:rna38732 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEAAAANATGIISTSFSSTSLREVGELFTQLFVLLFAIINTSMAKSPLVLALVFMVALYYWVYVLVKILQLQIRSLLIIGSVVFILALMNISPTIAWIDFGLLILIFSLMGYKNRKELYQMIPQRIKNSIEGNNDMSNSTAELGTTTSLTEEGKLLGKFSGLSLAAMNTSNGKSQVDIDLPIMMTLVFMVALFFMVLVLITMLQIHIKSFLPFMMVIVLLGSVVSVLALMMISPTIAWIFLCLWILLFALMCYENRKELYQILIPERIKKVFEG >RHN78526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15952669:15954522:1 gene:gene2104 transcript:rna2104 gene_biotype:protein_coding transcript_biotype:protein_coding MMLISFPHSQLPQLHHTSFYPASHKIINCYCQLGQFNVSFPLFLKILHISYQLDVVILAALSKVFVLTVMSSRPLTFMTIDQWVM >RHN69687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45541016:45545025:-1 gene:gene18191 transcript:rna18191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyridoxine 4-dehydrogenase MALSSCCSFVVCSSNINNPLPSFTFKFPSLWPSTQNQKLKMGPLSVSPMGFGTWAWGNQLLWGYQESMDDDLQQVFNMAVENGVNLFDTADSYGTGRFNGQSEKLLGKFIRDFREKKGSQNEIVIATKFAAYPWRLTPGQFVDACRASLDRMQIEQIGIGQLHWSTANYAPFQELALWDGLVAMYDKGLVQAVGVSNYGPKQLLKIHDYLKDRGVPLCSAQVQFSLLSMGEDQLEIKSICDSLGIRVIAYSPLGLGMLTGKYSASKLPTGPRGLLFKQILPGLDPLLSSLRDIANKRRKTMSQVAINWCICKGTIPIPGVKSITQAEENLGALGWRLSSNELLQLEDAAQQSPRRMIQNIFQTR >RHN56789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33765188:33767405:1 gene:gene32240 transcript:rna32240 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTVEDEETFSYVSNVWSLMRIRRGLCCFEGNKDKAWRTRSRAAISTKTSLDSQWRRMLEMIRASRRVLMLLRIAPVKGTAKWSSYMAGMLGAMTETTLPRRIPKEVMAEASWRHRLWV >RHN55673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20984136:20988642:-1 gene:gene30893 transcript:rna30893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative B-cell receptor-associated protein 29/31 MALQWMILTYVVAIEAAVAILLTLPSPKLLRNRLTSLISLILQPALFIVPFAGFQLLDIYWKAEHRLMCTSDVCTAAERDRYEKTTYKAQRNVILCISACLLYWSIYRICKFQKDIQSMEEVEKRIKSK >RHN50418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6971671:6972840:-1 gene:gene34700 transcript:rna34700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MLMKCVCKSWKTLISDPYFAKMHLKKQSTPMSHLAFLSNMSKGSAECRTVPISRLLESNSHSLTLTDPYHQFPYKDAGRVVGSCNGLVCIQDSSFTAEYHEHSFSFWNPATRTKSETLVSFRNYPEPKKNICKFAFGYDNSIDTYKVVLLCMKMDGELNTTAVKLFTLGDNDWREIDCLPVVVVSHPFGKYVRDGVYLNSSISWCVRHRYYCHLKNLMVEQLVMISLDLGTERYTRLLLPRCCDEELHDVPTLSVLMDCLCFSHDFKKTHFVLWQMKEFGVEESWTQFLKISYVNLPKDIKIHEFHNGPTYYPPQLIPLCFSNNGDTLIFTINVLCFPNDGDTLIFTITLPDQAFLYNWRDNRVKRIKSTNKILWFSANGYVESLASIF >RHN72612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9146012:9146260:-1 gene:gene8355 transcript:rna8355 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHRDLYFQYVHSHLLYIFLPQTTSSSFFTSTQTLQWGFFLHGFLSSFVFNACELSENYGCQRTTSSKEDGLKDGECTVM >RHN52653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37430346:37431193:-1 gene:gene37347 transcript:rna37347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MFLRYCVVPVSLFLPKNFNPFQFLNNTHFYFIPSFSLSSSSTSTNDVDNAVSMFNHLLHKNPTPPDIKFGKILSSLVKSKHYHNVVSLSQKMEFVGIKLDLVNCSILINSFCQLGHTPFAFSVLAKILKNGYEPDTITFTTLIKGLCLKGDIHQALHFHDKVIAMGFHLDKVGYGTLINGLCKVGETKAALELLRRVDGKLVDEAINLFEEMHCRKLIPDVVTYNSLIDGLCKSGKISYALKLVDEMHDRGQPPDIIT >RHN43487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46245210:46245557:-1 gene:gene50072 transcript:rna50072 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTPIVLYHGDWRGTEKRNCRTNILYTHRLNPNFEWGFSRFKSFNVGSNSWDVDDDGDESATSRSWMTTRFSNNRRDVTKSIKELQALSMRF >RHN77242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5366388:5371209:-1 gene:gene679 transcript:rna679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative QWRF family protein MVTAISTTTTTKNTKRAPTPTRPPLLPSESDNAIVRKPKAREVTSRYMYSSSSSSSFSSPPRRTNSPLVNRTVNSTKQKSTPSVTPAAFVKRSQSTERQRQRQGTPRPNGETPVAQKMLFTSARSLSVSFQGESFSIPVSKAKPPSATVTQSLRRSTPERRKVPATVTTPTIGRGRVNGNSDQTENSISRSGDQHRWPAKSQQNQANFMNRSLDCGVLLRSSNGFGSNVVRSLRNSLLDPRVSHDGATLRSESNKNGGSEPVIEPELVPSDNESVTSGSSSGVLDYGGGKPQRSARAIVVPARFLQEATNPSSRNGGIGNRSTVPPKLLVPKKSVFDSPASSPRGIVNNRLQGSPIRSAVRPASPSKLGTPSPRSPSRGVSPCRGRNGVASSLSSRFVNEPSVLSFAVDVPRGKIGENRVIDAHSLRLLHNRLMQWRFVNARADASLSVQTLNSEKSLYAAWVATSKLRESVVAKRIMLQLLKQHLKLISILNEQMIYLEDWAILDRVYSGSLSGATEALKASTLRLPVFGGAKIDLLNLKEAICSAMDVMQAMASSICLLLPKVVNVKSLVVEVVNISAKERCLLDECQDLLSIIRTMQVRESSLISHSIQMKCLPRSQQ >RHN53169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42500287:42501805:1 gene:gene37943 transcript:rna37943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytidine deaminase MEEPKFIIEVSEAKSLTQSTNLTLTQLLPTIVKSSQPLARVPISKFHVAAVAVGISGRIFIGVNVEFPNLPFHHTIHAEQFLLTNLSHNKETQIQYLAVSAAPCVALLDSRGNVYKGSYMESAAYNPSMGPVQAALVAFIVGARDGAAEYGELVEAVLVEKEDAVVKQEDTARLLLRSIAPQCSFNVFLCSSNNKI >RHN75128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39579567:39586344:1 gene:gene11288 transcript:rna11288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative choline transporter MRGSSGTIHMGGNGIIKHNRKCRDIVVLVIFIAFWIAMIVNSSFAFNRGNPFRLTFGLDYKGNVCGDKHAGLHQLELKYWLNPNQVYQSGLKDSQLKLGDARSICLLDCPVPREDSLVWVCDYPEGDIVVSMDDWIDMNYNYYAFLTPEMRNSSLQLQGPCYPVIFPSVNVHWSCQFIARASNVSLSLWQQMGGVSINKDTVIDKSIHKYINSHSAVLKRYMADIGKAWPVLIVCGGILPLFLSVIWLLMIRHFVAAMPWITVVLFDVLIVSVTMFYYLKVGWIGNDAITPIIGEHDPYIHIYGRELTHLRIVTILMTFIMVVSILTSIAIVRRILMANSVLKVAAKVIGEVQELIVFPIIPYGILAVFYMLWISAFLHLFSSGRVVQNDCHSNCCSYNLMEKRVICDHCCGYSVHYTPHIGVAILFHLFGCYWVTQFLIAGSSTVIAGSVASYYWGHDEASPEIPFRSIFSSMKRLMYYSIGSLALGSLTVSFVESIRFLLESIRRKLKVSSHVPDNWIGKAAYQSSQFFLRCIEWTIKSVNRNAYIMIAITGKSFFRASAIATDLVKNNILRIGHVNVIGDVILFLGKLCVSLSSAVFAFLMLDTHKYKSAHNKLSSPLLPVVVCWALGYIVVTLFFGVIEMSIDTIILSYCQDSEEHGTARYAPPLLIETLSDQNDMERLAQEPR >RHN40716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19905195:19907773:1 gene:gene46910 transcript:rna46910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MCYGYNTNDGCQKWGDAEIPTCRNPGDKFDSKIVYPNEKIEYHILNSSYGISDCQDMCWRNCSCFGFGNLYSNGTGCVILVSTEGLNIAGSGDYKYYILVKNNTDHKEIKLILICVGIGTFLLIIGLSILFQALRKRKYLLQERKRIRTQIEIQDLEGSRQYSDGDDLEGDLSNADDLKVFSYSSILVATNGFSSENKLGQGGFGPVFKGILPSGQEVAVKKLSKTSGQGMIEFRNELTLICKLQHTNLVQLIGHCIHERERMLIYEYMPNRSLDFFLFDSTRRKLLDWNKRFSIIEGIAQGLLYLHKYSRLRIIHRDLKASNILLDENMNPKISDFGVARMFTKQETEANTNRIVGTYGYMSPEYAMEGVFSTKSDVYSFGVLLLEIINGKKNNSFYSEDRPLNLVGHAWELWKEGVVLELVDPLLNESFSEDEVLRCVHAGLLCVEENADDRPTMCNVISMLTNKIKVDVFEEDTYGEEVGADSTYENPHSHV >RHN78133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12163830:12164315:-1 gene:gene1654 transcript:rna1654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding pseudobarrel domain-containing protein MQMIPRNFVERYWKDVSNPISLRLPNESECKMFWVQRGDDIWLMNWKNFARSLRCGDLLVFQYNGGSDFHIIILDDSKLEIDYSSMKCNGEENSNQVCKQEESDDDDCVEIPNDIVTPAATPQRTNIDKRKINMNSTQQKVSGKFIYVIRFIYLFVAKICF >RHN51167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14715353:14717428:-1 gene:gene35553 transcript:rna35553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSSANHSAPKLKNQGDCKVSLLDLPDEIVHCILKRLSPQDLYRISQVCSRLRNISRGDCLWEKHVEQKWSRLVGDDAYHEWEYHTTKYKELLVDQNLSEPFGTISGDSPSQRLHSYLKINRTLIGLIKNHSKMALYILLETGRFWFPAQVYKATLQILYCYDAIVSYDSKTDTFRARSPNLGRQWIQGDIPWDMLRIPPPKTFLVDYYEYTDLDELKPGDLIEIQKRRRIGFPICDWAHGIIFHSESCYEDVNNCSCQKSDTLTMGIFKCNSRKDYVMSKSMKHKNAYREFNFLKGIRKSLDEEEIEKWNDLITTNNRFATDE >RHN56640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32480093:32481804:-1 gene:gene32072 transcript:rna32072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MEFHNPFFNSTFICSFLFLFVLLKIVKKWSCNNSSINLPPGPWTLPIIGNMHQLISNSLPHQCFKNLADTYGPLMHLKLGEVSYLIVSSPSMAKEIMKTHDLNFCDRPNLLLSTIFSYNAIDIIFSPYGEHWRQLRKICVLQLLSAKRVQSFRYIREEEVSNLVKSISASEGSIVNLSHKIFLMTSGITTRAAFGKRSKHQEAFKSAIKEIASLLGEFCIADVYPSVKMFQWVSRAKTKVEKLHKEIDMILQDIIVDHKNIHKEESKDEDLVDTLLKIQQENDHSHNPLTDNNMKAIILDMFGAGTETSSGAVLWGISEMVKNPKIMEKAQAEVRKVFDKKGYVDETELHQLIYLKSIIRETLRLHPSVPLLVPRESRERCQINGYEIPAKTRVAINVWAIGRDERYWAEAESFKPERFLNSTIDFKGTNFEYIPFGAGRRMCPGMAFGLSNIELPLAQLLYHFDWKLPNGMKNEELDMTESFGMAIGRKHDLCLIPITRRP >RHN63296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50157578:50161339:-1 gene:gene25981 transcript:rna25981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase Clp MAVAPYTTVSTPRVYSPPSVSTKIYSGLKLQSSRTFGASSISSNVNAQFFGKVNKVLNFRYANQKPVRAQIRMMPIGTPRVPYRTPGEGTWQWVDLWNALYRERVIFIGQEIDEELSNQILATLLYLDSVDNSKKLYLYINGPGGDLTPCMSLYDTMQSLQTPICTHCIGQAYGLAAFLLAAGEKGNRTAMPLARIVIQSPAGAARGRADDIQNEAAELLRIRDYLFTELANKTGQPVEKITEDLKRVKRFDAQEALDYGLIDKIVRPRRIKADAPRKDAGSGIG >RHN69430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43349313:43349618:1 gene:gene17900 transcript:rna17900 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVVLTYKENKIGTTHFSFYNRFNPPAEPVICHTHHPMYPISIMVGDCSVFCHIGC >RHN81912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49773546:49779444:1 gene:gene6009 transcript:rna6009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MEEIQYNTIQPHISFIHSNTNIIAPHMFQFHFSSSSNQTPILEVSASSSAMIFSIRTGFCLFLLVSAFMVFLAYYLIPDPDLHPQGSEADKVIRLPDQPEIYFQQFSGYITVDEVNQRSLFYYFVEFEVDATSKPVVLRLNGGPGCSSIGQGAFAEHGPFKPTKKGGLVKIRYSWNRVTNMLYLESPAGVGFSYSANTSDYFMVTDERTGMSLFSSKVGLPSSKSIRIAIFSLPEKAMQMGNPLLEFTTDYNSRAEFLWSHGLISVETYGLLRTVCNYAQIMSENINGTLSPICDRVLYQFASEVGPFVDSFNIIEDICLPSEFQLVYETSMETGEKRDVCVEGETSTYMNRSEVQEAIHAKLVGVTKWTTCSDVLLYNWKNLEDPTISLLGRLVRSGIRVMVYSGDQDSLIPLTGTESLLKGLAKDIGLDISDHYRSWFDGPQVAGWTETYGDILTFATIRGAGHAAPTSQPGRSLRLFQSFIEAKPLPRNVTVPF >RHN48768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50061952:50067368:-1 gene:gene43520 transcript:rna43520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MQPQLSDSYYGPAIPPTRGQSRNRHHRGRSCFSCLFSIFWKLIIALIVLFGLIILIFFLIVQPRAFKFYVTKAELTQFDHTNNTLYYNMVLNFTSHNPNKKLGIYYDKVEAQAFYEGSRFSNVDVITHMNSFRQDKKNSNPMTGVFSGQKLLMLDNDQISEFNKDKNVGVYDIYVKLYFRIRFKLGDSISRKFKPKVKCDLTVPLSTRNATDFTFIRLIPTKWLMKVDEEDDEELFRTRLGTKLSPQSPSNFAPVKIIYHIDITSLTKKKLNSSYSKYSTRYVHELITTALSHKKSNPYSFLYIHTTLCS >RHN50722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9787856:9788194:-1 gene:gene35045 transcript:rna35045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MIPLDIEYDSSQTWVKYKPNNPKTPESFKRTLVLRNDNSKLDSYYITPTGEKLRSRKEIAAYLEDHPQPSGVSASDFDFSSPKVLKETIPEFVEQQKDSIKKKKTKITKDEV >RHN51742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23985342:23985707:-1 gene:gene36254 transcript:rna36254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MEPQNSGSELTTLIVDDIKLVRISHQGLLKRAGVKNEAVKNGKEVVGIHSSGKRFDITLVDKEIPIMNRIEATKKL >RHN59399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10275167:10277567:-1 gene:gene21425 transcript:rna21425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-II family MGCFPAFKNMKTLKCEKSESENSSHNEHVSATTPQLQASRSLHSDPRSSMASMKSLNRVANNRTRVLSSPSALASVEHEEQEMSRVLARLMKEPRSPIPQPLPLPSPQGNGPLKLRMAGGPVYASGSALVAAEQDGLETFQYEEQEWSKNRDRLTKKQPASIPLPLPLPTPQGGGTLKTTTGSSKSGTAIDSVRYFRYEEIAAACHNFSSDRCMSECLYSTIYKASFSNDASSEKLKATVTRLHSSTQGLRGFMNEVNTLSNLQHPNLCKLLGFYARDGYESEPRMLVYERLINGSLDRLLFGKSDDPSIDWNARMKIAICVAQGLTFLHEEGPLQAMYNDFSAVNIQIDVDFNAKLSGYGFVGHVAEEEISSSSTAAANLSVETLKKGMLTPKSNVWSFGIVLLELLTGRKHFDQHLPKKERNLVKWCRPYLADDFQLSVIMDSQLKGQFPPKAARKVAGIVQRCLQMEPSERPTMRAIVESLKIIVDTEYPSWIPLQEPAAMYGRHASRSPSVDGIINAPRLSFSTPLLPSKARTSVSHPARWSTVSTVLPSPLARSSNVSTEELTMQENRKSSSSVSKKA >RHN74789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36698994:36700517:-1 gene:gene10906 transcript:rna10906 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFQGEQIIRTEKETQISPRLIEVHLFQLSKLQCICKEGFQIDPILEFLEKITVSQCSSLIKLVPSFVAFCYMTYLEVTNCNELKKLITHPTAKSLVKLTTMKIKMCNWLEDVVNSKEDETNEIAFWSLKYLELISLQRLCRFCLADAPLCFPFWKL >RHN69080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40845686:40852279:1 gene:gene17508 transcript:rna17508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WLM domain, PUB domain-containing protein MRQRMRPSPGHIYCISRLIFLPIFHPFIVFVCSVASFRTLRLHMHTPSSMINISVTWRGKKFVVDMNSDATVKDLGEELQKLTDIKEDTMKLIVPQIAGKTSKLLAPFSTEHALLCLQETSITEARSIMMMGVSTNEVEEVLKNAEANLRIAGFEEEEKRLKQKISHGPRVSLKLPQGPYIFCEFRTLEIPGVKLNPPPSEALKRMHMLAADPGIVAVMNKHRWRVGIMTEMAPIGYVGVSPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMIHSEHDANFYALDKQLNQEAASLDWTRSAGHTLSGMRSSEIYEDDFIEDSSNIPQKLGGSRSDQLMNARESSVIAAYHRMANVSTSNSGESEVNEELGPDHTESSSEENKYTETPIIVDKGLNEPDPDDRIENGMKHEPDPDDSYHEPSHSQTSTVNMDATYLNANDSETSLKSITPAIEMNMTEEPDPDDCMVPTPILSTLQTGEPDPDDQELQRINGAMTAVCSRLQKALEMLKSEVNPMQGTSTLQTLLKIIRNAIEHPEMEKYKRLRKANPVIERNILNNKAALEILSVVGFREDVIVDNLGKADAYLVLKRNDPGLLWLAKSTLESSSAC >RHN64835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62356156:62357715:-1 gene:gene27701 transcript:rna27701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exostosin MDMSMLRKYLFQIRFVFLITFSIVCVSLLFLNYSYLASNDSEITFLFPNMNNAKRFEEIATTPSNFSSHFDETICCTTTTNQNNVTNIGATYAPIVSPPLIEENVDEVFTKLSPTILDPCLGRYIYVYDLPSRFNKDLLKRCHTLLKWEDLCLYLSNLGLGPKIIEESKKKVLSKKNWYATNQFSLEVIFHNLMKHYKCLTNDSSLASAIYVPYYAGLDISQYLWGFNVSIRDESPKKLVKWLAQQPQWKRVYGKDHFMVGGRISYDFRRRSDENEDWGTKLMFLPEASNMSMLSIESCGYDNEFSIPYPTYFHPTNDAQIFGWQRKMRKMKRKYLFSFVGAPRPNVTSSIRNELIEHCESSKGCKLVGCYYGYNKDRCGDPVHVMDVFRNSVFCLQPPGDSYTRRSTFDSILAGCIPVFFHPKSTYKQYVWHFPTNSSNYSVFLHEIDVKEKKVMINETLLNFSKREVFAMRNEVISLIPRIVYRYPSSRLETLEDAFDVAVKGILGRIEAMRRDYKW >RHN49336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54090372:54090717:-1 gene:gene44154 transcript:rna44154 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTIADLEKLCNERKGVNFVMPSKRKGQEKVLKGQLFVDQY >RHN50918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11813477:11817607:-1 gene:gene35271 transcript:rna35271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MSGIGKKVAIGIDLGTTYSCVAVCKKGEVEIIVNDQGNRTTPSCVAFKNSERMIGDAAFNTAASNPTNTIFDAKRLIGRKFSDPIVQSDVKLWPFKVIGDLNDKPMIVVNYNDEEKHFTAEEISSMVLAKMREIAEAFLGLTVEDVVITVPAYFNDSQRQSTRDAGAIAGLNVMRIINEPTAAAIAYGFNTKPFNHGCRNVFIFDLGGGTLDVSVLTFENGDINVKAIGGDTHLGGQDFDNAMVNHFVKEFLRKHKNDISGDPRAIRRLKTACERVKRILSVNSETTIEIECLNQGTDFSSLISRAKFDDLNKSLFYQCMEIVEKCIADSGINKSNIHDVVLVGGSTRIVKVQDLLVDFFEINEHSRTKLCKSINADEAVAYGAAIHAFILSGELCEKFQDLTLREVNPLSIGIKTQWGIMKTIFPRNTMIPTNKEEVFTTTIPNQKFVAISIYEGERKTTKDNNLLGTFRFEIPPCPVDVPQLVVNFQIDNDGILQLSVSEKDLGIDKRFKIVNNKGRLSKEEIERMINEAEKYKDEDIRHRKKVEARNALEKYAYNMRDFINDPDIISKLSLKEKENINNATDLVFKWLDVNVVAEQQDFECYRSILSSVFDPIVIKMIKDEGHGVQAVALPGRRGFKVTPITDTMLNS >RHN78360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14395628:14398765:-1 gene:gene1904 transcript:rna1904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MASVVAEAFLSAFVEVLLEKMISTEFVNFIRSKKLDISLLEKLKLTLLSLQAVLNDAEEKQITNPAVKQWLGNLRDVVFEADDLLDKINTEALRSKVNKVRNILSSNFKQSYGLVNFDIQRLFERLEHFARKGHVLGLKEGVSCSVWNGTPTSSVLDESAIYGRDGDRKKLQELLLHASDDGNKIGVISIVGMGGLGKTTLAKLLYNDPEVKEKFDLKGWAYISKDFDIVRVTKTLLESVTFKTIDANNMNTMHTEFVTSKITDTGDLNTLQVQLQQSLIHKRFLLVLDDMWDGSYVDWNNLKDIFNVGEMGSKIIITTRDERVALVTQTFLPIHYLAPMQSDECWSLLSKHAFGASNYRLRSNLEAIGKEIAKKCDGLPLAAVALGGLLRTKLSQDDWNDVLKSNIWNLPNVGVQQTLLSSYHYLPAPLKRCFAYCSIFPKNSILQKNMVVQLWMAEGLVHKSKRQKSWEKVGEEYFDELVSRSLIRRRYIDGEECYEMHDLVNDLATMVSSPYCIKLDEHEINDKVRHLSCIRRKYDSYSKFDKLNGLKGLRTFLVLPLQEPVWLIYSISDRVVRELLPAMKQLRVLSLSNYRSITELPNSIGNLICLRYLNLSNTAIERLPSVTCNLYNLLTLLLFGCWCLVELPEDIVKLVNLRHLDIRGTQLKEMPVQITRLQNLQTLSDFAISNHRDGLKVRELGKFPHLKGNLSISNLQNITDPFDAFEANLKMKEQIDNLELQWILHGSSGTTSQSSQIQALVLQQLQPSTNLKNLTIKGYGGTSFPNWLGDSLFSNMVYLHISGCDHCSLLPPLGQLHGLKKLSIASMKSVKSLGSEFYGNSSCPSFQPFPSLETLEFEEMLEWEEWNLTEATKFPSLTNLSLETCSKLKGNMPCNLPSLTTLELKVCDLLLESRHSDDQIIQSIWSPLNSLRQLTLVGFPSLMSFPRDGLPKTLQSLTIHDCENLKFLPCESLHNYSSLEDINIFYSCNSAHLIH >RHN74857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37216754:37219371:-1 gene:gene10985 transcript:rna10985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MTFENEAKENCPLKLTWSTRKKICVGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNPKISDFGLAKLKDDGHTHITTRVAGTYGYMAPEYAMHGYLTEKVDVYSFGVVALEIVSGKHNTMNRPRDECFSLVDRVHLLKEEGNIMDLIDERLGEDFNKEEAMIMINVALLCTRVSPMHRPTMSSVVSMLEGQSDVEEVKQDTSQVFEGNKLEIIQQYHQQLEIIQQYCEQSEKVYTPETQEESILISETAEFMSHTDMRSISMDSPYRF >RHN41289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28908425:28908773:1 gene:gene47594 transcript:rna47594 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTSNSQTSEHKEVKGVIDHDDPETVLETNSKTKEECRCKGKEDQNQKTKGLPHQQVPQSEDFENCFSDFKKFLIAHGDV >RHN78788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18436306:18437378:-1 gene:gene2440 transcript:rna2440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGDILPVKKLCQSNTPSLPVFIPNELIAEILSFLNVETILQLKCVCKSWKTLVSDPIFVKNHLKKSSQKPHLALICKGCNVATFPLPSLLKNPSITVSSDSFHPYCCWNVVGSCNGLLCVVYISKLITQDFVNQDYWFRFMNPSMRTTSKLLGWFRDNILLDHTKPCPRSGYFKFMFGYDDVKETYKVVAFRVKGHLGKEASLKSEVKVYSLGDNCWRNIHGFHLSGTINWLAICKYFRCNYLHKNITHVDQFVIVILDLSTETFKKLLLPQGFDEVPLIEPVLSVLMGCLCFSYDFRKTEFVLWQMKEYGVHENIYLFCILVFKASSCFSMKNL >RHN55593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19875021:19875965:-1 gene:gene30795 transcript:rna30795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I13, potato inhibitor I MSSCKGKKTWPELVGVNGEAAAQIIMSENSLVTASTLPEDSVFTADFRCDRVRVFVDKQDVVTRVPKIG >RHN48856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50716166:50717958:-1 gene:gene43617 transcript:rna43617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MLMQLDTTETEVKNQLSFKLSSMEYSNNSNVTAFVMFLFLAALMCSSSHVIVSKDGNTGNYTSLAEAVKNAPDLSDQPYIIRVLAGIYEECVLIPPNKPNIKLLGDGSNQTIIVCHQNGSVGTIDVRGEGLIAQDIGFVNSAGTDAGPAMAVRNEANNSIFLRCSIQGFQDTLFAVSGRQFYKNCEIYGTVDFIFGNAAAVFQDCMVYARYRQFVVFTAQSRGNPSEKTGFTFQHCNFTMSPEDEGKKSEVRGTLGRPWRAYSTVAILQCFIDSFVDTRGWEQMEGQPNDKVTYVEFENVGPGSNTDGRVNWPGVKVIRNSDQALPFTASYLLDADSWIPSKDVPYDSGL >RHN55422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18153713:18156562:1 gene:gene30600 transcript:rna30600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MTNDSTIKLFGRTIFQTHNTDVTTNDFSSELEFGSPLPRDDSSDHSPYSSSCSPSEVNSPTEHDAKRYKETSRKELTSVQEDEASFQTTEDSKSHTPSSHVENPKSPSSETETSELNSHKIDEQSDMSQEKSPMKQDVIVPCPRCKSMDTKFCYYNNYNVKQPRHFCKNCQRYWTSGGATRNMIVGAGRRKNKLNAANGLHSTTILTFGSDSPSMSSTSLDKKVNVASHEETFDKSYQSFPPQFPWNPAMCYPMSFCPDIAYYGGCLVPSWNVQSCGQNSTTLGKHSRDGNILLHSKSEKEKLGSERCNKRVLIPKTLRIDDPNEIAKSSIWSTLGIKNGGELFMGFASKGGVKNHVQTSSSVLQANPVALSRSLVFHERI >RHN68539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36323471:36323833:-1 gene:gene16906 transcript:rna16906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MNEEVSTMGYDLTLAPFGAGRRVCPGKAMDLAFVHLWLAQLLHNFKWVPFDDSPVDLDECLMLSVEMKKPLLCKKFGYGSCGDFVWDVVEDLKLIFWVFIL >RHN51830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26261365:26266320:1 gene:gene36369 transcript:rna36369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MGQTRAALQVLRQIEGKLVNTNVVMYSTIIDGLCKDKLVTDAYGLYSEMIVKRIPPTVVTFSSLIYGFCIVGKFKDAFRLFNEMVMKNINPDAYTFNILVDALCKEGKIKEAKNVIAVMMKEGVEPTVVTYNTLMDGYCLVNEVGKAKHVLSIISRMRVAPNSRSYNIMINGFCKIKMVDEALCLFHEMCCRGIAPHKVTYNSLIDGLCKAGRIPYAWELVDEMHNNCIPADIVTYNSLIDVFCKNQHVDKAIALVKKIKEHGIQPNMCTYNILIDGLCKGGQLKNAQDVFQDLLIKGYNVNAWTYNIMINGLCKEGLFDEAEVLLSKMEDNGIIPDAVTYETIIQALFHKDENEKAQKLLREMVIKGLL >RHN61781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38305065:38312364:-1 gene:gene24276 transcript:rna24276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase BUB family MATTLLRNSPTFTTAIDDPLLPFLRSIKKALEDSTTSSTQNLSKLLRDCINKFKNNHSYRNDPRFLKIWFLYMDVSADFDSVFKGMLNSNICANDASLYVYSACFFEAKGRLLDADTIYKLGISKNAEPIKWLEKAHTLFLSRVSEICNVASSQKVDYKESATLENNDINPWDASTLNDLLKKINPLITKFDGYHSSTKSYTGKIALSTLKNASRNKVIEIGGIKYHIKGCAGQGGFAQVYKANVDSDPDDVVALKIQKPAFPWEFYMYRQLDQRISDRERSNYGSAHRIHLYSDCSILVCNYLAHGTLQDVINSYVVIGKFMEEVLCIYYTIEMLHMIETLHDVGLIHGDFKPDNLLIRYARGNLTEDGLLDRSGCWHDQGLCLVDWGRGIDLNLFPDHTVFKGDCRTSGFRCIEMQEDRPWKFQADAYGLCGVVHTMLHNTYMETVKKESSDGGSVYLPRLPFKRYWNVELWKTFFTKMLNDYPGHDDRKLLQELKKSFQDYISSDPQHIKKLKELLAKQRVSLCSA >RHN68345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34780342:34781218:1 gene:gene16685 transcript:rna16685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKIVKFIYLMIIFLSPFLVSTKILEKHTNKCAATVGLDIYEKDKCVTDFDCVKNLWLCPIDQFVRCIDETCKCILF >RHN43147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43544313:43544815:-1 gene:gene49678 transcript:rna49678 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSLHPSTLKASIIVGTYRSLVSPCPSCPFAPLPQEYTFARSVTATVCLHPLDI >RHN39096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4093661:4094539:1 gene:gene45090 transcript:rna45090 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYRNLTLQCLTEVASIQLGNCYDAEFVEMYNIFMIQLQTILLPNTNIPEAYAHGTSEEQAFIQNLALFSPYFLKFTYEFWNPQKRIYLLYYWVLNMLSTSHMWMILRFSRSVWIIGIPSFWNFFNHTVASAARAANMMGLQVSVMPQRRQLYAEVIVVEDENGDIVRETMKDTDFLVQYKIMRETLIYLSCMDHDDTVKQMLGKLSKQLSGKDCRWNNLNTLFWAIGSISGSMIEEQENRFLVMVICDLLRLCEITTEKITKLLLQVISCML >RHN63135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48752393:48754065:-1 gene:gene25799 transcript:rna25799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGFSSSANLFSVLFILCCYSLQFSIAIDTITSSQFIKDPETLLSKDGNFTLGFFSPKNSTNRYVGIWWKSQSTIIWVANRNRSLNDSNGIITISEDGNLAVLNGQKQVIWSSNLSNTTSNTIEAQFSDYGNLVLLESTTGSILWQSFQKPSDTLLPGMKLTSNKRTGEKVQLTSWKNPSDPSVGSFSISFIDRINLHELFIFNETQPYWRSGPWDDVVFTGMQMMTTPYINGNRVGDDGEGNIYIYYTVPKDITMVVYNLNLQGHLIAKWWDYEKKDVQIIWSSQQSECDVYGICGAFSSCSSLKLPICSCLRGFEPRNKQEWNRHNWTGGCVRRTLLQCEKVNNKTTSTKEDGFLKLQMVKVPDFAQSSAVTPDICKSQCIENCSCIAYSHTTGIGCMSWTGNLIDIQQILKWRT >RHN42994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42443035:42449286:-1 gene:gene49511 transcript:rna49511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate kinase MDAKAVQMLHLPVLTRPDNCVRITGCSLSRTGKLKKLQTIRRKKVSLEVACSYNNIPASTLESGGHKFPLEEELVLKNRSQQILPYLSGRCIYVVGMMGSGKTTVGKIMSQALSYSFCDCDTLIEEEVDGNSVADIFKLYGESFFREKETEALNKLSLMHKLVISTGGGAVVRPINWKYMHKGVSVWLDVPVEALAKRIAAVGTNSRPLLHDEAGDAYTAALMRLSALFEERGEFYANANARVSLENIATKLGRKDASDLSPTEIAIEALEQIGNFLKAKDGGYAR >RHN78739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17979392:17980998:-1 gene:gene2387 transcript:rna2387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MSFAHYKEIKLYYIFYIQRRKNMTKILKFICVIILFLSVSLVGADFDSYTDLHDNNPESYECEVDEDCPQDPFTMKCINNICIG >RHN47434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39713306:39714095:1 gene:gene42033 transcript:rna42033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative apyrase MFISFSYLRFGKEASRAEILKVTNGSPNPCILAGYHGTYTYSGEEYKAFSPASGPNFDECKEIILKALKVNDPCPYGKCSFGGIWNGGGGSGQKTLYVTSSFYYVPTGVNIADPNKPNSKIRIEDLKTGAEQVCKTKYKDAKATYPLIYEDSLPYACLDLIYQYTLFVDGFGKFALFEVFFTYVFWQYILLT >RHN43530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46547270:46549197:-1 gene:gene50126 transcript:rna50126 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFDNIKLSIKCLQILIDLGIKLHNMKLKIQRSLIGYHSTDEINSQKRTPALKSKLDPLR >RHN58564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2827901:2828805:1 gene:gene20486 transcript:rna20486 gene_biotype:protein_coding transcript_biotype:protein_coding MASHNQSYKAGETMGRTEEKSNQMMGNVGDKAQAAKEKVQQTAQAAKEKTQQAAQATKEKAQDTTGQAREKGSEIGQSTKETAQSGKDNSAGFLQQTGEKVKGMAQSATEAVKNTFGMAHDEEDKDHITTTRR >RHN55937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25766347:25768257:-1 gene:gene31231 transcript:rna31231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MKIEKGKGIKNIFIEATSDSELPAMLNAFEIYWVIPETVSATQQDDVDAIWNIRDIYKIPRIDWQGDPCGPTGFRWEGLICGGANNPRIISLNLSSSKLSGRIDAAFSKLTNLEILDLSNNELTGGLPEFLAQLPRLKILNLSQNNLTGLIPESLKEKSNSSLKLRFAFGRYIATLTYNNFIIVMMNILQYQYQICLNFRR >RHN45902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26667362:26668928:1 gene:gene40327 transcript:rna40327 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYNYKVVQIFITFFFVERINILLEKGRRRNMVEIEKDVM >RHN58652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3671750:3672607:1 gene:gene20581 transcript:rna20581 gene_biotype:protein_coding transcript_biotype:protein_coding MESTEKQPGLLQQIVPPRLEDACLEDPALPPESIHEAFLKAVAAMKSRIFTSSDEDDCIDDPKPGGEDASDVVGVIESEKEEPGACVDGLQGLDKEKDDVKEKSEEKEKKEKKPILVGGYP >RHN66841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19901198:19902867:1 gene:gene14943 transcript:rna14943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 3-sulfotransferase MNTMEESDEHSHFPKYLQEDDITLECKELIQTLPMEKGWVSTHLHQYQGFWQTKKHLQGVLSFQKHFQAHDTDIILATSPKAGTTWLKALIFALLNRKRYPNIHDNNHPLLTTNPHVLVPFLELSLYIEKDILPDINSFSAPRLFSTHVPYKSLPKSIKDSTCKVVYLCRDPKDTFASMWHFTNKIRPQNRETLQLEESFEKFSRGVSLFGPFWEHLLGYWKESLERQEKVMFLRYEEMKMKPCFYLKEVAEFLGCPFSKEEESKGVVDDILNLCSFEKLSNLEVNKFGKLPSGEENKAFFRSGKVGDWKTLLSIEMIERLNTVIEQNLGKHGMSF >RHN61864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38979452:38987097:-1 gene:gene24370 transcript:rna24370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MASSSNSSSSALMALPRRKNYYDVFVTFRGEDTRFNFIDHLFAALQRKGIFAFRDDTNLQKGESIPPELIRAIEGSQVFIAVLSKNYASSTWCLRELVHILDCSQVSGRRVLPVFYDVDPSEVRHQKGIYGEAFSKHEQTFQHESHVVQSWREALTQVGNISGWDLRDKPQYAEIKKIVEEILNILGHNFSSLPKELVGMNPHIEKVVNLLLLDSVDDVRVVGICGMGGIGKTTLTTALYGQISHQFDARCFIDDLSKIYRHDGQVGAQKQILHQTFGKEHFQICNLFDTDDLIRRRLRRLRALIILDNVDKVEQLDKLALNREYLGAGSRIIIISRDEHILNEYGVDEVYKVPLLNETNSLQLFCQKAFKLEHVMSGYDKMALDTLSYANGLPLAIKVLGSFLFGRDISEWRSKLARLRECPIKDIMDVLRLSFEGLENMEKDIFLDIACFFKGYNKECVTNILNCRGFHADIGLRILIDKSLISISYGTNITMHSLLVELGRKIVQENSTKDLRKWSRLWSLEHFNNVMLENMEKNVEAVVICHPRQIKTLVAETLSSMSHLRLLIFDRGVYISGSLNYLSNELRYFKWTCYPFMCLPKSFQPNQLVELYLWRSSIQQLWEGKKYLPNLKTMDLMYSKHLIKMPNFGEVPNLERLNLDGCVNLVQIDPSIGLLRKLVFLNLKNCKNLISIPNNIFGLTSLKYLNLSWCSKVFTNTRHLNKLDSSEIVLHSQSTTSSLYHNADKGLVSRLLSSLLSFSFLWELDISFCGLSQMPDAIGCIPWLGRLILMGNNFVTLPSFRELSNLVYLDLQHCKQLKFLPELPLPHSSPSVIKWDEYWKKWGLYIFNCPELGEKDQYSSMTLLWLIQFVQANQESLACFRGTIGIVIPGSEIPSWLNNQCVGKSTRIDLSPTLHDSNFIGLACCVVFSVTFDDPTMTTKEFGPDISLVFDCHTATLEFMCPVIFYGDLITLESNHTWLIYVPRDSLSYQNKAFKDVDHITMTACLEDGNGLHVDVKTCGYRYVFKQDLKQFNSTVMHHRNPFAQKRKFLAIED >RHN55028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14507268:14512539:-1 gene:gene30149 transcript:rna30149 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SERKL2 MEFCSLVLWLLGLLLHVLMKVSSAALSPSGINYEVVALMAIKNDLNDPHNVLENWDINYVDPCSWRMITCTPDGSVSALGFPSQNLSGTLSPRIGNLTNLQSVLLQNNAISGHIPAAIGSLEKLQTLDLSNNEFSGEIPSSLGGLKNLNYLRINNNSLTGACPQSLSNIESLTLVDLSYNNLSGSLPRIQARTLKIVGNPLICGPKENNCSTVLPEPLSFPPDALKAKPDSGKKGHHVALAFGASFGAAFVVVIIVGLLVWWRYRHNQQIFFDISEHYDPEVRLGHLKRYSFKELRAATDHFNSKNILGRGGFGIVYKACLNDGSVVAVKRLKDYNAAGGEIQFQTEVETISLAVHRNLLRLRGFCSTQNERLLVYPYMSNGSVASRLKDHIHGRPALDWTRRKRIALGTARGLVYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDTHVTTAVRGTIGHIAPEYLSTGQSSEKTDVFGYGILLLELITGHKALDFGRAANQKGVMLDWVKKLHLEGKLSQMVDKDLKGNFDIVELGEMVQVALLCTQFNPSHRPKMSEVLKMLEGDGLAEKWEASQRIETPRFRFCENPPQRYSDFIEESSLIVEAMELSGPR >RHN43193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43915609:43916758:1 gene:gene49733 transcript:rna49733 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLHRFLEFQLPRQWAPVLGEIHLGSYRKHQVNTWLRFSILGPKLYINTTPVDVGNRPVTGLRLQLEGSRSNRLAIHLQHLASLPKSLPLADNANAYLSCDSYSCTFHKKVKRNCFSYVCTAPVESDDSLSIVTGAQSHLSGINPPIVTCPGASFGTSLLVFPKGDIGIRNHPKPGDVTIGSAVYPTGLPVPINTPKLQRYVDPTEMIRGPENAPGYWVVSGAKLSVHNVKIYLLVKYSLLRFVIQSEIKAS >RHN82465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53985181:53985495:1 gene:gene6633 transcript:rna6633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MCSPFLPDSPYHQINLTPGGFIHMRDGANTQYAISTSFLFTVYSDLLAKYNQIVKCENKEFDSAHLLDFAKKQVSI >RHN59191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8320183:8321259:1 gene:gene21189 transcript:rna21189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEKKKTSVHLPHDLIILILLRLPVKYLIRFKCVCKSWFSLVSDPRFANSQFQFTTATHTRRIIGLSSLSHEIRSIDVDAWLNDDLPSPNLNFLLPKSYFPFEIIGSCGGFLFLYRFPDIYLWNPSTGFKKQIPVSSFDSNKPYDNLYGFGYDQSRDEYVLVVFSHVSSHLEVFSFPDNTWKEIDGTNFDYAVDPSHIKGLLFNGAIHWLAWSRDLDLNVIIVFDLIKRKLIEIPLQNDFGGLTLDADSGLWVFCETLSIWILTSDGERIEIWVLKDYKVHSSWNKTLVLSVDFIPDNLNVSPMYSTKNGEIIIVTTDGSILVKYNSKGQLLKHQSFCNSPSKVVMYTESLLSLPGDNE >RHN50873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11325589:11326510:-1 gene:gene35219 transcript:rna35219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain, Bet v I type allergen MGVFTFEQETTSTVAPAKLYKALVHDSDDIIPKAVDAIKSVETVEGNGGAGTIKKLTFVEGGQTLYVLHQIDAIDEANLGYNYSIVGGVGLPETVEKISFEAKLVEGSNGGSVGKTTVKYQTKGDAKPIEKEVEEGKAKGMLFSRPLRVMFWPILITTNPSSNLPSAC >RHN82728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55922112:55927065:-1 gene:gene6911 transcript:rna6911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-acylglycerol O-acyltransferase MASETDIKYEEGFVLNSRGMKLLATSWIPANENPKALIFMCHGYAMECSITMDSTARRLANGGYAVYGIDYEGHGKSYGLPGLVKNFDTIIDDCLRHFSSICEKPENKKKMRYLLGESMGGAVALLLHRKKPEYWDGAILAAPMCKIADDIKPNAFVLRILSALSKVAPTWQIVPTQDIIDVAFKVPEVRQQIRANQYCFKGKPRLRTGYELSRVATKIEETLDEVSLPFLILHGEEDRVTDPSVSKQLHEVASSKDKTLKLYPGMWHGLLYGEPPENLEIVFKDIFNWIEERCQYGNSRLEREQKQQNEESSKASK >RHN42136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35677672:35684145:-1 gene:gene48537 transcript:rna48537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MGTKEDSTTKPSKTSSSTQEVPTPTVQPSYPDWSTSMQAYYNPGAAPPPYYASTVASPTPHPYMWGGQHPMMAPYGTPVPYPAMFPPGNIYAHPSMVVTPSAMHQTTEFEGKGPDGKDKDSSKKPKGTSANTSAKAGEGGKAGSGSGNDGFSHSGDSGSEGSSNASDENQQESARNKKGSFDLMLVDGANAQNNTTGPISQSSVPGNPVVSIPATNLNIGMDLWNASSAGAEAAKMRHNQPGAPGAGALGEQWMQQDDRELKRQKRKQSNRESARRSRLRKQAECEELQKRVEALGGENRTLREELQKLSEECEKLTSENDSIKEDLERLCGPEVVANLE >RHN75284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40911929:40913534:-1 gene:gene11460 transcript:rna11460 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPNSCCSCCSGFIITIGLMALFIWLSLRVDEPKLYIDKIYLPALNKALNTTAKSNTTFTFLLKLVNPNKDKGIQYDPIHLNFTFYNTLNTTLPLGNVTVNGFYQGHEKKAKKNGVVEAGVKNLTASVKGVVDGKVYLRVDYITAVKYKILVWYTKRDRLWGGANVEIGDSGEKMGKKSVRLGGKEPRVIVSGAWKVYGGYRALLVFIIGLGFT >RHN68656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37512472:37514800:1 gene:gene17036 transcript:rna17036 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVLYLRSLMASDNEKLHVLCCYVSASIHKVSVVRHHFTSIHKVSIPDTSTSPF >RHN74858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37219373:37226103:-1 gene:gene10986 transcript:rna10986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-DLSV family MKLIMNTSLHLLFLSFCLISSFARGATLLQEEVQVMKDVAKTLGKKDWDFNIDPCSGENNWTTSSPMKGFENAVTCNCTFANATVCHIVSIVLKSQNLSGTLPRELVRLPYLQQIDLTNNYLNGTIPPQWGSMNLVNISLIGNRLTGSIPKELGNISTMQKLILKFNQLSGDLPPELGNLHQLERLLLTSNFFTGNLPPTFANLTKLKHIRLCDNQFSGTIPHFIQNWTILERMVMQGSGLSGPIPSGILNLKNLTDLRISDLKGSDSPFPQVIGLKNIQTLVLRSCNLIGEVPDYLGNITTLKSLDLSFNKLTGPIPNTLGGLTSISMLYLTGNLLTGPLPNWIAKLDYTDLSYNNLSIENPEQLTCQQGTVNLFASSSKRNNLGRVSCLGNFSCPKTSYSLRINCGGKQITSNESLTYDDDSNETGPAASFHLSETNWALSNTGHFFDTSLKEDYYTWSNKNLSIDNGELYMDARVSPLSLTYYGFCMGNGNYTVNLHFAEIMFADDQTYSSLGRRIFDIYIQRRLVLKDFNIAKEAGGVGKAIIKKFTANVTSNTLEIRLHWAGKGTTGIPFDSVHGPLISAISVDPDFTPPVEKGSSMPVWKIAVIVAGGLVILLIFGIYWGRRCRRHIGPLERDLKGLDFQPGLFTLRQIKAATNNFDIAYKIGEGGFGPVYKGVLSDGTIVAVKQLSSKSKQGNREFINEIGLISALQHPCLVKLYGCCMEGDQLLLIYEYMENNSLDCALFGKPFIHVVKFCSSFINIIYNVVCVSLCTHTI >RHN61848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38838694:38843321:-1 gene:gene24351 transcript:rna24351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketol-acid reductoisomerase MAAVTSSCSTAISASSKTLTKPTTTSFAAANLSFSKLYPQSVKARRCIAVGGAVGARMVSAPPATLPAKLDFETSIFKKERVNLAGHEEFIVKGGRDLFHLLPDSFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSRSFNEAREAGFTEESGTLGDIWETISGSDLVLLLISDSAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSVGLDFPKHFSVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRFTENGMSEDLAYKNTVESITGVISKTISTKGMLAVYNALSEDGKREFEKAYSASFYPCMDILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGKIDQTRMWKVGEVVRSTRPAGDLGPLYPFTAGVFVALMMAQIEILRKKGHSYSEIINESVIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQALVAVDNGAPINQDLISNFMSDPVHGAIEVCAELRPTLDISVPADADFVRPELRQSN >RHN66084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8421614:8424732:-1 gene:gene13998 transcript:rna13998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ninja family, Jas TPL-binding domain-containing protein MERFYGEKEQHSKEIDLTLKLSTCGENLEEKRLIRSSSMAGEMENWCSFVPSLERSCSLPIDSGKIMLLERQRSVADSELHGPRHSAEVVVDSKFQISTKRENVSMIFKKPIGKEIPLPPKSADTKHENRAKKTTLPDYCSLKGDVMEILRQMPTVTTTGDGPNGKRIEGFLYKYRSGEVCIVCVCHGSFLTPKEFVMHAGGKEVANPMKHITVYPVSF >RHN52803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39027005:39028216:1 gene:gene37516 transcript:rna37516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L34Ae MQRIFDCKEKKIKIKDLRRSHKCLRKRNLKEEEEMEILMALIDLKLVSRVLRMSDMNENQLHWCEEKNSKVRVIDGKLQRDSTPLFFPSH >RHN75586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43551830:43558577:1 gene:gene11815 transcript:rna11815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MEPPICCITSRCANEITTLLSPPSPHQLQEYYQKIFSSRHCNDITVKHDDQLGKGVYAVKDFKEDQLVLKDQMLVGNQHSFNKIDCFVCSFCFRFIGSIEIQIGRRLYLEELRANKSHDCDVGSSSKSSKNCHEMDSSDEEESTWKCSSGSSKTKVPLPEGVVELLMNGQFRLPYSEKFSLPQAVPCHGGCGEAYYCSMSCAEADWESSHSLLCTGESSDPRRKEALLKFVKHANETNDIFLLAAKVISSTILRYRKLKANYPAEKEKYDASCVSDHYNISLLMEAWRPISMGYKKRWWDCIALPDDIDSSDEASFRMQIKELAFESLQLLKEGIFVQECEPLFSLEIYGHIIGMFELNNLDLVVASPVEDYFLYINDMSDPDKEEAEKITQPILDALGEDYSTCCEGTAFFPLQSCLNHSCCPNAKAFKRDEDRDGQAIIIALRSISKGEEITISYVDEDLPFEERQASLADYGFRCRCPKCIEEEP >RHN80964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42278252:42278524:-1 gene:gene4951 transcript:rna4951 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGVMQLLRCAVCMEVCPSTPLWFCVCCHRFVLIRLAPEPLFRMSSFCIDSDSSNRSSQAVPSKPLCPFCGILLQRKQPDFLLSSSPV >RHN42696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40288793:40291499:-1 gene:gene49176 transcript:rna49176 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLISGPYFSEAFSDLRSKIHVTLISLDCTTHSLCNCAMGACISSMVRRIKQAMEACVSSMVPQIKKKQAMEACVSSMVPQINKKQAMEACVSSMVPQIKKKQAMEACISSMVPQIKKKQAMETRCVSSEVWQRKQREQRGWDLICGSSGVDIYIDNKVKEQIMSALSVRDQGENIIGLCGPKKRVEHSAQTAIRRAEKDHSFQKIVTTTVTKKPDITKIQTEIGNAIGLNFNDKTYVAVSTGCTRFGNSKRMITAERADLLCAKMKELQTVLIVMYDLHGRLNLGEIGIPFGEDHNGCKILLTSTSLEVLSKQMKVHKLIRDMA >RHN41945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34258776:34260797:-1 gene:gene48321 transcript:rna48321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MMKLFTLIKYFYVCPFLVLFYPFDVTATFDEPPYKNHSFPAVIAFGDSILDTGNNNYLSTIVKADFKPYGRDFIGGKATGRFCNGKVPSDVFLEYLGIKEAMPPYLDPNLSTEDLLTGVCFASAGSGYDPLTIELGSVLSAEDQLEMFKEYIGKLKEAVGENRTAEIIANSMLIISMGTNDIAGTYYLLAPFRQLEYDIENYTSMLVSANSKFVEDLYLLGARRIGIFSLSPIGCVPLQRTIKGGLSRECVEILNEGALIYNAKLSTSILDLARKLPDSRLVYLENFSQLHDIIINHNDYGFENGDGSCCGIANIELGPLCSSFTLKVCNDTSQYVFWDSYHPTEKAYKILVKEILDKKLDEFV >RHN70937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55232537:55233282:-1 gene:gene19573 transcript:rna19573 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFFLIMSATKPLYLFWGRRNFIGDHRTGNYCNCVSDHRLIYAKTQQFMNPKAYNYSILPFFYSSHMAYCGQQQQ >RHN54607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10745827:10751680:-1 gene:gene29675 transcript:rna29675 gene_biotype:protein_coding transcript_biotype:protein_coding MNWLGKKKQESITRLIRKNQENHPRMQPKRYYPVSSKKREDNKHKANRDFAAQLQLP >RHN46027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27874834:27878230:-1 gene:gene40464 transcript:rna40464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MSSLKTLSSFYLLFFIFFFCNKASTKTTPPSTSSDIELLLFKIKPSLQGKTENLVLSSWNTSIPLCQWRGLKWVFTNGSSLSCTDLSSPQWNNLSFFKNPFLHLFSLQLPSANLSGSLPREIGQFPMLQSLYLNINSLTGTIPLELGYGSSLSEVDLSDNLLNGVLPPSIWNLCDKLLSLKLHGNSLSGSVSEPALPDSSCKFLQFLDLGGNKFSGNFPDFVTTFGALKELDLGNNMFSGVIPYGLVGLRLEKLNLSHNNFSGVVPFFGETSKFGVDAFEGNSPDLCGTPLQSCSKNSSLSSGAVAGIVISLMTGAVVLASLLIGYMQNKKKKGSGDSEDELNDEEDDEENGGGNSVGGNGEGKLMLFPGGENLTLDDVLNATGQVMEKTCYGTAYKAKLADGGTIALRLLREGTCKDRTSCLAVIKQLGKIRHENLIPLRAFYQGKRGEKLLIYDYLPLRTLHDLLHEIKAGKPVLNWARRHKIALGIARGLAYLHTGLDAPITHANIRSKNVLVDDFFVARVSDFALDKLMIPSIADEMVALAKTDGYKAPELQRMKKCNSRTDVYAFGILLLEILIGKKPGKNGRSGEYVDLPSMVKVAVLEETTMEVFDVELLKGIRSPMEDGLVQALKLAMGCCAPVASVRPSMEEVVRQLEENRPRNRSALYSPTETRSGSITPF >RHN53637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2988388:2992345:1 gene:gene28564 transcript:rna28564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MKPSFSIITIQFQSQSSTMGIRFSSFRSNLTGSTLGELPESCVASIIGYMDPPQICQLATLNRAFRAASSADFVWESKLPPNYHLLLAKIFHHFPINLGKRDIYASLCRLNTIDDGTKKVWIDRATGKLCLAISANKGLSVLGVDDRRYWNYIITEESRFNTVAYLQHTWWFEVDGEVDFPFPAGTYSLFFRIHLGQAYKRFGRRVCNTEHVHGWDKKPVRFQLWTSDGQYVASQCFLKGTGNWTFYHAGDFTVEDGNSSTKVKFSMTQIDCTHTKGGLCLDSAFIYPSEFKKSKSFLNCS >RHN43207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44030409:44031819:1 gene:gene49747 transcript:rna49747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MERKTLWFLFMLFLLLAADIAVKTAEGRRCESKSHKFKGPCSRDSNCASVCRGEGFTGGDCRGFRRRCFCTRNC >RHN39282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5562925:5568599:1 gene:gene45292 transcript:rna45292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAMSLASSSHSAAQKKYDVFISFRGEDTRAGFTSHLHAALSRTYLHTYIDYRIEKGDEVWPELEKAIKQSTLFLVVFSENYASSTWCLNELVELMECRNKNEDDNIGVIPVFYHVDPSHVRKQTGSYGSALAKHKQENQDDKMMQNWKNALFQAANLSGFHSSTYRTESNMIEDITRALLGKLNHQYRDELTCNLILDENYWAVRSLIKFDSTTVQIIGLWGMGGTGKTTLAAAMFQRFSFKYEGNCFLERVTEVSKKHGINYTCNKLLSKLLGEDLRIDTPKVIPAMIKRRLRHMKSFIVLDDVHNSELLQDLIGVRGGWLGPGSIVIVTTRDKHVLISGGIDEIYEVKKMNSQNSLQLFSHVKEVYSILSTFILILI >RHN76071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47462297:47464635:-1 gene:gene12346 transcript:rna12346 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQNEGWPLGLQPLHARMEIVSNGNNSGSMSFNTLLSGSSSSTNSSSDLDTQSAGSFFLDKSTTLGSLMGVNSIVELSRRSIRGTKTEMFKSKKDHNKFKFSSCLLCLCSSRTREAEVAHNQKSNPPSLGQFLAVERRVANGNRKSHRNNHIFGPHDALNEINNAESNSLFVNGTIAPPLSQRGETERENMELEQQKNEFGSFGELFSCMFRQNIYHV >RHN48569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48512424:48512636:-1 gene:gene43300 transcript:rna43300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectin lyase MNLIGPQDYVSLLMTSIKGRINHMHKGNCDETKWDSRLISKYNVTLVFTVDSKGCGNFTKVQEAVNAVPD >RHN61889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39152248:39154848:-1 gene:gene24400 transcript:rna24400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L5 MASDKKLANPMRDMKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQAIV >RHN52624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37195271:37196440:1 gene:gene37318 transcript:rna37318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MGFHLDQVSYGTLINGLCKVGETRAALQLLRRVDGKLVQPSVVMYNTIIDGMCKDKHVNDAFDLYSEMVSKRISPDVFTYNALISGFCIVGKLKDAIGLFNKMTLENINPDMYTFNILVDAFCKEGKMKEAKNVLAMMMKQGMKPNVVTYSALMDGYCLVKKVNKAKSIFNTMAQGGVNPDIHSYSILINGLCKIKMTDEAMNLFEEMHCRKIIPDVVTYNSLIDGLCKSGKISYALKLVDEMHDRGVPPDIITYSSILDALCKNHQVDKAIALLTKLKDQGIRPNMYTYTILIDGLCKGGRLEDAHNIFEDLLVKGYNITVNTYTVMIHGFCNKGLFDEALALLSKMKDNSCFPNALTYEIIIRSLFDKDENDKAEKLLREMITRGLL >RHN70573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52401491:52402700:-1 gene:gene19166 transcript:rna19166 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGEKHHASIYLVVVWFYGGSINITSQCSTTVIFKKLKIVALGRTCVYA >RHN49355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54229343:54235891:-1 gene:gene44175 transcript:rna44175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diacylglycerol acyltransferase, serine aminopeptidase, S33, alpha/Beta hydrolase MASTMMGFGVSSISTITYSQRRYLQPRKFQVHQSRSLDILSSESDPLNGTSSSSSVVVETNQNKVPLLLRSTNNVVEEEKKSKNEEQVGLAPLWDDGYGNRTVEDYFAASKEICKFDGGPPRWFCPIECASPFQGSPTLMFLPGMDGTGSGLSLHHQALAKFFEVRCLHIPVHDRTPFEGLVKLVEEAVKQEQALSPKKPIYIVGDSLGGCLALAVAARNPTVDLVLILVNPATSFGRSQLQPLLPLLEALPEELHVTVPFLLSFIMGDPVKMALVNVENRLPPTKIIEQLSNNLTSLLPCLPELANIIPKDTLLWKIKLLKSAAAYTNSRLHAVKAEVLVLASGNDNMLPSVNEAQRLAGSLKNCKIRIFKDNGHTLLLEDCIGLLTIIKGTCMYRRSRRYDLVMDFLPPSMTEFRYAMDRLVGLFRSVTGSVMFSTLEDGKIVKDLSGVPDEGPVLFVGYHMLMGLELISLIDQFLSQKGIALRGIAHPDLFTGKLDSYSSEFSMIDWVKVFGGVPVSASNLFKLLSTKSHVLLYPGGAREALHFKGEEYKLFWPDHPEFVRMAARFGATIVPFGAVGEDDIAEMMLDYNDLMKIPVVSDYLRDVNRDSVKIRDEKSGEVANQNLSFPVVLPKMPGRFYYLFGKPIRMKGMEDILKDKENANQLYLQIKSEVEKNIDYLIKKREEDPYRNLIDRKMYQALYPSENDQTPTFNP >RHN82045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50834061:50841342:-1 gene:gene6162 transcript:rna6162 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIREARRSTMAANGLTRRRHRTNSLRDSPDDDGGMEMQEPTRLRDRGSGKKERDRERERERERDRLGRNKRRRNDRLMHGVREDGGEDTSEESINDEEDDDDEDGGGGGGGGSVRMLPLNPSTLSSSSSLTNHHHRKSFPPAKVFRPTPPTTWKAADEMIGVSVPRKARSASTKRSHECWASSGGGIVPEQNHHRQPSSSPVRASAAPPSPSSSNASIRKKIKANGGGGGGGPKFRPPKSSSVTTKASSSVQDEIEIEIAEVLYGMMRQPQSQVAPSKQEMNDSIKMDSREINNNKSSASDSKSRISSPPQNSSSSATPVSAVVAPKRKRPRPVKHEDENPAIFGVRSSPVSSISKAESDHPSKMEACSSNSDKNNQGSVPEIPANLAPVQPSPPEPVKPESNTSSDAKVLTEESEKQKDVGLSKEVVPPVSPKKESSVLQAVDDVREDVKATKANLTISESENQLKEKFQIDLMAPPPSLRSSPERVVENNSVVEVEKVKHVMKEDQKSHKMDEVMVVEIEKVKAKAEENEFQRAPIVQKERGIDLQLELEKTDRVDSNGNGNHLNKKQHQNVQRHHHQLQQQTNLEKNVQSNSLPIPMNVPSWPGGLPSMGYMTPLQGVVSMDGTTMPSAAIPPPHLLFNQPRPKRCATHCHIAQKILYNQQIARMNPFWPAAAGSASLYGAKPGSNLSVVPVPSTELHSGNIHGRATNSTQDKGPSLAMFPGHIGKDKSSQPSNVDNSSRKPILLQQTLPSGAAPSNILHGPTFIFPLNQQQAAAAAAAASVRPGSVKSLPVTSNGPPSSTTNSAPPNTSGAGAAAPAPPTMSFTYPNMSGNETQYMAILQNNAYPFPIPAHVGGPPGYRGNPAQAFPFFNGSFYPSQMIHPSQIQSQQPPAQSQQSQQGHPNTTISTGSSSSQKHAQNQQQKANNASGSNGGGSGSLQGFPVTKNPQSQILQLQQQQHRQQLHNHHTSNAARQVESEMGCEDSPSTADSRHNRATMNIYGQNFAMPMQTPNFALMTTAMSGAGSNGNHSEKKQQQQHPGSKAGGETSPAFAMPFPSITGATALDLSSIAQNHSIMQSSHNFQLMATAQAASAQLKKSYHAAEEGKHVVNSSNLEEDRKAISGKIPTTGGQNIAFARSDVADPSMTSIACNNVIDSSGRSLNLGSASSRASASGMPSAINSNAAGSQQQMQRNQQILQLQKQNQFAAAAVAAARNKTPSTSNGSIYSDNLPSTSSISTKFPNAVSAFPQSLVQSSNTVVTQSSQWKNSARVTNTSLSPQTMASPPSSSVKNPPQQQARSQQGHTQISFAANPKSSTPQVQTASSTQSPSPPVMVGSPTNSSMSKNTGSPRTTNSTSTNNKTSQTSSLSSQQPKNSPTMPTRKSSPVGGRNVPSILSGPQITSSNTGSKSQLSQQQQKQQQQQHQQISKQNLQQAQMFFSNPYMHSQVTQSNSPTSTTSATTGPYYLQRRGPEQQMQRQGSGGTSSNGAAANNSKGSTLNTQGLLHPSQFGAMPPSGNHHQFVQAGFYNVQPVPTAVQVKPAEQKQPAGE >RHN50637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8715106:8715738:-1 gene:gene34947 transcript:rna34947 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHPAKFTASSYHSLITRGLWFKSWLGSKGGFYFETYNHNPTKSLQKSSFDPSTTHLHAGYIYRRVRYYECNVHKVILSENPTIRLHNYVVVAISSTRKCLAFIKAGQKFWTYVDDDYFCFSDVIFYKGLVYAAGRWNNIVSFDICNSKDSIYYTDDYFEEALHPYPNGPFDMKTYNVKDGSFSDHCPFEHWFAQMPPSLWVLPPYQWE >RHN77474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7111800:7112972:-1 gene:gene937 transcript:rna937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MKSFTDLGLSLQLVEVCEKQLDWYDPLKIQAQVIPLALEGKDVYAISPPRSGKTGAFVLPIFQALLNAGPNLNPFFACVISSSSYVFHFTS >RHN62095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40694250:40698395:-1 gene:gene24629 transcript:rna24629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GPI mannosyltransferase 2 MFQTKSNLDHHEPLVLKSAIYSRILLITLIIFFRILASPYDTSASLNPPCLTATTTTTPNETHRSPIASSIENGIIWDSVYFIRAAECGYEYEQSYAFLPLLPLFISFFSPHRSLLALSSYLINNLAFVLAALYFYRLSIAILKDPEIALRATILFCFNPASIFYSSIYSESLYAILSLGGVYYFVSGRNNLAVLLLALSGLARSNGVLNAGYVCFQTMHRAYHALFQNKNFTLALQIIIVGAFRSACIFAPFVAFQAYGYYNMCVGRFPDEIRPWCKARVPLLYNYIQSHYWGVGFLRYFQLKQLPNFLLASPILSLAFFSIVHYAKSRPRIFFSLGFDTTIEEKSCDVMFLSEDLSRSKVAGSVEKSSVRIEEHFNVRKRKNVIKGDVSHVPKNSEPAARPGYLSASVLPFVLHLGFMAGTAFLVMHVQVATRFLSASPPLYWFASYIMTYPARYLRWGYLIWAYSAAYILLGSLLFSNFYPFT >RHN69459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43584908:43590346:-1 gene:gene17935 transcript:rna17935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NRAMP family protein MANLIQQQQQQQQQQQHQVMETSSNHEETQKSGWRKFLPYIGPGFLVSLAYLDPGNMETDLQAGANHGYELLWLVLIGLIFALIIQSLAANLGVTTGKHLSEVCEVEYPLFVKYCLWLLAEVAVIAADIPEVIGTAFALNILFNIPLWAGVLLTGFSTLLLLSLQRFGVRKLELLITILVFVMAGCFFAEMSYVNPPASGVLKGMFVPKLAGEGAVADAIALLGALIMPHNLFLHSALVLSRKVPKSVRGINEACRYFLYESGFALFVAFLINVAMISVSGTVCSANDLSGDNVERCNDLTLNSASFLLKNVLGRSSSTIYAIALLASGQSSTITGTYAGQYIMQGFLDIRMKRWKRNLMTRCIAIAPSLAVAIIGGSSGSSRLIIIASMILSFELPFALIPLLKFSSSSTKMGPHKNSMIIITISWILGFGIISINVYYLSTAFVKWIIHSSLPKVANVFIGIIVFPLMAIYIASVIYLTFRKDTVEMFIETKNDTVMQNQVEKGIVDNGQLELSHVPYREDLADIPLPE >RHN47264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38371596:38374424:-1 gene:gene41840 transcript:rna41840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MKPLLHHSSSIIIFFFSLAVLMISITKIPTCLCDNNNIQHTNCNNAFTCESSSISNLKYPYWGGNREKYCGVSGDPKMELVCEGSVPKITINLVKYRILEWDNTTQRLRVARDDYWSGICAVNVRDNIMNVGENPKNSTFGNTLFQRDGSSQVTLLYGCVENQQSMVYGITCGEYTDVLYTVADADSALPCVSSFIIVIPILGTQAAHVVNSNGLNQALKNGFELRWTGNYGECQRCIDSGGVCGNDGGTQFRCFCKDGSNTTSCNSEKATTSRSKRNRRFIVIGASVAGFGVTMFFIIMISCYFKKGIRRQEMTIFRKRRKHVDSNVEVFMQSYNLSIARRYSYTEVKRITNSFRDKLGHGGYGVVYKASLTDGRQVAVKVINESKGNGEEFINEVASISRTSHLNIVSLLGFCYEVNKRALIYEYMPKGSLDKFIYKSGFPDAVCDFDWNTLFQVAIGIARGLEYLHQGCSSRILHLDIKPQNILLDEDFCPKISDFGLAKICQRKDSIVSILGTRGTIGYMAPEVFSRAFGGVSYKSDVYSYGMLILEMIGGRKNYDTGGSCTSEMYFPDWIYKDLEQGNHTVLNGLTISTEENDMVRKITMVSLWCIQTNPSDRPPMNKVIEMLQGPLSSVSYPPKPVLFSPERPPLQLSYMSSSDLYETNSVTVSK >RHN42337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37467523:37472534:1 gene:gene48767 transcript:rna48767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MAWCLKQRMLSPVIFPASVLLRLLSNHSSIAEKLPRFEHHLKPQQQSLNFLVVGDWGRKGNYNQSLVAHQMGIVGDNLNIDFVISTGDNFYKDGLEGVDDPTFYESFVNIYTAPSLQKIWYSVLGNHDYRGDVDAQLSSILRQKDSRWVCLRSFILDGGIVEFFFVDTTPFIEKYFTDPKEHTYDWNGVLPRESYRAELLKNVDLALVKSKAKWKIVVGHHTIKSVGHHGNTQELEQQLLPILKCFFFGLILCTCAYCNSVLHCVTALGSGSFLDLRAPVYLDFGSSGFSFCMSMVWFLFGPSDRNTSTDTCTDTTTFYLPLSVSFYDVTLVFTVSLEKSFSQKVKYAKLQKSFS >RHN42921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41952402:41955633:-1 gene:gene49428 transcript:rna49428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MATVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTNKWVEEVRQERGSDVIIVLVGNKTDLVEKRQVSIEEGDAKSKEFGIMFIETSAKAGFNIKPLFRKIASALPGMETLSSTNKEDMVDVNLKPTVHSSNTEQQGGGCSC >RHN67116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24029716:24032978:-1 gene:gene15262 transcript:rna15262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MMRLRTSFLFISFFILSLGFLEAQKVPAVYVFGDSLVDVGNNNYLNDTFAKAIFPYYGIDFPTKKPAGRFCNGKNAADLIAEKVGLATSPPYLSLASSKVKNKNVSFLSGVNFASGGAGIFKGIDPNYMRSIHLTEQVDYYSQMYEESTKQIEVSTLQKHLSESIFFVVIGNNDIFDYFNSKDLQKKNTPQQFVKSMASSLKVQLQRLYKKGARRFEIAGVAAIGCCPTLRLKNKTECFSEANLLSVNYNENLHSMLKKWQLESKNLSYSYFDTYAAIQDLIQNPTSHGFVDVKAACCGIGELNAEVPCLPSANICTNRQDHIFWDSVHPTEAVTRIIVDRLYNGPSQYTSPVNMKELLHVSIAKSLSPFTFFILLSLLVTCYQTKTN >RHN82704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55798731:55802534:-1 gene:gene6885 transcript:rna6885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MQIYCHWHFQNSVRLISQVITTYSLLFHICKNFEFLEEIILFDCNYLTNIGIASAICERPNLKSFSISFCKIRENQRMYVSSKMISSLRNLKGLTCLDLSSARISDHLLSSLAEQGLPLKRLSLKNSMDYSYAGIFCLLSKCQFLQHLDLQYSFYLNDQDIVGLSLVLGNLVSINLSPCTNITELTLVSLVRNCPFLSEIRSGIGKFCDKNCNCLMDFVVYPQVKSLHLAGNPRLKNESIKMLPSLFPNLQGLDLRMRYCHCYCISEGIVEVLRCCKITHLNLRSWSTLNLLSMNFQVSKLEVLNLAKTKIDDDTLYVISKSFCGLLQLDLERCDHITEKGVRHVVKTAHD >RHN53655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3140589:3145223:-1 gene:gene28584 transcript:rna28584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-KNOX family MQEPSLGMMQGSGGGYGGDGGGENRQLKAEIATHPLYEQLLSAHVACLRVATPIDQLPLIDAQLSQSHHLLRSYISQQTHSLSPHDRQQLDNFLAQYLIVLCTFKEQLQQHVRVHAVEAVMACRDIENTLQALTGVSLGEGSGATMSDDEDEQLQMDYGLDNQSSGGGGDHDMMGLGPLLPTESERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTSVLKNWWQQHAKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHSNSQSVTSLKSKRKRVYCRPSNEMHQEI >RHN60532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27759121:27759600:1 gene:gene22853 transcript:rna22853 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNLYYAYFIPIQLFSNLKHNLHPRRKGRLKRKDQAKRLGHQLQPQQKHHLETQLHHQLQAPLYHHLAPLYHHLLQPTLCHLQATMCQLQAILCQL >RHN56253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29295447:29295890:1 gene:gene31625 transcript:rna31625 gene_biotype:protein_coding transcript_biotype:protein_coding MFIIKPVKSSGGAIVMPPFGGGCGRGGCCAGGCGGGGGCGGGGS >RHN57402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38709431:38709841:1 gene:gene32942 transcript:rna32942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MFFYFHQIEDLWNALLNAWPSLFGPDYTLWSNQWKKHGLCSYPTFDIHQYFSVALYNWGSRNLTDDLGRYGIRPLAYTLEAIEKSVGFTPQLICSNETTFWTSELLEIRLCHERNGIDLKNCTRQHGCPSNFYWLP >RHN71790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2629498:2632162:1 gene:gene7425 transcript:rna7425 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHMGKLNNLQSMLYFIGEEHNGSDLKELAKQNHFMEQFILKAWIMSAILQMLLQ >RHN49098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52388877:52392211:-1 gene:gene43889 transcript:rna43889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MENHHHRELSFLSSSGDFLHNNSIDPPIKEMDFFSSSPNKNNKEDDLDQHGSIIKEVSPLPTLLLTHHDPVNTGLNLMCSSAAVSKEENLENSETEMSILESELRRVQEENHKLRIMLEQITKSYSQLQAQLFITLQKQKPNHGQNMEENHGMVSEQIFLNNNNASVSDGKQACPHDHPAEDSSHSSKLEEPTQDLIPFKKARVSIRARSEAPLISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDKTILITTYEGNHNHPLPPAATAIAHTTSAAAAMLLSSSTSSTLRKESATGYLSNSFPYATMATSTLSASQPFPTITLDFTQNHNLSMHHNRVPLPLFFSHKLPPLLQLGQPPPSSMVESVSAAISSDPNFTTALAAAISSIIGPQRSGDGNNNLAGVVPGSPQLPQSCTTFSTN >RHN52251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33079611:33080759:-1 gene:gene36903 transcript:rna36903 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDTLRCRSKYHMQIISLPIAISNNQHILWCEPPLDILHDNAKGKTATYGFLAKYNKKRMNADKTFRKENVLQKKVNVWSK >RHN54893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13299641:13301651:1 gene:gene29991 transcript:rna29991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamyl-alcohol dehydrogenase MATQPELEHPNKAFGWAARDTSGVLSPFNFSRRETGEKDVAFKILYCGICHSDVHMAKNEWGISTYPLVPGHEIVGIVTKVGSKVEKFNVGDKVGVGYMVDSCRSCQNCVDNLENYCPTFTFTCGAKYRDGTSTYGGYSDSLVADENFVVHIPDGLPLEAAAPLLCAGITVYSPLRYYGLDKPGLRIGVVGLGGLGHMAVKFAKAFGAIVTVISTSPNKEKEAIEHLGADSFLISRDQEKMQSALGTLDGIIDTVSANHPILPLIGLLKSHGKLVMVGVPDKPLEIPVFPLILGRKLVAGSNIGGIKETQEMLDFAAKHSVKPDIEVVPVDYVNTAIERLLKADVKYRFVLDIGNTLKPTQKRSDSPRTRCANVMNHLKSDTNNMHLSRIPAPKKEKN >RHN49334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54087935:54088611:-1 gene:gene44152 transcript:rna44152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein BONZAI MREVQKGQISVVQALLEELPDQFLSFMRSRNIKPLPSQSHFPQASKIH >RHN57458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39291593:39293390:1 gene:gene33004 transcript:rna33004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adaptor protein complex, sigma subunit MCMLTILLKLELSGLIISRGPKLCNFVEWRGLRVVYKRYASLYFCICNDQEDNELETLSIIHHYVETLDRYFGSVCELDLIFNFHKAYFILDEVMLAGMMQETSKRTTLRLIAAQEELVEAAKEEASSLSNIIAQATK >RHN74713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36086651:36092625:1 gene:gene10821 transcript:rna10821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDVVMGVIINFATKTAEFTVKAIGRQFDYKGNLTKMTTDVQHLEGIKDILQHNVDEARRNGEEIENIVQNWLNTVDNTVAEANELIDSEGHAKAQCSMRHFPNLCTRHQLSRKMIKMMQTISEIVAEGSFDKISYRAASQITVTPFGRGYEALESRTSMLNEIILALKDPSIFIVGVYGMGGVGKTTLMKELSWKAKNDGSFGTIVMATITSLPNLQTIRCQIAEALDFKFTKKTEDGMARELRDRITKEKRILVILDDIWGRLDLTELGVPFGNDHEGCKLVVTSRDLNVLICEMRTQKEFRLEVLLEEDSWKLFEKMAGDVVHEFNIKPIAIQVAKCCAGLPLLIVTTAKALRKKQVSNWKDSLNELQRFDQEGLHKKVYSTLELSFNCLESEELKLLFLLIGSFGLDYRYTGPIFVCYWGLGLFRHSHKLADARIRFNRLINDLKASSLLLESEFDRVRIHDYVRDMAKSIACRTRPTYGVKRYTKVNEWPGMDELRKCHQIILTWSYIYKLPEKLVCPKLELLQLENIGDNLEVPDDFFSGMIELKVVSLYGMMFAPSLPSSLLLLTKIRTLNLAGCVLEDISIVAELKSLEILSLERSDITELPNEIRQLTNLRMLNLANCSRLRFIPANLISSLTCLEELYLGNCFIEWDVKGSNDQSKNASLEELRSLSHLTALDIMTQDASVWPRDLLVFEKLERYNIFIGDMWKWSLDWSGNASEPARILKLNDSRGSSILLDRGFNSLLNSAEDMCLAKIHCVRNVLYELNRGGFPQLKHLRLQDSTELQYIINSTGWVNPYPALLNLETLALQNLFNLEKICHGPIPIQSFVKLKSFEVKGCDKLKNLLRYSLVRDLPQLREIKIADCQMITEIISEVDKEIDKIIFPELCSLELESLPRLVSLCAPLTQCIHVPLIDQKVVMPHLELLKLSKINCEKLWDDKLLSHSRMQNLKSLTIDKCGSMRYAFSSSVARELVNLKSLKISNCKMLEDIFVSNSNDEDLLPVLETFEISHMEHLKSVWHNQLAPNSFCKLKQLKIQFCNKLSNIVPSNVLDKLQKLETMTVTDCPNLEVVFETQGLKADGGRQIRLDMQLKTLTLKNLPMLKHIWSGNPNESFKFQNICLLQVIECKTLNHVLPLSMAKELQHLQEIYIEECGIEFIAAHDELADTFPILIFPELTSLSLRDLSQLRSFSHGLHTLDCPVLRHVDVLHCDKLVLFKPKSLNYQEIVPVDTVPLLSIEKFVPNTRELILNRKDVTMLCNGQLNDELIYRVTDLRLRCFHEEADKFPSGFLQKFINLIKLKVTCSSFTYIFSSGSECAGHSETTMKLRNLVLVQLDNLEFICEEKSEVQSVIQNIETLSVTRCSRLKNIVPSSALFENLEQLDVVKCGGLEYIMKSSTITNLPKLRKLCIDFCEKIEVIVASDDENDASELSFMKLGYLRLNNLPRLRSFCKGRHDFKFPLLRTLFVINCPMMETFSNGMLNAPRLLEVRVTPQDDRWNGDLNTTIKKIAVKRNSMDD >RHN82536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54575648:54579674:1 gene:gene6707 transcript:rna6707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative THUMP domain-containing protein MDGAGEEEMKPWEQHSSVIRLPRFDYNAPSSLLTHSHSAFLITCTIKREKSATKEAINLLHNFLLNDDVSSKRRRLDNKDGGGGEVTTDLSLVKLIRNGLVLFIFPQDTFHDTVPIVSNIIQALESRKITLPLWCHRILPIQATCSLNEKELQEVVSMLVKKFVDANQDQLGRPLKFAVGFNRRGIEETKSAKENLNGSNSLLDRNKCFQIVGTAVNSVVKDSVVDLRSPELSVFVEVLPLSGVPNGSIVVAVSVLPSNLVSTKPRLSVKALNSNTKEGSVAQ >RHN40680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19367266:19369708:1 gene:gene46868 transcript:rna46868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MALQVLYVSLFFSFVLLALLVQKVGKKLKKTDTTFNLPKGPRKLPIIGNIHNLLSSLPHRKLSDLAKKYGPVMHLQLGEISTIVISSPEYAREVMKTHDINFATRPQILAIEILAYNSTNITFAPYGNYHRQVRKICTLELLSLKRVNSYQPIREDVLSNLVKLIASENGSPINLTEALFSSIYTIVSRAAFGSKCKGQEEFISVVKQTIKIAGGFNLGDLFPSAKWLQHVTRLRPKIERLHRQTAQILGNIIDEHKEARYGRLKDDEGEEDLVDVLLKYEDGSNKDFSLTKDNIKAIIMDIFGAGGDTSAITIDWAMAEMIREPRIMKKAQAEVREVFKLNGRVDENYISELNYLKSVVKETLRLHPPGPLLLPRECGKACEINGYNIPFKSKVIVNAWAIARDPNYWAEPERFYPERFTDSTIDSKGDNFEYIPFGAGRRICPGSIFGLRSVEVALAMLLYHFDWKLPCGIKSEELDMTEEFGVTMRRKDDLVLFPSVYHPLNSV >RHN39813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10765380:10765892:-1 gene:gene45881 transcript:rna45881 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVVRPRYNITQSHEVTINVVSVVNVYNIMGVETNPLHSLMAFIFFVLLAFLQITYPDNPTAFQIHPKTMIISVASFLLYCFAFWIKLMFATRVDTLMEVFGSLSIVSLVLMFFPENWETLGYFIIYTIWFICHVLVMIRIRPCFIGLRPNMRRRLRSILPTTSIDLN >RHN40738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20103015:20104835:1 gene:gene46933 transcript:rna46933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MHFKVYQYGYFKTVNASKTRWICIGSIFIFDFFGYVDKKSKRKEGKSNDLVESYDIKDLEDDFKGHDIKVFNFTSILEATMEFSPENKLGQGGYGPVYKGILATGQEIAHTNLVQLLGCCIHEEERILIYEYMPNKSLDFYLFDCTKKKFLDWKKRFNIIEGISQGLFYLHKYSRLKIIHRDLKASNILLDENMNPKIADFGMARMFTQLESTVNTNRIVGTYGYMSPEYAMEGVCSPKSDVYSFGVLLLEIVCGRKNNSFYDADRPLNLIGHAWEIWNDGEYLRLLDPSLSDTFVPDEVQRCIHVGLLCVEQYANDRPTMSDVISMLANKYELTTLPRRPAFYIRREIFEGETTSKGEDAITYSTTTISTSCEVEGKI >RHN54210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7822970:7829518:-1 gene:gene29210 transcript:rna29210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP-binding protein Era MKSLRIFKNTLYSTPSPKITTTVFRAFFSAEPLTPEPDPQPEHSDATFDSSHYEIPILNNNDEKPKVSWNKKYRDRTDKLVFGDEPKGRIKFKEEEEERKTKALEKALLEAALSKPEEEEEEEDEDVGVVNEEDQKSLSVGIIGAPNAGKSSLTNYMVGTKVAAVSRKTNTTTHEVVGVLTKGDTQICFFDTPGLVLNCSGFPYKDAKARVESAWSSVNLYEVLIVIFDVHRHITRPDSRVVKLIKRMGERSIPNQKRVLCMNKIDLVEKKKDLTKVAEEFENLPGFERRFMISGMKGAGVKDLTQFLMEQAVERPWEEDPFTMTEEVMKMISLEVVRERLLDHVHQEIPYDIEHRLIDWKELRDGSLRIEQHFLTNKLGQLKILVGKRGSKIGRIGIEANEELRTIFKKQVHLVLQVKLK >RHN59450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10865697:10880109:-1 gene:gene21480 transcript:rna21480 gene_biotype:protein_coding transcript_biotype:protein_coding MEACELGASQLVACIQVVSQQVACALEASQLVACTPEVSLQVACKQVASQLVTCVQVVSQLVACALEASLLEVCKLETSQLVACIQLASPLEVCELEASQLEVCELGASQLVACTPEVSLQVACEQVASQPVACVQVVSQLVACALEASLLEVCEVGASQHVVCTPEVSLQVACKQVVSQLVACALEASLLEVCEVGASQHVVCTPEVSLQVACKQVASQLVACVQVVSQLVACALEASLLEVCEVGASQHVVCTPEVSLQVACKQVASQLVACVQVVSQLVACALEASLLEVCLLGASQHVACTPEVSLQVACKQVASQLVAYVQVVSQLVACALEASLLEVCEVGASQLVACTPEVSLRMACKQAASQLVVCVQVVSQLVACVQVVSQLVACVQVVSQLVACVQVVSQLVACALEASLQMACRRDGALLEVCTQVVSLLEACKWGVFRLVDGGLEVSQPVVCARVVSLCVVYKIGDSQLVVYTREVSHSWQALEG >RHN45861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26366312:26368961:1 gene:gene40283 transcript:rna40283 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMLDAEPVTLYCIFVWNTSIFVMMLIMCSLLCHICLIYLSALMFTHFICQACFIVSETFNLLMFLV >RHN66015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7648722:7652941:-1 gene:gene13924 transcript:rna13924 gene_biotype:protein_coding transcript_biotype:protein_coding MILTRTREEADTVNFIYYNGLEKRSGEDHLLHNITKVRYLHFSYASMCNSQQIHSAKHCTNFLKWENMETSTATGNGHLDQKYVNDRVLCVMM >RHN81273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44488714:44491328:-1 gene:gene5289 transcript:rna5289 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVVFSLMVYSRESKSDNHNTPSLEKEWNVLELRILVAVSLFLQMVLIFLGNRRKHIVDKRLKLLIWFTYLSADWVATVALGILSKDTKDHKTDTNFVIMAIWAPFLLVHLGGPDTITAYSLEDNQLWPRHMLELLYQLAVAVYVVYRSWNTNPLKYVTVPIVMAGIIKYGERTWSLRSGSSDGFRESILPPPDPGPNYAKFMDDYTAKKEEGYHVTLDEINETTPLVLDPNSQGGTKIPNTKIPDCQALRDGFKFYNIPECLFADLIFSFQDHKSSQYFFQDSNWKDAFKAIEVELGLIYDILYTKAVITYSYRGIFLKSVSFFCTLSALIAFCFLHKHNVYELDDEGYKHRYYDLVITFVLFVGAILLEIYAVIVLLSSSWVMNWLSKHTNRRVDLLYRFISFCQICFKLSHTIRWSNQMSQFNLIRFCLKDEPVKCIKLQKLLRIYEFFEKSYYQKTKQVSEGLKELIFDQLKDKSKEAEDIEACKTLCAHRGDRVLNRWRCDNVCEINQSIKEVEFDQSILLWHIATDLCYSSDDDESQNINHIALQSREKSQLLSDYMIYLLVVCPFLLPNGIGQIRFEDTCAEVDELLKERKYIKERSQVCKMILRVNTDILPSEVKGDRSKSVLFDACRLAKSLQSLETEENWSKERKWEMISHVWVEMLCHAASQCRGLQHAKQLTQGGELLTHVWLLMAHLGITEQFQISKGHVRAKLKLS >RHN40758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20425425:20428575:-1 gene:gene46955 transcript:rna46955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant organelle RNA recognition domain-containing protein MLRRNVPFLTTNPPPITTTIRQKSSGGRRPKKKTYHRVPELDRVMSLRKKPSLILELKTLIQSQPKNNPLFLRDLEKNIGFVRKWDFMTLIEKHPTIFRVTGSPPFVNLTDKAERVANEEAEAKVLMEPILVNNLRKMLMLCVDCRIPLETVEFVGNEMGLPCDFKECLIGKYPQFFSIKRFNGRDFVYLEDWDSTLAVTAREARLAQEGIVNAKPNDDKKKVKISKDGNYAGPYAFKVNFPAGFRPNVNFLEQFERWQKLEFPSPYLNARRFDASDPKTRKRALAVIHELLSLTMERRMTSAQLDAFHAECYLPSQLLLCLIKHHGIFYLTNKGVRSTVFLKDAYVGSNLIDKCPLLQFNDKFVALCGRGNVDLCNSKSSLQAVL >RHN47697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41688698:41689889:-1 gene:gene42323 transcript:rna42323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MVFKLENIASRLEYILKFKDILGLQHIASDHSWRTPSTSLDAGCNIFGRDKDKEAILKLLLDDGDDNDKTCEIPIVSMGGIGKTTLAQSVYIHDSIKKKFGVQAWLAGKKFLIVLDDVWTEDYDSWNILIRPFQCGTKGSKILVTTCIENVATMVQTFQPYHLKILSDEDCWSVFANHACLSPEKSSENMDIQKYAKEIVRKCKGLPLAAQSLGGLLRGKRDIRDWNNILNNNIWENEYDLILLWMAEDLLQPPEIGKTLEEVSYGYFNDLASRSFFHRSGSGNESFVMHDLVHDLATLIGGEFYFRTEELGKETKIGTKTRHLSYLASSVI >RHN65636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3960453:3963450:1 gene:gene13500 transcript:rna13500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAAAFVGEAFLSASVEVLLNKIVSNEFLNFFHSKELDVSLLKKLKITLLSLQAVLNDAEEKQITNPAVKEWLDELTHVVFDADDLLDEINTEALRWKIEGCPQSQTIIDQVIYLYSSPFKRFPEAIYSRIHELFQRLEHFALQKDILQLKQGVSNSIWYGNPTSSVVVDESSICGRDDEKKKLKEFLLLEDGSVSGSKIGVISIVGMGGLGKTTLAKLLFNDHEVEDNFDLKAWAYISKDFDVCRVTKVILESITFKPVDTNNLNILQVELQQSLRNRRFLLVLDDIWDGSYVDWNNLMDIFSAGEKGSRIIVTTRDESVARSMQTSFPIYHLLPLASEDCWSLLAKHAFGPYNCRNRSNLEFIGKEIVKKCDGLPIAAVALGGLLRSELSENRWNKVLKSNIWDLPNVKVLPALLLSYHHLPSPLKQCFTYCSIFPKNFILEKQMVVQLWIAEGFVHQSKSGKTMEEVADEYFDELVSRSLIHRWSVNDCVHYKMHDLINDLATMVSSSYCIRYGDRKLQESVERVLHDLLSEIRPLRVLSLSYYLNITDLPQYLGNLIHLRYLDLSNTKIQRLPYETCKLYNLQTLLLSRCWLLIELPEDMGNLINLRHLDICGTNLKYMPSQIAKLQNLQTLSAFIVSKSQDGLKVGELKNFTNLQGKLSISKLQNVTDPFEAFRANLKSKEKVDELSLEWDYGATLDTQIERLVLEQLQPPSSLKKLTIKSYGGTSFPNWFGDSSFAHMVYLCISDCDHCWSLPPLGQLLGLRELYISGMKSVKIVGAEFYGSSSSSSLFQPFPSLQVLRFRDMPEWEDWNLIGDTTTDFPNLLHLSLKDCPKLKGTLPINQISSTFELSGCPLLFPNSMLYFTENIPTNFHSSLVLNCTNLILDLTLSRIPSSASFPRDGLPTTLRSLTLRDCENLEFLPHESLFVIH >RHN76578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51390114:51393724:-1 gene:gene12926 transcript:rna12926 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGGCGVAETSNNLFIHCNFFGSVWFHIFRWLGVDVVMPFEAADHFIQFSSVGGIARTRCSILQVIMFTTVWKIWKERNNMLFNDKVCSVVQIVDKIMSQTFMWLKGKYASLPFNYHGWWLSPFTMLGIG >RHN43935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49565020:49568666:-1 gene:gene50573 transcript:rna50573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin, tetratricopeptide-like helical domain-containing protein MDIGKVSELKEFIESCKSNPSLLHTPSLQFFKSYLLSLGARIPPQPKTEPVVDDDDIIESDIEFDNTDDVVQPDNDPPHKMGDPSAQVTDDQRDAAQLSKSKAIDAISQASVFIKLKKPNAAIRDSDTALKINPDSAKGYKIRGLSRAMLGLWTEALTDLHVASKIDYDEEIAMALKKVEPNAHKIEEHRKKYERLRKQKEQKRAQPKKQPQNQAQDKDALSALKDGQVIGVHSVGELETKLSAASKTSRLLVLYFTATWCGPCRYISPLYTSLAEKYQRVVFLKVDIDEAVDVAARWNVSSVPTFFFVKNGKEVDSVVGADKNTLERKIAQHSGPL >RHN69347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42789259:42792421:1 gene:gene17799 transcript:rna17799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MKRLNNTSDSSSTPLITISPSTEEHSPRNKHVYGMEFQSMMLDGFEEEGCVEETGHHSEKKRRLRVDQVKALEKNFEVENKLEPERKEKLAIELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKANYDALKLKFDAIAQDNKAFHKEIKELKSKLGEEEKSTINVLVKEELTMLESCDEDKHNPSSETSNPSSESKDHLDYDCIINNNDVGIGETSSLFPVDLKDGSSDSDSSAISSSGVLQSQQHLLLSPESSSMNCFQYQKSYHVKMEEHNFLSADEACNFFSDEQAPTLQWYCPDQWS >RHN70338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50729243:50731304:-1 gene:gene18908 transcript:rna18908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MDDSSDRFPIGMRVLAVDGDSTHLSDLETRLRSCQYHVTTTSQAKTALTMLRENKDKFDLVIADVHLPDMDGLKLLELVELETDLPVVVMLSESSDNELVMKAVFHGASDFLVKPVRLQELKTIWQHVIRKKKDNEDLSAQKKSQSVWSVELHHKFVAAVNQLGIDKAVPEKILGLMNVENITREDVASHLRAIF >RHN39575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8362559:8374359:-1 gene:gene45613 transcript:rna45613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Sec10 MREPRDATKTDLKTAKSASSAASFPLILDIDDFKGDFSFDALFGNLVNELLPSFKLEDLEAEGADAVQNKYSQVATSPLFPEVEKLLSLFKDSCKELLELRKQIDGRLHNLKKDVSVQDSKHRRTLAELEKGVDGLFASFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIGRHGITAPSAVGNATASRGLEVAVANLQEYCNELENRLLSRFDAASQKRELTTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNADTRLVLGDQAAQSSPNNVARGLSSLYKEITDTVRKEAATITAVFPSPNEVMSILVQRVLEQRVTALLDKLLVKPSLVNLPSMEEGGLLFYLRMLAVSYEKTQEIARDLRTVGCGDLDVEGLTESLFSSHKDEYPEYEQASLRQLYKVKMEELRAESQISDSSGTIGRSKGATVASSQQQISVTVVTEFVRWNEEAITRCNLFSSQPSTLATLVKAVFTCLLDQVSQYIAEGLERARDGLTEAANLRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRSGSSVAIIQQYFSNSISRLLLPVDGAHAAACEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYKSPDDGMAPDHRPTNACTRVVAYLSRVLESAFTALEGLNKQAFLSELGNRLHKVLLNHWQKYTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGILANVFIVAPESLSTLFEGTPSIRKDAQRFIQLREDYKSAKLASKLSSLWS >RHN50698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9566349:9567194:-1 gene:gene35020 transcript:rna35020 gene_biotype:protein_coding transcript_biotype:protein_coding MERGIHDTMDDLKKSEPRHATKEPSSGSIAHDLDSNNYNISPLLNTSSQHKQNQEAKKRKSYSHACSISSSSFHATTSTTHSSKSSSSTSSNKLVGLKYSHLTTNAISPSKKNPSHSNIFIDLYKKIKASLSKPISVIRRKCSCFDKNSLQVKENTSKPKASSSTTLPTPQIQALPQNEITINDVNQEKTPKDKLTTNDEDDDHDHEEEKEEEVRDSLHVFQPTSLPKSNVNDEDVASDSSSDLFEIEGFSTQATTLEYPTKPSMIECQETTTATNVLCDT >RHN62886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46492076:46493408:-1 gene:gene25519 transcript:rna25519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MTGVEMTNVHADSVLISSDNIVEIAPNADNSNGESQVEPSSEFYEGTDFASFEDAKNYYTRYARNKGFSFRLGRITKSRTDGLIIGQEILCSKGGVST >RHN65015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63663426:63671070:-1 gene:gene27905 transcript:rna27905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diphosphate--fructose-6-phosphate 1-phosphotransferase MAPSFALDGGSDANRAPPPPSVTGRFASVYSEVQNSRIFHTLPLPSVLKTPFTIIDGPPSSAAGNPDEIAKLFPNLFGQSSAMLVPGGSETVQLNQKLKIGVVLSGGQAPGGHNVISGIFDYLQDRAKGSTLYGFRGGPAGIMKCKYVELTSDYIYPYRNQGGFDMIRSGRDKIETPEQFKQAEETVHKLELDGLVVIGGDDSNTNACLLAEYFRSKNVKTHVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKLTLKNVTDYMVDIISKRAEDNYNYGVILIPEGLIDFIPEIQHLISELNEILAHETVDEGGLWKMKLTDQSLKLFEFLPRSIQEQLMLERDPHGNVQVAKIETEKMFIQMVETELEKRKQEGTYKGGFKGQSHFFGYEGRCGLPTNFDSTYCYALGYGAGALLQSGKTGLISSVGNLCAPVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEEAPFKKFASLRDEWALKNCYISPGPIQFTGPGSDAISHTLLLELGAQA >RHN44399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4232106:4233308:-1 gene:gene38517 transcript:rna38517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAFFGKMGKLLKNSAIQHINHDLSMSTPLAFQAIRSMSSAKLYVGGISYSTDDAGLRESFARYGDVLDARVIIDREQGRSKGFGFVTFATSEEASAALQAMDNQVLSVFVMIHLAKF >RHN50669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9261933:9262115:-1 gene:gene34987 transcript:rna34987 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHGFVFYGLKTPHMAMHLYWLAFDFSCLSSALEGISFLTLEPWSCCFMVPLVCSLFNI >RHN39611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8672258:8674976:1 gene:gene45651 transcript:rna45651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MTTLFPPTLDHVPVGFRFRPTDEELVNHYLKKKLLGNDSIVNNVIAEVDVCNYEPWDLPAFSVVKSDDPEWFFLCPIDYKYAKSKRFKRTTNTGFWKATGKDRKIKVRGSNNIIGIKKTLVYYNGRVPGVKSSWVIHEYHDTTFEDDKRTFVLCRLMKKAEKKAEKEAEADIHDEGEPSRHMSSDHESQEIFEGIPDVSETLPEINMESIFQAQEENFFPFSPQQSSISENEQEVSIPNSRLHDAYFRNDNIIVQSPFKTIEEEDKFISSMIIDGDLDSGHVQSESLKMVYYGSSDTDAEVVSTPCGDILDTSTAFLEHPSSGEYCASKLLKSTHGNVHGSTCFQSLKNGEIEDNNESTFQDYFGGLEASSCDSTADIPLEMINCIKISSSPSTLRKHKNQYHPRPAIFMSKRAASKRS >RHN64576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60154840:60159377:-1 gene:gene27404 transcript:rna27404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 12-oxophytodienoate reductase MAEYNNSSSTTQVQGGTTLFSPYKIANFNFSHRVVLAPMTRCRALNGIPNAALAEYYSQRSTPGGFLISEGTSISPTAYGFPHVPGIYSEEQVKAWRNVVDAVHAKGSIIFCQLWHVGRASHSVYQPGGAAPISSTAKPLLEKWRILRPDGSYGAYPEPRALTTSEIPEIVHHYRQSAINAIRAGFDGVEIHGAHGYLIDQFLKDGINDRTDEYGGSLENRCRFLIQVVRAVVSGIGAERVGVRISPAIDHNDAIDSDPLGLGLAVIERLNNLQKELGRKLTYLHVTQPRYTAYGKTEYDKEEEEAHLMRNLRKAYEGTFMCSGGFNRKLGMEAVVQGDADLVSFGRLFISNPDLVLRFKLNAPLNKYNRKTFYTQDPVIGYTDYPFLTKGNQTQELNARL >RHN58511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2375179:2378915:1 gene:gene20430 transcript:rna20430 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFHSKTTSIQLIIIFIIIIIIISFTHSNSIKKTFPMATLSPFLYSLFNASNSKTIITTSNKNFNTHSCFLSLHSNKPKTTSFKLFSSLKDENETNSSPVSIAPKKQDNISNNNNDDDVGRETNEDEKEQQEMDWKTDEEFKKFMGNPSIEAAIKLEKKRTDRKLKELDTESSKNNPIVGVFNNLVRRNLILEKERLEKVEETFKALDLNKLKSCFGFDTFFTTDVRRFGDGGIFIGNLRRPIDEVIPKLEKKLSDAAGREVVLWFMEEQKDGITKQVCMVQPKAEMDLQFESTNLSNPFGYLSAIALAVTTFGTVALMSGFFLKPDATFDDYLANVVPLFGGFLSILGVSEIATRVTAARYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYLTSLVLAVAAFVADGSFNGGDNALYIRPQFFYNNPLLSFIQYVIGPYTDDLGNVLPYAVEGVGVPVDPLAFAGLLGMVVTSLNLLPCGRLEGGRIAQAMFGRSTATLLSFGTSLLLGIGGLSGSVLCLAWGLFATFFRGGEEIPAKDEITPLGESRYAWGIVLGLICFLTLFPNIGGTFSNSFLSDPYFRG >RHN39362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6426217:6429990:-1 gene:gene45377 transcript:rna45377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 9S-lipoxygenase MTSLNMVTKLKGTVVLMKKNALNYKVIGGNTVVENEGDTVRPTLLDTSVGFKLISASKADATGKGKVGKETFMDGFVTSIPNLGDIQNAFSIHFEWDPNHMGIPGAFYVKNFTQDEIFLVSLTLEDVESHETTNFICNSWIYNAEKYQTERIFFANKAYLLRETPAPLLYYRQEELNTLRGDGTGERKEWDRIYDYDVYNDLGQPDQNPCLYRPVLGGSTALPYPRRGRTGRKHLEKYPETESRSNYFYIPRDELIIPPKSSDFVVNTIKSISQYETPQLRSLVRLQNDQVEFNSFDDVLSLFAGEHPKFSTPLVIQEDRTAWMTDEEFAREMIAGVNPNVIKKVGNTTTINKKHFEPYMQDGVSVEQTIKDLRLYVVDYQDAILPYLRKVNANGAAKAYASTTLLSLQDDGTLKPISIELHVPHPAGDGTVTTIYTPASEGVDASIWHLAKAYAVVNDACYHQLISHWLNTHAVVEPFIIATNRHLSVVHPIHKLLLPHYRNTMNINANARDTLIKAGGIIESTYLFGSYSMELSSEVYKDWVFQDQGLPNDLIKRGVAVKDPKSPHGLRLLIEDYPYAVDGLEIWAAIKSWVDEYVNFYYKSDKDVKDDEELKAFWKELVEVGHGDSKTDKWVKLETRTELIETCTTLIWIASALHAAVNFGQYPYGGYIVNRPTKSRRFMPEKGTPEYDELAKDYEKAYLRTITPKTDTVVNISVMEQLSTHVSDEQYIGHRIEGDLWTYDSEPVEAFKKFGKKLAEIEQKLIERNNDESLRNRNGPVKMPYTVLYPSSEPGLTFRGIPNSVSI >RHN58484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2136786:2137780:1 gene:gene20402 transcript:rna20402 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSFFVEETNVGVGLPSYYPSLAPLSQFFNPGQQHPNPLPSQHQLSQTIQNNAAPQPNLPSVSALPQIPIQNISQNSNTQQPGQDSMSNTIGQNSNVQSMFPDSQRQMPSRQQVVLQQQQQQSLNQQQILHQQQLLNYKLPQIGQNLLQPNQLQSSQQSAMQTSLAMQPLMMQSSLSRLLQNQQQILYQQQLMNYNLSHMQQQQHQQQQNILQANQLKSSQQSVMQTSSAMQSSLQQQQILYQHQLLKHKLSQMLQQQHQQQLMMTTMMIMMTLMMMVVMMMMI >RHN64673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60904800:60905309:-1 gene:gene27514 transcript:rna27514 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSASLLIVSMLILGISLLSDAVAPVNSPAVSPTSNSPSPSVVHSPTMSPAVNAPAPSATNTHAPVPSPTSTNAPAPSTASNNAPAPSATNTHGPAPSDTTSNHAPAPSATSTHAPAPSETSTAHASAPSVTKTHAPAPSPHHSGSARLSGYVGINVVVALVLGSFVF >RHN41094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26929126:26929668:1 gene:gene47382 transcript:rna47382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative receptor protein-tyrosine kinase MTSLRKLNVGSNNLNSKIPSSLWGLTDILMLDLSSNAFIGDFPPDIGNLRELVILDLSRNQISSNIPTTISSLQNLQNLSLAHNKLNGPIPTSLGKMISLISLDLSQNMLTGAVPKSLESLVYLQNINFSYNRLQGEIPDGGPFKNCTAISFMHSGPLCGNLRLQVPPCGKNRMSMAKKI >RHN40660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18971173:18971667:1 gene:gene46846 transcript:rna46846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNRSLRHAGGFMIPCSNIDNVLVLDDSFVANNLLSSSSRTRLVNHRPNVSAASLNRINGGGMMLDDHILLFDHSSLGSNNMGHHFPFELSHATHQNSTRQHHETTTTWSSEQESMCCICLNELSNGSSVVQMPQHCCSHVFHKDCIRKWIGVRSTCPLCRRNVY >RHN75857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45578831:45581648:1 gene:gene12112 transcript:rna12112 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEASHKLKFCGDDDGNLHVKWLTSCEEKTSHSDVTKHVSCQDFESEDYDVDDVHLSPRFSPISCLETYSCKCDDSRDVTTLPVKRLLNQPSSLNISVKKSKVSPYHDHNEDNDDILLSNIIESPGESADESFSLLKKELRLLEKLIMECKRKRHVEEMRLQCMKREIEECWKELVNKKKQVGCVRRINEIHDKMLGKVESKEEGLKALTQKVAKCNMELESKEKELDSMKILVSRQAEILESERKKLLKVISIRQNDPHARMKDFASKKKRFEDQVKELESKQKQVEGRAMELNSKEMQLEVRENEFKSKLEKFEGQEKELVSKQKHFESRLKELESKENHLEGRVKASESREKQLEAHVKQFKSKKGELEYCIKQIESKKKLFKNWVKELESKKKQVEGRAMELESKEMQLEGRKKEFESKEEKFEGQMKELEFKKQHIQSQLKELESKENQLAGRVKEFEYKERELVSKQKHIGSRMKKLDSKVKQHEARVKEHDLKAKVLEGRVKELESKKKYFDSQVEDFKSKDKQFDERCKELKSKENRFKVKVKEFKLKEKQIEGQVKELQSKSIKFDGQAKEPELKGKQYESGFVENLIKRQEYDEAVRFSHAYNFSNSNQLVDLFEEHVQNLKLISESICKGTNSIEIKEKAKDQEVASLIAVLQCIVDNNLESQDLLNKIDDRIIELQ >RHN61950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39582786:39585810:-1 gene:gene24466 transcript:rna24466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAALTMRAAAAVAVPRSFCRLFCTNPIASSPAFPSFSSSPPSARQMAEPSTNLFVSGLSKRTTTETLREAFQKFGEVVHARVVTDRVSGYSKGFGFVKYATLEDAAKGIEGMDGKFLEGWVIFAEYARPRPPPGEAVNNTGRPY >RHN81355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45157471:45159979:1 gene:gene5377 transcript:rna5377 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTESMVEIGKKVATTIRKRSIEMVEALYYLNQGTARLL >RHN54446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9662296:9664770:1 gene:gene29489 transcript:rna29489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MFTMSSLSCASILLLSLIMLYSCFATEVTYDSNALIINGERRLIFSGAIHYPRSTVEMWPDLIQKAKDGGLDAIETYIFWDRHEPVRREYNFSGNLDFVKFFQLIQKAGLYAIMRIGPYACAEWNFGGFPSWLHNMPGIELRTNNSVYKNEMQNFTTEIVNVVKEAKLFASQGGPIILAQIENEYGDIMWNYKDAGKAYVQWAAQMALAQNIGVPWIMCQQQDAPQPIINTCNGYYCHNFQPNNPKSPKIFTENWIGWFQKWGERVPHRSAEDSAFSVARFFQNGGVLNNYYMYHGGTNFGRTAGGPYITTSYDYDAPIDEYGNLNQPKWGHLKNLHAAIKLGENVLTNYSARKDEDLGNGLTLTTYTNSSGARFCFLSNNNNTDLGARVDLKNDGVYIVPAWSVSIINGCNQEVFNTAKVNSQTSMMVKKSDNVSSTNLTWEWKVEPKRDTIHGNGSLKAQKLLEQKELTLDASDYLWYMTSADINDTSIWSNATLRVNTSGHSLHGYVNQRYVGYQFSQYGNQFTYEKQVSLKNGTNIITLLSATVGLANYGAWFDDKKTGISGGPVELIGKNNVTMDLSTNLWSYKIGLNGERRHLYDAQQNVSVAWHTNSSYIPIGKPLIWYRAKFKSPFGTNPIVVDLQGLGKGHAWVNGHSIGRYWSSWISPSDGCSDTCDYRGNYVPVKCNTNCGSPSQRWYHVPRSFLNHDMNTLVLFEEIGGNPQSVQFQTVTTGTICANVYEGAQFELSCQSGQVMSQIQFASYGNPEGQCGSFKKGNFDAANSQSVVEASCVGKNNCGFNVTKEMFGVTNVSSIPRLAVQVTC >RHN52252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33089652:33097563:1 gene:gene36905 transcript:rna36905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoethanolamine N-methyltransferase MAHLEAMKQGGEDEREVQRSYWKEHCVNLSVESMMLDSNASHLDKEERPEVLSLLPSYEGKSVLELGAGIGRFTAELAQKAGQLLAVDFIESAIKKNENTNGHHKNVKFMCADVTSPNLHISEGSVDLIFSNWLLMYLSDEEVKNLAERMVKWLNVNGCIFFRESCFHQSGDSKRKYNPTHYREPRFYTKVFKECHMSDDNGNSFELSLVGCKCIGAYVRNKKNQNQICWIWQKVKSQDDRRFQRFLDSVEYNHKDILLYEHVYGHGFVSTGGLETTREIVAKLGLKPGQKVLDVGCGIGGGDFYMAENFDVEVVAIDLSINMISLAIERAIGLKYAVEFECVDCTKKSYPDKTFDVIYSRDTLLHIKDKPTLFRSFYKWLKPGGTLLITDYCKSVGSLSVEYAEYIKKRGYYIHDMKAYFQMLENAGFDDVIAVDQTNLFLKTLQMELNALENKKVDFIDDFSEDDYNEIVERWKAKQMRGVAGEQIWGLFIAKKK >RHN50311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6062137:6063352:1 gene:gene34583 transcript:rna34583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MQTREVTTGLNYMLPSNTSPYLQNYNNMIQNNNISSYQLQKFSNQIYGNYLNTPHQQFPDFNYSPQSSCISSNSTSDEADEQNLSLINERKHRRMISNRESARRSRMRKQKHLDELWSQVLWLRNENHQLIEKLNHVSENHDQVVQENAQLKEEALELRQMIKDMQIHSPLIPSFSPLDDTYLRDDSSNNSISSSMDLLG >RHN48054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44602374:44603359:1 gene:gene42725 transcript:rna42725 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVENFKMKKIEIFKIMAMALTLLAIVPKIESEGRPTTPTNQRPLCASQFALVNYACGRLPFTPGVPPAPLEPPPAPDDDGGDDDDGGDDDGGNDEGHKNHHRDHGHGHGHGHKHGHKHRRHQTAEQENCCRWAREVDNQCVCELLVRLPPFLVRPLHLYTLNIGEDCEITYSCGGPI >RHN65225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:356374:357288:1 gene:gene13044 transcript:rna13044 gene_biotype:protein_coding transcript_biotype:protein_coding METIETPTSKSTRKRLAASLEGLDSINIEGGEIFATKEYKMVA >RHN43170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43717965:43726926:1 gene:gene49706 transcript:rna49706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain, CBS domain-containing protein MNGLTRRNSGTHRKPPSMSKKFENGIHSEPSSKPSSPPSLESDGGERTVKKLRLSKALTISEGTSVSDACRRMAARRVDAVLLTDANALLSGIMTDKDIATRVIAEGLRPDQTMVAKVMTRNPLFVTSDTLAIDALQKMVQGKFRHLPVVENGEVIAILDITKCLYDAISRMEKAAEQGSAIAAAVEGAERQRGSNASAPNALLDTLRERIFRPSLSTLISENTKVAIASAADPVYVAAKKMRELRVNSAVIVSLSGTKIQGILTSKDILMRVVAQNLSPELTLVEKVMTPNPDCATLDTTILDALHMMHDGKFLHLPVVDRHGYVAACVDVLQITHAAISLVESSSGAVNDVANTIMQKFWDSAFALEPPEDSDSHSEVSALMTSDGADTTKSAYHSMGFGNSFPFKFEDLNGRVHRFNCGTEHLDELVSAVMQRVDINDRERPAIVYEDDEGDRIVLGTDNDLVSAVSYARSAGVKALKLYLDFGNSAKPTTLQSSTSTIQKSSVLSRRSSILAGAIVVTSIGVLVYLKRSK >RHN50260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5622725:5636759:1 gene:gene34530 transcript:rna34530 gene_biotype:protein_coding transcript_biotype:protein_coding MWITLSLGIQILVRHIMGYYLFARPNIEANHDNKKLLPSPVVVGTVYCDTCFQQAFSLNSHFISGASIAVECKIGKTKPRFYKEVKTNEHGEFKVKLPFLVKKHVKRIKGCNFKLLSSNEPNCAIASTSTSSSLSLKKKLQQEHIFSAGIFSFKPIKKPKFCDKKHSIHNLKKQHSYVKNLEQSKFSSKNKVPNEVEDFFFFPPNPFFPPPIIPNPLQPPPLIPNPLQPPPLIPNPFQPPSPPLIPNPFQPPSPPLIPNPFQPPPSNPPLIPNPFQPPPASPPPLIPNPFQPPPSSPPPSFPFPPIVIPGLTPSPPPPPPPKSIFPAPLLPFPPLFPPPLSPGSPPTSSSNFSP >RHN38908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2750652:2751933:-1 gene:gene44885 transcript:rna44885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MVLKEGEGDDCPNEGALVKLKLIGKLQDGTVFFKKGYSDGDEVELFEFKTDEEQVIDGLDRAVLTMKKDEVALLTIAPEYAFGSSESQQELAVVPPNSTVYYEVELVSFVKAKEVSDMNTEEKIEAALEKRQEGVALVYAAEYARASKRFQKVTRLH >RHN78365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14440020:14441650:-1 gene:gene1910 transcript:rna1910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nicotinamidase MSSSVKKSSSSSYEKYEVRKRNPNPQTCALLVIDMQNYFSSMASPILANLNTTITLCRRASIPVIFTRHCHKSPSDHGMLEEWWFGDIIMDGSIEAELMTALDRSGEDMVVEKSTYSAFRNTGLEEKLKEMGVDEVIVTGVMTNLCCETTAREAFIRGFRVFFSTDATATSDLDLHEATLKNMAYGFAYFLDCHRLKHALTGE >RHN63569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52291284:52293039:1 gene:gene26285 transcript:rna26285 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTVITHNQMESSNRIRRKCGRWVIYGSMEMRERHAEEEENKTEDLL >RHN77448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6916611:6918142:1 gene:gene907 transcript:rna907 gene_biotype:protein_coding transcript_biotype:protein_coding MHFDCSISTTLGHNLCHTMKTYANVPPRETPKMRLTHLHSKSVVYWPFHIHVSQYILLLSM >RHN64052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56189863:56190826:1 gene:gene26830 transcript:rna26830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterile alpha motif/pointed domain-containing protein MDWFSWLSKTTLDHSLIYDYGLIFSRNELQLEDATYFNHEFLQSMGISIAKHRLEILKLVKKEQELDQPLRPKNLSGTIKKCLKKCMSVFRDDSVKKNMPLPVVLHEPNWYQGQKWRGALVKEEKHQRPIYRSRTMPYSGPLDGRMHEQKMVSNNKALKLSGPIDGRLMNERMMIYTNRSPLRSRAIDGRFTKSPISGPLDAKIMFENRSPTITRASVETDSPMGYSSPYNKPKGDFDFDDEHSLWPTLFQDLNPT >RHN46159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29275005:29277014:-1 gene:gene40602 transcript:rna40602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MFLKLVFMLFFLVTLVASKDNSFIYNGFQSSHLYLDGIAELTPDGLLRLTNDTEHEKGHAFYPNPVVFKNTISESVSSFSTTFVFAIRPQYPTLSSHGIVFVVSPTKGLPNSKASQYLGLFNQSNNGNSSNHVFGVELDTLLSSEFDDINDNHIGIDINDLKSANSTPAGYYDSNGQFKNMSLFSGYPMHVWIEYDGEKKKIDATLAPINVGFNVVKPTRPLLSFTKDLSPILNTSMYVGFSSSTGSILSSHYVLGWSFKVNGQAQNLDISKLPELRTFAPELRILDDKKKSKILTVGLPLSLLSLLFMITLGIMYYIKWKKFAEILEDWEQEYGPHRFKFKDLYFATKGFGEKGLLGVGGFGRVYKGVIQSSKLEVAVKRVSNESRQGMREFVSEIVSIGRLRHRNLVQLHGYCRRKRELLLVYDYMPNGSLDNYLYKQPKVRLNWSQRFRIIKGVASGVVYLHEEWEKVVIHRDIKASNVLLDSEFNARLGDFGLSRLYDHGADPHTTHLVGTIGYLAPEHIRTGKATKFSDVFSFGAFLLEVVCGRRPIGRVGENESLILVDYVFECWKRGEILEAKDVYLGTNYVSEEVELVLKLGLLCLHSEPLVRPSMRQVVQYLERDIALPDLSSLSLSSSGLTFGYQECVEDKTMPYTSVSIAESVLSGGR >RHN50578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8235374:8239899:-1 gene:gene34881 transcript:rna34881 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDLSPRSSKRRRITNPYSMDKIAEMHEKFGREIRVFETMSLSASPNDAANKEEETEEFYEFTAEDYYKILATKKEDNFLKTRKLRDADLAARRSRITKAVIRVRFPDNHTLEATFHPSETIQSLIDLLKKVIAQPEKPFYLYTTPPKKLVKDISQDFYTVGFCPGAIVYFSYDVSKGDSSDDGPYLPEEVMSLKGLNFSDDKGQSSNPAQSEPVSAEPVVQPPAEERKPAEKKLVKPKWLKM >RHN78763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18130136:18132086:-1 gene:gene2412 transcript:rna2412 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKPDTEATRFFPHTLSLSLSRITLSSLFSHIITSSFSNFSFKSSIFFHKSNTHKKHYISELSYLMTQVLFGRILGVRVVLEEDEKYPFYGSGSPRLLEI >RHN56733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33289615:33290292:1 gene:gene32173 transcript:rna32173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MAEFPLDPMLSKMIVASEKYKCSDEIISIAAMLSVGNSIFYRPRDKQVHADNARMNFHTGDVGDHIAHLKVFNSWKEANYSTQWCFENYVQVRSMKRARDIRDQLAGLLERVEIELTSNPNNLDAIRKSILSGFFPNSAKLQKDGTYRRFKHLQTVHIHPSSGMAEVIPRLVLYHELVLTTKEYMRQVTEIKPEWLLEIAPHCYDPKDVGDSSSKKMPRGCGLAL >RHN52927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40432038:40432976:-1 gene:gene37664 transcript:rna37664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MLINASSRMNLSNGLRLPSSSRMNFSNGVRLRSSSHPCKSTHDVGVFYRSLDYGLSRVIEGHKPVLPIRCLGQTDGVSDADFYEHFETWCKKYGKTYSSEEHKRYRFKLFKENYPIKPPHNNYLSLQMLNENSNEEDHPNNSSHPLTIEEAIQKYGYGLCPYEYDFLPAPQPGTMYLYNNGNPLIPLDSDLAKYLQIYQFFERIEMDGDNLNSWKMKVTEESMSKGKNVLNFPKKISKRFLIDNPPYMNVIDQEFGVHFPCEVKSSGGDVNEKFLCEGWDDFLRAKRVKEGDTLIFPMSKNGGMMLVQKNST >RHN82062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50982477:50983010:1 gene:gene6179 transcript:rna6179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MNSSRRTGPLIDDARVLVKDVEAVFQDKREMYEEFVKLLQDFGAKRIDRRVVKEGVTELLKEHQDLILRFNIFLPPGHEISLPLDDDLQQRDRSALEDRAMAFLTEVKVTFEDKMEKFNEFLKLLADYQAKRIDVRVVKEGMMELFKDHKNLLSGFNTFLPEGHKISLSLDGDRTTR >RHN64064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56305449:56306979:1 gene:gene26843 transcript:rna26843 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSCVVVSLLILSLLLTKTQGIRLGKGSLAFEQQKQHDEERSTMLKRSNTDSEEATLCNDQQKCTGNIKNRKLVTTSISTTKSLSKNVKNSEDVAHTSVNGNTRNVNLNGEAKEIKVKSLSTSNYLPEDLVDITEMDYSPARKKSPIHN >RHN53114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42088383:42091633:-1 gene:gene37880 transcript:rna37880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L31e MVEKGAAGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFAQKAMGTNDVRVDVKLNKAIWSQGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVVEIPKEELKGLGTKVIDDED >RHN70049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48316526:48323610:-1 gene:gene18589 transcript:rna18589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ClpA/B family, P-loop containing nucleoside triphosphate hydrolase MVNFHSQTMQVSSSSLNPWFPTLTSNRFRRIHLPEPSSTTATVYSPPSLSFLSSQRNRSSSSSSSSSSSSSSSSFTSLTSTKTKRRRRRTSTFTFTVTSIFERFTERSIKSIVYAEKEAKFFKSDFLYAQHIMLGLIAEAEESNRSQNGFLDSGVVTLEKARDAVPHLNDSTNYVDDNGVYVYQDRPVPFSFGTKRVFEAAVEYSRSLNHNFVDPEHIFVALMKVDDGSSVRILYRLGSNPDQLAAAAYSRLQKELARDGREPGYVSNGGHNKSIPQRRSGAGSAAKTKDKKDKKNALSQFCVDLTARASVGLIDPVIGREVEVQRIIQILCRKTKSNPILLGEAGVGKTAIAEGLAILISRAEVAPFLLTKRVMSLDVGLLMAGAKERGELEDRVTKLIKDIIESGDVILFIDEVHTLVQSGTTGRGNKGSGFDIANLLKPSLGRGQFQCIASTTIDEYRLHFEKDKALARRFQPVWIDEPSEDDAIKILMGLREKYEAHHKCRYTEDAIKAAVHLSARYIVDRYLPDKAIDLIDEAGSKASIESFKMKKEHDYCILSKSPDDYWREIRTVQSTLKMVQESMLKYYGASGIEDTSELILDSYLTSAAFDNECIEVRPDHIAAVASLWSGIPVQQLTADERSLLLDLDNKLRERVIGQEEAVSAISRSVKRSRVGLQDPGRPIATLLFCGPTGVGKTELAKSLAACYFGSETNMIRLDMSEYMERHSVSKLLGSPPGYVGYGEGGILTEAIRRKPFTVVLFDEIEKAHPDIFNILLQLMEDGHLTDSQGRRVSFKNALVVMTSNVGSSAIAKGRHNSMGFLISDDKPTSYSGLKSMVIEELRTYFRPELLNRIDEVVVFHPLEKPQLLKIFDLLLQDVKRTMPRGIDFEVSESVKDLVCKEGYDPTYGARPLRKAIVNLIANPLAEALLAEKCKEGDTVFIDLDANGNTLVINQLDQTQVTLE >RHN61525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36159680:36162428:1 gene:gene23998 transcript:rna23998 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQDKSLELASQTNLHSTLPPSMGSYSHMSRVMEQSQRTLYDGICGPMKQLMEQNAQAFNQINTNLSTYKLQDNIDLFCHTRHNINSILNEYHE >RHN59766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12885448:12885814:-1 gene:gene21881 transcript:rna21881 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVAGAGFCLLLMRFSNTWMLDDVACLSFYWFRGLSVESVFGLFVKVSICTWVFVWVFRIYTSHFSSV >RHN51740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23900993:23901639:-1 gene:gene36251 transcript:rna36251 gene_biotype:protein_coding transcript_biotype:protein_coding MGMHSFEGIMLYIDSCNFLYYVDCLPSCKINLGKIKFGQNCICWVEDKIICRFGLLYID >RHN41647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31739101:31740447:1 gene:gene47989 transcript:rna47989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin activation peptide MDFSSSIISLFLHFLLISSLVCPINSSETVNHQLVNQTIRSEHELHELKKLITTRLQQINKPAIKTIQSSDGDTIDCILTHKQPAFDHHLLKGQKPLDLPERSIGHNQINNLSDFFQSWSLSGESCPDGTIPIRRITEEDILRVDSISRFGRKDADHSKQEVCIGTNSAFFISIFSISIFWFLFLMIIKVQGINKKFQRN >RHN38723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1517700:1517861:1 gene:gene44687 transcript:rna44687 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQTTIYDHYKFLTKEELDRLNLTNLIGTNVLRAYMHGFFINYKLYKKVICL >RHN64692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61038791:61039095:1 gene:gene27536 transcript:rna27536 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSLCWVQVFVDSSDTDLLPVGGGEHLNVNDNDSCREIPMDENPVQEENVAQNSGGNKSSYEFGEGSGNVNLVEGHNRSSGEVGEVKW >RHN43318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44892658:44894649:-1 gene:gene49870 transcript:rna49870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LIM family MSFTGTQQKCKVCDKTVHLVDTLSADGNVFHKNCFRCNQCNGLLAMSNYQSIEGVLYCKTHAEQLFKDSFAAKKPQTAGKPSELPRAPSKLSAFFSGTQDKCSSCKKTVYPLEKLTVEGEFYHKSCFRCTHGGCFLSPSSYAALDGFIYCKPHFSQLFKAKGSYSYLSKQASIKKNEEMQQAAAEKTESAPASDTETETKEEQDPAVTQEV >RHN65061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63926429:63929591:-1 gene:gene27954 transcript:rna27954 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSKGNDAPGKPILTRKRSARKPKTTLIDPVAGGTEPVKISIDSGTGALNVDAASQPKSRNRNVTFKEGGSSRPGKSHVQDTAENAGNKPQEEEEMLRDSLVLVDPFTEEQELHLREAAIELNFNWQKIKKSKKYANFFF >RHN55702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21370377:21373766:-1 gene:gene30928 transcript:rna30928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MGVEANVDGPSKYPGKLTFRVIITCIMAATGGLIFGYDHGVSGGVTSMDSFLKRFFPSVYEQESNLKPSANQYCKFNSQILTLFTSSLYISALVAGLGASSITRALGRRTTMILGGIFFVSGALLNGLAMNIAMLIAGRLLLGFGIGCANQAVPIYLSEMAPYKYRGALNMCFQLSITIGIFTANMFNYYFSKILNGEGWRLSLGLGAVPAVVFIIGSICLPDSPNSLVTRGRHEEARKELVKIRGTDDVDAEFRDIVAASEASAKVKHPWKTLQERKYRPQLVFAILIPFFQQFTGLNVITFYAPILFRTIGFGSQASLMSAAIIGSFKPVSTLVSIFVVDKFGRRALFLEGGVQMLICQIIMTVAIAVTFGTSGNPGKLPHWYAITIVGIICVYVSGYAWSWGPLGWLVPSEIVPLEIRSACQSITVAVNMTSTFFIAQLFTEMLCHFKFGLFIFFGCFVILMTFFIYKFFPETKGVPLEEMHMVWRKHPFWGKFLEAEDQKIQSSKLDT >RHN39476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7406845:7412803:-1 gene:gene45501 transcript:rna45501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 9S-lipoxygenase MFSGVTGLFDRGQKLKGTVVLMQKNALDIDALTATNNPTGIIGGAIGIIGDVAGNIIDTATSFLGRSVALKLISATTADGTGKGKVGKETFLEGLLTSLPTLGDKQSAFSIHFQWDSTMGIPGAFYIDNYMQGEFFLVSLTLEDVPNHGTINFVCNSWIHNSKKYKTDRIFFANKTYLPSATPAPLVYYRQEELKTLRGDGTGERKEWDRIYDYDVYNDLGDPDQKASLARPVAGGPGNLPYPRRGRTGRKPSKKDPKSESRSDAIYVPRDESFGHLKSSDFLGYVLKSATHRVIPNLRSKATLQLNNPEFNTFEDIRSLYDGGIKLPTDVLSQISPIPLFKELFRTDGEAVLKFPPPKVVQVDDSAWMTDEEFARQMIAGVNPHIIKKLLEFPPKSKLDSKLYGDNTSTITIDHLEPNMGGVTVEQAIQNNKLYILDHHDLLIPYLRRINATETKSYATRTILFLQNDGTLKPLAIELSRPHPQGDSLGPVSNVYLPASEGVEASIWLLAKAYVVVNDSCYHQLVSHWLNTHAVVEPFIIATNRHLSVVHPIHKLLLPHYRDTMNINSLARTILVNAGGVMELTFLWGDYAVEMSAVVYKDWNFTEQGLPNDLIKRGVAVQDPASPHGVRLLIEDYPYASDGLEIWAAIKSWVDEYVNFYYKSDADVVKDSELQAFWKELVEVGHGDFRNATWWFKMQNRTELKEACTILIWIASALHAAVNFGQYAYGGYILNRPTKSRRFMPEKGSVEYDELAKDYQKTYLRTITAKNDTLTNLTILEVLSRHASDEQYLGERIEGDLWTSDSQPKQAYKKFGKKLAEIEKNLIQRNNDETLRNRNGPVKMPYTLLYPTSEEGLTSRGIPNSVSI >RHN45121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11974900:11978054:1 gene:gene39330 transcript:rna39330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MPPRKNDQTLRDIQMEEMRRQIQQLQETVNAQQAQLEAQHMQSDVDESSSESSSLRSRRPQRQSFRDNDIKVDILDFEGKLLPDEFVDWLQTVERVFEYKEILEEKKVKIIAVKLKKHASIWWENLKMKRVREGKSKIKTWEKMRRELSKKFLPSHYYQDSFIQLQNLRQKNLSVEEYTREFEKLMMKCDIHERQEQTIARYLGGLNTDVAHPVQLQQYWSLDDVVRLTMRVEKHLPKKHSYRNFSSTENFSYPRKTDNDQPSTSTKPSPKPTTENKPKATKCFKCQGFGHIASNCPTRRTITIIKGEAYEEVDEETNRDEPEKEEVLEPIYDEELIVADHGESLVIRRSLHAMSAQEEHWLRKNIFHTRCTIAGKVCDVIIDSGSCENVVSNYMVEKLEMAAQSHPHPYKLQWLNKGSEVKVTKRCLVSFSIGQKYQDQVWCDVVPMDACHFLLGRPWQYDRRAHHDCYANTYSFVKDGVKIKLTPLPSSGLDKNKNESKPLVSLITKTQFKEAVDEVQTMSFILMFEENAETVIPVEIEQILSEFPDVVPEDVPQGLPPMRDIQHAIDFIPGAVIPNRPTYRMSPQEHAEITRQVEELLKKGLIQESVSPCAVSAILVPKKDGSWRMCVDSRVVNKITIKYRFPIPRLDDLLDQLHGATIF >RHN80621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39514289:39519647:-1 gene:gene4567 transcript:rna4567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde-lyase MRHVPPNSCDLSLFLCPKRSYATCHFHSLHLIYSSSSSQLFTSLFSLNFVDMAPPSLLETATVVEKMVTRNVDLRSDTVTKPTEAMRAAMASAEVDDDVLGYDPTALLLETEMAKMMGKEAALFVPSGTMGNLICVLVHCDIRGSEVILGDNCHINIYENGGISTIGGVHPRQVKNNDDGTIDIDLIEAAIRDPRGELMFPSTRLICLENSHANSGGRCLSVEYTDRVGEVAKKHGLKLHIDGARIFNASAALGVPVDRLVEAADSVSVCLSKGIGAPVGSVIVGSKNFITKAKRLRKTLGGGMRQIGILCAAALVALKENVGKLESDHKKTRLLADGLNEIKGLRVNPCSIETNIIFIDIVDGSRTTTEKIFKYLEERGILLMQEKASRLRVVLHHQISASDVQYTLSCFQLYKLKMATSGKIALCWRIIGSSQGKSEFHLSLFILFYFICIVMNEQGFTCSMICPYYELF >RHN47056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36841556:36842280:-1 gene:gene41610 transcript:rna41610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSSPHSFFTNLGLGYSIAITLAFLVLLATLILSFYLCCRKNNNPNNNLNNNTNTLNYTEGVIVRTDIFIAENDEENAVVGLEDNMINSYPRFQFSRDSATVLGQNTTCSICLCEYKDSEMLRMMPECRHYFHLCCLDSWLKLNGSCPVCRNSPLPTPLSTPLQEVVPLSQYAADRRRRR >RHN38482.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000010.1:503783:504629:-1 gene:gene50680 transcript:rna50680 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSSTNKVTLKLLIDTMNEKVLFAEASKAVIDFLFNLLRLPVGTVVKLLNKNGMVGSIGNLYNSVETLSDNYMEQEQTKEVLLNPRAPIYSTEIAGLLPANNTDANNLGGRGNLFYRCRGGCSYNVTCDSSTRCSCCSSAMNVQVNYVGKKVVEDNVSIKNGFVKDVVTFMVMDDLVVQPMSTISSITLLNKFNIKEVGTLQEKVVEMGMDEGIKLLKASLQSKAVLTSVFIKKDV >RHN52008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30190969:30192435:-1 gene:gene36615 transcript:rna36615 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLVFAESCANSRASNLFAIVSSCMLRSLFLASCRGVKNKNTASRVNPRNDNGVTIELYLSQKRERKISIKPWVNMVCEP >RHN77770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9309754:9312171:-1 gene:gene1258 transcript:rna1258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MANFHNFPFIVVTLLFLLLTKPVTSKNQCTEKCGNLKIQFPFYLKNSINHTNPQGFELSCTDKDETVLEFPKIPVKVFIKRIDYKSQMFQIYDPKNCLARQLFKLGNLSVSPFQFHFHEFNIQRNVSFFRCDSNKECSIQQRESSGDFIDPELVSCRKVSEVLNVGWMIEEWEDDVTESLIIEWSKPNCSFCEVQGKKCKWKNGTRNGEVECFVCKSDGIAKSTVLLITAGVIVGSMILLLLANVFLRICRYFKMKGDDIARIEKFLEDYRALKPTRFTYADIKRITNGFKESLGEGAHGSVFKGMLSQEILVAVKVLNETQGDGNDFINEVGTMGKIHHVNVVRLLGFCADGFHRALVYDFFPNGSLQNFLAPPENKEVFLGREKLQRIALGVARGIEYLHIGCDHRILHFDINPHNVLIDDNLSPKITDFGLAKLCPKNQSTVSMTAARGTLGYIAPEVFSRNFGNVSYKSDIYSYGMLLLEMVGGRKNTNQSAKETFQVLYPEWIHNLIEGKEVRVNIEDEGDVRIAKKLALVGLWCIQWNPVDRPSMKTVVQMLEGDGEKLMAPPTPFDSIGADRTNEVIPTRLLNFELEVIPEIN >RHN79436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28863994:28866541:1 gene:gene3226 transcript:rna3226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructokinase MLPTTSITTLDGPFILQVDEFTNLIAPRKGRMLTLSMTDGVNKVFGVENYFRPIEDIQPSSAPLGLKVSSMSSEYIHIYIYIYILIGLTGIYCYLQVKFSNVTVSKGCFWMLPENTIVLGGGLVDDDVQAGNKDEVSKPYTYSFHTQTFLENKGSFAVNLRNKEADFPITEGCSKTGGLVVCFDELLVDYICIHEPSGCCWRDLGGAIANVAVGISKLGGSSAFMSKVGPDEYGYTLVDVLKANNVDTSGMLVDSNASTQLHYVFLRADGERECWLSGNPSANMLLYSEVDRKLIKKASYLAALSFAKTCDCILSYDPKFRLELWPSAEAARKGIMSIWNLADVIKISEDEITLLIDAGDPYDDDDVIKKLFHPTLKLLIVTSGSEGCRYYTNDFKGKVRGLNVEPVDTTGAGDAFVSGILYYIASDPSIFKDEKRLRKALYFASVCGAIMVTRRGAISALPTKDDVLQHQLL >RHN64343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58362673:58363585:-1 gene:gene27151 transcript:rna27151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin MSRSTHSLFSNVNIKSTVLPSLRGKKDELLLRELLRRWPNHKERTCQRSKYFSYLDRYHVRRHQLPSQQEIGFLSFYYLVYDELNKQVMDAILAMIDQKHARERLI >RHN74826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36948743:36951452:1 gene:gene10948 transcript:rna10948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRRKNMAQIQYMFYVFIIILFVFFIPTKSTIRALRMAPCKARADCSKLMCELPKISWCFHGYCECVQV >RHN68816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38829833:38831749:1 gene:gene17225 transcript:rna17225 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHGTSWADQWDDGPDPLNGSNQSKKKSNKDKVLDKTKTVASSGVKKLKEGTSVSLQWIKTKYNKTTQKH >RHN80145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35506743:35525927:-1 gene:gene4035 transcript:rna4035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKWVNLLKDFKEKVGFTQSSSASSQPPSASTSAAVSPSRGDNINNNAFFSASQSSSSSPNRDRHELELDFKRFWEEFRSSSSEKEKEAALNWSIDAFCRLVKQQANVAQLITMLVETHIFSFVVGRAFVTDIEKLKISSKTRSLDVAQVLKFFSEVTKDDISPGANLLTSVGILVSGPIDKQSLLDSGIFCCLIHVLNALLDPDATIQRPNSTTDHEERLVLQKEYNVGVGQNRRLEVEGSVVHIMKALASHPSAAQSLIEDDSLQLLFQMVAKGSLIVFSRYKEGLIPLHNIQLHRHAMQILGLLLVNDNGSTAKYIRKHLLIKVLLLAVKDFDPDCGDSAYTVGIVDLLLKCVELSYRAEAGGVRLREDIHNAHGYQFLVQFTLTLSNMTESQGFQSIPFDEDKDVASDGSQNSRGQNFNEQEKSSIQYLSPTLSRLLDVLVSLAQTGLDESPPTYGGKSSKSSQSKGGGHSKSRTLSSDWLGDELWEKDNDKIKDLEAVQMLQDILLKASNQELQAEVLNRLFKIFSGHLENYKLCQQLRTVPLLILNMAGFPSSLQEIILKILEYAVTVVNCVPEQELLSLCCLLQQPITSELKQTILSFFVKLLSFDQQYKKVLREVGVLEVMLDDLKQHRILGPDQQNVNLNLPERKTSSSSFKKHMGNKDVIITSPKLMESGSGKFPIFDVEATIGIAWDCMVSLLKKAEANQASFRSATGVTAMLPFLVSDIHRPGVLRILSCLIIEDTSQAHPEELGVLVEILKSGMVTSASGSQYRLSHDAKCDTMGALWRILGVNNSAQKVFGEATGFSLLLTTLHGFQSDGGDLDQSSLSFYVKVFTYLLRVVTAGVADNSVNRMKLHAIISSQTFFDLLCESGLLCVEHEKQVIQLMLELALEIVIPPFLASEGLIKPNAIENESSQNLLLTPSGPIDPDKERVYNAGAVKILIRSLLMFTPMVQLKLLDLIEKLARAGPFNLESLTSTGCVELLLDTIHPFLSGSSSLLSRALKIVEVLGSYRLSASELRTLIRYVMQMRLKNSGHIIVEMMEKLILMQDMSSENISLAPFMEMDMSKIGHAAIQVSLGERSWPPAAGYSFVCWFQFQNFLKSPSKDTDPSKVVPSKKRSGPNGLQERQILRIFSVGATNNDDATYAELYLQEDGILTLATSNSSVLSFSGLELEEGRWHHLAVIHSKPNALAGLFQASVAYVYLNGKLRHTGKLGYSPSPPGKPLQVTIGTSVNNTRVSDLAWKLRSCYLFEEVLTPGCICFMYILGRGYRGLFQDTDLLQFVPNQACGGGSMAILDSLDADLTLVATGQRVDATSKQGDLKADGSGIVWDLERLGNLSLQLSGKKLIFAFDGTSTEFIRSSGSFSVLNLVDPMSAAASPIGGIPRFGRLCGDTYICKQDVIGETIRPIGGMELVLALIEAAETRDMLHMALTLLACALHQNHQNLKDMQTYRGYHLLALFLRRRMSLFDMQSLEIFFQIAACEASFSEPKKFEITQINLSPAVSPQEASLEDNFLSKFHDENSSAGSHGDMDDFSVPKDSFSHISELENTDIPAETSNCIVLSNADMVEHVLLDWTLWVTASVSIQIALLGFLENLVSMHWYRNHNLTILRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFLSSELENVVRFVIMTFDPPGLTPQRPIMRESMGKHVIVRNMLLEMLIDLQVTIKSEELLEQWHKVVSSKLITYFLDEAVHPTSMRWVMTLLGVCITSSPTFALKFRTGGGYQGLVRVLPSFYDSPDIYYILFCLMFGKPVYPRLPEVRMLDFHALMPNDGNYTELKFVELLDSVVAMAKTTFDRVSMQSMLAHQTGNLSQAGASLVAELVEGNSDMAGELQGEALVHKTYAARLMGGEASAPAAATSVLRFMVDLAKMCPPFTAVCRRPEFLESCIDLYFSCARAAHAVKIAKELSAVTEEKTFNDGDDTCSSQNTFSSLPLDQDQSVKTSISVGSFHQGQVSSSSDDMAAPANSKVGEKSDNNVTVTAPDSNVTVIEPESKKSVHEDTQTVQSLDGDNADQGSVSSSAHEFSFHSIKGNLDIQLPTDSHSSASFAVLDSPVFSEKSNSRTPLTPSSSPVVALTSWLGSSSHNEAKSPLTPTPSFNSSMSAGDFDSTSNLKSNFQEPSAANAYFTVTSKLLLDIDDSGYGGGPCSAGATAVLDFIAEVLSDFVTEQVKASQLIEIILESVPLYIDSESVLVFQGLCLGRFINFLERRLLRDDEEDEKKLDKIRWSSNLDALCWLIVDRVYMGAFPQPSGVLKTLEFLLSMLQLANKDGRIEDAAPSGKRLLSIARGSKQLEAYIHSILKNTNRMILYCFLPNFLVSIGEDDLLSRLGFLGEPKKRLSSTSSQDDSVIDIYTVLQLLVAHKRIIFCPSNTDTDLNCCLCVNLVSLLCDKRHNVQNIAIDLFKYLLVHRRAALEDLLVSKPNQGKQLDVLHGGFDKLLTRSLSEFSEWYQNTEQIVNKVLEQCACIMWVQYIAGSSKFPGVRIKGIEGRRKREMGKKSREAAKLDLRHWEQVNERRYALDLVRDAMSTELRVVRQDKYGWILHAESEWQCHLQQLVHERGIFPLSKSSLTEEPEWQLCPIEGPYRMRKKLECCKLKIDTIQNILDGQFELEKPELSKGIVDNGPDASDSKSYFPLLTDGGKQNSSDGELYGPFFDDKLESVKDAVSEKNEWNEDKASSMNEASLHSALEHGAKSSVVSVPIEESTLGRSDMGSPRQSSSVKVDDFKIADDKSDKEVHDNGEYLIRPFLEPLEKIRFKYNCERVVGLDKHDGIFLIGEFCLYVIENFYIDDSGCFWEKECEDELSVIDQALGVKKDANGSLDFQSKSTLSWSTTAKSLVGGRAWAYSGGAWGKEKVHTSGNLPHPWRMWKLDSVHEILKRDYQLRPVAVEIFSMDGCNDLLVFHKKEREEVFKNLVAMNLPRNSMLDTTISGSSKQESNEGSRLFKVMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESENLDLSDPKTFRRLDKPMGCQTPEGEEEFIKRYDSWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSIENQKLQGGQFDHADRLFNSVRDTWLSAAGKGNTSDVKELIPEFFYMPEFLDNQFNLDLGEKQSGEKVGDVMLPPWAKGSAREFISKHREALESDFVSENLHHWIDLIFGYKQRGKAAEESVNVFYHYTYEGSVDIDSVTDPAMKASILAQINHFGQTPKQLFLKAHVKRRTDRKLPPHPLKHSSHLVPHEIRKSSSPITQIVTLYDKILITGINNLLKPRTYTKYVAWGFPDRSLRFLSYEQDRLISTHENLHGGHQIQCAGVSHDGQILVTGADDGLVNVWRVSKFGPRALRRLKLEKPLCGHTTKVTCLQVCQPYMLIVSGSDDCTVIIWDLSSMAFVRQLPEFPAPVSAIFVNDLTGEIVTAAGILLAVWSINGDCLSMINTSQLPSDSILSVTSSRFSDWQETKWYATGHQSGAVKVWQMVHCSDPDSSLSKSGASGFRVLNLGAKEPEYRLILRKVLKFHKHPVTALHLTIDLKQLLSGDSGGHLLSWTLPDESLRGSLNQG >RHN41648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31743649:31744984:1 gene:gene47990 transcript:rna47990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MQVFYHLYGDTRPRLFIYWTADNYEGTGCYNLKCPGFVQTNKKILLGGALEPTSLYNGQQYEFPLKIWKDEKNGHWWLEYGNGDIIGYWPSSLFKRLQGEGDFAQFGGQVLNLNTTGFHTSTQMGSGHFDSGRFKKAAYIRNMQVAVNSENIWIDLPDPEYGANKPGCYDVRGRYSRNWGNLIFYGGPGRNANCR >RHN79477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29393162:29398348:1 gene:gene3271 transcript:rna3271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-BEL family MATYFHNNSEIQGGSDGLQTLIFMNPSGYINYSDAPQPPPHAGNLVFLNSAANHPGNTSLHHQQQQSLSHAPPQFVGVPLSAEQQSVHAHHDVSALHGFPPRMQYNMWNPADPNSAAREATRATQGLSLSLHAQGSGEDTRVPVGGSGSSASNGVSGIQSVLLSSKYLKATQELLDEVVNVNGGIKVENVKKSFEKNKVVGESSTAVSGEGGSVGGDGNGKRSTELSTTERQEIQMKKAKLINMLDEVEQRYRQYHNQMQMVISSFEQVAGIGSARTYTALALQTISKQFRCLKDAITGQIRAANKSLGEDDSFGGKIEGSRLKYVDHHLRQQRAIQQLGMIHHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEMKDQELNGSEDNKSSKNTDEDPSMKTPTPQERVPTSETESKSFNSKQDIPMVSVSTPSTSPIGVNVRNNSGFSFTELDGITQASPKRTRNHEILQSPNHVKSNETTANNEQISMKFGDDRQSRDGYCFMGNQTNFIAGFGQYPMEEIGRFDAEQFAPRFSGNNNGVSLTLGLPHCDTLSGTHQSFMPNQNIQLGRRLDISETNEFGDSSAAFESINMQNPKRFAAQLLPDFVA >RHN63728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53567431:53569299:1 gene:gene26468 transcript:rna26468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative presenilin/signal peptide peptidase MAETRRTSSSSTTVLDSLGEEIVRIITPVSICMFLVVILVSILNTNDSPTISTIANIAYDETTSDSSWDKFLGALLNSLSFVVVVTFATFFLVLLFYFRCITFLKLYMAFSSFVVLGFLGGEISIFLIQHFSVPVDCITFLVVLCNFAVVGVCAVFMSKMAIFVTQGYLVVIGILVAYWFTMLPEWTTWAMLVAMALYDLAAVLLPVGPLRLLVELAMSRDEEIPALVYEARPVSNDCMDPRIIEARRRLWRERRIESAILITESGNSVLGSGGLNVEQSSHSNSDANTVLSTNLNENLTPENGSNLNSSSTYGTRNLVRAEEGRARVQEIDSDLATPLIDHGVNVRVPRGEDATSNENLMLEGIGLASSGAIKLGLGDFIFYSVLVGRAAMYDFMTVYACYLAIIAGLGVTLMLLALYQKALPALPVSVALGVLFYFLTRLLLEVFVVQCSLNLLMF >RHN54796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12427702:12428043:-1 gene:gene29883 transcript:rna29883 gene_biotype:protein_coding transcript_biotype:protein_coding MTKCSALIFLLVLLICITGKPASVEARGPFECPHMVDCIKVCQGYPYCCVKGFCICKTCPPSLNDLSIIQPNSHLN >RHN81378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45372378:45375291:-1 gene:gene5404 transcript:rna5404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MMKQNLLVKIITLLPWLILFFIITGVEGAKKSHGAYNNTNSLKLFVFGDSYVDTGNFLNSGSYNPPYGISFPGKPSGRFCDGLVLTDYVASFLKIKSPTPYALRNSTEVQYGLNFAHGGTGIFNTLVDGPNMSVQIDSFEKLIQQNVYTKHDLESSIALVNAGGNDYATFVLKNGSFQDIFVFTESLIKQMSLNLKRIHELGINKIAIGLLEPIGCVPMITMRSSYEKCDETSNLVSQNHSQMLLQIVQQLNKQMGKSVFMTLDLYNSFLSVIAKMQKRRAENSTVMNPLQPCCKGEDLKYFCGSVDDKGARKYSVCEKLESSLFWDTVHPSQNGWYEVYLMLQSSLGQLIQEKNF >RHN62580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44483826:44484074:-1 gene:gene25172 transcript:rna25172 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSFKRQYSKYELGSWSILFNRHRYLLTVLTLLIILCTVYLYFAISFGTKHSCAGLTGPQKHSCHMELVKSELRTTRRHF >RHN69057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40660838:40662860:1 gene:gene17485 transcript:rna17485 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSFRVKVSVYLNCDSFISMEFDTDTESWEESLKRPNSEPEKEPKRPKSEPELGSEQEPESKPKPRGSIWDEWYDEDPELSELSIYDDDDEPYIYMCEKYVYKNKLRLKLEAADNEHLERSRGLSEYDVLPRPPGTLLCGGTQPLPITDEDRPTLEQFSRLALDYYSEKNDNQAPTFELHEVVKCAYDVGIAAGHMFYITFQAKPKDKDPSDGPAALTTFQAQVWDGYDESREVIKCTIKI >RHN61923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39394766:39400428:1 gene:gene24437 transcript:rna24437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MGSNSMFRYADGFDKLLMFFGTLGSLGDGLQNPLMMYILSDVINAYGDKNSRLNQHDVNKFALKLLCVAIGVGISAFIEGICWNRTAERQASRMRVEYLKSVLRQEVGFFDTQTAGSSTTYQVVSLISSDANTVQSALCEKIPDCLTYMSTFFFCHIFAFVLSWRLALAAIPLSIMFIVPALVFGKIMLDVTMKMIESYGVAGGIAEQAISSIRTVFSYVGENQTLKRFSTALEKTMEFGIKQGFAKGLMLGSMGVIYVSWGFQAWVGTFLISDKGEKGGHVFVAGFNILMGGLSILSALPNLTAIMEASSAVTRLYEMIDRVPVIDSEEKKGKALSHVRGEIEFKDIYFCYPSRPDSPVLQEFNLIIPAGKRIGLVGGSGSGKSTIIALLERFYDPVEGEILLDGHKINRLQLKWLRSNLGLVNQEPVLFATSIKENILFGKEGASMESVISAAKSANAHDFIVKLPDGYETQVGQFGFQLSGGQKQRIAIARALLRDPKVLLLDEATSALDSQSERVVQAAIDQASKGRTTIIIAHRLSTIRTADTIAVLQAGKVIETGSHNVLMEINGGEGGEYARMVKLQQVTAQNDEIKHSNLQLEGKSSHRMSIPQSPGMSFKSSTPGTPMLYPFSQGFSIGTPYSYSIQYDHDDDSYEDDFKRSNHPAPSQWRLLKMNAPEWGRGVLGVLGAIGSGAVQPINAYCVGLLISVYFEPDTSKMKSKARALALVFLGIGVFNFFTSILQHYNFAVMGERLTKRIREKILEKLMSFEIGWFDHEDNTSAAICARLASEANLVRSLVGDRMSLLAQAIFGSIFAYTVGLVLTWRLSLVMIAVQPLVIGSFYARSVLMKTMAEKTRKAQREGSQLASEAVINHRTITAFSSQKRMLALFKATMTGPKQESIRQSWISGFGLFSSQFFNTSSTALAYWYGGSLLIKGQIEPTELFQAFLILLFTAYIIAEAGSMTSDISKGSNAVGSVFAILDRKSEIDPETLWGADKKRKIRGRVELKNVFFAYPSRPEQMVFQGLNLKVEAGRTVALVGHSGCGKSTIIGLIERFYDPIKGTVCIDEQDIKTYNLRMLRSHIALVSQEPTLFSGTIRENIAYGKENATESEIRRAATVANAHEFISGMNEGYETHCGERGVQLSGGQKQRIALARAILKNPAILLLDEATSALDSASEVLVQEALEKIMVGRTCIAVAHRLSTIQNSNSIAVIKNGKVVEQGSHNELISLGRNGAYHSLVKLQHGSSPR >RHN68741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38198556:38201745:1 gene:gene17129 transcript:rna17129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative G-patch domain-containing protein MNSTNSPTCGNPPPPSEWLEDTLIDLYLSGYNNIAVSAADTVTDPVETNGYNFTLETDAYRNAEMGGDWTTGPADENGMNDNEKTVDDIVAYSDAYEVEEGEWIPDPEDENHLADGSSIDEGILFEEEKWRAQYGQVIESREDLVLEFPVLDLWNWEMVRASKKDGKDRVARLVGRLVKQSAKRHPSISSVEKKFRSAPICEVDLDLVRVKTGQVYRLRNPSARYVASLSSYDSADPTKDWDFPQLSSNTNSTHVSKSSQSTPSTSTEIPMVKDLPTLPSQLSASKQIKCQYRDRAAERRILHGGFGVGPGQKKLGVDDDTTSSPDACPEVATEEALKMSFGPGSYARNLLEGMGWKEGEGLGSSTKGLVEPIQPVGNIGSAGLGWPRR >RHN40041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12551290:12552177:-1 gene:gene46133 transcript:rna46133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSHLIIGDNAVDPFIFREYIGVKPYPASLNNFPYEIIIAKHFHFILGFANDSYNEEGKGTGNFNANWNSDFFGPQNVMALKRKYPHVKVVISIGGRDANFPFFPAAREEWCGNAVDSLKEIIRSYNDCSVEDNILIDGIDIFYDYINTNENDFSNYVGDVINRLKKEVRIDVVSIAPSHETHKHYKELYLACTDDINWVNYQFYMQPIPSKNDFLNLFLNLAKEYDSNKLLVGGSSDPIDADNFNPDEFVEACNDLHKTKSLRGIFIWNANDSANNVPPFYLEKKLQGEYKRLTN >RHN82479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54120384:54134100:1 gene:gene6648 transcript:rna6648 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYMRTGGFDRSGVLGPNPPEPARCPPLHISDSIKCRALFPVAV >RHN43242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44251473:44255069:1 gene:gene49787 transcript:rna49787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, CRAL/TRIO domain-containing protein MEEAVKGCKKDLGYAANDAVTETELTKIRLVRPFVETQDPSSKEEDDLMIRRFLRARDLDVEKASAMFLKYLKWRHSFVPNGSISLSQVPNQIAQDKAFAQGHDKIGRPILLVFGGRHFQKKDGLDEFKRFAVYILDKLCASMPPGEEKFVGIAELKGWGYSNSDLRGYIGALSILQDYYPERLGKLFILHAPYIFMKVWKIVYPFIDNKTKKKIVFVENNKLKSTLLEDIDESQLPEIYGGKLQLVPIQDSY >RHN49076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52268337:52270246:1 gene:gene43865 transcript:rna43865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative meiosis arrest female protein MMEDGSTFSSAKISVWWDIENCQVPRYFNDANSIAQNITTALFNSNFHGPLSISSYGDTTRIPSHVQHALSSTGISLHHVPAGAKDASDKKILVDMLLWAIDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPFCASKPLTAAAKIVWQWPTLIAGGPPFLTEPNPNCSRKLFYQPKPETDHNNNNNDTSSVANSEQVKTSKLFRLAPHEFFSSNHPTIIPISSTNLQTHNQDSTKSITDFNVHNNQLMLPLSHGNDDATHVGNASSEQLLGSNVRKVKSMPTISTYENLQGLVDVILVTLNTLMNEMVFPTEGNIIHCIRYGDPKYETLDIRKGLHCAIEQQKVVKRVFGTLRLYIVANENLWKCVNPLRGLPSHFPDAIWVRIEKFLASSSGRSAILASCNRYEASLILKKLCLEELVLGDVLKILEIIITIKKWIIPYHSRWQPITISLTETNDDS >RHN40569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17662150:17662931:1 gene:gene46732 transcript:rna46732 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQLCIFILLLSLNVCTSRTLLGVKDTQINISEKESENVEKLPLKSNGATKTDIKVRNNNIGVVQVHHIKQEISDHDPHRKEGKNPGFYSDYSRPRTRPPSHN >RHN68971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39992523:39992732:-1 gene:gene17396 transcript:rna17396 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSTTFPEFEMKTGIDKYRIGLRCKHESCRYGCYLSLAFGGTRFKHIELKL >RHN60374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25976847:25978308:1 gene:gene22680 transcript:rna22680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MAEEPSQPPSAVESLSFEALIIDENKSSPNLPGGPNDSNIETEVNSGYSPYTSAATFEELSLSPELLKGLYVEMGFIKPSKIQEITLPMILNPPHKDLIAQARNGSGKTTCFVLGMLSRVDPNMKAPQALCICPTRELAIQNNEVLQKMGKYTGISSELAIPSMDKIPPSRRPPIMAQVVIGTPGTINSLKNHKKLGVTRLKILVFDEADQMLAQVNLVILIRCCLTRLAICVLVQLYHVFFLSLLFSRFGFLNMMCPNLLNNCKTLSPQDGFKDDSLRIIKEEW >RHN46209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29668736:29669377:1 gene:gene40660 transcript:rna40660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSEILRFGDVTRDDKKLKRKMDDAIVADSETLMSLSLGGGRSGGSSSKSLKIHEKLREYPCKFCDKKFSSSQALGGHQGAHKRERVLARIEKEIQLGTFRLGDHHFCPYSIGHPYPFTIAGSSPIYHGLGWPQLVAHASYGNPFEMINNYDWATQTPLNNNVGFENHDLNNQQLQVPSFGVPLNNHVQEGNNNHDDPTSHQISTPFPDLSLKL >RHN60088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:19777227:19777926:1 gene:gene22308 transcript:rna22308 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYGSSGCPLVLDFDGRTGLSGASCSFVLVGFRSDLLLLCSICFPLCVCVAGFLFCVSCVCSSSDLLHVRLRLLLSASLWLLRVSCVFSCVVQVVYL >RHN76268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49014806:49015169:-1 gene:gene12563 transcript:rna12563 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPIPAMVRISLSESGLRPKKSLVNALFPPAATSSSLVVVFGISLSPS >RHN58549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2733166:2737542:1 gene:gene20471 transcript:rna20471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide deformylase MKAMEALHLRCILPLSRTKNSLFTLQTTTPFSLSPFSKPPPLTLTLSSSSSQNATIRTRAGFFFGRTKDDKKKKKMDLPDTVKAGDPVLHEPAQEVDPSEIMSDKVQKIIDDMIRVMRKAPGVGLAAPQIGVSSRIIVLEDTEEFISYAPKEVLKAQDRHPFDLLVILNPKLKSTSKRTALFFEGCLSVDGFRAVVERHLDVEVTGLDRNGEPIKITASGWQARILQHECDHLDGTLYVDKMVPRTFRTVENMDLPLAQGCPNLGPR >RHN39933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11805906:11806859:1 gene:gene46017 transcript:rna46017 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSPSLFSKENKEKSFTVSTISTKSDFKLKKLNHAFEITRMFLEFHNNTIPIQNEEPSNLTIAGEIDWCLNSTNSGASTKRSHECWASSGGGIVLEQNHHRQPSSSLVRASAAPPSPSPSPSSSSVILTVIDLFLVLLLRLLIYLVGFELLGRRRRKNEKGMNTGEKRRGEKNKRNWYKGKSVILPRCDRRKGIFVCSNQALLGQTATSANQ >RHN70194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49536816:49539823:-1 gene:gene18750 transcript:rna18750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MENTDSTQSVRVAVNIRPLITSELLLGCTDCISVVPGEPQVQIGSHSFTYDYVYGSTGQPSSTIYDDCVAPLVDALFNGYNATVLAYGQTGSGKTYTMGTDYNGAGSSGGIIPKVMETIFKRVKSMENSTEFLIRVSFIEIFKEEVYDLLDPNYSRGDIASTAKITLPNRVPIQIRETTNGGITLAGVAEPEVKTKEEMASYLSTGSLSRATGSTNMNSQSSRSHAIFTITMEQKKGDDILCAKFHLVDLAGSERAKRTGADGMRLKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADSNAEETLNTLKYSNRARNIQNKATINRDPAAAEVQTMRNQIEQLQAEVLFYRGDTSGPFEEIQILKHKISLLEASNAELKQELKRQQVTSESLVQRALDAQVERDKLILKIESVRNGKSWDEVDSNSNQDYDLVKSYVSKVQVLERELLRLKTPKSMSSSHFVDCADYDDDGYGLNEFSSDCHAKAMDLTGNTLENLIY >RHN82668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55561568:55562029:1 gene:gene6847 transcript:rna6847 gene_biotype:protein_coding transcript_biotype:protein_coding MMILVFERVIVSLDLENGLYQEFSHPLYDYEPANRITLGVLRDCLCTFSSSEKFSDVWIMKEYGNVESWTKLLCVPQMGGCGCYIYTKPLYISEDDQVLTYFFKMGKFSLAVYDSIHDTLKIPAIQNNIHDLVPEAYLPEIYFESLISHFSQD >RHN39043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3691600:3693775:-1 gene:gene45034 transcript:rna45034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MAKTTHIVVTSIPVFSHQSSIIEFCKRLIHLHHHIHVTCIFPTIDAPIPATLKLLESLPSSINCTFLPPINKQDLPHDVVLEIELAVVQSMPSLRSSLRLLCSTSTCTPVSALVVDPFASHALEIAKDLNLLSFVYFPLSAMGTSLFLHLPTLHEQVSGEYKDHTDPIQIPGCIPIHGQDLPPEFFHDRSSPAYGFFLLHSKNFSLAHGFLVNSFSKMEASTARALQKMHNNSTQLVYLVGPIIQNGSKSIDESNGSDCLKWLENQTPNTVLYVSFGSACTLSQQQINELALGLEVSGQKFLWVLREPSNSINVGNHSATNDHDDPLKFLPQGFLERTKEQGLVVPFWAPQTQILSHISTGGFLTHCGWNSTLESLVFGVPMITWPLFSEQRMNAMLLEGLGVGLKVRFNEIGIAERDEIAKVIRDLMIGEERSEIRQRIEDLKDVAAGALAEDGSSTMALSLFGTQMKNLSLCNEI >RHN44965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10327396:10329311:-1 gene:gene39154 transcript:rna39154 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWAPVVIGVVLFVFLQPGLLFSFPGNGKQLEFGGMKTNGKAIFIHTLIFFGLYAVIILALRVHIYTG >RHN60458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27014689:27015973:1 gene:gene22770 transcript:rna22770 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVFPNSKINRGILHISAKLQGGICKTSCSLTEKFDGRGKLTNVVKFQGVIEVLLISENLITFLILTF >RHN73170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14040409:14041007:1 gene:gene8957 transcript:rna8957 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDNERNTFDAPDEWWENKQLENPLYGKFREKGLPFANQLTTLFKDVVANGEHAWAPSSGILPNEDCGTDVGQDVNNIDLDVGEGSGEDVSIGAT >RHN60943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31724684:31724957:1 gene:gene23343 transcript:rna23343 gene_biotype:protein_coding transcript_biotype:protein_coding MYFAVRQRPYGTFARLPTHNLIRVALRSPSINRRWTKSGR >RHN81672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47975159:47975671:1 gene:gene5746 transcript:rna5746 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHRKLLLQLLQFQMFQAILLLLCVDLEFLLPIFPMVSVLLLCIFFFSPRFLLRLLSMLLHLIVLYCLYLKLLLLLLFFQIK >RHN46150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29236681:29239313:-1 gene:gene40593 transcript:rna40593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thebaine 6-O-demethylase MLIFYCWQVLPYRCTVFFSLKKNVLCFCVSRDLNVGPYIYYVVFLSAREDLVFKKTVFLFSKLMFLHSFQTGLFLSGSHTQHQRRPYQSTQRTVSIAKMSTLNGGADHVLGTTHKKEESPTFPYIQETARKNPFNVPESYVRTEEQMEKHLYTPHLSSHLPIIDFGLLSNGNKEELLKLDFACKEWGYFQIVNHGMQIDLMQRVKDAVAEFSELSIKEKIKYAMPPDDMQGYGHTSVDWSDYLVLLVYPTRFRKPQFWPKELKDTIDAYSNEIKRIGEEVINSLSLLLGLEEHGLLDLHREVHQGFRVNYYPPCNTPEKVLGVSPHSDPRTIAIVMQDDDVSGTEIRYKRNWVPITPIPGALVVNVGDVIEILSNGKYKSVEHRAITNKNKKRTTFISYLFPQGDAEIGALDHMIDDQNPKMYKDTTYGEYLRHVLNTKLEGKPHINATKINEWIGE >RHN50206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5109087:5112712:-1 gene:gene34469 transcript:rna34469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MSSTPRYRFSSNLSERSKLLSSEERQNRLLVAEMVAQAAIEFEYKYMREHEVFLSFRGEDTRASFTSHLYASLQNAGIKVFRDDDSLKRGDHISTSIHLAIQKSQISVIVFSRNYANSRWCLEELVKIMDCRRTQGQLVLPVFYDVDPCEVRHQTSEFGKAFQSLLNRISKEEGLYVRFGKAVRNLLSSLSIYKDESPDQVLTWRTTLREVAGLAGFVVLNSRNESEIIRNIVEKVTHLLDKTDLFVAHNPVGVETRVQDMIQLLDIQKSNDVLLLGMWGMGGIGKSTIAKAIYNKIGRNFEGRSFLGNIREVWAKNDGHVSLQQQLLFDICKETTTTMIQCIEAGKHTLKDRLCGKRVLLVLDDVSTLDQLTALCGSRQWFGSGSRIIITTRDMHILRGNRVDHVYKMKEMDEGESIMLFSWHAFKQASPREDFAGISRRIVEYLGRLPLALEVIGSYLFDRGIIEWKCVLDKLKRIPNDQVQKKLKISYDDLNDVLVKEIFLDIACFFIGMDRNDVIHILNGCELYAEIGINVLLERSLVTVDDMNRLGMHDLLRDMAREIIREESPKEVENRSRLWFSTDVLNVLSEQTGTKVVEALVLKLPISSSKCFSTKAFKKMTRLRLLQLTGVQLDGDFEYISRNLRWLSWNGFPLTCIPTSFYLGNLVSIELENSNIKLLWKETQRMEKLKILKLSHSHYLTQTPNFLNLPNLEQLVLSDCPMLSEVSHSIGYLNKILLINLEDCTSLRSLPRSIYRLKCLEMLQSAGTNTNGFVFFFNRKLIEGPIPIFLQSAGSIPNKKKCRDQCQNLMKVQGLKTYLNLSLIIM >RHN61621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36872171:36876165:-1 gene:gene24104 transcript:rna24104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MESGSVKRKSGGGGGNAVEVLDGSNIMELVGNKDVFSNFVNHKFQELDRDKDGKLSLTELQPAVSDIGSALGLPAKGTNPDSDHIYSEVLNEFTHGKQEKVSKTEFKEVLSDILLGMAAGLKRDPIVILRIDGEDLLEFLNGPSYEPEIVSIFSQIESPQKSLHDLIIQALSKLNVDQGIPPTSDSWVISNIVEPALLSEHGHDLDKPVSQEIFLEEFKKVALSVANRLKEQPVIVAHSESTFDGNGVKRLLSNKFELDKILNSAIETLPKDKNGKISKDYLRVALDTVAPSAALPPFGAIEEMDKVIGEVFKLVSADDAKLVKEEEFKKILTEILGSIMLQLEGNPIAVASNSVVHEPLGSSSTLLQPTPAETA >RHN59125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7727035:7727376:-1 gene:gene21115 transcript:rna21115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MQSSKYALSILREKVRKDGYKWKKYGEEKVKNEHKRGYYKCTHSDCQTKKKFYWSDDGTNEYFNYTNQHNHPNPQLRFVPLVAHVFPIVEQGPHQPYLAGVEVQGDKYCLLAF >RHN54259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8108626:8111949:1 gene:gene29263 transcript:rna29263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MKMLRWSMFITLTLLTVLPFLFENGTEASNSASAFVQNAIYSNRITIFSKSYCPYCLRAKRVFVELNEQPFVIELDLRDDGYQIQGVLLDLIGRRTVPQVFVYGKHIGGSDDLSAAVQSGELQKLLKSS >RHN63657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52987285:52989451:1 gene:gene26382 transcript:rna26382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MNIINKSCEEILLSLLSNCNTTLKTTKQIHTHLYVTGLHTHPFFFGKLLLNCAVSISDHVLNYSLRLFHHFPNPDTFMYNTLIRSLSHSSTPLSSLQPFIQLLRHPTLLPDSFSFAFTLKGIANDGCSKRQGIQLHSHAFRHGFDDHIFVGTTLISMYAECGCYEYARKVFDEMSQPNVVAWNAVVTACFRCGDVEGAWGLFERMPVRNLTSWNTMLAGYVKAGQLWLARRVFCEMKMRDDASWSTMIVGFAKSGSFHDAFGFFKELLRDRNRPSEVSLTGVLSACAQAGAFEFGKILHGFMEKAGFLCIVSVNNALIDTYSKCGNVDMAKLVFQHMSAEKCIVSWTSMIAALAMHGRADEAIRVFHEMEESGVRPDGVTFISLLYACSHSGLVEQGCALFSKMRNFYGIEPAIEHYGCMVDLYGRAARLQKAYEFIRQMPILPNVIIWRTLLGACSIHGNIELAELVKARLAEMDPNNSGDHVLLSNVYAVAGKWKDVAGIRRTMIEQSMKKIPGWSMIEIDKVNYGFVAGEKPNEVTKEAHDKLREIMLRLREEEGYAPQVRSVLHDVEEEEKEDSMSKHSEKLAAAFGIAKLPKGRILRIVKNLRVCGDCHTVMKLISKVYQVEIIVRDRSRFHSFKGGFCSCRDYW >RHN49301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53924740:53928792:1 gene:gene44116 transcript:rna44116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA synthase MENPIGRILEHWLVYHPNILNFTWNPPHTPASSLLFLSLSIASYLSLTLLLLFPLPPIPPHFLKPFTALHNLILSILSLIMAIGTSLTILTHTPNLRSTTICFPPHTPPNGPLFFWAYIFYLSKYLEFIDTLFIILSRSIKRLSFLHVYHHSTVPVMCYLWLNSSQSLFPIALLTNSSVHVIMYSYYFLTTVGIRPPWKRVVTDCQIVQFVFSFAVSGLMLYYHFGSDGGGCCGMKAWCFNAVFNASLLALFLDFHLKSYANSKNKKRTTDKDS >RHN81918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49807462:49808444:-1 gene:gene6016 transcript:rna6016 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEGGGADRESDMRERERGDGLVRETRNRWKGKGDWCLKLQKCPCHMEWVHRVYGI >RHN72839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11187376:11189344:1 gene:gene8600 transcript:rna8600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MGSMRIVLGVALWCAVLMHTGYAQLSPSFYSQTCPFLYPIVFRVIYEASHTDPRIGASLIRLHFHDCFVQGCDGSVLLNNTDTIVSEQDAFPNRNSLKRLDVVNKIKTAVEEECPNTVSCADILTIAAEVSSILGGGPSWPIPLGRRDSLTANITLANQNLPGPFSTLDQLKASFLVQGLNTTDLVTLSGAHTFGRARCSAFINRLYNFSGTGNPDPTLNTTYLQTLRLICPQNSTGNNLANLDLTTPNHFDNKYYSNLQNLNGLLHSDQVLLSTPNADTIAIVNSFSNNQSLFFLNFRVSMIKMANIGVLTGDEGEIRLQCNFVNGNSAGLARGGSKDGLLSSL >RHN61595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36697817:36698415:1 gene:gene24074 transcript:rna24074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Dim1 family, thioredoxin-like protein MDEVLASVAETIKNFAVIYLVDITEVTTIRLIGLSETNKSSLISLKLSSMVQGKDVVLSSLPKITLPNTATNFVLTYEPQTQKTRYETNTDMSNTGHAFNLKCWCYISKLWILLLYHLSCDSKNVPNSFSLYHVTTFVLQKLNYYTVGQRDVCLLLP >RHN42113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35484570:35485756:1 gene:gene48512 transcript:rna48512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysine methyltransferase, S-adenosyl-L-methionine-dependent methyltransferase MMSDSDEETNPFPTVQPNLLPNQELLQTHFLHSIQSTVTIRSLPSQGLSFQLWPAATSLVTLLDNHRLNPTTSPLSTVLSTLHTPPRILELGSGTGIVGIVAAATLGTNVTLTDLPHVVPNLKFNAEANAEAVGSNGGSVTFASLRWGHAADVEMIGGEFDVVIASDVVYHDHLYEPLIETLRLMLIKKEIVFVMAHMKRWKKESLFFKKARKYFFVDVLHVDAPCNGSRVGVIVYRFVGKKLVG >RHN61712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37715932:37728856:-1 gene:gene24201 transcript:rna24201 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLRDLSSPIDVPLLDATVAAFYGTGSKQERSAADSILRDLQNNPDMWLQVMHILQNTQNLNTKFFALQVLEGVIKYRWNALPAEQRDGMKNFISDIIVQLSSNEASFRTERLYVNKLNIILVQILKHEWPARWRSFIPDLVAAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRAELIRATLSTLHAFLSWIPLGYIFESPLLETLLKFFPVPAYRNLTLQCLTEVASLQFGNFYDVQYVKMYGILMGQLQTILPPNTNIPEAYAHGNSEEQAFIQNLALFFTSFFKVHIRILESTQENITTLLLGLEYLISISYVDDTEVFKVCLDYWNSLVSELFEPHRSLDNPAATANLMGLQVSSMLPGMVDGHGSQLLQRRQLYAGPMSKLRMLMICRMAKPEEVLIVEDENGNIVRETLKDNDVLVQYKIMRETLIYLAHLDHDDTEKQMLRKLSKQLSGEDWTWNNLNTLCWAIGSISGSMIEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVITQVGENEPFVSELLSGLPITIADLEPHQIHSFYESVAHMIQAESDSQKRDEFLQRLMELPNQKWMEIIGQAHQNVDFLKDQDVIRTVLNILQTNTSVASSLGTFFLPQITMIFLDMLNVYRMYSELISKSILEGGPYASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNAPDARESEVLSLFATIVNKYKATMIEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALICLSSQQLKLVMDSIMWAFRHTERNIAETGLNLLLEMLKKFQGSEFCNQFYRTYFLTTENEIFAVLTDTFHKPGFKLHVLVLQHLFCLLETGVLTEPLWDVATSPQSYPSNAVFVLEYTIKLLSASFPNMTTAEVTQFVNGLFESTNDLSTFKTHIRDFLIQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPIELQDEMVDS >RHN82568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54815259:54817081:-1 gene:gene6741 transcript:rna6741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MSSEIEVVEEVQYGDQQSTPSSFAGGVAEDRLQKDVYIATAYEDLEKLRRLVEQEGCLVTEPDATALHWSAVRGSVEVADLLLKEGAQLNSADKDGYQTIHVAAQYDQTSFLYHIVSKWNADPDVLDNDGRSPLHWAACKGFSDLIRLLLFLDVHRGRIDKEGFTALHWAAIKGNLQACILLVHAGKNKDLVVTDNTGLTPVQLASDKNHREIVFFLENYQRRLERRLDSDSPLGNIYKLFMNAISKPIISKHRFNTSFSLDISLSIRSLTYYIYHITFFISTSLKVSNIIWMYNEYFPKLLGLWSLTFVLLVTYTHCVILAKNMPKLTYAAGLFAWFGVLLATVGLLMSYRCSTKDPGNIRMNGHDTQNIKDNEPLLQYKINNPALLAGNWSQICATRKIIRPLRTKHCAICDQCVEQFDHHCPWLSSCIGKKNKRDFFAFLVLETSAMLVTGVVCLKRLAHSRRPSSFGSWIKIVVMQASNISSNLTTNEMINHERYSYLKGPDGRFRNPYDHGIKKNCSDFLINGYNEDLEYVEETNGDSCSHNAKGN >RHN55598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19903452:19911254:1 gene:gene30800 transcript:rna30800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ureide permease MYLVESKGGAIVCMLFSLFFLGTWPAVMNLLERRGRLPQHTYLDYTIANFLAAVIIAFTFGQIGTDDPNFLSQLSQDNLPSVLFAMAGGVVLSIGNVSGQYVWAFVGLSVAGVITSSIIVIIGTVLNYFLDDKINKAEILFPGVGCFFVAVCLGYFLHSSNIADNQAKLKDFANKDVAQGTSLSTLKEASHEVDSKDLENGSDPTCKVKVGTAVFLIELEKRRSIKVFEKSTFIGLAITFFAGISFSLFSPAFNLATNDQWHTLKKGVPHLSVYTAFFYFSVSCFVVAIILSITFLYHPILNLPKSSLKAYLGDWNGRGWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVVLFGEYRKSSRRTYILLGSMLLMFIAAVAVLMASSGHRKLS >RHN44544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5944579:5951363:1 gene:gene38673 transcript:rna38673 gene_biotype:protein_coding transcript_biotype:protein_coding METQSVEDNTSDVDVGGVSDNGEVIVDFEMSVVYFSEKVSNLSIFMMNLETLEGELEGLVCDEENIDMDCVLKGFEFDLLCGVMDSEVGDLGLFLDTLHAEISGSRERDSSLNNWQERLVESEEQFYEIKKQSFSFERTLSSYKKEENGNVEDDGNVQEDDQTSNVNNMMNMQTTEQHRNILRMLEKSLANEIDLEKNFNDSKKIEEKLNLRILSLEDELIQTEEEAIEVWERFFEADNAREILKGISNEVLAKLKLSQFNLVGLRKSESELRDKLETSIEQLKSRDVNLEKIESSAAEAVNLGDKVCSLEKQLQETECQLVNVKVSADEYQQQYNVVCSQVRDMDNLIVELKENAKNAENQANAAEVQCKKLTETNEELNKELTLLKDCGITSESVELLERQLKETDLQLQQAVASAGASEEKQKMLCYTIEDMEHVIKDLKSKVSKAESLADSAEDKCIILSESNADLNEEVSFLRSRLECLEGSLHKAEEANMTTAKDIGKQIKVFKSLVTQLALERERLIKKLSSLASENKILVVKLRQTYKGSSKEVGATFSSEHEGNKTSKNSSANDNEVKSDSIPDVGTVRRIDAGVLTFKHLLISLFVLLLSAVTFLYFKDLNVDVRL >RHN61720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37811563:37815214:-1 gene:gene24210 transcript:rna24210 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLWDLDNILQGSRNTQRNENGGVDNDVDSDNEDEMDVDNNTSKFTKGNKRKNASKGHAAGDSNNFFADL >RHN53478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1919565:1922580:-1 gene:gene28387 transcript:rna28387 gene_biotype:protein_coding transcript_biotype:protein_coding MESFEEKVCVFMDIESELHAPLEDLCLSEQSNDSEEYLTQRTLYWESQIALLEEILERHHMIGSKLRQEVGRIIDNIKASDYCSCFKPNFHYCTTCLRRLVAAMLCERGFTTNLCTSRWKTTNEFPGGSHEYIEVIASTTTRKKQVRFLIELELKEQFQIAKAGEEYQKLVSCLPEFYVGKPEYLTAIVRLVCDAAKKSMKEKKMYLAPWRKSSFMQMKWSGFNPTYHHTQASESNMSFSGAPNAVVAVN >RHN82270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52462927:52465486:1 gene:gene6419 transcript:rna6419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetylxylan esterase MDPNENPPKTKRQIFILSGQSNMSGRGGVIKHPNHTPNKRWNGVVPPECSPDPSILRFSANLKWEPAQEPLHTDIDTKKVCGLDSGMSFANSLRRRVAGELGLVPCAVGGTAIKEWARGEELYENMVKRTKESVKGDENTEIKALLWFQGESDTSSEHDAEVYRVNMENLIHNVRQDLNLPSLPIIQVALASGFEYIEKVREAQKGINIPNVICIDAKGLPLNEDNLHLNTESQVKLGHMLAEAYLTHFV >RHN73458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16629953:16636223:1 gene:gene9273 transcript:rna9273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MRDTERLNNQGSTKPSNTKEHIKLKVDSPQFKTSSTKHQRKKHRLKSKSHKLGGCTNASVRTATDSSNKASTKDSSNKTDRNSTQVQPSKKIQGGKTSLNNDRKGEKDVVDQEGNIQKRKRRRKKKRQRHNVDLDDTVRLQRRTRNILIRMKQEQNLIDAYAGEGWKGQSREKIRPEMELQRAKKQILKCKLSIRDAIHQLDSLSSVGSIEGSVIATDGSVSHEHIFCANCKINEVSPDNDIILCDGTCNRAFHQRCLDPPLETEDIPPEDQGWFCKYCDCKIEILEATNAHLGTRFPLDSTWQDVFKEEAAIPDGDAALLNQEEEWPSDDPEDDDYNPERKEESHGGFNTEGNDKNASDDSSSSSSMWSLNGECSLLDEGINLEYYSNDHIDSDESGEIACGRRQRRAVDYKKLYDEMFGKDAPPCEQVSEDEDWGPRKRRRREKESEAVNTLMTLHESENKYPNNKNNDRIRGNSSGIKRPCFRFSHEAVEKLRQVFAENELPPKSVKDALSKELGLDAAKVNKWFKNARYMALKIRKLQEGGQQLQSITSKTSKDSTSQHVQEDEVLNPKSAKITVISSLKKCENVTGKEKTKASSNPLKKKRPEIPPCLGENGNKDSMEVSDDVSLMKLLQDRKKKVSFAFEGDSEAAELEFERLSKVKTKIDRLKQRLTGVQNCRSKGSDEVHLNEPSIMYVPIAELREKVK >RHN40606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18145870:18147339:-1 gene:gene46774 transcript:rna46774 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWLDCVSLDVRYTRLFDLAVNKFVIVAEMFSLYWEVNGEAWKWGRRLFAWDEGFVRECMAQLLLVVLQVGVTDGWIYKLHSSNRYTIKSAYNYLTRLDVAIDDRCNHVEESDMQRNKNRAEKRKRDTSNYPGSFHKPEVVQSPLHFQGDFH >RHN55134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15439769:15441469:1 gene:gene30268 transcript:rna30268 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKLYVYKGFTYIHKHNLQDHNLIYKSVKMYATRLLSMYKKNPSALSDPPPSGPNSSYLVILDEEAQTYSCFGLSKDNRIKNFPLPQNKNVTINYSSGVNSQTGHANTYGEEAMFIPVLNQPLSSNRYYVIRRKGKYQGQANTSSKEEDMTTCFCCSFVNDVKPIALEPFNDYQQVEIIKKGYGFHAKSVASDGIPPGLLREKGWTLYASTPRNYQLSQALGSNDSLRSKLPNFNFSILNDCSESVVVGKWYCPFMFVKEGKNLKEQMKTSMFYELTLEQRWEKIFSKENSGEGGVLVDVAIQTEVAKVERKDAIWDENRLVDGVLWFKSVEEKSVGLSLEVVEGMKWEQERFGWNAGKGRQVKVTKFEEFGGTNKWKKFSCYVLVESYSLRRMDTKLVLTYDYRHSHQIRSKWE >RHN53510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2174907:2179960:1 gene:gene28422 transcript:rna28422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MDTTFDDEEGGPSVTLGEYLEEVEERELEADLVLGGDEGRECTYNKGYMKRQAIFSCITCTPDGNAGVCTACSLSCHDGHQIVELWTKRNFRCDCGNSKFGEFYCKIFPSKDIENVENSYNHNFKGLYCTCARPYPDPDAEEQIEMIQCCLCEDWFHEEHLGLESSDEIPRDEEGEPLYEDFMCKACSEVCFFLKLYPEVILVAGKQPNATAQVSKDKGILEDTPSTCGFEKPLGDTSYNSPKIDVAQASVGSESISNRAGLPPGGSCNSSTCTEGASLHVNCLLGVNIVAASPVIHGKAMFLSKNWRDALCKCKNCLEYYHQKRIAFLLDKEDSIVEYEKMAKQKREEKLQQQEGAELSLFNKLGHVEKVEILKGIEDMKDGLRTFLESADSSKPISAADIHQFFDDIKNKRRRVG >RHN57287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37833973:37839703:-1 gene:gene32810 transcript:rna32810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein disulfide-isomerase MTHVARVHSFFIKQRHFHPPQIHSSIYDSSTMAKLSFIAVTIFLFNNLILSHALYGSSSPVLQLTPNNFKSKCVLNSNGVVLVEFFAPWCGHCKALTPIWEKAATVLKGVVTVAALDADAHQSLAQEYGIRGFPTIKVFSPGKPPVDYQGARDVKPIAEFALQQVKALLKERLNGKATGGSNEKKESTASSSVELNSSNFDELVIKSKELWIVEFFAPWCGHCKKLAPEWKRASNNLKGKVKLGHVDCDADKSLMSRFNVQGFPTILVFGADKDTPIPYEGARTAAAIESFALEQLETNVAPPEVTELYSPDVLEEKCGSAAICFVSFLPDILDSKAEGRNRYLQQLLTVAEKFKRSPYSYVWAAAGKQPDLEQKVGVGGYGYPALVALNVKKGVYAPLKSAFELDQIIEFVKEAGRGGKGNLPLGDTPPTIVKTEPWDGKDGEIVEEDEFSLEELMGEDASTKDEL >RHN77410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6665501:6669634:1 gene:gene858 transcript:rna858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MGNQLIICKRRKLRSKKRPMGFVHHQTSPSQIGIRTVDVDNTCNFSTTSNLCISIFTWNMNGQVSFEDLAEMVGSNRDFDLLAVGLQEAPGNKIATMLSAALNESHTLIGKVTMQSLQLYLFGPKNAKSFIQELHVDKESFGGCGGIIGRKKGAVAIRINYKGIRLLFISCHLSAHGRNVQERNYECRHVSRSLFSKIWNPYSRPAHMTIWLGDLNYRLEGINAHPARNLIDQDLHHKLHGNDQLLQQAGEGQIFNGFCEGTLTFKPTYKYNKGSSDYDTSYKVRVPAWTDRILFKIEEDTDNVEATLHSYESMDEIYGSDHKPVKAHICLRLQT >RHN67514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27860385:27861144:1 gene:gene15709 transcript:rna15709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEFVKFVYVMIIFIFLCLVVENIDGFRCLRNLDCPDSMCSSAYTPRCRHRTCVCLNNDEIKIL >RHN78790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18473421:18474744:1 gene:gene2443 transcript:rna2443 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSTILLFVVLAVVHASAARTIPNGEGGHEEKMGEVHANLPAHAVSSTGKGVDDKKNFLVGGVGGVVGGFAGIGGVGGGIGKVGGIGGGIGGGIGKLGGIGGGIGKFGGIGGGAGIGGYHGIGGGIGKFGGIGGGIGKFGGLGGGAGIGGFHGIGGGAGIIGAHHGIVGGAGIGGVHGIGGAAGIGGLGGAAGIGGFHGIGGGVGVLGGHHGIGGLGGVGGLGGVGGGVGGLGGAGGVGGLGGAGGVGGLGGTGGGVGGLGGGGGGLGGGSGVGGLGGAGGVGGVGGNGGVGGVGGAGGLGGGSGGLGGGSGGLDCGGVHPCP >RHN79013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21061205:21063117:-1 gene:gene2691 transcript:rna2691 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEANRGTILCIMTRFLDKVVVPWVVHNEPWRDFGWRGAILTDLSHYLSTL >RHN46116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28818374:28824489:1 gene:gene40557 transcript:rna40557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxysterol-binding protein MAPMDPKQTTSSSSSGGGFFASIASTFSNFGTSASKSVNDTPEGLEVVNPEGGTEDAEEEAKKGRWKDEERDSYWKMMQKYVGSDITSMVTLPVIVFEPMTMLQRMAELMEYSYLLDMADETDDPYMRLVYASSFFISVYYAYQRTWKPFNPILGETYEMANHGGMSFIAEQVCHHPPMSAGHAENEHFIYDITSKLKTKFLGNSADVYPVGRTRVTLKRDGVTLDLVPPPTKISNLIFGRTWIDSPGDMVLTNLTTGDKVVLYFQPCGWFGAGRYEVDGYVYNSSEEPKILITGKWNEALSYQVCDPEGEPLPGTELKEVWRVAETPKKDKFQYTYFAHKLNSFDTAPKKLLPSDSRLRPDRMALEKGDLSVSGNEKSSLEERQRAEKRNREAKNQKFTPRWFDLTEEVTPTPWGELEVYQYNGKYTEHRAAVDSSDCIEEPESRPEFNPWQFDNLEAE >RHN52280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33434430:33439099:1 gene:gene36936 transcript:rna36936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferroxidase MASKLLLQRRLFRFLRQSQESLYSFSSSSSIQRSSFHSAKQTEILGFSTSSRSFCSRKSSLVDESNAPAPIDYTSLLQEGEFHRLAESTIHSLQEKFEDYGDFIDLDGFDIDYAVILSSRWWLIVVSRALIRGMGILIIFVVIVFLPCIKFWNLWCGAFYCLLNNVDELC >RHN77315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5965588:5968126:-1 gene:gene757 transcript:rna757 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronosyltransferase MDVSNVNKLLVKRAPSKTLVVRFNLFCFAIFLIVYATLLLRLPSSVYFDSAASLVGCSLRECHHKAEKGIQIKGVLEELPKPKSWKPKANGTKIEVPNFLGEKIGKEMKIGMVNMNEDDDISEWNIHGEIIPINFDKVSQFFNWKDLFPEWIDEEEESEVPTCPELPMPEFATYENMDIIVAKLPCKYPEEGWAREVLRLQVHLMVANMVVKKGKKDWKRKSRVIFWSKCRPMLEIFRCDDLVNHEGDWWFFEVDVKRLEQKVSLPVGSCNLALPIWEQGIDKMEQNVRSKTRAKHEAYATILHSSERYVCGAITLAQSLLKTGTKRDLILLIDSSISVRKRHALKGAGWKIRTITRIGNPRGKNGTYNKYNYTKIRLWQLSDYEKIIFIDSDILVLRNLDILFNFPQMSATGNARSIFNAGMMVIEPSDCTFSVLMNLRHDIVSYNGGDQGFLNEIFVWWHRLPNRVNYLKNFWANTTVEASVKNGLFSADPPKLYAIHYLGLKPWHCYRDYDCNWDVVDQRVYASDVAHQRWWNFHDRMDKNLQSFCRLTRQRRTELNWERRRSSNMGSLDYQWKVKVIDPRNSDSHLMD >RHN58029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43206479:43211302:1 gene:gene33634 transcript:rna33634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like ferredoxin MMGNNNREREESLTFTIPSSSSHSSPITVSDTLDSYLTDPRSASGSFQNDGVLSSGDVADVEFGFSRPDFRQSSLVGTVELYERHVFLCYKNPRFWPPRIEAAEFDRLPRLLYAAVKARKNHMKKETRLTICEGHDGTETSNGDVLIFPDMIRYRRLTHFDVETFVEEVLVKNGEWLPGTPETLKGSYVFVCSHGSRDRRCGVCGPVLVNRFREEIEYHGLQGKVFVSPCSHIGGHKYAGNVIIFGSSMNGEVTGHWYGYVAPEDVPLLLQQHVMKGEIIDSLWRGQMGLSEDEQIQKQEQRLLLSCIGDLEENPVLNGSLDNFTSCCQPNGVSCCQENGNSSFCQSQVSVDERMSSDVIETEAKLSADNNKSSKAAVSRINSGKGASCRSRSMTSWLDGWEQEDTYAALAVVCAAVSVTIAYNCYKQLT >RHN42726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40493699:40495921:-1 gene:gene49209 transcript:rna49209 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTPTSPTHVFCFKGSAKLMVPAARYQSSRATHEKFIVI >RHN43869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49095809:49102230:-1 gene:gene50503 transcript:rna50503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polynucleotide adenylyltransferase MGSTGLSNCDIGQRQRLGITEPISLSGPTEYDVAKTRELEKYLQDAGLYENQDEAICREEVLGRLDQIVKIWVKTICRAKGFNEQLVKEANAMIFTFGSYRLGVHGPGADIDVLCVGPRHATRKEDFFGELHKMLSEMPEVTELHPVPDAHVPVMGFKFNGVSLDLLYAQLSLWVIPEDLDLSQEAILQYTDEQTVRSLNGCRVTDQILHLVPNIQNFRTTLRCVKLWAKCRGVYSNVAGFLGGINWALLVGRICQLYPNALPNMLVSRFFRVYTQWRWPNPVMLCTIKEGSLGLQVWDPRRNPKDKYHLMPIITPAYPCMNSSYNVSLSTLRVMTEEFQRGDEICEAMEANKADWDTLFEPYPFFESYKNYLRIDISAENVDDLRNWKGWVKSRLRQLTLKIERNTYGMLQCHPHPGDFSDKSRPLHCSYFMGLQRKQGVPANEGGQFDMRLTVEEFKHSVNAYTQWKPGMNISISHVKRRNIPAFVFPGGVRPKCPSKVTWVSKRRSELRVSGHGQAEKSQVGKELGANNDRKRKQAEDSGDDLRNSRSFSSLPPSSRDVHEDGNPVSIANSCSVKCDDTELNCMSEQKSEKPDLNFSGECPGDRETDGSVKSNSQIIPLLAATDRSNFEEAEKVVIQKIVCGPCEVHQAFPEEPDELEDDLEYRNKVKDFGGNLANINFDSTKSVVAAEPVFSQKENSSSTHIYSNGGLEELEPAELTAPLFCGNPAPVPQRKPLIRLNFTSLGKTADKSS >RHN50333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6275297:6276140:-1 gene:gene34606 transcript:rna34606 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNASFNAGQAKGQTQEKASNLTDKASNAAQSAKESMQETGQQMQAKAQGAVDSVKDATNNRN >RHN69353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42845001:42845269:-1 gene:gene17808 transcript:rna17808 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEVGCSFNLIQSNNSAMLNVELSQIFHIPIKQPRLRCSMAVCWHPPDFGWTKVNIDGSCYGSPSCRSIGGMF >RHN39134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4349854:4351044:1 gene:gene45133 transcript:rna45133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MAEDSKLEEDRISNLPDGLLNQILSLLPIKDAVATGRLSRRWRHLWKHLSVLNFSLSHFKIYEECDGTEDPTEEFRSFALLVNGVLALLRNPRAIRKFHLHCAHSHLDDKFRAYSVDTWVRSVIGPHLEELNLVLYSKEYKSAFKLPQTLFTSANLISLS >RHN56977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35395074:35403262:1 gene:gene32450 transcript:rna32450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative XS domain-containing protein MAHCSIKDTGIAESQLSWWYLVSSYEELKTGKQKVKTSAETFSCPYCPERKQDYKLNELLNHATGIGRSSSEKRSAKEKGSHLALVKYLEQDLNSKDGASRPIDQGTNTISPGQTVMSHCSIKDTDISWWYVDTAYEELKNGTRNVKTSDGTFICPYCPNRKQDYLYRELLEHAFMVGRSSSEKRSARERANHLALLKYLEKDITSMPGPSKPVDKGTKLINQGQTVSAPCSNKDTGSSDPRISWLYVDKLYQELKNRSLKVRISDDAFTCPYCPKMKKPDYVYREILEHASGVGQSSSQKRSVREKATHLALMKYLKQDIIYVPAPSKPVTEGDSSGAMIQSREENATNRCSDKDSDKSASQINLGQLKRTYEQLKKGIQNVRTSDKTFTCPYCPNNKRIKRDYVYREILEHASGVGWSISQTRTDIEKADHLALVKYLKKDLINVGGPSKPTERGTITSNRGVTVTGRHSEKDTNNSASQINALFVNKSYAELKKGVHKVKTSEDTFSCPYCPKRKCDYRYSELLNHALGVGQSDAQRRSVLEKANHLALVKYLEKDLMTMNVERPLKPANKYLENNLMNVEQNRTKPVNENILVNSERQFVWPWTGIVVNIPTSQTEDGRCIGESGSKLRDEYRIRGFNPRRVRTLWNSWGHTGAAVVEFNKSWLGLYNALAFERAYELDCHGKKDWLSYTEQKSGLYAWVARADDYKVNNIIGEQLQKMDVKTIPEIMEQDARRFNRLVQSMHNILQVKNNKIKEMEVVCNEITLRMDIVMGEIDRLAQSHSQEMKKIQSSANQHFQSVLNGHERLKLQLESQKRELELRRIELEKREARNESERKKLDEEINKTATKNHFLQMAAMEQQKAGENVLKLAADQKRQKEQLHAKIIQLEKQLNVKQKLELEIQQLKGKLNVMKHMEDDGELDVLDMMDALHIDLREKEQSLRDMDALNQTLIIKERKSNDELQEARKELITTIKEMPSRADIRVKRMGELDFRPFLEAMKKKYNEDVAEDRASELCSLWEEYLKDPDWHPFKISTIEGKHQEAIDDEDEKLNELRNEMGEEVYKAVVAALKEINEYNPSGRYITSELWNYAEGKRATLQEGVKVLLNQWKLNRQKRGMM >RHN70749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53711540:53712475:1 gene:gene19363 transcript:rna19363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fasciclin-like arabinogalactan protein MGLRNTSVLCLALMLSFSSAINCLDITKTLGQYPELSTFSKYLTETKLAEQINSGKAVTILALDNKAIASLSGKPIDAIKAVIGTHVIPEFYDEKKLFDIIGSHAQLPTLSTAPGLAAKIYVSLINEGEMAFSSAVEGSTFDATLVQSTEAEPGVVSILQVSQPIVKVGASAPATPATLSKPATPAAVSTSSAGEVATPAAVPASGAGQVTTPAASPSVVIAESPESFGDAPAPAPSASSRATFRFIGAVIAFASIFVSL >RHN47266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38379892:38380387:-1 gene:gene41842 transcript:rna41842 gene_biotype:protein_coding transcript_biotype:protein_coding MCDDDDQYTNCNNAFNCGSSITNIKYPFWGENRKKYCGGVSSDPNMELTCEESVPKITINDAKYRIHDWNDIIQKLTIARDDYWSGICAVNVSGNPKNRTFDSTMFQRDGVVSSQVNLLYNCDTSIPNVVFSTTCRGNIEVVYTISDPRSVSL >RHN41752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32639208:32641724:-1 gene:gene48105 transcript:rna48105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MEKSKVLVVGGTGYIGRRIVKASLEQGHETYVIQRPELGLQIEKLQRLLSFKKQGAHIVEASFSDHKSLVDAIKKVDVVISAISGVHIRSHSIGLQLKLVDAIKEAGNIKRFLPSEFGLDPARMGHALEPGRVTFDDKMAVRKAIEEANIPFTYISANLFAGYFAGSLSQMGSFVPPRDKVHLFGDGKHKAIFLDEYDVATYTIKTIDDPRTLNKTLYLRPQENILSQGELIGIWEKLIGKDLEKTYIPPEGFLTTLKGLEYKLQVAIGHFYHIFYEGCLTNFEIGEDGEEASKLYPEVNYTRMDEYLKIYV >RHN68333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34694389:34697625:-1 gene:gene16670 transcript:rna16670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytokinin 7-beta-glucosyltransferase MKETKGCRLLLIPLPLQGHINPMLQLAQILYSNGFSITIIHTSFNSLNPSNYPHFNFCCIKDGLSESSASNLLNLVVELNIRCVKPFKECLGKLLCDVSEEPIACLISDAMCYFTQDVATSFKLPRLVLRTGGASSFVAFAAFPYLRENGYFPIQESKLEDGVKELPPLRVKDLPMINTKEPEKYYELICNFVNKTKASLGVIWNTFEDLESLPLSTLSQQFSIPMFPIGPFHKYFPTNNTSSSSSLIPQDQNCISWLNKHKPKSVVYVSFGSVASITEAEFLEIAWGLVNSNYPFLWVVRPGLIGGHEWLGPLPNGFMENLEGRGYIVKWAPQQEILAHQAVGLFWTHNGWNSTLESICEGVPMICMPCFTDQKVNARYVSHVWRIGLQLENGMERGKIERTIRKMMEDDIEGNEIRDRALKLKEEARVCLKKGGFSCSSLGRLVVHILSLVSFTFEAS >RHN67264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25317401:25318148:-1 gene:gene15438 transcript:rna15438 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDGHGGEPLVLRTVESVLLSGFPCAPCCVAYVVFIMWFGTRVCC >RHN62879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46435584:46441166:1 gene:gene25510 transcript:rna25510 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYAIRLRCSPLRSPPLHLSYGSKRYEDASARGSKFHLKFNHATLNFPQFSASHQFARSFGHANCMINNSFSVPNSKNIEGSENKNLRGMSGVSLVLGCILGIINFSGMMNPKISMALPFDPTNIGRGVNTFDSLWNTINAEGVELNPKLDPNETLVDKKKMHALYLRNRGKKREEVEMVEKLKDEYTKSKEDDPAREPYLRKAVFELLLIQGRFDEACKLLDSDIDTLLDDESDKNTYSKFFGGSTKRVYNYEEKITKLLNSYNNDETPFLEKQAISDILLYKAIVHTKLKDKEAHKEAAKWWEAFAKTLNE >RHN77202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4965222:4969855:1 gene:gene628 transcript:rna628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator C3H-WRC/GRF family MDLGVVSLEGLVSSDPESKNKWYNNNGSGLLKQERSGTCTEDELRSFKLAKTDQQVHQRNSCSASLLRSNATTTLFSSDGQHQQQQMLSFSTPTSKSESFLVDKTTQNAALSYAASYHPMSSYNRNTGYSSGSMHGGLSGVRGPFTPSQWMELEHQALIYKYITANVAVPHHLLIPIRKALDSAGLSSFSTALLRPNALGWGGFHLGYSNNTDPEPGRCRRTDGKKWRCSRDAVVDQKYCERHMNRGRHRSRKPVEGQSGQALTTGGTTNTATTTTSTITPSGSSKQINSAAASPSSSIVVPGGNTVSNTLSFAHQEHSKNMNSLIGQDNGSSAANTINRMFMNNKENNNTSETMQDGPALPMLPPTLELKPKENQPFMIQKQHISYDESSRNNEFGFVTSDSLLNPSQKTSTLLGTRTFGSSSQNPTNRDAGSQQSLRHFIDDFPKPHSDHQHHNRSGGFSWPELDMQSDRTQLSISTPMTSSDFMSFTSSATNEKLTLSPLRLSREIDPIQMGLGVGSSALNNNNESSNNNTTKQANWIPITWESSMGGPLGEVLHLSNTITYNANDDHYGSGNNNSSALNLMTDGWDNHNSPPMGSSPTGVLQKTAFGSLSNSSAGSSPRAENNKTTQEGASLCNDLLGSTLGNNTSFTP >RHN50169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4683290:4685419:1 gene:gene34427 transcript:rna34427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MEPPLNNIDLNIPFNPESEEETDNDPEHYVEESEEEIEIVMFDEDQPQEVYDTNIADIEYDFEENVQHVDESEPEGVLNADGNFVDGKIDGYYTIYEHIINNMTNTALGVRCKDKNHDAGFRRINFQEVYTFSFKPNPIVRVTLWFCRFTWNNDFQYFDIYIQKRDYRSCTKDCTWFINRYGPCRLKGTSLDCFPWNPKFAIVYEHMQLGHENNTLNV >RHN49232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53439288:53441815:1 gene:gene44041 transcript:rna44041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L22e MSRGSAAGAKGKKKGATFTIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVSVTREKSKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRSIYELRYFNIAENEGEEED >RHN61302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34655951:34659626:-1 gene:gene23750 transcript:rna23750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative retrieval of early ER protein Rer1 MEGPGGSSASATAATPPQWWQDFSKLFQHYLDKSTPHSTYRWIGTFVIASIYGLRVFYLQGFYIVSYGLGIYMLNLLIGFLSPLVDPELEPSDGGPLLPTKGSDEFKPFIRRLPEFKFWYSFTKAFLIAFLMTFFSVFDVPVFWPILLCYWVVLFVLTMRRQIAHMIKYRYIPFSLGKQKYGGKKSYASSSSGSRAD >RHN42249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36710119:36710921:-1 gene:gene48666 transcript:rna48666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative encoded peptide MGLFQVTTKYLIVILALSIVYNSFQITQARPIKPLNQQSSLNTQDSGAIHTNSFRPTTPGSSPGVGHRNFVVGDKNTRTMVVVQSPDVEVFVTNKRSDDGFKPTNPSHSPGVGHGYHTKIRHLN >RHN80322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37169279:37173828:1 gene:gene4232 transcript:rna4232 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SEO-F2 MSTALSYNVPISGTTTQKNDTSQQQKSQLPNPFKLEDIEILNKVYLTHVNDNMKYDRDTLFNLVSNIISASTQTSGTNSGLNTQISFKPDFSVLKRISCQMITTRGTAECAHQTTMWVLHHLRGFSWEAKALITLAAFSLEYGAIMHLHRIQSSDTLGNSLKQLSQVQFRKVPADITELVTFLLQVLQDIKTWAAWSAFGYDLDDVNSLPDAMQWIPLVVYWTVATIVACTGNLVGISEHKLSDYVKSLSDVVKELRRHLKSCELEIGKIHENENLLKDSDNIKDVVAFLRLLIKGNGTDQIPPIFIGNDQVKTGIEVFKKKHVLLFVSGLDTLRDEILLLNSIYKRLQDKPQEVLKGSFKKEDFKILWIPIVNKWDEDRKKEFKNLKESMKWYVLEHFSELPGRGIIKKKLNYDIGYPPILAVINPQGDIINKDAMEIIFQWGIDAFPFRISDAEDIFKKWEWFWKLMKKVDVNIEKMSWDRYIFIYGGNDPKWIQDFTRAIGSIKKHQTIQNVDVNIDYHQLGKNNPTEIPYFWMGIDGRKQQNKTCKDSVDCEIQTAVKKLLCLKQDPLGWVLLSRGRHVTVFGHGEPMYQTVADFDKWKNNVVEKESFDEAFKEYYDTKLSEISSSASCAVNSSDVLATITCPNPFCGRVMEVTSVNYKCCHRDDPDSCCI >RHN44387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4114964:4115420:-1 gene:gene38504 transcript:rna38504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MYLNEIKDAFKDEKHKYYEFLRVLKDFEKRRIDLEGVVARVKEFFQGHDELLLKFQTFLPDGFVISLPPKKPKVITKEFALKYISKVKVCMCIVDLYDGFFSSRFIFDVKSGSVCFALKI >RHN62632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44830852:44832081:1 gene:gene25227 transcript:rna25227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLHSSRFSTIKQFTTFVSKILTLHHTSTALHKLDLDRRGDIEPQLLKKILNYVTSHNTHLHELGISVRGDSSLIMRCVSSCHALTSLKLSLYPRGSTHIHNYTKTLFPKSLNFPFLTTLYLENFAFCGSENGCAEPFFAFTKLNSLVISSCEVKDAQILNISSETLVNLALHDNLLDFVKFKLSAPSLCTFTFTGDLLQKIYGSSLSSIKQVNIDAQEVLYSEDSAMVLLSLLQDLANVESLAVTSTTLQILSLVPDLLEVKFHSLCNLKSLEVELIPLQDGYLSQSIKNVMYKKAAAKSHEELLKLCKAFKRRMELPAIPDGIVDFLRQNSPSAEVNITTDYFIYFNLKQVASYLKTIWELSVLIFC >RHN69513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44076737:44077141:1 gene:gene17992 transcript:rna17992 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTGMGMEMAHQSKSAADKNFRKFSSFPPVRGSIKRRMFMSMFGKIKYTLCHPILFRS >RHN77627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8240350:8244928:-1 gene:gene1099 transcript:rna1099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, synaptotagmin-like mitochondrial-lipid-binding domain-containing protein MSILSTIASFLGFGIGTSLGLLIGYFMFIYFESIDVKDPTFTPLVEQEAKTVQQLLPEIPLWIKNPDYDRLDWLNKFVECMWPYLNKAICKTTRTIAKPIIAEQIPKYKIDSVEFEELNLGSLPPTFQGMKVYSTDEKELIMELSMKWAGNPNIIVAVKAFGLRATVQVVDLQVFASPRIMLKPLVPSFPCFANIYVSLMEKPHVDFGLKLLGADAMSIPGLYRIVQEIIKDQVAKMYLWPKALQVQIMDPSQAMKKPVGILHVKILKAVKLRKKDIMGGADPYVKLKLKDDKLASKKTTVKYKNLNPEWNEEFNVVIKDPESQDLMLNVYDWEQFGKAEKMGMNVIPLKELTPNEPKLLTLKLLKTLVPNDPENEKSRGELIVEVMYKPFKDDEVSKNSEDTEKAPEGTPASGGLLLISIHEAEDVEGKHHTNPFARLIFKGEERKTKHVRKNRDPRWGETFQFTLEEPPINERLYVEVISASSKLGLLHPKETLGYVDINLSDVVSNKRINEKYHLIDSKNGKIQIELQWRTP >RHN72678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9678685:9679344:1 gene:gene8424 transcript:rna8424 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVEKRLNKKKFLKRTLKYVFSISAFSIFLCYYSSDFFIHSQTFNANFFCTCLFTIFTHTLERKYMFLICNGILAFLAKNLFIITTTSSDYDFDQFHASDDMVVAPLASFESLEEVSLMAEELEEEYYEKQVSEAEEHKEDTLNIQNEGIDEEEVTETEVDANVLAQDYADDDEVDETTLTTNEELANTEELNRKFEEFIRKMKEEMRIEAQTHLIAV >RHN78719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17794357:17795588:1 gene:gene2364 transcript:rna2364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MRDFTRNMEKGRYFDFFFGFVQGHIMTRNLTFLGLTSQAWSWFIKQKFTYHLSTHTQELKLQVYCNKMFKQMSNLTVIVKVRKTKENID >RHN78859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19260336:19262234:-1 gene:gene2520 transcript:rna2520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MEQNIEFTFWSSNNLEIQNSISQSNNMKQTQTHFQIPQTTFSKPPRSSSNLSNRKPSLNITIPSITKPLPQQNIVKSENTNTKEDNKHYRGVRRRTWGKFAAEIRDPNRKGSRVWLGTFDTAIEAAKAYDKAAFQMRGSKAILNFPLDVATDSVESSLSNCIKVGKKRQRDEGNCVEINNNNNNIKQVKKEEGVLAAPLTPSCWKGFWDTDVMGTIFSVPPLSPLSPLMGF >RHN46463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31939870:31941614:1 gene:gene40951 transcript:rna40951 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPNSATVTSSSSTANGETSQPNENAQVSPNRPRESPRVSIIYGRFARRINGHSAIARDDNCSCLAVTFCFWFFVAVIMVMGVYGSMSVVLGPCSSLILQPNPMFVQYVKVENLKANPGLILYGTHQDPPLDVVSTWSETHNMSIPYGSEWKYYLNRGSEVNISYSLSSENSSLYLVIAEGICSKMRFQWFLF >RHN74781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36672014:36674017:-1 gene:gene10895 transcript:rna10895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEFIKFVSVIIISISLCFVIVNGGFERCCTYNSECGPYCSDPIYGMCWVNNVDAYS >RHN66856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20297100:20297369:-1 gene:gene14965 transcript:rna14965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MPKSFFEIYSLQSDSWRKLDLDMPTRHLNTDSEVYFNGVCHWLGKSTDVTYVMSFDFSNEVFFSKPLPLEDVHNDFDVNLAVLCPLLQY >RHN56324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29833844:29834220:1 gene:gene31708 transcript:rna31708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase I transcription initiation factor TAF1B/Rrn7 MKLDMEENLFCYVPPRVKPKNLDYVHYVRKKDKGAMTYVAHADYYILLRTCARIAQVDIRILQIGVLRLEKRLAWLEKRVDQCLHLKPSSISCQFCSVKTTKNVSADVP >RHN78352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14326799:14333227:-1 gene:gene1896 transcript:rna1896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLYISFLCSDLVKGSVSNVTEASPVPELEIPQDPNRLHRLVSEGDVTGVRDFLAKAASENESNFISSLLEAQNADGQTALHLACRRGSAELVETILDYPEANVDVLDKDGDPPLVFALAAGSHECGCSLIKRNANVTSRLRDGLGPSVAHVCAYHGQPDCMRELLLAGADPNAVDDEGESVLHRAIAKKFTDCALVIVNGGCRSMAISNSKNLTPLHLCVVTWNVSVVKRWVEVATADEIAEAIDIPSPIGTALCMAAASKKDHESEGRDLVQILLTAGADPSAQDSQNGRTALHTAAMTNDVDLVQVILAAGVDVNIRNVHNSIPLHLALARGAKTCVGLLLDAGADCNLQDDDGDNAFHIAAETAKMIRENLDWLVVMLLNPDADIEVRNHRGKTLRDILEGLPREWLSEDLMEALVNRGVHLSPITFDVLDWVKFKRTVTEPKHGWQGAKPNSVGFVQSVPGRDNDDLIVSFCSGEVRVLTSEIVKLIPLDRGQHVQLKGDVNEPRFGWRGQSRDSIGTVLCVDPEDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRVRPTLTTSKHGLGNVVPGTIGIVYCIRPDSSLLVELSYVQNPWHCEPEEIEHVPPFRIGDRVCVKRSVAEPRYAWGGETHHSVGRISEIENDGLLIIEIPNRPIPWQADPSDMEKVEDFKVGDWVRVKASVSSPKYGWEDITRNSIGVIHSL >RHN62022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40134228:40135442:1 gene:gene24547 transcript:rna24547 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVELGQRKMTILWIILNAYSSITIIHRCMIFVFSFMILDHSAKENGGGWGHLEIRGR >RHN45474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21285841:21288902:-1 gene:gene39819 transcript:rna39819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MASKTPIRSTTTPLSNSIFKSKHIFLHEWWLVKPPNQCKGLALAGIASMERERMFFSSVIVERHEPNVVETQDGITVMFHGLINASRTSQNGFSSEVCRRFSVGFPHNWKNYSAHSSENECENVDKVTGFDDSNTSSHKITADETSQEAEGNNNIANLRLSQPHVGVIYNGENGFSNASPHKKAADGTLQEDIEPEGNDNIAGLKLSQQEDMEPEGNNNIASLDLSQPQVDMISNGENGVSSVAAAENSQNLISVFEFDPTYEPDSLRSPLYAKKLDFDILSSDRMDKKRTKKKIVGETGNLCSSRVLTRSIAKKSHMMLKKDGKTAVKCFTSPVRRSTRLLNYQK >RHN48094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44944752:44945069:1 gene:gene42769 transcript:rna42769 gene_biotype:protein_coding transcript_biotype:protein_coding MESFDRRLGKDLKKEEVMVMINVALICTNFSPSFMPSMLEVESMLEGSTKVQEAVAESNEVLNDKKYKVMRQYYKHIGENSSSETQSQNIELVESLAFIYDINSS >RHN66295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10446889:10448216:-1 gene:gene14246 transcript:rna14246 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFYGDAIVTEEGSDDNTIPRYIFEFVNFNDLIKRCGKEVLADVIGFIIDVDPIEEKTTVNGKVDMLSLHLGDGRCNIICSP >RHN57057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36161334:36167355:-1 gene:gene32549 transcript:rna32549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator FHA-SMAD family MKMKAAEEALEEKQKVKPSFELSGKLAAETNRVRGVTLLFNEPPEARKPDIKWRLYVFKTGEMLNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVIQFRIVPLNLNGIMNLGSRIPSNSVIVAENMYYCTRTLLLLSDDLSKFVPSCSSEVIKTTL >RHN72433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7592919:7594169:-1 gene:gene8143 transcript:rna8143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEKSATPTTGKVSNHIPDDVAFSILSKLPLKSLQRFESVSKPWSLLFQNSYFMKMYRNHIIYRNHSGYDDASLILRHTIAVDYVTPLVEPLIRSTFYFISGERFENRVKLNMSLPFQVLGQDIYILGSISINGFVCLSNVPDDERKAVLWNPTTEEFIVIPSSPVESLPYRKFEAFIHGFGYDRVRDDYKVIRYVVFDSLSFYDVMFRGLSEQEASWKDVPMEPLWEIYSLRSNSWKKLDVDTSMVMSPETREETVRFYMDGMCHWWDKIEKDNDDGGTYFVSFDVTNEVCFTTPMPSDIDHTFDIRLVKRQLVMLNGSIGLISYSGETNTLHVSILGEIGVKESWTKLFIVGPLPHVKYPIEAGKNGDIFFIKKDGELACFNLDTQTIKELGVEGDMSQIVIYKESFLSIRSIHN >RHN51601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20761239:20771118:-1 gene:gene36073 transcript:rna36073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEVAKFKAPDTTDVMRAKDGVDNIIREAIGNQPFFYFPTRVGDSPGQLVQLLHTFDQQEYPGWPLLYPLKAPSDKCEKCPQEFCSTINYRRHSPLHHRFKKLDKDTSKTRDFLGAYWDKLSVEEAKEVVSFENVMLEGVPGSSILDTLTTLTQKQGFFLLPPCYLRAGAALLDILESKPSSFPIPSHELFNILDDASEGTFLLGTAASMQKYIFLGGDEKIGLEPKNVVACTSFLLEQILVKAWLADKDAEALRCQKQLVDEEEAAKKRQAEVLKRKREKKIRQKEQKAREQSHKLKVETKGNVDTTVKTLSSAEKSLDTYDVEAQNPEFANNAPSHVPFQRPDVNEEKYGDTQSGNAFGSDQIIGQPERELDRPCRAIARWQELPKPPQHTVVSDLHTKKNPPISKPEVVQQYGTRDYQREDTIVNTAGKAWLDKPKPETDRMVLKTGAKIKADLVKNHDVLIGSIPVNLVKNPEVLIGSIPVNLVKNPEVLIGSIPVNLGKCSKSVGNGVASQEKCLIENVEKLSNSQDKPKKPDFVKTGNDQSTVKLSTPVSQFETKDLFPVQSPEAEVDAVNRGEGFQNLSKLSSYGSDIGFENKISNPEGRVDPGKVQFSSQAAKAFLAQRWEEAMSSSHVKLVVSLDAESPGSHKIQDLKVAACESSDVDRCSNILANEENKSLSTTKASKSKRSGKSKTGTNYKYIPKENTT >RHN69906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47256451:47256684:1 gene:gene18439 transcript:rna18439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin regulator PHD family MANIMARAKYAVVEKEDYSDCMCERCGSGEQPEELLLCDKCDKGFHMKCLRPILARVPIGSWICPKCCFELERLKSK >RHN57520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39745267:39755595:1 gene:gene33075 transcript:rna33075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclear control of ATP synthase 2 MAVVPSETESSLNRNLPSLFYSDFLRNRFSAFHFSPSTFFSSLTSAFRSSRRRECLPLPLPSNYLDSSMNYSNASRVHAVLEDVLERVLTNMHRIQKNLQFWQSIAQKSDNRKAYFMVFERGPRAFIGETVKLLRGRAAQDSSLQNICQSASDYVNERVTVLSFLRCSLAIFLAQIYVEVDKVGEELITDPEHKLPSLLVTINELFSTLEASIGHLHAVRQSDSSVDGTYSIPLLFEKLPEINQDGSQWTDCEIRDAISSVYQNLDKLESYICSLVVKHRKPKNITQHWVHYACGAVGLSVCSLWLLRHSSLMGSSDLDNWIQEAKDSTVGFFTDHVEQPILSIRDELFETFRKRHQGIMDFEEVRLTSNSLHRMLLAFSEQTKGQKSPVNASDQEMLEIVMDRYEKELMHPIQNLLNGELARAMLIQVQKLKLDIETAMLELNQILRANEINFAVLAALPAFFISLLLIMLVRAWFKQDTKAEGRGRVARIQRRLLVVEVERRIMQHQIYVEQGLERDAHYMLGLILYSLDRLYSSVKRHAEASGEWQCLRQDIIDLARPGLQTSHKLAVTSRIIKVYDCLLPVLRPR >RHN43694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47758016:47762155:1 gene:gene50309 transcript:rna50309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde dehydrogenase (NAD(P)(+)) MTDLNSSNGDNSSLFKMPTIKYNKLFINGDFVDSVSGSTFETIDPRTGDVIARISEGAKEDIEIAVKAAREAFDSGPWPRMSGVERAKIMMKFAELIDENIEELATLDAIDAGKVYFINKAFEIPSAANTLRYYAGAADKIHGEVLKSSGQFHAYTLMEPIGVVGHIIPWNAPTMVFFTKVSPSLAAGCTMVLKPAEQTPLSALFYAHLAKLAGIPNGVLNVVPGFGPTAGAAISSHMDIDVVSFTGSVEVGREIMQAAAKSNLKHVSLELGGKSPLIIFDDADIDKAVELALLGILANKGEICVACSRVFVQEGIYDQVEKKLVEKAKAWVIGDPFDPKTQQGPQADRNQFEKIISYIEHGKREGATLLTGGRRVGSQGYYIEPTIFSNVKEDMLIAQDEIFGPVMALMKFKTIEEAIKSANNTRYGLAAGIVTKNLDIANTVSRSIRAGIIWINSYLAVGSDIPFGGYKMSGFGRDQGLEALHKYLQVKSIVTPIYNSPWL >RHN67043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23190618:23190833:-1 gene:gene15175 transcript:rna15175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type MSTSCNKKDLKNLLLFKKGVVDTEGALSPWKVEEDCCSWEGVYCNKLTKRIRRLDLPNYLGGELYLNILLI >RHN61841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38787367:38788073:1 gene:gene24342 transcript:rna24342 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSEGECRPLGFLLGLPFAFLCLLISIVGVLIWIVGLALTCICPCCLCLTIIVELALELVKAPLHVMEWFTSKIPC >RHN72282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6432904:6436194:1 gene:gene7976 transcript:rna7976 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLITTSKPLILKSKLLCFSLFYLFTTLFLALYTTLSQSKCFFRSSPSDPILNSLFNYPSSYGEHKYAVSTTRSTCSSPVFFSDYWDVVDEIENFRKKSRGNGRVLRYMQGNVGTFGGNFSNVKRFSYFNHPNDSIEVPCGFLKEFPISDSDRMAMEKCDKVVVVSAIFNDHDKIRQPKGLGIKTLENVCFFMFVDDVTLKGLEHHGMISIKSQEYKIGVWRLVKVAKDDLYQSPAMNGIIPKYLIHRLFPNSQFSIWIDAKLQLMVDPLLLIHSLVIKENVDMAISKHPFYVHTMEEAMATARWKKWWDVNALKVQMETYCKNGLQPWSPSKQPYASDVPDSALILRRHGVGNNLFSCLMFNELEAFNPRDQLPFAFVRDHMNPKMKLNMFEVEVFEQVAVEYRHNLKSSDGTTLKKMSSSRRTKRAAPDLYVHNGSCCGGCHKYLSTMWGDSND >RHN47715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41904801:41912551:-1 gene:gene42345 transcript:rna42345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Arv1 protein MGYRCIQCGFTINTLYFQYSPGNIRLMKCENCKAVADEYIECEIMIIVIDIILHKPKAYRHLLYNVINQEAMKFQGLLWKLAVIFLLFDAYRYLILESSKGKLGSSMSYSSLVSMCCKMLIDVCFGNFMFLLTFFFMVKMFLHISISVSRCNDILLGLLISCYSKIFLIAMTVWEFPSSVIFIIELFCLSSNAVALKVMTESSMSRCVWTCFSAYAIKLFFIQAPELILLGKLMQGWSQMPFTLSLKSVFV >RHN60847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30881448:30882584:-1 gene:gene23233 transcript:rna23233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MALGSEGGDERNTVGTLTSSLPLPTLPFDLIEEILSRLPVKLLLQLQCACKSWNSLISDRKFAKKHLSLSTTHSLHCVSGYSQNFILKSYPLDSVFTNVTTTVFRRPEFSVCQSVDFVGSCNGILCFAAKESEYSNFIVRLWNPSIKKLKELPSLGEPRRFRYIPKMYCFGYDPVSDNYKVVVVFRELVDFSSSISNSMCYKDIVTYVKVYNLGTNSWKSIPMFPYVASPIEQSGQCVSGTINWLASKKSQCFIISLDLGNESYKEILLPNYGEVDARILLLSVLRDCLILFSGDDVWVMKEYGNKESWTKLFTISYMPSFIQAIHIFEDEHVLLHCGEYGNYSYIIYNCRDGTSKLIGYLNMLSPEVCVESLISPCS >RHN75229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40357257:40358584:1 gene:gene11402 transcript:rna11402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MELKHILIFISVLSLALAGGSHASLPEEEYWEAVWPNTPIPSSLRELLKPGPEGVEIDDLPMEVDDTQYPKTFFYEHELYPGKTMKVQFSKRPFAQPYGVYTWMREIKDIEKEGYTFNEVCVKKAAAEGEQKFCAKSLGTLIGFSISKLGKNIQALSSSFIDKHEQYKIESVQNLGEKAVMCHRLNFQKVVFYCHEIHGTTAFMVPLVANDGRKTQALAVCHTDTSGMNHEMLQQIMKADPGSKPVCHFLGNKAILWVPNLGLDNAYGANAAV >RHN51848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26682575:26683461:1 gene:gene36393 transcript:rna36393 gene_biotype:protein_coding transcript_biotype:protein_coding MHTGQALFPLEIEPSIPQIRRLSNMYSELKEEEQRKFGATCFFIGN >RHN65600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3678455:3679956:1 gene:gene13455 transcript:rna13455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MASMSASGSWSAKDNKAFERALAVFDKDTPDRWSNVAQAVGGGKTPEDVKRHYEHLLRDVRHIESGQVAFPNYKNIGGYDEEKRLRNLKLQ >RHN64856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62479672:62480541:1 gene:gene27722 transcript:rna27722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ClpP/crotonase-like domain-containing protein MYDPRIYVVYLNIQNLNTGWGKLDEIRRQILNFRKSGKLIFAYVPEIGVKEYYVASACKEIFAHPLVEVHLYGPTVDKFSFIKGVYYNFDFSHDTITALMDNIYSNWLDVVSSSTGKKRENVENLVNLVTEGVYEVEELKEEGFITDLLYKDDVITNLKERLGVKSLPFVSFKEYSRVSKSTVGLISRAKELIAIIRDAGSITSTTEFVENIYRVRASTEFKVVIVRIDSSGGKFRASNYMWREMKRLAAEKPIIASISDVATSAGYYLVLSNGSRSYCCRKYYLSWFF >RHN74428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33146026:33154230:1 gene:gene10501 transcript:rna10501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative defective-in-cullin neddylation protein MHKLGRGHRDKVQQFVTITGASEKVAMQALKASDWNLEGAFDYFYSQPQLRTFTDTRHLEELYNRYKDKYIDMIYADGITLLCNDIQVDPQDIVMLVLSWHMKAGTMCEFSKKEFTEGLQSLGIDSLEKFREKIPYMRSELKDEQKFREIYNFAFGWAKEKGQKSLALDTAIGMWQLLFAEKQWPLVEHWCQFLQARHNKAISRDTWSQLLEFAKTVSSNLSDYDAEGAWPYLIDEFVDYLNENGVNQHGQITDSSLKR >RHN42122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35564498:35566693:-1 gene:gene48522 transcript:rna48522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease III domain-containing protein MRCNSMLCRDNLVNVSYQESLLKYSFRDRSLLVEAMTHGSYMLPDVPRCYQFACYQDLIHAKVRLPRTFGVGEVSKTIQLKEP >RHN81548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46898479:46903158:-1 gene:gene5613 transcript:rna5613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSGNSSCTVYIGNLDERVTDRILYDILIQAGRVVDLHIPKDKESEKPKGFAFAEYETEEIADYAVRLFSGLVTLYKRTLKFAISGRDKNTPNSSTATTPSSNSSQRPRPYPVQINSSENFQHSARQSIPDRFSDRALNYSQVGELLGRNRATNQSNAYGSHFGGNHLDHSRRAFGEELDNASRSRPRRPDTVSPISYPPY >RHN54741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11754621:11755294:-1 gene:gene29819 transcript:rna29819 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLINENPVIYERKQRQQRSTQSLPADEYTVEPIDQQEIFDILFFFFLNSIFLFHYHFY >RHN46081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28323088:28324480:-1 gene:gene40521 transcript:rna40521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MDDRISLLPNETICHILSFLPTEDVYATSVLSKRWIPLYLSVPTLDIDDKRFVSSGKSYSNFMMMVCATLFAQMVPRYIEKKIGLRKVRISLYGGVEPSLFEKSLTAVAERGMEHLDLLLIVPQSPCYILSFRNLVVLKLKVISFREFPITIDLASLKILHLFRVYFKERWYLAEFLNGCPILEEIEAKDLSLKYDWGFHEQKGKFKKMHECGFHEHKEKFKKLPNLVKANLINLVPFYFTPPLTALCNVQFLRLEEVYDRAVFSNLTHLELVFGTTGADWYMVYGMLNDCPNLQNFVFDKPPLSESFDAGWYEQMELRVVPKCFSSQFRKCTIKNYRYEFGFVKYIMQNSTSLRCMALYTPASLDDPFEKLEILNELFSIRERTSTYEIVFI >RHN45463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21145657:21147539:1 gene:gene39808 transcript:rna39808 gene_biotype:protein_coding transcript_biotype:protein_coding MINITGKYPLSVTNMYGATKMLINADISHIKTFRESLPKNDQMMTQSQVMCTQSSAGSQFSMDDDLLSNPLIMPLSDIL >RHN45665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24268834:24270652:1 gene:gene40047 transcript:rna40047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MATQETLLHKPRKSIPKTFWLVLSLAAIISSSALIISHLNKPISIFHFSSAPNVCEHAVDTNSCLTHVAEVVQGSTLDNTKDHKLSTLISLLTKSTTHIRKAMDTANVIKRRINSPREENALNVCEKLMNLSMERVWDSVLTLTKDNMDSQQDAHTWLSSVLTNHATCLDGLEGTSRAVMENDIQDLIARARSSLAVLVAVLPPKDHDEFIDESLNGDFPSWVTSKDRRLLESSVGDVKANVVVAKDGSGKFKTVAEAVASAPNKGTARYVIYVKKGIYKENVEIASSKTNVMLLGDGMDATIITGSLNYVDGTGTFQTATVAAVGDWFIAQDIGFQNTAGPQKHQAVALRVGSDRSVINRCKIDAFQDTLYAHTNRQFYRDSFITGTIDFIFGDAAVVLQKCKLVARKPMANQNNMVTAQGRIDPNQNTATSIQQCDVIPSTDLKPVIGSVKTYLGRPWKKYSRTVVMQSLLGAHIDPTGWAEWDAASKDFLQTLYYGEYMNSGPGAGTSKRVKWPGYHIINTAEANKFTVAQLIQGNVWLKNTGVAFIAGL >RHN61354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35062682:35065556:1 gene:gene23810 transcript:rna23810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-binding, CRM domain-containing protein MTASSSVSSHFLFVLRSQPPLPSPSSSSSFISFLKPFFLPSSNITLRKFHLHPPLQKTLSPSFLSTPPFSSRAFFYTPPLSSFVEGSASKFIEEDEDEYDEEGDEFESERDDFEDTKVVVDEIGTTSSPLVSKREERLKLEVPSLSVKERKELGSYAHSLGKKLSTQLVGKSGVTPNLVTAFSDNLEANELLKIKIHGSCPGELEDVVKQLEESTGSVTVGQIGRTLILYRPSLSKLKVEEKKKQVRKLFLEKQNKRRLLINRSREQEPKSSRRGSPWKARGNRS >RHN56816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33955699:33956711:-1 gene:gene32270 transcript:rna32270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDHLMYSNPKLLDCCNCSQPLTIPVFQCDNGHIFCSTCYPKLGNKRHKCSLRISSKRCKAIENLLLSIEMSCPNVNHGCNEKISCIGKRKHEEECIHVPCCCPVSSCDFVASSEVLSKHFSDKHGDSHIKFSYGHSLIVYIKSNDETIVFQEETYGKLFILYNRATLLGNAINICCIGPNSFESEYRYYILARSQMCKLKLQSFAKDVQRVAFATPSSEFLLIPFGSSFIVILTDRCSDSCSRV >RHN78664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17308159:17310660:1 gene:gene2298 transcript:rna2298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MLLTLFLCHTLQDEISSPISAQIFELCDPNLFQDSLQQNSDVTSSSNCCHEENNNNSSYTLNNISQALDLDNNINSNNNSNSNSTATTTSTTSPTTNNVNNINTTNTNNNNNLSIIFDSQEDLDNDISASIDFTSSQSFLVPPLLPLTTHQEQQFDFSSTQTHHLSGLKGLPQYQTDPISSAAPLMSASFPSVFEDDCISSIPSYSPSCSYLSNGLGVYMPHGNLATALSADSSSLFGGGILLGSEMQTQDLDYQGENGGIYCTDSVQQVFNPQDFQALGNENHKLVSGAGSCSATLAPEISHLEDSSLKVGKLSVEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGENHRPGRSNHEDDDEIAVKEEDDMVDSSDIFAHISGLNSFKCNYSIHSLI >RHN38667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1177621:1182286:-1 gene:gene44626 transcript:rna44626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calycin MQTPSFSLTKTFLHTLSSTHNPLSFLSLSPTLPKPSSTTRIITAQKSNHNNNGSIRVKGNKENVWSVDNELAKKEKKGRGRSKKVVKRNKSKKSGRVVVTGAMLMEVETVLQTQEPVIKPAWNTFASSLSGIWKGVGAVFSPITAEMEPMEISVKNENLYDCYTLSRIEAVPSHSDERTSQIQRKVNWVTLNPYGEMPQQVEDNGIAKNGSGDLKAPIVGLRKSGEKITNRVLPTFESFDFKKSDVMEEDVMGCEPGLVYFEDGSYSRGPVDISVGADDDSKYYITPTFKFEQCLVKGCHKRIRIVHTIEFINGGSEIQIMRVAVYEEEWASPTCVDDMSDMDFDLKPFSQRKRTKPSELTGSWKVFEISATPIYGEETKAEEEGSNAAPYVYLCTETLKKRSSPDSANYFGEEERLDMQDMTVLWLPGGVTCYVDINKDGILCIGVGWYSDEGINLVMERDYGLDGKLKDVRWKSEMKRRWSNPPNV >RHN46266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30239451:30247668:-1 gene:gene40734 transcript:rna40734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEKINVKEDDEMKWVHDSSVDHKGRVPLRASTGSWKAALFIFAIEFSERLSYFGIATSLVLYLTKVIHQDLKTAARNVNYWAGVTTLMPLFGGFIADAYLGRYSAVVASSIVYLMGLILLTLSWFLPSLKPCDHTTTCNEPRKIHEVVFFLAIYLISIATGGHKPSLESFGADQFDEDHVEERKQKMSFFNWWNCALCSGLILGVTLIVYIQDNINWGAADIIFTGVMALSLLIFIIGRPFYRYRVPSGSPLTPMLQVLVAAFSKRKLPYPSNPDQLYEVSKSHGNKRKFLCHTKKLRFLDKAAIIENDGNSIEKQSPWKLTTVTTVEEMKLIINMIPIWVFTIPFGISVAQTSTFFIKQSAIMNRKIGERFELPPASIFTVAALGMIISVAIYDKILVPMLRKINQNERGINILQRIGFGMFFTIITMIVAALIEKKRLEAVEKDPLSIFWLAPQFLIIGFGDGFTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAANFISSVLITIVDHITKKNGKSWFGKDLNSSRLDKFYWLLAAITTVNLFLFVFFARRYSYKNVQKVAVIDDSCKGKNDYGSVDNSV >RHN72014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4367170:4367319:1 gene:gene7681 transcript:rna7681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucoside 2''-O-glucosyltransferase MDLTEPIIEDTLRKLRPHMVFFDFTYWLPALACQLGIKALHYCTISPAI >RHN76245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48833376:48834584:-1 gene:gene12538 transcript:rna12538 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVFRLGRQIIIYDQRHLLNINTTGQKVSGNENSRRARAEFTHDDITSVLVHVSMGG >RHN69149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41381087:41397046:-1 gene:gene17581 transcript:rna17581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rhodanese-like domain-containing protein MRMLPFCSSTPCYSPSSQIPLFGGLQSLCPIRKDFESRGVVEENVHLGLYHGTPSLRSSFTAQAIKTVSAWDNSSLSTGEFRYSLSTVPEELVDFAKQSTEVSEPLVAPTVHPEAILSSTDITSGKIESVPSLIKGGNESLAATKASATEVFAGINETFSDSINKGENALRSSVDTATSFIDSVVKNATTSADNAFSKVFSAADQTGDLANKKITSFSSEIDGVTSKAPGLVIDVLRRTVVAVESSLSSGASYVVYLYGSAKEFIPAEIRDTVNIYEDKAAQVLRPVGSATQQIYMAFYSLEKSLGLDPNDPIIPFVVFVGSSATLWTIYWLWKYGGYSGDLSPKSAFELLAGDSNAVLIDVRSEDLREKDGIPDIRRAARFRYASVTPIEVDGSIRKLLKGGRDLDDSLIAAIIQNLKIVKDSSKVIVLDADGTRSKGIARSLKKIGVKNPYLVQGGFQSWVKQSLRIKELKPETALTILNEEAEAILEDIRPSPWQLLGSGTALLAGSYALVEWEKTLQLIGVFGLSLTIYLRVSSYEKSEDLNQDVRFLLLAPVKIGGQAFSWAAGKLESNGIGLPTSPSSLDVQNRVLQAAAKHESQPSDSEGSQDPTPESTVSLN >RHN51894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27914583:27917181:-1 gene:gene36455 transcript:rna36455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MMKKGIIHQRSCVYTPQQNGRVERRHQHILNISRALMFQSKLPKKFWSYAVLHAVYLINRIPTKILNNKSSYEVLYGEVPDLSNLKVFGCLSYASTLPVNRHKFDSRAKKCAFLGYKSGIKGFVLVNVHTSEIVVSRNVKFFDLEFPFHSSSVTTVPSTHIYLDSQVTNIVPVNDIIVNSSEDHDEVPHEDSLIDTEERDDEVEPVATRKSSRSSQSPVYLQNYVCNSTSGHYPMTNFVSYSALSPKHRTYAYSLNTEIEPTSYLSASKDSRWLTAMQTEIEALNANNTWEFVDLPSDAVSIGSKWVYKIKRHADGTIERFKARLVAQGFNQTEGLDYFETFSPVAKLSTIRVLLALASIHGWYLHQLDVNNAFLHGDLHEAVYMKVPQGVTPPKPGQVCKLQKSLYGLKQASRQWFEKLTQFLYAQGFTQANADHTLFTKITATSYTVVLVYVDDIILAGTCLQAFDSLKQALNNAFRIKNLGELKFFLGLEVARSSKGISLCQRKYCLELLDDAGLTGCKPVSTPLDPSIRLSQDTGSLHGDVTGYRRLVGRLLYLTTTRPDIAFAAQQLSQFMASPTELHYKAALRVLRYLKRSPGRGLFFSKSSELQLLGFSDADWGGCVDTRRSISGYCFFIGQSLVSWKSKKQPTVSCSSAEAEYRALASATRELQWLCFILHDLKQAPSRLPVLYCDNQSALHISANPVFHERTKHLDIDCHLVREKIQAGVMRLLPVTSQHQTADMFTKASGPRQFHACMLKLGMVDIYQPPVCEGVSTYEEKEQVN >RHN60921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31602348:31602503:-1 gene:gene23316 transcript:rna23316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B MNHFINDIFEKLAQEYSRLARYNKKPTITSREIQTAVRLVLPGELAKFTSS >RHN82653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55469849:55470198:-1 gene:gene6830 transcript:rna6830 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVEIDPYSALLCNPSIRKFKILPLINPAQNYFQTLFTLVYHLFTNNYNIIALCSERITKQKLMFYFGYRLLEKDPGLSKALSPRSSKGNICE >RHN51413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17448310:17449437:-1 gene:gene35844 transcript:rna35844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MFHQGEGDEDLEPSNNTVHGINATPITCFLESNFLDKRHFQSNKFEPNIEPLNFYNSQEIIKINPAENENCSNLCLSKDKEEMEAENICHRKDNLGSLWITRFAPKSSGFEEDERSNSQKLKYMFNDLSSSSRGFTTSEQMASTFARRFVGPKHIMPKDKPECTSQVNLFCLFCGKRGHQLSDCSAVAESELEDLQENVNSYEGPENFPLMCIKCFQLNHWAVSCSSSISKRKHESKVKTFLHEGSARPAEIDEADMILSGGAIHDGIHPKTDQNMNLKRKSNDVINAKIECNASCKKYCGSSSKENKYKEKPSITSPSRLAEKKISHVPEGIFDAVKKLQLSRTNILK >RHN61940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39500162:39502735:-1 gene:gene24455 transcript:rna24455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monodehydroascorbate reductase (NADH) MGGQQQHKKSKVVKIDSRKSWEHHIFEATNKGYPVMVHFSAYWCVPSIAMDPFFEELASTYQDVLFLKVDVDEVKEVASKLEINAMPTFLLMSGGTPVEKAVGANPDEIRKRMDHFINQTHSSKSL >RHN75988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46798865:46802197:-1 gene:gene12257 transcript:rna12257 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMIQSYLRSYISWNKCVLSWHRCPILVHIFSLCYTHSSVCPKYITILPYFFVLPTYSLSLLLVLWGSFIRTIIMHGILLTCRQFIMVVCLYFF >RHN75954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46483287:46489524:-1 gene:gene12218 transcript:rna12218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MPEDIPNMKGSWFFDKNFNGLSDETFDDLKFFDFPLEDVDANTAEEDWSALGEPCFDVFSVSPAVFCGKIKTENPQLGEGFSAPFNGISPIIKEAARTAGPTYGKTIPNQNVPFYEKKVVLQYSPVSVFEGSSASSVENSGFDLPVIPVKRARSKRRRPSSLNPVFSISFIASLQALHKKISASESDLNRVKKQKRMLSGDIETKKSSSQESVVQRKCTHCEVTETPQWREGPNGPKTLCNACGVRYRSGRLYPEYRPANSPTFVASVHSNSHKKVLEMRGVVIKDGVRVLSKLAPSNVPGNSVGQCYPGSF >RHN69708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45689859:45696564:1 gene:gene18214 transcript:rna18214 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLRSVKSLPSESISSTVKFRVHEDGMLNGDYESGGIVDRPQPMKVTRNTRSRNVVSDSTHVYLDAAVESKNVRVSRNSKNKKNAVEICDNGDMEGVEEVKKNVRVTRNSKNKKNTVEVCDSSNGDLEGVKEKVEEVKKNVRVTRNLRNKMNKMGTSELSVGDFDGVKEPRNVRMTRNMKNKGVVIGEDLSLGDGVENVENKKTRGGAKGKRKLREEIVGDGDGKENCHDAQETEKLEEECVGDGDGNKKDKLPEGHVGDGEDKEKNKLTEEHVDDGEDKKKEKLQEECVAGEEDKEKEKSQEECVGDGDGKENCDVNEKENLIGDENWPDLEKISLGEWFDFLEVCLPKQIHDETKEIIDSMRQKAEGLREYVIMYQNQQAGTATEC >RHN43792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48503132:48505987:1 gene:gene50417 transcript:rna50417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MNNYKLGTNFHKIYTTTNQVKHVLSFDSNVAFKQTRKLSYLQPKQKQETSTSNTATTSNNVLSKSRLFEESLAAQLESMFRAFPNSDASLVKQQVRQIHAKVLVCGMNGSLTLGSRMLGMYVLCRSFKDVGNLFCRLQLCYSLPWNWLIRGFSMLGCFDFALMFFFRMLGSNVAPDKYTFPYVIKACGGLNNVPLCKMVHELARSMGFHMDLFIGSSLIKLYTDNGYIHDAKYLFDELPVRDCILWNVMLNGYVKNGDFNSALGTFQEMRNSCVKPNSVSFVCLLSVCATRGIVRAGIQLHGLVIRSGFESDPQLANTIITMYSKCGNLFDARKIFDIMPQTDTVTWNGLIAGYVQNGFTDEAVALFKAMVTSGVKLDSITFASFLPSVLKSGSLKYCKEVHSYIVRHGVPFDVYLKSALVDIYFKGGDVEMACKTFQQNTLVDVAVCTAMISGYVLNGLNVEALNLFRWLIQEGMVPNCLTMASVLPACAALASLKLGKELHCDILKKGLENVCQVGSSITYMYAKSGRLDLAYQFFRRMPVKDSVCWNLMIVSFSQNGKPELAIDLFRQMGTSGTKFDSVSLSATLSACANYPALYYGKELHCFVVRNSFISDTFVASTLIDMYSKCGKLALARSVFDMMDWKNEVSWNSIIAAYGNHGRPRECLDLFHEMVEAGIQPDHVTFLVIMSACGHAGLVDEGIYYFRCMTEEYGICARMEHFACMVDLYGRAGRLHEAFDTIKSMPFTPDAGTWGSLLGACRLHGNVELAKLASKHLVELDPNNSGYYVLLSNVHAGAGEWESVLKVRSLMKEKGVQKIPGYSWIDVNGGTHMFSAADGCHPQSVEIYLILKNLLLELRKHGYVPQPYLPLHPQIMSNN >RHN43001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42489675:42496587:-1 gene:gene49519 transcript:rna49519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MDDLFDDIVPTTTTTTRARGRFAPKAKPKPPPPQKNNVSASTTHEESQLISPKNDSHNADINVNNVTAQSVHPANAVENVLNNAAAVSTTDSTIGSNKELPTNGEGSFLDSNKSLEVIDNSLEVSLNAGFKSASGDINNGISESDLHSSFEFGEVREVLSAEIELDPFSDVLPDPGTGKAKKFQPKIKPRPRVSNTPATASASSGIPFSEDDRSLEAVIPSHSDSLNAMPSESAVHDGTRDFPSSFGKSVAENADIFSGLESLDDILNQDATGTAKPDLKSFNVNGAEEKFVLPGYDGKSRSEIQEGADLNPDPDCPIDNVYDDYQSMKSGIDPTSEIPRHEGLTNSPDSPTLADLLQQDDAGEKELSQVANERKKSLKKHKISSIAGVEDKGGKSSRKPRKQAASKPTKNSLNEAVNGDDVLDPPYVIDGDELEENDDDDDVDYSSKKTSASTSSKKKSVAKKGKTSKKRKKANDDSEKVTEEPPKKFPHASRRRKRCVDKALLENDYLDQRTIPIRDIILIAEHKERLAKKEATPSQTPSTNQSDGDFHHDVDFHHEADANYEEEFFGSDDEFRDLDDDDRATEKITSTTPLLNYQSFMDKAPRGKWSTRDTEKFYEAIRQFGTDFTMIQQLFPDKTRHQIKLKYKKEERQHPLLLTDAMNNRGKDLSFFKLVVEKQKQNSNKEEDTAEDASDLMIGEGEENEDPTPGTKEEVATAEQEQDHINVKDQEDSMAYPVPEQSDDSDDGLQNNEDAWYHYQSAI >RHN76060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47361015:47361368:-1 gene:gene12334 transcript:rna12334 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLVIDLNTKIVAIYYNRGKLSYLFRVRNYVTLFGFKDQLNQINRQMNHVDTRRVDSVEYRRPLTDSAGRVQFTQMNLMNDDDVRLVFLIFSQYNTKEPPKLDVSLVTYVEEIQKV >RHN44303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3344002:3345672:-1 gene:gene38406 transcript:rna38406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MIFHSKQNPSFEIHDLFEKLLEGVDVVENKKHYLAILRAFDVFAKACVGLNMFDGAFDFLFHFQVTRFEILPSIVACNFLINRLIQHDKVKMALEVYKEIKRVGLCPNHHTYAIVIKGLCKNSDDLKHVEYVFDEMEEAGVTPNSYCYAAYIEGLCKNNMSDVGYKLLERCRASNAPIEVYAYAAAIRGFCNEMKLDKAEDVFYDMKSWGLVPDFHVYSPLTRGYCETGKDSEVVDLFKEIKQSCLFLDGVAYNIVLDSLCKLGKVDDAVSTLEELTSMNIDLDIKHYTTLINGYCLQGKTVEAQCLFKEMEEKGFKPDVVAYNVLAAGLFRKDLDSEVIDLLIYMDSQGVKPNSTTHKIIIEGYCSVGKVGEAEAYFNRMKNESVELYTAMVNGYCEANLIEKSYDLFLSCQTKDIFQQKVLAWNMERARSLFDFFIGRGFTLGVVTYTVMIKGYCRMNCLQEAYDLFQDMKRRGIQPNVVTYTVLLPWEIKTSIFENAFLF >RHN57521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39757194:39760368:1 gene:gene33076 transcript:rna33076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MNQDKAEKFTNMILARTIFPRSSINTTASDPDGKFLVLNVCIDMPPKVVSNGNWANHDQAAMPMQSTLPLLELQILTIFAITQCFHLVLKRLRVPYFVSQIMAGLVLGPSLKFSKTWTGFKNILFPYGTEDVISVISLIGYAFFLFLTTVKMDFTMITRTGRKAWTIAFCSFLIPMVFGLLVCYRFPEYWIHEMGNFEAKNLPVIVIGQSGCYFAVIASLLSDLEILNSELGRLALSTAMVMDSFNSIVTGIGTAFISSIKTDSHDNGDGKGTLKAFLNVCYYLCFMVVTPLVLRPILKWFVKKTPEGRPMKKVYTYIVFIIALAVGMLGLLTKQSVLGGICIVGLIVPEGPPLGTEMIKQLELFCSWFLFPIFVTSCAMKVDLSVYVKSEYIYVWLGIIVAVHLFKILVTIGICWYCNMPMTDGLCLALMLSCKGLLSSEALSVLSINVLVIGTLARIGVKYLYDPSRKYAGYQKRNILSLKPNSELRIVSCILKPSHIIPIKNVLDICSPTSSNPLVIHILHLLELVGRSSPVFISHCLQERVGSSYHTFSEAVIVTFDLFEHDNAGTASVSTYTAISPLRFMHDDICYLALDKLASIIILPFHLRWSEDGSVESVDETTRSLNTKVLERAPCSVAILVNRGHSSPFNHNENSKQIAMIFLGGSDDREALCLAKRTIKEDTYHLVVYHLVSTIKNDEFTSWDVMLDDELLKGVKGVYGSVDNVTYEKVEVENTSDTTTFISDIASQHDFIIVGRRNGIKSPQTQALASWTEYPELGVLGDLLASPDTITKASILVVQQQLMPKAS >RHN55251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16431219:16432029:-1 gene:gene30401 transcript:rna30401 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFLKLIYATIYLLFLFLVATHCTAKVLKCTPPEVVKCTCLCGKRTLY >RHN74223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30454181:30454585:1 gene:gene10249 transcript:rna10249 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGSVVKKKTQSFGSWIALDSNGHWSQIDVDKYAIMHRVQINAHDLRILDPLLSYPYVILGREKAIILNLEHIKVIITADEVSYLFFQCVNMLIDDGE >RHN68209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33686564:33687669:-1 gene:gene16526 transcript:rna16526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flagellar calcium-binding protein calflagin MDQEEVRKIFNKFDKNGDGKISRTELKEMMTALGSKTTTEEVTRMMEELDRNGDGYIDLKEFGELHNGGGDTKELREAFEMYDLDKNGLISAKELHAVMRRLGEKCSLGDCRKMIGNVDADADGNVNFEEFKKMMSRS >RHN71620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1431733:1432623:1 gene:gene7238 transcript:rna7238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MYTIIVAKTTNSPSTLQYLAPYTGAALAEYFMYRERHTLIIYDDPSKQAQAYRQMSLLLRRPPGREAYPMDIFYLHYRLLKTTAKLSSQLGEGSMTALSIVETQSGDVSAYIPTNLISITDGQIFLSADLFNAGIRPAINVGISVSRIGSATQIKAMKQVAGKLKLKLAQFAKLEAFAQFASDFNKATQNQLARGQRLNGLLKQSQSAPLTVEEQIITIYTGTNGYIDSLEIDQVRKFLVELRAYLKTSKPKFNEIISSIKGQFFPQNGLIIRDRDSITFSFQWNNNLFFSIIPFN >RHN63497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51777724:51779540:-1 gene:gene26199 transcript:rna26199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase-like ATPase domain-containing protein MEAIEIVHLSSDNEGGDDIEAVCSANQIETKTRQLTKHKSHSASQISEENIVSSGLSSCRSVTCASESGFLSVDDISLSSPQPISAAPLCRKFWKAGNYDNRLGSKVSSQCAKNYLHVHPLFLHSNATSHKWVFGAIAELLDNAVDEIHNGATFVKVDKILNPKDGSPALLIQDDGNGMGPEAMQRCMSFGFSDKISEFAIGQYGNGFKTGSMRLGADAIVFSCHVNDM >RHN38827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2303056:2305666:1 gene:gene44796 transcript:rna44796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MHNNVWPVLFDVHALDFETVQTQKPHDSTNSEVNTFVSQLLLHSLLFCCKLSELNPIPNMAQVRNKQVILRDYVSGFPKESDMNVVDSTIILNLPQGSNDVVLLKNLYLSCDPYLRIFMAKDTIAGLGGLTPGSPLTGLGVSKVVESGHPDYKKDDLVWGMTKWEEYSLVPAAQILFKIEHTDVPLSYYTGILGMPGMTAYAGFFEVGSPKKGENVFVSAASGAVGQLVGQFAKLHGCYVVGSAGSKEKVDLLKNKFGYDEAFNYKEEPDLNAALKRYFPEGIDIYFENVGGKTLDAVLLNMKLHGRIPVCGMISQYNLTQPEGVTNLAHIIYKRIRMEGFAVFEYYHLYTKFLEFMLPLIREGKVVYVEDIAEGLENGPAALVGLFSGQNVGKQVVVVAHE >RHN48924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51185294:51190987:-1 gene:gene43693 transcript:rna43693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MNPSCFDANNEDTEVEPAGVSGIEDDPDVVPRVGDEYQAELPPFVTPPYLSQLAKKTRDSETELNMPESFLVGLPLPLMWTHCESVRSCGYRPLESVTSRKGHVFCAGKNGGGFSNFKSSYRNGETDIDSSSGKYLLPELPNDQSWTDTEYDSFLLGLYAFGKNLTFLKRFVGTKSMGDILFFYYSKFFKSKGYSRWSGCRKAKTKRCIFGQKIFTGWRQQELLSRLFSRVSQDSQATLVEISRNFGEGKMPFEEYVFALKNAVGIDFLIAAVGIGKGKHDLTGTALEPPKTNHVFSVRHEVPAGKACSSLASADIIKILTGNFRLSKARSSDLFWEAVWPRLLANGWRSEEPKDSFVSGTKQSLVFLVPGVKKFSRRKLAKGSQYFDSISDVLNKVASDPRLLETEILATESSEDKENTQNKQALDGVSNTHQCPSFQSHNSNCKPDLVKFTIVDTSMVHDTDQRKVRQMKSLPFQLANMSTISSCSSSDSETTTSEDLEDQIEQANASIPIEDPVEQANSSHHVEDRVEQANSSCRVEDQVEQANSSCRVEDRVEHANSSHHVEDQVEHASSVEDQVEHASSVEDQVEHQIEQSNASSPIEGKVGHANSSFHVEHANSYIHPKEISDKGVCVDSSGHTRNLETLNTTEVEVENKKCHSDLHDDEHSREINEQPLVQKMTSDDCTKNLPCTTEMQQLRACNNGESSLSADNTSMDRNFDLNEPFSPSNPHEAYEGLDLNVSLETLSFPSYLAKGNPNVNNEGSVSENHQVGEVSEKNSENRMLIDLNFPQAALDLNFPQVAPDLALEMEIQSSKAIQQNDDLCAHTLSSSSETIQFNAIQEIPDLNKEQQPITVNRRQSTRNRPLTTKALEALEYGFLNSKRKRKNTESSDMNSKSQCVRASNETIISATCDDSIGNSLTETSAKEENVFKEYSFIV >RHN82537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54580489:54584058:-1 gene:gene6708 transcript:rna6708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSSSCGREGGGGAVRQYIRSKVPRLRWTPELHRCFVHAIQTLGGHHKATPKLVLQLMDIKGLTISHVKSHLQMYRSMRGELGRQGRTSSQHRNQCLEEHDDGCVDEVNDVNVEHSLRRDSDSSFIGHSNNFSKRARIERRSSTTSLQCSSERRRICDDAVTKPYCFYDYVQLNANANTNTNTNTMGDQHDLQLLQNKKGIKDEQQFYAWQRQIQPPNSTLLPDFGINLTSFKSLNQESDFSQASNVNDRKSPSWSINGVVKTDRAHVEGGHELSLSLTLQNPSPQTSNASYSASEISEAISCPNPSYNYKDCSRISSTVNERINLDLSLAICGN >RHN41930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34144888:34151131:-1 gene:gene48305 transcript:rna48305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MLSTLMDFLTACWRRRSSDRKSSDVCGKKEGLLWYKDAGQHLFGDYSMAVVQANNLLEDQSQIESGPLSFLDTGPYGTFVGVYDGHGGPETSRFICDHLFQHLKRFATEHKSMSVEVIRKAYQATEEGFLGVVTKHWPMNPQIAAVGSCCLVGVICGGSLYIANLGDSRAVLGRAVRATGEVLAIQLSPEHNVAIESVRQEMHSLHPDDPKIVVLKHNVWRVKGLIQISRSIGDVYLKKAEFNREPLYAKFRLRETFKTPILSSDPSISVHELQEHDQFLIFASDGLWEHLSNQDAVDIVQNHPHSGSARKLIKVALLEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVIFLDSNLVSRASTVTGPPVSLRGAGVPLPSRSLAPMELPGPG >RHN58026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43182149:43186341:1 gene:gene33631 transcript:rna33631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDVAHCYLEGNADAVEFCPHDRHHNVLAASTYTLQEGDQPSRSGSISLFNIDADTGKFDTVYSEETSGIFDIKWNPPAGNISPFLGQADADGYLRIKMLDGCSDGIQGANLKEITSEKVSNSMCLYLDWNPASTSITVGLSDGSVSIVSLHESKLEIQEEWKAHDFELWTTSFDIHQPNLVYTGSDDCKFCCWDLRDSPSKLVFKNSKVHKMGVTCIEKSPHDPNTLLTGSYDEFLRVWDLRSISKPVNETSISLGGGVWRVKHHPFIQGLVLAACMHNGFAIVAIKGDKAEVLETYKKHDSLAYGADWQKGEANHTKGSSKPVVATCSFYDKLVRVWRPCNDINIVL >RHN75919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46151461:46152724:-1 gene:gene12180 transcript:rna12180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MLTNKKQCISLTLLVISLFCSNTLAALSPATSPIQSPPTQPISSPTKPLVPTFPPNDNTTPETPTPTTDIVQILKQANSFNIFLRLMKTTQLINQLNSQLLTIKSGGLTILAPDDSAFSELKPGFLNSLSNEKKLELLQFHVISDFVSSSNFDTLTNPVRTLAGNKPGKVELNVISYGGNVNISTGSVNTTINGIIYTDKHLAIYKVGKVLIPSEFSPAKKIVAEVPALAPAPAIADAKAPTPEKEKPSSSEDSTTSQVVPAVTSSAMRIDMCGSWVALVVGIVFIGGFYNKN >RHN67855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30915666:30916479:-1 gene:gene16098 transcript:rna16098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein METRSRVELSVNVEHFISLKRLMVENNSKVESIFCLNEEKMNLGFEDIDLYIMPMMTFLFVGPKNSFFLQNLTRLKIMHCEKLKTVFSTSIVRCLPQLLFMRIEECKELKHIIEYDLENKNSSNFISTKTCFPKLKTLIVVKCNQLKYVFPMSICKELPELEVLIIREAELEEIFVSEKDDQKLEIPNLKLVVFVNLPSLCHVQGIQLQAVKHHLVQNCQKLVSASTADLGNDIYRFELCYGTHSIQSF >RHN39698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9683344:9683799:-1 gene:gene45747 transcript:rna45747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MYIFLPNAKDVLLAMVEKVASEFEFLEHKLPYKLVRIGEFQIPRFKFSFGLETSRMTKELGVSLPFSSGGLTKMVDSLEGQDLSVSNIFHKSFIEVNEEGTEAAKANIAVVAMCMPTGIDFIADHPFLFLIREDLTQTIIFAGQVLNPLVG >RHN50420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6979322:6979835:1 gene:gene34702 transcript:rna34702 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAIQSPEPSNLFERKARCVIPVDCFLKCILTKTGSEIRVFQDLQTHFICIRDFTSRNVRISTISSSWRTVRVLRLKVKTLRIFCGRNPRRVFMENSAVERNPKGNLLRTVLLWKNVSRKKPLQLQVADMYYKPIVCWASTEARVKIVFDV >RHN43657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47512451:47515681:1 gene:gene50267 transcript:rna50267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAGGGFGDGGNLKRAHLYEYKITGYFIFSCIVGALGGSLFGYDLGVSGGVTSMDDFLKEFFPNVYERKHAHLAETDYCKYDDQMLTLFTSSLYFAALISTFFASSITKNKGRRASIVVGSISFFIGAVLNAASLNIYMLIIGRILLGVGIGFGNQAVPLYLSEMSPAKVRGAVNQLFQLTTCLGILVANLVNYATERIHPWGWRLSLGLAVVPAIVMFIGGLLCPETPNSLVEQGKMEEARKVLERVRGTPNVDAEFEDLVEASREAQAIKNPFQNLLLRKNRPQFVIGALAIPAFQQLTGNNSILFYAPVLFQTLGFGSAASLYSSVITSIALVLATLISMFYVDKFGRRAFFLEAGAEMFLCMVAAAIVLALGFGDGKQLSFGVSVFLVIVIFLFVLGFGRSWGPLGWLVPSELFPLEIRSAAQSVVVCVNMIFTAIVAQFFLVSLCHLKYGIFLLFAGLILVMSLFIFFLLPETKQVPIEEIYLLFENHWFWKRYVTDGNQERSSSEHA >RHN57512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39656173:39657029:1 gene:gene33062 transcript:rna33062 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFLSIITSPISPLSKTIPSSCIHEIQLTQLSLSLSLSLSLSLSLHLLLLLQVQRERQILN >RHN49487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55153679:55159730:-1 gene:gene44325 transcript:rna44325 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVEEAIAALSTFSLEDEQPEVQGPGVWVSTERGATESPIEYCDVAAYRLSLSEDTKALNQLSSLTQEGKEMASVLYTYRSCVKALPQLPDSMKQSQADLYLETYQVLDLEMSRLREIQRWQASASSKLATDMQRFSRPERRINGPTISHLWSMLKLFDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSGQWQDTDSMREELDDLQIFLSTRWAILLNLHVEMFRVNNVEDILQVLIVFAVESLELDFALLFPERHILLRVLPVLVVLVTSSEKDSESLYKRVKINRLINIFKNEAVIPAFPDLHLSPAAIMKELSTYFPKFSSQTRLLTLAAPHELPPREAQEYPLNCFLF >RHN58242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:213189:213971:1 gene:gene20131 transcript:rna20131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MKVGGISISTSKLAMPINLNSKTASYYSFRRSKMTTTTPKKHLLMEARESSDGIGNRKMSKVPKGYVAVYVGPEFRRFVIPIRFLSMAEVKELMDDIAEEFGCDYHADGALHIPCDEDYFRNVLINCFATQGRVSSKNHKIKLGNKNALIYSH >RHN49234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53472828:53475915:1 gene:gene44044 transcript:rna44044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dormancy/auxin associated protein MGLLDQLWDDTVAGPRPENGLSKLRKHNTFAARSNSGKETEAGSVRSYGEESSEQTTRVTRSIMIVKPPGYESGSPLASPAGSTTPVSPFSGTRDSFRFRRRSASDAYEKKGQNKSGPSSPFDV >RHN57516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39712309:39717609:-1 gene:gene33069 transcript:rna33069 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASRSKSKDKKASKETPKASVKSTGSGSATAGIPASAYNPLLGTFHTLDTSAIPSTSPMHSNGRFRNIDETDEHPGSLVVAGVEYDSVSNNGSWSGESEEHKEKTSNPPVKPEVVPGADNDKREKIRQKNERKHQRQKERRAQELRERCRSYLMSRKLETLAQQFVAMGFSHERATMALVLNEGRVEESVAWLFESGDEADGQNDKTTGKGSLKIDISEELARIADMEIRYGCSKQEVERVIVSCEGDLEKAAEILRESKHDPPSAPPKPEETGDPPIINNGKQSGVSGLNPRSQTKPVPSLSQLRIDEKDFNYTKAAITNGVSSESSSKNIQPLKRMLPKSEWVKPQQATILSDKRWPGAGSNPSVSYSLASPLQVAPPPAKTEARYVSVGGDYKNLQPGAAKEPIVVMQRPQTLNAKQVPASSNSSSPPGMSASWYPTNSVEAMRSNVFLPQAPSTRNPSPNYLSTNQMYHQLQYQPQQQFVAGSSSNSVDPQATNRGNSNWNRATGASPTLAAASSLGLFPGKGSAATSGASSPVDWSTGGSMQFDYTSIDWSLDRGLSSPGSNALWLGLSPFTKSRAQTYDSNSNASGGVAQQQTMRSLPSNGSLLSNGSTVPMAGLQDGGAASGETSAAGSREWSSPFEGKDLFSLPRQFVSSPSR >RHN57733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41374098:41375167:-1 gene:gene33316 transcript:rna33316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MISDGLALSHFYSEMLQESTMQSVVTKVVDIILGPDWDDDDKVENQLANVRIQQLTDDFVIRVLEGLQNRPLKAYKFFHWVGKQSGYQHNTVTYNYVARVLAKMDSIEEFWSIEEMKSVGHELDLNTSIKISRQLQENRMMDGLHEHMMDSSYKPSVLDCIMLLKNIAESDEPVYDGILKSFTSAGKFDEAQIIVKTMTNAGYKPHKLTHNEIASGLCKLRRFEEASKVIDEMQACGCFTIRGVYMMVNDYEVIKTWKILIKGYCDAGELDKALLSLAKMIEQNLAGVDDDLFEVLVDALLTQGRIDGAYKLFLKLTGKYGIYIYQKLLHSRN >RHN65125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64369731:64374408:1 gene:gene28027 transcript:rna28027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MVQCLDGIKHLCASVINCCDSDSLNQQPIGLQNPQLLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKSNKKESLFADRVFDLFDTKHNGILDFEEFARALSVFHPNAPIDEKIQFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVVESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >RHN82421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53618195:53623657:1 gene:gene6585 transcript:rna6585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-acylglycerol O-acyltransferase MELSMTTTLRFGSQNHFPLPLHNYPISNTKTTLRVSAKKKSTIEGISDELNSIASLNLDHAPSRRHVRQAFTHLHQQLDHILFKTAPAGIITQEWYERNSRGLEIFCKSWMPEHGVPIKGALFFCHGYGSTCTFFFEGIARRIAASGFGVYAMDFPGFGLSEGLHGYIPSFDDLVDDVIEYYTKIKARPEARDLPQFIFGQSMGGAIALKAHLKEPNVWDGVILVAPMCKISEGMLPPTTILKALTLLSKMMPKAKLFPYKDLSELIFREPGKRKLAVYNVISYDDQTRLRTGMELLSATQDIESQLEKVSAPLLILHGAEDKVTDPLVSQFLYEKASSKDKTLKIYEGGYHGILEGEPDERISSVHNDIISWLDNRCSR >RHN75858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45584818:45585348:-1 gene:gene12113 transcript:rna12113 gene_biotype:protein_coding transcript_biotype:protein_coding MRELKYLLSKYAATSYMDDQLSPTTDETSLQLLPSDETDILVDLQESSDPAKFVLDIILNPIIPRCKKGDHAVIIDGSNIFLLEQLMKISPSLKISPCVKKDALKLALDLKVNMKENTENSLVVLGFLLLLSIYELLTSFDEDEVLELFAFVAQHKIAVELFRTLGFANKLSGILI >RHN79574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30230434:30231205:-1 gene:gene3386 transcript:rna3386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator A20-like family MAEEQPCQAPEGHRLCTNNCGFLGNPATMNLCSKCYGDASTKSTIENTLSSSSSVTASPASPSHSTSEPIVQFINPMVTSSVVITNSVSFLVQSNRCFTCRKRVGLTGFKCRCGSTFCGSHRYPERHGCGFDFKMVGRKEIAQANPLIKAEKLRRI >RHN70091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48684014:48686306:-1 gene:gene18638 transcript:rna18638 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVSSTYLAEEVATSIGTFVQSTPRNRIASISNYELKLLAGEEELGKCMHIHMPSSEKTNVLELSKESPNSNMCKDGKSKIQKLKIDSTEQIGRLSVNAEQTMQIPTSRTVGFQIRASAPCVNGFRGNRYLPTGVSESNVKKRLLSPLNVTLLEDNFKGDHLDIGNEFYQRSSEAGDDTLHADKKVHIRNYNNIHSTLWSSSCFHQLVDSSCNDPNQILTSHGHSNCEIEEPVSFKHYKSFPAFNDLEDSTKMKSQTETILRLQNKASSPRFPLSPLGKKTCTDKKLGERRDFDTMLSDVNLFTPDNILDMNEYWTSPASFPPRHAKLYGSVNRLPIRRSLVGSFEESLLSGRLPSEKKIEGFLAMLNVTGGNFSPQSRKVPFAVTSVDGDKYLLYYSSINLSGKLLSGKTRVTKFQRKLSMDELRYVKRRMRIPIKGCIQLVLHYKTFKIVELRISFSPSCL >RHN79757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31888352:31892371:-1 gene:gene3589 transcript:rna3589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative magnesium transporter MGLGFTIGFIGVLILFHAAYSTIQYRTLLKITEEEFSGSPLIVVIELTLGLLLCTWAALNVPGKFLCILPDSDENRIVSLPGNLDFMIFNHRGKIFPVEMNERLRH >RHN60349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25689344:25690657:-1 gene:gene22653 transcript:rna22653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MGVIKSAIGDAILTSIFVFFISTLRILSTKTSLFLNLQPLSLPGLFITTILNTIIILTISLIGRILGGASFNPSSTISFYTLGLRPDSSLLSFAIRFPAQAIGGAIGIKSILQVIPTEYKDMVKGPSLKVDLHTGAIAEGVLTFTHNFVILLVMLKGPKNPWLKVYLLSVATLVLVIIGSGYTGPSMNPANAFGWAYMNNKHNTWEQFYVFWICPLIGASSAAMVYRFLFMSPVKEKKA >RHN70545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52202033:52203665:1 gene:gene19135 transcript:rna19135 gene_biotype:protein_coding transcript_biotype:protein_coding MRSITTSSTSYSSLAPSSTASMMNHSSWHSPIPYLFGGLAAMLGLIAFALLILACSYWRLTGQLLDEENNSNNRNMENEKEGENSNKESVKVYEEKVLVIMAGDQNPTFLATPVFPKSSSVMNLDANHSDEQRENHETVEKSEKEEMETEEQKAKKVNDKFKLK >RHN41955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34316514:34324900:1 gene:gene48331 transcript:rna48331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-mannosidase MRSTGTLAAADSLYVLFLLLICYYGTIVSAYTKYNTGAGIVKGKLNVHLVPHSHDDVGWLKTVDQYYVGSNNSIQGACVENVLDSIVFSLQKDPNRKFVFAEMAFFHRWWVEQSPEIQEQVKRLVAAGQLEFVNGGWCMHDEATVHYIDMIDQTTLGHRFIKDQFNTTPRAGWQIDPFGHSAVQGYLLGAELGFDSVHFARIDYQDRAKRKSDKSLEVIWRGSKTFGSSAQIFANTFPVHYSAPHGFNFEVSGDFVPLQDDPLLFDSNVEQRVKDFIDAAITQANVTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHYVNKDGRVNALYSTPSIYTDAKNAANQLWPLKTDDYFPYADGANAYWTGFFTSRPALKRYVRILSGYYLAARQLEFFAGKRSTLDDTFGLGDALGIAQHHDAVTGTAKQHTTDDYAKRLAIGASKAEVVVSTSLAVLASKKSGDQRSALASAFSQCQLLNISYCPPTEDSIPQAKDLVVVVYNPLGWNRTDIVRIPVNEANLVVKDSSGNKVEVQYVDVDDVTTDLRKLYVKAYLGLRPKQAPKYWLLFQVSIPPLGWSTYFISKAAGKGIRRKGDLSHLNSKNGENIDIGPGNLKMSFSSTSGQLKRMYNFKTGVNIPIQQSYFRYGSSEGDNTDSQASGAYIFRPSESSPTIVSRSVPFKVIRGPLVDEVHQKFNSWIYQVTRLYKGKDHAEIEYTIGPIPTDDGVGKEVITRMTANMVTNKEFYTDSNGRDFLKRVRDHREDWPLQVTQPVAGNYYPLNLGIYTKDKKSEFSVLVDRATGGASIKDGEVELMLHRRLIEDDGRGVGEPLDEQVCIAKADNNSTCDGLTVRGNYYIGIHNVGAGSRWRRTTGQEIYSPILLAFTHEKSKNWKSSHLTKGTLMDPNYSLPPNVALITLEELDGGIVLLRLAHLYEPNEDAQYSALAKVELKKLFATKTIKELKEVSLSANQEKSEMKKMTWKVEGDKGQEPQAVRGSPVSTSDFVVELGPMEIRTFLLEF >RHN57060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36181054:36184729:-1 gene:gene32552 transcript:rna32552 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTLLEGLLLLANALAILNEDRFLVPKGWGLSDFSGGRTKSFKGQIIGLIYATQYLRVPLILFNSIFIIVKLVSG >RHN50575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8210939:8212372:1 gene:gene34877 transcript:rna34877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKRKRTSSLKSSQRMVSDSTSTAPTTTTISYLPDECWETIFNFIITGDDNNHSYFYPLNPSQQIKRKRTSLIKSSQKISSSTYSHIPNYDHNSRNNHYLNSLSLVSKQFLSITSRLRFSYTFYDASRTFLCRIFERFTNLNSLNLSNYHCDLDKFLREISTFPSLNITSLNISDQCNFPAYGLRAFAQNITILTSLNCSNTFLGKGGLLLIANCFPLLKELNLGHNDTSYINEFHYLLSKCRCIQHLDLNGIYFLNDLHVAELSLLLGDLVSINLSECRMLTESALFSLVRNCPSLREIKMERTNVGIGSVENFNFLTDFPPSPQLKSLCLALCQQLRDANIILFATIFPNLQMLDLNSCNGISEGICYVLRKCCKIRHLNIADCTRVKLLGMNFVVPKLEVLNMSNTRVNDETLYVISKNCSGLLQLLLELCNDVTEEGVKHVVENCTQLKEIYLGDFHISDKNRELFSRHGCLIC >RHN65161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64608441:64613113:-1 gene:gene28068 transcript:rna28068 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidogalycan biosysnthesis/recognition MAAVAIGVRCCCSLSFPSHRKSSSSSCSDYYYRRRSSRINASSLFWGSSKDNNKQNNISSSSSSSHQQQFSFNVTTTTESEEDKDKIITVSFVSSISDIPSTQWDACALDATGGPDKFNPFLSHAFLSSLELSSSSVKEKGWTPHHIIAKDIHNHILAVVPLYLKTHSYGEFVFDHSWANAYSHFYGSNSYYPKLQSCVPFTPVTGPRILLRNTSFKHHIFDFIVSAIKDLTAMSQLSSFHVTFPSESEWHKFTQQGFLPRIGMQYHWTNRNYTNFDDFLMDLKHNKRKKIRQERKKVAAQNLIMKRLRGYEIKAKHWDSFYTFYRNTTDNKWGTPHLTREFFHEMGSKMGDQVLLIVAEDSEELVAGALNLIGGDTLFGRLWGCHPQTYYPFLHFEACYYQAIEAAIELNLKTVEAGAQGEHKIERGYLPVTTYSCHYLIDEDFRKAIEDFLVRESSQVKLVMKLLRDSGPFKEGVL >RHN70248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49991902:49992381:1 gene:gene18809 transcript:rna18809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MYFFLPDAKDGLLALTEKVASESEFLERTCPKQTVRVGDFRIPRFKISFELETSNMLKELGMVLPFSQGGLTKMVDSPTSQNLYVSDVFHKSFIEVNEQGTKAAALLALYTPMTCGSGLISPPPIPIDFVADHPFLFLIREDLSGTVLSIGQVLNPLVM >RHN49888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2006396:2012595:1 gene:gene34115 transcript:rna34115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H transcription factor FAR family MDSSKNSVDCDAIRDEGIEVDNSNDNEGIEVDNSNDNEGMEVDNSNDNEGIEVDNSNDNEVIEVDNSNDNEVIEVDNCNDDEARWKPETGMCFSCIDDVKTFYGEYALKKGFRWKIRTSRKGDNGEICYVILACSREGSRLSKNSLQTLPSKEKNCPAKICIKLEDDGLWYIKRFESSHSHETTPTKARLFKANRKMNLRVKRAIQSNDDPGVRIKKTFQPLVKDVGAHEITPFCKRDMGNYVNKERHAIGKEDNNTRMMELEAEVKRISERMEKYHSELLEKMEWMNVKINRITDAFGTLQGYSHGTQSQVKPTKQQLSCILEFGGVSMGVEFGDLNINRGPAGAGALLLAEDGSLLYGFRQGLGHQTKESAEYRALLLGLKHASMKGFKYVTAKGDSELVINQILDPWKIKDEHLKKLCAEALELSDNFHSFRIQHISRERNYGAVRNANRAINLTDGQVEENHMH >RHN60814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30574997:30579694:1 gene:gene23199 transcript:rna23199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exonuclease V MAETSSSNNVNNIPIEIVSEEEMAFIEAAYASVSSSSSSSILSRCSSSSSPTRLLHNNAISINSITLVSKRRLSSSSSSSSCAGDIEDTVVNSSSQKKPNISDSFLRRFRKKRALSVTDLTSTEWCPKQMEFTLLLGGRKVNQFMKAGIARHAKLEAEVITRMEVKVQSQEDRMALKFLNFIAGVNQLLFEGLTRELPIIGFAEDIWMVGIIDEVRMPLTENDHNPILIDTKTRARDTLPAEPQRRNGRLQLMCYKYMWDNLVADNFPSKDFFTYFGLNPQSILCEDLRVLSADSGFSATTLDDVVRYYRNTYMMLSPANDQLLLRYEYQKDHSLLCEDKFAYDAVWLKNQIRSCIEFWLGEREAAYVHEEERWKCRFCQYAPVCPAYTESKGIDANTSNDSKAKEV >RHN82467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53996247:54000439:1 gene:gene6635 transcript:rna6635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lipoate--protein ligase MSILGKAREGVIVPSMKLVRLKGMSILEQLHLEERLLRTSSDNWCLINDGTTSPAIVMGLSGKLSELVEVKSVLRDHIPIIRRFTGGGTVIVDNGTIFITLICNKDAVPNVQPFPRPIMSWSGQLYGRVFEGLADFHLRENDYAFGDRKFGGNAQSITKNRWVHHTSFLWDYEAKNMSYLKLPTKAPKYRLTRDHMDFICRMKEHMPRSEFIERTIKAVGAEFSVSPVSLESVNNDSVSEYVHTTKLLTEQEIREASIVQT >RHN63691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53248690:53252141:-1 gene:gene26425 transcript:rna26425 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDRVVVPVNANQNEFPMVIITDNKDEFSIFPPINHENLQLLTNQQIPQSKSPPSPSFSPSDCDAWEECLSLSSPFDSSLRKGCDFIGWLSIGFQILRSKLVSAVSSFRNRGGTNWSFGLRAATVVFIVMVLIRRRKNGRRTLTPNESRLMQIIMEKEGKIAQLLHQIAQMNEILIDRHKALAAKVVN >RHN64836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62362518:62365567:-1 gene:gene27702 transcript:rna27702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine--tRNA ligase MDGLTSLKRYLIAKAYRRENPSKGRYPEFYQCGFDIAGSSKKMAPDFEVVRILT >RHN54170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7514513:7517904:-1 gene:gene29163 transcript:rna29163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MLCVTNLSKLNASIFGCIGKTIVTRKQQSVPVTRFNKPVLSLSSLCSRSFLSNSSNFYSSNNVGARKLMASSPSNAILGDVYVDDLISSYSSVQDFTKHAGVYFKERTHKGFVRGSLSLRRPQQVLYGPLNFGRSTFDASWRIQNSGLLHGPWTKNFSASYSACCLAGAAHDLSFDTSPPDEKLENSSTLANITTLDRKPLKMLSGSCYLPHPDKVATGGEDAHFICEDEQAIGVADGVGGWADVGVNAGLYAQELVANSARAIREEPKGSFNPVRVLEKAHSKTKAMGSSTVCIIALIDEALNAINLGDSGFIVIRDGSVIFKSPVQQRGFNFPYQLARSGTEGDLPSSGEVFTVPVAPGDIIVAGTDGLFDNMYNNDIVGVVVGATRARLGPQATAQKIAALARQRALDTKRQSPFSAAALEYGYRFDGGKLDDLTVVVSYISNSVNE >RHN62296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42424882:42428557:1 gene:gene24854 transcript:rna24854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative formin, FH2 domain-containing protein MTTMTLTLLLLLHIFFSSSAHSSNHHNRRILHQPLSFPSISLPPTQPPSSSPQTQPKPQQTQPKLPFSSISSSSPPQTPFFPSYYSPPLPPSPPFLATFPANISSLLLPQHHTRTHNHRHAAAIVISLSLLSLVILSISTVFAFHRHRHSHKTSSTTVNDDNASRSDSLRLFPPNTATSDSVDQTTNDKSSSMSELFNLGTITTLDDTKATAESSCNGNSNDGFPPPYRYVTDSPELHPLPPLPRHNVRTWKNEPKKKEEEEEEEEEEEKKEKERVHEEFYSPKGSPSGNKQQHSPSLSPSSSPVVTVAVAATSSRSFNVFHYDKFGSKSFTSRTASYPLSYSLSRSPSLNLSPIESVQSFPPINPVSPSFSSESCSPMPMEDFGLKWDGNDTQVSKMAPPVPPPLPPRLWETPVVVSQDGNGDVSVENEENLKPKLKALHWDKVKASSDRAMVWDQLRPSSFQLNEDMIESLFMANNSNSSGNSALASNPKDNARHQIIHASPMPPENRVLDPKKSQNIAILLRALNVTIDEVCEALREGNCDTLGTELLESLLKMAPTEEEKSKLKEFKDESPFKLGPAEKFLKVMLDIPFAFKRMDAMLYIANFDSELEYLKKSFDTLKVACEELKNSRMFMKILEAVLRTGNRMNVGTDRGDAQAFKLDTLLKLVDIKGTDGKTTLLHFVVQEIVRTECSHVSRASNHSVNNPEYTLQDEVDSMKLGLQVVSGLSGELANVKKAAVMDSDALSSDVSKLAKGIKKVAEVVKLNEESPLKETNQKFSEAMKGFLERGEEEISRIMAQEKNALSSVKDITEYFHGNSAKEEAHRFRIFMVVRDFLSILDGVCKQVGKANERTLVGSRQYVMPAVTTLTPIFPEFNGKQTSDSSESD >RHN53126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42178506:42181362:1 gene:gene37895 transcript:rna37895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MAASSESILDHIPLFAKELLAGGLAGGFAKTVVAPLERLKILFQTRRTEFRSAGLSGSVRRIAKTEGLLGFYRGNGASVARIIPYAGLHFMSYEEYRRLIMQAFPNVWKGPTLDLMAGSLSGGTAVLFTYPLDLIRTKLAYQIVSPTKLNVSGMVNNEQVYRGIRDCLSKTYKEGGIRGLYRGVAPTLFGIFPYAGLKFYFYEEMKRRVPEDYKKSIMAKLTCGSVAGLLGQTFTYPLEVVRRQMQVQNLAASEEAELKGTMRSMVLIAQKQGWKTLFSGLSINYIKVVPSAAIGFTVYDTMKSYLRVPSRDEVD >RHN56458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31016825:31018736:1 gene:gene31864 transcript:rna31864 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MSMSDINKNSELIFIPAPGIGHLASALEFAKLLTNHDKNLYITVFCIKFPGMPFADSYIKSVLASQPQIQLIDLPEVEPPPQELLKSPEFYILTFLESLIPHVKATIKTILSNKVVGLVLDFFCVSMIDVGNEFGIPSYLFLTSNVGFLSLMLSLKNRQIEEVFDDSDRDHQLLNIPGISNQVPSNVLPDACFNKDGGYIAYYKLAERFRDTKGIIVNTFSDLEQSSIDALYDHDEKIPPIYAVGPLLDLKGQPNPKLDQAQHDLILKWLDEQPDKSVVFLCFGSMGVSFGPSQIREIALGLKHSGVRFLWSNSAEKKVFPEGFLEWMELEGKGMICGWAPQVEVLAHKAIGGFVSHCGWNSILESMWFGVPILTWPIYAEQQLNAFRLVKEWGVGLGLRVDYRKGSDVVAAEEIEKGLKDLMDKDSIVHKKVQEMKEMSRNAVVDGGSSLISVGKLIDDITGSN >RHN50571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8162880:8171822:-1 gene:gene34873 transcript:rna34873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAUS augmin-like complex subunit 6 MTMDREKEREIELESAMYTNCLLLGLDPSVIGVGASNSTPRVGAFRHSNPKLGEQLLYFILSSLRGPIQSSKDFDKVWPIFDSAQSRDFRKVVQAIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFTSDIASNPLPTPLTDVAFSHAATLLPVTKARIALERRKFLENAEMAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGELWDDLVSSSSQNSHLVSKATRLWDSLLARKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSSQAPYSDVLSGDSGDLSSVQTDNQGEIDGSHFSSETLTTVDDRNGRVHQTVDIAEVIRRWTHALQRIHKQSLHLAKANDGEGPDILRSAQEGSSSGHAESLAATLAEHQQHLASFQVLINQLKDVAPTIQKSISECTEKVNCLASNLTPHLLNRHHSQSTSPIQAQSSGRMESGTDDVGELASRMSNVQLDKVSVSPSTLKLPQLFSMTPSGKAGNVQRRHGYASQTSQTENLSVSKSLDAPSNNEVASSEGSDSLFVQNLKRSVREAALSLQSYNLESSRNSSHSDGSSEHFFVPLSETSFSHSDTEKNVTSLRSKRLFVSPMDDPLLESHASDEHGSKFDEFSDMLSDMERLSYSDNVNGFLSYTGSNETSDARRSMFDFEDAQEVFSPPMLMDSSLLTDQFEDLLAPLSETDTALIDH >RHN44405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4331975:4333833:1 gene:gene38524 transcript:rna38524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone-7-O-beta-glucoside 6''-O-malonyltransferase MASLNKHIKIHEQCKVSPSSSSTQLSLPLTFFDYIWLRFHPVERIFFYTLPSSHSHPTFFFENLVPKLKSSLSLTLQHFLPLAGNIVWPSDSPKPFLQFNPNDDGVSLLLAQCDDDDVSFDKILEHNSPQEASLSPWSSICKSLEEETQSLNLEPLLEPFLERELIEDPNDFENSFINTWNRISSHFDKSSVKSIKIMSSMFQPIIKDAVRETFELTREDLEKINKRVFSKWNNIEDGAQEKEQEQPKKLSTFVLTCAYVSVCIAKAIQQSESDKKQKFSIGFPVDCRSRLVPPIPKNYCGNCVSNHIVDTEPYDFTKEDGVVIVAKKIYGKTQEMDKGFLDGIETMMYKYMAMIGEGVKGIGVAGSTRFGVYEIDFGFGRPAKVEITSIDRGLTIGLTESKDLKGGVEIGLVLEKHVMDLFQAIFREGLCFD >RHN44833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8991649:8991918:-1 gene:gene38999 transcript:rna38999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 70 kDa protein MTEKYEGVAIGIDLGTTYSCVGVWQEQNDRVEIIHNDQGNRTTPSCVAFTNSQRLIGDAAKNQAATNPSNTIFG >RHN65727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4772617:4779909:-1 gene:gene13605 transcript:rna13605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MYRDKDMTHMFVYAIILFIFLFFLANNVKGYVVCITNNDCPPRTRLILYKCRNRKCVSYSII >RHN80054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34661908:34662480:-1 gene:gene3930 transcript:rna3930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MEEENSKEKNDKQESPKEIRYRGVRRRPWGKFAAEIRDPARRGARVWLGTFLTAEEAARAYDRAAFEMRGALAILNFPHEYSIVNSSSSSLAPNSSSASCYESSSTSNYQSEHGKQVIEFEYLDDKLLEDLLDCDESETTRKNLPK >RHN62454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43552246:43556113:-1 gene:gene25033 transcript:rna25033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MMMMMHLKKIRVQTNTLIFFFSPPTRLRRFFYPTPITRTFSSQIHKDSIFIPPTKTHLYVSFFCTLIRLYLTHDRFSTASATFSHMRALGLVPTLPFWNTLLYQFNASGLVSQVKLMYSDMLFCGVVPDVFSVNVLVHSLCKVGDLDLALGYLRNNDVVDIDNVTYNTVIWGFCQKGLVDQGFGLLSEMVKRGLCFDSITCNILVKGYCRIGLVQYAEWVMYNLVDGGVTKDVIGLNTLIDGYCEAGLMSQATELIENSWRSDVKIDIVTYNTLLKAFCKTGDLTRAESLFNEILGFWKDEDRLKNNDVVTQNEIKNLQPTLVTYTTLIAAYCKFVGVEESHSLYKKMIMNGIMPDVVTCSSILYGFCRHGKLTEAAVLFREMYEMGLDPNHVSYATIINSLFKSGRVMEAFNLQSQMVVRGISFDIVTCTTVMDGLFKVGKTKEAEEVFETILKLNLAPNCVTYSALLDGYCKLGKMELAELVLQKMEKEHVPPNVITFSSIINGYAKKGMLSKAVDVLREMVQRNVMPNTIVYAILIDGYFKAGEQDVADDFCKEMKSRRLEESNVIFDILLNNLKRVGRMDEARSLIIDMYSKGIDPDIVNYASLIDGYFKEGNQLAALSIVQEMKEKNIRFDVVAYNALIKGLLRLGKYDPRYVCSRMIELGLAPDCITYNTIINTYCIKGKTEDALDILNEMKSYGIMPNAVTYNILIGGLCKTGAVEKAESALDEMLVMEFVPTPITHKFLVKAYSRSEKADKILQIHEKLVASGLELSLTVYNTLITVFCRLGMTRKAKVVLDEMVKRGISADLVTYNALIRGYCTGSHVEKALKTYSQMFVDGIAPNITTYNTLLGGLSNAGLMEEMMEETEKLVSEMNERGLVPNAATYDILVSGYGRVGNRKKTIILHIEMITKGFVPTLKTYNVLISDYAKSGKMIEARELLNDLLTKGRIPNSFTYDILTCGWLNLSYEPEIDRSLKRSYEIEVKKLLIEMGRKGLKFSKKAEIRRLKIFPHWMVDALNKCL >RHN56126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27984697:27988654:1 gene:gene31473 transcript:rna31473 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKKLSSKTVMILQESHCFSLRRESQILFGKRNKKGEVIGEDGYAIS >RHN52005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30138641:30141126:-1 gene:gene36610 transcript:rna36610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TPX2 central domain-containing protein MEEEFVEIEVEESSLCFDDEIDFTYEFDAPMFYDFTTQETLFDDCEAEQWFEFAQSYPPSPFLMKFRYGNGGATENGNVTCGDPHHVSTMEDNNSRGVENCNQTAQDTLDGKIKALTKSSSSKSKVFTFMKPTASHLAKLKNPQEVQNPRNLRRIQEKSSASIDLLLTKRQKLESGYLRKVAQLKHQNLLTHKKTKEVDRTNVNLASKPNVTIPREPNLQTAMRAQRHKPKTNVESEEHAKSSFQVLKARPLTKKVSIQLNYFHISYKSFS >RHN67576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28368740:28370570:-1 gene:gene15775 transcript:rna15775 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIFRCFLETKLVSSKFLILRVQVLEEKQNSKKETILKLQQKMQSLQAGKGKA >RHN40359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15587794:15589212:-1 gene:gene46506 transcript:rna46506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MASTDLTNMKEDQSRDGQETTSKVNKFEVNLYGDKDGPLPQIDVSNMTEPRLFGTHMPFPSLPKSVKESNCKIIYICRNPFDTFVSYWIFINKIRLRKSLTELTLEESFERYCKGICLFGPFWDNMLGYLKESIERPDRVLFLKYEDLKEDVNFHTKRIAEFVGIPFTQEEENNGVIENIIKLCSFESMKEIEGNQSGTISGDIEKEFYFRKGEIGDWANYLSSSMVEKLSKVMEEKLNGSSLSFKVCA >RHN61518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36105826:36108887:-1 gene:gene23991 transcript:rna23991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative asparaginyl-tRNA synthase (glutamine-hydrolyzing) MATRTKVCISLMLFAVAFINAIDECSDFTIDESTIEDIQDAFTLNKLTSRQLVDFYLHRIKVLNPILGAVLELNPDARDQADKADCERQEDRDRSLLHGIPVLIKDSIATFDKLNTTAGSYALLGSKVPRDAHVVSKLRDAGAIILGKTSLPEWYGARSTTMPKTWCARGGFALNPYVESKSPCGSSFGSAISVATNMVTVSLGTETDGSIICPADHNSVVGIKPTVGLSSRAGVIPISPRQDTIGPICRTVSDAVHVLDVIVGFDTRDYEATKSAAKFIPSGGYKQFLNKQGLKGKKIGVLRNPFLIPYEGSKVISIFEDHLNLLRERGAKVVENLEVENLSIILDPFQNGEMITFLPEFKLSINKYLQELTYSPVRSLAEIIEFNINNPKLEKTNEYGQDLFIASEMTSGFGKSEIEALKMMDQLSKDGFESLIKKNQLDAVLAIGSDASPMLAIGGYPAITVPAGYDKQGMPFGICFGGLKGTEPKLIEIAYDFEQATRARKPPLA >RHN68781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38514930:38519447:-1 gene:gene17177 transcript:rna17177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MVRHVVSPQIPPVFLNDAAREAGTENPAYTEWEEQDSLLCTWILSTISSSLLSRFVRLRFSHQVWDEIHNYCYTQMRTRSRQLRSELRTITKGTRSIAEFIARIRSISESLMSIGDPVAHRDLIETVLEALPEEFNPIVATVNSQTEVISLDELESQLLTQEARNEKFKKALVGETASVNLTHAENSGEKNGHNQPQTGSYPDQQFNISGNPTGNNSSQYFNPNFGGRNGSRGRGFRGNRFRGRGGRNFGRGNIQCQICYKTGHDASICYHRLSVPPQYEGYGSLGGNFGGNLGSGYGPATGFGTHSNVWMQGVGQRNPSYGAPRAPFPPQFGNSRPPAPQAYITGNESTSSNSFNNGWYPDSGATHHVTPDANNLMDAASFSGSDQMYIGNGQGLAINSIGSMSFPSPFSPNTTLTLNNLLHVPSITKNLVSVSQFCKDNNVFFEFHSNICYVKSQDSTKILLKGHLGDDGLYQFDQPYVPSVSRTASSSSVATSSLSLNNCFSPSSLSLSRSQCNNGSVYTPIHTSGSSNDSSNSLSLYKVWHNRLGHPHHEVVRSVMKLCNQQLPNKSFTDFCSACCLGKSHRLPSVSSKTVYNKPFELIFCDLWGPASVESHGGYSYFLTCVDAYSRYTWIFPLKLKSHTLITFQNFKTMVELQYNLPIKSVQTDGGGEFRPFTQFLTTLGITHRLTCPHTHHQNGSVERKHRHIVETGLTLLANAKLPLHYWDHAFLTATYLINRLPSPILNNKSPFFLLHLQIPDYKFLKSFGCSCFPFTRPYNNHKLELCSKECVFLGYSPSHKGYKCLDPTGIMFISKDVIFNEYKFPYSELFTSGQPSSPPTTSSDHTPLPSFLFPLNNKQCSTTQSSSTPTTTLHTASPHSSFPESNQSNHHHSIQDTHASSHSNHHNISPGPIFNPTPISTHPPSPSPSSHSHNTYHSISAESVTSQPSTQAEPHRIHPNNTHSMATRAKHGIVQKRKHPTLLLTHIEPTGYRQAMKQPQWLQAMQLEHEALMKNNTWTLVPLPADRQAVGCKWVFRTKQNPDGSINKYKARLVAKGFHQMPGFDYKETFFPVVKPVTVRSVLTLAVTNKWCIQQLDVNNAFLNGYLEEEVYMTQPPGFEAVDPFLVCKLNKALYGLKQAPRAWFERLKSTLLKLGFCSSKCDPSLFILHANQHNTFMLVYVDDILITGSSASLIQQLVKKLNAEFSLKDLGKLDYFLGIEVHYSENGSLLLSQKKYIQDLLVKANMANANGIASPMASSTKLTKYGSNHVSDPTFFRSIVGGLQYVTVTRPEISYSVNKVCQFLSAPLEDHWKAVKRILRYLKGTIHHGLLINPAPMRQPLSLTAFCDADWASDPDDRRSTSGACILLGPNLISWWAKKQTLVARSSAEAEYRSLAQASAEVLWIQSLLKELKVPTAIPQIFCDNLSTVSLAHNPVLHSRTKHMELDIFFVREKVISKDLIVSHIPAQYQVADILTKPLSASRFLELRNKLRVSDPMSLRGDC >RHN75008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38677932:38679001:-1 gene:gene11154 transcript:rna11154 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLSVHVANMFFIDYEQCLNFAGGAEAAKERTMMQKCNFWW >RHN79531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29912174:29914579:1 gene:gene3335 transcript:rna3335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L40e MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKTICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >RHN81938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49946894:49954745:1 gene:gene6038 transcript:rna6038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XIIIb family MEDEGLMPLFYARQKKWWFHATTMFLMLLLLSPFAFSLQEEGQALMAMKSSFNNIADVLLDWDDVHNDDFCSWRGVFCDNASHALTVVSLNLSSLNLGGEISPAIGDLRNLQSIDLQGNKLTGQIPDEIGNCGALFHLDLSDNQLYGDIPFSISKLKQLEFLNLKNNQLTGPIPSTLSQIPNLKTLDLARNKLIGEIPRLLYWNEVLQYLGLRGNMLTGILSPDICQLSGLWYFDVRGNNLTGPIPESIGNCTSFEIFDISYNQITGEIPYNIGFLQVATLSLQGNRLTGKIPEVIGLMQALAILDLSENQLVGPIPPILGNLSFTGKLYLHGNILTGSIPPELGNMSKLSYLQLNGNQLVGEIPKEFGKLENLFELNLANNHLEGSIPHNISSCTALNQFNVHGNQLSGSIPTTFRNLESLTYLNLSANNFKGNIPVELGHIINLDTLNLSHNHLEGPLSAELGNLRSIQTMDMSFNNLSGSIPPEIGQLQNLASLTLNNNDLHGKIPEQLTNCFSLSTLNFSYNNFSGVVPSSKNFTRFAADSFIGNPLLCGNWVGSICRPYIPKSKEIFSRVAVICLTLGIIILLAMIIVAIYRSIQSKQLMKGSGKMGQVPPKLVILHMDLAIHTLDDIIRSTENLSEKFIIGYGASSTVYKCVLKNSRPIAVKRLYNQHPHNLREFETELETIGSIRHRNLVTLHGYALTPFGNLLFYEYMANGSLWDLLHGFWCYLQEKFYVFLEFRFVISPQSCFWVLKNAFFDTGPLKVKLDWETRMRIAVGAAEGLAYLHHDCNPRIVHRDIKSSNILLDENFEAHLSDFGTAKSIPATKTHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNDSNLHQLILSKADSNTVMEAVDPEVSVTCIDLAHVKKTFQLALLCTRRNPSERPSMHEVARVLISLLPPPPSKVVAAAAKSFDYAPFVAEKGQHHRKLDGLQPQQDNNLSNAQWFVRFGDVISKSSL >RHN44616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6864757:6865227:1 gene:gene38755 transcript:rna38755 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFNLSSEVFSTKLLPFDMEDSYLDEWVESYLDLTVLNGSVAMISKHAKTTSFHIYVLCELGVKESWTKLFIVGPLPSVGRPIGIGKKGDLFCLKNCKELVCFDLNTQTIENLGVVTWQFIGKTFFQLVERGVSYFCISSLKLFLKRVISIIIRI >RHN76466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50574486:50575048:1 gene:gene12797 transcript:rna12797 gene_biotype:protein_coding transcript_biotype:protein_coding MLISMLAMSFLTGIIGGNHQKDTLLRWKTKDRGIQLLIMSLQRSCLNLLRSLQMSSHHIVFLPMLKRLLKTRDGFKL >RHN73250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14781335:14784468:-1 gene:gene9047 transcript:rna9047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MHDLIWDMGREIVRQSSPKEPGKRSRLLFHEDVSHVLAKNTGTNTVEGLILNLQRTSRVSFSTNAFQEMNKLRLLQLDRVDLIGDFGDLSNHLCWVDWQRFSFKCIPDDFYQENLVAFELKYSNVRQVWKEAMLMEKLKILNLSHSKYLRSTPDFSKLPNLEKLIMKDCQSLSNVHQSIGDLKNVLLINLKDCTSLENLPREIYQLKSLKTLILSGCSKIDKLEEDIVQMESLTSLIATNTSIKEVPYSILRLKSIGYISLCGYEGLSHDIFPSLIRFWMSPTMTSLPRIPPFRDMPLSHVSLDVENNNNLGLSCLLPKLNSLSKLRSFQVQCHSKIQLTRELTRFIDDLHDANFTELETSHTSQISVLSLRSLLIGMGSYDTVINTLGKSLSQELRINDSIDSFLPGDNYPSWLAYTCVGPSVYFQVPEDSVSGMKGIALCIVYSSTLEIMGTECLTSVLIINHTKFTIQIYKRDTIMSFNDEDWQGVASNLGVGDNVEIFVAFGHGLIIKETAVYLIYGQLTTMEIEPILEVAAQPSPDVEIELLPKTNEKIFIRLAKRVGKCLCLNQNRDLNNF >RHN72518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8345539:8348562:1 gene:gene8243 transcript:rna8243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylyl-sulfate reductase (thioredoxin) MALAVTSSSSVAATKSNSFFSRIGSSSESKVLQIGSLRVLERNVSSGVFNLPQRRYLVKALNAESQRNDSIVPLAATIVAPEIIEKEEEDFEQLAKNLENASPLEIMDKALEKFGNDIAIAFSGAEDVALIEYAHLTGRPYRVFSLDTGRLNPETYRLFDAVEKRYGIRIEYMFPDAVEVQGLVRTKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEVPVVQVDPVFEGLDGGNGSLVKWNPVANVKGNDIWTFLRTMDVPVNALHAQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNLKQDDAAQNGNGNGVAHANGSAAVADIFTTQNVVSLSRAGIENLAKLEDRKEPWIVVLYAPWCRFCQAMEESYVNLAENLAGSGVKVGKFRADGDEKEYAKSELGLGSFPTILFFPKHSSRPIKYPSEKRDVDSLLAFVNALK >RHN38957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3047876:3048607:-1 gene:gene44935 transcript:rna44935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MWVCVSDNFDVKTVVTKISESLTNIKIDDKLSLENLQNMLHNHLSGKKYLLVLDDIWNESYVKWTQLRTHLMCGAQDSKVIVTTRNKIVAQTMGVSVPYTLNGLNPESSWSLLKNIISYGDETRSVNQTLESIGKKIEEKCIGVPLAIRTLGGLLQGKTEAKEWTDVLQCGLWKLCEDEESIMPVLKLSYLNLSPQLRQCFAYCSLYPKDWRIEKHELIQLWVAQGYFEFSGGKFMEDIGNNL >RHN80932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42004330:42005215:-1 gene:gene4916 transcript:rna4916 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNNGRGRGLSTCGGMNFDHLLRMHLISWTFLEMFALFHGVVRYRGNIRSIQLDPHLGYILVRQSLESMRNRFEEELQISPVNRTSWDYTNVFHPVPQIPLVPAAQVAPQVQFPLGLQLNYRHEIPMENWTYVELYALFYGYRRYHSVEAIINDQELAPFFNQHPLWEMQSRIDREIANAYTHSLTLPWDVINIIHPIPPAPPAVEPDVQSSFGDNFDIVD >RHN56452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30983314:30986292:1 gene:gene31857 transcript:rna31857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase METFTLLLTLTAALSAYFLWFHLLARTLTGPKAWPFIGSLPGLFKNRNRVHDWIAENLRATGVSATYQTSIMPFPFLAHKQGFYTVTCHPKNLEHILRTRFDNYPKGPKWQTAFHDLLGQGIFNSDGETWIMQRKTAALEFTARTLKLAMARWVNRSIKNRLWCILDKSVKDNVYVDLQDLLLRLTFDNICGLTLGKDPETLSPALPENPFSVAFDTATEATMYRFLYPGLIWRFQKLFGIGSEKMLKQSLQIVETYMNNAISDRKETPSDDLMSRFMKKRDIDGKPINATILQHIILNFILAGRDTSSVALSWFFWLVMNHPKVEEKIIKELTTVLEETRGGEKRKWTEDPLDFSEADQMVYLKAALAETLRLYPSVPQDIKQAVVDDVFPDGTVIPAGSTVTYSIYSVGRMEKIWGEDCLEFKPERWLSVRGDRFEPPKEGFMFVAFNAGPRTCLGKDLAYLQMKSVAAAVLLRYRLLPVPGHVVEQKMSLTLFMKNGLKVFLQPRKL >RHN64465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59295274:59316893:1 gene:gene27284 transcript:rna27284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MPDKETREPINNLTKQSNSLDNLNFDALKVLFKPHIESFDHLIEAGMETIFKSIKLVFVSSYIFILLCLFLTWILLTLEAPKILSPQKDGIAKTTRKTLYPFEASFNFLSFICRQAKLTYSGRFTADVCFKYDDSEAILRENFNFGQFPIMLQSKRCNLRDFPPRKLVSYKEEASEMGGYFIVNGLERCIRPIILPKRNYPMSTVRSSFSERREGYTDKAVVIRCVRADQTSLTVKLYHLRNGSARLGFWIHGREYMLPVGILLKALIDTTDREIYANLTSCYSEKYEKGKGVVGTQLVGERAKIILDEVCEVSKKFTRLECLEYIGEHFQPIMHELRNESHYTVADDVLKNYIFVHLENNFDKFNLLIFMLQKLFSLVDQTSVPDNPDSLQNQEVLLPGHLITIYLKAKLEEWLAKGKTLLLDEIKRGGKIGKIGEKFDFRKFHHVKKVLEKNHASGVGKAIESMLTTGRLATSIPLDLPQTSGLTLQAERLNFLRFLSHFRHVHRGALFAGLRTTTVRKLLPESWGFLCPVHTPDGGPCGLLNHMTHTCRITSFYDSQGKVKNYYKIKLSILNILIEIGMTQSLPKIFLPGPPEVLTVLLDGCIVGYIPSTEVEKVVAHIRELKVSSAAVIPDDLEVGYVPLSMGGAYPGLYLFTSPSRFIRPVRNLSIPSNGNENIELIGPFEQVFMEIRCPDGGDGGRKSSFPATHEEIHPTGMLSVVANLTPWSDHNQSPRNMYQCQMAKQTMAFSSQTIQHRADQKLYHLQTPQTPIVRTSAYTEYNIDEFPTGTNAIVAVLAYTGYDMEDAMILNKSSVERGMFHGQIYQTETIDLTELGSQAERSSTIFRKSNLDKGCHSIDSDGLPHVGQMIGPDEPYCSIYNEVTNKTRLIRKKGTEPAYIDCVAVDLKKHRRKANIRFRHPRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSGNTGMRPDLIINPHAFPSRMTIAMLLESVAAKGGSLHGKFKDATPFRSSVEPGSKSASLVDELGDMLKEKGFNYHGCEVLYSGVYGTELTCEIFIGPVYYQRLRHMVSDKYQVRSTGTVDQITRQPIKGRKRGGGIRFGEMERDSLLAHGAAYLLHDRLHTCSDYHIADVCSICGSMLSTTFIEPQKRPVRDIPGIPVRRAPRKVTCHACQTSKGMETVAMPYIFRYLAAELAAMNIKMTLKLSNGANVSDTGV >RHN63845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54412220:54412779:1 gene:gene26599 transcript:rna26599 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFPFSKEVVTILSNLFRCVHHIFLASIFLHLSDLIVFSSQSCVILLQFEEFLHFSSSIEVSCFTRFFNFSSYFLFVCGNCVCHLHLSL >RHN55991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26534236:26540176:-1 gene:gene31300 transcript:rna31300 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NR2 MAASVDNRQFRNLNGVVHTFNPSNNFIHDLKPGFPVNKDLFSSDDEEENDDASNLQELIRKTNAEVEPSILDPRDEGTLDNWVTRNATMVRLTGKHPFNSEPPLPRLMHHGFITPVPIHYVRNHGAVPKARWEDWTVEVSGLVKNPTRFTMDRLVREFPSRELPVTLVCAGNRRKEQNMVKQSVGFNWGAAAVSNSVWRGVSLHHVLKRCGILTRSKGAVHVCFYGAEDLPGGGGSKYGTSIKREIAMDPSRDVILAYMQNGEALAPDHGFPVRVIIPGFIGGRMVKWLKRIVVTTDECDGHYHYKDNRVLPSHVDAEQANEEGWWYKPEYIINELNINSVITTPCHDEILPINSWTTQRPYTLKGYSYSGGGRKVTRVEVTMDGGETWHVCTLDHPEKPTKYGKYWCWCFWSLEVEVLDLLGTKEIAVRAWDEALNTQPENLIWNVMGMMNNCWFRVKTNVCRPHKGEIGIVFEHPTQPGNQSGGWMAKERHLERSQETKPILKKSISTPFMNTSSKTYSLSEVKKHKTLDSAWIIVHGNVYDCTRFLKDHPGGSDSILINAGTDCTDEFEAIHSDKAKKLLEDYRIGELVATGYTSDSSSPNNSMHGNSEFTHLAPIKEIMALNPREKIPCKLIAKTSISHDVRLFRFALPSEDQLLGLPVGKHIFLCVTVDGKLVMRAYTPTSSVDEIGYFELVVKVYFKNVHPRFPNGGIMSQYLDSLTIGSTLEVKGPVGHIEYLGRGNFSVHGKQRFAKKLTFLAGGTGITPVYQVANSILKDANDQTEMYVVYANRTEDDILLREELDEWAKIYSDRFKIWYVVENAKEGWEYSVGFITESIMREHVPLAGEDTLALACGPPPMIQFAVQPNLEKMGYDIKKDLLVF >RHN51590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20451418:20451711:1 gene:gene36055 transcript:rna36055 gene_biotype:protein_coding transcript_biotype:protein_coding MYCWVVSTGVPDLLFFGESHTTLPVRSVAFQAQCVAALMGAHGSGESCVVLYWGLLWKIRICEMGWLSFAF >RHN73619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18249532:18253958:-1 gene:gene9452 transcript:rna9452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKKMADIFKFVYDMIFFVSVFLIVVYGEKECISDAVCYEKYPGPFNFIMNCVDGYCKAFPNYYR >RHN55724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21753140:21757234:1 gene:gene30954 transcript:rna30954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MAMKGTSLLVPSVQELVKQPITNIPERYLHPNQDPIAVSNTSSLPQVPVIDLHKLLSDDATELQNFDHACRGWGFFQLINHGVNTPIVENMKIGVEQFLKLPMEEKKKFWQTPNDVQGFGQLFVVSDEQKLEWADMFYINTFPPDARHPHLIPNIPKPFRDHLENYCLELKKLAVTIIGRMEKALKIKSNELVEFFEDIYQGMRMNYYPPCPQPEHVIGLKPHSDSGALTILLQVNEVEGLQIRKDGMWIPIKPLSDAFVVNIGDMLEILTNGIYRSIEHRATINSMNERISIATFHRAQMSKILGPTPDLITAERPALFKRIRAVDYLNGFMSRELQGKSCIDFVRIQNDIGK >RHN68600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36962303:36964631:1 gene:gene16972 transcript:rna16972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MAAMMNYYSNMQQFQFHDSDPFRGELMEVLEPFIKSPSSTSTSPSSSTPSPSYSSSLSSPSFYTEQNFIGFAQPSSSFSSPSLLGLNHLTPSQINQIQVQIQQQNFTMQHQQIQQQQQRCLSNTLSFLSPKSIPMKHVGGSSVSKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGDFARLNFPNLKHQGSIIGGEFGEFKPLPSSVDAKLQAICEGLAEMQKQGKAEKPKKMPASKAKASSKVVSKESVDDLKKDSEPEECCKVEAVSVITESEGSEGSSPLSDLTFGDVGEPQWEGDSENFNLLKYPSYEIDWDSL >RHN65069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63990164:63990571:-1 gene:gene27962 transcript:rna27962 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFFLTDDTGYLWKCTNTFVCCPNMLFKIGGSWKQYCQSCRVREGAGVRIGAPKRVLIYKHDNLFNIVFRFHAIFKVTKIESCNMYVHPIFEQTHKLFKGVN >RHN49576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55758889:55759954:-1 gene:gene44422 transcript:rna44422 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSHFHHSKLKSLRKKGPDPLQTPQHVLCVPLFGPQDHLCYQLA >RHN71482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:448557:455165:1 gene:gene7088 transcript:rna7088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative magnesium transporter NIPA MASSSSSSWREGMSSDNIKGLVLALSSSFFIGASFIVKKKGLKKAGASGIRAGSGGYSYLYEPLWWVGMITMIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHIILRERLHIFGVLGCALCVVGSTTIVLHAPQEREIESVPEVWDLAMDPAFLFYAALVITATFILVFHFIPLYGQTHIMVYIGVCSLVGSLSVMSVKALGIAIKLTLSGMNQLIYPQTWVFALVVTVCVLTQMNYLNKALDTFNTAVVSPIYYVMFTTLTIVASVIMFKDWDRQSPTQVITEICGFVTILSGTFLLHKTKDMADGSSIRLNKHSEEDGFDDVEGIPLRRQESMRS >RHN54837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12778750:12779636:1 gene:gene29930 transcript:rna29930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-glutamylcyclotransferase MAKAKPHLIFAYGTLKQGFPNHGLMEDLKTKDDAVFMNTYSTQKPYPLVIGPHGIPYLINLPGSGHKVKGEVYAVSDDAVVKLDEFEGVGSGFYERVPVVVVTEGGEKVEAEGYFGHRSFGEKLWKMKGEVGLVEYGENDAREYVRKDDRPGCRNTILDFVSR >RHN64779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61759010:61760162:1 gene:gene27636 transcript:rna27636 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PR-1 MSFRCFSFALFLLLLTFISHVSASYIPNKKSFKSRSFKNQFLIPQNIARAAVGLRPLVWDDKLTHYAQWYANQRRNDCALEHSNGPYGENIFWGSGVGWNPAQAVSAWVDEKQFYNYWHNSCVDGEMCGHYTQVVWGSTTKVGCASVVCSDDKGTFMTCNYDPPGNYYGERPY >RHN47261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38348775:38349509:-1 gene:gene41836 transcript:rna41836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MSKGSLGKFIYRSQFPNAIYDFDWNTLFQIAVGIARGLEYLHQGCSSRILHLDIKPQNILLDEDFCPKISDFGLAKICRKKDSTVSMLGARGTIGYMAPEIFIRAFGGVSHKSDVYSYGMLILEIIGGRKNYDTGGSCASEMYFPDWIYKDLEQGNEPLLNCLTISEEENDMVRKITMVSLWCIQTNPSDRPPMNKVIEMLQGPLSSVPYPPKPVLFSLERPPVQMSHISSTDWDETNSITISN >RHN79353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27925411:27925683:-1 gene:gene3132 transcript:rna3132 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKKGKRSEIHAKLKRQKKLEKHAKSKARDVAVKSAIEIGEELPEKKLPKMIEDTS >RHN66315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10763209:10763819:-1 gene:gene14272 transcript:rna14272 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLGIKDNVLKLLERLGWVDMLKPMRGTSVMRWASQMGGSSTTLGIKI >RHN39785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10488933:10491834:-1 gene:gene45847 transcript:rna45847 gene_biotype:protein_coding transcript_biotype:protein_coding MQMALLLRGGALGDSSFRLCSLTSTSSSLHVSQNVVIPNSTSSPILPLIASRFKAVSRNKITCSAVQESSTSTSATAETKEEVKEEAPKAAAVKKTPAKAPVKPLPQMMEEDVIPSLKAILEAQQDLSDIELVFQDNKLEGSFLKKGNPYSFWAFFPTGLTGPKGFSLSSYNSGASTVEPFLVDEKKVNSKLIVFWVEKRLAAQGIIPVWKD >RHN52956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40688253:40694678:-1 gene:gene37698 transcript:rna37698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, toll-like receptor MASSSNSSTALVPLPRRNCYDVFVTFRGEDTRNNFTNFLFAALERKGIYAFRDDTNLPKGESIGPELLRTIEGSQVFVAVLSRNYASSTWCLQELEKICECIKGSGKYVLPIFYGVDPSEVKKQSGIYWDDFAKHEQRFKQDPHKVSRWREALNQVGSIAGWDLRDKQQSVEVEKIVQTILNILKCKSSFVSKDLVGINSRTEALKHQLLLNSVDGVRVIGIWGMGGIGKTTLAMNLYGQICHRFDASCFIDDVSKIFRLHDGPIDAQKQILHQTLGIEHHQICNHYSATDLIRHRLSREKTLLILDNVDQVEQLERIGVHREWLGAGSRIVIISRDEHILKEYKVDVVYKVPLLDWTESHKLFCQKAFKLEKIIMKNYQNLAYEILNYANGLPLAITVLGSFLSGRNVTEWKSALARLRQSPNKDVMDVLQLSYDGLEETEKEIFLDIACFFNSRNEKIIKNILNCCGFHADIGFIVLIDKSLITIHGSIVEMHSLLEELGRKIVQENSSKEQRKWSRMWSKQQLYNVTMENMEKHVEAVVFFGGIDKNVEFLSTMSNLRLLIIRHDEYYMINNYELVMLKPYSLSNKLRYVQWTGYPFKYLPSSFHPAELVELILVRSCIKQLWKNKKHLPNLRRLDLSDSKKLEKIEDFGQFPNLEWLNLERCIKLVELDPSIGLLRKLVYLNLERCYNLVSIPNNIFGLSSLKYLNMSGCSKLMKPGISSEKKNKHDIRESTSHCRSTSSVFKLFIFPNNASFSAPVTHTYKLPCFRILYCLRNIDISFCHLSHVPDAIECLHRLERLNLGGNNFVTLPSMRKLSRLVYLNLEHCKLLESLPQLPFPSTIGPDYHENNEYYWTKGLVIFNCPKLGERECCSSITFSWMKQFIQANQQSYGPYLYELQIVTPGSEIPSWINNQSMGGSILIDESPVIHDNKNNIIGFVFCAVFCMAPQDQTMIECLPLSVYMKMGDERNCRKFPVIIDRDLIPTKSSHLWLVYFPREYYDVFGTIRIYCTRYGRQVVGMDVKCCGYRWVCKQNLQEFNLTMMNHEKSLASKCKILAIEDETQPQPQSEQESFTSQVITTSQRRKSTSDNKSNAKTISVFNRKQRNKSRTSISATETEVSAEAPNSPVANEVSTESQQKKISNLHIIGQFIGRFLSNCCQQGSTDTDTDTVNSDAESLVSQEDSDAVISIIEEAIQTDIATCNVETDLPVGRFLENCCQQGSTDTDTDIRYDTDTVNSDAESLVFEEDLDAVNNY >RHN63454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51411531:51413113:-1 gene:gene26153 transcript:rna26153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MLRGFEMASGLKVNYHKSSLIGVNVSRQFMEAACRFLHCSEGSVPFNYLGLPVGANSKKLSTWEPMLEQLRNRLNSWGFKYVSLGGRITLLNSVLNAIPIFYLSFMKIPTKVVKKVTRIQRDFLWGGVGGGRKICWVKWKTICQPKSKGGLGVRDVKMVNLSLMAKWKWRMLQDELPLWKTVLREKYGENTSMIFSEEGTRWPRFASGWWKELKTLEVGLGANWFSNNVVRKVSNERGTSFWKDKWIEEDRWDWLPEEGGKYTVRSSYRVLEEGVLHQEGLSGLEEEVFSNLWKSPAPSKVVAFSWMALRDRIPTRTNLRHRNVLAPREPCVCVLCGEMEEKTSHLFLHCEVSLLIWRKVLDWLEINFITPQNLHSHYACWNGEVNSRKLRKAFLMIWHASIWMIWKERNARIFKNQFQNFDEVVDNIKAVSWCWSLSRLRIVSCFFYEWCWNPRECLRRR >RHN64969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63360983:63363759:-1 gene:gene27853 transcript:rna27853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MSPNKAFLFLALLSFSPQLFFIVSSAAEDNGLVMNYYKEACPQAEEIIKEQVKLLYKRHKNTAFSWLRNIFHDCAVQSCDASLLLTSTRRSLSEQEHDRSFGLRNFRYIDTIKEAVERECPGVVSCSDILVLSAREGIVSLGGPYIPLKTGRRDGRKSRVDLLEAYLPDHNESISAVLDKFGAMGIDTPGVVALLGAHSVGRTHCTKLVHRLYPEVDPALNPEHIPHMLKKCPDSIPDPKAVQYVRNDRGTPMILDNNYYRNILDNKGLLIVDHQLAHDKRTKPYVKKMAKSQEYFFKEFSRAITLLSENNPLTGTKGEIRKQCSVSNKQHHEEP >RHN80283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36816950:36820401:1 gene:gene4188 transcript:rna4188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MEQTIFSPLRELKPHLLMVLTQVGYTFGYFITETSFNHGLSPYVYVTYRNVVAGVVMFPFAYFLERSVRPKLTLYLFMEIFLLSAFGFCLSLNLYFASLKYTSPTFLSSMDNTVAALTFIFAVAFRFEVVDFQKPRGIAKVLGTLISLAGVTTMTLYKGPTMRNLWGPLIHIQPKSAPINETGLKGSILTVTCCVTLSIWYIMQASTLKRYPAQLSLTALMCFIGAVQSAVFTMIAEHDNPSAWIIGFNIDLWSTIYGGIVVGGLLIYILLWSTEKKGPVFVTMFNPLSTIFVTILAYFVLGEKLYLGSIIGGFIVIMGLYLLLWGKEGDKDVDIKTKVKRQYNSGDGDVEECRI >RHN49450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54903260:54905495:1 gene:gene44283 transcript:rna44283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MKAFKINSHLEMTTSHIGHHAIGIILLFQMITLVKPISFNYEGFKYDDVKLEGDASLLDSYIQLTSTSRYQSNAFSVGRVTFFEPLQLWDKTSRKITDFTTKFSFVIYSNETGFGDGLAFFIADPELPFVGYTKEGGGLGLVDKYQILNSTEHSFLAVEFDTHQNGWDPYGIHVGINFNSMVSQKTKPWLIDIRNKKTYYYCKIQYNSSAYTLKVSFTGNIVNDKPVKTYLSYNVDLRDYLPERVIFGFSAATGLMFEMNTIKSWSFNSSLEIQDDKLSSPISTTPSPSPIPNSLKISSNKRTVWAGLGVGVGIASIFLILGCFCFLMWKRAKEKKEDSNFDMKMDDEFQKGTGPKKFCYNKLVSATNNFEETQKIGQGGFGGVYKGYLKDIDSNVAIKRISRESKQGIKEYATEVKIISQLRHRNLVQLIGWCHMKKDFLLIYEFMQNGSLDSHLYRGKSILTWQVRYNIAMDLASALLYLHEEWEQCVIHRDIKSSNIMLDDSFNAKLGDFGLARLVDHEKVSQSTTIIAGTMGYIAPEYFTTGKATKESDIYSFGIVSLELASGRKPIDRKAKEGQVAIFDRVWDLYRLGRLLEVVDTKLGGAFDEEQMERLVVIGLWCANPNYSCRPSVRQVIQVLKFEASLPILSEEMFESTYPTTTMSTIFDPVSFPSEAYNS >RHN55263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16564540:16577536:-1 gene:gene30414 transcript:rna30414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription-associated protein MPVHFRVPHLQQLQQLVEVQESAWVLIDSSNGNKLSGNSAVGVHGNLYADLKDILETWRLRTLNQWDCVSIWYDFRV >RHN55533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19281284:19281658:1 gene:gene30731 transcript:rna30731 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLVLIFLMIFTLSLTAARRDPPPPLAFTHFLAVTRSDLPSPLFPDGVTNNTPLPPSLLPLPPPPSWPSNIEVHFHLNITLKIAFPVGIATILSIIGWISYKYYCNNKPTMKGSRRVEVEVEI >RHN65394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1727893:1728162:1 gene:gene13227 transcript:rna13227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-Xb-1 family MKSSNVLLANEMESRVLDFGMTRLISALDTHLSVSTLAGTPGYVPLEYYQSFRCTAKGDVYSFGVVMLELLNGKRPGDKEDFGDTNFVG >RHN40057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12864279:12867245:1 gene:gene46152 transcript:rna46152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MPQTSSISVSRRFSNKDHRVKSTSAEQEQQSAVQRRKGDDDLLDELQDNRIHTVARRRDVSRSRSPAVNKMHFTITLLAVLCPFTLWWFSFPGNQSVASSIGCYEYFLMTLQWPPTVCMRSLCVSDINQTFGIHGLWPTNTKWPFPQYCSNGSYHEMPKKLKVELHERWPNLLEWNTDEGFWKDEWDKHGTCSLDKFNQTEYFKLALSKTKKLDLLNLLKKGDIMPIHYKSYNIVDIVKAVRDGINNKEPGILCYNKTKTSHFLQEIRVCLEANGHSYKDCPESIRARNCSKGINITLPI >RHN63575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52347203:52354245:1 gene:gene26293 transcript:rna26293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane insertase OXA1/ALB3/YidC, membrane insertase YidC/Oxa1 MAYRRCLLQRGKNLIDRRCNPSFTYILHSDDEGKQRDQPDSAGRISNSFTQTRSFGFGNSLNGSMGFSPSSPFAAGYYNNFCRNMSTTPDQGFDKITELTTDVAHVLSDTAVDAISSQAAPVVSEVAIAAADSFLPVQVLQYAIDAVHTYTGLNWWSAIVVTTLLIRIATVPLLINQLKTTSKLTIMRPRLEELKAEMDGKTFDPQAVAEGQEKMKQLFKEYGVTPFSPLKGLLIQGPVFISFFLAITNMAEKMPSFKHGGAFWFTDLTTPDALYVFPVLTALSFLVVVEFNMQEGMEGNPMGDTMKKFSRVLAFLSVPFTMSFPKALFCYWLTSNLFSFTYGMVLKVPGVKKTLGVPDLPPPDPTRSPPAPFSILEEIKKAASAAKAASAANAASAANGQTSLPVESSKQPTKKISSSSSSSAAVISQRLKSLEKQVKGRKKNKK >RHN49201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53259164:53260296:-1 gene:gene44007 transcript:rna44007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MYPCIWNSLKMVILLLFLLITGLGSVINASPCSNCGDFEVPYPLSTNDDCGDKRYKIYCNNDSLEFLSATGTYYKILKIDTSANKLVIKPPNIFKHTCYSSDLIGGGLVLDESLPFNISTLNTVMLLNCSDNILQSPLNCSSNSICRQFEEKVEEGNGCMNTLCCHYLKDSVMNSHKIRLRVGSCTAYTCLVDFKPDEPFKKWNYGIELQWKPPN >RHN67290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25583094:25585967:-1 gene:gene15469 transcript:rna15469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MCDCKAALACARDNLLPLARDHLLPLLKEAFNMIRGVPKEIAELKDELESIEDFINDADRRSDDVEDKKIKDMTKQLIETSFHIEDVIDDYIFLEEHQSSEPGCAAAVDLLKTTKLRLQIAYKIQNINSQIREIKETSEKDHDFDIQSSLDKASSSSATNRNASLFQNLRDAPLYMDDADAVGFDVSRDKLIDLLVEGRAHRTVVSIVGMGGLGKTTLAKKVFDNQKVVKHFDCRLWITVSRPYNKEKLLKDILQQGKCPPQSLHQMDGKLLVDEVRNYLQGKRYVVVFDDVWDSHFWNDIEFSMIDNKNGCKILITTRNEDVADACKKSSFVEVHKLEGLSEEKSLELFNKKAFHDLSGYCPENLIDISSKIVEKCNGLPLAIVVIGGILACKDRNPIEWSKFSENINADQSKEYSMIKKILGLSYHDLPCNLKSCFLYFGLYPEDSNVRSNILTRQWIAEGFVKEERGMTLEEVAEGHLIELIRRSLVRVDGITIDGRVDSCRVHDLVHAMILNKHEDLSFCKSITEDRQLPSTGMIRRLSIASSSDNLMEGIESSHVRSLLVLEPKTLLKSFVRTIPTKYRWLKVLTLSSNQHEIPHDLGSLNHLKYFWFRGNGERNSELPKSIGMLVNLETLDLRETEFKNRNMPKEICKLRKLRHFLGYRMSLIELKDGIGGMTSLQTLNEVYLYDHEDENDNRVVELIEELGKLKQLRELGLAGVRSKYMSAISSSINKMQQLEKLNISGVEYETFIDLDLNSPPPMLQHIGLYGNLKKFPEWIPKLTNLVDMKVRLTKEEGNDAMKLLQSMPNLLSLHISGGNYEDKLERLHFQVGFKNLKELSIDHFNNLSHILIDEGALSSLKKLTLYGNPQLTSLPTGIQHLQKLEVLWLADMSVELIQSIAPDKGKEHWIFKQVPFVEID >RHN72750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10438963:10441063:-1 gene:gene8503 transcript:rna8503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAAELPPDILAEVFSRLPVKSLLRFRSTSKSFKSLIDSHKFINLHLQNSLNRSLILQRKFDLYQLQIDDDDDDFSKSRIPLNHPFTAGNTSNIDPFEVNNTMTRIGSCNGLLAICNGKFAFINPCDPNEITFWNPNTRKHRVIPFLPLPIPILDPTIRASLCVHGFGFDSLSGDHKLLRISYLIDHQSTFYDPHVRLFSSKANSWKIIPTMPYVLQYYHTMGVFVDNSSSIHWVATRKNQSFQSDLILAFNLSLETFNEVPLPDELGEEVNSNSFEIRVAVLGGCLCMTVDYKTTNVDIWVMKEYGSRDSWCKLFTLVKSSLGLPLESLRPLCYSRDGRKVLLEGDHVLLEVQHWKLFWYDLKSEQVSYVEGNSNLDDAMVCVGSLVPPPPYLVDNGRKKENHTSKRRDDFLSRGFKLRL >RHN81959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50129654:50129959:1 gene:gene6065 transcript:rna6065 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGLTEDRVVVTPKRVVTKPLSSSEKHSKSTTTVDVVTMKAAGGGTLSKEHQSAKKLLLRCRDGLKRPEHSTSTSAAVVSIDSELSFAVKRDINQIQSL >RHN75864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45663261:45667888:1 gene:gene12120 transcript:rna12120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ALOG domain-containing protein MSSSGKDLAEGGSSTSQGITLSRYESQKRRDWNTFGQYLNNLRPPVPLSHCNSNHVLEFLRYLDQFGKTKVHLQGCMFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGTPETNPFASGAIRVYLREVRECQAKARGIPYKKKKKSTNKGNDESSSTMHFS >RHN82770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56242626:56248218:-1 gene:gene6955 transcript:rna6955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leukotriene-A(4) hydrolase MAPVDPHSYTDSTHPPTTHISLTLYLDFPSSTIHASALFTLQTPHSGPFFLDTRSLDIHSITDPTQSTPIPFSLSPTIDPIKGSKLTLTLSNHTSFLITYTTSPSSSALQWLLPPQTFNKKHPFVYTQCQAIHARSVFPCQDTPAIRVCYSARLNIPKELTAVMAAKHVALRESLVDDECFGNSSKGRVVEEFEMELPIPPYLFAFAVGELDNREVGPRTRVYAEAVTQLLDSAAKEFDGTEDMIREGERLFGNYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDATGAQVVAHELAHSWTGNLITNKTNEHFWLNEGFTTYAERRIVEAVQGEKRALLNIGIGWRGLNEDVERFKDNMELTKLKNNQEGIDPDDVYSQVPYEKGFQFLLRIEREIGRPAFDEFLKKYIATFKFKSIDTETFIDFLKANIPGIENKIDLVLWTEGTGIPSDAFEPDSSVYKTIVSLANESVNGRMPREDEIAEWQGQEWELYLDNLPKSIEASQVLALDSRYKLSESKDYEVKVSFLQRAISCGCKAYYSEVEKTLKEVGRMKYLRPLYTALVKDSGNEDDKVFAKRLFSEARECYHPIAQGVVEAIFGKHM >RHN65733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4828581:4829067:1 gene:gene13612 transcript:rna13612 gene_biotype:protein_coding transcript_biotype:protein_coding MYVQVCKTIKLNYDLNAQFWLIHHALNIKEIILVLKKHIGNF >RHN46145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29179739:29181854:-1 gene:gene40588 transcript:rna40588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein DnaJ, cysteine-rich MTWPYPNNYQTIIFLQKHATCHVNIQSNNTLYKKKKKKNQQLLIILNSHFLQIFWLHCITNMASMTLNTIIPNVTISNNTKHSFGGLTTVPCNKIHNNNNNQKRSSSVVVSAVGDVSSDGTIYLVAGAIGIALVGTAFPIIFSRKDTCPECDGAGFVRKGGVTLRANAARKDQTQIVCARCDGLGKLNQVDK >RHN43791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48499011:48502746:-1 gene:gene50416 transcript:rna50416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MVIVGGGSWKTAMLMSSSLSHVRPLTCSSSTSSSSRIAPHPHDLIKWVTREGGFVHRAVKIAQLDSSNGLGLVAKEDIPIGTDLIALPQHIPLHFNHDDNSHSLLLQLTSHVPDELWSMKLGLKLLLERAKLGSFWWPYISNLPQTYTLPIFFPGEDIKNLQYAPILHQVNKRCRFLLDFEQKVKHALVGLTPDKHPFGGQEVDASSLGWAMSAVSSRAFKLHGNKQSNGINFDIPMMLPLIDMCNHSFNPNARIVQEQETGSTKMWVKVVAEKAIKEDDPLLLCYGCLSNDLFLLDYGFVIQSNPYDCIELKYDGALLDAASMAAGVSSPNFSTPAPWQELILSQLNLAGETPDLKVSLGGQEIIEGRLLAALRVLLASDMASVQKHDLNTLKSLNAEAPLGVANDLAVFRTLIALCVIALGHFPTKLMDDESLLKQGASGSTELAIQFRIQKKSVIIDVMKNLSRKVKLLSSKETVTAEG >RHN54536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10310621:10310950:-1 gene:gene29600 transcript:rna29600 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTRQQHIVPQSELLSPRNLVRSLYAHVSRSGTSFGKVLLEDRHILTGAHIRRKLEAVETMSLDVKKQKKERFNNIKEKVSNLRSSFALRGGIFGKRYQSMVESHGTE >RHN52991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40894372:40897129:1 gene:gene37734 transcript:rna37734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MENFEKLFILFLFALIHTIVLVDANFSKSMYITWGAQHAILQGEDLQLVLDKTSGSAAETKKRFLFGTIESRIKLVPGNSAGTVTAYYLSSIGSQHDELDFEFLGNSSGQPYIVHTNIYTQGNGSREQQFYLWFDPTADFHNYTIHWNPTEIVWYIDSIPIRVFRNYENEGIAYPNKQGMKVYTSLWNADNWATRGGLVKTNWTNAPFVAKFNHFRARACKWNGAISINQCALNIAANWWTSPTYKQLGYAQLGQMNWVRNNYMIYDYCRDTKRFNGQMPPECFKAQF >RHN48750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49949190:49950332:1 gene:gene43500 transcript:rna43500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor OFP family MGKKKTLNISSLLKNTELKYSSSSSSWPWPYCHQPKTLSFRADNINKDDTFKTINSVYLDASESFSTVSPNCDSSFSKASNDQESKQVDSIETVIRGLSSDRFFFEPDETNSILEVNNKAAAIGGGETQSLPFKDSVVLSMESRDPYVDFRKSMEEIVEAHDVKDWEGLQELLSWYLKVNEKINHGYIVGAFVDLLVGLTFASTSSSFSTSSSSSSRSPSSPLSFYSSSLSSSYSTRCVSCSEAREEEVDTPSSSLLLEQVREEIDCEDEVEASQTQASTSSSSSST >RHN70280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50220837:50221136:-1 gene:gene18844 transcript:rna18844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MPFMDHKGFSDHHQGKRVMTVEQFKLWLKTTFDTKNDGKISKEELRHAVRLTRGLLVSWSICPDFYAADTNHNGFIDDNEFKNLVHFADKHFNVKIKQS >RHN69180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41562190:41564590:1 gene:gene17614 transcript:rna17614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MSFSHSPTVLALKSQLFSLGRKNPFIAKKLHAQIIKSGLNHHHPFPKTLIDAYGKCGLLKDALKLFDALPQQDHVAWATVLSACNLSNLPHKAFSISLPILHEGLQPDHFVFSSLIKACANLGSVHVKLGKQLHARFLLSPFFEDDVVKSSLVDMYAKFELPDYGRAVFDSIFELSSISWTAMISGYARSGRKLEALELFRESPFKNLYAWTALISGLVQSGNANDALYLFVEMRREGVSIADPLVLSSVVGACANSAVRELGKQVHCVVITLGYESCLFISNALVDMYAKCSDVVAAKYIFCEMRRKDVVSWTSIIVGTAQHGLAEEALTLYDDMVLAGVKPNEVTFVGLIYACSHVGLVSKGRALFKSMVEDFGIRPSLQHYTCLLDLFSRSGHLDEAENLIRTMPVKPDEPTWAALLSACKHHGNTKMAVRIADHLLDLKPEDPSSYILLSNIYAGAGMWENVSMVRKLMAVKEVKKVPGYSCVDLGREFQVFHAGEASQPMKDEILGLMTKLDSEMRRRGYVPDTSSVLLDMDQQEKERQLFWHSERLALAYGLLKAVPGTTIRIVKNLRVCGDCHTVLKLISAITSREIYVRDVKRYHHFKDGKCSCNDFW >RHN66759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18370007:18371007:1 gene:gene14838 transcript:rna14838 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVLFFSRLGEKIDSDSDRVKNMQRNAERAHFVPYSCIVQGMEGPMGPKVST >RHN59643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12581497:12582126:1 gene:gene21713 transcript:rna21713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I Ycf4, assembly MSALLVNVPKFISWGSEKHGCRSQDAWLDIVPGSRKPINFFWASFTLLGSLGVLYLSVSSYYGRHFFSLISSEFVVPFLPQGVTLTFYGIAGLFLSLHWWLLIFWNVGSGYNFFDKKKRMVCFFRYGFPGTYRRIFLRVRMEDIQSLILQANPNPEPSSGVLYMQTREQGTIPLTPVDDYYDRTPRNVIQKAWDLSRFLSVPMEIVPYS >RHN41012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25779379:25784758:1 gene:gene47281 transcript:rna47281 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MYSFMSWITRNTKMTIIATIFVISKFLLFFSKFSTAADTISQSESLSDGSTLISKDGTFELGFFSPGNSPNRYVGIWYKNIPVKTVVWVANRDNPCKDNSSKLIINEEGNLVVLGNNQSLLWSTNTTKMTSIPIAQLLDNGNLVLKDERDEENFLWQSFDYPDNTILAGMKIGWDKKSGINRGFNAWKNWEDPSSGNFTSGMRLSIIPEMFIWKDSVEIFRTGPFSNVFALQENPVYSYEFVNNEDEVYYIYTLKNTSVITILVLNQTLLLRQRLIWIPESKTWNVYQNFPQDRCDDYNICGANGNCVIGGSPICKCLDGFKPKSPQQWNAMDWTQGCVRGGNWTCGDESRDGFLKLVKMKVKCLQNCSCTAYSSLSPKEGSIGCSIWFDDLVDLRGSESGQDLYVRTDTSNIDNKDGRNKKVSFGVPIIVSVVIVMLLAFCVYKRKMNNKAKEKSENDIWMEDQNDVELPFFDLSTILDATNKFSTDNKLGEGGFGPVYKGILQDGQEIAVKRLSGNSIQGLEEFKSEVILCAKLQHRNLVKVIGCCIEKDEKILVYEHMSNKGLDSFIFDPIQSKLLDWSTRFNILYGIARGLLYLHQDSRLRIIHRDLKASNILLDNDMNPKISDFGLARMCGADQIEGKTIRIVGTYGYMAPEYAIDGLFSIKSDVFSFGVLVLELISGLKNRTRTYNQQDHNLIAHAWRLWKEGTIHTLIDTNLMDTCILHEALRCLQIGLLCLQHLPIDRPNMTSVVVMLSSNSALPQPHEPSYLFSNVSNEIESSSSEIQISSSINKVTISLLDAR >RHN53613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2857681:2863431:1 gene:gene28539 transcript:rna28539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNRNKDSITPASDTMQRCNKAKGEGKVAWPTIRSCNKVKGNGKFDDASHELCPYFDNLPSQLTTHILLKLPIKSLLICRCVCKIWNTLISEPHFAKLQFERAPVSFVIRNLDNIGVSRNLYLLECEAEKFEIGSKNHVKLDPIFELPLCKDISSRDKNDAKFYKVIKKKKSKIRYFTLTSSRDKFGIVNSCNGLLCLSETSIGSPLVICNPVTREFTILPELTTTSDWFNRARARVQAGFGFQPKTNEYKVIIMWNKYVRRNNRLVFERVVLEIHTLGTPSWRKVEVDPQISFLKLLNPTCVNGALHWIIFETGQQKSILCFNFESERLQSFPSPPHVFGNHDNGFPLSMPIRLGELKGFLYICHISSLENVTMWVMNEYGIGESWTIVYSIDTSLLLMPGTCLGYPDPWRCGCYWLSKHHEPEKHEFKVFRIQGTTLGEVEVIEYIPSLISLNDVVKGDNVEALNTHSWWENDITCGENEVLSISQHIV >RHN75116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39511661:39512275:-1 gene:gene11275 transcript:rna11275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAELTEVFPTGKQFDGLMHMYVYVIKFDLPTFVMICLIITMFFFIFHQIFHGLFYWFVEMRPIIINEHDIEQGTHIAYDDDDDEDHNDVSHQGVTIFHALVFSNLQDWTMVATSEEEQEENYDKNRGQKLRDSKKLPPLMNYDGIGKHGYELRRSCNECAICLEDFQRGQLCQVFPVCKHIFHSDCIDHWLQRKLTCPICRNCL >RHN78049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11517190:11522769:1 gene:gene1564 transcript:rna1564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-3-O-acyl-N-acetylglucosamine deacetylase MSVLSALRSSKLITWKPTGKLQQTLGGSVERKGISLHSGKVSTVRLCPGFAGQGRWFEFRNSLVPASVEFAQVSPLCTTLCKDGVRIRTVEHLLSALEGFGVDNCRIQIENLDDQGDDAEIPIFDGSAREWVAALEEVGLEIATDADGKSCEKIAPHVNEPVHVWRNDSFVAAFPSEMVRVTYGINFPQASAIGCQWFSTTPFDDLVYSMQIALSRTFCIYEEVEQMRNAGLIKGGSLENAIVCSASKGWLNPPLRFSDEPCRHKILDLIGDLSLFAQFGNQGLPVAHIVAYKGGHALHLDLARRLMGMT >RHN54897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13321278:13323111:1 gene:gene29995 transcript:rna29995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol dehydrogenase (NAD(P)(+)) MVAGSGMGGMKETQEMIDFAAKHNVQPDIEVIPMDYVNTAMERVHKSDVKYRFVIDIRNTLKTSP >RHN54385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9162928:9165920:1 gene:gene29409 transcript:rna29409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKLNIGTRSTLEQSKNVFNQNKKIIYLGKQGKIDEAKRVFSNVIHKNHATYNSMVTVFAKNGRVSDARQLFDKMSQRNLVSWNTMIAGYLHNNMVEEAHKLFDLMAERDNFSWALMITCYTRKGMLEKARELFELVPDKLDTACWNAMIAGYAKKGRFDDAEKVFEKMPVKDLVSYNSMLAGYTQNGKMGLAMKFFERMAERNVVSWNLMVAGFVNNCDLGSAWELFEKIPDPNAVSWVTMLCGFARHGKIVEARKLFDRMPCKNVVSWNAMIAAYVQDLQIDEAVKLFKETPYKDCVSWTTMINGYVRVGKLDEAREVYNQMPYKDVAAKTALMSGLIQNGRIDEASQVFSQLNKRDAICWNSMIAGYCQSGRMSEALNLFRQMPVKNAVSWNTMISGYAQAGEMDRATEIFEAMGVRNVISWNSLITGFLQNGLYLDALKSLVLMGQEGKKPDQSTFACSLSSCANLAALQVGKQLHELILKSGYINDLFVSNALIAMYAKCGGVQSAEKVFKDIEGVDLISWNSLISGYALNGYANEAFWAFEQMSSEGTVPDEVTFIGMLSACSHAGLTNQGVDLFKCMIEGFAIEPLAEHYSCLVDLLGRMGRLEEAFNIVRGMKVKANAGLWGSLLAACRVHKNMELGKIAALRLLELEPHNASNYITLSNMHAEAGRWEDVERLRVLMRERRAGKLPGCSWIEVQNQIQNFVSDDPGKLRTESIKIILNTLSAHMRDKCNISDMKSVF >RHN52192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32547429:32548791:1 gene:gene36836 transcript:rna36836 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISKWTTPTIIRYMSACCVQSEVYQILHNVLCNMYFYVITM >RHN65294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:958426:960859:-1 gene:gene13119 transcript:rna13119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MMKNQIDWLALQWNQQVTTIPMEPQIQSFVLEQLRPSTNLKNLGIHGYGGTNFPKWLGDYSFGNMVSMIIGGCNLCSCLPPLGKLQCLKELFIYSMASIRIVGAEFIGSDSPSFQPFPSLERLEFKDMPEWEEWNLIGGTTIQFPSLKCLLLERCPKLKGNIPRILPSLTELHLRECDLLLQASHSNGNSNIILRPSNVFGQLMFSFNSLRKLTLDRIPSLMSFPRDGLPKTLQSLSLHYCENLEFLPHNSWHNYTSLEQLSIEFSCNSMTSFTLGSFPVLQSLYIKGCENLKSIFVAKDASQSLSFIQSIEIRCCDELDSFSPGGLSTPNLSCFLVYGCDKLHSLPEPINTLAGLQELRVLNLPNLQHFASEGLPVNLRKLSVAGIVWNTRWNLENINNLYVLEIGGCDIVDENGRVIATFFSHVLTHLST >RHN63411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51035883:51037758:-1 gene:gene26108 transcript:rna26108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MAPSMSTITLITIYTFSLLFSITSSATSTAEQPSRPFKKIYAFGDSFTDTGNTHNAEGPSGFGHVSNSPYGTTFFNHSTNRYSDGRLVIDFVTESLSLPYLPPYRHIKRSNDTFGVNFAVAGSTAINHEFFVRNNLSLDITPQSIQTQILWFNKYLESQGCQGVDSKCKDFDETLFWFGEIGVNDYAYTLGSTVSEDTIRKLAMSSVSGALQSLLEKGAKYLVVQGHPPTGCLTLTMYLAPEDDRDDLGCVKSANDLSNNHNLMLQARLQEFRKQYPHAVIVYADYFNAYRTVMKNPSKYGFKDLFSVCCGSGEPPYNFTVFETCGTPNATVCTSPSQYINWDGVHLTEAMYKVVSNMFLQGNYSQPPFDFLLENKERHG >RHN64352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58411790:58414355:1 gene:gene27161 transcript:rna27161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L29e MAKSKNHTAHNQSYKAHKNGIKKPKRHRHTSTKGMDPKFLRNQRYARKHNKKNGEIATEEE >RHN71081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56342819:56346341:-1 gene:gene19724 transcript:rna19724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small-subunit processome, Utp14 protein MKKLEDSGGSEDSKVASTSGRRVFGMAKAQTIDDDNKVELQKFCNNSGSKDDFEAKKSCNIENEGSDHLQKDVVDDSFLNKENNDTRKESVFNNFDEIVKNLGPKTTYEVSIFASDTWKKAKNKSDIDTDIKKSSKFPRPVRHNVKNSEKDQWREDSDTDDEGLMVDGILTSASKPSYELPSQEELIRQAFAGDDIEDDFEKDKQEILNEENPLLLPGWGQWTDIQQKKGLPSWMVKEHENARRKREEALKKRKDAQLKNVIISEKSSKKAEKLQTRTVPYPYTSKDVFHQSMRMPIGPESNPATSFGPLTRPEVVKKPGVIIKPIEFEEVNPYDKPGQQSVKNKKLNRI >RHN72365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7082099:7083185:1 gene:gene8068 transcript:rna8068 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKASSSKTSTNKGASTMTGKTFSGVGNLVKLLPTGTVFLFQYLSPVVTNNGHCSTINKYLSGILLVICGFNCAFTSFTDSYTGSDGQRHYGIVTMNGLWPSPGSDSVDLSAYKLRFGDFVHAFLSVIVFAVLGLLDTNVVHCFYPKFESSEKILMQVLPPVIGVVSGAVFMIFPSYRHGIGYPTSSDTNDTSEKTT >RHN66518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13288365:13294496:-1 gene:gene14521 transcript:rna14521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAALRKVSVLLEKLDSGEFVDNFRSMKLDFSVLKKLKKSLLRVPNVLDDDEEKQIINSIVIELLDGLRFAVYEVHNFVDEINTEALRCKVETPASKVLKYLSSPFNPFNEAINCKLLKLTQRLERLTSSNVLRGTRRSSPLVDESSIYGRDSDKEKLKHLLLSSDCDDSQIRIISIVGIEGIGKTTFAELLYNDPEVNDKFEFKLWEYISTDFGILKFCETILEYITSQTISCDNMKPIFLLVLDGALGVCSVDWILLTDILHAGKKGSRIIITTRDERVPKSMQTFFYVHYLKPLESEDCWSLVARHAFGACNDKQRSNLEEIGREIAKKCYGIPLAAVSIAAFLRIELSPYYWNNVLMRDIWESIGYDVQPALQLNYHYLSPPLKRCFAYCSIFPKNSILEKNVIVQLWIAEGLVESSIDQEKVGKEYFDILVSWSLIHRRSIGEENFEMQNLIHDLATMVSSSYCIRLDEHNLHKGVHNLSYTRGIYDSHDKFDMLYRLKGLRTFLALPLQEQSPLCLLSNKTVHDLLPEMKQLRVLSLSNYKSITEVPKSIGNLLYLRRLVELPEDIGELVNLQCLDISDTALGEMPAQIAKLENLHTLSNFVVSKRNGGLMVAELGKFPHLHGKLSISQLQNVNDPSEAFQANLKMKEQIDKLALEWECGSTFSDSQVQRAVLENLRPSTNLKSLTIKGYGGYSIPNWLGDFLFGNMVYLRISNFDKCIWLPPLGQLGNLKELIIDSMLSIKSVGTEFYGSDSSPSFQPFPSLETLHFEDMPEWEEWNFIGGTTTNFPSLKSLLLSKCPKLRGDIPDNLPSLTELELRGYPFSVESRHLDDNSNFIMIIPFSHVISQLMLPLYSLRQFTIDDFPFLTSFPTDGLPKTLKFLKISNCENLEFLPREYFHSYTFLEELKISYSCNSMISFTLGALPVLKSLFIEGCKNLKSIVLAERASEKSLSFLRSIKIWDCNELESFPPGGLLAPNLVYIAVWKCGKLRSLPERMNALTGLLEMEIDNLPNLQSFVIDDFPISLQKLTVGYVGGIMWNTEQTWEHLTCLSVLRINGNDMVNTLMGPLLPKSLETLCICGLNDKSIDEKWFEHLTSLQNLEIVNAPKLKSLPKKGLPSWLSVINMTCCPLLKAKLQRKRGKEWRKIAHIPSIIIDDELIT >RHN64699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61090224:61091039:-1 gene:gene27543 transcript:rna27543 gene_biotype:protein_coding transcript_biotype:protein_coding MCTKINTHSHAYNTHLHISIIHYTSFILFHLASTQIEKQSQRDMGACYSCNTSSILKNNIRVIHLNGFVEDFDQPISASQVIGNPPNYFVCTSIQLLSSSYNPLKGDSQLQPGQLYFMLPYSILEDGFSPVDLACLAKRLTAKSKTKQPCDYKKFPTAIPSLNQTIPWSSSSRSPCRVGAQEKIGMNMMSGGRSPCRMQSWKPILDTITEKPFNRRSESDLQEKNIIF >RHN80660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39841225:39841837:1 gene:gene4611 transcript:rna4611 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVVIPSSTCRKSLCHEGPGVHKRQSSHTFDAMTRAGWHPM >RHN44633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7029730:7032479:1 gene:gene38774 transcript:rna38774 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L30 MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRSSKGKLIIIANNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYYRVCCLSIVDPGDSDIIKTIPGDQ >RHN67583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28401395:28406304:1 gene:gene15783 transcript:rna15783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphomethylpyrimidine synthase MASVHANVTSVVCKNGNHASQSKFPNSTFLPRFDVVGRSSNAWKKELAPSSMALVPRATLTFDPPTTNADKTKQKKHTVDPASPDFLPLPSFEECFPKSTKESREVVHEESGHVLKVPFRRVHLSGDESHFDNYDTSGPQNINPRIGLPKLRKDWIDRREKIGAPRFTQMYYAKQGIITEEMLYCATREKLNPEFVRSEVARGRAIIPSNKKHLELEPTIVGRNFLVKVNANIGNSAVVSSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAEDLTWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHVPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKQHPHAQEWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDVRKYAEKHGYGEDVEEALKQGMNAMSAEFLAAKKTISGEQHGEAGGEIYLPESYISSKEGAI >RHN40767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20611607:20613962:-1 gene:gene46968 transcript:rna46968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQNLKFVYALIMIIVLSSFLAESISNLTYFKHYHYQLSYHEFMICKKNADCPRFMCIPPEKPKCVDLWCSSNNYKDAGFPTLIYNTLSFDKRTELQDSNF >RHN73237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14600928:14602818:1 gene:gene9032 transcript:rna9032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MVRDITINLTCLASCPTRTFVYIYNGIRSNLHYHSLVKGKFLFIEYTKMVDNFGGSSSNDNGGIKEQDRLLPIANVGRIMKQILPQNAKVSKEAKETMQECVSEFISFVTSEASEKCRKERRKTVNGDDICWALATLGFDDYAEPMRRYLHRYRELEVDKINTNNQEIRGGNSPQEINEIYRGSSVLRGPPTTRPSGGQGPLLLVGKRTTSTHIASNPYSDLDAFSHNPTYGIFTLLAFQPSAMTNYVNQRLLSYY >RHN60252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24267095:24267958:1 gene:gene22524 transcript:rna22524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MTILTSQMSLLLVLLLCITTFHKSMCTNNTFVQCNEKDRETLLTFKQGVNDSFGRISMWSIEKNCCAWGGVHCDNMTGRVTKLDLSYNQLEGEMNLCILKLEFLSYFDLSRNDFDVLSIPSIQNNNITHSSNLLYLDLSLNGGLHMDNLDWLSPLSSLKYLNLNWIDLHKETNWIQAESTLPSLLDLRLSDCKLNNFPSIEYLNLSSLVTLDLSWNNFSHHLPNGFFNLSKYLNYLDLSKNNILDEIPSSLLNLQNLRILDLSNNQLQGSIPDKICNTPFSRLKNFI >RHN67578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28376933:28377472:1 gene:gene15778 transcript:rna15778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MFHNSFVKPSLIVLALFLCFVPSFGSDRIVQVRDICSKHHKNPRNCVIILNSIPGVAKTGATLGKISLLVLDMAHVNAFQTSTQIHNLIKNTPNPNLKRQYSSCSKDYDDVLYFLNEAKTSFTSGKFNDMNFNAATVVKDADHCSSTAPTSSPVLKSNDDLEDVSIIIMILADYLAGKY >RHN52083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31350711:31352064:1 gene:gene36702 transcript:rna36702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MAYWSAENATKAYLSTMKMGQKAKEPAVAEFISAIAAGNNAQLMVVTCAGAADTTTLALVSAANQTNGKVICIVPTNEDLITSKKILGAASNQVQFMIGKEALLVLNKADFVLIDCNHMNHEEIVKCVQIGCCKQKGTVVVGYNAFSSKGSWRSCGSKTQLLPIGQGLLVTRFGENNAISPKFESGMSNSPRSRWIVKVDKCTGEEHVYRVRLPQGKVIYA >RHN45969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27401078:27401423:-1 gene:gene40399 transcript:rna40399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding pseudobarrel domain-containing protein MVLDFEKKLTEYDVTKGCMILLYTGNVPQMLDTLLTTVNIIDDCGNKWVCELTFATFPYEHFKIGRRWNRFVEARRLREGVKI >RHN51182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14890287:14890854:-1 gene:gene35569 transcript:rna35569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MDIHGTPDDSTTPPIILLGNKVDVDGGSSRVVSDEKARAWCASRGNIPYFETSAKMDFNLDAAFLSIAMSVLAKERDDVSKC >RHN42017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34797900:34798768:1 gene:gene48402 transcript:rna48402 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGYVAMKGMPPAEFCRSGRCWSWKEDDMGEGDKTGREGRRRKDERKEYGRLCCCRRN >RHN45846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26251302:26253533:1 gene:gene40267 transcript:rna40267 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKVSPTPYDDVPAMSTDKSFSTLKEELVLVEKSFEDIRSKTQVEERRLQSIKRDIEDCCEDLENKKKEISYVGRINKARKKMQGETNKCVKDFVAKEGQLRLMEDLIGERKKELKTKELELHQVMDNISKQKELESHVKELMNDLVSKQKHFESHIKELESKERQLEGRLKEHESEEQEFEGRVNELESKERHFKSEVEELNTKLMPLKGQLKELASKEKQLNGQVKELESKKRQFENRIKELESKEKQHEGRMKEHASKEREFESQMMEQQSKKKLFESQVEELKSKERHTQGQVKELESKAKQLDG >RHN47947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43759025:43759907:-1 gene:gene42605 transcript:rna42605 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFGFTGGSVRPVRFELIYMGCKTFFSYISNKNSSLFFLFLNRSLSPLSLMFLVLGLKHEDFGESSSSPVKVKWVPAAVPPAGTLTTYFLIFGSFYLFSSPLLDSKLTNLKK >RHN80778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40802641:40806670:-1 gene:gene4744 transcript:rna4744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CBS domain-containing protein MLICVQYQFCVDGVWRHDEQQPFINGFTDTVNTISVAEPYMLHGMPSRSHMHLINVNRHMGAFPRTPEFALLVSRYHIYKYMSINTANDLLPESGKVIVLNMDLSLKQAFHILYEQEVSLTPVWDSRKCKFVGVLSGMDIIQALKEPESHRSTLTDEGPETHTLAACIERKLQQCGTDSNGKTYPWSFVDARPSERLEDIVLKFLQYKVAVVAIMHSSSEGGSTPQLLHMTSPSEIIKCICKHFKNDYGSLPVLQLPIGSIPLGTWAPKVGESNKQPIATLRPNASLSAAISLMNQAEVSSIPIVDDSGSLYDVYSRSDLTALARCEMYARISLDSFNISEALNLRKNGKCPYGLILPTCLRSDPLHVVMECLANSGVGEVVVVKSACRSVEGIISIGDVFKLLLG >RHN63124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48665797:48672207:1 gene:gene25785 transcript:rna25785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEEYDTLDVPTMVNLKSVPFMRFFLPLYIVNTSTHSVSSLNLLVFGFHPNQQQSSSASSFFSLFFFLFVFETLSSPMACLEVCKEGKFKEETEELTLDGSVDWHGRPSIRATSGRWFAGTIILLNQGLATLAFFGVGVNLVLFLTRVLGQDNAAAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQGIFVTGLVSLSVTTYLALLRPKGCGNGKLECGEHSSLEMGMFYLSIYLIALGNGGYQPNIATFGADQFDEDHSKESYSKVAFFSYFYLALNLGSLFSNTILGYFEDEGLWALGFWASAGSAFLALVLFLVGTPKYRHFKPCGNPLSRFCQVFFAASRKLGVQMTSNGDDLYVIDEKESSNNSNRKILHTHGFKFLDRAAYITSRDLEVQKGGQHNPWYLCPITQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMKTTISSFKIPPASMSSFDILSVAIFIFFYRRVLDPLVGKLKKSSSKGLTELQRMGIGLVIAIIAMVTAGIVECYRLKYAKQGDTSSLSIFWQIPQYALIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSLIVSIVMKISTEDHMPGWIPGNLNRGHLDRFFFLLAVLTSLDLIAYIACAKWFQNIQMACKYDNNDEPSSCKV >RHN63511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51867368:51868220:1 gene:gene26215 transcript:rna26215 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLHYFLLQPHLFIFFFFFEHQILLINPTTQEEKKGYKKPCYNNKGSQQEEQHAAKLTTEPMAVVNFPKSFKIKPHINNNTIENTTQDSHKPKDKNQHQNHPSELSD >RHN38497.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:94288:94625:-1 gene:gene50604 transcript:rna50604 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHLKKKWRFCTFRSKNQNKSKICRFSTKLCRSSRCVLIEVPAKNQLKIRFLGRCFDVTLSLLFGA >RHN50985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12682648:12684986:1 gene:gene35345 transcript:rna35345 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLTNVLGRARQGPATRPNPKKPKKKNRAEWVKAGPRVKRVINAGSQGYTWAEAGNGPSPAGTRTRQYWISKNDVVLKSGETTNPRSNPFISFLLKNSLIHLTLFHLPLQTLVPPPHLTTTTTPSNPPTNMNHHFPT >RHN52736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38263436:38268793:-1 gene:gene37438 transcript:rna37438 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTALQFGSVGGEDRFYIPVKARKNQNQRKKTQNKSEDSASKSELVASENKNLNEDSLKKPSTCSSVEVVSNIDKFLESTTPLVPAQYFSKTTMRGWKTCDVEYQSYFALNDLWESFKEWSAYGAGVPLLLDKKESVVQYYVPYLSAIQLYGQSDKKSIAKSRYVGEDSDVDYYRDSSSDGSSDSEFGKRNKHSITQRSNQYQTGDASLQMSRLSALDKHFAAQEGFSSDESETGNPQDLLFEYFDQDPPYSREPLADKILDLARHYPSLNSLRSCDLLPASWLSVAWYPIYRIPTGQTLKDLDACFLTYHSLHTPLTGNRGAQAPTMLYPNDINGVPKISLPTFAMASYKLKGPIWMQNGVSGNQLANSLLQAADNWLRLVQVNHPDYQFFVSHGTYRR >RHN72843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11201594:11204010:1 gene:gene8605 transcript:rna8605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX2 MNSLSLIATALCCVVVVFGGLPFSSNAQLSPDFYAKTCPQLQSIVFQILEKVSKTDSRMPASIIRLHFHDCFVQGCDASVLLNKTSTIASEQDAGPNINSLRRLDVINQIKTEVEKVCPNKVSCADILTLAAGVSSVLSGGPGWIVPLGRRDSLTANQSLANRNLPGPSSSLDQLKSSFAAQGLNTVDLVALSGAHTLGRARCLFILDRLYDFDNTGKPDPTLDPTYLKQLQKQCPQNGPGNNVVNFDPTTPDKFDKNYYNNLQGKKGLLQSDQELFSTPGADTISIVNNFGNNQNVFFQNFINSMIKMGNIGVLTGKKGEIRKQCNFVNKKSSELDLASVTSESMEGDMVSSI >RHN41218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28330887:28343060:-1 gene:gene47516 transcript:rna47516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative actin cross-linking MEYFNKSKAVKLRSHLGKYLVADEDNNNIRQSRNGTTKKSLWFIEPVETKPHHIRLRSHHGRYLTATDTPFLLGMTGNKVVQADYDAGLGWKYEWEPIKEGFNVKLRSWCGKYLRGNGGTPPWRNSITHDDPTSATHNWILWGVEFVELSLANQENVELLNQISFASISSFDSRDEVNDSELVSSMKSRSSLKSRKNSMLQKTSTNNNNNSFTISSMELFQRAKAVRLRSHHDKYLHAEEDEESTNQDRNGSSKNAKWTVEHIPEYDNIIRLKSCYNKYLTASNQPLLLGVTGRKVIQTLPRRLDSSVEWEPVREGAQVKLKTRYGNFLRANGGLPPWRNSVTHDIPHRSATQDWILWDVDVLEIHVGNPPPPPIPHSDSLDFGSSTPSALSFKSDRFSRQESTDSVGSPPKMEGRTIYYHVAEDNGDVDDENVQGYSLVFNGNDVEQLTRKFVEETGLDGVIVCSRSPLNGKLYPLRLHLPPNNVTMQVVLVLPNSKVAKEFEEQGLL >RHN48060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44641670:44644855:1 gene:gene42731 transcript:rna42731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative like-Sm (LSM) domain containing protein, LSm4/SmD1/SmD3 MKLVRFLMKLNNETVSIELKNGTIVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEEAPRVKPKKPTAGGKPLGRGRGRGRGRGRGRGR >RHN80262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36607690:36609429:-1 gene:gene4165 transcript:rna4165 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLQCFGLTSKRKRRKTLYKVLAGENQKYGNYQVLATVTEKSIVPYSKIRGGDQEKEKSGVKSKKKKKVSFNLNVEMYEANPGSYQVLDDDEEDENKETAVESEREGSVVSMIRYPSNHRYYNCSYDNEEEDEIVYEESDIDEFDDDEFDEGYDWDDESLENYWDAEVCDENSEQKEDAMKNQKSNDAKLKSNLSEPERSINMNSVLHPVENLTQWKAIKAKVASSKQTRKENVPSEQKTSMLPVVLESSSKFSPCVLESNAIQSKPLLQEIAVDASLSNWLVSPSYNVSTTIRCQ >RHN55968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26163731:26165220:1 gene:gene31268 transcript:rna31268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MALHVQMILPISLVLAIILSGQRTCESARVFTIVNYCKETLWPAVFPGEHFNGGGFVLKQGQSSVFTAPVSWSGRIWARTGCNFDQNGDGQCQTGACGTTLKCGGAGKTPASLAEFTLAQPDFYDVSLVDGFNVPISVKPINGKGNCSTAGCDSDLRLTCPKELSVRSNGKTVGCRSACDVFNTDEYCCRGNFGNPSTCRPTFYSKKFKEACPTSYSYAYDDPTSIFTCTGTDYVVAFCADRKKQMCTYHDHKLHCSGSQGLRSLIGSWWIAMIMVFLALSL >RHN53452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1732955:1735273:-1 gene:gene28361 transcript:rna28361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MIFLGANNFTTLMLQSKKLMHHVFPKFCILQNLYYASIAQPETIARNVNTQIHTLSLQGNLEKALSLVYTNPSLTLQDYAFLFHACAQKKYIKQGMALHHYILNKHPKIQNDIFLTNNLLNMYCKCGHLDYARYLFDQMPRRNFVSWTVLVSGYAQFGLIRECFALFSGMLACFRPNEFAFASVLCACEEQDVKYGLQVHAAALKMSLDFSVYVANALITMYSKCSGGFGGSCDQTTDDAWMVFKSMEYRNLISWNSMISGFQFRGLGDKAIGLFAHMYCNGIRFNSTTLLGVLSSLNHCMSTSDDINNTHHLKNCFQLHCLTVKSGLISEVEVVTALVKSYADLGGHISDCFKLFLDTSGEHDIVSWTAIISVFAERDPEQAFLLFCQLHRENFVLDRHTFSIALKACAYFVTEKNATEVHSQVMKQGFHNDTVVSNALIHAYGRSGSLALSEQVFTEMGCHDLVSWNSMLKSYAIHGRAKDALDLFKQMDVHPDSATFVALLAACSHAGLVEEGTQIFNSMTESHGIAPHLDHYSCMVDLYGRAGKIFEAEELIRKMPMKPDSVIWSSLLGSCRKHGEADLAKLAADKFKVLDPKNSLAYIQMSNIYSSGGSFIEAGLIRKEMRDSKVRKRPGLSWVEVGKQVHEFTSGGQHHPKRQAILSRLETLIGQLKEMGYAPEIGSALHDIEVEHIEDQLFHHSEKMALVFAIMNEGISPCAGNVIKIMKNIRICVDCHNFMKLASKLFQKEIVVRDSNRFHHFKYATCSCNDYW >RHN67124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24107982:24109130:1 gene:gene15271 transcript:rna15271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MATTLPNMRFYAPTSSSSRMNKFSNVKMTTLPRTKNRTFCYNVKAMAGDEASLQRAKQHQLPPKMKVSQTSPRVLLNQFPVARTVQQMMDTMDRIVENPLVYNDNSPWIVVENGEHNKGKIPWAIKEGQNDYKIRFNMPGMNKKDVKVWIEEKMLVVKAEKVAREQHQGQANGRGELSSEDEDWPANSYGRYNHRISLPENIEFEKIKAQVRDGVLYVTIPKAKTSAKVIGIDVQ >RHN76634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51852245:51854493:-1 gene:gene12988 transcript:rna12988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase MARCH MQLASNTDNQDSSSSSSETQPILKNHLCEIIPIPANDEDDDYDNESSHLLVVNADHPQCRICLDLGGEDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRALFIIRPNVPKDRWWLRLKFQFLVARDHAFIFIIVQLVVAFLGVLIYKFYGDELREMFGYEEHPYGFYTMAVLAIILVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDREHVKDVPELDPSHVTELRMLGLY >RHN74140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29025005:29031901:1 gene:gene10137 transcript:rna10137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(+) diphosphatase MLKLQSTKLISLLSLSKNLSQKSTTHFSHSNHNPTMSINFTTHAFAGNPLISKTQNKNDLFSPTTALETLKSRITDNTNHHSPNFKILPFRNGRPLATAGGGEPWRLGWIGVGEIRGVLGTELNGDLFVYLGSNVEEDVVYWTIDVSGESGFVAEFGGVRFSFVELRTLMVATDWVDFKAMGNLAIAGHARALLEWHNISRFCGHCGEKTVPREAGKRKQCSNESCKKRIYPRVDPVVIMLVIDRENDRALLSKQSRFVPRMWSCLAGFIEPGESLEEAVRRETWEETGIEVGEVVYHSSQPWPVGPNSMPCQLMVGFFAYAKSLEINVDKEELEDAQWHSREDVRKALTFAEYKKAQTTAAAKVEQMCKGVEKTHSLSTDFNVESGELAPMFVPGPFAIAHHLISSWAFPDQNVKGTECHSKQPSGSVSNL >RHN81838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49248990:49251863:-1 gene:gene5930 transcript:rna5930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGFQPLEKTKPKHRKGLWSPEEDHKLRNYILKHGHGCWSSVPIKAGLQRNGKSCRLRWINYLRPGLKRGKFSKQEEETILTLHHMLGNKWSQIAQHLPGRTDNEIKNYWHSYLKKRVAKAMEMESHKQFQYASSSSDTMNSSPSLQKLATQDPHNYKSITKETHQSTLPKLLFAEWLSLDHVNNNNRNSSNSVESLVMRNGFDQNSAFQEVTMQEGPFNGEFHNSVTNISATEMFNSQIKFANQIVGNGFVHCMPGVDLSNNFNLSNDAMYV >RHN64250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57661529:57661763:-1 gene:gene27045 transcript:rna27045 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRQTQQPTYQFRRVQFHLVVRVPILHKYLRMYIQWLVDNSGYN >RHN63185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49180480:49180982:1 gene:gene25861 transcript:rna25861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MLSSEVQNTTIYGAHVVVTDYGEGDRTDFIMSPRAFSKLGRNAVASEKLNKYGVLDVEYRRVSCTFKETISSTRSMSIVKTQVNYFAVAILYVGGTYDVNAVEMWQV >RHN65502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2729339:2734341:-1 gene:gene13346 transcript:rna13346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MLSCCLSPEICTWQIERLKMGDDSSLESDNFDWKTDDELEIESFNSLSSTIPSRQTITAASVEARSSAGPSNTKVLDHFISMGFPGEVVSKVIQEYGEEDEDKLLEEILTYSALESSSQQHQQVEPDPTSSEYAGSSWDDLSDGNSFSDEETPKSVSRNDDTLLSLVNMGFKEEEALMAIERLGLDSSLDDLVDFIGVAQLVKEEDSLLPPEDKQQCSGHPKPRKRSLYEYEVLGKKKRKVSDKRTPCEEEDDGQTLNLPNPMMGFGVPNEPKSIITHRTLPENAIGPPYFYYENVAITPKGVWQKISRFLYDVQPEYVDSKYFCAAARKRGYVHNLPIANRFPLLPLPPRTILDAFPPLRRWWPSWDPRKNLNCLQTVHGSAQTTDRIRKKLESCEEFEEPSESVKKYVLEQCRKWNLVWVGKNKVAPLEPDEVEMLLGFPKNHTRGGGISRTDRFKSLGNSFQVDTVAYHLSVLKEMYPNGINLLSLFSGIGGAEVALHRLGVPLNNVVSVEKSEVNRNIVRSWWDQTNQKGNLIDLDDVQHLDAERLEQLMSACGGFDLVIGGSPCNNLAGSNRVSRIGLEGTESALFYDYFRILDLVKAMAPRFQ >RHN38514.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:469773:471845:1 gene:gene50630 transcript:rna50630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MGRSCLRKCKPARVMGWLQIILGGLVILVSILSLTRFYYAGFFFHNEEICQHFFTIRDLNDGFDAKALSDRVGEVLDMLESLQGKLELKEQEMEKNKSITLDKKYLEDEIVRPLHSANVALRQIRLPKFEERGNSTVKEDPLINFFVTEEIRKYITPKENRVSRINLYGSDKVYNTIGHACVLHKKELEQYMDYDIGSYCDDDWNLAQKLMLNGCDPLPRRRCLTRASKVYSKPYPINESLWRLPDERNVRWGNYQCRNFECLSSKNPKKGYSKCTGCFEMEKEKLKWVTNSSLSADFLISDVLAIKTGEIRIGLDYGIGTGTFAARMREKNVTIVSTALNLGAPFNEMIALRGLVPLYVTLNQRLPFFDNIMDLIHTTGFMDGWIDLLLLDFILYDWDRILRPGGLLWIDRFFCKRKDLDDYMYMFLQLRYKKHKWVISPKSKDEIYLSALLEKPPRAI >RHN63529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51980457:51981690:1 gene:gene26235 transcript:rna26235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease III MQSSRTFIIFTIFLIAILPHATIVQGHSFSPFSSALETLQKQLGYTFKSISLLRRAMTHASFSEENNKAFSILGATSIETSVSFNLLSKDVDISAKELNRRLSLISNVDSSCAVDAKRLGLHKVVRVSPKTNSSSTAVVCGAFRSIFGAISLDTGSSEAAGNVFLTVHGRDLGVYAAM >RHN78860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19261362:19261664:1 gene:gene2521 transcript:rna2521 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDKGDKGGTLKIVPITSVSQNPFQHEGVKGAAKTPSSFLTCLILLLLLLISTQFPSSLCLFLPTLMQLESDDSTESVATSRGKFNMALLPLIWKAALS >RHN79935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33640200:33642128:1 gene:gene3793 transcript:rna3793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MRTCCSHTLPMKRKRICYLKSSSENLPPSKLTKRTSCAHTLPMKTKRTFSLKSLPENLPPSKLITRTGCSHTLPMKAIRTCSLKSSPENLAAAKRTEDRSTICPSSPAGSPLKRKKRTSESYLPDDCWEIIIKSSQINFNSLSLVSKQILSITNRLRFSLSVTDASRHYLPRLLKRFTNLTSLDLSRYNYLPNDLLCKISNFPLKKLTSLKLPVPTPFPADGLLAFCQTVTTLTSLTCSRASFVHSQLLPVAHCFPLLKHLDLSRPWYNLSQPVEDHINGISSLLSNSPYIQHLDLSHTNFLNDQHVAEFSLFLAHLVSINLTGCWKLTESALFSLVINCPSLSDIKMEYTTIGNESIGGGGREDSNSFAVVSPKVKSLCLARCQYLRDQNIILFASIFPNLEVLDLSYWKEVSEETVSKVLRCCTKIKHLNLSYTNVDDKSLHIISTSCCGLLQLLLESCMNVTENGVKHVVQNCKQLREINLRYIDQLNANVVSSMVLSRPSLRKIIAPLGFSLSDRKRKVFLQRHGCLVC >RHN81140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43596593:43598292:-1 gene:gene5141 transcript:rna5141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MATIKVHGSPYSTATMRVTATLYEKQIEFEFFNINMRNGEHKKEPFISLNPFGQVPAFEDGDLKLFESRAITQYINHEYADKGTKLTSSDSKKLAIMGVWSEVESHHFDQVASKLVWELGIKTLFGIPLDPKIVEENEAKLDSILDVYEKRLSESKYFGGDSFTLVDLHHLPSLHYLMKSQSKKLFESRPHVSAWVADITARPAWSEVLAMIPN >RHN49421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54699072:54700565:1 gene:gene44248 transcript:rna44248 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNNMIKLFLLTTLILLVLSIENTSAARTLLQRGPVKGSTKNPCSTVPGRSKGRCTLAEIHVAGHINVVHSSPPPFPADIIPKFGAAVINNEQKRELIKTFTESDKHLQFKK >RHN80498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38615346:38615537:-1 gene:gene4433 transcript:rna4433 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKVGQKEDRQLMTGLYTVADVYCCECNEVLGFKYERAYEETQKYKERKYFIEERTIVKENL >RHN63665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53043838:53044375:-1 gene:gene26392 transcript:rna26392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP synthase, F0 complex, subunit A MKLHRLAKIEQSKNIGEEYGPWVPFIGTFYFYAGISKKGLAYFDKYIQPTPILLPINILEDFTKFLSLSFRLFENILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >RHN82527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54467567:54472467:1 gene:gene6698 transcript:rna6698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type-5 MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDDHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQFNKDLTLQEAETIALSILKQVMEEKVTPNNVDIARVAPAYHLYTPSEVEAVISRL >RHN68969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39978599:39979863:-1 gene:gene17394 transcript:rna17394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MLWKLDVHMWSYQLMEKLFKIFVYEEGEPPLFHYGPCKNIYSMEGIFINLLENNTLFRTQNPNEAHVYFLPFSVVMILEHLFHPVIRDKAVLGRTIGDYVHIISHKYAYWNRSYGADHFMLSCHDWGPRATWYVKELYFIAIRVLCNANISEHFNPKKDASFPEINLVSGETTGLLGGYPTWNRTILAFFAGQMNGRIRPVLFQHWKNKDKDVLVYEKLPEKISYHETMKMSKYCICPSGWEVASPRIVEAIYAECVPILISQQYVLPFSDVLNWDSFSVQIEVSEIPKLKEILLGISEEKYMRLQEGVKQVQRHFVVNNPPKKYDVFHMIIHSIWLRRLNVLVK >RHN64281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57877667:57880252:1 gene:gene27083 transcript:rna27083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MSSTELDHRSNGVHFFKIILQRNLNEGKLKVPVSFVRRHWLGITNPVTLRLPNMTENKVFWEKTSDYNVWFCNGWKEFAKYLSLGDSQLTLFQYQENSVFNVIVCGKCGLEIKYPLKETNKEHEEVEESDTSLQIIEDPSSSKGKRLKSSPPYFKKMKINSKEQKEPKHEKRKVQEQGRFLNFKDTDNGSSCDDLKERSKVLYDKVKNFFHADMDFFMCMIQKTYIKKDVLGIPIEFAKKHLHRMEGRNITLFVDQDRPWNADLNLTLNNQYTLSGGWSKFRAHNNLKFGDICVFMLNKCKGTVSFQVKIFSLEKDMSTPYFEG >RHN48546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48345831:48346037:-1 gene:gene43276 transcript:rna43276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MWNLWCARYLGRHYYKERFIEGSIDFIFGNARSFFEVYIFSKSCILVSFFEIFFFQILHFSLKIQIDL >RHN62600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44601741:44602534:-1 gene:gene25193 transcript:rna25193 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSNILVILTIFSMCCFLCLAHNAPQDYLDVHNKARAEVGVGPLVWNETLASYAMNYAKSKHETCEMVHSQGPYGENLAEGSDPQMNAADAVKLWVDEKAFYDYGTNACVKDECRHYTQVVWSNTKQLGCARESCKNGWTFFICSYYPPGNYVGDKPY >RHN61928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39413691:39426165:1 gene:gene24442 transcript:rna24442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MRAPISRKLCTLSSNILSHLQNNAKKNLSSIKISPHYEETHHHFHSDLNLSTLKHNHVPPPDEEEDLSGSDFQLSGILTSSSAEKVDCEGVDDEEKEKRVVEIPLIRDIHHCDLSKKRNESSRERKHKWIFKDTGGERSDRLLKICAKKLGTTTTVDVFGYLGRETGVKEYNGLIKLCIKKVRETNDENVCIEEMNKIYNLLKLMRECGFQIEEQTYRPLFEYIIDMGFVQEFELFYDVIKAGNPSSISRLGYYEMLLWMRVNNEEMIRDICEYITVEESKDTSALRESYLLALCESDRKTQILDVLKNIDITKLKSAKSISNIFQSLGRLLLESEAESLLLDLRACDYGADKISNFIASYAVSIPNLEVEDMVLKIENLHDVLEVLLSSSAYEKLILYCCGMHKVDVALDIVDKMCQAGFELSTHVLQSILQICEEAYDYILVHRICSIIRCHHHYLQLNGDICRCLVHFCVRLKDFERAYKMVNELHELGFKPTTAMYNAIMAGYFREKNISGALRVLKHMQEANVKPDSQTFSYLIGNCETKDDINMYRDEMTKSEIKLTKQIYMALVNAYAACGEFEEAKKVVDDQSTSKSLNEIKSVLVSALASHGRLSEALVIYKEIKKAGHNLEPKAVISLIDAMRYRSGELDRLLLLLMDLSDQNYWVDGCFRVIQYCVQNNHLSTTVDLLKQLKDTFESDETMTEVLFDAAYSLIAGCESTRLQFGLDLLWAIKDELGLVPSRQCLDFLLRACAISGDLNNARLIWREYEVAGFPYNVLSYLRMYQALLASGDHRSADFILKKIPRDDADVCSIILECQKTYGDNVKSGENVKSVEGDKKKKSPKSVGEDKKKKEKKKKKKKKKETGKKENSKV >RHN81981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50318097:50319411:-1 gene:gene6093 transcript:rna6093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino-acid N-acetyltransferase transcription regulator GNAT family MEETATYSKSDANEEYSVVDLSQISLRPISLSDLDDVMLWTSDAKVAKYCTWEPYTNKEKGIDFIQNIASKSLWFRAICLRDQAIGCTEFQVCSDRCRDKSAQLGYSLSSMYWGKGIATMVVKKVVDAAFKEFPYLERLEARVDVENVASQRVLEKAGFEREGVLRKYLFFKGKSIDVVMFSILSSEVKL >RHN53421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1564059:1567213:1 gene:gene28324 transcript:rna28324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal peptidase I MMGTRNLVWNVTKKLATIGLITFTVSDRYATVVPVRGASMSPTFNPKTNSFTDDYVFVEKLCLDKFKFSHGDIVIFSSPSNFKETHIKRIIALPGEWFVNRHNQDVLKVPEGHCWVEGDNAASSTDSKSYGPVPLGLVRGRVTHVVWPPQRIGAVKNTTPERLPSS >RHN51274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15622787:15623272:1 gene:gene35687 transcript:rna35687 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhI MFLMVTGFMNYGQQTVRAARYIGQSFIIILSHANRLPVTIQYPYEKLITSERFRGRIHFEFDKCIACEVCVRVCPIDLPVVDWKLETDIRKKRLLNYSIDFGICIFCGNCIEYCPTNCLSMTEEYELSTYDRHELNYNQIALGRLPMSVIDDYTIRTIQIK >RHN62766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45712686:45713492:-1 gene:gene25373 transcript:rna25373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative U4/U6 small nuclear ribonucleoprotein Prp3 MKMEEFNFYVETPRPIEPPAEPAPPPPQLIKPTKQEQKKLRTQRRIAKEKKRQEMIRQGFIEPPKPKVKMSNLHKVLGTEATQDPTRLEKEVRNAAAEREQAHIDRNIAHKLTPAELREKKERKLFDDPNTLDTLVSLYRVNDLSHHKARFRVYANAQENRLTGCAVICDGISVVVVEGGSKSIKRYGKLMLRRIKWRDVSKEKEDNEDSGDANKCVLVWQGSVAKSSFNRFSVHDCITEAAARKVFVDAGVLHYWYQAVNYTDDDAV >RHN70200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49592792:49602169:1 gene:gene18756 transcript:rna18756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MLIQSSLLSSVEAGLCYVYDDGKDFQRDRMPSGETCQVCLKCNKYPADWCRKAEPMEEDNRNADDPFNSNCLRSCGRPSTASIMTENTAPNLVYRRKKLRKGSISSPFKLGLTDVQTSANFTSFISSSLHLSSAEDQTAVFPVKHQIEIVKDPTLPSVFLDGVAKDTTQKKIGIDSVNDSCSSSKSNMVLVSDSLATEMDDTGECSSSSLIVTDSTREELTEKDFCINILRSHGLLRGDTLTDNVVSIEDAVTTVNNCCSRSCKICGHLDSSLNMLLCDNCENSYHPCCYNTRLKRVPIDEWFCHSCLNKRQKFLKETIIKSPRINSGIGKSRTVSVKDEMNPILLMLRDTEPYTTGVRVGKGFQAAVLDWSGPLKSDEDYFPEPLQINPSEIYRPQEENMRNPTRLSSIGNWLQCREVIDKTREIICGKWRRAPLFEVQTDDWECFCAFHWDPSHADCAVPQEVETDEVLKQLKYIEMLRPRLAAKQRKSDCTNNGN >RHN67688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29327432:29338867:1 gene:gene15903 transcript:rna15903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative clathrin, heavy chain MAANAPITMKEALTLGSVGINPQFITFTHVTMESEKFICVRETGPSNSVVMIDMNMPMQPLRRPITADSALMNPNTRILALKAQVPGTTQDHLQVFNIETKTKMKSYQMNQQVVFWKWVTPKTLGIVTQTSVYHWSIEGDGEPVKVFDRTANLANNQIINYRCDPSEKWLVLIGIAPGNPERPQLVKGNMQLFAVDQQRSQALEAHAASFASFRVPGNDKDSILICFASKTINAGQVTSKMHVIELGAQPGKPSFSKKQADLFFPPDFADDFPVSMQISNKYGLIYVITKLGLLFVYDMETATAVYRNRISPDPIFLTSEASSVGGFYAINRRGQVLLATVNEATIVPFVSGQLNNLELAVNLAKRGNLPGAEELVVQRFQELFAQTKYKEAAELAAESPRGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYMFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGYLQSKVLEINLVTFPNVADAILANGMFTHYDRPRIAQLCEKAGLFIRALQHYSELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQTAKEYSEQLGADACIKLFEQFKSYEGLYFFLGSYLSSSEDPEIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELVNVTNKNSLFKLQARYVVERMDADLWDKVLNPENEFRRQLIDQVVSTALPESKSPDQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPSVGEVAVEAQLYEEAFAIFKKFNLNVPAVNVLLDNLKTIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDSTHFLEVIKAAEDGDVYHDLVRYLLMVRQKTREPKVDSELIYAYAKIDRLGEIEEFILMPNVANLPVVGDRLYDDTLYEAAKIIFAFISNWAKLAVTLVKLQQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNVIIQVDDLEEVSEFYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSSRLNIPKVIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPEAWEHMQFKDIIVKVASVELYYKAVHFYLKEHPEVINDLLNVIALRVDHTRVVDIMRKAGHLKLIKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESTDLHDNFDQIGLAMKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDHLYKDCMETCSQSGDRELSEDLLVYFIEKGKKECFASCLFVCYDIIRPDVALELAWMNNMIDFAFPYILQFIREYSSKVDELIKEKIEAQIEEKAKEKEEKEVIAQQNMYAQLLPLALPAPPMPGMGGGYAPPPPQPPMGGMGMPGMPPMPPFGLPPMGY >RHN79535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29957694:29958726:1 gene:gene3339 transcript:rna3339 gene_biotype:protein_coding transcript_biotype:protein_coding MTERKGRTVGGTECSWCKAARGGTGVAVITLRSSKPPNTHRLQTALHKLQNSHPILRSTLLQHTNTFSFLTSPTPFLQLTTHDLSSNQNNTNDSISLSPLQQILELELNNDSEWRDAKRDSTEMFFGSVYALPNNVWVVALRLHVVACDRTTAVSLLGELLELMEEKEEILSEENDKKVKHEASLAIEDLVPREKTKKALLARGFDMLGYSLNSLRLTNMKFCDTKATRFSQVVRLQLNQDDTKGVLAGCALNGIKVCGVLSAAGLMAAHGSKRGSKKYGIVTLTDCRSTLQSRLSDNFGEFMLRV >RHN46744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34497442:34498023:1 gene:gene41266 transcript:rna41266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MMLKYMCSLMCRTPNLKRLVFPVSGDISKNGIETAMRSWRGLESITITSVVHHINFFDAVRKYCMDIISLKITCRFEQYEARALVNYTPNLKVLSIRNMTVNMGGLCHVLNNLEHLEVVNLSHSLIVDKLDDELQQYSVDDVQSRVNNSCKLITCQIRTCLWCKTPFARNPRRMPHGTLEDIWRDDEIRSLSH >RHN41202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28120873:28126443:-1 gene:gene47496 transcript:rna47496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MYHSCQSLASSIIHTHYRKMVNLSSHLFLLSLSLHCCFIACLAANTKNITTDQSALLAFKSLITSDPYDVLANNWSTSSSVCNWIGVTCDERHGRVHSLILRNMSLRGTVSPNLGNLSFLVILDLKNNSFGGQLPTELYRLRRLKILHISYNEFEGGIPAALGDLSQLEYLYLGVNNFSGFIPQSIGNLHQLKELGIGRNKMSGLIPQTILNMSSLEVLHLSSNYFSGEIPSLNNMTSLRVVKFGFNNLNGRLPNDFFNQLPQLEKFALNNNQFEGSIPQSIGNCTSLIFLDLSSNFLTGMLCFLSRHIYLK >RHN56999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35607483:35615787:1 gene:gene32480 transcript:rna32480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid binding NABP, pumilio domain-containing protein MVSDNYQKMMSDVAVRSMVKNGDFAEDLAVLRRQQQEVNERDREVARLRSGSAPPTVEGSMTAFGGLYGGSQVSYGGGGGGGGGRGFGSEEEIRADPSYVNYYYQNANLNPRLPPPLVSKEDWRFSQRMKGGLKVGGIGDRRRLNGEGGDEGGDGERSVFSGQGGVFNGKEDGVEWGGDDGLIGLPALGLGSRQRSIAEIFQDEMNSAASASKHPHHLPGRNVFDDIAEKPENHFAYLHQDLEDLQSGGNLDGLASQSYASALGSSLSRSGTPDAQFVPRVSSPSIPPIGEGRSNAADKRSFNGQNSFNGVSSNLNEPADLVSALAGMNLSQNDAIDDEKRPPSDYTHNAKQYQYLNKSDSLPYLRHSVNNPYLKASKSSASFGLDMNDSMLYATEQLESRKAGGYSDNSHFKGSTPTFTGRGGSPAHYQNVDDTHISHANYNMAGFAVNPSSPPMMGSPHGSANLPHFFEHAAPSSPLGMNAMDSRGLARGANLGPLLAASELQNASRLGNHAAGSTHQLPLIDPLYLQYLRSGEVAAAQRNNSITDLLGLQKAYIESLIAQQKAQFSVPYLGKSASMNHNSYGNPSYGHGMSYPGSPLAGSPFPSSMYGPGSPMSQSERNMRLAAGMRNVAGVFTGAWHSDAVSSLDENFPSSLLDEFKSNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETASMEEKTMVFNEIMPKALTLMTDVFGNYVVQKFFEHGTAEQIRELADQLTGHVLTLSLQMYGCRVIQKAIEVVNLDQKTKMVTELDGHIMRCVRDQNGNHVIQKCIECVPEDEIKFIVSTFYDQVVTLSTHPYGCRVIQRVLEYCHDPKTQQIMMDEILQCVSMLAQDQYGNYVVQHVLEHGKPHERTAIIKEFTGQIVQMSQQKFASNVIEKCLSFGTPTERQVLVNEMIGSTDDNEPLQVMMKDQFANYVVQKVLETCDDQQLELILNRIKVHLNALKKYTYGKHIVARVEKLVAAGERRISFLTLNHAAPQMV >RHN59216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8492962:8499748:1 gene:gene21215 transcript:rna21215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MARNKEALLLLLDVGPSMHPVLPEVEKVCSMLVQKKLIYNKYDEVGIVLFGTEDTDNELTTEVGGYQHVVVSKNSKVVDGDIVEALQQLPRGTTDGDFLDAVIVAMDMLIKKFGDTNKGKKRLCLITNAQCPIKDPYEGSKEEQVTTIAKQMTAHGMKMESIIVRGKLSQDANKEIMDENDRLLNIFSKETQTRLLYVEDPISLFGALKTRNITPVTVFRGDLELSPKLRIKVMVYKKTQEEKFPTLKKFSDKAPQTDKFATHEVKVDYEYKSSADPDKVVPPDQRIKGYRYGPQIIPISSAEWDAVKFKPEKGVKLLGFTDSSNILRHQYMKDVYVFIAEPGNTKAVLAVSALSRAMKEMNKVAILRCVWRQGQANVVIGVLTPNVSDRENLPDSFYFNILPFAEDVREFQFPSFSSFPASCQPNEQQLESAANFIKMLDLAPDGKQEVLLPDFTPNPVLARFYHYLDLKSKHPDASVPPLDYTLRKITEPETDLVLQNQSVIDSYRRSFEMQGNPLKKPRRFLRGKTSDEEGKENITAPPANLIEYTSIKVEKIGDLTPVQDFEAMISRRDSPDWVVKAIKDMKDKIFDMVEDSHEGDNYPKALDCLVALRKGCILEQEPKQFNDFLKHLCNFCQEKNLQSFCEHLAAKGLTLIPKTEAIDSDVSDEEARSFLVKSESKCD >RHN62381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43042357:43046158:-1 gene:gene24950 transcript:rna24950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-40S ribosomal protein S27a MSAMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >RHN64015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55934398:55939311:-1 gene:gene26788 transcript:rna26788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small monomeric GTPase MSAPMLVGRMKGFRDWVFSQLLSNSLISPTPLSGSNTLYLEDRPSQDLNDQAHTHSVALPIPSGTSNSSANQSNQSSSTLQQASDAEIYQSQHSGNGRRKDTLAKVEDLQVKFFRLLQRLGQSKENLLVAKVLYRMHLATLIRAEETDLQRVNLSSSGAREIANQHEAADMPQLDFSCRILVLGKTGVGKSATINSIFDQEKATTNAFQPATDCIQEIVGTVNGLNITFIDTPGFLPSSTNNVKRNKRIMLSVKRFIRKSPPDIVLYFERLDLINSGYSDFPLLKLITEVFGAAIWFNTILVMTHSSSSIPEGPNGYTVNYDSYTSQCTNLIQQYIHQAILDSRLENPALFVENHPQCPRNILGEKILPNGQIWRSQLLLFCICTKVLGDVNSLLKFQNGVELGPTNSARVPSLPHLLSSLLRHRSVSNQSGIDDEIEEILLSDKEEGDEYDQLPSIRILTKSQFEKLSKSQKEDYLDELEYRETLYLKKQMKEEYRRRKEKLLLEEQKFSDIDNSDDQQGPPEPVQLPDMAVPLSFDSDCAIHRYRCLVDNDQLLVRPVLDPQGWDHDVGFDGINLETTTEVKKNVYASVVGQMHKNKQDFNIQSECAAAYVNPMGPSYSIGVDVQSVGGKDMVCTVHSNTKLKNIKHNIADCGVSLTSFGKKYYVGAKLEDTLLIGKRLKFVINAGRMEGQGQVAHGGSFEACLRGEDYPIRNDNLSLTMTVLSFNKETVLSGNLQSEFRLSRSLKATVSANLNSRKMGQICIKTSSSEHLQIALVAVFSILKVLLHRKEI >RHN73997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23332382:23336170:-1 gene:gene9918 transcript:rna9918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase MNNSIFLCNIQFTKDAGGFCVTGINLDNATSIAMFDPKEKTYNINGKDVKGHFMVDYTGAQIYDNVSMNQAIFSRPDFYDGMSAALNADDVLSGKTPPRFWLNVQYEAFYNQHGVQLVDIVLEMLTLYKIDFVSSPEIGFLRSINGKVNKMKTKVVFQFLNGNDVEPTTNQSYSTIVKDLAAIKSYASGIMVPKEYIWPVKPDKYLGPPTTLVPDAHKQGLEVYATGFANDFFTSYSYNYDPTAEYLQFIVKGESVDGLVTDFPSGASNSIGQALIISNNGASGVYPGSTDLAYQQAIDDGADIIDCSVQMTKDGTSFCLNTADLMEDTTAMTKFMSRSSNVPEIQTKSGIFSFDLTWNEIQSLQPQISSPFGSGFQRNPANKNSGKFVLLSEFLELAKAKEVTGILINISNAAYLASKKGLDIVGAVSTALSNATFDKQVTQQVLIQSDDSSVLSKYKDISSYKRVFLVEDNIGDTPKKTVDEIKKYAEAVNLPKSAIVKVSGSLLTGMSNVVKELKDANLTVFVHTLRNEFMSMAFDYWSDPNMEIATYIHSAMVDGVVTDFPATTNRYLRSPCSDLHNVPTILPAQAGELQSTVLPTLLPPAEAPLPPLEVANVVDPPLPAVTNVDTPAAPAPAPPPPTPPPPPSGAWANTVNHGLSLVAITMLVINLIL >RHN81094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43265513:43266876:1 gene:gene5091 transcript:rna5091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MAFKHRSIAYLLSILLFVSLHVANGIPPETICGSTVNPTYCKNILANQNGNKKYLMMVGEGINQTVITGDHNVVDGFTTFNSATFAVVGQGFVAVNITFRNTAGPSKHQAVALRSGADMSTFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNGAVVLQNCNIYPRLPLSGQFNSITAQGRTDPNQNTGTSIQNATIKAADDLAPKVGTVQTYLGRPWKEYSRTVFMQSFMDSFINPAGWHEWNGDFALNTLYYAEYSNRGAGSSTVNRVTWPGYHVIGATDAANFTVSNFLSGDDWIPQTGVPYSSGLI >RHN65338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1293814:1296540:1 gene:gene13164 transcript:rna13164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WEB family protein MVARIKQSVTKSCKSTNSVVGEIDTNSPIQSVKDAVSLFGEAALSAGNVTIKKAKSKPYSVERVWAKEAQLHLAQEELNKLKARLKNAEATKAQVLMELEKTKTTVIDLKKKLKVLNESREFTIHATKASKSQAVKQLKEEQCGNLNVINGASKEELETAVQRYKSIIAELDVAKQELRKIRQECKESLEARVSAFNQAAEAKDAMNINAERACELSKEILAVQELIQQMKVASVEADQQKQEILVEKNVLRQSYKSSLEESEKKLLALMKHFNSELIENLEAKLTETMSEISAIQKKIENKKMSDLEQVKSVTLELDGAKETLQKVSEEESSLRSLVEALRMDLEYVKRDHSELKETECETESIVKNMHAELQRCESELDVYLAEESKVRGASEQMILTLNQLSDETENAEREAEDMKINAIELKVEAEVTKHALKDAEMKLKLALEEAEAAKAAEDRIHDQIRDLSERNNTAHASTTESGARITISREEYESLNCRAEECDKLASAKVAAATAHIEAAKLSENEALIKLEATQMEIEDIKKATHEALKKAEMAEKARKMVDSELRRWRERDHKKAAETVARVLAETPMPSSLSSSSRLSPRLYKIQKQHSLPQNMEARKLDKGKKILMPSISSLFPRKKSLQVERGLPSYLPGETPL >RHN50236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5410116:5410268:1 gene:gene34505 transcript:rna34505 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRGVPFGGDLVECRLLTLLVYIVLTFVFSFYIYILPLKKKDRIMNCY >RHN59106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7517970:7522907:1 gene:gene21095 transcript:rna21095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S10, serine carboxypeptidase, alpha/Beta hydrolase MIPPSHSSLYYCCQFVLAFALFSLHMLTPLEAYGSKVEHLPGFQGPLPFELETGYVGLGDSNDDMQVFYYFVKSENNPQKDPLMLWLTGGPGCSSFSGLVYEIGPFAFEIKEYNGSVPSLVLRPQSWTKLSNIIFVDLPLGTGFSYAKNVTYHRSDWKLVHNTYQFLRKWLIDHPEFLSNEFYIGADSYSGIPVPAVLQEISNGNEKGLQPLINLQGYLLGNPYTTHKEDNYQIQYAHGMGLISDELYASLQRNCKGEYIDVDYRNELCLRDLRSFDECLSGINKENILDGFCEDDSRLWRRSLKQELGAPLSSPLTVPKLSCHIYRFYLATKWANDESVRKALHIREGSIGKWERCYTTDFEREIFSSVEFHVNLSKKGYRSLIYSGDLDLVVPFQSTQAWIRDLNYSIVDDWRSWFVNGQVAGYTRTYSNRMTFATVKGSGHTAPAVTPEQCLAMFTRWTSNLPL >RHN54149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7313547:7314784:-1 gene:gene29140 transcript:rna29140 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFIIIFVLFFQFFSSSVNLLSMAFKKTLAQRLFNITKISSQNLTNCRISSSSVSVSKSESDISSEPGENGAFKRFIHKRTGLEPKQTGFKSELHQPNLMEKLKTMDNGRNRIRLDGLIIKTPAEKPAMPKEDGVSVSDVKKLLKVAQLEMVKSRLRESSKSCVTVSELIRICSEYCSDHDQAVKIVKMLDDSAAVIILGDVVFLRPEQENETLLFREKC >RHN49119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52591929:52592563:-1 gene:gene43913 transcript:rna43913 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGALSDIIPGGRISIGGFLPASAKLVSLGSSREARNADVASVFCDGVGSTSLCSTWFQA >RHN76200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48482532:48484408:-1 gene:gene12490 transcript:rna12490 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAGAPPGALRKPGASARETPETVGTKSISISPKLTMRPVPVVGMPLLEAIEK >RHN49091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52338356:52339125:-1 gene:gene43881 transcript:rna43881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative condensin subunit 1/Condensin-2 complex subunit D3 MIRAKALPSLAQVLRFLSGNDKASVVLKEFLGFDDGNVVDVGGKGINEMLRRRCVDEKAIVRKAALLLVTNLTALLGGATDEVGLKTMGMACSDSLVSIRKAAAAALSEAFRTFSAETFRQRDGYAFPPRNSIPS >RHN66982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22412865:22414084:-1 gene:gene15109 transcript:rna15109 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTYSKVKGPERRGRVRCLGKLPRHASSNISSQRTNSEDRLQKVESVLGNLVAVLQMRFSDDPQINAVLQAVAQEVPDVASAPNGSIGNNQQTTSGTGSLHF >RHN53241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:321303:326367:-1 gene:gene28131 transcript:rna28131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MSMEGSDDNRWQSYNTVYTSAKAGMEGVDKEKVQRIVYEMSKGSKYFQNEERKESFIKYKVQNMRLHFSNLTHSDLSHYQKIADKRILELEASRDLSRIWLHVDMDAFYAAVETLSNPMLKGMPMAVGSMSMISTANYEARKFGVRSAMPGFIARKLCPELIFVPVDFKKYTHYSDLTRKVFQRYDPNFIAGSLDEAYLDITEVCRERNVKSEEIAQEIRVGVLEETGLTCSAGVAPNRLLAKVCSDINKPNGQYVLPNDRLAVMTFISSLPIRKIGGIGKVTERILKEVFGISTCEQMLDKGSYLSALFSQSTADFFYSVGLGLGKTDSPQVRFRKSISNERTFSASEDEALLHKKLAELAEMLSTDMQKEGLHGRTLTLKLKTASFEVRNRAVTLQNYINSSDDILKHASKLLKAELPVSVRLIGLRVSQFSGQKCGATPDPTQKTITNFITSGEANRKNSSFSDVTDHDFVTDTETDLSLDGRHTGQLDSRDPFDGDHSLDVNYQSSTLRKTAGAEKVRTSDNADASSNHSGCTKVLGGSTSFQGKFEGKNVNNGSNLLEDDRPNSCQEMIMLWLDDYKCSLCGAELPPSFVEERLEHSDFHFAELLQKEESSIHQASVPIQSQGQKHRINRQSKSKKQKLSHKEGKHTPIDHFFVRE >RHN57228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37430053:37431841:-1 gene:gene32738 transcript:rna32738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MSRERGRFFDEIGKKREGDESFQKGRMLGPSGTLNTTTPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHKVFGASNVSKLLLEVPENQRADAANSLVYEANVRLRDPVYGCMGAISSLQQQVQSLIGELNAIREEIHKYKLMEANMLPSSEDVSIATLPPSTSLPMLPSSIYTQQTNPTSYSSISNDHISYFD >RHN41862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33650889:33651418:-1 gene:gene48225 transcript:rna48225 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSSYSLVSILWVCCSDSCVRLGLAGRLEVGGVASVAFFK >RHN54179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7588397:7592445:1 gene:gene29176 transcript:rna29176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein TauE MATKMNHKPSSLVIAATWLIMCILVMICNVSLAERVLKEKEPAKFVEKETKGFLKAMVDFLWESGKSSYEPVWPEMKFDWKIIVGSIIGFLGAALGSVGGVGGGGIFVPMLALIIGFDPKSSTAISKCMIMGAALSTVYYNMRLRNPTLDMPLIDYDLALLFQPMLMLGISIGVICNVMFADWMVTVLLIILFIGTSTKALIKGINTWKKETMLKKETAKQLEEEPKTGEDYKPLPKGPGEIQDEVVPLLKNIYWKELSLLVYVWVAFLIVQIVKTYTKTCSIEYWILNFLQVPIAISVTLFEAVCIYKGTRVIKSKGKEVKNMKIYQILLYCSIGVIAGMVGGLLGLGGGFILGPLFLEMGIPPQVASATSTFSMLFSSSMSVVQYYYLDRFPVPYASYFVLVATIAAFAGQHVVRRIIAILGRASIIIFILASTIFISAISLGGVGIQNMIVKLENHEYMGFENLCTQ >RHN72122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5145720:5151228:-1 gene:gene7797 transcript:rna7797 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQSTSRLSPLAKPFTLNRSSSPKPSSSSENHDDPFSSLLDSFRQSSFSSKGRSVSLNDTVKISTLPAEGDESSRFEEHPFLELHKNGDFEVDVLNWSHFKVANSASDASMFQKDKPSIDGLSSFLKVSDGILENNTGTIVGKDILSNSEGTKHAVDESSSFLKAHNKVVPLKISTDMSSAKSNPQNLFSNNLGDSDTDADSPCWKGTTDLSLIPSEISQSVQFHHVEKPTEKHNTLNPKAPQFFPGIGYVKDDFVSSNSSVPVTTNLLSGEDILMKTVMEESLVDFNMGEFQYSTNISGTEMAFNMINDPRSISVDPLLNSHSMMTQSSSIEATSKGKLVTIGDVGGFVKGTENPSASRSANEVFPAKGRSPTSPTSSSQANVFTDLLKRFEGFSKSLIESPKPNIKVMVGAMHVLSELLAKTCVDGVGSYCEHDLSTTTILQIINNLNDFNAKVGGERISTSTLDSTPANSPFCLDRSVKVTKGLEMENLETLTVPNPNQLYLQNGNTGKTIVSNVIGQSGLSSFASSSGGGTKNGHDVSQLQVIRRSLGKNLDFDKQMHPEDLLFWNLWLDSEAERCYRKFKTYHWLMEAGVDVNCKNVAELWR >RHN71283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57898559:57903603:-1 gene:gene19942 transcript:rna19942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSVTELKERHIAATETVNNLRERLKQRRLSLLDTDIAGYAKSQGRTPVTFGPTDILCCRTLQGHTGKVYSLDWTSEKNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPTGQSVACGGLDSVCSIFNLNSPTDRDGNLNVSRMLSGHKGYVSSCQYVPGEDTHLITGSGDQTCVLWDITTGLRTSVFGGEFQSGHTADVLSISINGSNSKMFVSGSCDATARLWDTRVASRAVRTFHGHEGDVNSVKFFPDGNRFGTGSEDGTCRLFDIRTGHQLQVYNQQHSGDNEMAHVTSIAFSISGRLLIAGYTNGDCYVWDTLLAKVVLNLGSLQNSHEGRITCLGLSADGSALCTGSWDTNLKIWAFGGHRKVI >RHN39024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3597688:3597969:1 gene:gene45009 transcript:rna45009 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRDFAVNVLIGWLSKRSMKVKILLGILLAFCAVVILKHTITDPHFFYIASGSIHIIGLVVLVYKLIVNKTCSGTFIIFPSSIAHCPNFFF >RHN81236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44276691:44278679:1 gene:gene5249 transcript:rna5249 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 79A6 MHYICCIILYQLHQARSKQASSTIQETLANSHTKMSSSKAMNNNDHQLHVFMFPFLAFGHISPFVQLSNKLFSQGVHITFLSASSNIHKIKSTLNLNPSIQIIPLQFPNGITNTAELPPHLAGNLIHALDLTQPQIKSLLLELKPHYVFFDFAQNWLPKLASELGVKSVHFSVYSAISDSYITVPSRLDDIEGRSITFEDLKEPPKGYPQKNNISLKTFEAMDFMFMFTKFGEGLTGYERVMQSLGECSYIVFKSCKEIEGPYIDYIEKQFGKQVLLAGPLVPEPSMEVLEEKLCKWLDNFSVKSVIFCSFGSETFLNDEQINELATGLELTGLPFILVLNFPSNLCAKTELERALPKGFLERVKDRGMVHTGWLQQQLILKHNSVGCYVCHGGFSSVTEAMVNDCQLVLLPFKGDQFFNSKLIANDLEAGIEVNRKEEDGYFHQESILKAVKIIMMDGEKEPGKSIRENHMKWRKFMLDKEIQNKFIIDLVAQLKSLA >RHN69034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40481887:40483054:-1 gene:gene17460 transcript:rna17460 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVEEAEQQQQQQPLLLNTTKVVEYLEPFMSLELLCKFPDNSAYDFDYSQSTIWSPLVPRPYSPMDLDLITPKKLSYDIALGARCSVNKVGSKLRKKFTSNSFNLKFGFIKNKKIASDFSPTPSRIKCACNPIINNKRWGRALKAASKQFKKWKVKRDPIAHVMLPMSFKDGDF >RHN49248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53554014:53555542:-1 gene:gene44059 transcript:rna44059 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSGSNSSPQGSLIIMYLIIHNIHNPLFFPFFFLLRYNPIVVANLCIVICRGWSFDSLHPIYLRLDNKKLTSIEVTNRF >RHN73467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16722046:16722451:1 gene:gene9282 transcript:rna9282 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTWTSALKIMLLIFFVIASDMCKESEERGPEVEQCDDEQCQKICYCLPKCPKEMYGKCVDGKCSCYTAPPSVGGVPSLVP >RHN65098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64162547:64165577:1 gene:gene27995 transcript:rna27995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Two pore domain potassium channel, EF-hand domain pair MGSDETQQLLLSESREHSLLNEKNDLLQRRKPRCGGTSETDINLQQEQNGVLQSPLSPQCITEKQEAEFKFRLVFLCLAAYLGTGTLCFYLTSYQIEGIKTNGFLDALYFCVVTMTTVGYGDLVPNSTIAKLLACIYVFTGMALGGLILSKAADYIVEKQEIFLAESMCKAENFGLQEVAKELGTKKSKYKFVLAVATFFVLMIAGTVFLYFIENLDFVDALYCVCSTVTTLGYGDKSFSTAAGRIFAVFWILSSTICLAQSFAYLAELYTEDRQRSLAKMVLTRKLSLSDLEAADLDGDKAVSAAEFVVYKLKEMGKINQEDISAVMESFRKLDCDQSGTLTEADIRNSELS >RHN62887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46497908:46515319:1 gene:gene25520 transcript:rna25520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin regulator PHD family MKKESKSSAPTMLNKNWVLKRKRRKLPIGPDQSSGKEQSNGKEDNSVASESSRSASAKRMLKTEEGTAQFSSKKKGHDGYFYECVICDLGGNLLCCDSCPRTYHLQCLDPPLKRIPMGKWQCPSCFEENDQLKPLNNLDSISRRARTKTVPVKSKAGVNPVNLEKVSGIFGNKHISKKRSTKAKSISTMGGKFFGMKPVLSPVDATCSDKPMDPSLESCMEGTSCADADEKNLNLSPTVAPMDRMSVSPDKEVLSPSKITNLDANDDLLEEKPDLSCDKIPFRKTLVLAITVGGEEMGKRKHKVIGDNANQKKRRTEKGKKVVITPIKSKSGNNKVQTKQKSKTHKISISASKGDVGKKKSDAQQKDKKFSQVMKDSSNVLDKAGSHLDDTLMHEDSTIIESLQVDQVLGCRIQGEDTNSIRQLSLKVGDDSPSGDLVMSENQTRLAEDNSACDNDLDGEIAENLVHDPQNVKSSDEGELHNTDRVEKIHVYRRSITKESKNGNLLNSLSKATDDLGSCARDGTDQDDYAVSDEQLEKENDKLETEENLNVVLRGDGNSKLPNNCEMHDSLETKQKEVVLEKGMGSSGDNKVQDSIGEEVSYEFLVKWVGKSHIHNSWISESHLKVIAKRKLENYKAKYGTATINICEEQWKNPERLLAIRTSKQGTSEAFVKWTGKPYNECTWESLDEPVLQNSSHLITRFNMFETLTLEREASKENSTKKSSDRQNDIVNLLEQPKELRGGSLFPHQLEALNWLRKCWYKSRNVILADEMGLGKTISACAFISSLYFEFKVSRPCLVLVPLVTMGNWLAEFALWAPDVNVVQYHGCAKARAIIRQYEWHASDPSGLNKKTEAYKFNVLLTSYEMVLADYSHFRGVPWEVLIVDEGHRLKNSESKLFSLLNSISFQHRVLLTGTPLQNNLGEMYNLLNFLQPASFPSLSAFEERFNDLTSAEKVDELKKLVSPHMLRRLKKDAMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYQILRNIGKGIAQQSMLNIVMQLRKVCNHPYLIPGTEPDSGSVEFLHEMRIKASAKLTLLHSMLKILYKEGHRVLIFSQMTKLLDILEDYLNIEFGPKTYERVDGSVSVTDRQTAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFKGKSGSQKEVEDILKWGTEELFNDSCALNGKDTSENNNSNKDEAVAEVEHKHRKRTGGLGDVYEDKCTDNSSKIMWDENAILKLLDRSNLQDASTDIAEGDSENDMLGSMKALEWNDEPTEEHVEGESPPHGADDMCTQNSEKKEDNAVIGGEENEWDRLLRLRWEKYQSEEEAALGRGKRQRKAVSYREAYAPHPVEAVTESGGDEEKVPEPEREYTPAGRALKAKFAKLRARQKERLAQRNAIKESHPTEGLPGTESLMHPPVIAKDGDLRAGLIHSVQERTSISIEDNKDTQLSEAQNSNADSLSRIEKLSKYKMSHHFDVSVNNPGRSLPELLPPNYHNKGKINTTNSMPSNHLLPVLGLCAPNANQIESSEGSTSKLNWRQNRHGSRQEFPFNLAPCTETSMDAEARNKEKAANTKPSDASTENLQQSFKNSIPDNFLPFLPFPPVQGKESDAFESSGARFSAFKEKMALPNLPFDERLMARFPLTTKNIPNSHPDLLPNLSLGGRLEALNGSMQDLPTLPTLPNFKIPPEDLFRYNQHDRDVPPILGLGQRPTTFSSFPENHRKVLENIMMRTGSGPSSLLKKKSKSDGWSEDELDSLWIGVRRHGRGNWDAMLRDTKLKFSKYKTCEELSVRWEEEQVKVFQGPAFPVQRSSSKTTKSTKSSNFPISDAMMERALQGSKFLLPPKFQNHLTDMKLGLGGPASGLPHFRTMDRPSLQNDHFAPLPSWNHDINRAKFLDDASAETSDRPGTSSNVPTERPFLLNSFGTSSLSSLGLNCSGNINIHQQEDERRNTKRGKLPVHLDESLNDMHDSNINVGKGESTSSGLLSNPIKPGHMNSKGEEIAGSSSSKDKLPHWLRQAVSSPAKHPDPELPPTVSAIAHSVRMLYGDDKPTIPPFVIPGPPPSLPKDPRSSLKKKRKRRSHKPKLFLPDFSPDFHSSHAYHGDNGASSSVPFPPPFPLLPPPGFQQIESDLNLPPLNLKVANPSHSSKKTCLGLSPSPEVLQLVASCVAPGPHIPSTPNSSSFLESKLPLPTRPVGRAKFKDSEGTFRNKKPRQISPENWSSPEEHKVEQVPDSGDSSKTRSDPSRVEQPHGEGTSSEGTVSDHDVRDQET >RHN64761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61633523:61633753:1 gene:gene27616 transcript:rna27616 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPSDFSYAEKLVAGDLVVFMKNARGAMFNEIRRVVRFVPQQRRSAGAGVHIRRSKNHRKQRNLFSVINFNHWNP >RHN57427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39088185:39091385:1 gene:gene32971 transcript:rna32971 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRLFSYNSLSSSLMRVAKPHRVPLSQRSKIFYDSGPVVLQNCCNNASLLNFQVLRSYARGARKPYDLFGSRRPGDEDFKKTWAKEMDEDNTLWTGSENESDEEKDSKSRLHKDIRKARQQAKEHADLVDADDSDELRSVWSGSDEEKTLWTGDEMDTDDDVPTEAYPNEKSDKYIDKIFEFDEMPKYRTISELLKAEQEPEELSPGKQARKIAVENALKKLKKGPDGRYTNAWEVMSDLDILIGAFENVVSGPEYEELRQGGPKQLNIQFFKDIQARMRDPNYKFSPELKLKPKSKFVSRKKFQKTESRKRKARKR >RHN43121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43371952:43373651:-1 gene:gene49648 transcript:rna49648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MMGSESSVKLPPGFYFSPTDEELVLHFLYSKASLPYHPNIIPELHLSHHDPWEIYGKALSSENEHYFFTEVKENRSTENGYWKEIGVTKPINKLGMKKYLVFNLGEGIETSWVMEEYHISSSPDENWSKWVLCKVYEKEKKMSQEGASCCYSDEDIDDNGTDVSWLDEVFMSLDDDDLDQTSLPN >RHN80850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41285318:41288666:-1 gene:gene4825 transcript:rna4825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein MSDLVQKISSELRSNLRPAKDNFLGFFHAIDWKEPWLLGLLSFYVLLLLVTIISRKNTNFQMCLFLLTLAGVYLAESLNSILGENWKSFSTQNYFDPSGVFMSINTLFSLCYLIVKCKRAELRHRARAARSKQE >RHN68460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35742316:35747018:-1 gene:gene16820 transcript:rna16820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MFSFINILRFFTIVASSNSCFKTAFEAVFNGSWQPVELLKIESGKTTLRFIDTEQIQSTLSSDIRIRSRKATSSDCSSFLRPGIDISMLLGYRYNDNSDQFRSIPMWIDARINSIHRQPHKSDQCSCQFYVNFYDDQGSLGTEVKTLNKEVNAIGMDQIFILQRLQHNTCEGLLKENDTSEIKPYRWDSSEDCSSLSQSRLLVGKFSSDLSWFVITSFLKKVSFHIRSVQNKIVYQVMGNDTDIISPWLINVVNFSLKKESLVPIVSQFDVFYDYDGEEDEAPPNPSNEVEGLRRSKRRNVQPERYVGCVVEKLEVGNFRTCPYKRTTYMIEDENSSSDSEEDGGKSDQKVNKVNHCKAINVNTRNKNDDGDGKFKNGADVLNLEYGNEPTTISSDEEVDGNVTLRDYNSFFKEKIKRKRLHVVDDMDFETKWEGIHFKKGAPTENFHSSYLSNRSHVHEEQDHKGGRTFNADARKEIIDSYMKNFDSLPTEEEPTVNENRKETSMLEKKEEEKVSKSDDEEENADDLDALWEEMNTALTSSYLLDGTAGSSSEVVADTKKEFNESCEHDYRLDEEIGIYCLRCGFVKTAIRDISEPFVECPKRYKEEKQCSEENKEHKSEPKVDEDYNNDMFSTHVTDPDEPLTNEIDNVWELIPELEEKMHEHQKKAFEFLWQNIAGSMEPSLMEEKSNSSGGCVISHAPGAGKTFLIISFLVSYLKLFPGKRPLVLAPKTTLYTWRKEFKKWKISVPVYLIHGRRTSPGSSSTTPKSMILPGFPRPSSDVKHVLDCLSKIQKWHSHPSVLVMGYTSFLQLMRSKDTKFEHRKYMAKALRESPGILILDEGHNPRSTKSRLRKCLMKLPTELRILLSGTLFQNNFCEYFNTLCLARPKFVHEVLQELDSKYRRKGGKIAKKERHLLEARARKFFLNNIEKKINSDIDEEKMQGLYVLRKITSSFIDVYDSGNSSETLPGLQIYTLLMNTSDEQHEIVQKLQKKMAESTSYPLEVELLITLGSIHPWLIKTAASCATKFFAEEELKKLEISKFDLRKSSKVRFVLSLISRVVKNEKVLIFCHNLAPVRFLIELFEKYFQWQNGKEIMVLTGELDLFERGKVIDKFEDQSSGSKILLASINACAEGISLTAASRVIFLDSEWNPSKTKQAIARAFRPGQQKMVYVYQLLTTGSMEEDKYRRTTWKEWVSCMIFSEEFVEDPSKWQAEKIEDDILREMVEEDKSKAIHMIMKNEKASTI >RHN72558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8614455:8617416:1 gene:gene8284 transcript:rna8284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MYCYLYLHGFGFDLLTADYKLLRISWLVTQHNPFYDSHVTLFSLKTNSWKTIPSTPYALQYVQAMGVFVQNSLHWVMAKKLDGSYPWLIVAFNLTLEIFYEVPLPVELEGEEVNSNSNGSFKFRLAVLGGCLCMSVNYETTEIDVWVMKEYGSRDSWCKLFTFVKSCFTPHFKSSRPLGYSSDGSKVLLEGIEVLSEVCHRKLFWYDLKSEQVSYVEGIPNWNAAMFCVGSLVPPFFPVDNCRKEENCTSKRRDGFLS >RHN46869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35433520:35435791:1 gene:gene41404 transcript:rna41404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ccc1 family protein MVMDERGGNSNTRLLHEDGGDLERNSERPKEPWRGEYVKSIVYGGLDAIITCFSLISSISASSSSSGNVLVLGFANLVADAISMGFGDIVSASSERDVIIEERRVTEWDVLNSRGNEQRELVRYYQSLGMEYNDATTVVNIFTKYNDVLVDQRMAADKGILPADQEVKPWKNGLITFASFMLFGSIPLLSFIILIPFTDNDSVKFAGACLVSALGLALLGVARARIAGQNMMLTTAITLFSGVIAGAAAYLVGWLLKHIAGLEG >RHN58692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3993058:3993629:-1 gene:gene20625 transcript:rna20625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MGAFKIFLFLFLSCYTYTTVTAQNETGFVSSIDPKVFQREQNASHFRFYWQDIVAGDNAASFDIISSLPDYNKTSVFGLVKIIDNPLTLGPQLSSKLVGRAQGIYASISQTVLNFLMIMNFALFEGKYNGSTITISGRMLLTIRFAKGYAEASTYSSDPNTGDATIEYNVYVSHYI >RHN49460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54949849:54953626:1 gene:gene44293 transcript:rna44293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,4-beta-D-xylan synthase MDKVTESEVNHPQMAGAKGSKCAIPGCDSKRVSLKSRKYASMRSQTGDFDHNRWLFETKGTYGFGNAMWPKEGDLGNGKDGHVSEPSELMSRQWRPLTRKIKIPAAVLSPYRFIIFVRLVALVLFLRWRVTHKNTDAVWLWGMSIVCESWFAFSWLLDQLPKLCPVNHSADLNVLKEKFESPSPNNPTGKSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFKAMAEAATFASNWVPFCHKHDIEPRNPESYFNLKGDPYKNKVKLDFVKDRRRLKREYDEFKVKINGFPDSIHRRSDAFHASEENKTMNQRQNRGDEPVEPIKVLLKPPSDEPLIGHVDNAKLIDMTGVDIRLPLFVYVSREKRRGYDHNKKAGAVNALVRASAVMSNGPFILNLDCDHYIYNSKAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPFYVGTSCPFRRFALYGFDPPRAKEEHASFCSCCFVRYKKHVNSSEENQALRMGDYDDEEVNLSQFSKKFGNSNILIDSIPVAQFQGRPLADHPSLKNGHPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTCYRMHNRGWKSVYCVLRWATGSVEIFFSKNNAIMASRRMKFLQRIAYLNVGIYPFTFPPLWTVHCIGIELEEWWRKHLAAVLQGLLKVIAGVEISFTLTSKSGGDDVDDEFADLYIVKCFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAIIISLLWLGINPQASHDGSSFFLSDYYNFPL >RHN57173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37080731:37082939:-1 gene:gene32677 transcript:rna32677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-N(4)-(N-acetyl-beta-glucosaminyl)asparagine amidase MQLHHTFSYFLFLFFIPSLHSHSHSHSQPNHYTISQEHSQKPHPNGPHQEFIEVTYPPPYQPTPSCSHQILHHTFANTIDTPPFTTPYTPPFHCPPPWTRVILHFHAKIKGEQYDRIAALWLAGVELLRTSTAQPDNSRIFWNVRKDITKYTSLLTQSNLDLTMMLENIINAEFTGEFHITVTLLYYYDKHKNSVSVPFNPISNAVSNSISRSRSLRSELKVPDSRVLNELPADLIIPICDSGKRGFWFRVEEEKQLKKRRIRIPRNTYKAVLELYVSFHGNDEFWYSNPPNSYITTNGLDTERGNGAYREVYVTIDGELVGSEIPFPVVFTGGINPLFWEPIVAIGAFDLPSYDMELTPFLGKLLDGKRHVFGIGVTRGLSFWLVNANLHLWVDHQSSVVRANRVIHHNPKTDVQRAEEFRGLDGEFRAEAETETMIEGWVMTSSGNITTVVSKGFSFRNVIKFKSNGTYKMVKQKFKAKKKVKVIDNRGEMISKLKVKRKYPLRVITVTEPHGLDDGYKLVTDLSHGFEEKYEGKGFIHSVSNEQESKGWINVMGHSVLDGHASTMQNYSYVDKLSCYNRNVAASNGRIVTDKSNFICENAM >RHN43908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49366370:49369035:-1 gene:gene50543 transcript:rna50543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MDQDAIFDEECDYLFKAVLIGDSGVGKSNLLSRFAKDEFRLDSKPTIGVEFAYRNIKVRDKLIKAQIWDTAGQERFRAITSSYYRGALGALLVYDITRRSSYESVGRWLVELREFGGEEMVVILVGNKCDLGESREVEEEEAKRFAEAEGLCFMETSALKNLNVEQVFLQMITTIFDITNQRSLDAKMDETPINHLSNGKEIHIADEVTATKQAPCCS >RHN72333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6862080:6868185:1 gene:gene8031 transcript:rna8031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MDCNKEEALRAKDIAEKKMESKDFTGARTFAHKAQKLYPDLENIAQMLVVCDVHCSAEQKLLGNTNVVDWYKVLQIDRNDHDGIIKKQYKKFALQLHPDKNKFAGAEAAFKLIGEAQRVLLDREKRTLLNMNLSKFSMTKPAMPSIFQRNVPVNFNPVMQTNVRPVFPNINPPQQQQPSKKPTQQGLNGSGPTFWTMCSFCSVRFEYFRVVLNRSLRCQQCNKPFIAYEVNPQSTKPATNSTRQAFGQKNNAPNHGASKVGVGSQGDLYAKRVGVGSQGDLYAKRSKKESHHKKGSTSNVSVKPDGKRKRKHVIDSSESSESVGSTDSEDDTFSDNNGFPGVSTSREERPRRSSRQKHQVSYKENGSDDDESRKPSKQGKETEINDHNGLASGLEDHQKEVKQKQNFYSEESLKNIDVKIKEVGGKETAGSSKIEESTNHSDGFVYPDPEFSDFDKDKKEECFASGQIWAVYDDIDGMPRFYALIKKVFSTGFKLQITWLEPDPDDEEERRWVKEKLPSACGKYQLGKTVTTKDQPMFSHLILYEKVRSTFKVYPRKGETWALFKNWDIKWYMDAESHQKYDLEFVEILSDYVEGAGVFVSYLAKLKGFMSLFSRITKGGGCSFQIPPAELFRFSHRVPSFKMTGLERAGVPVGAFELDPISLPMEEITLPDDLELKDTSTSKVNLERSNSVEEKDHVDHIDDVRAPKVSVAESFEVPDPSFNQFDAERSHEKFEAGQIWAFYGDEDELPKYYGQIKCVRRIDSKIELQVIYLTDCWVPKKVIRWEDKDMIISCGRFKINPSGKLCTYNNTNSVSHQVHASAVRNNKEYEIYPRKGEIWALYRGWRTTLKRSDLKNCEYDIVEVTEDADMWTDVLFLEKVSGYSSVFKGKLSNGGSKMTMTIDRTELLRFSHKIPAFKLTEEHGSNLRGFWELDPAAVPHHYLSKE >RHN70295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50334352:50335307:1 gene:gene18861 transcript:rna18861 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGDEASLGTGRALIGDQPSNLHKGVCKAHGTLLQDKRGHSSFVRDSSCSLRAN >RHN69157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41460470:41462004:-1 gene:gene17590 transcript:rna17590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MPYATPGSSDYLELYPERKISYFKNPYILGVTAAAGIGGLLFGYDTGVISGALLYIKDDFDDVRNSSFLQETIVSMALVGAIIGAATGGWINDAFGRKKATLSADVVFTLGSVVMASAPDAYVLILGRLLVGIGVGVASVTAPVYIAESSPSEIRGSLVSTNVLMITGGQFLSYLVNLAFTELEGATFPSRYILGQHH >RHN77544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7612015:7612859:1 gene:gene1009 transcript:rna1009 gene_biotype:protein_coding transcript_biotype:protein_coding MLESSSASSLFIISLTSFLSVSNCPCAASNASTSAVLSFPVFKMSIMRTASRSPLIIAPPTVSGCSLQVASPAKNKQLSTGLPMMS >RHN40735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20080721:20085700:1 gene:gene46930 transcript:rna46930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MMISFEIKKQVVLIYLWLWWNTTANICVEATSDSLKPGDKFDANSTLYSKQGKFALFFDQTLASEDAHLVISVNADYGIQVWMYDRNHSIDLDSAVLSLDYSGVLKIESQNRKPIIIYSSPQPINNTLATILDTGNFVLQQFHPNGSKTVLWQSFDYPSDVLIPTMKLGVNRKTGYNWSLVSWLTPSRTTSGEFSLEWEPKQGELNIKKSGKVYWKSGKLKSNGLFENIPANVQNMYRYIIVSNKDEDSFSFEIKDRNYKNISGWTLDWAGMLTSDEGTYIGNADICYGYNSDRGCQKWEDIPACREPGEVFQRKTGRPNIDNASTIEQDVTYVYSDCKIRCWRNCNCNGFQEFYRNGTGCIFYSWNSTQDLDLVSQDNFYALVNSTKSTRNSHGKKKWIWIGVAIGTALLILCPLIIWLAKKKQKYSLQDRKSKRHKGQSKGLADSNESYDIKDLEDDFKGHDIKVFNFISILEATMDFSPENKLGQGGYGPVYKGMLATGQEVAVKRLSKTSVQGIVEFKNELVLICELQHTNLVQLLGCCIHEEERILIYEYMPNKSLDFYLFDCTKKKLLDWKKRFNIIEGIAQGLLYLHKYSRLKIIHRDLKASNILLDENMNPKIADFGMARMFTQQESVVNTNRIVGTYGYMSPEYAMEGVCSTKSDVYSFGVLLLEIVCGIKNNSFYDVDRPLNLIGHAWELWNDGEYLKLMDPTLNDTFVPDEVKRCIHVGLLCVEQYANDRPTMSEVISVLTNKYVLTNLPRKPAFYVRREIFEGETTSKGQDTDTYSTTTISTSFEVEGKI >RHN40564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17608737:17609228:-1 gene:gene46727 transcript:rna46727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRRKIEIAMVKKPEARQVTFSKRRMGLFKKANELAILCGTKIVIVVFSPGNKPYSFGHPSVDAIASKFLQQELDLNDVLETPSSNIEDLNQQHEKVMADIAEAEKEDKANEEMLKEYKSASPKEWKDSLMELKNMVKSRLCDLDISDSMMLLAKEPVVGIKN >RHN62660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45029599:45032884:-1 gene:gene25257 transcript:rna25257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructose-bisphosphatase MQSATTTTPFYQLLLTFRPKLQTFQSKTQTLFYTPTMASVSEFRTRVKPLSALGNSSSSSSTVANDDGSVTLMEYMGKRGGIGVNDDLVILIDHIQYACKRIAALIASPFNYTIDMPSSGSDRDAPKPLDIISNEIILSSLQKSGKVAVMASEENDTPTWISDDGPYVVVTDPLDGSRNIDASIPTGTIFGIYKRLEELDDLPTEEKALLNSLQSGNRLIASGYVLYSSATILCITFGSGTHAFTLDRSTGDFILTNPSIKIPPRGQIYSVNDARYFDWPEGLRKYIDTVRQGKGKYPKKYSARYICSLVADLHRTLLYGGVAMNPRDHLRLVYEANPLSFIVEQAGGRGSDGKSRILSLQPVKLHQRLPLFLGSSEDMEELESYGDIQQKVNPGYEV >RHN44996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10577089:10577587:1 gene:gene39189 transcript:rna39189 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVYSRRINYHWDLLHNYVHQTMGFLKRKMGSNMKRVQMKWMEAIRRQMVVVEIKRSINKQLRVELVEQQVENSIILVKIRNHLRTNN >RHN39338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6210038:6214856:-1 gene:gene45348 transcript:rna45348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MPFFDKLTYLEWNGYPLKSLPEPFHAEQLIQISLPHSNIEHLWYGMQELVNLEAIDLSECKQLRHLPDLSGALKLKQLRLSGCEELCEVRPSAFSKDTLDTLLLDRCTKLESLMGEKHLTSLKYFSVKGCKSLKEFSLSSDSINRLDLSKTGIKILHPSIGDMNNLIWLNLEDLNLTNLPIELSHLRSLTELRVSKCNVVTKSKLEALFEGLTLLRLLHLKDCCNLIELPANISSLESLHELRLDGSSVEELPASIKYLSELEIQSLDNCSKLRCLPELPLSIKEFQADNCTSLITVSTLKTFSINMIGQKKYISFKNSIMLELDGPSLDRITEDAMLTMKSAAFHNVLVRKYRFQTHSFNYNRAEVCLPGRRVPREIKHQSTTSSSITINISNSLGFIFAVVVSPSKKTQQHGYFVGMRCQCYTEDGKREVGYKSKWDHKPITSLNMDHVFVWYDPYHYDSILSSIERKISFKFCITTYTSSGKELDGLLSIKECGVCPIYYSESRRVLGTGNLDKKLELELYEEIQFESRSGEGYDEGDDEKEGTGIQNQQSDLNENFHSSYECLIACNDTQVHENPQQKETLDDDDNSKEIMKFKIVHESSAKSGDETETSSNKHEQFEKEKDSTGGDSDVESSFDKRQKFSSDDDLHSSISPTRLNSTDRSKTDEETKNLQQSPPPLVKNLQIPSYSYTNKLNPNASMAAESSSSKPSKDSEYSPIDYSEYKKILEEDPLAIMEKLLSGELGHSSQASQSTPQAEATETQSESIKMLLDELRDLVFSRNLLKHLPNDVTLGEEVKALLVKLNYRANELSEKQSSGITDFARIFTEATVNIDEGKLGNVTLQHLNVDHKDSMSKLQASKYKIMKFDESISAAEDKIKARDVEIEDIKAQIRLLEEKARKVQQEKSQLEDACSKCKEKRTEIVEEAKNVASTTIQTREKIDNLKKKKRELDSNYETLEGNYAIMRLSPPF >RHN60845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30877935:30878872:1 gene:gene23231 transcript:rna23231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MQSIVYFLYQLLRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDVWSVGCILMEIIRREPLFPGKDYVQQLALITELLGSPNEEDLGFLRSDNAKKFTYLFFCFKIIFALKFLFFLKLSSNINQQSMGSFLLILFLFFCI >RHN69848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46754258:46756563:1 gene:gene18372 transcript:rna18372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller MTKNQNSNLIPSLPDDVAINCLARVPRSHHTTLTLVSKPIHSLLSSSLFFTARSLIPSTQHILYLSLRTRSTSLQFFTLHNNHRLLPLPPLPSPTIGSAYAVIHHKIYLIGGSVNDVPSRHVWILDCRFHRWLPGPSMRVAREFAAAGVIDGKIYVIGGCVPDNFSRSANWSEVFDPVNNRWESVPSPPEIREKWMHASAVVDGKVYAMADRGGVSFDPYNGAWESVGRELDIGWRGRATVVDGILYCYDYLGKIKGFDVKKGLWKELKGLDKSLPRFLCGATMADVGGKLVVVWECQRNLNGKGKEMEIWCAEIDVKKKKKKNKDGELWGEVCWLNNVLSVPKGSSIVHCNSVAL >RHN61420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35462672:35463083:-1 gene:gene23887 transcript:rna23887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLNVIRRASFTASQAALKSAEVPKGYVAVYVGEKQKRFVVPISYLNQPLFQELLHQAEEEFGYDHPMGGLTIPCTEGVFQHVTSCLN >RHN48630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48983820:48986534:-1 gene:gene43369 transcript:rna43369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB family MEMEDHNQHYDSNSITDLRQLINIVPRSTTNNFPTAELFPAGNPHQQHYEMMMFGRQVADIIPRCLHDFVSTDSPAVATTATPTNTTTSASTPSFSGNLEAETTAFIAVDASTGRWPRQETLTLLEIRSRLDPQFKEATQKGPLWDQLSRIMCEEHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGENNNPSSSSLPQNNFCTNNNEEMFHSHINKHCDSLSLTNSTEFDTSSSDDDDNDHSTHEGIKENEFSMDKRKKRIGGRSFWKVKIKDFIDSQLKKLVAKQEEWMEKLTKTLEQKEKERVLREEEWRKQEKERLEKEHKFWAKERAWIEARDAALMEALQKLTGNNDEIIKTQSSSHDGNKKRKENSRSCFYFDDNNNVDRSCLYNVNNGDGAGSYCDVNDQREELGRVQTNDGSNSNNVVAAENCFPFLMSTEGGNLWENYNLKVNKANQNQ >RHN53517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2211782:2221286:1 gene:gene28431 transcript:rna28431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CDP-diacylglycerol--inositol 3-phosphatidyltransferase, Long-chain-fatty-acid--CoA ligase MAQERFIIEVEKAKAATEDKPSRGPAYRSIFAKDGFPPPIPGLDSCWDVFRLSVEKYPNNPMLGSREIVDGKHGKYKWQTYKEVYDMVIKVGNSIRSCGYGEGVKCGIYGANSAEWIMSMEACNAHGLHCVPLYDTLGSGAIEFIISHAEVSIAFAEEKKIPELLKTFPNATKYLKTIVSFGKVTPEQKQEVEKFGLAIYSWTEFLQLGKSHSFDLPVKKRSDICTIMYTSGTTGDPKGVLISNESIITLLAGVKRLLESVNEKLTEKDVYLSYLPLAHIFDRVIEETFIWHGGSIGFWRGDVKLLIEDLGELKPTIFCAVPRVLDRVYSGLTQKISSGGFLKKTLFNFAYSYKLNNMKKGQNHAVASPLLDKIVFDKVKQGLGGSVRLILSGAAPLSLHVESYLRVVTCAHVLQGYGLTETCAGTFVSLPNELDMLGTVGPPVPNVDACLESVPEMGYDALASTPRGEICVKGDTLFSGYYKREDLTKEVLVDGWFHTGDIGEWQPNGSMKIIDRKKNIFKLSQGEYVAVENLENIYSQVPSVESIWVYGNSFEAFLVAVVNPSKPALEHWAEENGISVDFNSLCGDSRAKGYILDELSKIGKEKKLKGFEFIKAVHLDPVPFDMERDLITPTYKKKRPQLLKYYQNVIDDMYKSGNKPRA >RHN60688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29450098:29454840:1 gene:gene23039 transcript:rna23039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MNQQQVALQDNSKKSIPPYMKAISGSLGGVMEAACLQPIDVIKTRLQLDRSGNYKGIAHCGATIVRSEGVRALWKGLTPFATHLTLKYTLRMGSNAVLQSAFKDSQTGKISNHGRLLSGFGAGVLEAVVIVTPFEVVKIRLQQQKGLSHELLKYRGPVHCAGMIIKEEGLRGLWAGVTPTIMRNGTNQSVMFTAKNTFDVLLWKKNEGDGRVLLPWQSMISGFLAGTAGPICTGPFDVVKTRLMAQGREGGEVKYKGMIHAIRTIYAEEGVLALWKGLLPRLMRIPPGQAIMWGVADQVIGLYERRYLQTLQTS >RHN69487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43819479:43820168:1 gene:gene17966 transcript:rna17966 gene_biotype:protein_coding transcript_biotype:protein_coding MLILISLFFLARVVNFGIIAFCKNDEECLIICPLSLIYLCSNNRCTCLKRSNFADLPPHVYKHLHR >RHN61954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39611416:39614444:-1 gene:gene24471 transcript:rna24471 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYNTLLSFFLSLLLLLSPQLSLSSSSIHELLRSKGLPAGLLPEEIKSYTFSENGHLEVFLESPCLTKYENRVYFEQVITANLTYGSLIGVEGLQQEELFVWLPVKDIIVDDPSSGLILFDIGLAYKQLSFSLFEVPPHCKPQDVLKNHVRKERGFEAVR >RHN58311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:687359:687850:-1 gene:gene20210 transcript:rna20210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MVVTNLFLPNECWEIVFRFLNRNGDIYNRHYMNSLFVVSKQFLHITNHFRFSLIIWNSAHPFLPRLFQRFPNLTTLDLSNFRGDIDVLLEKISRSPLKITSLNLSNQTTIPANGLRAFSQYITTLTSLICYNNKSPFVIVFFFIKKITLLSKNLKAASNDKLL >RHN51332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16334788:16337630:-1 gene:gene35756 transcript:rna35756 gene_biotype:protein_coding transcript_biotype:protein_coding MICLKLNACRGRNRKFRNSYIGDWNDKPFEDFSQERFKKSYKIPHERGRHYAEDNRNSSHQRLADYADETNFPHQREKHCPVEMRLSHQREEHYADEINLPLQREELYADERHLPHRREEHYADEMHLPHQRENHYADEINLPLQREEHYTDERHLPRQREEHYADERNLPHHREHYADEKHLPRYTGDRHLLRQREEHYADERHLPSQREEHYADERNLPHQREKHYADERHLPRYADDMHLPRQWEDHYSDESHIPNQTSEDYADKKTLPRQRLKSTISDVAPVQCPQSNNGKRHRMLLELREPSKKTSNGQESRKIPKAQINPVLPKSKKNWCSLENVSYEARIIKLEIVPDQRYKRKVRDYNSAMDHGFSRDIRGDGERKYMKKKVGITSLMKSSKYEVSALSNSGSNRTKSMRTDFVVPQVPVTSLELSPPPAVRSSIEPKNHIDSQREVQKHGMEKNDAQHAISMEFNAPPGFELPPSALLDNDAISEVSANTETKDGSSQGEVEMDVLTAESENTNLPQACVCLTCGDKGFEDTLVYCSKCKGCALHSADSDEGGKNLSEVCDARGGEHTSKECDAVVDSEPIATSIWKGTLKLFNKNFELMCHLSTSACRKVHEKTSQLPDVLNADLLERSTVLPESFRRCGTSNHSIDLYFFPQDER >RHN66470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12693793:12694524:1 gene:gene14463 transcript:rna14463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MDPYGKLLMNRYEFRKARGQGNFAKVYKARDLRTGDRDVVKVIHKEKVRGPGMMVQTKREIATFGWVKHPNVLRLYEVLATKTKIYLILENAKDGEIFPQILKGNFNYYQTRQYFQQLVSALDFCHKKGVYHRDLKPENLLLDENSVLKIADFGFSTFIESHRYNMLHTMSGTPMYVAPYVLRGKGYYEEKDDGWSCVVILYVLLAGYYPFYDHNLMELYQKIHKGEYKCPPGFQSRYVDYYQ >RHN53711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3521055:3524071:1 gene:gene28648 transcript:rna28648 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S13 MGRMHSKGKGISSSALPYKRTSPSWLKISSPEVDETICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >RHN54331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8751828:8752679:1 gene:gene29346 transcript:rna29346 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNVLRSNNKGNPCGRSLVVKICCLLEMEREVVVDHSYCKANQYWSLVVVDVTKASKLYPSCYCRVRGRLVERNKNTFQGIELGKK >RHN43907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49358425:49366340:-1 gene:gene50542 transcript:rna50542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Golgin subfamily A member 5 protein MANWISSKLKAAENILHQIDQQAADSLRKNNERLQLEEQSSSIDAPPKPGVPLKDQFKKKKKNNNNGKLHSDPLKTKTTTALPKSSNPPPTISDGDWTQLLSSPSASNSLPAPRILRQNSKKLNSLSVSDIKRNHKTSSTSLQRLDSLKGDNFIAKSSDDGKESTSSASTDRQSNLEESETDAKSTRGHLSVSNNTSDKPLAETKEDHVHPLNNIDFSSPPLLLEEDINFVAEAIPVTGVDKVREAKFPVDVGCQLKTVIKGRRELNSMSGNSTSNDLKTVSSMPIDGSSDSDTDSGSTSDSESEHERVERRKKRERILAERAAAKAINVIKEKENMVAKLEGEKESLEKILEERAKQQAQEASQLQSTMMETMEAVELEKQKHNNTRMEILTRLAKLETANADLARSLTAVQWNLEVEVKQVAELRQKMASKESVHEELRRSLRNPNQTGASRNQLASKGVEFEREILEAEHSFINDKVAQLQEKARKLEADIEMTRKEIEEPTEVEVELKRRLHQMTDHLIQKQAKVESLSSEKASLIFRIEAVSRLLDENMSVSGSTAMNPASSSSDLESGLWELSNSKFKPMLKARIHSGKKQLGSLLQQIDYIFVAGAVFLKRNSTAKLWALIYLVCLHLWVIYILMSHSSPSDEGRSGAVISLENINNTGV >RHN47972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43939591:43939998:-1 gene:gene42635 transcript:rna42635 gene_biotype:protein_coding transcript_biotype:protein_coding MGAILSLTFFTLFSFFRLLPLPFLLQRPVLPPLPAHPPTIVVAVISDLITSQHCINTSTLLHAHNTPPPSLQSPSPNSSPSSSSSHLTPTSPPSHRDLQIQSSISNIDVVFSDLTFMASSSCSHFQIWSLLFRRP >RHN80036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34533279:34536743:-1 gene:gene3912 transcript:rna3912 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGQKSAVNPSAMLASLLSRRAKLHEELRNIEKQVYDMETSYLQDPGQCGNVLKGFEGFLSSTKNTAFLKRSRKFQPEDRLFSLSSVTSPAAEELAAGRDDGRSDFGPGRSKGGTIYANGQGKPKKGRGAPRDAKRARASSEQDFDYEDDPDMTL >RHN73805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20030484:20031414:-1 gene:gene9673 transcript:rna9673 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGIQISGSSGFTSFTSLMGKKESIPFGRAYNFLVPQDQTPNNPMLPHCDTTMQVQNFFFSEPVCDGIFGHVAAQAFSEMVHRRNPDFNKLFARNSKPDSSLMTGESREYGNQSSSPKNDRDGTSMRYRLFLFFC >RHN38845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2415320:2416683:1 gene:gene44814 transcript:rna44814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MQGFITFALIFCFILPCLSTRSNVGTKNAIYNVMKYGARGDGKIDDSLAFVRAWNSACKAAEMSTLVIPVGKTFMVSKLSFNGPCTNKHILIQLEGKIVAPSKVHWKAQSYWITVQSVEGLTINGHGRGVLDGDGSTWWQCKSCDRPGVCIILNN >RHN53664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3202197:3205254:-1 gene:gene28595 transcript:rna28595 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLAFTLTCPSSPSFSTSASRVLPIHFNAGGRHSSLRRGLFLLSPKATSDQQAGKVEEDAVVDSKILQYCSIDQKEKKSLGELEQDFLQALQAFYYEGKATMSNEEFDNLKEELMWEGSSVVMLSSSEQKFLEASIAYVSGKPLMSDKEFDELKLKLKMEGSEIVAEGPRCSLRSRKVYSDLTVDYLKALLLKVPATVIALGLFFFLDDVTGFEINYLIAIPEPFSFILTWFAAVPFILWFAQSITNAIIKDFLILKGPCPNCGTENTSFFGTILSISSGDSTNKVKCENCGTAMLYDSTTRLITLPEGSNA >RHN71569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1064035:1069187:1 gene:gene7182 transcript:rna7182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MGCMAPTPSISSFFISPSQAHRYRHTFRFRSTNDVNLTLLPTRTQFNYPPRVQQHSTTPSPPPPEEKKSFAVATGELFLGIASRLINSRESTPVVMFENSNSNSTVMYDEKERIGAVMKDEIQSDVIWEQRVKDIEAERSRRVVTTPGFSFSAAGLLFPYHLGVAHFLIQNGYIKETTPLAGSSAGAIVCAVVASGASMEEALIATKILAEDCRKGGTAFRLGAVLRDILQKFLPDDVHIRSNGRVRVAVTQLLWRPRGLLVDQFDSKEDLINAVFTSSFIPGYLAPKPATMFRNRLCIDGGLTLFMPPTSAAQTVRVCAFPAGRMGLQGIGISPDCNPENASSPRQLLNWALEPAEDVILDRLFEFGYLDAAVWAKENPVEKLVQDDTTAPAFGNSIVQ >RHN79704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31366195:31367435:-1 gene:gene3529 transcript:rna3529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MSRSREQFHQSPNRKTTIERENIAPIFTSLQNKINQVISKMKNTHVALCFSLAFLFYAVGGAKVTFTNRCEYTVWPGTLTGDQKPQLSTTGFELGPGATIEVDLPSPWSGRFWGRTGCSNNNGKFSCATADCASGQVGCNGAGAIPPATLVEITVATNGGQDFYDVSNVDGFNVPMSVTPQGGSGDCKTSSCPGNINVVCPSELQVIGSDGSVVACKSACLALNEDKYCCRGDYNTEEKCPPTDYSMVFKNQCPGAYSYAYDDKSSTFTCFARPDYAITFCPSN >RHN81849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49326805:49329907:1 gene:gene5941 transcript:rna5941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein phosphatase inhibitor 2 (IPP-2) MKGRVRWDEVNIENIEANKPVRQKITEPKTPYHPMLEDDSSQSPGRGGYDDECDGQKTDPANAEETAFDKADSCRRKKTRQSDGWTSSEDEADAVEQSDEDASCRFKEHRRAHYDEFLQVKELRKQAALLENGSDKENNRNTVLAEEKKSDSSSPVSAK >RHN76606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51617178:51618131:1 gene:gene12956 transcript:rna12956 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQINLNHSYTKRLGDKHTRRSQSFFYKASRAVEQLFGKLHQHSASDDTSSSEADIL >RHN62530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44167428:44170100:1 gene:gene25120 transcript:rna25120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA oxidase MVLRKAIHKLSEYLSFQVRNIAKELVDAFDLPDHVTTNKGTYCQAIRSLLSVHNLWDSNKIRAPKKMNMYLQELISKFI >RHN41283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28852010:28856187:-1 gene:gene47588 transcript:rna47588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MKDGNIGETLLKKQYYENCPGCKVDKAKELKTDVSFRNLLNIWMVVLCSCKLCIEINFHFVKNHDYIVLLIYFHFVKESLMSLYLCFTALPISSLFPYQYFMIRDFNIAEREEDISAYAGYMGSAYMLGRSLTSILWGVIADRYGRKPVAILGVISVIIFNTLFGLSTSFWMAVTTRFFLGSLNGLLGPMKAYCSEIFREEKQAFGLSTLSAAWGIGLIIGPALGGYLAQPTIKYPNLFPKDSFWDKFPYFLPSISISAFAFAVAIACIWLPETLHNHPLSNESIDGAEALETGRNGKAGKDKIIQKDENLFLNWPLMSSIVVYCIFSLYNISYQEVFSLWAVSSRRLGGLNFTTGNVGDVLAISGTSLICVLSIPLLQSYPFIAMLSGITLYLVINIASLLKNLLSEQHQRGAANGIAMTGMSIFKTIGPAGGGAVLAWSQKRMNASFLPGMMFN >RHN75777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44978723:44979304:1 gene:gene12025 transcript:rna12025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rapid ALkalinization Factor MAMTKTTLVAFLSALLICTFVTQDVEAGNVISNPAMQRDTIPCSKKDPGSCNQKPTNTYQRGCETEEKCRGGPGAK >RHN67065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23401569:23401943:-1 gene:gene15202 transcript:rna15202 gene_biotype:protein_coding transcript_biotype:protein_coding MIFERMESEYGITPNVVHYNTMVSLIGRFATAKEAYCFITERMVTVKHNKVVWMTLVACCGMEGDFELGEYICKEKLPGGEGDKQLHNMYASAQRYDKAAEVRKRIEQSRNKKLRRAAFSKLVD >RHN53586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2701704:2702539:-1 gene:gene28510 transcript:rna28510 gene_biotype:protein_coding transcript_biotype:protein_coding MIWEAVVWVFWNARNDCIFNNVNARWEEVVEEVKVLTWRWMLSRSNTPACLYYEWSWCPESVS >RHN59964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15390757:15391369:-1 gene:gene22138 transcript:rna22138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MVLIILLMKYIMTPALIGNLGFHYVCFVVNLKSQKLEFLNSLIGETLHMKNGEATVYKKMFDVWLKEVEAFVTKLYKKRKITMPFQISTFKWETPRVPTQPDKDSCGGLLHEVSC >RHN67377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26548532:26551329:-1 gene:gene15559 transcript:rna15559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine permease, plant MGEAQDLQLQKMELNEAKETFSLEQNSFKDQIMNGSIMTNKKRIYYIKVAIYAALVLVGQSSATLLGRLYYEKGGKSKWMATVVQLAGFPILLPYYFFILSSKKLTTNNNIIVDPNQSSTYMLAFVYVSIGLISALICYLYSLGLMYLPVSTFTLIGSSQLGFNALFSYFLNSLKFTPFIINSLVLLTISSSLLMFQSESSNSTNVSKKMYSIGFICTLVASAGYGLILSLTQLAFKKVVKRQNFKSVMDMIIYQQMVATCITLVGLFASGEWNGIKNEMEDYELGKASYVLDLTFIAITWQVFSIGCVGLIFEVSSLFSNAISVLGMPIVPILAVVFFQDKMHGIKAISMVLAVWGFISYVYQQYLDENDVITETRNTPHISKHSSTLEEGN >RHN81418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45754335:45754908:1 gene:gene5457 transcript:rna5457 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHLYAYLWPFYTTTTTTTFVKVQIWAEIFVKIKSRFALNRYQIGD >RHN48852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50708003:50708314:-1 gene:gene43613 transcript:rna43613 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLSCSMDLQIFWEELQPFGFDLSWLEYHVQSALGMKSFLEKMKEVEKQKDNVVALEMEKERLMAKLATVNVNLYAAKDVLMLIAEDLEEIDLDAELGFVKP >RHN75108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39439446:39440609:-1 gene:gene11267 transcript:rna11267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLSGFIPVTLGNLSSLHSLSIGSNNFSGEISNLTFSKLSSLDELYLSNSNIVFRFDLDWVPPFRLHALSFVNTNQGPNFSAWIYTQKSLQDLYLSSSGISLVDRNKFSSLIESVSDELNLSNNSIAEDISNLTLNCFFLRLDHNNFKGGLPNISSMALIVDLSYNSFSGSIPHSEVLGHLSDWKQLQFMNLGENEFSGTIPINMPQYLEVVILRANQFEGTIPPQLFNLSYLFHLNLAHNKLSDLYVDALPSDTTIELFQKGQDYMYEVRPDRRTIDLSVNSLSGKVSMELFRLVQVQTLNLSHNHFTGTIPKTIGGMKNMESLDLSNNKFCGEIPRSMSHLNFLGYLNLSCNNFNGTIPNGDSTSKF >RHN78649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17178991:17183634:-1 gene:gene2282 transcript:rna2282 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSRRVSYSGPLSSTSFHKDRHYHHHHHHHHHSYPHDQQRLLEFVAQDETNNLVSPFDKLERLSLDDIRETAYEIFFTACRSSPGFGARNAHSFNSNNNHNESKPSNVVMSPTSRVKKALGLRMIKRSPSRRMTSGGNSGGPSSPIAGSPFHHTLSMLRPRRPMTSAEIMRQQMKVTEHNDNRLRKTITRILVGQALKKAETIILPLELLRHLKPTEFSDSHEYYMWQNRQLKVLELGLLMHPSVPVEKNNTFAMRLRDILRISESKPIDTSKNSDTMRTLGNSVVSLAWRGPNGTPADVCHWADGFPLNIHFYNSLLQAIFDIREETLVLDEVDELLELIKKTWSILGITRSIHNVCFAWVLFQQYVATGQVDCDLLCASHVMLGEVANDAKKEKDSFYLKLLTSILSSMQSWGEKRLLNYHEFYSRGTISQIENLLPLMLSVSKILGEDLMIFNVGEGREKGDITIVDSSGDRVDYYIRSSMKNAFDKVIEEVNAKYAELQIKGELSTILLNIAQETEDLALKERQNFSQILKKWHPSAAEVAALMLHSCYGHLLRQYLSDVTSLTSETVDVLQRAGRLEKVLVQMVVEDSLDDDDNVKTVIRDMVPYEVDSVIFNLLRKWIDESLNKGRECVQKSKETETWNPKSKSELYAHSAAEVVKLAKTTVEEFFQIPIGITEELVQDLANGLESLLQDYMMFVAACGSKQSYIPPLPALTRCNRDSKFSKLWKRAAPCATNLSELDHINGTNEGHNPKPSTSRGTQRLYIRLNTLHYLLVQIQSLEKLLSQNHCIVPSTRHSFTSNLRTQSTKSGSYFETVISSLPAACQNVSEVAAYRLIFLDSSSVFYDTLYVDDVANARIRPALRIAKQNLTLLTTLLVDRAQPLAMKEVMRASFDAFLMVLLAGGNSRVFNRSDHVMIQEDFESLNRVFCSCGEGLVSENVVEREAAVVKGVVGLMAQNTEQLMEDFSIASCEKGGIGVMNMNGQKLPMPPTTGRWHRSDPNTILRVLCHRNERAANYFLKRTFHLAKRR >RHN63404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50997325:51000580:-1 gene:gene26101 transcript:rna26101 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGLQHYFVHMKLSFYVSNCTGQWCFLHRQKKKWCFLHKGKEYVIGFFVDNWTRGNLQRKNVTRPVTCPICDK >RHN75727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44587697:44590048:1 gene:gene11967 transcript:rna11967 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIPRAKTMTFFCSYDDAFTNEDFSSVTHIDYSKDFNISKIAKTSIVPATAYNSPEVSLSQDVIATIVEKSMKTCTDNLMQFLDIHIQEVHRSVQILRVKQ >RHN61326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34822630:34823093:1 gene:gene23779 transcript:rna23779 gene_biotype:protein_coding transcript_biotype:protein_coding MYPILSEIFFSGGCMINSTVRRRTHLVQSFSVAFLYWLYYVS >RHN46977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36182306:36183012:-1 gene:gene41521 transcript:rna41521 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDLLSKLSTQHQTLTSMTLWSLWKSRNTKLWESTGTSPTFIVTRAKDVLHDKV >RHN65124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64359483:64361505:-1 gene:gene28025 transcript:rna28025 gene_biotype:protein_coding transcript_biotype:protein_coding MVQWLPLPLNRTQNGFAAGLLTLAEHLRCASRGQSAPLLSLRIVGPTSLEAPSSAVSTSSDNRLKLKRLAPALVELSSQSKPKAKSSETSLSTVDLQEEAPTLLTPTQFTALWPLASLNGSNTNLLGFEKLLSSVLGPKAKEKGSFRLLKADVSAQTFVKIGFQAEKKLKEGDGVSFEGFPKWRTKPETVRLQFEVLAKVDGDKVIPERVVQVNPVFVEDTVALSVLTNNGTMSKCLLFSLRPSPSPCN >RHN40486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16769313:16776924:1 gene:gene46642 transcript:rna46642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting protein 36, GLUE MAGNSLPPVNLTDNGRPVLLPNEIECFFLSGVDLLCEDEPNSWFPHLKSGLLILTTHRLLWLPDTAVASSSSASAVHLSSISHIFPNKKSLKSVFASRRIRFQVSPETGSRSVVVTVVVRGKGDFDAFVAKFWENWRGRAWEESEKVASSSSNAVAGAGSASSGSGIYSSDGTVRMVGVSGILRKEQEMWESTDKSLQDAFQDLNALMSKAKEMVMLAEKMRLKLLSGSNSQTNTTNDEEMGSKEEMQELLLSVGIISPVTKESAGALYHQQLSRQLADFVKVPLERSGGIINLIDIYCLFNRARGTELISPDDLLQACSLWEKFDVPIVLRKFDSGVMVIQNKSHSDEEVFTKIKALVMKPDALRAGISPSDAARTLGVAPAMAKEHLLSAESKGILCRDVSPDGFRFYINLFPEIDRDDVYFKRSGYLSLMGKSNPCSWLKWQACSIQRFDGATNLIKNVKDMESVRKFVCKAI >RHN61553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36341109:36345313:1 gene:gene24028 transcript:rna24028 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPPPSKSLTHHEWETLIENFQSNTATEKWNSLDPPLSDHLLSSLLRKDSPLQLKLQLLIFLDEFSTSIFPHTHLHRLIEALKTVIQSPPDAVHITPLFKEQFMISVTSVIVCISDSEDEIVQKVTESLVEILLTVINRPNFGSDRHTRAVACECLRELERSKPCLLSDVVGHLWSLCQNERTHSSQSYILLFTTVIRNIVDKKLSVSILNTSLPMLPFNTPQCVNREEFGLGLNTKELRRALAFLLEWPQVLTPCGMMEFVSMVIPVVVALELQPSMLRVQLFGMIHSYDPLLCHVVLAMFLRFIDAFDGQEGEVSSRLLLISREAHHYLVFRLLAIHWLLGFNQLVFSKQSRIEKKIENGNEVCSNFYPSLFDPLALKALKLDLLASCSVLRLKSDSDDDDSLVDPVKVFEQGLLSVSSFKWLPPVSTETAIAFRTFHKFLIAGSSHFDSDPSTTRNLLDSMIFRTLQVMLVNMMLESRRLVPVVAAFVDRLVSCKKHSWLGERLLQKFDAHLLPKVKMDYKLVYCFPIFHRIAENQTIPPHGLLELLTNFMIFLVEKHGPDTVMKSWSQGSRALGICRTMLVHRHSSRLFLRLSRLLAFTCLYFPDLEVRDNSRTYLRMLVCIPGKKLRDILSLGGTMLGISPSSHQTSFFNVQSPRPSQRFKTFKNLSSCIHFERLTPLLVKQFWSLSLSSLVVSSSKPAYLEGIRDLEAPIEEKEFSESSNSQVIPETGRTNQPHEPLRVMDSKVAEILNTLRKYFSCIPDYRYMAGLKVSISCSLQFESNTFNRMLGISNTATSQEEIDSLPAIYATVLHFSSSAPYGSIPSYHIPFLLGEPPSKDHASQNDSLSIVPLGKDSGVEKKNRATVVIDLEPREPTPGIVDVNIETNSENGQIIQGQLQGITAGIEDMFLKTIVPSDIQEDAIPQYNFDLFTALWEACGSSSSTGRETFQLKGGKGIAAISGTQSVKLLDVPANSLIQATERHLARFVVGVSGESLIDAVWEGGIIQNVIWEDASPFATPVTNTDTGPLRLTYNNEEYEKGGIINSRQKNLGFFLVLIFLPPRFHLLFQMEVGDVSTLVRIRTDHWPSLAYIDDYLEALYLS >RHN64710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61185218:61185568:1 gene:gene27558 transcript:rna27558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative villin/Gelsolin, ADF-H/Gelsolin-like domain-containing protein MFGFNYARTLFNAFAFFSLTIYSGLEIWRIENFNPVPIPQSSHGKFFTGDSYVILKVSHVFSMTFRSYNASLMSVFTFARNLFFCLLLLCYIEIDILRKQNLYTMLSTLLLLFLWG >RHN64023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56000167:56000412:-1 gene:gene26798 transcript:rna26798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MKHSIGRVDTDPEVKNFPFMLQILCNDIRPFFGALMMDKVWRYTTAKQFLAIYIGQLKLLAETQLKRRIRNVVFTVPVSFS >RHN46172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29364001:29366007:-1 gene:gene40616 transcript:rna40616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MFLRLVFMLFFLVTLVPSEDKSFIYNDFQSSHLYLDGIANLTSNGLLRLTNDTKQEKAHAFYPNPIVLKNTSNGSVSSFSTTFVFAIRHQGPTYSGHGIVFVLSPTKGLPNSLQGSYLGLFDDSNNGNPSNHVFGVELDTIENREFDDINDNHVGIDINDLKSANSTPAGYYDGNGQFKNISLISGYPMQVWIEYDGEKKKIDVTLAPINVVKPKQPLLSLTKDLSPILNNSMYVGFSSATGSFLTSHYILGWSFKINGQAQNLVISELPELPVLAEKTKSNFLTVGLPLILISLIFMITLGVMYYIRRKKFAEILEDWEQEYGPHRFKFKDLYFATKGFREKGLLGVGGFGRVYKGVLPSSKLEVAVKRVSHESRQGMREFVSEIVSIGRLRQRNLVQLHGYCRRKSELLLVYDYMPNGSLDKYLYNQPKVRLNWSQRFRIIKGVASGVVYLHEEWEKVVIHRDIKASNVLLDSEFNARLGDFGLSRLYDHGADHHTTHLAGTIGYLAPEHIRTGKATKFSDVFSFGAFLLEVACGRRPISNVGENESVILVDCVFECWKRGDILEAKDVNLGTNFVSEEVELVLKLGLLCSHSEPLARPCMRQVVQYLERDIPLPDLSLLSLSSSGLTFGYQEFFEDFPLSYPSSMGNTMSQTSVSIADSILSGCR >RHN39585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8432151:8458086:1 gene:gene45624 transcript:rna45624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MMDKESLTAPVKSSVDKFQLVPEFLKVRGLVKQHLDSFNYFVNTDIKKIVRANCLIKASRYPHIELRFLNVRIGQPSITRDGILELVTPQTCRLSDRTYDAPIYVDVEYTQGSPDNKIRVPKTDVLIGRLPIMLRSCCCVLSNRDEAELAKLGECPLDPGGYFVVKGNEKVILIQEQLSKNRIIIDTDKKKNITASVTSSTDKVKTKTTILMEKEKLWLELNQFPKKVPLMVVMKAMGMEHDQEVVQLIGRDPRYSFLLMPSIEECTKIGIFTQAQALDYVDSKAVKRHVPGNMQPPKERQAFNILRETFLANVPVHGYNFRPKCIYVAVMIRRIMDAILNKDAMDDKDYVGNKRLELSGQVISLLFEDLFKSMTTEIKFAVDKLLDKPDKARKFDFLTQLINRDCITPGLERTLSTGNFDVKRFKMHKSGVTQVLQRLSFISALGQMTRVQPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGESCGLVKNLALMTHVTTDEEEGPLISLCYLLGVENMEHLSGEELHTPNSFLVIFNGMILGKHRRPQRFATAMRKLRRACLIGEFVSVYVNEKQCCVYLASDGGRVCRPLVIADNGISRVKAHHMKELKDGVCAFRDFLRNGLIEYLDVNEENNALIALYEKDATPETTHIEIEPFTILGVIAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLRRMDTLLYLLVYPQRPLLTTKSIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIVMKRYAAVRQKYSNGTEDRIERPDRALNNMQILDDDGIASPGEIIRPTDIYINKKSPTETQNKVSSTNLPDSAYRSNYSTFNKCHGGEVVDKVALCNDKDSNMCIKFLTRHTRRPELGDKFSSRHGQKGVCGTIVQQEDFPFSEKGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGKFHYGSAFGEESGHADKVETISKTLVKHGFNYSGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPKALITRQPTEGRARNGGLRVGEMERDCLIAYGASMLIFERLMLSSDPYEVQVCRACGLLGYYNHKLKAGVCSSCKNGKQISTMKLPYACKLLIQELQSMNIVPRLKLEDA >RHN80585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39212881:39215731:-1 gene:gene4525 transcript:rna4525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein O-GlcNAc transferase MISVQGDHHRHHYNHHSQLVGSSDSSRLPFTGDRVEPFAVKQEPSSLTLLPLRANDSSEVDEDLHLTLAHQMYKSGSYKKALEHSNTVYERNPLRTDNLLLLGAIYYQLHDFDMCVAKNEEALRIEPHFAECYGNMANAWKEKGNIDLAIRYYLIAIELRPNFADAWSNLASAYMRKGRLTEAAQCCRQALAINPLMVDAHSNLGNLMKAQGLVQEFDTDMKLLSSKHGLR >RHN40401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15949085:15953046:-1 gene:gene46549 transcript:rna46549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MTCTRLVSFMALFLLLFVLITSISAQTPIYMYNFCNSTQKSLSTSYQSNVNNLLLWFNSDSATGKESNHTTLGNNDDAVYGSYDCRGDIADQFCRFCINSAINEISQRCPNGVSAVIWYDICIIRYSNQNYFGKVVVTPSWNITGSNSVKDSTEIGKAVDLMRSLMRKMTEANQSWATSELNWSDTEKRYGLVQCSGDLNNDGCTECLETLFDKIHLCCGTKVTWALVAPSCGIRFDDQLFYQLTENTGSSSPVPNPAKNEGASKSKTLIITLSSVLVAVALVCFCVFVRLRKGGLIFKNIPNAIHDHVQRDDSLDGDLPIIPLTVIHQSTNYFSESSKLGEGGFGPVYKGTLPDGTEIAVKRLAEASNQGLEEFKNEVIFIAKLQHRNLVKLLGCCIEENEKILVYEYMPNSSLDFHLFNEEKHKQLDWKLQLSIVNGIARGLQYLHEDSRLRVIHRDLKASNVLLDSEMNPKISDFGLARKFESGRIETKTKRVVGTYGYMAPEYAMVGVFSVKSDVYSFGVLILEIIYGKRNGEFFLSDHRQSLLLHTWRLWCEGKCLEKIHPIHKESYIESEVMKCIHIGLLCVQEDAADRPTMSTVVVMLGSDTITLPNPKPPAFSVTRVSDEEGTTSKSSKDNYVNEVPITIVSPR >RHN73709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19204490:19204705:1 gene:gene9559 transcript:rna9559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MDQGRVSNTSIILMSLLCILVFHSNMSFAAEYIVGDGKGWSFHVQNWTLGKTFKEGDILGKDLYLIKVSFT >RHN76575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51363519:51367018:1 gene:gene12923 transcript:rna12923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEQTWENWPLHSEMVDVENMQGQYCHQTSTDDEEEFLRDIILQQPVTYSGSSLSSSSEMDGSDSSGKKLHLLSTPSTPRTFILSFDKSTIIPATTTPESEEVPRTKSRSNNNKRSLEPKAKASNQTGKKSRSGSQCLDHIMAERKRRLELSQKFIALSATIPGLKKMDKTSILGEAINYVKILQERVKELEERNKRNNESTIIHKSDLCSNEHNNTSNDTNSDQDCCKSSLPDVKARVLENEVLIEIHCEKENGIEIKILNLLENLHLIVTASSVFPFGNSTLGFTIVAQMGDEYKMKVNDLVKTLQQVLLNMRS >RHN39426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7021621:7028336:-1 gene:gene45447 transcript:rna45447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MRIITLFFVYVTLLLISKPKGVVAIASIIPIPNNQYYSTCPVIFSPSTSIPAPQIKYDVFVSFRGSDIRKHFLSHVLEALSRKRIVVFSDKKLKTGDELSAIQRAIEKSFISLVIFSPNFASSYWCMEELVKIVECREKYGRILMPVFYQVEPTVVRYQNGIYRDAFAQHEQNYSSYKVLRWRSALKQSANISGFDSSQFSDDAKLVEEILQSVLMKLNQVDQGKSKGLIGIEKQISPIESMLHLESEDVRVLGIWGMPGIGKTTIAEEVFRRLRSEYETCCFMANVREESERYGTNSLRLRKKLLSTLLEDEDLKDDMINGLPPLVKKRLSRMKVLIVLDDVKDAEQLEVLVGTVDWLGPGSRIIITARDKQVLSGKVDDIYEVEPLDSAESFQLFNLHAFNKQKHLEMEYYKLSKKMVDYTAGVPLVLKALANLLRGKDKAIWESQSRNLKIEQIENVHDVFRLIYTNLDYYEKIIFLDIACFFDGLKLKLELINLLLKDRYYSVSTRLERLKDKALVTISQQSIVSMHDIIQETAREIVRQESVEEPGNRSRLLDPDDIYHVLKDDKGSEAIRSMAIRLSEIKELELSPQAFAKMSKLKFLDIYTKGSQNEGSLSLPQGLESLPNELRYLRWEYYPLEFLPSKFSAENLVILNLPYSRLKKLWHGAKDIVNLNVLILSSSALLTELPDFSKATNLAVLDLQSCVGLTSVHPSVFSLKNLEKLDLSGCSSLKSLQSNTHLSSLSYLSLYNCTALKEFSVTSENINELDLELTSIKELPSSIGLQTKLEKLYLGHTHIESLPKSIKNLTRLRHLDLHHCSELQTLPELPPSLETLDADGCVSLENVAFRSTASEQLKEKKKKVTFWNCLKLNEPSLKAIELNAQINMMNFSHKHITWDRDRDHDHNQGMYVYPGSKIPEWLEYSTTRHDYITIDLFSAPYFSKLGFIFGFVIPTISSEGSTLKFKISDGEDEGIKMYLDRPRHGIESDHVYLVYDPRCSHYLASRVNDQSKIKIQVRVASRTPTSPYVPVQLRGFGVSLVTPSQYDMFKQQLEFGDATVFPNNTCSVEEGSKFFGT >RHN74187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30021671:30029360:1 gene:gene10202 transcript:rna10202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MPVSTRSHTKNAPIPQQQPHQCLKEKLKALTLLYEQQNLKNRSFNPPKQEDNVVAKKKKKLSLVDENRIMVFVRVRPIAKKEAGSRCCVRIVNHCEVYLTEFAAQDDYLRLKRVRGRHFTFDACFSDSATQQEVYSTSTSELVESVLQGKNGTVFCYGATGAGKTYTMLGTVESPGVMVLAIKDLFSKIRTRSCDGNHVVHLSYLEVYNETVRDLISPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMALLQQGNRNRTTEPTRANETSSRSHAVLQVMVEYRVRDAAMNIVHRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGTCNTVMIANISPSNLSFGETQNTLHWADRAKEIRKKASDANEDLLPVPETEIDQVKLVLELQKENRELRMQLARKQQQLLKLQAQSLAAYSSPTPPSGSSFLSTPPTSVQPNEKRRTRPSFLTATYLTPETKNKGDEITFTVRTLYQKVKTLESEIEKMKKDHSLQIKQKDNIIRDLSQKSGKKVAAAGEVGNRVVTRSSIRPKDENIGELKSPSHRFQSPLPKVKKRSFWDITAANSPSVAALNGRKTRSHVISEPTAHPSMLLQPGFARQKGNIWK >RHN69364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42920458:42928424:1 gene:gene17820 transcript:rna17820 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SERKL3 MFVEMNLLFLLLLLLVCVCSFALPQLDLQEDALYALKLSLNASPNQLTNWNKNQVNPCTWSNVYCDQNSNVVQVSLAFMGFAGSLTPRIGALKSLTTLSLQGNNIIGDIPKEFGNLTSLVRLDLENNKLTGEIPSSLGNLKKLQFLTLSQNNLNGTIPESLGSLPNLINILIDSNELNGQIPEQLFNVPKFNFTGNKLNCGASYQHLCTSDNANQGSSHKPKVGLIVGTVVGSILILFLGSLLFFWCKGHRRDVFVDVAGEVDRRITLGQIKSFSWRELQVATDNFSEKNVLGQGGFGKVYKGVLVDGTKIAVKRLTDYESPGGDQAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPFMQNLSVASRLRELKPGESILNWDTRKRVAIGTARGLEYLHEQCDPKIIHRDVKAANILLDGDFEAVVGDFGLAKLVDVRRTNVTTQIRGTMGHIAPEYLSTGKPSEKTDVFSYGIMLLELVTGQRAIDFSRLEDEDDVLLLDHVKKLQRDKRLDAIVDSNLNKNYNIEEVEMIVQVALLCTQATPEDRPAMSEVVRMLEGEGLSERWEEWQHVEVTRRQDSERLQRRFAWGDDSIHNQDAIELSGGR >RHN62357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42892271:42892480:1 gene:gene24921 transcript:rna24921 gene_biotype:protein_coding transcript_biotype:protein_coding MPILVFSAIAMFDDNVVNCFLPPPSHEMHEFLTALPVGIGVLCSMLFVAFPPLIDVELVSHFQQINSYL >RHN66027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7743563:7749448:-1 gene:gene13937 transcript:rna13937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MYPQQQHHHQQYQAQPYNFQQQQHQPYYSSFPDPSLHPPGTDPLANSTSFAPPVSIYTSQPTDYQNWIVPQSEPIRYDLAVGSSHEASAAPASLPSVWNGTWPPQIYGNETTTMLPNQTKVTIALRCEVCKVDVNSKDSYDSHIAGKKHKKNLQIQTNPTIASHANVQTDTSSIQGQALIGPVAKQSEPKKQVDSATVCSTCNVLCTSQDAYIKHLAGRKHAAQVALMSNNGIGPSIAEFKRNGVGPFQKAAKKIKVAQSVWCEVCKINCNSRDIYITHLAGKKHLKTLEKQSNPKIDVGTSGTSTATTTAIAPNTLIGPQEKPGTDQPKPKKASELDIETKKRKVVEGGAAVDGIKMCTLCNVVCNSQTVFNFHLVGQKHAAMVKKAGSVTG >RHN48156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45459739:45461232:-1 gene:gene42843 transcript:rna42843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prenylated rab acceptor PRA1 MNSSSSSPPHYSSLPSSNSIPPNFISRATTPKPLSATRRPWEEVFALYSFTRPYSLTEATSRVKCNINHFRVNYIILILFVLFLSLLWHPISIIVFLITLIAWFFLYFFRDEPIVLFGHVVDDRASAAVLAVVTIVALGFTGVWVNVLVSIAVGVVIVVLHGAFRSTEDLYVDEHEGYDGGLLNSFVGGTPTKRGAGYTLI >RHN81857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49357507:49361802:-1 gene:gene5950 transcript:rna5950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-Xb-1 family MAFPFNILLLSYLLIFHLSYAINDQNPEKLSLLSFKGSLQNSHFLSSWHNTTSHCKWVGVTCQLGRVTALSLPSCSLRSNISSSLSTLSSLTSLTLLNLEDNQFSGELPGELGGLFQLETLSLGSNSFAGKIPPDFGFLNKLRTLDLSGNALAGDIPESFGNLTKLQFLDLSNNILSGSLPLSLFTGTVNLISIDISNNSFSGEIPPEIGNWKNLTALYVGMNKLSGTLPKEIGELTKLEVLYSPSCLIEGPLPEEMENLELLTKLDLSYNPLRCSIPKFIGKLKNLEILNLVFSELNGSVPSELGNCSNLTNVMLSFNSLSGSLPQELSMLPIKTFSAEKNLLHGPLPSWLGKWSNIDSLLLSANRFSGVIPPELGNCSVMEHLSLSSNLLTGSIPEELCNAASMSEIDLDDNNLSGTIEKAFVNCKNLTQLVLMNNQIVGSIPQYLSELPLMVLDLDNNNFSGQIPCSLWNLSTLMEFSAANNHLEGSLPVEIGNAVILQRLVLSNNRLTGTIPKEIGSLLSLSVFNLNGNMLEGNIPAELGDCISLTTLDLGNNQLNGSIPEKLVELSELQCLVLSHNNLSGTIPSKESSYFRQLTVPDLSFVQHLGVFDLSHNRLSGTIPDELGSCVVVVDLLLSNNMLSGSIPRSLSRLTNLTTLDLSGNLLSGSIPPELGDAVTLQGFYLGQNQLSGTIPGNFGKLTALVKLNLTGNMLYGPIPTSFGNMKELTHLDLSYNELSGELPSIMSGVQSLVGLYVQNNKLSGHVGELFSNSMTWRIETMNLSCNCFDGNLPWSLGNLSYLTILDLHRNLLTGEIPLDLGNLIQLVYFDVSGNQLSGKIPEKLCSLVNLNYLDFSQNRLEGPIPITGICQNLSEVRFLGNRNLCGQMLGTNCEVKSIGRYSLFNVWRLGGIAIAVILVTLIFAFVLHRWISRKQNDPEDLEDRKLNSYVDQNLYFLSSSRSKEPLSINVAMFEQPLLKLTLVDILKATENFSKTNIIGDGGFGTVYKATLPNGRTVAVKKLSEAKTQGHREFMAEMETLGKIKHQNLVGLLGYCSMGEEKLLVYEYMVNGSLDLWLRNRTGGLEILNWNKRYKIATGAAKGLAFLHHGFIPHIIHRDVKASNILLNVDFEPKVADFGLARLISACETHISTDIAGTFGYIPPEYGQSGRSTTRGDVYSFGVILLELVTGKEPTGPDFKEIEGGNLVGWVGQKIKKGQAADVLDPTVLDADSKQMMLQMLQIACVCLSDNPANRPTMFQVHKFLKGMKGD >RHN59301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9236675:9246102:1 gene:gene21306 transcript:rna21306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MKVNKGKRGTQLGRKTNKKNSEQKADVETHVTVNNDEMKNSKDDVCISAQLKWPMPPSSEEPLVDIPGITKSSAELNQNYKCKLRTFKAMKQSSTLNQGMEQSSTLNQAKNQKTMMTTIDNAGRSILKHDQRKQQSLTAGRSIQVAGSSQMQHSTSKQAKNQKTLTTTIENAVKSILMHDQRKQQSLTAGSSIQVAGSAQIQHKKQDHRPVGRSLLGGLSNSSSAQFQKDLVDHGSSKKQKTTPKIPSVSLDHLKRNKQQLEVEDDINYENDVDVGDGEDDINYENDDGENTNDPPMKVTRKSKDDVYISSQLKRPMLPSSGELLEDIPESIKWMFSKGSFSKQGMEQSSTSKQSKNQKTRMSTIDPASRSILNHDQWKQCSTSQQAKNKKTKMSAIDSAVRSILMHEQRKQRSTLNQAKIQKTTMRAIDSAVRSILMHDLRKQQSLTAGSSIHVAGSAQMQHKKQDHRPAGRSHFVGLSNSSAQFQEDLVDHGPSKRQKKLPSMSLDDYFKMNNLLLEVEGDINSESDNGDVEGDINYENDDGEKTNDNPMEGEASKKRKRGRGRTLCSRIHDRSLAEREEITLNEEGEPIGPTQKIVSEFSNFLGSVARMSDLCPLTYTNWKAIPKKKENIWAYVNKRYIVPEKGEKAVYAIINDAWRRYKCVIKRNHFTKYDNLRERMKNRPDNIPEADFRKLMNYWEIETIQEIGRQNAKITAQQKCRHRAGPISFAIIREKLRATKDDCEPPTQAEVFIETRQSKKGNQLDQVTSNTITNLQDLIVNSGQSSDEAFRTIFGKEKPGRVRCHGRVTTPSLLKRNKEIGEIKKKHAIEVKNLSDKIQEMEVRHEKMEKKHSKEMAAMEGKLQVLLRFMLNQSNSDQDRGDLAALLSTPDDDDNGLHSSTSAHGLNNHEVNHGLEEQLSDDFEDDDEEQPFDDLEDDNEEQPFDDFEDDEEQPFDDLEEDDEDQSFHDWEEDEEYSD >RHN49644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21576:28933:1 gene:gene33846 transcript:rna33846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mRNA (guanine-N(7)-)-methyltransferase MKRGYRESPSTSFGSPHSKPRHNSQGDENFLEDESTKNYARKVADHYSARSNQTLEEREASPIIHLKKLNNWIKSVLIQLYARRGDAVLDLACGKGGDLIKWDKAKIGYYVGIDIAEGSIKDCRTRYNGDADHHQRRKKFTFPARLLCGDCYEVRLDKVLAEDAPFDICSCQFALHYSWSTEARARRALANVSALLRPGGVFIGTMPDANVITKKLREAEGLTFGNSVYSVWFDKEFSDKKFKSSRPFGIKYTFHLEDAVDCPEWIVPFHVFKSLAEEYDFELVFAKNSHEFVHEYMKRSEFVDLMRRLGALGDGNQDQGTLSPDEWEAAYLYMSFVLRKRGEPDKNQVSGRKDRGLMHITEEDITYIGNDF >RHN69045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40557615:40560879:-1 gene:gene17472 transcript:rna17472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative INO80 complex, subunit Ies6 protein MEAEVVDAELVLPNYLSFKRIQMYDKYPKGQSRGRHWKHLKQIIQAENYQNYPPDEPNYVNIESPPSMHPCKRICDITGYEAPYYDPKTNLRYANTDVFKMIRSLPNESVQRYLGMRNAAVVLK >RHN65285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:856963:864597:-1 gene:gene13109 transcript:rna13109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine--tRNA ligase MASTEESLRKSLQSLLSASGSDSRDAFRQSVVNTLERRLFYIPSFKIYCGVAGFYDYGPPGCAVKSNVLSFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDYCNEKLQKDLTLSSDKAAELKHVLAMLDDFSSQELGAKIKEYGITAPETKNPLSHPYPFNLMFQTSIGPSGLAPGFMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKYAEVADLEFLMFPREEQMSGQSAKRIRLREAVSKGIVNNETLGYFIGRVYLFLMRLGIDKDRLRFRQHLANEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLHAHSEKSGVPLVAHEKFSEPKELEKLVITPIKKELGLAFKGSQKMVVEALEAMREKEALDMKAALESKGEVEFEVCTLGETVTINKSMVSIHKEKKKEHQRVFTPSVIEPSFGIGRIIYCLFEHTFYTRQSKAGDEQLNVFRFPSLVAPIKCTVFPLVQNQKFEEVAKLISKSLTAAGISHKIDITGTSIGKRYARTDELGVPFAVTVDSTTSVTIRERDSKDQVRVDVEEAASVIREVTEGQRTWEDVWSTFPHHSSTATDD >RHN49602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55882685:55882996:1 gene:gene44451 transcript:rna44451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate dehydrogenase (acetyl-transferring) MSCRSMLIMSHWHFLWAIGMSHLRATSDPQIWKKGPTFGMPGVHVDGMDVLKVRQVAKEAIGRARRGEGPTLIECETYRFRGHSLADPDELCNPGEHLHYAFL >RHN45358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17650075:17651082:-1 gene:gene39642 transcript:rna39642 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGANLINKQIFGVVPKHNIVVFVPKQVVVPVDSLVNNNEWVTLDNFDEERPIKEGGNVEVAEISAIQKRGDASTLPICNYFAPLHEDCEHPSGEEKLDENDTNKLSVNTLLESVEIMDRVSKEMVCLNPVSQSKVSDVVENVSIESAILNTKHTMTVDKTLISNEPCSKTSTLPLTRFNTESGTNSDMPSAILENVPDVITVYEEMLRPDKGKISAPSTVTNTAAACRKDEKILTKFWADALETVSDSTLDTDNNTDKYQECFPELNAEAQYLLQHSDSIKKAKRGRPKKTKSPKVPTGTKFKNKRFSEPVVDDGSDIVLTRSKTHTSTNISQ >RHN62891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46562213:46565895:-1 gene:gene25524 transcript:rna25524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MLHFSFMARFLLPRTTFYCVPVPAIFENSKSSFSSISFKTHSQNDSFSDTKQQITTLCSKGHIKKAFESFLCDIWTEPRLFSILIQSCIPTNSVSLGKQLHSLIFTSGCSSDKFISNHLLNFYSKFGELNNAVKLFDRMPRRNYMSCNIMIKAYLEMGNIENAKNLFDEMTERNVATWNAMVTGLVKFGLNEEALLLFSRMNVLGFVPDEYSFGSVLRGCAHLRALFVGEQVHAYVAKCGFEFNSVVGCSLAHMYMKAGSLCNGERIIKWMPNCNLVAWNTLMAGKAQNRCFEGVLDHYCMMKMAGYRPDKITFVSVISSCSELATLCQGKQIHAEVIKAGASSVVSVISSLVSMYSKCGSLQDSIKAFLECEERDVVLWSSMIAAYGFHGQCEKAIKLFNDMEKENLAGNEVTFLSLLYACSHCGLKDKGLDFFDMMVEKYGLKARLEHYTCVVDLLGRSGCLEEAETMIRSMPVSADAIIWKTLLSACKIHKNEEMARRVAEEVLRIDPQDPASYVLIAGIHASAKRWQNVSEVRRAMKDKMVKKEPGVSWVEVKNQVHQFHTGDKSHPKFVEINQYLEELTSEMKMQGYVPDISSVLHDMDNEEKEYNLTHHSEKLAIAFALMTIPKGEPIRVMKNLRVCSDCHVAIKYISEIKNLEIIVRDSSRFHHFKNGVCSCGDYW >RHN62633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44834777:44847389:-1 gene:gene25228 transcript:rna25228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MGRGKIVIRRIENLTSRQVTFSKRRKGLMKKAKELSILCDAQVGLILFSSTHKLYDYASSSMQSVIERYNKLVEDHHQAMDPTLELKFWQREAASLRQQLQHLNDSQRQLMGQELSGLDLNELQHLESQLEMSLKSIRTRKGLIFSDEINELLKKGSLSSQENEDLHKKIDLIGEKNAELEKVIGVRRREYATSNASHSTISYGHDMHDESISLQLRQPQPRQ >RHN47124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37302689:37303599:1 gene:gene41682 transcript:rna41682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloroplast protein import component Tic20 MASLSILHRPCIFHHTPKSSTLTLKPKPNSLSFSPLTKPSLKLKLRNGVVKASTSTPAAPERLISIAAYALPFFNSLQYGHNILTQYPKVALLFDPIIPFLSFYRSLPYASFIAFFALYLGIVRNPIFPQYVRFNAMQALTLDVLLVLPMLFQRIFSPGRYGLGFKILVWSHNVVFVFSILCFVYSALCCLLGRTPYLPFVADAAARQI >RHN40938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24730735:24735465:-1 gene:gene47186 transcript:rna47186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative malate dehydrogenase MMRPSILRSVQSAVSRSITRRGYATEPVPERKVAILGAAGGIGQPLSLLMKLNPLVSTLSLYDIAGTPGVAADVSHINSRSQVTGYAGEDELGKALEGADVVIIPAGVPRKPGMTRDDLFNINAGIVKSLATAISKYCPHALVNMISNPVNSTVPIAAEVFKKAGTYDEKRLFGVTTLDVVRAKTFYAGKANVPVAEVNVPVIGGHAGVTILPLFSQASPQANLDDDVIKALTARTQDGGTEVVTAKAGKGSATLSMAYAGAIFADACLKGLNGVPDVVECSYVQSNLIAELPFFASKVRIGKNGVEEILGLGSLSDFEKQGLENLKSELKSSIEKGIKFASQ >RHN49019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51829443:51834008:-1 gene:gene43799 transcript:rna43799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c oxidase subunit 5c MSDFCWEKSRIQVNIRPKVHILILPSETPSTNFVTKRSPTSASELTSLKTRNEMAGPRIAHATLKGPSVVKEICIGIVLGLAAGSVWKMHHWNEQRKTRTFHDLLEKGEISVIAEEE >RHN64321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58137700:58138231:1 gene:gene27125 transcript:rna27125 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQRRAGVSMKKEAPVNFLTDPLDRRSTLRPADVMVYGWVGGKHACVDLTGVSPLVG >RHN38389.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000030.1:22501:23286:1 gene:gene50798 transcript:rna50798 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNKLKCKVFLCRWRGFNYRCKPLDSLQIERERHDKERTLEEHKKLVDRVMKRDKKVGK >RHN59941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15039106:15045016:-1 gene:gene22112 transcript:rna22112 gene_biotype:protein_coding transcript_biotype:protein_coding MISDTCMSGGILDLANELYGISCDIKSLTESPSGTEVRLIADPTSLLDLPPPSGRELGICFTACQSHQTTLGGYNPTAKEYQTSFTQNSDELGDSIQW >RHN70624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52823792:52824211:1 gene:gene19228 transcript:rna19228 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRVRLKSKSAAFSKAREGGAKTKEREVAARVMDLSFESWSLRFGVMVWREGSLGVEGRELVGTRIMGFEEAMIIEAIAMREGGFGNGGGLSEFFATQFQRLE >RHN50781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10469534:10474679:1 gene:gene35109 transcript:rna35109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan 1,3-beta-glucosidase MAKSTMFLVGLVLLHYLVAMAEAKHLRYKDSKQPLNTRIKDLLDRMTLEEKIGQMVQIERNVASAEVMNNYFIGSVLSGGGSVPKPKATAKDWVDMINEFQKGALSTRLGIPMIYGIDAVHGNNNVYKATIFPHNVGLGATRDPQLVKKIGEATALESRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKVVQAMTEIIPGLQGDLPANWSNGVPYVAGNKKVAACAKHFVGDGGTTKGINEDNTVANRHELFSIHMPAYYNSIIKGVLTVMVSYSSWNGEKMHANRDLITGFLKNTLRFKGFVISDWEGIDRITSPPHANYTFSIEAGVNAGIDMIMIPHNYTEFIDGLTLLVKKNVIPMSRIDDAVKRILRVKFVMGLFENPLADYSLADQLGSQEHRELAREAVRKSLVLLKNGENVDKPILPLPKKASKILVAGSHADNLGYQCGGWTIQWQGQSGNNITTGTPILSAIKNAVDKETKVFYEENPSLDYVKSNDFSYAIVIVGETPYAETNGDSLNLTISGQGYETINNVCGVVKCVVVLITGRPIVIQPYLEKIEGLVAGWLPGSEGSGVADVLFGDYGFTGKLPRTWFKTVDQLPMNVGDSHYDPLFPFGFGLTTEAHKATSEY >RHN58319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:760103:762760:-1 gene:gene20220 transcript:rna20220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MFVGIATAIVNQKIHNSETLIGCAGATGLAVMIIILSTGHISGAHLNPAVPLYIAAQVLASICASFTLKGVFHPFMSGGVTVPSVEYGQAFALEFIISFNLMFVVTAVATDTRAVNYKQLESLFEAYLSSRVTPMGEEEKKTEEPKKKEETKPEETKDEAAVPAPPPAEILLKVFMHCESCARKVRRSLKDFPGVEEVIADCKSHTVVVKGEKAEPLKVLERVQKKSHRKVELLSPIPIAPEEEKPAEEEKAAPEEEKKDEPQIVITVLKVHMHCEACAEEIKKRILKMNVTTNMRLKLIINSKAKAWPYSTEGTVTPPLING >RHN81608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47536091:47540080:1 gene:gene5679 transcript:rna5679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sphingolipid C4-monooxygenase MVFWEGYVSDEVMGTFAPIVVYWIYAGFYHLLPSLDNYRLHTTRDEEKKNLVPFKAVVKGVLLQQLVQAIVALILLTTTSNASGVTVQPSITKQIIQIIIAMFVMDTWQYFVHRYMHQNKFLYRHIHSQHHRLVVPYAIGALYNHPIEGLLLDTVGGALSFLVSGMTARTAVIFFCFAVVKTVDDHCGLWLPGNIFHLFFQNNTAYHDIHHQLQGLKYNYSQPFFPIWDKILGTYMPFNLVKRAEGGFEARPAKD >RHN73448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16517555:16519553:1 gene:gene9262 transcript:rna9262 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLLHQRGCIVISMCNLFGHSYEPVHHSLLHCTFSKGCGVGLSLLLTFQFLLTFYLLFYLCYTF >RHN39023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3592048:3593345:1 gene:gene45008 transcript:rna45008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ER lumen protein retaining receptor MEANIHTVLDLIYLLSTLLVIWLIRFKLKSSYMKEFDNMWLSFLVVPSAILAIFIHPFTRHVWIARVLWAFTVYVEAISILPQLRYMQNAKMVETFTGYYVFALGVSRFVSLAYWIIHTYETGGKYLFLFGYGYLWMLAALLTEVIQSFILADFCYYYMKR >RHN63755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53791605:53793106:-1 gene:gene26498 transcript:rna26498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MQEIQHSIGGAGGTRFFGGGGGDRRLRPNQNNNNLNQEVMKCPRCDSINTKFCYYNNYNLSQPRHFCKNCRRYWTKGGVLRNVPVGGGCRKSKRSSKPKKSSSSDSTVLPTTPPEAPENKSNSHSSSESSSLTAAAVTETVSEPPPEKKINRGFENDSLEQGTGDCGIFSEIATFTSLITSSNETLPFGFGIGNDNRNGNVNVNGFNDASSFQWQNQKLMSVTGDQKHHEEDALKLLPENIGGGAGGGGSSGSGSLMDHGIDFSLLQSKTSNGGFGSLDWNGDGSADHQGLFDLPNTVDHGYWNHTQWSDQDHSSLFHLP >RHN59899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14547334:14552945:-1 gene:gene22067 transcript:rna22067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-methyl-2-oxobutanoate hydroxymethyltransferase MAILRSMLKATKTLHRSSSLLRNMSNLPENTVYTGPTSQNKRVTLSQLQQKHKNSQPITMVTAYDYPSAVHLDMAAIDICLVGDSASMVVHGHDTTLPITLDEMLVHCRAVARGAKTPLLVGDLPFGTYECSSNQAVDTAVRILKEGQMDAIKLEGGSPSRIVAAKAIVEAGIAVIGHVGLTPQAISVLGGFRPQGRNVASAVKVVDTALALQEAGCFAVVLECVPAPVAAAATAALQIPTIGIGAGPYCSGQVLVYHDLLGMLQHPHHAKVTPKFCKQYARVGDVINKALLEYKEDVMNGSFPDAQHSPYKISETDANGFLNELQKLGFDKAVSAASEAVQKMVTKSTK >RHN67525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27974004:27975837:1 gene:gene15721 transcript:rna15721 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial import receptor subunit TOM7 MASTISLKAKGNSSKKKVEDRSVIDSVKEWTTWGMKKTKVIAHYGFIPLIIIIGMNSDPKPQLSQLLSPV >RHN49747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:825681:830787:-1 gene:gene33955 transcript:rna33955 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAILVDLWRKNHNSAFQSSSAFSSSSSAAVAAAAAASFAAGTTFASRAFFRIPVAYCDAGATVSEDLISTIQSASEDFISTIQSASERINNYDAERFSTKTYNVQPKPLFSAFELRSLAMTSIRSFLMHYLPLLEPRAEMEDDEDTFESLTEEQPEHRVDLVVPFKKSVKQIIRETSVVTTRRFLERIAVHYVSERMAWKLLKDVPRSATRKAARNMPTYVYFFSVGRTTFRGHMLGVAASWVVQVGMELYRFFRSIFSANDEDSNVDTTKQDTTKQVQLLGQKVALTSIRCSSSLVFASIGAGIGATLVRPSLGQWIGCAAGDLAGPIIVAFCADKAFQVAL >RHN63514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51875357:51876437:1 gene:gene26218 transcript:rna26218 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQTESLHQQFSSPSFSSYSSDTLSQIAARVIHNLHTEEDTLLESSQQNDTVNNDDSEFEFNLLSTDTVSADDIFHNGQIKPTYPLFDQSLLNGVVSVSPVLPETVPRRRRLPLKKLMAVEGEEFDDVAPDTYCVWTPPCKKSSSTDSASKRWKFRDLLLRSHSDGKKESLLFMSPGGKDRSAVNGGVGEAKDGYRSKRKLGISWVIQ >RHN82108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51296536:51299505:1 gene:gene6233 transcript:rna6233 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSATGSSSNKQQGEAPAYEIKGRTMSIEEWELIIQAENPVDFASLTHHGCDLVKFYKKQKLMGYFSLLNGPIYEVLVRQFWVRASVYDKVAAKQEEAQMILVNPTLEGKTREEMGLSAFKGTEIRSSVMGIPVTINEQVIAQAMRRDASGTYDGEEIPNPRTSPWKEIVNYTIYGSKDAKSYSTLSMEKKMLLKIQNENIFPKGGGNDQPSLGHKVFLHHTITQETTMNVPKYMFKYMIKELKKSQMENRKFVPYGRLLSIIFQEGGILSVLKDVGIYDNQKLGAVTGKIINGATLVKMKLVSTCQKLDSDMHESDVISDLVSNEIHICKKDPLDVQRAYILDFYTTYNKKISLKDVPDEMYGGALPVAKSRKSKKKQITKEEYLADDATEKGAQKHQKAMKEKSAMSTIQEEVEDLDDVPLIKKRTRSTQETAEQPASEQAASEKPPSPKRKREAALQTIKRKRSNLTRNLKTAEGRREQMMEELEENWDEDSSPKKAKRTATSEPIVMPSFEMTEEMKQYAREVSASKIAEKKRMKMLFEKERDERLKAAGYVPTPDIAALSSELETVKYGATLLSQALKNKQASGATSSEPTSEAPEAVHPEAQSSGNPSNAPTNTQIPSLPSSPSSSSTESDDKPLSQHIDKLLKTKPTKLTDLGTLDWEQTQIEFSKNRIKLCEKFNLPPTHPLFPDNPEPVSVQQPQPNPEPTTNSPHNSTTQKASEVASDATTSETPQHQESSTLHNLEKHLGGEMQPTPTKASKIVPEKTVLETQTETQTIPEQTVQEQTASEQVASDQTAPDHQTIPSDHQTTDQQQPNSPTIIDLTPDQPSTSNTTQTEPSPIPDHILESEYIEEQLIRLSDEIQALILRRTVPAPPIHYYDQWMDLQKSFDELLDQLRTKCVSSHSAMLKKLLDDMHEAAKDKELNYVPLLDITPFYPEEEYITRAARIHAGHVRRMREKDELLKKKDEQIKYLLEQLYKQAQP >RHN66521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13323893:13324258:-1 gene:gene14524 transcript:rna14524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MKRKDMKGENSFVDVVKVIEKVVAEVKNEPLKDVEEWFLLINKMFMSGNVFYLQGSPKFNVYETEFGFGRPVKVEMVHPSMAVSLAESGDGEGGLEFGLVLKSEEFEYLSSLIQQGLEALK >RHN60999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32192788:32195535:-1 gene:gene23406 transcript:rna23406 gene_biotype:protein_coding transcript_biotype:protein_coding MLRELLEDLDGYRRRQGEDVWWWGLEENGWFSVNSMYKKLEGMRFEEGSLTEMRVKVFTHIWKSSAPSKVVAEAGEIYNSISIVPLLLVYLLRFPRLGVLWPLRLLGL >RHN76041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47229885:47242295:1 gene:gene12314 transcript:rna12314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Homeobox domain-containing protein MGDTNEEPSSNAAKVLHMKSTVKQLQAITSVELNKLLRESEGFTINLLTEHGSLLKVDMEKLAGSLPMHLASVLISSNRDEAMFKYVLCGVRLLHALCDLSSRNSKFEQIFLDDVKVVTQMIEMVFFMLTVLAGYRQEGHAFSHEHLLHSTLVACNLYLLTGFISTQWRDIAQVLLAHPKVDIFMDAAFGSVRVVVRCLETTLVAYNEDISMESNLTAERVVFYLCQQCEASLQLLRSLCQQKLFKEQLLRNKELCGKGGILLLAQSILKLHIQPYTSNRIAAAISRLKAKILSILLSLCEAESISYLDEVASTARSLDLSKSVALEVFDLLKKAFGRNPGHLAADRSHPMGLVQLNAMRLADIFSDDSNFRSYMILCFTEVLTAIISLSHGDFLSCWCSSNLSETEEDASIEYDISAAVGWVLHNTSPDVKDATNLEFNLTPSSMLKASYAHHRTSLFVKFFANLHCFVPNVCEEQERNLFVRKVIECLQMDLSNLLPGFSFDTDAPKVSIASKNLRSLLSHAESLIPNFLNVEDVQLLRVFFGELQSLFTSNGFGRNRVQKTQDGKCEESSWDKFSKLNINECYQGAQSAGGRPLPLTSKEQADLNKKGGKVEGMSENSANPNLEQRNTTAEDTIQGNGPSRQSQVENKGISGKTASGGARDIDKDAHKIETSCSDASSAKGKNVVVHVDNGELSKSNERLKRVGVEENPEDEKIELAQRKKRKRTIMNAEQVTMIENALLDEPDMQRNAALLQSWADKLSSDGPEVTSSQLKNWLNNRKARLARTAAKDVRPAAADVDNQVSDRQRGPTIGSHGSPVSAGQYVVLVGVQGEEIGKGTVFQTQDKWFGKNLEESATCVVDVCELRVDKGLRLPYSSEAIGTTFADAQTKFGIMRIVWDLNKVLVLRTD >RHN50672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9287607:9291631:1 gene:gene34990 transcript:rna34990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartate decarboxylase-like domain, CDC48 domain 2 MKELQIFRGDTILIKGYEKKDTICIALDHEACEVTAITMNTVVRNNLRVDIGDIVSVYQCADVEYGKRVHILPVKDTIESVTGNLLDEYLKPYFLEAYRPVREGDFFPVRGGMRTLYFKVIETDPHKYCLVAPDTKIFCLGEPVKREDDCIDEVGYDSIEKYISPYSGRTRISRLLFIASHHNNNPAMQLKLLLLAFNETKKGTDVKIMEKILNELDKFEEIDRSFLDEIKDWCDSILKNEKQVYSESENDLTFYRDLLSKLDKIKKEDTFLMKEIATCIDMHLGSAYGADEAWCVDIEKNLQTLIKGRICKGYNDIGDFYYAHGDIGSAINMYMKCRVYCTTLTETIKMRLNAILVCIELGQLRCLGTHLNRAGVDIKSHDPSVLAAVDPSLFVKLRCALALNYLYKKEYKLAAEKFIEISTNLGNQYIEVISARDVAIYGGLCALASFDSTELQACARFIVLLVNKVLDNTVFLDFLKRVPDVWELIVEFNKENCCVRPSKSLSKLKSILMLDIHLNSHVDTLYEQINQRAVSKNFELSLPELQSKINSCWTPDEEVADDDFLAGTYEELCGFYTDLGFFIEALKRSKYSPPRFSKKYYKKPKPAPVTPISRKKRSIKGRIEIAVEEIRKGMSDFTYRSAFGYHCANIGVYSQRCRIMAELCDYRGNLNGLNVIFRGDVTIFQLGDISVTLGPNGTTYSRNGNTIPKLA >RHN66986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22431805:22437931:1 gene:gene15113 transcript:rna15113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MSTTTTTESKMHDAARNRLSTLTAHLLPSSTTSSAALLHPLHLSASSGISPPSNVKGTLTVVDERTGKKYSIEVSPDGTVKANDFKKISTGKNDKGLKLYDPGYLNTAPVRSTISYIDGDEGILRYRGYPIEELAEKSTFPEVSYLILYGNLPSASQLQEWEFAISQHSALPQGVLDLIQAMPQDAHPMGVLVNALSALSVFHPDANPALRGLDIYNSKQVRDKQIVRIIGKITTIAAAINLRLGGRPPVLPSNKLSYTENFLYMLDSLGNRSYKPNPRLTRALDIIFILHAEHEMNCSTSAVRHLASSGVDVYTAIAGGVGALYGPLHGGANEAVLKMLSEIGSVDNIPEFIEGVKARKRKLSGFGHRVYKNYDPRAKVLKKLTEEVFSIVGRDPLIEVAVALEKIALSDEYFVKRKLYPNVDFYSGLIYRAMGFPPEFFTILFAIPRMAGYLAHWRESLDDPDTKIMRPQQVYVGEWLRHYAPTKERTVPSGSNTDKLGQLSVSNASKRRLAGSGI >RHN68470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35837611:35838463:-1 gene:gene16832 transcript:rna16832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MANVVEVKVGLHCDDCIKKILKAIKKIQDIETYNVDTKLNKVIVTGNVTTEQVIRVLHKIGKNASPFEDAA >RHN47254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38314859:38319665:1 gene:gene41829 transcript:rna41829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MRFLSQAWLNNNAFTGTIPNMSNSTHLFDLQLHSNGLIGLVPSSLFSLPSLTNISLDNNNLEGPIPMFHKRVKATWESNNFCRSNVGPCDPQVMVMLEIFAALGHPEFSRIKGNDVCTDGVFLRCRRGKIVSVDFRGQYLNGAISPAFSNLTSLVNLTLTNNNFTGSIPKSLTTLPQLQLLDVSRNNLSGQIPKFSSKVKLITRGNAFLGLNVSRQGEGEKAAASRNGGPSKTKVLIPLWIVDLGYVWFQRNYKERKRKERKVEEYSLFHGLVCQQ >RHN62262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42123670:42135337:1 gene:gene24817 transcript:rna24817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Phox domain, sorting nexin MKKKPMESVNDLIQEFKLRTLWWALSIFAVSYFLTHTSKSMWMNVPMSILFVCALRIIVNNVEFRWKVKQPRSHTYLSHLEKKQLSLNDPRLSSVPPPVKWKRKIDSPVVEDAMADFIDKILKDFVVDLWYSEITPDREFPDQIRAIIMDVLAEISARVKEINLVDLLTRDLVDLVGDHLELFRRNQAAIGVDVMKTLSTEERDDRLKFHLLNSKELHPALRSPESEYKVLQRLMSALLATVLRQREAQCPVIRSISRELLTCLVMQPVMNLASPGFINELIETLLLLLNDNDSKKWMGGDQSTNVASHHHGNSVANSGGHDNLTASSKHPPLYQGTEMTLAKMSDRGETSLQNNSVHQKSSQPKPADWAQMLEVATQRRTEILMPENLENMWAKGRNYKRKENKIVKTGSQDLHTKSSATDRSLLNRKMNQETLVSKHGKHEAAEVKSSLPSTHALTSDPLQSVASTNISESSQYPDKTSSFEGELRVDKMKGTKDLASDGYKSSLKRSSSASALAIHLNQEGSSIISEFFNPEFERREGFRGKSSSDMIVRKEGQLAPKLRCRVVGAYFEKIASTSFAVYSIAVTDAQNRTWFVKRRYRNFERLHRHLKDIPNYTLHLPPKRIFSSNTDDAFVHQRCVQLDKYLQDLLSIANVAEQHEVWDFFSVSSKNYSFGKSSSVMKTLAVNVDDAVDDIVRQFKGVSDGLRRKVGGSPSLLNEGPSTSLYLPWNADELDKSTTQQSATTSVLSSDTEDGDRNSNLGHDNFDREEVQDNALILKGYPSLVTDYTDESSNLAIDRQRDLSEEARISNDVPTTNSILTRDNLEDPVGVPPEWSPPNVSVPLLNLVDKIFQLKKRGWIRRQVFWMSKQILQLVMEDAIDDWLLMQIHWLRKEDTVAQGIRWLQDVLWPGGMFFTRVRLPQITNGGSDEKPSQTISGSGGRNITKHESGSFEEQLEAARRESDIKKLLFDGAPTTLVSLIGHNQYRRCARDIYYFSQSPVCMKQLAYAILELLLVSIFPEMRNVVLSVHENMNVHQAP >RHN70923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55102880:55103491:1 gene:gene19558 transcript:rna19558 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein 6 MSSVSSIFGCGVSIAPNSLRKKAIGIERRNVCGGLLIECSSRPQKKSTAHHMKTRPRKSSLSDRNRKPTEYAPLPPLPPDFTIVIPADASSTATVVPPPPTPST >RHN48526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48204281:48207575:-1 gene:gene43253 transcript:rna43253 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIGCSSNAHQNDSSKGSNDARKNNLTGKEAFVNHAEIAWNQKRKEWVGDQSNKAQRPPRVSTICLTGNPNDMLFSNESFRPPIPLAEMVGYFVKIWKHEGLYEIANR >RHN65734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4829204:4829717:-1 gene:gene13613 transcript:rna13613 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKTSAANTTMFSDFSQNLCVVLCVFCKGRFKYFLHLSIFVAFIIMISLHIGEK >RHN58754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4493973:4497346:-1 gene:gene20696 transcript:rna20696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKSRYDEIVKEVSSYMKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGTMKPGMVVTFAPTGLQTEVKSVEMHHESLTEALPGDNVGFNVKNVSVKDLKRGYVASNTKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKEIEKEPKFLKNGDAGIIKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKGVEKKEPGTAKITKSAAKKK >RHN42857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41480539:41481873:1 gene:gene49354 transcript:rna49354 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQSTNAAKVVELHLLHPLIFYCYSHHQHQRFYYFFFSSKSLRSLILHHPPPQEWARAWA >RHN41199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28086280:28087658:1 gene:gene47492 transcript:rna47492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MAKSSSSSSTKPKQTHEVFLSFRGEDTRKTFTSHLNSALRRLDIKTYIDDNLERGDEISQALLKAIDEAKLSVIVFSKNYATSKWCLDEVVKILECRKNKGQIILPVFYEVDPFHVRHQLGSYAEAFVKHEQRFASTMNIVQKWRDALGEAANHSGWDCSINRTEAELVEEIAMDVLQKLNRVYVGDLDHQITKLEQLAQLQLQYYKSIDTYENQVSHEATVQRITELKMKRSIRMLRLTREMLSYMEDSEAYEKLF >RHN58782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4850790:4851499:1 gene:gene20726 transcript:rna20726 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPFASFNSDKKTTTMHCPFAPDPEPKRGGVSNFIDTTKHSALVVITKGVATKINQLINTSTIHHPSEGGTHEPRLIKIEDYSITKFTKQRSTYHDGSSLVPIDHSWETSYGKSK >RHN75029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38846712:38851427:1 gene:gene11177 transcript:rna11177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exoribonuclease II MKKSNQNQMNPNWVQLKQKLNLNGPKASRNFNDDDAPNSILGKRKGRIDDDDSDDCEINPLAPVNDDASVTDAVAMDCEMVGVGQGNKSALGRVTLVNKWGNVIYDEFVRPMERVVDFRTKISGIRPRDLRKAKDFCTAQKKVAELIHGRILVGHALSNDLKALLLSHPKKDIRDTSEYPPFLRSTGRVALRHLASEHLGAKIQTGEHCPIDDARAAMMLYQKNRKEWEKSIKGNFRKQKKRKKNKDAKE >RHN54437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9580269:9591823:1 gene:gene29476 transcript:rna29476 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylinositol-3,4-bisphosphate 4-phosphatase MMERADSVQKLYTRMRLWEFPDQYVIEPTDGSSGSSLAVSRVDGSMKLIDEVPECTTVRVPKIYTIFGVVGILRLLAGSYLMVITERECAGSYLGHPIFKISSMKVFPCDHSLKSTPAEQKKAELEFSGLLNVAEKTTGLFFSYETNLTLSAQRLNDLGDESRLLPLWRQAEPRFLWNNYMLEVLIDNKLDPYLLPVVQGSFHYFQAAIGKDIIDVTLIARRCTRRNGTRMWRRGADPDGYVANFVETEQLMQFNGYTASFVQIRGSIPLLWQQIVDLTYKPKFELLKLEEAPRVLERHILDLRKKYGAVLAVDLVNKHGGEGRLCEKFGSTMQHVASDDVRYVHFDFHHICGHVHFERLSMLYDQISDFLERNGYLLLNEKGEKMKEQLGVVRTNCIDCLDRTNVTQSMIGRNMLEYQLRRLGVFGAEETISSHSNLDERFKILWANHGDDISIQYSGTPALKGDFVRFGHRTVQGIINDGCNALQRYYLNNFVDGTKQDAIDLLQGHYIVSVGRDTAASSQKGGLESIASFPLALGLVFTGFLFATMSLRQVRYDFRHFFFSLMWASISVGLAAFVRANGRVFCNRPRLHNPPR >RHN39921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11714960:11720543:-1 gene:gene46005 transcript:rna46005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MERRNLLVKLLETCCSKYASIHHAHKLFQETPHKTVYLWNALLRSYCFEGEWVETLSLFCQMKNVCSVSIEEKPDNYSVSIALKSCAGLRKLLLGKMIHGFLKKVRIDNDMFVGSALIDLYTKCGQMNDAVEVFMEYPKPDVVLWTSIVSGYEQSGSPELALAFFSRMVVSEKVSPDPVTLVSVASACAQLSNFKLGRSVHGFVKRKGLDNKLCLANSLLHLYGKTGSIKNASNLFREMSDKDIISWSTMIASYADNGAETDALDLFSEIVLRACACISNLEEGMKIHELAVNYGFEMETTVSTALMDMYMKCFSPEKAVDIFNRMPKKDVIAWAVLFSGYADNGMVHESMWVFRNMLSSGTRPDAIALVKILTTVSELGILQQAVCFHAFVIKNGFENNQFIGASLIEVYAKCSSIEDANKVFKGMTYKDVVTWSSIIAAYGFHGQGEEALKFFYQMANHSDTKPNNVTFISILSACSHSGLIKEGINMFDIMVNKYKLKPNSEHYAIMVDLLGRMGELDMALDLINNMPMQAGPDIWGALLGACRIHQNIKMGEVAAKNLFSLDANHAGYYILLSNIYCGDENWHSATKLRRLVKEKRLNKIVGQSVVELKNEVRSFVAGDRIHDESDHIYEILTKLHAKMREEAFDPQVQIEEML >RHN46877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35517936:35520956:1 gene:gene41412 transcript:rna41412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S21e MVLRVYGIIYTYILFCECDSEETLKMQNEEGQITELYIPRKCSATNRLITAKDHASVQINVGHLDESGVYNGQFSTFALCGFTRAQGDADSGIDRLWQKKKAEIKQ >RHN57120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36639557:36648974:-1 gene:gene32620 transcript:rna32620 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDRGYNNSFRATEPKMQSALWQRNHQLENFRSSSFRDDELALFHQMKNCEIENDDLLLRDSTEEFVDSPFDLNPKDSHLFNISSSTEAPVRRTGAADDFLNSETDKNDYEWLLTPPGTPLFPSLEMETKKTVMSRLGASTTRPTELKSRLANPPSEHSRRSNLVSKQQASFPGLTSSGGTRRPLSSGNPGSRPATPTGRPTLSTSSKSSRPSTPTSRTSIASARTMVTTPTSRTSIPSSRTMVTTPPSRTSIPSNRTTAAAAKPVVSSTKTTIPVSKTTTVPAAKPTPTVPSRSSTPLLRSTTRSSTPTSRPTLSTSRPASRASTPTRRPSTPLNAPSISAPSVKTSSISKPAPAVSKQPVPSRGTSPARSRPWKPSEMPGFSLDAPPNLRTTLPERPVSATRGRPGAGAPSARSSSIEPASSVRPKRQSCSPSRGRPANGISHTSGSSMPAVNRGYSKVNDKVSPVAMGNKMVERLINMRKLAPPRPDVKSSPHSNLSGKSSSSPDNSGFGRTLSKKSLDMALRHMDIRKSVPGNLRPLMTNIPASSMYSVRSGHQRSLMVSVSGSPHATSSNAGSEVSVNQNGLYSDNSEIDYYDMVSDRGGQSPASVRGRYSYRPSY >RHN77739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9103305:9103562:1 gene:gene1226 transcript:rna1226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isopenicillin N synthase MAKIIPSYAPSHEALENLSVTYLASDEEIPTIDYSLLLSDGTNQRSIALELLGQACEEYGFFYVYMVSSIYGVCIYGPLKIRSSI >RHN74378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32344596:32345315:1 gene:gene10434 transcript:rna10434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQKKKNMAQMHLFVYIFIIILSLFIAVTNALIFCFEDINCPFDKCFPQLPKCINSFCECV >RHN65377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1590141:1590784:1 gene:gene13209 transcript:rna13209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTKIIKFVHVMILFILLFFVVTNVNGKWPSCKEAIDCGINFCIRPFKAKCMMFTCFCVQNP >RHN38725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1521058:1526574:-1 gene:gene44689 transcript:rna44689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoporin protein Ndc1-Nup MSPKPREVVVRLRNKLFLTFLIWQLIPSTLIFLLYFSIIPNTSFSSFPLFLFSQFIFSVSISLIPSPFGPRKINLSLAFLLFVPIFAFSWSVAALCLFGRVGFRGFLVGAYYGVIYVDNRRWLWVLEFPFIQHHPLLTFKRRIPFAAKYAYKLSIVGFFSSAILSVMIPDPDPFIKCITATREFVAEQIVLFVATFAIFFCWELTHTLHRVLHTKRFVFAPPKGSAAAEKNPSELLLSVLQRSNPTSLLRYHAYLDLCMVSENNVDAWRRAAIFEKTGQTYKLVIAVCLRPLEQLASRLRKDLGNSAGKPTNLSNQLSSPTDVKHIEELDNFQSYAWCSRIVASLTARSCKEDKFGFARLSGSNTAVVSTLISCLLAVENFMGKKTNLQSPNQLGSAVKRENGPVNSKAYAIADVLKTSIYQIVSVFHDEMLSDLKSRNLEKDWITSNTPRFGTRRMLIQKLHLFLAFQAT >RHN46154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29253595:29255287:-1 gene:gene40597 transcript:rna40597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MSRTSTLLYYLVAFCSIILIDGAQLILVNNCGESVWPGILGGSGQQTPKDGGFHLGSGDEVVLDVQEKWSGRIWGRQGCTFDNDGNGHCLTGDCFGKLQCAGLGGVPPATVVEMTLGSSSSPLHFYDVSLVDGFNLPVSMKPVGGGIGCGIASCEVDLNVCCPSALEVKRNGKVVGCKSACLAMQSAKYCCTGDYSDPKTCKPTLFANLFKAICPKAYSYAYDDTSSLNRCRAPRYVITFCPPPQ >RHN41250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28633941:28636985:1 gene:gene47552 transcript:rna47552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative unspecific monooxygenase MESEYQWLSSENMMKKLWWTILLIVISSVITLLEKVLLTPRRIRLMLEKQGINGPKPSFLFGNISELRQIHLQSPASADSFNEEWIGSLFPHFHTWKQHYGEMYLYSTGLKQHLYVEKPELIKDISLHRSLDLGRPTYIKKQLLPMFGDGVFITNGQLWNFQRNLVVPEFFITKIKNMIDIMEGSTLEIIKQWDNCIAKNKGIAEIVIETDLKILFEDIISRACFGSDYAQGRQIFAKLAAMQAAFAKPSLMFGFINLSFLPTKENKEIRRLKKEVDMLIMNIIHDRKMQNQKNDTNEKQSDLLQKILEGVASDTTLKASENGIFKFENKIKSNQLIIDLCKNIYFAGSESTAFAVTWALLLLAIHPEWQQRVRAEIFDTFDNNSPHLFHDTNKLQKLKVLTMVIQESLRLYGPAIVVSREVLAEMKLGEFMLPKGIYMWLFLPSLHRDADNWGPDATKFNPERFANGVSASCKYPQAYIPFGLGSRHCLGQNFSITEMKVVLSLLLYNFSFDVSPNYRHCPVYKMVLMPKYGVRLLVSKVQNTEK >RHN61135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33348398:33356088:1 gene:gene23568 transcript:rna23568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDSEDDMHDAHDMESLEDDDFYSGDTEEGAMDYYSDYDDDAGDYFDDADKIESRRPEQNFTILKESDIRVRQEDDIDRVAAVLSVSRVAASILLRHHNWSVSKVHDAWFADEERVRKAVGLLEKPVVQHPNTSELTCGICFENYPRSGIGMASCGHPYCFSCWEGYISTSINDGPGCLMLRCPEPTCGAAIGQDMIDLLACNEDKEKYARYLRRSYIEDNKKSKWCPAPGCEYAVTFDAGGGNYDVSCLCSYSFCWNCTEEAHRPVDCGTVVKWIMKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYEAAKQEGVYDDTERRREMAKNSLERYTHYYERWASNQSSRQKALADLEQMQNVHIEKLSDTQCQPESQLKFITEAWLQIVECRRVLKWTYAYGYYLPEHEGAKKQFFEYLQGEAESGLERLHQCAEKELQQFLTAEGQSKEFNDFRTKLAGLTSVTKNYFENLVRALENGLSDVDANGASFSKATSSKNAAGSSKGRAGRGKGALRTNRTSDDTHWSCEHCTSVNVKSATTCQICNQRR >RHN62371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42987337:42988263:1 gene:gene24939 transcript:rna24939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MNNLLSPSTLQPFTICTTLLFLFVLFWLLRSRRINTSVAPEAGGAWPLIGHLHLLGGSQPPHVTLGKLADKYGPIFTFRFGVHKTLVISSCEMAKQCFTVNDRAFASRPKSIAFEILGYNFSMIGFSPYGSYWRTVRKISTIHVLSATRIDMLKHVMETEVKNAMKDSHSSEKVVTEMKKWFGDIAINVMFRTVVGERFDGEGEKNKQIRKAFRELFHLCGSFVISDSLPFLRWLDLDGKEKEMKKTAKELDEFVQGWIDQHKRSRVPGNERDFMDVIISAVDDQEIDGRDAGTTIKTTCLVCQSLYP >RHN67075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23561128:23562652:-1 gene:gene15215 transcript:rna15215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MRSKLLDWTKRLEIINGIARGLLYLHQDSTLRIIHRDLKTSNILLDIDMIPKISDFGLARSFMGDQAEANTNRVMGTYGYMPPEYAVHGSFSIKSDVFSFGVVVLEIISGRKNRGFCDPLHHLNLLGYAWRLWIEERAKELIADISYDEAICSDIIRFIHVGLLCVQQKAENRPNMSSVVFMLKGEKLLPTPSEPGFYAGRDNNTIRTASSSKVCSINEASVSLLEAR >RHN44191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2328926:2331914:-1 gene:gene38281 transcript:rna38281 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MVFVRSLVLLLKFLFLYSLFTFTFTTCFPQIQPKCHQYESHALLQFKEGFVINNLASDNLLGYPKTASWNSSTDCCSWDGIKCHEHTNQVIHIDLSSSQLYGKMDANSSLFRLVHLRVLDLSDNDFNYSPIPSKIGQLSQLKHLNLSLSLFSGEIPPHVSQLSKLLSLDLGYRAIVHPKGSTSNLLQLKLSSLRSIIQNSTKIEILFLSFVTISSTLPETLTNLTSLKALSLYNSELYGAFPVGVFHLPNLELLDLRYNPNLNGSLPEFQSSSLTRLGLDQTGFYGTLPVSIGKLSSLILLSISDCHFFGYIPSSLGNLTQLMDIDLSKNKFRGNPSASLANLTQLRLLDISHNEFTIETFSWVGKLSSLISLEISSVNIGSEIPLSFANLTQLVLLSAENSNIKGEIPSWIMNLTNLVVLDLPFNSLHGKLELDTFLKLKKLAVLNLSFNKLSLYSGKRSSHMTDSRIQSLELDSCNLVEIPTFIRDLGELEYLALALNNITSLPNWLWEKESLQGLVVNQNSLTGEITPLICNLKSLTYLDLAFNNLSGNVPSCLGNFSQSLQTLALKGNKLSGPIPQTYMIGNSLQRIDFSNNILQGQLPRALVNSRSLEFFDVSYNNINDSFPLWMKDLPELKVLSLSNNEFHGDIRCSDNMTCTFPKLHIIDLSHNEFSGSFPSEMIQGWKTMKTTNTSQLQYESYSTSNSAGQIRTTQSTFYTFTLSNKGFSRVYENLQNFYSLIAIDISSNKISGEIPQVIGELKGLVLLNLSNNMLIGSIPSSLGKLSKLEALDLSLNSLSGKIPKQLAEITFLEYLNVSFNNLTGPIPQNNQFSTFKDDSFEGNQGLCGDQLVKKCIDHAGPSTFDDDDDDDSESFFELYWTVVLIGYGGGLVAGVALGNTYFPQVFEWCRDYLGVSFTLFLNKIFKRH >RHN58075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43547278:43551353:1 gene:gene33682 transcript:rna33682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative COX15/CtaA family protein MFGRGAVGSFLKRSKEAFFIQKLVGRNTATVPFSHPAIEQQSFKFFNPSVVSTHFFRNFRSHPIPKGHHVPFTRNFSTVVSAGAKHEELKLLVTGGSRAQKAVGIWLFGSAAWVFSMVVLGGLTRLTRSGLSMTDWKFTGEFPPLTDEAWLQEFEKYQQSPEYKRVNKGMKIEEFKFIYWMEYGHRMWGRALGIMFVLPYSYFLHKGYITLRLGLRLSVLFGLGAGQGLIGWWMVKSGLEEPPTEYSQPRVSPYRLAAHLTSAFAIYCGLFWTALSVVMPEPPAESLTWVRGAAKVKRLALPVSLLVGLTAVSGAFVAGNDAGHAFNTFPKMGDTWIPEDILEMKPLIRNFFENTSTVQLNHRILATATLISVSALWLSTRKLDIHPAVRSVIGGVFGMASLQVALGISTLLSYVPVSLGTAHQAGALTLLMFMLLLNHTVRRPSLSLLKSLPQVVKAN >RHN81023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42687345:42687751:1 gene:gene5013 transcript:rna5013 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRFNKSLLVILSFSSLLLSSNAVPSTHISTSVPAPAPSIHYVDYILPKRKPNAGIGLFENSVPLKKQNSASVSPDDSDFQFEDPNLLGSIAADVAKNADPEIVKLCVNGENPALCT >RHN70047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48304976:48306933:-1 gene:gene18587 transcript:rna18587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MIRVYKLHATLIKTGQHQNPHSLRPFFLTCSNYPAAARYAATVLLRLPTPPDPFSYNTIIKHVSPTGAISLFSHMHRNSVPFDHFTFPLILKHHHHHLLHSLIFKLGFDTNIFVQNALINAYGSRGSLDVAVKLFDEMRRRDIVSWSTLISCLVKNNLPAEALSVFQQMQMGHRDIRNWLDRAIMLSVISAVSSLGVIELGIWVHSFIVRMGIVMTVPLGTALINMYSRCGLIDRSVKVFDEMPERNVVTWTALINGLAVHGRSREALKVFYEMKESGLKPDGALFIGVLVACSHGGLVEDGWRVFESMRDEFGIKPMLEHYGCMVDLLGRAGLILEAFDFVEEMPLKPNSVIWRTLLGACVNHNHLGLAEKARERIIELDPYHDGDYVLLSNAYGRVGNWGGKAGLRNSMKQNRIVKEPGLSFVHIDQVVHEFVSGDHVHPQWEEITKFLASIIDTVKLGGYTPNTSSVLHDIQDEEKEHCLGYHSEKLAVAFVLLYHRDRRTIRVIKNLRICYDCHDFMKHASGIFDRDIIIRDRNRFHHFSKGLCSCQDFW >RHN54726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11650138:11654056:-1 gene:gene29804 transcript:rna29804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADSSVSFLLDKLSWLLQEEVILQKGVREDVQYIKDELKRHNAILTRDDALEDKDPELKVWVKRVRDIAQDMEDAIDEYNLRLVDHRQGNNKNSSFHKVAFDIKTMKPRHRIASDIKKIKSKVEAITQGRPMISGVGSSSSQRRSSRLDSQGDALLLDEADLVGIDQPKKQLCDLLFNDEPKREVISIYGMGGLGKTTLAKQVYDDPKVKKHFRIHAWVNLSQSIKMEEILKDLVQKLHNVFGKPAPESIGTMNNDDLKKFIQNFLQRSQKYLIVLDDVWHVKVWDGLNHAFPNNNRGSRVMLTTRKRDIALYSCAGLGKDFHLEFLPEKEAWSLFCRKTFQDNSCPPHLEEVCRNILKLCGGLPLAIVAISGALATKERSNIEEWQIVCRSFGSEIEGNDKLEDMNKVISLSLNELPSYLKSCLMYLTIFPEFHAMETWRLIRLWIAEGFVNGEDGKTLEEVADSYLKELLDRSLIQVVEKTSDGRMKTCRMHGLLREIVNSKSRDQNFATIVKEQDMVWPERVRRLSVINPSHNVLQQNTTTFHLRSLLMFGLSDLLNQFSLHELCSSNGVQFLNVLDLQDAPLDDFPAEIVNLYLLKYLSLKNTKVKNIPGSIKRLQNLETLDLKHTSVTELPVEIAELKRLRHLLVYRYEIESYAKFHSRHGFKVAAPIGNMLSLQKLCFIEVDQGSAALMVELGKLTQLRRLGIRKMRKEDGAALCSSIEKMIHLRSLSIFAIEEDEVIDIHDISNPPRYLQQLYLSGRLEKFPQWINSCKNLARVFLKWSKLEEDPLVYLQGLPNLRHLELLQVYVGEMLHFNAKGFPSLKVLGLDYLEGLKYMTIEEGAMQGLKKLVMQRCSSFKNVPVGIEHLAKLKAIEFFDMPDELIMDLRPNGGKDFWRVQNVPTVYSTYWRNDGWDVYSLETFGDIESDVDHRIAMRTLQLPTLWKA >RHN56134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28063432:28069860:1 gene:gene31485 transcript:rna31485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deoxyribodipyrimidine photo-lyase MSSSGGGCSIVWFRRDLRVEDNPALAAGVRAGAVVGVFIWAPEEEGQYYPGRVSRWWLKNSLAHLDSSLRNLGTPLVTKRSTDSVSSLLEVVKSTGATQIFFNHLYDPLSLVRDHRAKEILTAQGITVRSFNSDLLYEPWDVNDENGQPFTTFDSFWERCLSMPYDPQAPLLPPKRIIPGDVSKCPSDTLVFEDDLEKASNALLARAWSPGWSNANKALTTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVKKVFHLVRIKQVFWANEGNEAGEESVNLFLKSIGLREYSRYISFNHPYSHERPLLGHLKFFPWVVNEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDGREFDRIDNPQFEGYKCDPNGEYVRRWLPELARLPTEWIHHPWNAPESVLQAAGIELGSNYPLPIVEIDAATVRLEEALIQMWQLEAASRAAAENGTEEGLGDSAESTPIAFPQDIQMEERHEPIRNNAPHGTRRYQDQMVPSMTSSRVRVEEEETSSVRNSAGDSRAEVPTNANAREAMNQGALQNGNRNTRQRHNPTTTFWLRNAAEDSTAESSSSTRRERDGGVVPEWSPQASNFSDQYVDDENGIGATSPYLQRHPQSHQLMSWTRLPQTG >RHN77896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10290816:10294692:-1 gene:gene1400 transcript:rna1400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MGRGKIEIKRIENSSNRQVTYSKRKSGILKKAKEINVLCDAQVSTIIIAPSGKMHEYISPSTTLIDMLERYHKASGKRLWDAKHENLKNEIEKLKKENEDMQIQLRHLKGKDINTLNYKKLMSLEDVLENGLLTVRHKQMEVYKMVKRNDKILKEENRELNFILQQQQGYGSGRWEINGL >RHN66224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9879867:9882704:-1 gene:gene14167 transcript:rna14167 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADWSQLPKDLLTLISQRIDTEIDLIRFRSICSNWRSSSIPNHHNILPFKFPLLKCEDNSIKYNDNEIIDSINNTDSLFCYLSKRSIFLVKPPPSQLEEKQTLIPRRPWLIRFTQNSHGKTKLFHPLIKYPRPQQEETLAHPRVFDFNKLSVLHLGTDFILDKVNFTSRNRHGIYMLPKTVLAVTCHGKDPLILGSLGYCSYRPLLFRDRDEHWKPIPKMSSLYGDTCVFKGQLYAVHQSGQTVTIGPDSSVELAAQPLGHDSPGWNKMLVESEGRLLLLGIEESSNYFSIDFFELDEKKKKWVRLMDFDEKEKKWVKLRNFGDRVFFIGRGCSFSASASDLCIQKGNCAIFIDESVLHNNNMVRGKRVFHLDQDRLSRGSKYLNLFLPPEWILKI >RHN63547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52111308:52117523:1 gene:gene26259 transcript:rna26259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 16S rRNA (guanine(527)-N(7))-methyltransferase MVLLFNNLQFSRWTFFKHLTPRKYTTLCQNNSTSNLSHFLTLTSRQKDQIHLYVDTLLQWNKRMNLTAVKEVNEVMERHIEDSLAILPPLSDNYMSHSSKSIDKVKLVDVGTGAGLPGVVLAIARPDWDVTLMESMNKRCVFLEHVVGVIGSSNLQIVRGRAESLGQNLCYREKFDIAVARAVAETRVLAEYCLPLVRVGGMFIAAKGHDPEDEVKKAENAIKKMGASLLQVCSVESQSPYGQRTAVICLKDRPTPMKYPRFPGTPSKEPL >RHN65529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2933526:2937826:1 gene:gene13376 transcript:rna13376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEGIEGERLVSLSTSAKILLDKFSSSEFVNIFRHAKLDVSLLINMKTTLLRVLILLNDDAAAADIKDMLGYAVFEVHNLFNQINIEAFSCKDGAYYQLINPNYQVLKNLSARFKWFNGVINSKLQKIIELLELLSSGDGQKGALVVSNPSHVLADESCIYGRDSDKNKLKHLLLSSDSEIRIISIAGMAGIGKTTVAKLIYNDSQVRDKFELIVWVRLLRYVDDSSVFETILESITSQKTSNVNLNTKRNDTSKVNTMYPNFLLVLDDVWDARSVNWILLMDIFNVVETGSRIIITTRDERVPISMQTFFYVHYMRHLETEDCWSLVARHAFGALDNQKRSDLEEIGREIAKKCDGLPLAAISVGALLHTELSVDNWKYVLGVNIGELIDYEVQAGLQLSYFYLSTPLKRCFAYCSIFPKESILEKKRVVQLWIAEGLVESSTSQASSEKVGEEYFDILVSRSLIQRRSIDGEEARFEMNNFTHDLASRVSYPYCIRFDKQILHERVHTLSYNRERYESFNKFDKLFGFKDLRTFLALPLQGQFPLCLLANKVVHDLLPTMKQLRVLSLSNYKSITEVPNSIGNLLYLRYLNLSHTEIERLPSETCQLYNLQFLLLSGCKRFIELPEDMGKLVNLCHLDVSDTALREMPVQIAKLQNLHTLSDFVVSKHNGGLKVAELGKFPHLNGKISISQLQNVKDPSEAFQANLKMKERIHDLTLEWDCGSTFSESEVQCVVLEHLQPSTNLKSLTIKGYGGISFPNWLGDFSFSNMVYLRISNCDNCLWLPPLGQLSNLKELIIEEMQSVQAIGTEFYGSDVSSFQPFPSLEILPFEDVQEWEERNLIGGTNTKFLSLKTLSLSRCPKLSVGNIIDKFPSLSKMELRECPLLVQPIPSSNHVFNQLMFPLNSLRQLTIEGFPSLTSLPTDGLRKTLKFLIISNCENLEFLPDEDLHNYTSLEELKISYSCNSMISFTLGALPVLKSLFIEGCKNLKYTLIGEGASEKSLSFLRSIKIWDCKELESFSLSGLPTPNLVYIAIWKCNKLHSLPSGMNTLTGLQEMEIDNLPNLKYFVIDDLPISLQELTVGSVGGIMWKYTKPTWKHLTCLSVLRINGDDTVNTLMVPLLPASLVMLCIRGFNGTRIDGKWLQHLTSLQKLEIVNAPKLNSLPKKGLPTSLSVLIMDRCPLLEASVRRKQGKKWRNIAHVLSIVIDGELIT >RHN72819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10986212:10988927:1 gene:gene8580 transcript:rna8580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S27e MVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGKARLTEGCSFRKKGD >RHN39818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10803449:10804273:-1 gene:gene45888 transcript:rna45888 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAQWTSCVPPRTRVLQFKSRLLNLSLIAFHILHHVSKRRLRCYQLCKSDLASYSRYHHTLPYFHIFFSPQSYIDLNVKVLISFTDLFPLNHHNKEELPPHRKYLFATHCCLLCEHLPC >RHN76989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2973338:2974658:1 gene:gene391 transcript:rna391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M48 MPPTHQESVRLTKILNNIIDALLRERNKMSHESECTISHLDGLNWEVLVVFLTNMSVGCFPNGKIVLSWDLIRHFPSDAEKATIIAHEVARVVARHFVEQVTKNLCDLVWLFSVLHLLCSNGPFCHSMFEFEADYIGLLLMAAAGYDPRVAPKVYEELGKLSGHNNDFMFTGFLSTHSSGRQRAKALAQPKIMEEALILYNDARARSEVN >RHN41650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31776188:31778551:-1 gene:gene47993 transcript:rna47993 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSFGGCIVVLNAVLNLVPIFLLSYLKMQVNLWKKIVKIQIGLENGLSGCFGGRIVVQHVEGAKLGSCVGLKGKMVYQHIENGGLRVRDVRVVKLILLVKWEWMLLQSDQPTWKELVVEKYGREVERLLEGSGLT >RHN75498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42745061:42745339:1 gene:gene11716 transcript:rna11716 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFGGSSISNNGKASIEKNNPRKPTAISHNDPFLVFESTTSSKSFLDSLEQITKNGSKHTSGSSTPPHYRGLLPSQRMFQTQSTAQVYHHQ >RHN42868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41555505:41562479:1 gene:gene49366 transcript:rna49366 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNTRSSTNRKPNESMRLVLTTFVGIVFGFLIGISYPTLTTKLTISSRLLPSIDVSSIEDEYESGNGRSFMKNNSSDSSKYKLLNDTLKIWVPSNPRGAERLPPAIIEAESDFYLRRLWGEPSEDLTSKPRYLVTFTVGYDQKRNIDAAVKKFSGDFTILLFHYDGRTTEWDEFEWSKQAIHVSVRKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFNAEEYLRLVRKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKETEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRKCVEPAYEKIGVVDTQWIIHQSIPSLGNQGESKSGTAPWQGVRERCRKEWTMFQSRMARAENAYYKAVGIDLFNSTNP >RHN45424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20581319:20593719:1 gene:gene39760 transcript:rna39760 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRHLAHSGTRRIEFLEDPRRDVWEINLATQTLIFTGLVHTTTGFGGQPTTTIVTHETTEMILPFQRLYLALHDIGVVPPVGPDPHEPFVPSVADPADVADQGV >RHN44368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3905141:3908460:-1 gene:gene38484 transcript:rna38484 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase 1 MVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDISKPRIAAWNSDTLPIYEPGLDDVVKQCRGKNLFFSTDVEKHVFEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIRDLFNPDRVLIGGRETPEGLKAVQTLKSVYAHWVPEEQILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANIQQVAYAVGTDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKSRFVNRVVASMFNTVSNKKIAILGFAFKKDTGDTRETPAIDVCQGLLGDKANISIFDPQVTEDQIQRDLSMNKFDWDHPIHLQPMSPTTVKKVSVVWDAYEATKDAHGICILTEWDEFKTLDYQRIYENMQKPAFVFDGRNVVDAEKLREIGFIVYSIGKPLDAWLKDMPAVA >RHN80490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38561851:38564845:1 gene:gene4425 transcript:rna4425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MTNEKVEWRIQVEDGPWKRAGISCSMWSFISSLYSKLCKFVKKAWEIGVNDPRKFIHCLKVGIALTAVSLFYYLKPLYDGVGRNAMWAVMTVIVVFEYTAGATIYKSVNRIFGTTLAGLLAFGVHWVASKAGDQWEPVIVGASLFLLASATTFSRFIPTIKARFDYGALIFILTFSLVSVSGYRVDELFNLAQQRISTIIIGTSLCIIVSTAVLPVWAGLELHVLVTGNLDKLANSLQGCAAQYFEAQAASESNKNLMGYKCALNSKATEESLANLARWEPAHGRFNFRHPWKQYLKIGATMRSCASCIDALIGCINSENKTSDDIMKIMSRTSIKVGDNSANVLRELASTIKKMKKSNKLDILVIEMNNAALELQNLLKSYPNTQKNAKDGDAKLEIPIIELIQVVTVVSLLSEIVARVEDIVKCVEELSDLAKFKPEMSKCDKTKQHSTDNKISPDQQNDEETVIKTLQMV >RHN64403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58782394:58784614:1 gene:gene27219 transcript:rna27219 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTEELNLKKQVEESEVIVLSEDDEEIESIEEVVDGEDSEDDEGDEDDDEDNEEDEDDEDEGTGGGDDDEEDEDEEGGAAEGARGGDPDDDDDDSDNDEDDDEDDDNDDEEENEEEEQEDLGTEYLVRPLGAAEEEEASSDFEPVENGVDEDEVEEDEGEEDEGGSDDDGEKAEVPPKRKRSDKDDSDDDDGGEDDVRPSKR >RHN52708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37922661:37929699:1 gene:gene37407 transcript:rna37407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative procollagen-lysine 5-dioxygenase MPPHGGDSTTVTGNGTMANSRMRLRLNPNKDHKPEGYDDLELDFSPSIFSSLEKHLPPNMLVFSRDDKAKFMREILLKYLPNGERHRTQKHKEYRQKINSHYQPLHHELYTLNPTAFFVPTFLKAISDNTEQSFRSIISEPSPDIYVFQMFQPEFCELLQAEIENFEKWVAEAKFRIMRPNRMNKYGAVLDDFGLEPMLDKLMDDFVRPLSRVFYPEVGGATLDSHHGFVVEYGEDKDLDLGFHVDDSEVTLNVCLGKEFSGGELFFRGTRCEKHVNTGSQPEEVFDYSHVPGRAVLHRGRHRHGARATTSGHRMNFLMWCRSSVFREMKLHQKDFSSWCGECNRMKMERQRSTCAATRLELIAKEGESTA >RHN52487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35998041:36009683:1 gene:gene37171 transcript:rna37171 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVTNNVVDTTQTQTKVQFPDNNTNMKKGSAAILYHYPCPDGVFAALAAHLYFKATSLLSPLFFPNTVYSPLRAEDLPLNEIDDLYLLDFVGPPGFVQEISTKVPRVIILDHHKTAFESLCRDDPSLGENVFKVIDMERSGATIAFDYFKEKLLNPDVGVVVNQPSVLGEYERARQLYHYIEDVDLWRWRLPNSKAFSSGLKDMKIEFDAQINPSLFDQLLSLDLDTVISQGMVSLSHKQKLIDDCLSESYEIALGNGEHGRCLAVNADVALSELRSELGHQLATKSQNMKLRGIGAVVYNVPELENDQKLKISLRSVDNEDTTPISQAFGGGGHRNASSFMLKSEDFEQWKV >RHN73424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16285196:16285789:1 gene:gene9236 transcript:rna9236 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQMSTSFNFFAILVLVVAGQLIQVEGECTIIVGSCAKIDCATHCQAYGKGVAVLGSSCSFYNLCTCSYDQPPPALSSPTGHCDIGMGICTDDCLSDCCNKKCVSRYSKTGAGVCVNAFHMLFCICNYLR >RHN45949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27162721:27165794:-1 gene:gene40379 transcript:rna40379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MLVSKTEMPLTKVKLIDSICRLGVGYHFEKEIDEILQHIHKSYVENGEITLEDNLCSLAMLFRVFRQQGLHVSPNVFNKFKDKQGNFNENLSTDVEGMLSLYEASHMMVHEDDILEEALNFTSTHLESIASQSSPSLAAQIEYTLKQALHKNIPRLEARHYISIYEKDPTCDEVLLTFAKLDFNLLQSLHQKEFGNISKWWKELDFSTKLPYARDRIAECSFWVLTAFFEPQYSQARKMMIKVITLLSIIDDTYDAYGTIDELELFTKAVERWDISSLDELPDYMKPIYRSFLTIYEEIEKEMRKEGRIYTLDYYKIEFKKSVQAFMTEARWLNENHIPTTEEYMRISKKSGAYPLLILTSYIGMGDIATKEIFNWVSNEPRIVNAAATLCRLMDEIVSSEFEQKRGHVCSLLDCYMKQFDMSREAAIQECKNRMTIVWKDINEECLRPTEVPMPFMTRVLNLSRFMDVIYKNKDNYTDSDGLMKTCIKEVLVDPVPI >RHN74134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28927933:28930004:1 gene:gene10128 transcript:rna10128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MEDKRVNIIAAISVVTLIIIIIIARILLKLSKAFFLICGAGVAVILAVFGFVFLSLIYKRRRRILESQLKSEGQEIRIEYSFLRKVAGVPTKFRYNELEEATDGFQSLIGRGSSASVFKGILNDGTSVAVKRIDAEERGAREFKSEVAAIANVHHVNLVRLFGYCNSSSAPRYLVYDYVSNGSLDCWIFPKRDSQRRPRPGGCLSWNLRYKVAIDVAKGLAYLHHDCRSRILHLDIKPENILLDETFRALVSDFGLAKLTGKDESQAVSTIRGTRGYMAPEWLLEKGISDKTDVYSYGMVLLEIVGGRKNVCLVEDEKDKSKRKWQYFPKIVNEKVKQGKIMEIVDHRLMECGGVDEREVIKLVYVALWCVQEKPRLRPSMARVVDMLEGRVRVDEPPGTRMILVDFLSVDDDNVTDSNNLPRLDTMSSQGTQSNVECNSTYSFATTVFSGR >RHN56945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35122058:35123683:-1 gene:gene32415 transcript:rna32415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MDDEVRKIFSKFDKNGDGKISRSELKEMLLTLGSETTSEEVKRMMEELDQNGDGFIDLKEFADFHCTEPGKDESSELRDAFDLYDLDKNGLISANELHAVLMKLGEKCSLNDCKKMISNVDVDGDGNVNFEEFKKMMAH >RHN57463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39334464:39336232:-1 gene:gene33010 transcript:rna33010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MASAVQNVCGQAYGAKKHAAMCITLQRAFILHFGAAVILTFLYWFSGDFLKVIGQTESITVQGQVFAHGLIPQLYAFAFSCPLQRFLQAQNIVYPLAIMGVGVLFLHVRLNWLVVDILGYGLLGAALTLSFSWWILVFLNVLYIVLSPKCKETWTGFTIKAFVGIWPYFKAYSCVCFHVVGLVLISGLLPNPTVALDSISICVRVSNELGAAHPRVAKFSVFVVNGNSMLISVIFAAIILILRVGVAIGCGWQALVAYVNLVCYYVIGLTVGCVLGFKTSLGVAGIWWGMILGVFIQTVALIILKLGRQRYSKLLA >RHN63831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54312655:54315664:1 gene:gene26585 transcript:rna26585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, EAG/ELK/ERG MAFLSKSALSVLDVIMNVIFFMDWVLGFFVAHEDSTTHAQMARNYLLRSYGIPDFVSLIPVQLFLWPSSNVVYIIFIVVRLWRFRRVIVSISWLEAKNPKWFEWTPFIKFIWVILLNMHMWGCIYYLIASIDPDEGMSWTSGKKDFFKQTFKTKYVTSTYFAMTIYSTVGFGDYHACSVAEMITCMINMITNTGLSAQVLEQFIELVNERRRRKKKSVSKTFIIFTNF >RHN65024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63704684:63705718:1 gene:gene27915 transcript:rna27915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminocyclopropanecarboxylate oxidase MRRGISVICFGNERIHLNNEQNSNFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVGGLQLLKDGQWIDVPPMRHSIVINLGDQIEVITNGKYKSVLHRVIAQTDGKRMSIASFYNPGNDAVISPAPALVKELDVTNQVYPKFVFDDYMKLYAGLN >RHN66223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9874453:9877840:-1 gene:gene14166 transcript:rna14166 gene_biotype:protein_coding transcript_biotype:protein_coding MAADWSHLPSELLQLISQKLDNELCLLHFRSVCSTWRSSSIPNLKHKNPLPLNLPPFSQSNPFFNILNSKYTTSHLIKHTFFLIKPPQQQPSLNPWLIRIGPDVYGKPQLWHPFSSNQLLSSDFNNVLDFNKLSLRILGCMSYMHLLIGGDASFFSFYVAAVCHREHRPVIVTLKSDFSLEPMMFCCGDDSWTMIPNMPTSHGGNACVFKGWPCVVDKAGRTVMIGPDLTTHLIAEPVFGGQNKFLVESSEFELLLVDRYENYCVPVWIDVFRLDEKEKRWVKLTNLGDKVLFLGNGCSFSASASELGFANGNCVIYSTSYSFHGLNIRKCKMSVFHLDQGQASPLSDYPEYFKLFWPPPAWVTDLHL >RHN69905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47244857:47247567:1 gene:gene18438 transcript:rna18438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S2 MATEQLSSRQLSQKEADIQMMLAADVHLGTKNCDFQMERYCFKRRNDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGANAIAGRHTPGTFTNQMQVSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIRPGLKWDVMVDLFFYREPEEAKEQEEDEVPALDYAIADFNAGVPSDGQWPAAIDQPWTDAAPQPIPAAPGVNWAAPEAVAGDWGEAVPPPQQIPTPGVESVTATGWD >RHN62993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47425267:47426962:-1 gene:gene25638 transcript:rna25638 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKDMSVTRINVNCGPWIFSTLILHFTDSLEFIIVEFVAILLLLLVSIFAECTWPLHPIPPPKREVDFPYNMGVRGSLLLTLAVYFA >RHN52585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36827474:36828723:-1 gene:gene37279 transcript:rna37279 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVGLVCYALSPSFNRLIGKLKAFQIFLYGVLSLAIFTTILFAKQSSRTTRHVQLKTYSSFLVLMIISVYAFFYDRAVNGKPEILSIVSNAAFALVSLSLHKLINFGFEIGVFSYFLGCFTVQLLTINWMFIFVALFFGCPLFVMHSSLNSKREVASGGQSNC >RHN45313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16534933:16536906:-1 gene:gene39578 transcript:rna39578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A1 MLAGLFVCVVRKEEDSMIIRSPEPKVKILVDPEVKILVDRDPIKTSFEQWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLNDPTHIRPSAQVVWPIVGQEILNGDVGGGFCGIQITSGFFQIWRASGITNELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQVHVSLPINQFLNAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYADFLTFRGGLDPLTGGLWLTDIAHHHLAIAILFLIAGHMYRTNWGIGHGIRDILEAHKGPFTGQGHKGLYEILTTSWHAQLSINLAMLGSLTIIVAHHMYAMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRILRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPVFAQWIQNTHALAPGTTAPGATASTSLTWGGGDLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCVFTRRRCFDVRRYNKIVLLLGKVEVSDMIKQDCKT >RHN73787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19884413:19886692:1 gene:gene9649 transcript:rna9649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Coiled-coil domain-containing protein MREQSENKSKSAKPKTGTKEKKKSEFEFCKVCKINHDQGLRHKYFPKHKQSLSTFLSRFKNKLSDVRFFLKTPSPLTPQLASGNRFWCVFCDQDINEHSSSFACENAIRHLASVEHVNNLKHFFWKYGGTVDQLDVFTVSHNDVAKWDKRCANLKKEASLQSEESPGAVFGPSSDIHNQSNNENIDSFKNNIYSNSVNSNVVLPLHCYTNEYQVSSSGHSGVGNTGLLDIGKSSLPSEACSSANTLALQDFAGIQMLTRISFVPAENGGGNVHSGAPPPWFETTEGVQMHPKPVLGDLVSHSNKSGKHKKLSPKRVGAAWAEKRKIEMEMEKRGETVRNECDASWLPNFGRVWQSGSRRESRKEFEKEKQELSNVEAQPEMPIKIQPYVSKRMVRFTF >RHN57501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39581677:39584726:-1 gene:gene33050 transcript:rna33050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MSINSNDTLFYQIKRLNETIYNVCIEAPPPIVSDGLWAGHENGKTPMKFFFPMFELQLVIIFALTQICSFLLKPLRLPQFLPQMIVGLILGVSFELKSLEAYMGKLFPYGTHDVISSISSLGMVIFVFINGVQMDFSLITRTGKKAWIISIIGLCVPLCVLFLPLLTLPGRIEAIQKVHGGGGIIVATLSHSLSQFSTIASLLSELQIQNSELGRLSLSSALVCDILTTIISANIIAVQTSSNSTPILRNMILLYTLIALIPLVCRPIMFWIIKRTPEGRPVKDSYIYVIISMVFVLGILSVKINQLFGLGAFILGLSVPEGPPLGSALVKKLQFFGTTLFLPIFVTTCVFKADFSMDMSSYVMVSAGLLVLATHLVKMAALFITALCCKIPVIDALCISLILNTKGVMEVGIYNSAFDDQVIDRKIFGVMMISIMIIATIVHWSVKLLYDPSRKYAGYQKRNMMSLKRNSELRILVTLQKQNHISAATDFLDLCCPTQEKPITVDVLHVIELVGRALPLFIHHHLQRQALGSTSYKSYSDDVILAFDIYENDNQNAVSINTYTAISPPNLMFEDVCNLALDKVATIIILPFHIRWSSDGVVESDDKKILRALNRRVLEIAPCSVGILVARANSMQKPTSITSECSMTQLAIIYLGGNDDDEEVLCLAKRAMNNPKINLVVYRLVAKENIVELEELTVIGDEMLEELKNAENVRYQEVFTENGSQTASFLREIVNEHDFFIVGRRHETQSPQTDGLAEWSEFPELGAIGDFLVSPDLNSSASVLVVQQQLSRVNDVKK >RHN65718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4695915:4696898:-1 gene:gene13592 transcript:rna13592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-12A MLYALTLKLLLKMASFSLSILVFFFSALVLVPQGFAEYYLYPAYRPPQTKPPVNKPSHKEPPVNKPPHKEPPVHKPPHKDPPVNKPPQKESPVHKPPRKESPTHRHPPAEDNIHF >RHN56669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32681968:32683063:-1 gene:gene32106 transcript:rna32106 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKFILVIDGVCFSYPLIILRFYLHAPTEQSEELTNAADYHAIQVDASQVNFDSLVALDLTFDALHENGDLRLQQEDSLVVVNQINSLSQQDNSDDNDHNRLVLYRKPLARMNLINQETSHILIVVPQHTDYRISCLEDFKNMIHKILDVDGFSIEPTSELVQIMEANYEIFKMNLSARALYTFDFLNLKISPISRVSLLENKIKIPRVPSLGIRQRRGSKLRSQQLKEMIDAMENNDVVRDNYKERLLEYHKYL >RHN62050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40311418:40316530:-1 gene:gene24579 transcript:rna24579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain, nuclear transport factor 2, NTF2-like protein MAVPEAVQTPTPQMVGNAFVEQYYSILHRDPDQVHRFYHDSSVMSRPEEDGTMTTVTTTAEIDKKIQSLEYTSFRVEVLSADAQPSYNNGVMVVVTGCLTGTDNIKRKFAQSFFLAPQDKGFYVLNDVFRYVDAYKSIDIESVPANDADESAPSEAIITPEPEPVHVPEVIPPTQTVIPTAQTVIPPTQTVIADTETIISKEVSLPLENGKLSVTENVIPVNHVKESSHHVKEPEQPTSIEKVASNTQEDTPKKSFASIVNALKDNSAPFHLRASPAKPAVHPPRVHSVPAPEAPTPNMDIPLEKNNENAGRAHAIFVANLPMSATVEQLDRAFKKFGPIKRDGIQVRSNKGSCFGFVEFESAASMQSALEASPPVMLDNRRLSIEERRGRSGYRNDRNDNFRGRGNFGGGRGGGFNGRNDFERRGGEFSGRSRGGQNAGRSNGDAVPRSYQNGGGKVAARQPPVKVQ >RHN53265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:503338:508021:-1 gene:gene28156 transcript:rna28156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MLMIFSNIMRKLEFKSTIFIFLFIIYGVISTPPADPIQCSSNNTNCTITNSNGVFPDRSICRASEVMYPTTEAELISIVALASKNNRKVKVATRFSHSIPKLACPDDNGLLISTKNLNRVLKVDVKLLRMTVESGVTLREIISEAARFEMALPNTPYWWGLTIGGLISTGAHGSTLWGKGSAVHEYVTHIRIVSPAGCEDGYAKVRNLNESHQDLNAARVSLGVLGVISQVTLQLEPLFKRSLTYLTKNDSDLGDELITFGKKHEFADVTWYPSQQKVLYRIDDRVSSVNTFGNGLYDSIGLRSTPSSTLTLSRTTEELQEATHDTNGKCINGKLTTETLAGIAYGLSNNNGTFIGYPVVGFNNRIQSSGTCLDSLDDQMSTACIWDSRIKGPFNYDTAFSIPLSIVKYFIEDVKKLVQLEPKALCGLDLYNGILMRYVTTSSAYLGKTEDAVEFDIIYYRSKDPLTPRLYEDIIEEIEQIGLFKYGGLPHWGKNRNIGFVGAIKKYNKANRFLKVKKKYDPKRLFSSDWTDQVLGLKEGVTILKDGCALEGLCICSKDSHCSPKKNYFCRPGRIYKDARVCRLHVKT >RHN61202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33792103:33792709:1 gene:gene23640 transcript:rna23640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MPYPYAVYGCHHIATGSKVFKVSLVGDENGDKMEALGMCHLDTSDWNPDHELFKTLRIKPGKNSSACHFFPVNHLLWVPLQPSKSTI >RHN49394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54500201:54503835:-1 gene:gene44219 transcript:rna44219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative perakine reductase MGDTIHIPRVKLGSQGLEVSKLGYGCMGLTGVYNAAVPEDVAISLIKHAFSKGITFFDTADFYAAHTNEVFVGKALKDIPRDQIQIATKFGIVKMESGNVVVNGSPEYVRSCCEGSLQRLGVDYIDLYYQHRIDTTVPIEDTMGELKKLVEEGKIKYIGLSEASTDTIRRAHAVHPITAVQMEWSLWTREIEPDIIPLCRELGIGIVPYSPLGRGFFGGKAITESVPADSFLAIQPRLQGENFDKNKIFYHRMEKLAQEKHECTSSQLALAWILHQGDDVVPIPGTTKIKNLESNISSFKVKLNKNDLKEIEDAVPISEVSGDRTTGAFVQCSWKFANTPTKS >RHN59839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13897838:13903664:1 gene:gene22000 transcript:rna22000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MEALDSNPPPLNDNPQHQSETLIPQNGINGTTTTDNNDSRPLIPNQLLSENGLTGGNTRSGTDKDQSGGEEETTSKRRRRSRWDPQPDSNDQNGEAATGTKKRKSRWADDEPKPVIQLPDFMGGIEFDPEIQTLNSRLLEISRMLQSGLPLDDRPEGARSPSPEPIYDNMGIRINTREYRARERFQKERQEIISQIIKKNPAFKPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGRLQQKRDLKPDPSENEDLHVLVEADTADALEAAAGMVEKLLQPVDEVLNEHKRQQLRELAALNGTIRDEEYCRLCGEPGHRQYACPTRTSTFKSEVVCKHCGDGGHPSIDCPVKGATGKKMDDEYQNFLAELGGTVPESAIKTTSTLAIGSGSSGSNPPWANNSGSGGSTPQAGLGAGAVKKEIDDTNLYIGYLPPTLEDDGLIQLFQQFGEIVMAKVIKDRITGLSKGYGFVKYADITMANNAIVAMNGYRLEGRTIAVRVAGKPPQPVVPPGPPASAVPTYPVQSQPIGAYPSQQYTGGGPIGNAPPGSYGGTPVPWGPPIPPPYNPYAPPPPGSTMYPPMHSQPMPPYGVQYPPMPTGPPGATPPPPASGEMQQNYPPGVQSDNNSSTQSVPTNMYGNTMPSMPPAAPPTYPASYGYPPPPYYNAVPPPPPPPAPTSDQSHSIANAPWASNHSAPPPLSSADQSQSIGNVPPPMPPPNSSAEKTSYGADSEYEKFMAEMK >RHN53157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42407858:42410474:-1 gene:gene37927 transcript:rna37927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKRKRQKKSDRISELPDHVLLHIIEFMNIKQSVQTCVLSKRWKSLWKSLANLTLHHSEKQKSYICKWFVSQLLARRDNSLPLHSLSYEYDNAADCNKTTLLEVMEYAASHNVQQLTVIVKLWTIKDLEFPPSIFNSHSLTFLKLDFYYPSAYGCGKMFPKSLNLPALKTLYLSGLTFTTSNNGCVEPFSTCNMLSTLVIVEWYLQDDAHTLSVSNSNVSSLTIGSAHLCKQAGNFKVVLCTPKLTSLTIIRHPIFSAPSACNLPFLEEVNINYSQFNYRNDHLIPVSWLQLLANVKILTLGFTTLAKMLMVLRNNDSMRNQLPCFVRLKSFKLDVSDVTISNERIIEMVAYLLQDSPPLVAIDIIRNADLITFRFEAANMMDRAIHLEDNGGGGSHVDYN >RHN63248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49664934:49668314:-1 gene:gene25927 transcript:rna25927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CBF1-interacting co-repressor CIR domain-containing protein MGGHGGLNILPQKRWNVYNFDNREKVRKDEEAAAKEEQLKREQSRKRDTEVRLEQLRVAKGLAPTIPPPESEPEAKPKSEANPEIEAEAGDLGHINLFKGIKIFDPIRVPKREIVDEKEELKKNYKKLKMRKEPGETVRAVGPEDEKYKLGYGVAGKGVELPWYVQKKKFNHHDDVGGGGNGGGDRKGEKRKKTLEELREERLKREKKEKERERALRRHPMEQSRRDAVASDRYYRR >RHN55516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19137740:19141792:-1 gene:gene30709 transcript:rna30709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MDVKALFYILLLLFTISSAEDVLLNVKGVTNIATTDNNFICATLDWWPENKCDYNQCPWGKAGILNLDLGNRILSNAVKAFNPLRIRLGGSLQDQIIYQFGSHIKRCPNMIKIAGGLFGFSKGCLPQNRWDQVNDFFNKNGVKLTFGLNALIGKNISKVDNKSFLGDWRPANAISLMKYTISKGYKIDSYELGNELCGEGIGARVDSVQYAKDITKLRSIVNRLYPDVTTRPKVVGPAGFYDREWFDTFLHNVRPGVVDGVTHHIYNLGAGVDKELINRVQDPYFLSQIGQTFKDVAVTVRQYTPRAGAWVGEAGGAYNSGGKDVSHTFVNGFWYLDQLGMTATMNHKVYCRQTLIGGNYGLLNTTSFIPNPDYYGALLFNRLMGPKVLSISHEASHYLRTYAHCSKNGPGITVLIINMAKTIFNLSIMNDMNKQMREEYHLTPKDGNIQSDVVLLNGTPLMLTRSLDIPLLKPVLVSSSSPIKVDPQSIVFVQLKGFNAPACV >RHN80179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35879509:35880610:1 gene:gene4075 transcript:rna4075 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTLHTTDKPLFFFGGPLFMPHLLSIVEILCRMQGLVMV >RHN41272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28774634:28776160:-1 gene:gene47576 transcript:rna47576 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMVLFRVNNVLPILPHGISWPALNNFHCAVDLLPYFVGLVTPSNASIQWKGACFYENRGMCNELSLGGDILYLRIKEHKIYVFLMPSGMLGTLLSQIDAVPLFSDTAWGHKVNLDFLNKHMGATRSQPWRATTDPADVHSGDFLAVSKICGRWGGFETLEKLVTADHAAVCLKDEMGSLWVGESGHENEKV >RHN61210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33875443:33875975:-1 gene:gene23649 transcript:rna23649 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKRKLKVMPPMEDVEDEAFEEAMGRFSCILKSTGTVTTEEISGRHESTVEDVTFFDFGVVEEDGEGSTVEKSDDFWVWSDETTWWHVEFEDSGVVFFDSGLEVFVTSLVVEVVMSVMEGSDDGKTVASWDWFQGEVG >RHN62450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43501539:43516228:-1 gene:gene25029 transcript:rna25029 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTKDSEQTEPLAHSMPQSQDLPNGTASDNINDSVSVVEDQEVVVAEENKSPIDQPMEEDSVNPATVFTIRLRQSKANLMHKMSVPELCRNFSAVSWCGKLNAIACAAETCARIPSSTANPPFWIPIHIVIPERPTECAVFNVIADSPRDSVQFIEWSPTSCPRALLIANFHGRVTIWTQPSQGPANLVRDASCWRREHEWRQDIAVATKWLSGVSPYRWLSSKSSATPDSKLTFEEKFLSQQSQTSARWPNFLCVCSVFSSGSVQLHWSQWPPNQKDSTPRWFHTSKGLLGCGPSGIMAGDAIITDSGAMHVAGVPIVNPSTIVVWEVTPGPGNGFQSCPMTSTKSGVPPHIPPNWDGFAPLAAYLFCWQDHLLSLAKQGKKLTEKNIGDSVPLYCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTRAGSVIAVVIVEGQYMSPYDPDEGPTITGWRVQRWESSLEQVVLHPIFGNPTSSMGGQPPMQTVWQSKVDLSILPTDDFRNHRSPTIGMATDVQKVSELISDKSKRVNFDPFDLPSDVRTLARIVYSAHGGEIAIAFLRGGVHIFSGPNFEQVDNYQITVGSAIAAPAFSSTSCCSASVWHDTINNYTILKIIRVLPPPVPISQAKANSSTWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSVQHRQQYGPSLDRIKCRLLEGSNAQEVRAMVLDMQARLLLDMLGRGIESALIDPTQFVPELWQASGETLSNIDSETMAVEPALVPCVQAYVDAILDLASHFITRLRRYASFCRTLASHAVTAGTGSNRNMVASPTQSSATPATSQGGQNGSTSSMGTVQLQTWVQGAIAKISNTTEGGSNPTPNPISGPSSFMPISINTGTFPGTPAVRLIGDCQFLHRLCQLLLFCFFFRRTQIPRYMGAANRTNDSNTQKSNAPASGKVEEIAKPVSAVAKSEDGLTGRAGQLISGPKGGEEAPSGRSRLGSGNAGQGYTFEEVKVLFMMLMELCRRTSTLQHPLPISQVGSSNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPSEEWNRRNMFGGPWSDPDDIDCANDQPKIVCSDPLDLSSTEHCDAYDGTHRLWPRKRRMSERDAAFGLNSSVGLGAYLGIMGSRRDVVTATWKTGLEGVWYKCIRCQRQTSAFTSPASTTSPSQSSRELWWISRWAYGCPMCGGTWVRVV >RHN77617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8173854:8174598:1 gene:gene1087 transcript:rna1087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ananain protein MKLLSTVVLMMIFLASAYSVVDESPTITLSEFESYPTLSEASVDKLFHDWMLEHNRTYSSSNEMKKRRELFKKKLEHVKEFNKGNHSYTIGINQFSD >RHN53007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41094620:41096329:-1 gene:gene37750 transcript:rna37750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyketide cyclase/dehydrase, START-like domain-containing protein MNTIQQTKEKWQGKAKTELVGCKADQVWPLLEDFFGLDKWFPTLSYCIPVEGISGKPGCVRFCAGFKTPVDKHGKQNLNWTKQKLLSIDPIQRVFSYAIVDGNVGFHSYVSTVRVLPKDNGCEIEWIYEVEHVEGWRLEYLDLFIGSGLDEMGQRMQGALKTMEDAIRA >RHN53263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:495753:498889:-1 gene:gene28154 transcript:rna28154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MDKDKMSSTMIMLIIILMLHVVSCTDHLIIDTYCPDNFPFYTSNTSFHKNLKLLMETLSSNIAASNTSYFNNTSIGQGLDKVYGQALCRGDITNSTVCKECIEKASKDLMNRCKSEDAMVWYELCQVRYSFQMFFSDAVYTGKYPKQNDLEKRVSDPTSFQQVLTYLMNNLSNEAAFNPAKNMFATAEIEFSAKKERIYGLVECTKDVSETDCGSCLSSAVTELNACCSYREGGIIVSRNCNVRFDLFQFFNASSAASLLIFPTSKGKWKPWMYVLTICGSISILAVLIGLCTACRRQKNDRDKDEERSERMLFQELSSPKNVAITQEGELISSDQLLFMTLATIKAGTDDFSNTNKLGQGGFGAVYKGVLPDGNEIAVKRLSKKSWQGIEEFKNEIILIAKLQHKNLVKLLGCVLEGEEKILVYEFMSNRSLDQFIFDPNKRPKLDWKTCYGIIGGIARGLLYLHEESRLKIIHRDLKPNNVLLDHELVAKISDFGMARMFSENQNTANTKRVVGTHGYMAPEYAMEGLFSVKSDVFSFGVIMLEIISGKRNGGFYLTELAPTLLAYAWKLWNEGKGLEFADPILLESCLDYESEVLRCIHIGLLCVQEDPQHRPTMSNVVVLLGSESMVLPQPRQPAFSSGKMIRVDPSASTNCSLNDSIWSNISPR >RHN80856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41311877:41323312:-1 gene:gene4831 transcript:rna4831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase La MLKLISSSCIHRLNPAAVPVLRPASDSASPLLRVLNSLGGLSRSNRYLGGRLFFCSESESGDGPDHVVDAEAKAAEETPSKAASSAIVSTYPRPEDYLTVLALPLQHRPLFPGFYMPIFVKDPKLLAALQESRDRQAPYAGAFLLKDEPDSDPNVVSSSDTEKSVYDLKGKELFNRLHEVGTLAQISSIHGDQVILIGHRRLRITEMVSEDPLTVKVDHLKDKAYNKDDDIIKATSFEVISTLRDVLKTSSLWRDHVATYSKHIGDFTYPRLADFGAAISGANKLQCQQVLEELDVYKRLKLTLELVKKEMEISKIQETIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALTGKFRERIEPKREKCPPHVLQVIDEELTKLQLLEASSSEFSVTRNYLDWLTALPWGEYSDENFHVTRAQKILDEDHYGLTDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFFRFSVGGLADVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGKGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPNPLLDRMEVVTIAGYIADEKMHIARDYLEKTTREACGIKPEQVEVTDAALLALIENYCRESGVRNLQKHIEKIYRKIALQLVRQVETNDDAIEPKKAEEFFDEMGTTTIQKGNPVLIESGNSEHTNENAKLDEMETNPPADQSLRVDNKSTDMEVTKEEEDKETESKTVEKVLIDKSNLDDFVGKPVFHAERIYDQTPAGVVMGLAWTAMGGSTLYIETKFVEEGDGKGALHITGQLGDVMKESAQLAHTIARDILREKEPENTFFANTKIHLHVPAGATPKDGPSAGCTMTTSMLSLALKKPVKKDLAMTGEVTLTGKILPIGGVKEKTIAARRSEVKTIIFPSANKRDFDELAPNVKEGLEVHFVDDYMQIFDLAFGDEKSHNAEK >RHN50919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11834529:11838813:1 gene:gene35272 transcript:rna35272 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDLHSINHIVLVIFGDFDNLHLEELCRSHCLIQLPLSKLFCMFPPNQMISAYIFSQILLQDQVIVLSVQLPS >RHN67218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25014023:25014710:-1 gene:gene15378 transcript:rna15378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAVNVMSSSPKSKSSETSNHAPSLLANFLLRCCKQKQNCLPMKHTCDICFDLKTNSDMFQTTKCNHFYCLDCICKYVTFQINNNLVKVKVITCPSPNCSVKLKPKQLQHILPKQVTFRWESLIHKSSIPFKLMSYGRKLIQNIELDMKFLELAKRESWKKCPRCSFYVERINGCNHMMCRCGCDFCYNCGSGLRKKMCGCD >RHN60878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31280896:31281426:-1 gene:gene23269 transcript:rna23269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MNPSSCLSLLLTISLIFNSHAISPKSSSKLYQNVCKNAGNDNQRCLKLLEANPSIISAKDYPTLCKLFLEMAIEKAIKGQNYLKTLMKEQPSSKAIKQCATNDYNGLVASFRSSLVELNEDPISANYDAKIAGDGPQACEDALAKEKIVKSTLSTLNNNMKFLSVVAYLATNYLPH >RHN79890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33253312:33258883:-1 gene:gene3744 transcript:rna3744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MEIYLQTQNWIEKAKPFIAVLFLQFGYAIMDVLSKAALNRGMSNYVFVVYRHAVAFIVITPFALYFDRKIRPKMTISIFIKIVLLSLLEPVIDQNLYFLGMKYTTATFAAAMSNMLPAITFILASIVRLEKIKIKSMRSQAKVLGTIATVSGAMVMTLMKGPILFETFGDHSQSYHSSGTSAHHTILGSVLITIGCFSWACFVILQAITLETYPAALSLSSWICLFGAIEGAALALVMERNNPSVWSIKWDMRLLSAIYTGIFCSGLGYYLQGVVMKTRGPVFVTTFNPFCMVIVAIMGYFLLAEQMFLGRVIGAFIICLGLYLVVWGKSKDYNNPSNAISEEHVLPAKQNVGENCTHAVITVQ >RHN66921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21638311:21638574:-1 gene:gene15043 transcript:rna15043 gene_biotype:protein_coding transcript_biotype:protein_coding MATYNVVLLFIMSLVLALIISFVCVATEIGNKNDKLYIVYMGSLSKGVPYFPTSVHRNLLQQVLAGSDIENRLVRSYKRSFNCWSKP >RHN78807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18613574:18620343:1 gene:gene2461 transcript:rna2461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase MMMKRTHDKIGFNHEGTDAYGNNVEQFPLKVDVDSVAELVNIDEDDEEDTLDEIGFNHEGTDANENVREPQADPEKQTKSSNTIVDAVSLIDLFTRDQTTEHISSLRKESAQFTSEDEAGIDANTGQLCERKKLYFASVPLFCLYCDISIKRTYFCRKVEFNAEGCIC >RHN77803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9525037:9525345:1 gene:gene1296 transcript:rna1296 gene_biotype:protein_coding transcript_biotype:protein_coding MWTQVLCCHSNVVCKRITITLVDGHLMNHAEGHECPRWDLSLLINRRYIFRPLDEI >RHN42951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42143897:42147093:-1 gene:gene49462 transcript:rna49462 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSKADKNEALCLCKERKSFIEVAIDSRYDLAASHVSYIQSLRNVGIGLRRYAEAEVLVESSLSISDKTPSQTSYPSPSSPLNNVAENEVSDSPLHNESPFSTPVSTVSYMRSSGNGCVTVTLDAFGNKHVDDESNLFSPPPPPPPPPDLGASWDFFDPGEDSESFRFVVHGSEFKDCRDQWLQTGSDDPSVVSSGVEGCKQLDDGKVRQLEAPGGTVGGDINLDVVEKGDVGKSSSKKEEKNMVEKNVCTEREDPSEFITHRAKDFLSSIKDIEHRFIRASESGRDVSRLLEANKIKVGFSDAKGKSSKLALITAIQPVCCRRKTSPVFQEPVQKIISWKRTASFRSSSSRIPLASKSREDIDDSGSNFVEEFCMIAGSHSSTLDRLYAWERKLYDEVKASESIRKVYDRKCHQLRHQFAKDQGTQVIDKTRAVN >RHN58310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:685066:685347:-1 gene:gene20209 transcript:rna20209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKHYVISRNCFGVLQLYLESCRGITGKGVMHAVENCTQLREINLRGCDNVNDDDIVASMVFSRPSLKKIIVPPYYRIREQDKEFFLSDVCLIF >RHN42463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38550229:38552210:1 gene:gene48907 transcript:rna48907 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHCSLLLHKIRRQETACSCTSSSSVSNMEANALMSPGFETISSLPT >RHN64687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60997600:61002143:-1 gene:gene27530 transcript:rna27530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MVMNGDKLPLVQTTITLLCSVPLTQSLSLSILFLPNSSHYLFSTMEDGEWIMVQCPPQKDLWNPSLANADDDEPSMPLKVTFSRSAKYWTDAIPIGNGRLGAMIWGGIQSEVLQLNEDTLWTGIPGNYTDKNAPEALAEVRKLVDDRKYSEATTAALKLLGPPGEVNYDANGWVAHHVSDLWAKTSTYRGPAVWALWPMGGAWLCTHLWEHYTYTTDKETACGRNIVEGRKEFLKNKAYPLLEGCTSFLLDWLIEGPGGLLETNPSTSPEHMFIASDQKRASVSYSSTMDISIIKEVFSIVISAAEILGRQDDAIIKRVFESQSKLPPIKIARDGSIMEWAEDFQDPDVHHWHVSHLFGLFPGHTINIEKTPNLCKAVNYSLIKRGDEGPGWSTTWKAALWARLHNSEHAYRMIKHLVVLADPEQEAVGFEGGLHSHGIECALGTVQGILFKH >RHN46385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31201677:31210115:-1 gene:gene40867 transcript:rna40867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyl-CoA carboxylase MASSSATLASSAASDLLRSSTGGFTGVPLRTLGRTGLVLKRRDLTVSVTAKLRKVKKKEYPWSGNLDPNVKGGVLTHLSPFKPLKEKPKPVILDFEKPLLDLEKKISDVRKMADETGLDFSDQISSLENKYQKALKNLYTHLTPIQRVNIARHPHRPTCLDHIFNITDKFMELHGDRNGYDDPAIVTGLGSIDGRTYMFIGHQKGRNTKESINRNFGMPTPHGYRKALRMMEYADHHGFPVVTFIDTPGAYADLKSEELNQGEAIAHNLRSMFGLKVPVISIVIGEGGSGGALAIGCANKLLMLENGVFYVASPEACAAILWKSSKAAPKAAKKLKITATELCKLKVADGVIPEPLGGAHVDPSWTSQQIKIAINKAMDELTKMNTEDLLKHRMLKFRKLGGFKEGIPVDPKKKRNMKKSDIPPAKISVSEVEVQIEKLKQQILKSSSQPPKLNLDKTIKKLEEKLEKEVDQELSEAAKALGLTQSLSKLRDEFSKASSDDQPLDPLLKGKIEKLQADFNRRLSAAPNANKLKKKHDELTKVKLLLSDRNKEVASSKINKEAATLTQELKKKFDDVMNNPRIKENYEALQSEIQRASSASDLDDELKKKIIEFNNEVDLQVANAVKSVGLDVQFVKPGQDGNKFLVREIEELNKDVKKEIDVLANSSTDIKRMIEQLKVEVAKAGGTPNSESKNRIVALTQKIKRSLAEAVGSSSLKERYENLMSGTSGDGSLKVGNGLTDDELREKVGANCSFS >RHN69841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46712084:46722554:1 gene:gene18362 transcript:rna18362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAEDSPRLGGFSAGLAVILNGNDNKKKLPNTRLLSCCDDLGEQSVERTLEYVFGLPNRSLNSLDGPVDSSFIRSVIKNVFPRYIAKSGDSFSERDMICGPDVVGLDESSICGDIKVIKSPLLVESLEMFSSVRANTCVWKGKWMYEVMLETSGIQQIGWATVSCPFTDHKGVGDADDSYAYDGRRVSKWNKDAETYGQSWVVGDVIGCCIDLDRDEILFHRNGNSLGVAFEGIRKMGPGFGYHPAISLSQGERCELNFGARPFKYAIEGYRPLQAPPSKSYFVTRLLLCWSRLLDMHSVERTEHSLAQKLRRAKRFVSLEEIFRPVSYAICEELFCILEEDVGQAEYMVWGPLMSFMFEVFELHAPHDYSSMDKVVEVLLQFQGSHMLFENIINALSCGCKMAQLVLTECPYSGSYSYLALACHLLRREELMVLWWKSPDFEFLFEGFMSQKTPNKQDLDSMIPTVWWPGSCEDACCEGNMMLTTTALSESISKIEEKHRDLCRLVIQFIPPTTPPQLPGAVFRTFLQNLLLKNRGAERNVPPPGVSSNSVLVSIYTVVLHFLSEGFALGDICGWLKSYKADVGFLHRGGQQSFPIHLFLKNDPHRTDISRLGGSYTHLSKLHSTIDHEREVVQWDEGCMDNEETRVTHSTRQKPCCCSSYDSEFSRNLKVPAKYLAKGSRGHCSSIPERPAHVAAECSSDGSLNDEITDKPSSSDQSEPEYGYRQVHHLKSVPKDTDVYMDTLQEEELLDALLWLYQVGLAPNFKQASYYMTHQAQSISLLEETDKQIRERACGEKLKHLKEARNEYREEVIDCVRHCAWYRISLLSRWKQRGMYAMCMWVVQLLLVLSNMDSVFIYTPEYYLEALVDCFHVLRKSDPPFVPSTILIKRGLVSFVTFVVTHFNDPRISSADLRDLLLQSISVLAQYKEYLAVFESNEAANQRLPKALLSAFDNRSCIPVTNILLRLCKGSGFSFSKNGESSSSSILFQRLLKEACINDEGLFSSFLNRLFNTLSWAMTEFSVSVREMQEKYQVMEFQQKKCGVIFDLSCNLARILEFCTHEIPQAFLSGPETNLRRLTELVVFILNHMTSSADAEFFELSLRRHSQSSEKVNRGMILAPLVGIMLNILDATKLAEYRENNDLVDVLLSMDCPDTVLYGFQFLVDYNWDGSCRGGAYAAKYKQLENFLTLLACRLMSERDEVDSVVDTDLDDNLCCICYACEADAQIAPCSHRSCYGCVTRHLLNCQRCFFCNATVTDVSRINEKTG >RHN44172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2194348:2194899:-1 gene:gene38261 transcript:rna38261 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLNHVISIICYRTVFLDGSFFFFRAPLKKNANLPYWKKNTKFFLLVDSPLLHEKIPILGCMVHPETMASIV >RHN62404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43179776:43181488:-1 gene:gene24977 transcript:rna24977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDSCMNFGLNSMMTCISLDYQKSYHPPISIDLLSACDFSSDGKVLASAGFGRKPLICYMDTCDCITTSQSHSAPISEVRFQPGTNIFATSSSDKAVKLWDSNKPGTVLFDLAGHNGVVKSLDFHPFEGLLCSSDSFDVIEVWDLIQGVRMKNFIAGGQQIRFQPVSGKFLAVAKGNTITILDIQTWNVQNRFQGHNKEIFSMCWDAKGQKIASVTEDCARVWSIAVCGQCLHEYQSNGKRFQSIIFHPRYPNALVIGGFQNMELWIPETGQVYHIHAHTNATITGLAACPQNEFIASCSSDCTVKIWK >RHN80196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36036494:36038336:-1 gene:gene4094 transcript:rna4094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MATLPTQSQSWLIPTSNTSFPFSFYCSSLHQLSLNNHSDPKHLFSPVTKDSATTLQYIAQINQRTPLVPLNLVVDLGGKFLWVDCENHYTSSTYRPVRCPSAQCSLAKSDSCGDCFSSPKPGCNNTCGLIPDNTITHSATRGDLAEDVLSIQSTSGFNTGQNVVVSRFLFSCAPTSLLRGLAGGASGMAGLGRTKIALPSQLASAFIFKRKFAFCFSSSDGVIIFGDGPYSFLADNPSLPNVVFDSKSLTYTPLLINHVSTASAFLQGESSVEYFIGVKTIKIDGKVVSLNSSLLSIDNKGVGGTKISTVDPYTVLEASIYKAVTDAFVKASVARNITTEDSSPPFEFCYSFDNLPGTPLGASVPTIELLLQNNVIWSMFGANSMVNINDEVLCLGFVNGGVNLRTSIVIGGYQLENNLLQFDLAASRLGFSNTIFAHQTDCFRFNFTSTA >RHN61664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37283870:37286029:1 gene:gene24152 transcript:rna24152 gene_biotype:protein_coding transcript_biotype:protein_coding MAITFLWNLQNLWPFSSFKQPNSLKSSQQLVSKLNIPNQTKQFVFAFHDPNSQSLIYILSSLNLSERSSSDAITLINEIKPDAVIVQSGGSLSPFEDDDKDDILVPTSSFGVIKRCFVDKIGRDRYETVAGDFVLKEIFGTGFYGPVLAAKKAAKFVGSEFILVQSPLGNSCFSSNNNNNEKHGSSDNNSSAIVDAGNRFTTIVNSLVPQQQVASIGLKRFSMNKDVRMVLAEGLSSYMDPLLIGSTKNESVSEVGSVEIQPKTSYDTPAFAKSIYPLLEDLHDMFSDLPSMGKALGHVQKMLLDVNRGEVLDAKTVSEVYTFRIAVEGLRIALNNRGMRSIVDKGVSKASKVEFSELPVDDKSQVLFAQAIRSQTDKFKTIVAVVDASALAGIRKHWDTPLPDDVKEIVGDLITDSDGKGVSLNHGDRKRLIADRPVVAVGAGATAVLGASSLTKVVPVSTLTKVVTFKIPTSLKIILSQMQKVLSVSLGPSNTSGFMKAAASAEKIRAVTHGVIYSAEKTSVSAMRAAFYEIMRKRKIQRVGFLPWATFAGSIGTCTGLLLYGDGIECAVESLPAAPSIASLGRGIQNLREASQAVMQTEGTRVQKSIESLVNRITKPRDQ >RHN59908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14612295:14618567:-1 gene:gene22076 transcript:rna22076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA-dihydrouridine synthase, aldolase-type TIM barrel MKFSGYSISVSFSPLSSNFITRNSCSRRLPVSFSRRSRRNLCSSRVFSVKQQSYHKHGVLGKQYLPPWFSVAPMMDWTDNHYRTLARLISKHAWLYTEMLAAETIVHQKDNLDRFLAYSPEQHPIVLQIGGSNIESLAKATELANAYCYDEINLNCGCPSPRVAGHGSFGVSLMLDPKFVAEAMSAIAANTNVPVSVKCRIGVDDRDSYDELCEFISTVSSLSPTKHFIIHSRKALLNGISPAENRSIPPLKYEYFYGLLRDFPDLTFTINGGITSVDEVNAARDAGAHGVMIGRAAFYNPWHILGHVDTAIYGAPSCGLTRRQVLEKYIVYGDSELGKYGHRPTVRDIVKPLLNLFHSAPGNGQWKRNADAAFRNCTTIKSFFEETIDAIPDSVLDSPVSEPPLGRTDLFANIDSLLPPRYETREEVVICA >RHN75705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44445650:44447851:1 gene:gene11943 transcript:rna11943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MAAAKNSGKFNKDFGDETHNNHNKVTKIGESQVNWEIEKGKSVEISSSQRHHWKPVFDEASNMSHNRPYKKSKSPQRENQNQNQNQFQPFSFPSSASSSSRHVFPFAFDHNSEQFPQQFGPNNNLPFHPPTQNQQQMISFGTQSQQQTNIVPYPPMLSQQQHQQQILQYWSDALNLSPRGRMLMMMNNNNNRYLGQYSNNNGPMFRPQIQPISTTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAALAYDREAFKLRGENARLNFPDLFLNKGKEKENEKEEEPSSPPPTETTISPVTSPSSNTATKQPEPPPMQTLPMEESNENDSGIGSSDATVSEGGEGVSVSQSQELVWSEMSAWFNAIPAAWGPGSPVWDDNLFSQSQNPFSNLNQQSEFNDFDSHTESGSSIRPFFWNNDQN >RHN46325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30758882:30759837:1 gene:gene40805 transcript:rna40805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MTIQENSLIFGRNDNSYYLKYGSHIAGSCNGLMCLFSKFLDKPHQYYSIYLWNPSTRKISAELRSFHCRIPQEHNSSRLELFKFAFGYDDSTKTYKVVAYRVEENKDSVKPKSEVNVFNFGGNSWKNIQSFPLIPLNWLDDSNTSLNNGMHLNGTVNWLAIHEHFYSHYKYESITNVKQFVIVSLDLSTETYKQFLLLKDLTRCHAFSRFLSEFVLWQMKEYGFQESWTKLFKISYQSLQFGDAFQMVCIYKNGDTVIIANQFGQSAMIYNLSDKIVEYIRITNYIEWFYHANDYVESLVSVP >RHN75600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43640475:43641771:1 gene:gene11830 transcript:rna11830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MAFSKLMTIFLYSFTLAFLIQTSFGADPLFHFCSNSGNFTANSPYESNLKTLINSLIYKTPSTGFGNGSSSLVQNQNQQTYGLALCRGDVSPSECKTCVSEATKEIQSRCPYNKGGIIWYDYCLFKYSDTDFFGKIAKTNRFYMWNLNNVSDPSTFNYKTKDLLSQLAQKASMNPKLYATGEVKLEESKKLYGLTQCTRDLSSADCKNCLDAAINELRNCCDGKEGGRVVGGSCNFRYEIYPFVKE >RHN64559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60040879:60043637:1 gene:gene27386 transcript:rna27386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative G-protein gamma MTMVRSSSSSSSVPSLPPPSPKSPPEYPDLYGKRREMAKVQMLEREIGFLEEELKSMEGLQPASKCCKEIADYVVANSDPLLPSNKKNRRSCRFWKWLCRLPCFNLSWICCCCCCCDGLSVHLKLPSCCSDCKPCSCCSCSNCFPSFTCSLPKWNCCCCFSCPKSNCCKQSLGSGNCCTFPTSCNFGCPSCPSLCSCKCTCTCSCPTCPKVNPCCCCTKSCFNPSCFCC >RHN52613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37103469:37103921:-1 gene:gene37307 transcript:rna37307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MSSAGSHVNRRSNSNNENGRAISGSVGSGFKGQIRKCQCGEFLVMKTVTDMRNPNYGKKFWGCRNWRNRSDSGCNYFQFLSDDDNGDVDERDMKIARLKKKNGKLKHEVCFLKEELCNSRRWSKIAIMFGFLCFGFNIVLMTMIFSMYLK >RHN65130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64399561:64403276:1 gene:gene28033 transcript:rna28033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MIYSYTKNINGFAAFLEEKEAADIAEHPNVVSVLLNRGRKLHTTHSWEFMSLEHNGVAPSHSLLTKARYGEDVIIGNLDTGVWPESPSFRDEGIGPIPSRWKGTCQSDHTGFRCNRKLIGARYFNKGYAAYAAGSMVLQNGTLDTARDNEGHGSHTLSTIGGNFVSGVNVFGLGNGTAKGGSPKARVAAYKVCWPAIDHNGCFDADIMAAFDMAIHDGVDVLSLSLGGDASDYFDDGLSIAAFHAVKEGITVLCSAGNSGPTPGTVSNVAPWILTVAASTIDRDFDASVQLHNGQHFRGDSLSIALPENKLYPLITAAEARLAEAPVENATLCMNGTIDPEKARGRILVCLRGISARVEKSFVALEAEAVGMILCNDQSNGNELIADPHFLPATQITYADGVALFAYINSTKNPLGYIHPPTTKLNIKPAPSMAAFSSRGPNPITPEILKPDVTAPGVNIIAAYSGAISPTELDFDKRRVPFITMSGTSMSCPHVAGVVGLLKTLHPNWSPSAIKSAIMTTARTRDNTHKPILDDTNVKATPFAYGSGHIRPNRAMDPGLVYELNINDYLNFLCFLGYNQAQITMFSGTPYHCEDINILDFNYPTITIPKLYGSVTLSRKLKNVGPPGTYTASLRVPVGLSISVQPKKLKFDKIGEEKSFSLTIEVTRSGMATVFGGLTWSDGTHYVRSPIAVGGVKG >RHN39859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11132470:11138470:-1 gene:gene45937 transcript:rna45937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mechanosensitive ion channel MscS, LSM domain-containing protein MSAIRFSHVKSLHSSIKGFSNFNVQNYHSNPNGSLNLARISSFCTDFSSRGYSKNGFKSPKMFAETEFRNLGSLSGHRGFKFNAAFETQGFSSPRVCFSVVNIPFASNSMMTNGLSSRMYSSGLGGEGSKNGETEVGVGTGVSDVKGGGGGDSFVSGDLVDRMKDTWKSVVEAVSYAGDKVKEGSDGVTPYAQQFLDSHPYLNMVVVPVGGTLTATLVAWFILPRILRKFHKYGMQSPVSLFQVSLSGEPVPYEKSFWGAMEDPVRYLVTFLAFSQIAAMVAPTAIASQYLVPTWRGAVILSFVWFLHRWKTNVFARTLTSQSVLGLDREKMLALDKISSIGLFVIGIMALAEACGVAVQSIVTVGGVGGVATAFAARDVLGNVFSGLSMQFSKPFSIGDTIKAGSIEGQVVEIGLTSTSLLSPEKFPIIVPNSFFSSQVIVNKSRAEYRAILTKIPLQTEDFSKIPHIANDVKGMLTSNAKVFLEKDVPCCFLSRIESKYAELTLGYNLKNMRKDELYSAEQDILLQAVQIIKNHGVALGSTWNDTK >RHN60180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22566830:22567489:-1 gene:gene22428 transcript:rna22428 gene_biotype:protein_coding transcript_biotype:protein_coding MFIQALCFEKPFSVMIKPVGLKEKTSAIFRIDPLFAFSLSGLIMASSSGRDDGSACHNSWVGKETLGVASHFSVDGATMILDCAIGKREDWEILLPNVFDRVFSRFSANRIPMYEVVFREVGFRLPFSPFQVSVFEWLELCPSQLRLDSFAYLIAFELLCHFLGLPATKDLFFAFFSIQQGLDKVGGLNWVCFRQRKALFEVFSSEALKFEEKFFLVRP >RHN44323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3532208:3534627:-1 gene:gene38426 transcript:rna38426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MGSYSNEKENNIVETFTPQIDDSDTLLAMVLGANMVFPAVLNAAIELNLFEIIAKESNGDFMSAFEIASKLPTQHSDLPNRLDRMLRMLASYSLLSVSTRTNDDDSTVRVYGVTSSGKYFVNDENDGGYLGSFTSFMCHRALLGVWLNFKEAVIDPEIDLFKKVNGISKYEYFGKDPQINQLFNKSMTDTCNVHMKRILDIYKGFEGVSTLVDVGGGNGQTLKLIIAKYPSIKAINFDLPQVIDNVSPFSGIEHVGGSMFESIPQGDAIILKAVCHNWSDEKCIEILSNCYKALPPNGKVILIELTQPEDPEPTNASQMISILDNIMFITAGGKERTPKEYENLGKRSGFSKLQVACCAFSIIGVMELYK >RHN47831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42868560:42869588:-1 gene:gene42477 transcript:rna42477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MMGDVPPYLLKGLSPKDCLSLFVKWAFKEGEETKYPNLVDIGKEIVKKCRGVPLAVKTLGSSLFSKLDLNKWVFVRDSELWNLEQKKDDILPALKLSYDQMPSYLRQCFAYFSLYPKDCSFDSFEIQTLWIALGLVHSHSRNGSEKLEDVAREYMDELHSRSFLHEFEDLIRLCLPVQST >RHN51293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15896647:15896973:-1 gene:gene35709 transcript:rna35709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MPPFVLAAPIRFQLHGINFAVLKLEVLNLSNTKVDNETLYMISKNCSGLLRLLLENCNNVTEKGVKHVVENCTQLRELIWRGFIQVTKLGNSNEIALLKFEHCKLFLF >RHN57993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42983364:42984354:1 gene:gene33596 transcript:rna33596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEILKCFYTMNLFIFLIILPAKIRGEHIQCVIDDDCPKSLNKLLIIKCINHVCQYVGNLPDFASQIPKSTKMPYKGE >RHN74860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37255572:37258095:-1 gene:gene10988 transcript:rna10988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MQGSGLSGPVPSGISYLKNLTDLRISDLKGSDSHFPQLMNLKNLETLILRSCNLIGTVPEYLGDITSLRSLDLSFNKLSGQIPNTLGGLENINILYLTGNLFTGPLPNWIARPDYTDLSYNNLSIENPEQLTCQQGTLGMVACLGNNGCPKSKLILHGFPSDSSFQFIYFFKGGM >RHN58258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:350754:361130:1 gene:gene20150 transcript:rna20150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase STE-STE20-Fray family MKDKDRDKEKETEKKKYPIGAEHYQLYEEIGQGVSASVHRALCVSFNEIVAIKILDFERDNCDLNNISREAQTMVLVDHPNVLKSHCSFVSDHNLWVVMPFMSGGSCLHILKAAHPDGFEEVVIATVLREVLKGLEYLHHHGHIHRDVKAGNVLIDSRGAVKLGDFGVSACLFDSGDRQRSRNTFVGTPCWMAPEVMEQLHGYNFKADIWSFGITALELAHGHAPFSKYPPLKVLLMTLQNAPPGLDYERDKKFSKSFKQMIACCLVKDPSKRPSASKLLKHSFFKQARSSDYITRTLLEGLPALGDRMEILKRKEDMLAQKKMPDGQMEELSQNEYKRGISGWNFNLEDMKAQASLINDFDDAMSDISHVSSACSLTNLDAQDKQLPSSSHSRSQTADMEESDEMHNQLASVPEVDSTINDVKTRIEKSDDDSSITSSSHEPQTSSCLDDHVDHSLGDMENVGRAAEVVVATHPPLHRRGCSSSILPEVTLPPIRAESEKLQNLSTNVSSANAILVTHTGDDVLTELPSRASKTSANSDDTDDKAKVPVVQQRGRFKVTSENVDPEKATPSPVLQKSHSMQVGCLEVMSQHNATPLHSPLPLLSPISDATPSNISCCSLFPVLHSVLQTNILQRETILTLMKQITVGESAADNTNAPAQIAAMEKSLLESAHEREKELLHEITDLQWRLICTQEELQKLKTDNAQV >RHN73773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19811165:19811932:-1 gene:gene9635 transcript:rna9635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like jelly roll MKIFHILFLFAFFSITISHASLNDFSVADLKAPNTPSGYPCKPLSSITSDDFSFHGFVAGNTNNSFKLGVTTATVTNFPALNELGISLLRMDLDQGGLAPMHTHPDATELLSVVEGEITAGFLTPTSFYSKLLKPGDIIVFPQGMLHFAVNSGKGKATAFGAFSSENPTTQILDILLFGNKLPSDLIAQTTLLDLAQVKKLKAQFGGSG >RHN51761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24639156:24639712:1 gene:gene36279 transcript:rna36279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAQPNEREEQNDTVSSKRQQLITSTESPLPSLTFDLIAEILSRLPVKLLLQLQCLGKFWKSLISDPKFAKKHLQSWRHHLMVSSTNELQEFLLFDSPIASILSTFRVTQTDTTQLPRCLRKPCIQKTIEYVLLPWLRSFH >RHN63000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47507592:47509317:1 gene:gene25648 transcript:rna25648 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKKMIYVLLYRNTPTYAARTVNTLFASFINNIWSNILI >RHN58976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6351383:6354593:1 gene:gene20950 transcript:rna20950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MRSITLLSSFSILICYYCIFFTFQNSLASAKCLEDQQSLLLQLKNNLTYISPDYIPKLILWNQNTACCSWSGVTCDNEGYVVGLDLSGESIFGGFDESSSLFSLLHLKKLNLADNYLNSSIPSAFNKLEKLTYLNLSDAGFQGEIPIEISHLTRLVTLDISFPFYHLDFSFIFNQFFSFGPLPKLKISNLQKLIQNLTNIRQLYLDGISITSPGYEWSNALLPLRDLQELSMYNCSLSGPLDSSLSKLENLSVIILGENNFSSPVPQTFANFKNLTTLNLQNCGLTDTFPQKIFQIRTLSIIDLSDNPNLHVFFPDYSLSEYLHSIRVSNTSFSGAFPNNIGNMTNLLLLDISFCQLYGTLPNSLSNLTHLTFLDLSYNDLSGSIPSYLFTLPSLEKICLESNHFSEFNEFINVSSSVLEFLDLSSNNISGPFPTSIFQLNSLSVLSLSSNKLNGLLQQDELLKLRNLHSLHLSYNNISIIENDANADQTTFPNFERLFLASCNLKTFPRFLRNQSTLINLDLSNNQIQGVLPNWILTLQVLQYLNISHNFLTEMEGSSQNIASNLLYIDLHNNHIQGIPVFLEYLEYLDYSTNKFSVIPHDIGNYLSYTQFLSLSNNSLQGSIPDSLCNASYLQVLDLSFNNISGTISPCLITMTSTLEALNLRNNNLNGTIPDMFPTSCVASSLNFHGNLLHGPIPKSLSNCSSLKVLDIGSNQIVGGFPCFLKNIPTLSVLVLRNNKFHGSIECSDSLENKPWKMIQIVDIAFNNFNGKIPEKYFTTWERMMQDENDLKSDFIHMRFNFFSYYQDSVTVSNKGQELKYDKILTIFTAIDFSSNHFEGQIPDVLMKFKALLVFNFSNNDFSGEIPLTIANLKQLESLDLSNNSLVGEIPLQLASMSFLCYLNLSFNHLVGKIPTGTQLQSFEASSFEGNDGLYGPPLTETPNDGPHPQPACERFACSIEWNFLSVELGFIFGLGIIVGPLLFWKKWRVSYWKLVDKILCLIFRRMHFEYVTDRGQTYRILRW >RHN74603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35089568:35089885:-1 gene:gene10697 transcript:rna10697 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKDDIKYGTAQAKLSEDEVLRVRYKHGTPLEGGKIADSEPVDLFSSAQNISKSDQQQQTADSNQSQLQRDDTKDGEGDSTDFTPGAPCLPHKNKPQTLGHKF >RHN42986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42383569:42384556:1 gene:gene49502 transcript:rna49502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MSGNKRNQTSPVGSPTSGNISDSLSSKEQDRFLPIANVSRIMKRALPANAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFENYVGPLKVYLNNYREIEGEKSNSSATKQDDQYDHNSCSIDVNDLGGGFYAPKRFQEINGGILDYRVIGQSVVNNGNSDETEHAIGSGNKIMPPNLRYRVEW >RHN48523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48168288:48169101:1 gene:gene43249 transcript:rna43249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQKKKKNMAEISKFFYAFIIFISLILDVTNAGPIFCYNDDDCPHICSHPRVQKCRMFLCHCEEVEEKDEK >RHN72513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8284298:8284768:1 gene:gene8235 transcript:rna8235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative senescence regulator S40 MADWGVIKNKNTSFVEEDQDFDEEDVWGDFGSKKIKRASKDFSSSSSSACRKNIPKANTLPFVVCDDPFVKGSSAPMNIPDWSKVYGKNSKNGGLHDDEDGDEDGDMVPPHEWIARKLARSQISSFSVCEGMGRTLKGRDLSKVRNAILTKTGFIE >RHN52834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39286000:39290752:1 gene:gene37552 transcript:rna37552 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIKIRGVTGHPSMVQSLHKRNKLLFMVAQQLYHDGVDRKVLYNRTPLNSIQGFEMPLAAM >RHN49362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54276476:54277000:1 gene:gene44183 transcript:rna44183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzyl alcohol O-benzoyltransferase MMCIVNARSRFSANPSLFVGYYGNCIAFSAAVTTAKELCGNQLGYAVELIRKAKTQVTEEYMHSLADFMVIKERCLFTTGRTCIVSDWTRANFSEVNFGWGETIYGGVVKGGIGSYLGGTYIVPHKNAKGEEGLILPICLPPEDMKRFAKELDDMLGNQNYPTMSGPSFILSTL >RHN56226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29035648:29036654:1 gene:gene31594 transcript:rna31594 gene_biotype:protein_coding transcript_biotype:protein_coding MLCPSLVPPLHSPPVSRELSLAPLHWALQLRRRPCYEALESQSLARWRR >RHN57578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40262126:40264383:-1 gene:gene33147 transcript:rna33147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MDGFCKVGEMEKAKELWRDMISREIFPHYISFSILINGFCSLGLVSEAFRLWDEMKENGIKPTLVTNKRLFACWQCVKGKRLFEHNGFGRSSS >RHN47287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38493369:38495104:1 gene:gene41865 transcript:rna41865 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSVEMESLIPKPTRYLRRRRYQRLDEDTITGDGKKTKVLRLRRRQWRIRVVPRLTWAIRSPIKMWTKLKNTYEKFMLKSMKSDTIFGTKDRVVSKDNYSRDAFEARLIFEISKALVASHELNSM >RHN43917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49453673:49456536:1 gene:gene50554 transcript:rna50554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine-nucleoside phosphorylase MREILHIQGGQCGNQIGTKFWEAVCDEHGIDPIGQYIGNSKLQQLERVNVYYNEGSNGRYVPRAVLMDLEPGTMDAVRSGPYGQIFRPDNFVFGQSGAGNNFAKGHYTEGAELIDFVLDVVRKEVENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSQGSQNYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAVFRGKMSTKEVDEQVMNVQNKNSSYFVEWIPNNVKSSVCDVPPRGLSMASTFVGNSTSIQEMFRRVSEQFSAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDAPVYEDGDDYQEEEDAQS >RHN52688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37750542:37753652:-1 gene:gene37386 transcript:rna37386 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEVSSASEVMTSSEAEVHQKQKSIRSKISSEAISSPEATVDQYIQTEVSKELFFVISSSKRTKD >RHN55036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14593446:14599621:1 gene:gene30159 transcript:rna30159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MNMENMSIQDLVSVLRTAFLTEQFDSVEEILVSRYEKLRAENQHLQQKFQSEEELRKREEMCERGKKAQNNYEKLLKEVKKTTSGFRETISELEKKNSDLELEVSELRKRVGVLENEKNAFGVKNSELEKSMKKNCEAQVDAGITAFQEKEIVDAAPLQRIDPPMKRRKGDQGASLEESSLMENNGYDTPNMGCVHLLVHFGGERSTLLLKVDPGRYSYLDLVDGISGLAITDGLNNGGLSFAISFCHPTSKSTIPIKTDSHVLEMFKLYNMCSCIHVYTTLLNHEGDEVVSQVPDIILSEFDDDWRVSGEPPRNESDEILSGSSYIDEEKRDNEINIDEHSDYEEKHDRIASENSAEDKVSIYSNRGIKGKISAHEFEGKVKLEVGLLFSDVNEFRTALRDFVIQEGFEIKRIKNEKARVTARCAADGCCWRIHASPAPDGITYMIKSYNPGHSCTRTTKNSNATSTWIARKLESKLKADPNMSYAGMKQELLDSYGIEPSNVGQLYRARKKVRQDAKEFHPLSYNDLPSWANLALETNPGSVIKHRGSNGQIDPPVLSKLPDRPKVNRKRSVIEGPGGSQVARRSNTVRCGNCKEFGHNILGCQRDKSKIQEKLNVRRSSDGNAACSRQVTQSI >RHN69695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45609883:45612947:-1 gene:gene18201 transcript:rna18201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SSXT family MMNADPSLPVLTTEQIQKCLEENEELIMKILEGQKQGKHSELGPYQAKLQHNLTFLAKLADFGPQARPQAQTHSQGQGMQQQHQVPMPLQRPDFAVNSAFDMNEQQQLQQQHLTMSLQQPDLSASKFAFQMNEQQHNKQPTFFQHQLFPEGMNSFTGTNNRGMQTGNNNLPDMQSFEYQIGSDVSPGWS >RHN70392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51130790:51138667:1 gene:gene18968 transcript:rna18968 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDGGETPSRYELLCMVKKHSNLIGKTVVEEQDAPDVEMDMKFWHDVFDLYFVRGKESRGRQDDDLVFFVRKLVSRVSGSNNDPESVDPYFVRRWAPELSNLVDETSIDVDWRRSFYLNLIAHTSFSVTVAICSQQVLQNHQAGRDTSLSPIYKVVKTVYASPSRVNFQLDSTKEVETTPAYPDICFAIDDFDSTFDAVVLTERDHCYCVVLNAHDGAAFPSDKVSNDCSTSDDSSPEVCTSSTTKKDTKLTLFSGFVSYQMVRDAYDAGKSRFGSLLSVGHFPGKTDRIYMKGPGGRGEVEVAVSGVADQSQQDSGPHSPVVSNKGFGLGVIVRRAATVASVAARHAYAAASSSSSNFDEMIPLKCSLMSISLPWEYIAYDLLFKGAPPVNM >RHN52070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31302468:31306998:-1 gene:gene36688 transcript:rna36688 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGEYGYSIEYILMVDIIPDPSVQRAMNEINAGNNTLWFLDSVSHLPMAISSSKMHVCSILNHKI >RHN76713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:640613:643582:1 gene:gene83 transcript:rna83 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CTAG/Pcc1 family protein MDAQSQSQWDFTSDLEVDFGSQENASIVYAALAVDKELQPNKVKRIMAVSDGKLSVHFEAIEARFLRASFSAFVDVLTLATKTIEEFGQGMEL >RHN76500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50795059:50796100:1 gene:gene12839 transcript:rna12839 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSYIQPSSDLKKIEEKVTTNMREKMRNSSTSLSYDHNEVKKVEDSFETNKKLERKTTEDINASADAFIKNFRKQLVIQRLQSIENYEKMLARGL >RHN44123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1867594:1868528:-1 gene:gene38185 transcript:rna38185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAIILEFIYIVVLFFSPCLLVTDAYNITCNSALDCASNRCILPGMPICVTNKCLCV >RHN76900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2226325:2227621:1 gene:gene289 transcript:rna289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAD/NAD(P)-binding domain-containing protein MDREGRKPKAVIVGGSIAGISSANALTLAGWDVIVLEKTISPPTGNPTGAGLALNPLSQKIIHSWISHPQLIQNITVPLTFDQNQVTDSEKKVNWTLIRDESFNLTAVH >RHN54802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12497688:12498268:-1 gene:gene29890 transcript:rna29890 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGFTLGKDAVNKAKTFDEKLQLSSKASVTVASIDQKLGLSEKIGAGASVVGDKVREVDQKFLVSEKTKSAFAAAEQTVSTAGSAIMKNRYVLTGASWVTGAFNRVSKAAVEVSQKTKEKVENAEQQEKRQVEDQYAQVLSEPSKAAAASEQ >RHN42045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35041837:35044782:-1 gene:gene48434 transcript:rna48434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MEFIIGDAKFQMQKFIEKIVDMMKAERLFESQGGPIIMSQIENECGPTEYEIGVSRYGYRTRYRSSVDHINTCNGFYCDYFYPNKDYKPKMWTEAWTGWFTEFGGPVPHRPAEDMAFSVARFIQKGGSLHYNNQTNLKL >RHN53193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42711880:42713208:1 gene:gene37972 transcript:rna37972 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLTGDNNIKYITHSFYIPFGGRFPFCGESYGVIYVQEKIVWFNYPHYQENFVFVYFFFASVCLLYWQCSLEFLSRQSNTLLKYSQSMNPI >RHN49886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1961463:1966168:1 gene:gene34112 transcript:rna34112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H MAEEKDAFYVVKKGNVVGVYKSFTDIQPLLSSSVSGQAVSVFKGFSLPQETEEYLVSHGLKGATYSISAAHVNAGSFGRLAVCPYQDPCSSGGGTVMANSSLKRSQDALQVDTSDPYSYGGRTNMVNSSSKSFQGATQLDASKGAGSSSFSTNLQRNHSIGGLHLQADVSTDSCLSCRLEFDGACKGNPGPAGAGAILRAEDGSKVYRLREGVGAATNNVAEYRGLILGLKQALKKGYKHIRVQGDSLLVCNQIQGIWKVKNANMGELCNEAKELKNKFQSFKINHVLREYNSEADIQANRALNLQAGQVEEDCEIK >RHN80203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36080846:36084573:-1 gene:gene4102 transcript:rna4102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative R3H domain-containing protein MVLQHRDLDLLLSSHLINHPRGDGAKSNSMSIEKKIEFLESFTGKVTNRRSRRWLNDRLLMELVPRLNAEEIRGLFAPPPFGDEVPPSTFSLTNVEEWDRFRNIDMDKEVNIIHAFENSLEKKEGRIDADKMAVLNGWRRVGSNTREALRRSSLFELIEGYEECLRAFITESTDGDLLELSIKDPFHRLLLHGVCEFYNLASDTVSDLNGTETSKTTKIKKKKRASPELPKITLSHFLRMSKEGSW >RHN59456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10932484:10934908:-1 gene:gene21487 transcript:rna21487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MSSLTGLSLGINHLSRIIPSNMGYSLPSLQYLHLYGNNFTGNIPNSIFNSSNLIEFRLGGNAFSGTLPNFVGNLRFLKIFDTFHNNFTIEDSHQFFTSLSNCRNLKFLDLSRNHILPNLPKSIGNLTAEFFWAASCGIDGNIPLEVGNMSNLLRFSLSVNNITGPIPSTFKGLQKLQILNLSSNGLQGSFIEEFCEMKSLGDLYLEKNKLSGVLPTCMGNMTSLIRIHVGSNNLNSKIPLSLWSLRDILEINFSSNSLSGNLPPQIENLRAIILLDLSRNHISSNIPTTINSLITLQILSLAENELNGSIPKLLGQMAGLISLDLSQNMLTSVIPKSLESLLYLENINLSYNRLEGEIPDGGSFKKFTAQSFLHNGVLCGNPRLQVPPCGKEDKKMSMAKMIILKCILPIVVSAILIVAFIICFRIKRKNVENTLERELSVLGATRRISYYELVEATNGFNESKLLGRGSFGSVYQGMLPDGEMIAVKVIDSEAKSTSFDAECNVMRNLRHRNLVKIISSCSNHDFKALVLEFMSNGSVDDWLYSDNYCLNFLHRLNIMIDVASALEYLHHGSSIPVVHCDLKPSNVLLDENMVAHVSDFGIAKLMDEGQSKTHTQTLATIGYLAPEYGSKGIVSVKGDVYSYGIMLMEIFTRRKPTDDMFAAELSLKTWISGSLPNAIMEVLDSNLVQLNGDEIDLSFHMSSIFSLSLNCCEDSPEARINMEDVIASLIKIKTLVLGENWVKL >RHN71719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2156413:2161161:-1 gene:gene7348 transcript:rna7348 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFNKWCVYFLLKRQWWCVLENKLVPDYNKIFVKPKIFSSLSNTSLFL >RHN51621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21149939:21151369:-1 gene:gene36098 transcript:rna36098 gene_biotype:protein_coding transcript_biotype:protein_coding MFKCAESTHHEQRTYEDHQKGIGKLRRLWWKIQRKVNHKSTPLEE >RHN44549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6022783:6023670:1 gene:gene38678 transcript:rna38678 gene_biotype:protein_coding transcript_biotype:protein_coding MRYQVHILHQEENQRTKGLSAELMNNWEVKEKQRSNGSRIDKINIKIAELSYISA >RHN77280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5676060:5677044:1 gene:gene718 transcript:rna718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine C-palmitoyltransferase MASSFINFVNTTIDLVTYALHAPSARAVVFGFNIGGHLFIEVLLLVVILFLLSQKSYKPPKRPLSNKCSRVELYKASEIDELCDEWVPQPLIPSLNDEMPYEPPVLER >RHN57749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41477899:41478219:-1 gene:gene33333 transcript:rna33333 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLYLPLVTLLSTTATGGSSSTSPDPFQSLPPEEHFRAATSDHRISHKSNPPWAIIMWALAAGIFLILVAIKVFCWLSSSPAPTANTAVLGVPVPNTSVGNNSGV >RHN58572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2925048:2930514:-1 gene:gene20494 transcript:rna20494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative haloalkane dehalogenase MAKGGQCLLEKLWRCIRTVFFVVALVVSLIVTSLPVVVAVVDVLVPCVLISNFTCVNCYSFKQLLRRYSFKSSLMDIPLVSVLRSFIIICVYSICDGPALSHGPYLGTVTLCSFSSIILLSVKACLFTVNSQIEAEASLASLKRQRLHLKKSWGMPVLFLSSVVFALGHTVVAYRTSCRARRKFLFHRIDPESVLSCKSAFSVYPKVPRSPTPCGGRTPKSDSEMRRRPFGTARDEALQARLLADSDSCFITCQGLTLHYKLSLPGSPPHTLSSTACVESNSRCSTSSMAGGLEKFDRHQLDMSPKIERHLYRSYSNQLNGSSLYTPLLDSTATTVFSEDIPIFHLDGICEENETSKLDSLTLEQNIGQLGAVLIHGFGGGVFSWRHVMGSLAREINCTVAAFDRPGWGLSSRPRPEDWEEKELPNPYKLESQIDLLLSFCSEIGFSSVVLIGHDDGGLLALMAAQRIKTSMNCCNVTIKGVVLLNVSLSREVVPSFARILLHTSLGKKHMVRPLLRMEITQVVNRRAWYDATKLTTEVLNLYKAPLSVEGWDEAVHEIGKLSSETILAAKNAESLLQAVEDIPVLVIAGAEDSLVSLKSCQAMASKLENSRLLAISGCGHLPHEECPKALLAAISPFISRLQTASDSQRQ >RHN74072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:26238231:26238753:-1 gene:gene10029 transcript:rna10029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-lipid omega-6 desaturase (cytochrome b5) MYICWLHEDTAWHPVWKEDFDSNLLLRKALVYGYGPLRPWMSIAHWLLWHFDLKKFRPNEAKRVKISIAAVFAFIAVGWPLIIYTTGITGWLKYWFMPWLGYHFWVILFLQADILIIGSVTRYHIVS >RHN77865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10049150:10051354:-1 gene:gene1367 transcript:rna1367 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGMGSGWVPIYSLPIPFPCFEIGENSNSYPNPVKTEKIHQIGFGSGE >RHN46815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35105629:35106534:1 gene:gene41346 transcript:rna41346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphopantothenoylcysteine decarboxylase MMANSDSKSAIGHVNAPRKPRILLGCCGSVAAMKFGLVFNAFSEWAEVRAVVTETSLQFLVHEKAESLFTHRHDIYKDDSEWKNWKKIGDSVLHIELANWADIMVIAPLSAHTAAKIAGGLCDNLLTSIVRAWDYEKPMFVAPSMDGCMWRNPFTEQNFMSIEELGVTLIPPVQHMPTNMREMADPSTIFSTVKSFYDSNILKDK >RHN68915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39588538:39589709:-1 gene:gene17336 transcript:rna17336 gene_biotype:protein_coding transcript_biotype:protein_coding MCYCLTRHWKFTCLFSSHSFINLVFALMDHNIPDLIGNGSGEMKSKMNRKDAVDDFDISLMCRIFPSITLGSPPQVGLYDGTTAYSETNIISETKDFEQHLYDSSLTSSPLRKDDSFPCPLTPQSVSSIYEEKLDLLGKILKRKWGCSHSQTLHLMSYLSTHLSIAYLD >RHN65135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64426451:64427016:-1 gene:gene28038 transcript:rna28038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome-c oxidase MTNPVRWLFSTNHKDIGTLYFIFGAIAGVMGTCFSVLIRMELAQPNCAEKKKKKKTTQNRQHSAD >RHN46914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35756501:35760043:1 gene:gene41449 transcript:rna41449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease PH, bacterial-type, PNPase/RNase PH domain-containing protein MEIDRPDGRSPNQLRPLACSHSVLHRAHGSATWAQGETKVLAAVYGPKAGTKKNENPEKASIEVIWKPNTGHVGQADREYEMILKKTLESICIRTIYPNTTTSVIVQVVHDDGALLPCAINAACAALVDAGIPLRHLAGIAICCSVTDNNSIILDPSKKEEEKSKAFAYLVFPNTTVSVVPEKSSQVGNDPMAHGIITSVTHGAMSVDDYLHCLERGRATTQRLSEFLRKNIAPKSTREASKAG >RHN80578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39166509:39170098:1 gene:gene4518 transcript:rna4518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MSCFPCCGHQKNKNSNSKREHGSPTAQEITSAAKTPDMKKQRADEQMQGDPTNINAQNFTFRELATATKNFRQECLLSEGGFGRVYKGVIPATGQVVAVKQLDRHGTENSKEFLTEVSLLSHVHHENLVNLIGYCADGDQRLLVYEYFPGTTLEDRLFENKTDEPPLNWFDRMKVAEAASKGLEYLHDSANPPIIYRDFKAFNILLDVDLNAKLYDFGMVKFSGGDKMNNAPPRVMGTYGYCAPEYTRTGQFSLKSDVYSFGVVLLELITGRRAIDTSKPNEEQNLVSWAQPLFRDPKKFPDMADPLLNKQFPEKDLNQAVAIAAMCLQEEPEARPLIGDVVTALSFLSTGTTQSIPPPSLPTATSVSMHSVATESEWESESESESEGETSDDEGPDHEEDNETSQKHAASSAKYQENDVLIQHQKRASSKKSSRKSSTRSRNVTMSTDSAEGSASSSDQKSRKWKSFVGNLSQKTNSTKKAVIKELSMKSSKKTSIKELSQKSSRKSSIRNLIHKSTGKSSAKVSSHAESEDASMISSHRAGSSIQYTSSAMSDRSSGRGSGSVHSDINHSRRTEESMRLAMESDDEGNSHPFDRMSSSESDRR >RHN68321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34556429:34557313:-1 gene:gene16657 transcript:rna16657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MNRFLDKAAILEDTPVNPKHNPWRLATVTRVEETKLVLNIIPIWLASLTTGITLAQATTLFVKQAASMNLKLSDNFTIPPGSVVSVTAIGTLIFVPLYDKVLVPIMRKITGNERGISILRRIAIGLAFSVMVMIVAALVEAKRLRMHEQEILRSGETGKNTMSVFWLVPQYLILGFGDSFSLVGLQEYFYDQVPDSMRSLGMALYLSVIGVGSFLSSFLITIVAHVTEKNGKSWIGKDINSSRLDRFYWMLAIINALNLCAYLFLAKRYTYKSVQRIGNEIDAGKSDDGVDMMA >RHN59142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7863320:7866440:1 gene:gene21133 transcript:rna21133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b5-like heme/steroid binding domain-containing protein MILSIFVTTDQSTMGEERKVFTLADVSKHNSAKDCWLVIHNKVYDVTKFLEDHPGGDEVLISSTGKDASNDFDDIGHSTSAYTMMEEYYVGDIDSSTIPSKVDYTPPKQPHYNQDKTSEFVIKILQFLVPLFILGVAVGIRFYTKSTQ >RHN60421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26598607:26599543:1 gene:gene22732 transcript:rna22732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-pyruvate monooxygenase MHPLTKEMVFVGMCLMKYLSVEKVDKLLVFMSKMMYGDMSKYGLIRPKEGPFSIRVRTGRPPSIDVGCIKMIKKGKVKVYPTISRIKKGKIVEFVDGKSGQFDVIVFATGYRSNVLEWLKDYKELFNENGMPKGCYPNHWKGENGLYCAFSRRALQGIVYSSQKIANDISLTIDAKKLLAEAVEVNARIKLLDE >RHN57574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40198354:40204399:-1 gene:gene33143 transcript:rna33143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor STY-LRP1 family MGMFVVAPSSFHHHHHNNNHHEALMSDPHSLNPATALGVGVIPLLTASPCLENENMLNSRRNQQGIQFWHEQHHQQQQQQSPHSHYLKKQQGFLDHHNTSPTNLVHGGGLTASGTSSGGGTTTCQDCGNQAKKDCSNRRCRTCCKSRGFDCPTHVKSTWVPAARRRERLSSTATTTVVAGGGSSGSTSGAKKPRLIASQTTSHTSTSNTTPPRSFDTTSSHQDAGFKDSMPGQVRAPAVFKCVRVTSVDDGKDEYAYQAVVKIGGHVFKGFLYDHGVENREVYPNLSELHLGGGGGNNNGGANRNGVSSSSPMMDPSHDVYAAASSGGGGLLGGSAYGNQIN >RHN57236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37476734:37479466:-1 gene:gene32748 transcript:rna32748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative preprotein translocase subunit SecE MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFSKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSGWGKLDSGIHVVKQKEAEELNLSYVFQIFFFHISYHRKIGLQFY >RHN50603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8498184:8500396:-1 gene:gene34909 transcript:rna34909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MNQIKVYYLHQKMAEAPSSNTNLRLSGKIAIVTGGASGIGEATARVFANEGVRVVVIADIQDELGNQVAASIGSQRCTYIHCDVTDEDQVKNLVQSTVDTYGQVDIMFSNAGIASPTDQTIMELDMSQFDRLFAVNVQGMALCVKHAARAMVEGRIRGSIVCTGSVSCRQGGPRSTDYTMSKHAVLGLMRAASVQLAAHGIRVNCVSPSGLATPLTCKLLGMSEEKTQETYQKYARLEGVVLTPKHVADAVLFLVSDQAEFITGLDLRVDGGFAYGK >RHN69644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45195399:45199935:1 gene:gene18139 transcript:rna18139 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEIAMSPPQTMISIPFKWEEAPGKPRHYHTQSELVNTVRTTLELPPRLLFLDAKVDVPSPTTVLDGPYVGRAMSFTSSYRTSRENWNSNFGSSRWSGFRKINKEGDEGSFDFSGQNKVKSSRAAKGGSPISKGKSHLWASIYDSFKQVVPWRRRKETQRKWVSITDTI >RHN40839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:22728403:22728845:1 gene:gene47068 transcript:rna47068 gene_biotype:protein_coding transcript_biotype:protein_coding MTALRPLPFHHRRPLPPPPTHRSHRRSSCLHRRWLNVLVSRVGARRSLMVICFQLLVVASIPNVVSAMVKESHNLGQRLLFQNRVLVRGYEVLCERMRSHDLGQRLFFLKLLRMRSLLRLWLLM >RHN51241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15313118:15313683:1 gene:gene35648 transcript:rna35648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MNLLTKNVFDMIIFLSPLIVTMSMKVLCGRDGTCPRFMCGPGIIPKCVGRYCEC >RHN78389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14681278:14684677:-1 gene:gene1938 transcript:rna1938 gene_biotype:protein_coding transcript_biotype:protein_coding MPQILSCRYFPPHQAMKRLVGQLVLENADYVVDSICRQLRHLDVNHHVPNVLASTLSYIGVAHKILPLLEEPMRRVSIELEILGRHQHPDLTIPFLKAVEEIVKASKREASLLPLQAESFSTDVRSTISNAKETTEDQWEVILFKLNDSRRYRRTVGSIAGSCITAAIPLLASSKQEICLASLDIIESGVLAISKVEAAFKGEREIKEAIEEALESLSLYHLKDTLDATEEGADENRLLPTANKIWPFLVTCIQNRNPVAVRRCLNVISNVVQICGGDFFTRRFHTDGTHFWKLLTTSPFRKLSNFKDEKTPLQLPYRSSSVNSEDSMAETSYLKVQIAVLNMVADLCSNKKSSTALELVLKKLCGLVVGIACSSVGGLREPSLNALHGLASIDPDLVWLLLADIYYSVKKKDAMPPPPRPDLPDISEIIPPPSSPKEYLYVQYGGQSYGFDIDFVSVEFVFTKIDSQYQMYS >RHN68437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35532711:35541083:-1 gene:gene16793 transcript:rna16793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UTP--glucose-1-phosphate uridylyltransferase MLHSTSLLHHNHHRFLFSFRSKPSLLDSHSQSQPLSFSKSLSLPSSSSSSSSTCCHVARISTEPLELSTPPPGFNFRREITRLTSLRDKLAACDTINDKLRIINADYRVRRFFGSSSRNAGLARVLSTLQLDSENLFLLKCLVAAGQEHVLCLEETMPEMGSSVTGSGSVKSAFYALAKMIEKMDSGNGNSGGGFGMGLEDHEIRELNKLLETLAQIERFYDCIGGVIGYQIMVLELIVQQLVERKNTNWSQHMHEVKEGQILGIDSPTGLDLSENTEYASQAALWGIEGLPDLGEIYPLGGSADRLDLVDPNTGECLPAAMLPFCGRTLLEGLIRDLQAREFLYFKLYGKQCITPVAIMTSSAKNNHKHITSLCETLSWFGRGQSTFQLFEQPLVPVVGAEDGQWLVTKPFSPLSKPGGHGVIWKLAHDKGIFKWFFCQGRKGATVRQVSNVVAATDVTLLALAGIGLRQGKKLGFASCDRVSGATEGINVLMEKKCPDGNWEYGVSCIEYTEFDKFGITNGSLPKSLQAEFPANTNILYVDLPSAELVGSSKNVNSIPGMVLNTRKTINYVDQFGRRCSVSGGRLECTMQNIADNYFNSYSSRCYNGVEDELDTFIVYNERRRVTSSAKKKRRHGDKSLRQTPDGALLDILRNAHDLLSPCDIKLPEIEADENYVYSGPPFLILLHPALGPLWEVTRQKFNGGSISKGSELQIEVAELFWRNVQVNGSLVIKAENIMGSMKIDESGESILHHGQRCGRCKLQNVKVLNEGIDWSYGGNVYWKHDVKRSEVLQIILHGNAEFEATDVVLQGNHVFEVPDGYKLKIMPGSPGLAIQLDPIEEGMMDSGSWHWDYKIEGSHIKLEFVES >RHN76812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1469602:1472331:-1 gene:gene190 transcript:rna190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcriptional coactivator Hfi1/Transcriptional adapter 1 MLPQQSSRINLVELKVQIVKRIGVEKSKRYFYYLNRFLSHKLSKNEFDKLCFRVLGRENLPLHNYFIKSIFKNACQAKTPPLVQPSGPSKSGARVTNFSPGVEDGHEQSVANGNFQNHNVSVWSNGVLPVSPRMLRSGIRDRKLKDRPSPLGPNGKVDSVAHHSMASEDSGSKVDMENGTLTPCDYQRRTQHLQEVAGLPKNAMGDAILGLAEKPRTHVNGLTQISTVEDGEEVEQLNRLGFNRNPLIAPLGIPYCSASVGGARKALPANSTGDFVSCCDSGRLSDTDTLRRRMEQIAMVQGLVGVSPECATVLNSVLDVYLKRLIKSSVDLVGTRSTNEPTKLPISKQQVQEKLINGMLPNNHLHVHNTGRMAEPQLEHRPQFSVSLHDFKVAMELNPQQLGEDWPLQLEKISMQSFEE >RHN47985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44049054:44050472:1 gene:gene42650 transcript:rna42650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MAFSRVTFLVILISTVAIASAGNFYQDFDITWGDGRAKILNNGQLLTLSLDKSSGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGGAWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIVFSVDGSPIREFKNMESNGVPFPKSQPMRIYSSLWNADDWATRGGLVKTDWSNAPFTASYRNFNANACTVSSGTSSCSSTQSNKNSWFSEELDSTSHERLKWVQKNYMIYNYCTDTKRFPQGLPQECMMV >RHN43983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:502555:503223:1 gene:gene38027 transcript:rna38027 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFRIKQLRKSCMMLIMVWFSLRRNPRNQKSIKPFLQLKFQTDMVIKNGVPNVHSTKPCSTSMSHSLGTQGG >RHN61272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34349788:34350627:-1 gene:gene23713 transcript:rna23713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding pseudobarrel domain-containing protein MYHNPPIDHFRAVKLSIQDRVEVQPEFVEKYKDDLQDPWNIMNMDGGMHQIKFKIGLYNPTLKDGWEPLQQYHHFPDNVDIIFGYYGNNLFKVIMFREVFCATKIPSFHSRSMYPEEVIIFDIHISDNDLNTPIKMLPNHFGTFLQNDFRSLLTLCCDDGTFYFVDIIHYGDYVDDPNSGIQWNDFILSNYIVAGQKLRFKFDLTTTYMCHVFPIDV >RHN62334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42708546:42709246:1 gene:gene24896 transcript:rna24896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >RHN79094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22504608:22506028:1 gene:gene2795 transcript:rna2795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein MIZU-KUSSEI 1-like, plant MKQQQNLTLQRSTSTSATITTRNNRRIIPSNHRLSESDDFSGNLLIRQGSSPSISSFSNQRQQQAKQTTTTKKLSSLIRSFLNIFTFQTIFPTCNWLTIPSTLSSTSISPSLGRKVTGTLFGNRRGHISFAVQLHPRAEPLLLLELAMSTSSLVKEMSSGLVRIALECRKTSSTASAVSGGRVRLFHEPDWTMYCNGRKCGYAVSRTCGELDWHVLTTVQSVSVGAGVIPMLEDGGGCGGSEGELMYMRAKFERVVGSRDSEAFYMLNPDGNGGPELSIFLLRI >RHN48437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47468405:47474009:-1 gene:gene43153 transcript:rna43153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MANDSSFLFAGIHFDRKKFGADIARFQKKDTDNSFAKIQTVTEDEESKETIEAVSGKKRKRKKTSSAVDGFSVFRSSTSKSNEEGQADDNDESIRLKKEQNKQLERDAIFRKNHNIHVSGYNVPSPLQSFDELKTRYKCPSYLLWKLAELGFREPTPIQRQAIPVLLQDRECFACAPTGSGKTLAFVCPMLMKLKAHAKGGVRAVIICHSRELSGQTYRECKKLAKGEKFRIKLMTKNLLRNADFSKFSCDILISTPLRLCLAIKKKKVDLSRVEYLVLDESDKLFEPQLFKQIDSIIKACTNPSIIRSLFSATLPDFVEDRARELMHDAVRVIVGRKNMASDTIKQKLVFTGSEEGKLLAIRQSFAESLNPPVLVFLQSKERAKELYGELAFDNIRVDVIHSDLSQEERENAVDNFRAGKTWVLIATDVVARGMDFKGINCVINYDFPDSASAYIHRIGRSGRAGRSGEAITFYTEEDIPFLRNVSNLMASSGCEVPSWLTELQLQKKKWKKHRPDRDSISTKPDLPKSDSISTKPKLQKKLKKHRPKKDSIVTKPDLPKSDSISTKPKLQKKLKKHRPKKDSIVTKPDLPKSDSISTKPKLQKKLKKHRDPKEILS >RHN78330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14190347:14193176:1 gene:gene1872 transcript:rna1872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alkane 1-monooxygenase MTKTNTSNFNLTFSCYTSNMVAATENLFSHILFLFQQIQIIEIIIASIVFIIIHALHSKKHHGLTNWPILGMLPSLMIGLKTNMYEGITETLKHQNGTFRFKGPWFTSFNCIITSEPQNLEHLLKTKFNIFPKGNFFKDTVSDLLGDGIFAVDNETWQKQRKTASIEFHSTKFRKLTTDSLFELVNNRLIPVLDSSLKKSVSIDLQDILLRLTFDNVCMIAFDVDPSCLQLHLPEIPFARAFEDATEATLLRFVAPVCVWKAMRFLNLGMERKLKESIQKVDEFAENVIRARKKELSLEHDMEDDKRKSDLLTVFMKLKDENGKAYSDKFLRDICVSFILAGRDTSSVALSWFFWLLNQNHEVEEKILEEICRVVSQREDININKEVFNDSLRFKPEEIKKMGYLHAALSETLRLYPSVPMDHKEVVEDDTFPDGTKLKKGTKIIYAIYSMGRMESIWGKDCLEFKPERWLTKDGHFIGESAYKFTAFNGGPRLCLGKDFAYYQMKYVAASIIFRYHVKVVENHLIVPKLSLTLYMKHGLKVNLHRRCDEEIHKYLKIS >RHN60452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26964703:26970807:-1 gene:gene22764 transcript:rna22764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (+)-borneol dehydrogenase MSTVPLVSNALRRLEGKVALITGGASGIGEATARLFSEHGAQVVIADIQDDKGHSICKELQKSSSSYVRCDVTKEEDIENAVNTTVFKYGKLDIMFNNAGISGVNKTKILENKLSEFEDVIKVNLTGVFLGTKHAARVMIPARRGSIINTASVGGSIGGCAPHAYTSSKHAVVGLMRNTAIELGPFGIRVNCVSPYFLATPMVTNFFKLDDGGALDIFSNLKGTNLVPKDVAEAALYLGSDESKYVSGLNLVIDGGVSVANNGFCVFEQSV >RHN56932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34962624:34972753:1 gene:gene32401 transcript:rna32401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleolar complex protein MESPMKKTKKMKKKTPKQHSITEIKTLGQELLSSASHINNLPLLLTFISPSSPPHHILESILSLHSFFLPLLPQLPSSAATSSSSSADSDQSEFIYLTWLRSKFDEFLKLLIDVLVADESDETIKEVVLDTLMEFVKVANGGAFHSSLYNRILRSIIHSTSNAEFLIDLLISKYFKYIDVRYFTFISLEKLTRNLDGKDASDDKTASADGTDESQLSSSTEFIIHNMYYTISHIPPLEKSDDTSHLEMWSLTDDKQLKSKKRNNNVLSAARIAKKMKLKFTKAWIAYLRLPLPLDLFKEVLVNLHQAVIPHLSNPIMLCDFLTRSYDVGGVVSVMALNSLFILMTQHGLEYPKFYEKLYALLVPSIFMAKHRARFFQLLDSCLKSPLLPAYLAASFAKKLSRLLLSVPPSGALVITSLVHNILRRHPSINCLVHREEVNEDSEHRTDEETNSNLDNAHNVAKPCQKSGLDHFNIEESDPMKSGAMRSSLWEIDTALHHYCPPVSRFALSLGTDLTVRAKTSEVNIGDFSAGSYATILGAEITRRVKQVPLAFYKTTPSSLFSENDFAGWTFKCEENSETIIDNNENGAKDLLDQEHSPAKRQRIECQ >RHN53031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41369240:41370559:-1 gene:gene37776 transcript:rna37776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MSHFSFFMRIFFHLSCFVYLSHALNNGFSVELIHRDSSKSPIYHPIETKFRRTYNVVSRSINRVNHFSKQFSHNTNQPVSTLIPDSSEYLISYLVGTPPFKAYGFMDTGSNLIWLQCQPCHTCFNQTSPIFNPSKSSSYKNISCSSSACKDTPEVDTSCNHDEDACEYSVTYGGDAKSHGDLSTETLTLDSTSGSSVLFPKTVIGCGHVNMLSDNDQSSSIVGMGSGQMSLVKQLGSSIGSKFSYCLIPFHSDSSRSNSSSKLKFGDAAVVSGEMIVSSPMVKVIGREDYYFLTLEAFSVGNNRIEYGEETNVSKQNILIDSGTPLTMLPPLFHSKLESYVAKMLNTRAEPPDHRLSLCYNTTGKQSNFPVITAHFSGADVKLDSNSTFFPIEEGIMCFSFLPSQGPSIFGNLAQHNLLVGYDLQKNIISFKPIDCTKL >RHN40534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17268540:17270104:-1 gene:gene46694 transcript:rna46694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MVLNGKLSTELGIKASVDKFYNFFATQLHEMQIHCERVHETKLHQGDDWHHTDTVKHWTYVIDGKVHTCHESVEEVDEKNKKLSYKLFGGDIGENYKDFKLIIEIIDKSDSSAAIKWTIEYVKINEDIDAPNGWMDYVAKLTRDIDAHLVKA >RHN39721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9948689:9949042:-1 gene:gene45779 transcript:rna45779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MTTSTIFDNAKEHIKDIGEGNKVATPLALGASYVDTTRALDPGLLYDVGAQDYVNLLYGLNFTQKHITTITRSTFNDCSKPSLDINHPFFIAFFNGGNSSWRRIQEFHKTVTNVGEA >RHN78248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13096357:13097627:-1 gene:gene1782 transcript:rna1782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MLPALLRHLSNFHDHTTLVLKHHGGTFRFEGAWFTNTGFIGTSDPMNVNHIASKNFSNYGRGSNFQDIFYFFQGSILNSHPDVWKQQRAMFNSYLKRKTFMNFFQQTMKKKLEKCLLPFLNDVSEIGAHVDLEDVFSRFTFDSICTIAFGFDPNCLPNKFNELRDIAYQKSLPVMDEVIIYRHFIPSYLWKLQKWLNVGHERKLREAEENLDRFLYESITFSKQEQCKCSSSEEMDDFLKALTKEGSGKGEMDEKYLRDNVITLFLAGNGPVSSALSWFFWLVSTHPIVEAKIIQEIKDNCPTQEENQIPSRDEYLDKLVYLHGAICETLRLYPPVPFEHICSIKSDILPSGERISPNTRLLYSLYAMGRMEQIWGEDCMEFKPERWVSERGHIIHVPSYKFIAFNQDLEVVWVRILASFK >RHN69857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46840648:46844485:1 gene:gene18381 transcript:rna18381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative programmed cell death protein MAKVLLGMPGPWANDYRELSDPFTTKIGGIPDWPLPKDSINTDLLLCATCSGSLSLVAQVYAPLSHHHRILFIFGCVSPKCTTVWRVLRLQKLADVDISQHKQQVEVQNSNSRDDEDESEDEDDMSFEELAEALFQAGTLASSNSKSKSKKQQKKRQHNFPTSSPYPEPTTASEDVNNHNDIPVVPCFYIYTQEEPSTGGLSSVCSSYSSLSIKENGNSVEDNMQAEETWEKEQYEYDKALTADRTYLKFKKRLDAYPEQCFRYSHGGKPILAVADEINPGSCGLCGRPRQFEMQLMPPLLYFLQEALDDNQRQMVENWDWMTLLVLTCPESCCEEIKQVKSNSEGWIIAEEAVVAQCEESMPTQLGYFS >RHN40766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20595399:20597089:-1 gene:gene46967 transcript:rna46967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVQNLKFAYALIIVLSLFLVEFDWTYTHVQCRKNADCPKYLCMLPLKPKCKETFWCTCIEKERL >RHN82263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52416674:52419353:1 gene:gene6412 transcript:rna6412 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGKPKDSDFQLALAPGNSKPPLPPSSNAIVEYTPPVFKEEEEDLEIKLRRIIDNVPVRVSNTSGSSAGSGSGDFHQYRQMRRKEQDRLARMEVDYQRRKELAEFAMRREERLKAAEERTAKKRAKRQKKKQRKKEKKIKTNDGEQQQEKEHSSDDGDSDNDEGAVH >RHN74190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30031832:30033183:-1 gene:gene10205 transcript:rna10205 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPGFEPRTPHFSTFKMCELQPLSYLIKKKKDTCKLVVIQNQ >RHN43185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43847530:43847843:1 gene:gene49723 transcript:rna49723 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNYKETYKLTKYFNLVIEGESVPENPIEARRYDMSISTSDM >RHN46134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29057460:29058111:-1 gene:gene40577 transcript:rna40577 gene_biotype:protein_coding transcript_biotype:protein_coding MEDECRTPRLLQNQIPAVFICPPPPPRKKPVVGMRRPPPKEGYFQPPDLDSLFVYVARKEACA >RHN58887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5725017:5727273:1 gene:gene20842 transcript:rna20842 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHGFVFYGLKTHHMAMHWLLVFLFAVDMPCSIQVAFGYFVSTRHWRASLFISLGTLVLLLHGAFGVKFVQHMISFH >RHN75812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45295874:45299582:1 gene:gene12065 transcript:rna12065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H MSRGNNRERSCILEFDGASSGNPGRSGAGAVLRSADGSRVHGVSQGLGTQTNNSAEYNGLILGLNEARNQGYEHVHVRGDSQLVCKQFEGSWKVNNPNLRNLCNEAVELKSNFKSVSVEHVPRGSNSAADAQANRGKNLGAGEVEYYY >RHN74517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34217040:34217972:1 gene:gene10603 transcript:rna10603 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKFGIRSDLASEGFKSVGLFFTSFALIRCIALRVSPLIIFPLTVPNCSSVKI >RHN62953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47014596:47016566:-1 gene:gene25594 transcript:rna25594 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative omega-hydroxypalmitate O-feruloyl transferase MKDIYTLYYIAYLITFLILFIPLDSLNTMTGILVISTTTIQAKKHVDDNSTHTIIDLAPWDLKYLKMAPIQKGLLFHKPNTNQIDHLKQTLSSTLNFFPPLAGRLVITQHDEPNNASCSIICNNAGALFVHAKAEHTAIADILQPKYVPPIVSSLFLLNEIQNHKGTSQPLLAVQVTELIDGIFIGFAINHVGVDAKSFWHFINSWAEISQGFNKPTNIPSFKRWFPNNINRPIRFPFTKEAQKQPFTNEAQNQQCEVLSHRIFHFSKEQILQLKSKANAEISSSNSSEKIIISSLQALLSHVWRLIISKQNLKPEERSAFVLPIDCRTRMCPKLEDNYFGSGIGATGYVIMQVGELMESGIGKIAMEMNKVISIQSHHEKVVNNYESWLKTPSIPEAGRSSSNLLIASSSPRFNYYGNDFGWGKPIAVRNGVGLKRNIGRVIVLGGEEEGSVDIQVCLPYDILEAMGNDHLSMDPITV >RHN52019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30449400:30450120:1 gene:gene36631 transcript:rna36631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQFLIFVYTLIIFISLFLVEASPLQRPCVTVADCPPVKKPLKMWCIRQTCFYGFGKRPDL >RHN75558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43267084:43270228:-1 gene:gene11785 transcript:rna11785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative autophagy protein Atg8 ubiquitin MAKSSFKLEHPLERRQAEAARIREKYPDRIPVIVERAEKSDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNILPPTAGMMSAIYEENKDEDGFLYMTYSGENTFGMLM >RHN76496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50777211:50777675:-1 gene:gene12835 transcript:rna12835 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSLVPKAHVLKQSKGRRWRSLEGSKVYGMEADGSEVEVTSKRACNEGATMDFLEVKGHGGAVVLHHQRQKGQPSSGLRQIIEEQRREREGSISLDEERDPVKQFEASKLFGLQNEVRFNFSMAEGVSKRLIHAEKGDQKHKVMREKLAGDQ >RHN38625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:788244:794192:1 gene:gene44582 transcript:rna44582 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDLEIKSQLENINAPAFSELNVLEPRENGEADDCAREMMSIEQTPLCKGDKDVEVNITECKNSGKALMVLDFGEDDVTESASSFGDTGSGSENAVTASYGDPEVESQMSSDSAFSSMCDDWHESVRRRKKRTTDHWKRFISPITWRCKWIELKLRSLHSQERKYEKELAALNYTKQLDFSHLTLDGSGIKSVPISGRMHRNKIMKRKKRVRVEEKCDLASHMSNHTLFSYYEKANCNVEVGLGDSHKVAIGAEIENLVEFKSSVDLRYSQDYYDNDKSWNDIIQKIIEIQSRVQNLKNRYEKVIGENPGKFCSVNQLSMLGPSDGHNHSDLKPDSFAGNASSGDHRIAPREDNKDEDLTQYQVAKEELHEDDVHNVNVNYTLRSCSALKKSGIKRSRTSH >RHN47139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37431597:37445351:-1 gene:gene41698 transcript:rna41698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rad21/Rec8-like protein MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKVKQAFRSAAVDLPPEESTAPYNSITLPETFDLDDFELPDSDIFQGNYVDRHVSSKEQITLQDTLEGMVYKTSQFGLDERFGDGDASQIGLDLDEVMLIDKDVTLEHNDFSANPQLSHQEDEKKEEDMLIDNEATLEHEDFSANPQVSHLEDEMKEVGGASDRMQVEDSGSKIDLIDGLPTTAEFHEYAQGPSTPGLQEPNLFGTQADQVINEANFHNSADLLSMETTQNESSAHQTENDVIGCSLQNNEKHVGVDLHHEASDCVLAEVDDKREEPEHFKRTDQEHEASDCVLAEVDDKREEPEHLKRTEQENLILNDHCLASVPLMESSNKDHTTTMLPECASGLVDASGILEKVENLHDGVLMDTEPVIAASNETVNVFSGGVGINDTIVSPSCSHVTSEQDGLSCKLLPNVDGSHGYEFDGHLVDDNTLTKHEVSNSSEISRNEERPCVVDEAQVSNIVSSLESSGRPEVVDVEAQASRELKEAVVLNHVSHEAEQPTESYLRPCTSHINHHSQLSIEGENCHAMDVSDPALGNHDTVEPSACEGMLDLEQSGMQAGSQMISNKTGSLNESTASDIPEPEKMLSAYQHDNEMNHLLLESTPGNQGISEGNTNAAGVTSISGKKRSYTESTLTMQSMDLVESYGGAQSKRTAESIPDDDDLLSSILVGRKSSAFKIKPSPAAREKPSTKRLRSTPRTSTVKRKVLMDDMMVLHGDTIRQQLTSTEDIRRVRKKAPCTRDEILMIQRQFLEDQIFHKPIFTDVSADLTILQNETLDLSRIKVCHNGLDSLSLEKGNDQESYSKTNAKTHGVEEHNEPMAVQPQENAEESYSKTNAETHGVEAHNEPMAVQPQEDAEESFSKTNAEIHAVEAHNEPMAVQPQEDAEVSYSKTNAEIHGVEAHSEPMTVQPQEDAGESYSKTNAETHGVEAHNEPMAVQPQEDAEESYSKTNAETHGVEAHNEPIAVQPQEDAEESFSKTNAEIHGVEAHNEPTAIQPQEDAEAQPSEIPVPSECHQSEVDFGSHNNIEAHGHTNIISDVRELGCSQTAEMNNAGINFEISSAENYSFVPGHETLSLTEVFENELCRPNFFDASLPLMDKTDDLVGSIHTDMLSIPTSQKMDSSPMLENEFAEDQHDRNNAGATEIAENAMEIRTQVETDSLEADHLYASMATGSKEANEYTDNQVFYNGDLPVEENGNNMLGGLNEDQIISPGLGCDDKDAKSGGLFSENVEVDCLHSAALINESSLNDEENPVCQEAALQNTMYPDVSAIRSPFADQTDENNMGGIDTGFLNVGDDEIIEDDDDDAGGFASGAEGTHLENSGWSSRTRAVAKYLQTLFDKEELHGRQNLHLDNILAGKTRKEASRMFFETLVLKTRDYVHVEQAKPFANINLQPRKKLMKTNF >RHN52511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36248967:36249281:1 gene:gene37199 transcript:rna37199 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSLLLVQEREAKIPAPVWEVAARAAVAPPLPPRLPAVLHGKFDCKTRFKFYVLSCIIFSIYISVIRDVYLFFQK >RHN55799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23923728:23925120:-1 gene:gene31064 transcript:rna31064 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGEIWWESGGNLAVLGLGSHRPNHVGRGFVGKNLHEARAKSRREGIRRQKSPARARVVEIFVVGQISLGARARAKPRRGAEIPRARLRTRIIKVIPVWIFFSRPGLDLPLPMPSQGKVAFCNSGAKRGFAFSFLRLMTLKIFAINESRVQICQNFSLEVLTMSQTCLNFWGTRFVPWMGAHLKKKLRF >RHN68614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37109179:37110951:1 gene:gene16986 transcript:rna16986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MLHLEKVAPFYLSEIASPKWRGALSTGFPFFLGLGIIAADCINNGTAEHTWRLSLGLAVVLASVMTIGASEERDKIERAEKALRIIKGSSIDIEPEFEEHIKSTQIVKSVKKDPFKTILKREYQPQILMALAISCFEHVTGNNIVAFYSPNLFSSLGLGHDDAASISTIILEVITVFIVLTVVPGVDGTNDISKGNAILILVLICFCAAGLDLSWSPLTWLIPTEIFPIYIGSIRQSIAVVVHFIMVFVLSQTFLTMLCQLYFGAILFYAGWVFFFF >RHN82152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51568183:51569905:1 gene:gene6278 transcript:rna6278 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVQGDRALEETVGSVVCDTTIKTNKPVIFRYYSRMKKSTIVAEEVKPKENHGGGRKRKTISSSQEEVKGSSSSSSSSSCARKSKGSDAGKSKHKTIHQITG >RHN63805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54138858:54146884:1 gene:gene26557 transcript:rna26557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MADPEINLQASSPMNETNNNNKNKNKKKKKHDKKRTRDIVEEEEHAEEIVNDTHSNEESSKKKKKNKEEEDVVEVEDELEEKKVKNNKGSGIMSSESFSSLELSENTSKAIVDMGFQHLTQIQARAIPPLLSGKDVLGAARTGSGKTLAFLIPAVELLHKLKFNQRSGTGVVIICPTRELAIQTHEVAQKLLKHHRHSQTLGLVIGGSARRTEAESLAKGINIVVATPGRLLDHLQNTKRFIYNNLKCLIIDEADRILEANFEDELKQIIKLLPKNRQTALFSATQTKKVEDLARLSFQTTPIYIDVDDGRKKVTNEGLLQGYVVVPCAKRFMVLYSFLKRHKSKKVMVFFSSCNSVKFHADIFNHIHLHCSSIYGKQKQQTRTTTFVDFCQAEKGILLCTDVAARGLDIPSVDWILQYDPPDEPKEYIHRVGRTARGEDGKGNALLFLIPEELQFLRYLKEAKVPVKEYAYDEKKVANIQSHLENLVAENYFLNKMAKEAYKSYILAYNSHSSKDIFSVQRLDMQAVAASFCFSNPPNVSLNINMSKQRKKTRRVDGSRHGFNPNNPYGKRNADDKRQFVRH >RHN57930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42623032:42628026:-1 gene:gene33521 transcript:rna33521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MIYGKALTLSGQSRQCHTSGEIINFMTVDAERVDKFSWYMHDLWLVALQVTLALLILYKNLGLASIAAFVATIIVMLANVPLGSLQEKFQKKLMESKDTRMKTTSEILRNMRILKLQGWEMKFLSKITALRDAEQGWLKKFLYTNAVTTFVFWGAPTFVSVVTFGTCMLVGIPLESGKILSALATFRILQEPIYNLPDVISMIAQTKVSLDRIASFLRLDDLQSDVVEKLPPGSSDTAIEVVDGNFSWDLSLPSPTLQNINLKVSHGMKVAVCGTVGSGKSTLLSCVLGEVPKISGVLKVCGKKAYVAQLPWIQSGKIEDNILFGENMVRERYEKVLEACTLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGVLSSKTVVYVTHQVEFLPTADLISVMKDGKITQSGKYADLLNIGTDFMELVGAHREALSTIESLDGGKAYNEISTSKQKLKEANKDEQNGKADDKGEPQGQLVQEEEREKGKVGFSVYWKYITTAYGGSLVPFILFSQILFQALQIGSNYWMAWATPISAEVEPPVEGTTLIEVYGGFAIGSSLCILVRALLLCTVGYKTATILFNKMHLCIFRAPMSFFDSTPSGRILNRASTDQSAVDTDIPYQIGSFAFFMIQLLGIIAVMSQVAWQVFIVFIPIIAISISYQRYYLPSARELSRLGGVCKAPIIQHFAETISGTSTIRSFDQQSRFYETNMKLTDGYSRPKFNIVAAMEWLCFRLDMLSSITFAFSLIFLISIPPGIINPGIAGLAVTYGLTLNRTQAWVIWNLCNLENKIISVERILQYTTIPSEPPLVLEEENRPDPSWPAYGEVDIRNLQVRYAPHLPLVLRGLTCTFRGGLKTGIVGRTGSGKSTLIQTLFRLVEPTAGEVIIDRINISKIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEALDKCQLGDEVRKKEGKLDSSVSENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNLIQQTLRQHFTDSTVITIAHRITSVLDSHMVLLLNQGLIEEYDSPTTLLEDKSSSFAKLYKNKALEVNFRRVR >RHN78411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14874881:14878811:-1 gene:gene1963 transcript:rna1963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MLLFPMRCVFLFFCMFVMATSPLASANMQSSEANALLKWKASFDNQSKALLSSWIGNKPCNWVGITCDGKSKSIYKIHLASIGLKGTLQSLNFSSLPKIHSLVLRNNSFYGVVPHHIGLMCNLDTLDLSLNKLSGSIHNSIGNLSKLSYLDLSFNYLTGIIPAQVTQLVGLYEFYMGSNNDLSGSLPREIGRMRNLTILDISSCNLIGAIPISIGKITNLSHLDVSQNHLSGNIPHGIWQMDLTHLSLANNNFNGSIPQSVFKSRNLQFLHLKESGLSGSMPKEFGMLGNLIDMDISSCNLTGSISTSIGKLTNISYLQLYHNQLFGHIPREIGNLVNLKKLNLGYNNLSGSVPQEIGFLKQLFELDLSQNYLFGTIPSAIGNLSNLQLLYLYSNNFSGRLPNEIGELHSLQIFQLSYNNLYGPIPASIGEMVNLNSIFLDANKFSGLIPPSIGNLVNLDTIDFSQNKLSGPLPSTIGNLTKVSELSFLSNALSGNIPTEVSLLTNLKSLQLAYNSFVGHLPHNICSSGKLTRFAAHNNKFTGPIPESLKNCSSLIRLRLNQNKMTGNITDSFGVYPNLDYIELSDNNFYGYLSPNWGKCKNLTSLKISNNNLIGSIPPELAEATNLHILDLSSNQLIGKIPKDLGNLSALIQLSISNNHLSGEVPMQIASLHELTTLDLATNNLSGFIPEKLGRLSRLLQLNLSQNKFEGNIPVELGQLNVIEDLDLSGNFLNGTIPTMLGQLNRLETLNLSHNNLYGNIPLSFFDMLSLTTVDISYNRLEGPIPNITAFQRAPVEAFRNNKGLCGNVSGLEPCSTSGGNFHSHKTNKILVLVLSLTLGPLLLALFVYGISYQFCCTSSTKEDKHVEEFQTENLFTIWSFDGKMVYENIIEATEDFDNKNLIGVGVHGSVYKAELPTGQVVAVKKLHSLPNGDVSNLKAFAGEISALTEIRHRNIVKLYGFCSHRLHSFLVYEFLEKGSLDNILKDNEQASEFDWSRRVNIIKDIANALFYLHHDCSPPIVHRDISSKNVILDLECVAHVSDFGTSKFLNPNSSNMTSFAGTFGYAAPELAYTMEVNEKCDVYSFGILTLEILFGKHPGDVVTSLWQQSSKSVMDLELESMPLMDKLDQRLPRPTDTIVQEVASTIRIATACLTETPRSRPTMEQVCKQLVMS >RHN47649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41259738:41265371:1 gene:gene42271 transcript:rna42271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MSIFEYNGSALVAMVGKNCFAIASDRRLGVQLQTIATDFQRISKIHDKLFIGLSGLATDSQTLYQRLVFRHKLYQLREERDMKPETFASLVSSMQYEKRFGPYFCQPVIAGLGDDDKPFICTMDAIGAKELAKDFVVAGTASESLYGACESMFKPDMEAEELFETISQALLSSVDRDCLSGWGGHVYVVTPTEVKERILKGRMD >RHN53310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:870475:871268:-1 gene:gene28201 transcript:rna28201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferredoxin [2Fe-2S], plant, Beta-grasp domain-containing protein MATLQFTPLTLFRQKHPTTKLPYPSQLNTRPRLGSGSHPSSPSLTFTVRSSYKVVIEHEGKTTQLEVEPDETILSKALDSGLDVPHDCKLGVCMTCPARLISGTVDQSDGMLSDDVVERGYALLCASYPRSDCHIRVIPEDELLSMQLATAND >RHN41927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34129422:34131390:1 gene:gene48302 transcript:rna48302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MMEFETNQCHLLDLFDNFIGRVKWVGSLVLSVIIRNIFIVILTFCFAFVGTLLGAMTGALIGQKTESGFIRGAAVGAMSGAIFSIEVFECSIDLWHCDNSGIGCLLYLIDIIASLVSGRLVRERIGQAMLNAVQSQMGAGEMNFYEFQNIFDIGCDTGLPGASVEKIPIVSITSGNRVSCSVCLEDFQIGETVRSLPHCHHMFHIPCIDKWLIKHGSCPLCRRFL >RHN42486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38766326:38766637:-1 gene:gene48933 transcript:rna48933 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSDTNATQDFTCALSLLSTNQWDSYATKSISQEHSNRPTSPFQATTHAMSQCIPLASSEPCCGHHDQYVNSNIWISNSADSNHFQKFQLFREPYEL >RHN74132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28903834:28910336:-1 gene:gene10126 transcript:rna10126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEKKKVTVPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDASPMLRNGETGDWIGTFEGHKGAVWSCCLDANALRAATASADFSTKVWDALTGDALHSFEHKHIVRACAFSEDTHLLLTGGAEKILRIYDLNRPDAPPKEVDKSPGSVRSVAWLHSDQTILSSCTDMGGVRLWDVRTGKIVQTLDTKSPMTSAEVSQDGRYITTADGSTVKFWDANHYGLVKSYDMPCNVESASLEPKFGNKFVAGGEDLWVHVFDFHTGDEIACNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQTGPLAHDESEGLSPNGTLEKEKANAEEEVSRRIEGFHIAEEAKSKGKEEAEGL >RHN79367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28122107:28122973:-1 gene:gene3149 transcript:rna3149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MFSFDCSSIYNASTYPFQIGSCDGFLCFNVSRHLTVISNPSTRKVKELPSLQFPPEYYASRNMGYANYAFRYDPFIDNYKVVSVFCYEFQYFKNLRAKACKTEVKVHTLGKHSWRRIHNFSSTYVPRGCESGIIVSGSVNWFAYSSVNDSCSITIVSLDLGKESYQDISQPDYGDFVRLTMGVMRDFLCLFSYSDSFTDVWLMKIYGNKESWIKLIRLPRLRYHDYRVSSRIVDISEDKNQVLLILIKDYRLLRWFVYDARNDIKKIIEIQGSDWVQSKIYVESLISP >RHN78319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14092848:14096649:-1 gene:gene1861 transcript:rna1861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MDTLNTTLLILFIFIFLQILYSFLIKHKPRLPPGPRGLPIIGNLHQLGQKPHQTLAKLSKIHGPIMSLKLGRITTIVMSSTETVKSVLQINDHSLSNRTIPDAMKGANHHNYSLPFIPISQRWKDLRKICNNLLFSTKNLDMNHTLRKKKILELSNDINNSAEKFEVVNIGRLAFKTTINMLSNTVYSVDLVRSSDIAGEFKELVTNIMKEVGRANIADCFPVLKVLDPIGIRRRTGEYFGKLLGIFQGLVDQRLKMRELNGYCGKSDMLDAMLDDEKNAGEMYKDKIERLSVDLFVAGTDTVTSTLEWAMAELLHNPNIMSKAKSELNQIIGKGNSVEESDIGKLPYLQAIIKETFRLHPAVPLLLPRKAEIDLEINGYKVPKGAQVLINVWAIGRDSNLWENPNEFLPERFLGSDIDFKGRNFELTPFGGGRRICPGLPLAIRVLFLMLGLFINCFDWELVGGIKPEDMNMDDKFELTLEKAQPLLVVPIKVSN >RHN72832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11097613:11098409:1 gene:gene8593 transcript:rna8593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MNFTCKNVLFTITLVSFLLIGNATAESHDIHVVHHDLKPDLLNFCKKTSNPTLCEQTVQPHFLKSVLDPIVALGFEVDATLVQAQKALVVIGELLKKPGISKSFKDSLDICNDQYGMILDSIKLTKEAITKRWFHEARSQFSAVISYHSACKDSFEGIEKEYSLLAHDSDALFQLGGNCLDTIADLENSEAPKNEVPMTPSPPSLFSNVIGTLP >RHN61029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32384335:32386739:1 gene:gene23439 transcript:rna23439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MIFLTDYLCELNYDYRYDIVEQLAELGATVHTCARNEAELNECLNQWVTKGYKITGSVCDVASRAQREDLIARVSSEFNGKLNILVNNVGTNMQKQTLDFTEQDFSFLVNTNLESAFHISQLAHPLLKASNNASIVFMSSIGGVASLNIGTIYSAAKGAIIQLTKNLACEWAKDNIRTNCVAPGPIRTPLAAEHLKDEKLLDAFIERTPLGRIGEPEEVSSLVAFLCLPAASFITGQTICIDGGLTVNCLLLQ >RHN53667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3217059:3225283:-1 gene:gene28598 transcript:rna28598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MELTPILLIGFILVLSIYTTSAHKYQEFTTTNEGLEDESSLLTYIVHVKKPSLQSKESLHGWYHSLLPETATKTQNQQRIIFSYRNIVDGFAVKLTPEEAKALEENEEVLSTRLEKMYSLHTTHTSSFLGLQQNQDLWGNSNQGKGIIIGIVDTGITLSHPSFSDEGMPSPPAKWNGHCEFTGERICNKKIIGARTFVNSSLPYDDVGHGTHTASTAAGRPVQGANVFGNANGTAIGMAPYAHLAIYKVCNIYGCTESSILAGMDAAVDDDVDVLSLSLGGPSSPFFEDGIALGAFSAIQKGIFVSCSAANSGPFYGTLSNEAPWILTVGASTIDRKIEAVAKLGDGTEYLGESVFQPKDFASTLLPLVYAGSINTSDDSIAFCGPIAMKKVDVKGKIVVCEQGGFVGRVAKGQAVKDAGGAAMILLNSEGEDFNPIADVHVLPAVHVSYSAGLNIQDYINSTSTPMATILFKGTVIGNPNAPQVASFSSRGPSKASPGILKPDILGPGLNILAGWPISLDNSTSSFNIISGTSMSCPHLSGIAALLKNSHPDWSPAAIKSAIMTTANHVNLHGKPILDQRLLPADVFATGAGHVNPSKANDPGLVYDIETNDYVPYLCGLNYTDIQVGIILQQKVKCSDVKSIPQAQLNYPSISIRLGNTSQFYSRTLTNVGPVNTTYNVVIDVPVAVRMSVRPSQITFTEVKQKVTYWVDFIPEDKENRGDNFIAQGSIKWISAKYSVSIPIAVVFV >RHN70022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48094325:48095360:1 gene:gene18562 transcript:rna18562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative defensin, plant MLLSDKSCTLKTIYKKITSISLIVEKKTASREVAMHAEARMCESQSHGFKGACVGDHNCALVCRNEGFSGGRCQGLRHRCFCTRLC >RHN53282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:658551:668238:1 gene:gene28173 transcript:rna28173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoplasmin ATPase chromatin remodeling SNF2 family MEQALIGALNLVSRDLPLPPELFNTVSSICYGSDSKPLSLNAEQDDDSLLTELQDAISKQRPNCSSSSKLNNAMKVRTQARFQNRLTQLEGLRWNWGDNLQTKCLLELYGLKLAELQGKVRTEVSSEYWLNVNCAYPDKQLFDWGMMRLRRPPYGIGDPFAMDADDQIRKKRDAERLSRIEEQAKGQIETRTRRFFAEILNAVREFQLQIQGSLKRRKQRNDAVQAWHGRQRQRATRAEKLRFQALKADDQEAYMRMVKESKNERLTLLLEETNKLLVNLGAAVQRQRDSKQSNGIEPLEDSDALKNGISKESPLEEDEDLMDSDHNDDSSDLLEGQRQYNSTIHSIQEKVTEQPSMLQGGELRPYQIEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAHLMEYKGVTGPFLIVAPKAVLPNWVNEFATWAPSITAVLYDGRMDERKAIKEEISGEGKFNVLLTHYDLIMRDKAFLKKIHWKYLIVDEGHRLKNHECALARTLDNSYHIERRLLLTGTPIQNSLQELWSLLNFLLPNIFNSVQNFEDWFNAPFADRVDVSLTDEEQLLIIRRLHQVIRPFILRRKKAEVEKFLPGKSQVILKCDMSAWQKVYYQQVTDVGRVGLDYGSGKSKSLQNLTMQLRKCCNHPYLFVGNYDIYRREEIVRASGKFELLDRLLPKLRRAGHRVLLFSQMTRLMDILEVYLQLHDYKFLRLDGSTKTEERGSLLKKFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRREMLEEIMRRGSSSLGTDVPSEREINRLAARSDEEFWLFERMDEDRRQKENYRSRLMDENELPDWVYSALNKDEKAKAFDSSAVTGKRPRKEVVYADTLSDLQWMKAVESGHDVSNSSAKGKRKIRLPIDSHAQTSDDTGAEERLLELSNTMANERSNEDTFYGTPASKRFKHEEVSSHKHEIKDTGVSGLNEHVFSWNTIRKKRSSYPSQGSLSDTKGQSSNGRRAS >RHN66788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18768378:18771364:1 gene:gene14870 transcript:rna14870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MNNTIPDWNFGIDSFVTNQKKQPMGLDHELVELLWQNGQVVLNSQTNKKQLVNNSRQVHKNLQSTTLSNLIQDDETVSWIQQYPIEDPLEQELCSNLLSELPPCNVESFNSQPTNTKPPFVQEFPMSAPRFHHLVPDSSQRNNDLCGSSKVVNFSHFSRHPNVTLASTNGDANLRDKITGNLSQCDVRECSTMTVGSSYCGSNQVQQDPDVSRVSSNGVWTNLSTEPEQVRNDVQKTIPRHENGKSEKLEPAFTSSSGGSGSSLGKTCSLSTRSHDKKRKVMDVEDSVEQSEDTELKSGVRKKTSQRSGSARRNRAAEVHNLSERRRRDRINEKMKALQQLIPHSSKTDKASMLEEAIEYLKSLQLQLQVMWMGSGMTPMMLPGYQHYMSQMGMGMTTPSFPPLQNPMQLPRMPLDLSVSSSQTPNQTLTCQNPVLGAFNYQNQMQNPALSEQYARYMGYHLMQNASQ >RHN76528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50973610:50976041:-1 gene:gene12869 transcript:rna12869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubby-like domain-containing protein MSLCMDSDSAAKKMKEELVVQEGYIFKEEIHYTVLKTTLFFAGDGFTVYDCNGQVAFRVDSYGPDSRDLHELILMDPQGRCLLTVHRKRPSLHQRWEGFKGEKMEGDKPTFSVKRSSMIGRPRAGVTVEVYDNPGEEYHIEGCFSQRGCKVFNAMKEIVAEIYRKVDPTTGNTLGKEVFSLCVKPGFDAAFAMGFILVLDHISGDDSLDDDATAESSVHPTTED >RHN60315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25132816:25133064:-1 gene:gene22612 transcript:rna22612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L2 MPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGILVSTDPLSIYIYIYIYIWI >RHN57616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40556931:40561528:1 gene:gene33192 transcript:rna33192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MGGVDDEEPALKRMKLSAKGLVGLANGSSSKEPVGGFSSDSMARLLPSEGDDQVVGSKGVIKRDEFVRIIAKALYSLGYRKSGARLEEESGIPLHSPGVNLFTQQVLDGNWDESVATLRKIGLTDDTIVRSASCLILEQKFFELLNGGKVMEALKTLREEITPLCRDSSRIRELSSCLVSPSPKQDIVKVRSRSKLLEELQKLLPPTVMIPEKRLEHLVEQALTLQREACPFHNSLDQMSLYSDHHCGKDLIPSRTVQILETHDDEVWFVQFSHNGKYLASASNDQTAIIWEVGVNGVCVKHRLSGHQKPISSVSWSPNDEELLTCGVEESIRRWDVSTGKCLQIYEKAGAGLVSCTWFPSGKHILSGLSDKSICMWELDGKEVESWKGQKSLKISDLDITADGEEIISICKPNTVLLFNKETKDERFIEERQTITSFSLSKDNKFLLVNLLNQEINLWNIEGNPKLIGKYKGHRRSRFIIRSCFGGLEQAFIASGSEDSQVYIWHRSSGEPIEALPGHSGAVNCVSWNPANPHMLASASDDRTIRIWGLNSLNKKYQHAHSNGIHYCNGGT >RHN40836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:22612769:22613071:1 gene:gene47062 transcript:rna47062 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLSDISIKHYETHDFAHGMPVWRVSITNNCGCPQSQVKLNCTGFQSYIGIDQALLAVSDTECLVKQGAPIPAAQSVFFRYAWLPKFKFEPISSKIGCT >RHN64491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59516994:59517404:-1 gene:gene27311 transcript:rna27311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MEAQYLCKVNHVMILFLVIGLCSLVAGTKHVSIKNRLGSGKNMSLHCKSGDDDLGKQNIADGEEFGWNFNVNFLRTTLFFCDLAWGKVPQYYIEAYNYARDHVRCDTGCSWLVASEGIYGLNGQTGLWEFMYNWPN >RHN61850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38850316:38851118:1 gene:gene24353 transcript:rna24353 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFSTGMAMVPHAISSKKRSAKNEKLCLEDYIHLLHSRNTNDLTMNQLNQKVLTDAVDAIDLVDLPRSTLSESISVFAVLTVEEAVADLSDLNWQECCVTSIQKFGCCEDRRSFPALVDQNQLQSQSHCLIPETSKRKLEATKLVPRRKRSSIQSLHSSASIVDSASLVSC >RHN81605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47512258:47518691:-1 gene:gene5676 transcript:rna5676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MVVKRKKIGGATLHLLPLDFMAAPQSQHRTVFVGNIPYDATEEQLKEICREVGPVISFRLVTDRETGKPKGYGFCEYTDEETALSARRNLQGYEINGRQLRVDFAENDKGNDRNKDQGRGGPGMTTNADPQKQVGIPAVQGESTQAAQHQPMGLHIAVTAAAVMTAALGGAQTGIPSNQNGLQSQQALANDPLTLHLAKMSRSQLSEIISELKGMATHNKDLSRQLLLSRPQLPKALFQAQIMLGMVNPQMLQMPNLRQVSDQPSQSLMNEGHLGQTQQTLVPTVAGLPPYGQSKLQFGLTPYIQEGQVSTLPHNPSVPSQLTANPKPPLQPRIPPQHHQSNHFVQPGAGQNNLILPSIRPPTTGNFSARPPFQQANSTVVNQQPHASFLQQPVRVGSSTVSHNIHMVRPDASFQAGPSMPSGVSQLFSKEGDRPSNALEDWAKSSSKYSNISFGVENTGVVHDNPESFTRPSKLTRLNDGRGLSAGTSDVPISNGSSHVLGSSSLPVPAVPKADVRHSDQQSSQLPSDVESVLLQQVLNLTPEQLSSLPPEQQQQVIQLQQALKRDQMQHS >RHN82181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51757296:51758299:1 gene:gene6320 transcript:rna6320 gene_biotype:protein_coding transcript_biotype:protein_coding MHYTSILTYLLCSPSSIKTISHQQAIEKNCFPLRYIDSGYNTFLWDSSKHNNSLLFSCLIRCLFRVNPAMITTQRTLLGLFVLMLLNLMTCSIVLINSETRSNLIDRFPSRKLLVHVSSFSASLNKSEISYEASQRSVDTSLRKTPASKSNPIQNKRL >RHN51092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13925849:13926394:-1 gene:gene35467 transcript:rna35467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MKSTSKSSFLFILFLCFIFYASLPALSLFVGVYVKVCAEYTPLTEFKHCLQLLQTDPRIPKVATYPELSFYILEMSINEAMSTQATFIEMAQRFPREKALSQCANEFYNATISSFKTALDMLHKDALSSRNNVQDASRAVDGCMTALENTHETYDPTVYARNNETFFLSVISFLAVNHILT >RHN51111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14103252:14105444:1 gene:gene35489 transcript:rna35489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MYFIRNKKKIREMEKERKNHVAHCLILPYPAQGHMNPMIQFSKRLIEKGVKVTLITVYSYWKTIKNKNLSSNIEVESISDGYDDGGYESAKSFDVYIQTFWRVGSQTLCELLHKLSSSKTPPNCVIFDAFMPWALDVAKNFGLLGVPFFTQSCSVNSIYFHTHQKLIELPISQSEYLLPGLPKLAQGDLPSFLYKYGSYPIIFDVVVNQFSNIGKADWILANTFYELEPEVVDWLSKIWPLKTIGPSVPSSHLDKRIKDDKEYGVSVSDPNTESSIKWLNEKPKRSVVYVSFGSNARLSEEQIEELALGLNDSEKYFLWVVRESEQVKLPKGFEETSKNGLIVTWCPQLEVLTHEAVACFVTHCGWNSTLEALSIGVPLIAMPLWTDQATNAKFIADVWKMGVRAVADEKEIVRSETIKNCIKEIIETEKGNEIKKNALKWKNLAKSSVDEGGRSDKNIEEFVAALTQY >RHN67572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28356422:28357841:-1 gene:gene15770 transcript:rna15770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTKMLKLVYFMILFVFIFFLVRVVNSDFLYPCLEDFKHLCQRNCPDDMIGVCSNLHCHCMKVNVN >RHN82384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53345011:53358513:1 gene:gene6547 transcript:rna6547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK-LRK10L-1 family MNKKQPFFFLFSSPLIYSYITLFYLLLTTTTVCSIEQKFVDCEAKTCGNQSISYPFYIIGLQHSYCGYPGFGITCNNTIGFPILNLSNTEYIIEEIFYQNHSFRVSNVVFSRSNTNKGCLSPTQNLTFPHNMFYLAPNQSEVKLFFGCDSTKLPRELQRNTIGCSEENKTSSVVALYDDDKNASLVSKNCRDEVINTRVENVVKGGIEESLRNGFRLNWIASDCNECNSTGGRCGFDKDVYNFKCYCTDRVHSAKCDTVKGLTRNAKLGIGLGIGIGIPGILLIGVVFLLRLCKRKHAISVGHFQSSNSYSDSSINPRRETGSKYFGVPLFSYDELRKATNNFDHNKELGDGGFGTVYFGKLPDGREVAVKRLYEHNYRRVEQFMNEVNILTTLRHKNLVSLYGCTSRHSRELLLVYEYISNGTIACHLHGELAKPDLLPWSIRIKIAIETANALAYLHASGIIHRDVKTSNILLDGNFGVKVADFGLSRLFPEDATHVSTAPQGTPGYLDPEYHQFYQLTSKSDVYSFGVVLIELISSKPAVDINRSREEINLSTLATKKIQESAIDELVDPSLGFHSDSEVNRMIVSVAELAFQCLQKDKELRPSMEEVLDELRRIESGKDGVEVVEEADVDGVGSSHSIIQPPPVSPEWDEVGLLKNVKIMKHPSSPNTVTDKWESINTTPNASA >RHN69265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42198105:42198731:1 gene:gene17709 transcript:rna17709 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLLHCHFVFVLIYCQTNLQLLLPLHLPSAVSMSLPLFLPFEHSWSFYLFFSQIVLLLYT >RHN61285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34481072:34484865:-1 gene:gene23729 transcript:rna23729 gene_biotype:protein_coding transcript_biotype:protein_coding MENSYKVNGNGPSENGYSIAKHTHSYQPSIKGSLPWLDIRVFYVRVCKCELDNSTPEILTLNHVPLNPDTLLEVNGVRSGLYSDGISTLLKRDRVDRKSEEVTFVSTDSIRMSGSVKFEVFDKDKLLLFGTLELCNSNGVVRESNSNGQSWSMKCESNIIPGTKFFKEKQLLLPDSTLPTIEVYIAGSFSGTPIILTKTLHLSSQKRHTRKPALNAIPENDANENGKGPSSVLALQAPDYMYDKHDDEDYHGLYTRTAYADGEDGELSWFNAGVRVGVGIGLSVCLGIGIGVGILVKSYQGATGQFRRRLF >RHN42797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41060808:41062211:1 gene:gene49285 transcript:rna49285 gene_biotype:protein_coding transcript_biotype:protein_coding MIELLLVRVHVVYSILKSIVVEGVMKTLLPVCLQTTLTFLNKFVLQHIALLMMMQLV >RHN58287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:536490:536747:1 gene:gene20184 transcript:rna20184 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase chain 4 MMKILITFVTAIGMILTPIYLLSILRQMFYGYKFFNTPNSYFFDSGPRELFISISILIPVIGIGIYPDFIFSFSVDKVEAILANF >RHN59190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8309638:8310702:1 gene:gene21187 transcript:rna21187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MEKKTVLYLPHELIIQILMRLPVKSLICFKCVCSCRGFILLYRPPNIHLWNPSTGFKTQIPVSPFDSKSIAHCHGFGYDQSRDDYLVVEFSHVSSHLEVFSFRDNTWKEIDGNTHFPYVVVPSQRKGFLFNGAIHWLAYRRDLKLNVIVTFDLMEKKMFEMPVPSDFNNGYLYSLWVFGEFLSLCAKDYDNDTIEIWVMKEYKVHSSWTKTLVLSIDAIPDHYFQPIYSTKYGDIIGRNHGTRLVKYNDKGQLLGQRSFCNSQSEVFMYTESLLSLLGDNEHD >RHN58926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5951354:5957488:-1 gene:gene20882 transcript:rna20882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MPRKRVRQPSEQQQNDAVNRSTQRKKPKKSPVVEEELVSLIPSRRRKAAQRSSVVNADDSFFIGDPFLADEAKTRWPHRYAKNDGSSDDDTVKAKFHYREVNVDGVIYKLNDNAYVKGEEGKENYIAAIVELFVTHENEYYFTAQWFYRAEDTVIKNHGDLIDEKRIFKSDVKDENPLDCLVKKVNIVQISPDDAKEKNVPPCDLYYDMKYTLPYLTFSSIDNEPSKIESETDKIESETSTISSESGSNACATDNNVAEEGLSKIKESDREWTLLDLYSGCGAMSTGLCFGASIADIKLVTRWAVDTNEYACESLKLNHPETQVRNETTEDFLSLLKEWFKLCEKFVLNGTENIDSELNAGEEAGEEADDEAMDSSSDSEVFEVERLVSVCYGDPNEDEKAGLYFKVQWKGYDTSYDTWEPIEGLSDCKEAMKEFVTKGYEDKILPLPGQADFICGGPPCQGISGFNRFRDKNAPMDDIKNKQLIVYMDIIEFLKPKYILMENVVDILKFAGGYLGRYAVGRLVAMHYQARMGMMAAGSYGLPQFRMRFFLWGALPTQNLPSYPLPTHEVISRSVIPKEFEEITVAYTTNEKCQLAPALYLGDAIDDLPPVENDDSDDEKSYGTTPRTDFQRYIRLKRSEMVNYTADSESAPSGLLYDHRPYLLNNDDYERVCLIPKKKGANFRDLKGVLVKEKKVEWDPSVERVYLKSGKPLVPNYAMKFVRGTSSKPFGRLWWDEIVSTVVTRAEPHNQALIHPKQDRVLTIRENARLQGFPDCYKLCGPIKERYIQVGNAVAVPVGLALGYTLGLALQGRSGDNPLTTLPFKYPSCLARPLAVVDDDGSS >RHN78024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11340713:11345465:-1 gene:gene1538 transcript:rna1538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TIFY family MERDFLSLCSKESSPEINNEGSKNSGFSNVSAVKWPFLNKVAVHSYLTPFKVSEDDKAKMISSGFIQNSFKHDGQVGIHFSVNQYPVQHNVNFMNRHHDVKMFPISNQANSLSAVHPLLKNHLATFGQNINGANAKQSLLGGLPVTAPHSVLPIVGTVAGLVEPCEKPSAPAPQLTMFYGGTVNIFNDITPEKAQAIMLLAGSGLSAASNRAQPEVQASSSKFASGDDGLPISPPVYIPPCSGISSPLSVSSHTGPQPGSGSSSSDEFMAAKTSRGPTPTTSACKVVTPKVVNATTMIPSAIPQARKASLARFLEKRKERVMSTAPYNLNKKSEDAQMPNSMGANISATTGTANMLVANQG >RHN49896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2087296:2091553:1 gene:gene34124 transcript:rna34124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MAQLPPKLFSSGIEHASFVTSYQILKKAWNVISSNYQDIVTNDGVGLCWKVYKEQNPDLTIIAFEATKDSSNLQSDLISSSDLNKKKNFHQFDFLCSKKNPSFSLNSTAFSLFYDNIQKLDELKSKILGADPVTPLIVTGRGLGGSIASLFTISLLDNIGSTKKRPLCITFGSPLVGDKKLQQAISRSSNWNSCFIHVVSRNDPLPRLFVTNYMPLGTFLFCSDSDSTCFENPDSNLEILITLSKVHGQNQGFDSAEYGNIVEKLRRKAIFKDSFLLAGDRNDSDSLAVGISLQLQQTLRLTPQLLQEHDININVLETKIKKLEDFIQKKTSFDPSKKLNEMKRHMAQLEWYKMKTKNLDIGYYDSYKNKNAQFDHDVVVFHKILTNYWEKMVEEAELKPQREGAAFRNRWLFGGTTYRRMVEPLAIAQYYRDGGKDYVNKHRSKHFKTLEEWLEEDSTKTKNELNSTSKKKVEVILTIDSCFWAHVEEAILACKELKEVKDKEEVLNKLVEFEDYVYGLLKDYAVSPEIFLRQSSYMSWWKDYKAIKGSSYTSKLANFMNDAGKIKLYGLGAYDFP >RHN72658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9494894:9497412:-1 gene:gene8403 transcript:rna8403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MQETIVSMASAGAIIGAAFGGYMNDKMGRKKTILMADVVFVAGALVMAAAPAPWVIIIGRLLVGLGVGAASMTAPLYISEASPAKIRGALAPGTWRWMLGVAAIPAIIQFVLMLSLPESPRWLYRQEEEAKQILSKIYRPGEVEEEMKAMHESIEAEKAEDGLIGHSLAQKLKGAWSNDVVRRGLYAGITVQVVQQIVGINTIMYYSPTIVQFAGIASNSTAFALSLVTSGLNAVGTIVSMVLIDRFGRRKLMLISLIGIFVSLVTLSVTFNQAAHHAPSLSIQDSLSFGGNSTCKAYTTAPNHLSWNCMQCLHEDCAFCANSQNEFLPGACLAAEKNIRGMCCAQNCVWFSQGCPSRIGILAVVILGLYIISYAPGIGTVPWVLNSEIYPLRFRGIGGGIAAVFNWCANVIMSESFLSMIKTLGTTGTFLTFAGFSLIGFVAIYLLVPETKGLQFEEVEKLLQKGFRPFPFNKKKDDDKGKEEVKHIA >RHN66142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8975448:8976143:-1 gene:gene14064 transcript:rna14064 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGQGQPSRARVTYQAQRIDVGWRQGVRFWDDVVIRIVVFKYKLCTVNPNLSLIIQRNCSFSTVGDVGNIGRTSLPNIVFSLFVFLNWFAVLTYIYWQHTMFTLEGNPF >RHN60376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25990353:25991786:1 gene:gene22682 transcript:rna22682 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSQKHNGSLGVNQTRKNKRKISFQQTSDGNDIIPNGKYLYPHSDHYEVHEDEFKSFVQHITGNKSNALRPPDPVQVSAPTPPSQAQYNAIPVHPVQPITGNPLVDNPRSNLVEFPISASMRNSQDLLPLNIGNSGGNQFQSYPNQSQVFNNINVQYNPIITSQEHYYPINGSNQFVNGSNSSQTNANTQLLNGFPSLQTNVANPSMSLNCTNQTFNVNNNNQLVNGFPYIPKSREKLTRLQKVRPPPLSIVRPSIPVVVSTPLPPSQAPNNTLLRNHVQSVNSPPNVYNSPNNLIESPLSAFMRNFQDPIMNFDDSRVNPFQLYPAQPQVFNNINAQYQPIIQSHEYYDPLNGSNQVVNGFPSTQINMSNPSVSLNATNPTLSMNDNNQLVIDFSSSQTNDPLSPTFEFILPSPENNMNFLSPQSPYRHLLSPSLFSSPPSPEYPLYSHLVPDPPSPLSSSLFPSTTSPKRLDYQ >RHN40581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17838086:17843252:1 gene:gene46745 transcript:rna46745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDSIKGEKHISSSVQLLLNKLYDFVNNFPSTKLDVSLLEEINTTLHTIQIQLVINDDDDDVKDMLGYAVFEVDNLFDKINTEALCREVQAQYQALTPTSQVLKNISYLFKRVNVLITKVQRLFPSRFKRYDSVITSKLQTLIERFESLLSSRNGQKGVRIGNPSPCCSAVVDKTSIYGRDNDINKLKHLLLYNDSDDSKTRTISIVGMAGIGKTALANFLYNDPDVKDKFGVRGWARVPANFVVIRVLETILESITSQTFSNLYLDSQLLKCNNTERDDTSDVYLNLLLATLQQILNTNIFLLVLDDVRDAKFVGWLYLMNILNVGETGSRIIITSQDERVAPPPSMQTFHSVHYLRPLESEDCWSLVARHAFRAWNNQQQSDLEEIGREIAKKCYGIPLAAISLGDFLHLDLSPSYWNYALESDIWELVDYDVHRALQLIYSYLSTPLKRCFAFCSIFPKKSILKKIVVVQLWIAEGLLESFADQEKVAEEYFDELVSRSLIHQQSIGDGEANFEMHDLVHDLATKVSSSYCIRLDEQNLLERIHNISYNRGPYDSFSKFDKLYGVKGIRTFLALPIQKQLPFCLLSNKVVHGLLPTMKQLRMLSLSNYKSITEVPKTIGNLLHLRYLNLSHTKIERLPSETCKLYNLQFLLLSGCKRFTELPQDIGKLVNLRHLDVSDTALSEMPVQIAKLENLHTLSDFVISKHNGGLKVAELGKFPHLHGKLYISQLQNVNDPSEAFQANLNTKERIHELALEWDCGSTFLDSQAHSAVLKHLQPSTNLKSLTIKGYGGTSFPNWLGDNVFGNMLYLRISNCVNCLWLPSLGQLGNLKELVIDSLLSIKSVGTEFYGNDGHPSFQPFPSLETLHFEDMPEWEEWSMVEGTTTQFPSLKSLLLSKCPKLRGNIPDKLPSCLTKLELIGCPLLVNSRYSDHNINCIIMFPLLDVFSQLMLPLNSLRQFTIDGFQSLMSFPTEGLPKTLKVLIISNCQNLEFHSHEYLHNYTSLEELKISYSCNSMISFTLGALPILKSLFMEGCKNLKSILAVEDASQKSLSFLRSIKIWDCNELESFPPGGLATPNLIYIALWKCQKLHSLPKPDTLTGLQVMEIDNLPNLQSFVINDLPTSLRELTIGSVGGFLWNTDPTWELLNCLVVLRIKGGDTVNTFMEPLLPASLMTLCISGLDDTRFDGKWLQHLPSLQNLEIVNAPKLKSLPKKELLSSLSVLNVTCCPLLEGSLRKKRGKEWHKISHIPFIIINDELIK >RHN61452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35553997:35554701:1 gene:gene23922 transcript:rna23922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MKTTSNRFVGIVQAKQKLQRTLSQRIRMASSVGDVPKGHLAVYVGNDHKRFVIPISYLSHPLFKDLLDWAEEEFGFNHPMGGLTIPCTEDYFISLTSSLNY >RHN46646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33685978:33688973:1 gene:gene41163 transcript:rna41163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MAKIIIPIILCFVGIVSAQLSTDFYSTTCSDVLSTIKREIDSAVGNEARMGASILRLHFHDCFVQGCDASVLLDDTSSFTGEKTAGANANSLRGFDVIDTIKTELESLCPNTVSCADILSVAARDSVVALGGPSWTVQLGRRDSITASLSLANSDLPGPGSDLSGLITSFDNKGFTPKEMVALSGSHTIGQASCRFFRTRIYNDDNIDSSFATSLQANCPTTGGDDNLSPLDTTTPNTFDNSYFQNLQSQKGLFSSDQALFNGGSTDSDVDEYSSDSSSFATDFANAMVKMGNLNPITGSNGQIRTNCRVIN >RHN56051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27204656:27204926:-1 gene:gene31374 transcript:rna31374 gene_biotype:protein_coding transcript_biotype:protein_coding MQTWPQGYTLYPQQHHQHIQHDIVGTDHQHHHHHNIQDMMPHGQMNMPITASQVEPPKNESLTDQYDQCKQPNHQLHAQ >RHN44080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1487923:1491559:1 gene:gene38138 transcript:rna38138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neoxanthin synthase MSFSSCYSHSPLPFNKDIKLCRTVGQVKLNFPFSITSNGVTEHISRSRFSLSGDWSFIGGSRIAVKPKATRSVRHPKRSQIHASWFMGSQLASTVFTWGTVAVLPFYTLMVLAPKSELTRKSMESSLPYVVLGVLYAYLLCLSWTPETVRLIFASKYLLPELSSIGKMFSSELTLASAWIHLLVVDLFAARHIFRDGLKNQIETRHSVSFCLFFCPIGILTHVITKAMTKTTRKDGHGL >RHN67274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25419670:25426861:-1 gene:gene15451 transcript:rna15451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MKPKNNNNNNDNSFFGTFTSTSIKNLLPISSFRKPISSNPKSNLENVPPPNSNIPITHNQIQSHPKSQFSHNNPHVKVVVRISPDEKEGDLIVKKFSSDGLCVGDEQFKFDDVLDAESNQEDVFQSVGVPLVRNALAGYNTSILSYGQSGSGKTYTMWGSPSAMAEDPSRHSQQGIVPRIFRMLFSELERERLMSDQKQFSYKCRCSFLEIYNDQIGNLLNPNQQNLEIKDDSKNGFHVENLIEEYVTCYDDVAQALIKGLSSRKTGATSLNFNSSRSHVIFTFVIESLCKGTTKGFSSLKASRISLIDLAGLDGDSVDNGSSQYLWESRHVKKSLSQLGHLVDALTDKSQSREDEDIPHSNSCLTRLLQESLGGNAKLSVICSISPHNKSNDETVCTLRFGEKVRSIRNKPIINVINEADDLSGKIRHLKEELIRVKAGDVHSSVGGKNGCFQGHNVRESLNQLKVSLNRSILLSNLDNNTGVAVNVGEDDIQQLRQQIDELDSSCEGVPKYISVGEDCVQFYSFEENYDADTTIGEENSVGDSISVISCSKSPIFDGPELSESPKFRNNQRKSVAFSSSYLGSGNNVSESSTFGNDLSGKLFKRGEHMQTSLQSGKAESLAESLQRGLQIIDYHQQNSPLNKSSSSFSFGRLTLTPCLEIDKVEPYDQTIQQNISNDEVTSTFLCASCRTDLTDKVPKHLENVRAKDQMRETELESVCKEQAARIEQLNQLVEKLKGEKNMNSISVFDEGEECSSMKDENKLLRSNSLHGHSLYVIEEKCEIKEVLEELDQREISFDSTEKESLLKEIQSLRSKLQLYSDAPVKISTDKLRTSLMSRSIQLQKSGVFSHDNRNEELENERERWTEMESDWICLTDELRADLESYRRRAEKLETELKLEKKGAEEMDDALKRAVNGHARMVEHYTDLQEKYDDLASKHDAMKEGIAEVKKAVIKASKKGKARFAKSLSSELSALRLERERESKLLKKENQCLKIQLRDTAEAVQAAGELLVRLREAEQAAYVAEENFANVRQDNEELKMQVEKLNRKHNTEINTMKQYLAESKLPESALRPLYQEDSDMKHNNTTSSYAYDDQAWRAEFGAIYQEHY >RHN41908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34025846:34026988:1 gene:gene48280 transcript:rna48280 gene_biotype:protein_coding transcript_biotype:protein_coding MILAWRRICGFIHLGLEDVIGLKVNLISSLIYVVIPTVKSFYKRNSDLISHMVPHHFQLGKIILVFRKAITKQH >RHN78671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17341996:17343111:-1 gene:gene2308 transcript:rna2308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MTASSSIPSTGVERESTAAEPNWLELPKDLAINILQRLDTIEIVTSVCQVCPLWWNICKDPLMWRTISMIKSSHYHYPEWLHMDLEMICRYAVERSCGQLQEIDIEFFLTDDLFKYIADCASHLRCIRLVACDAADNLSEKGFIGGMKKLSMIEELEVLYPIKLSQNSIEAVGGCCPLLKSLECHLTFDKEEISDDEFLAVAKTMPRLRHLKISRNKLSSDGILIAILNGCPLLESLDLGLCFSLDLSESLRKRCYDQIKDCKLPIDFHKFLQMFEWNLSGYEED >RHN67850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30883741:30884576:1 gene:gene16093 transcript:rna16093 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWGKMDLTKEETEEGRVADKTEGCGEEIFTGEHHPLAISSTSTKRPPQEPPPRVVMIIRKRRLKLQHLSILQKTEFGLLPLELSLERPPRKPPDEVRITLLPQISSLSLGFKHCPQLRFDCNYHTSFVAFYEDESRFNPFAFYRLISVQSDKVKKSETKYSRNVRDEAKKMIRNWIEKKTLDSHISFVTFRRWKMLMNGLLGCELWSNFSYFVYKVYVYCKS >RHN64446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59143923:59146416:1 gene:gene27265 transcript:rna27265 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthase 1 MAAETFLFTSESVNEGHPDKLCDQISDAVLDACLEQDVDSKVACETCTKTNLVMVFGEITTKAKVDYEKIVRDTCRKIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYYNDNGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPDKYLDSKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILNIVKQNFDFRPGMISINLDLLRGGNGRFLKTAAYGHFGREDADFTWEVVKPLKWEKA >RHN61474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35723810:35725282:1 gene:gene23945 transcript:rna23945 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETEKNSYSHLAQLEWKDYLASDASESDDNTEADDQLDEKARKQSIYLNLVYSGNGSDEDAEHDIGQEMEVTFHSGLESLNKKLMEKKDKETVWEASRRKRHEKKKAKKNKSKYSSDDDSDQQVIEAANEFIEEPSVKKRKKTEKSKTDNHMDIVAVDKASKEELELLLADDKATETGLKGYSLKFKKRKDKMGKENVIIDEGKVPNSTYSDDPRFAHFFSSPDYAIDPTDPQFKRYFLCSINIVLLLLFKLLQAAANNLLNSGQESASYARQQLARKQKGQMELSVPKVMQMPSENAGNGMMEKDEKEGLLVFKSTKKDEDELSFLVKSVKMKSKHILNSKTRKDGKSQFDGVEKKRQH >RHN78425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14981985:14985149:-1 gene:gene1977 transcript:rna1977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inosine nucleosidase MAAQKEPKKIIIDTDPGIDDAMAIFLALRSPEVQVIGLTTIYGNVYTTLATRNALHLIGELLSPADVGQFKPNRLEFAGRTDIPVAEGSHVTLTKETKLHIRDSVHGADGLGNQNFPPPNGKPLEESAAAFFVNQAKANRGKITVVALGPLTNIALAIQMDPEFSKNIGQIVLLGGAFAVNGSVNPSAETNILGDPDAADVVFTSGADVLAVGINVTQQVVFTGSDREKLASSKGKFAQYLNGILEVYFSNYCKAYNIKGIYLHDPTALLAVVDPSLVTFTEGVVRVQTNGITRGLTILENKQKRFGEVTEWCNMPTVKVAVTVDAPRVVKLVLDRLLD >RHN67995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32011120:32013860:-1 gene:gene16269 transcript:rna16269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MSFINYKLIKPYSISYMQKDKSMVQIVKFVYVMIIVLSSFVVAINSDGYLECTTDYDCREEWLCPPDMEAKCFVSFALARFLSKGKCLCV >RHN48587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48603351:48604842:-1 gene:gene43320 transcript:rna43320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, non-heme dioxygenase domain-containing protein MYIDYNSRENNFLLSHSHNIIVLRLDKCKIIPQDSEQSIPIIDFTNWEDIQVQDSIFSAATKWGFFQIVNHGIPINAVDDLKASVHKFFELPVEEKKSLKENSPPDVVRLATSFSPHAESVLEWKDHLHLVYTSEEKIHAYWPPVCKDQALEYMKYAEAFIRKLLKVLLKKLNVKELGREREHALMDTMSLGFVYYPACPAPELVSGVSPHSDITSITVLLQDDIGGLYVRGKDSDGWINVPPVNGALVVNIGDVLQIMSNECYKSIEHRVVANRNKTRISMPIFVNPAPDAIIGPLSKTLKNGDEPLYKQVVYSDYFNHFFSKAHDGKKTIEFAKI >RHN77914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10488697:10490920:-1 gene:gene1419 transcript:rna1419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MQLTELFLSYNNLVGTIPSSLGNLSSLKMLSFQQNHLEESIPYSLGRLSGLTWLSLSLNNLSGEIPHSLYNLSNIQLFDTGENKLFGSIPSNINLAFPHLEKHAIGNNQISRAFPSSLSNLTELQLFDIPYNNFNGSIPLTLGQLNKLEWFNIGGNNFASGGAHDLDILSSLTNCTQLSIIYLFDSNFGSVSPSLIGNFSIHLRLLHMEYNQIYGVIPERIGQLIGLTVLNIANNSLDGTIPYSIGNLKNLGELYLEYNKFSGNIPIILSFSSNKLSGDIPNQTFGYLDGLIYIDLANNSLTGPIPSEFGNLKHLSALYLNLNKLSGEIPKYLASCLDLTELWLGINFFYGAIPLFLGSSLRSLEVLDLSVNNFSSIIPIELENLTFLNNLNLSFNNLYGEVPTRGVFGNVSAISLTGNKNLCGGIPRLELPPFLKVPAKKHKRSLKKKLILISVTSSSPFLINGSLRVTYGFSSSNLVGRGGFGSVYKGSLLYFERPIVVKVLNLETPGAVKSFVVECKALGNMKHRNLVKILTCCSSVDYKGEDFKAIVFEFMPNGSLENLLHGNKEHESRNLNLNFTQRLDIVLNVAHALDYLHIDAEQVVVHSGVKPSNVLLDDDNVAHLGDFGLARLIRGATEHSSKDQVISSTIKGTIGYVPPGKVLFITYLFLSFKMFYILMPYLYISY >RHN62147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41146332:41151229:1 gene:gene24691 transcript:rna24691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAEVNANPEPPQNMTIYINNLNEKIKIDELKKSLHAVFSQFGKILEVLAFKTLKHKGQAWVIFEDVTSASNALRQMQGFPFYDKPMRIQYARTKSDVIAKAEGTFVPREKRKRHDDKGKKRKDQNDANLAGTGLNPAYAGAYGATPALSQIPYPGGAKSLLPEAPAPPNNILFIQNLPNETTPMMLQMLFLQYPGFKEVRMVEAKPGIAFVEYGDEMQSTMAMQALQGFKIAPQNPMLITYAKK >RHN39695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9666190:9669606:-1 gene:gene45744 transcript:rna45744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MVRKKKQKKQTMAHLDQSSTNHAKVSLTVAKHLFSKESDNNVVFSPLSLQVVLSIIAAGSEGPTQQQLLDFLRFKSIDHLNSFVSHLLSVLLKDAASSGGPRLSFANGVWVEKNLSLQPSFQETMSTDYKATLASVDFINKAIEVTKEVNLWAEKETYGLIKEILPEGSVNNLTRLIFANALYFKGEWVDQFFDYNTENYDFHLLNGSSVKVPFMSTNLDQFIRAFDGFKVLCLPYEQGGDKRQFSMYIFLPNAKGGLSAMVEKVASEFEFLEPKLPYKRVKVGNFQIPRFKFSFGLETSRMMKELGVILPFSSGGLTKMVDSLEGQDFSVSNIFHKSTIEVNEEGTEAATANAKIGVLCAPTGIDFIADHPFLFLIREDSTQTIIFAGQVLNPLVG >RHN67565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28296129:28296518:1 gene:gene15763 transcript:rna15763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MPERKTITSNSMIVVLFGRKGCVVKAQRLFDRIEGNYMVSWSAMISCYKQNGMCEEAFFYYFFANMNANGIMVDEMVVVSVISACTSLSIVMMGRLVHGLTDSWNSRLCSPSECIDAFVLKLQGDIGCS >RHN74155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29454323:29458181:1 gene:gene10156 transcript:rna10156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 50S ribosomal protein L30e MTGETVNPKAYPLADAQLTITIMDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTTNEGSQLKSQIQQLKDAIEKLLI >RHN69701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45659097:45659564:-1 gene:gene18207 transcript:rna18207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MQKFQNLTSTSLGQNYFTGVLPLELGTLKKLERLLMYQNRLSGEISDIFDNFTNLYILAIGNNQFSGRIPASIGQCTRLSIVDMEMNNLVGAIPMEIFQFNDLTTLNLQGNSLKGSIPPELKMEHLETMVISNNWLSGNIPKLEVIKRIENTCDG >RHN76991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3022046:3022666:1 gene:gene394 transcript:rna394 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIEFRARFDWIGSRVEKTRLVDNRRRGRLLKKNKKILNCQGSNENETNSTASKIRTYTFSILGF >RHN40793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21237054:21237691:-1 gene:gene47004 transcript:rna47004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MNEGNKHARVCLLCQCHNRHCNNDCEYGEFFKPHRNEDFQSALRSLGLSNIMSMMESVETYDRQGAANSILSQGRDWQRRQYGRQLEMGEEISSFKRKALFASTFMTFCRYYAKSVPFPITSRSYKMNGILTASESGESSNASKGKQVLIEQSDSEDNDDMEDLSPNENARSEE >RHN69322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42607443:42608917:1 gene:gene17772 transcript:rna17772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MEEEKLEQPLLKKKYYENCPGCKVDQAKELGTDVSLRNLSFIWMAVLCGTLPLASLFPFVYFMVEDFNIAESEEDISAYAGYVGSSYMFGRTLTSILWGIISDRYGRKPVIIVGAITVVIFNTFFGLSTNLWMAIGMRFLLGSLNGLLGPIRAYATEIFREENQALGLSTVVAAWGVGLVIGPALGGYLAQPELKYPHLFPKGSIWDK >RHN66235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9947448:9948521:-1 gene:gene14179 transcript:rna14179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MASTDWCDLPTDLLNLISQRIDDELDLIHFRSVCSTWRRCSISNHHPNSTLKVPRLRLSYNLSDTINNTNTSRFCCLFKRTLFLIKPLRHGKPLRPWLIRVTQNSHGKTRLFHLLFNSVYSSHGFPRVLDFNKLSILNLGTDFIRKYGDINRGNYFFYNKKREKFLAITCNGENPMVLGISEYFPHPMLFCYLNKFWKPISDMSTKYVDICVFKRRFYLVDKTGRTVTIESDSSVQLVDNPFIHGDRKLLVESEGALLLVNIYENLMNFDVFRLDEKNKRWVKLMSLGDRVLFFVNGCSFSASASDLCVAKGNCVFFIDDAYKICTYFRKYCVGPSQQLSVSEYLSLFSAPEWIVKS >RHN55009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14336877:14343333:1 gene:gene30126 transcript:rna30126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative clathrin adaptor, mu subunit, AP complex, mu/sigma subunit MSGAASALFLLDIKGRILVWRDYRGDVSAIEAERFFTKLIDKQADEQSQDPVVYDNGVTYMFIQHSNVYLVIAARQNCNAASLLFFLHRLVDVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGISYKKNEVFLDVVESVNILVNSNGQLIRSDVVGALKMRTFLSGMPECKLGLNDRVLLEAQGRTTKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEANVEKHSKSRIEIMVKARSQFKERSTATNVEIELPVPVDATNPNVRTSMGSASYAPEKDALIWKIRSFPGGKEYMLRAEFRLPSITDEEAAPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >RHN82825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56634368:56635043:-1 gene:gene7015 transcript:rna7015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoglucan, water dikinase MQWINTGQIPCFEDGGHHRPNRHAEISRLIFRKLEQHTSRKDISPQEVLVIRKIHPCLPSFKAEFTASVPLT >RHN74718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36112371:36112817:-1 gene:gene10826 transcript:rna10826 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLEQLAKFSIRRLVSCLISLGSSVISDLSRLRISKLFSSATIDMSSNTHPPKSNVWIFVKR >RHN57223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37393451:37394004:1 gene:gene32733 transcript:rna32733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MAPTPVFIKVHDLRPEMEDVNLTLKVLNVKDVSRKGSMPVTESLVGVEYDETGIIIFRAIGGDKINRVKEGSTIVVRKARILMYKGSMRLSVRRAEDIVEAPEPASFIVKEDCNWSLIEFERVQVRLDTKNKGYL >RHN69478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43695974:43704172:1 gene:gene17955 transcript:rna17955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Myosin heavy-chain] kinase transcription factor WD40-like family MEELPECPVCLQNYDDENAIPRVLSCGHTICEACLVHLPPRFPNTIRCPACTQLVKYSPNQGPSSLPKNIDLLRISLQQPQHSSSSQKSNQRSSINDEYAYSSKFWSDEFYAAWKDWILPHDAVSVTEDGVGRFNSSSKGRVCFGVNRTVSLAPIVTLSSVTDSKFKFSYVAWVIKCLEGMNEVVGEGLGLILEASVRQSRLCRVYGLWSEVVGGSLYLVCERQCGRVLEKFGGLMNEGGLDSDKDVFYSFAMIAKGVIEAVIGLNLEGLVAGCLGISCFCFDELGGVCIDLNEVLLMGRKIMDQVSGGTDDEPSHESMCTECLDLENELFVSPEVLSKFLNKRVVNPESGDSRYPIGYGSDVWSLACVLLRLLIGNELPQITFEMSEGNGFDISASYISWVEKVSSVLEEKIGFEYLSLKQTLCKCLDINPENRPDVVDLRKSIQDLLVKHQFVFLGNSELTVKRNNTGHPVTLAKLCQLLEESSKDPREHELQAKEDGGQPNILQGAENISDEEFTASLSQGMIELKDLQGHLGCITGLAVGGGYLFSSSFDKTVRVWSLQDFSHLHTFRGHENKVMALVYVDDEEQLCISGDSGGGIFVWGIDAPLSQDPLRKWYEQKDWRFSGIHSLTAFGNLLYTGSGDRTIKAWSLKDGTLMCTMDGHKSVVSTLSVCDEVLYSGSWDGTVRLWSLNDHIPLAVLGEDLPGEIKSILAITAGRDLLVAAYENGCIKVWRNDVFLSSKTLHNGAIFAMSLQGKWLYTGGWDKNVNIQELSGDELELTVNTFGSIPSGSVVTAILCSQGKLYVGYGDKSIKVYRAK >RHN62939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46899400:46901959:1 gene:gene25579 transcript:rna25579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MSWNNMNHNIHTDTTTTLLKKKGTLGHILNSVEMSSLAPYSVGTCLTSLQPQKLNHLNSLTTTCSVQVQQQPPVLVQKDNQLKRREAIGLSLSFGLLHSLLQPIIPTATAAEAVPCQLTVAPSGLSYCDKVVGYGPQAVKGQLIKAHYVGRLENGKVFDSSYNRGKPLTFRVGVGEVIKGWDVGILGDDGIPPMLTGGKRTLKLPPEFGYGSRGAGCKGGSCVIPPDAVLLFDVEFVSKA >RHN43015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42560186:42562339:-1 gene:gene49534 transcript:rna49534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MDYYFVNPHATPNFAHSTHMMIPNPSSEFILSDYLMLDDICIDHHDQESRSQSTESLEKVTFNDVNQEFNDATSKNNNIKYKNGIKRNKGEAGQKIAFRTRSELEIMDDGYKWRKYGKKSVKNSPNLRNYYKCSSVGCNVKKRVERDRDDSSYVITSYEGVHNHEIPFTSHCSQISFVHSDAYN >RHN79060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22018279:22020018:1 gene:gene2756 transcript:rna2756 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSISKSDESAGTNDTFKLLYNTEEFTKSSSDDLKLISFVKSVNAFNAMSLLQKKYPHSFTFTERVFSLSTHTLSLIELYQIEEAHLDLESSDPKFQLLSLVTQTT >RHN78381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14595688:14596741:1 gene:gene1928 transcript:rna1928 gene_biotype:protein_coding transcript_biotype:protein_coding MVICTKSIHHEKRSTFPIGRTCAIERRKIKGRREKEEAVLNNTHVEEKK >RHN59830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13748676:13770418:1 gene:gene21990 transcript:rna21990 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAFASASAITDQRQKIEQYKQILSAVISSNDILHARKFIDHMLSDDVPLVVSRQLLQSFAEELGRLAPETQKEIAHYILAQIQPRVVSFEEQVLVIREKLAELYESEEQWSKAAQMLSGIDLDSGMRVIDDTFRLSKCVQIACLYLEDDDAVNDEAFINKASFLVSNSQHEVLNLKYKVCYARILDLKRKFLEAALRYYDISQIEKRQIGDEYVSSCMLLFPSLSFHDHFRTSTL >RHN76758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1033666:1034614:-1 gene:gene131 transcript:rna131 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQPIFIVVVVICAGGLVLLSLLTFALYCCFQKRKESTQETDIIHIDEHKKGKETIVPGPFGQQAVVISVEDDVHVDETRKKEKFDHGLHAQSSSATIHIDEHKKGKETIAPGPFGQQAVAISVEDDVHVDESKKSEKLGHGLYAKSSSAEANHHNSNSLDVGASHGHHHQLENKS >RHN73924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21683206:21687371:1 gene:gene9824 transcript:rna9824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSSKRRISLVAGSYERFIWGFNLNPNTQTLTPVFSYPSHLSLIKTVAVSNSVVASGGSDDTIHLYDLSTSSSLGSLTDHSSTVTALSFYSPPNLPFPRNLVSADADGSLAIFDADGFVHLKTLSVHKKGVNDLAIHPSGKIALTVSRDSCFAMVNLVRGRRSFCCRLDKEASIVRFDVSGDSFFMAVDEIVNVHQAEDARLLMELQCPKRVLCAAPAKNGLLYTGGEDRNITAWDLKSGKVAYCIEEAHAARVKGIVVLSDEATGDDEPYLVASASSDGTIRAWDVRMAATEKSEPLAECKTQSRLTCLAGSSLKSKQPQAGKINAKVEDKNQMVTDQ >RHN82753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56128306:56129153:1 gene:gene6938 transcript:rna6938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MQFIDSTGNDILSLEWLSDIVDDSRDENITMKKVEQHPSSSVNKEDFVLPKSNSSPTCEKTTVRRTRSKRPRLATFSSHHSTMQLISSTSSFVGENMQDSVISNKGASTEKFPDSQIAAKKQKLSSGESKKNKKTKAPLLAALDHNALGLVRQCTHCEATKTPQWRTGPEGPKTLCNACGVRYKSGRLCPEYRPAASSTFSPDLHSNSHKKILEMRVMRRKDNKNSGILALEYM >RHN73720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19302285:19304463:1 gene:gene9574 transcript:rna9574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MAYNNTMNILILFWVTFVYSFFGVSNSNHLPYDAIFNFGDSISDTGNQASFYTVPGNSSYGSTYFKQPSGRFSDGRLIIDFIAEAYGLPFLPAYKTLTKGQDVTKGVNFAFAGSTALNYNNYLNKSRILVPASNYSLGVQLKMFKEFRNSTCKSKKDCRSYFKKSLFLVGEIGGNDLSSHISQNFSNFRNVVPLVVAAITKATTTLIKEGAVEIVVPGNFPIGCGASLLALATGYGNKTENYDEFGCFKAFNTMAEYFNDKLIYSINTLRENYPNVKIIYFDYYNAAKRLYEAPEQYGFDKSKTLKACCGGPNTTVCSDPSKYINWDGPHLTEAAYRQIAKGLVEGPFANPPLKPASFKIA >RHN50964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12373601:12374210:-1 gene:gene35321 transcript:rna35321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MSVEALGIKEFLPAYLDPNIQPSDLVTGVCFASSGSGYDPLTSKSASAISLSGQIILFKEYIGKLKGIVGEGRKNFILANSVFLVVQGSNDISNTYFLSHIRELQYDVPSYTDLMLASASNFLKVYS >RHN57916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42554193:42555905:1 gene:gene33507 transcript:rna33507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MELHNLFTNITFIFSFLFLLVLFKVVKTLSANNSIVNLPPGPWTMPLIGNIHQIITSSLPHHHLKKLAEEYGPLMHLKLGEVPYIIVSSPEIAKEIMKTHDINFCDRPKLLLSTIFSYNATDIAFSTHGENWRQLRKICVEELLSAKRVESFRSIREEEVSNLVKSITASEGSVVNLTQMILSLTIGMTARAAFGKKNKHQEVFKSAMKEIFKLLGGFSFADLYPSIKILQMLSWPRKKLEKLHRETDMILQEIIDDHKSSHKKARKNDDLVDVLLKIQRVNHSQHPLTDDNIKSVIQDMFVGGTQSSSEAVLWTMSEMVKNPMVMEAAQVEVRRVFDKKGYVNETELHQLIYLKSVIKETMRLHPSIPLLIPRESTKPCQINRYDIPAKTRVIVNAWAIGRDPRYWVDAKSFKPERFLNSRIDFKGTDFEYIPFGAGRRMCLGIAFALPNIELPLAQLLYHFDWKLPNGMKNEELDMTESFGLAVGRKHDLCLIPFIRRP >RHN50628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8648813:8650705:-1 gene:gene34937 transcript:rna34937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-severing ATPase MVSSEIFISIFIFLLLTLYPRCFSISYSCSLDILRGPDGLLPQKLRNLAPHHIEHVSNEIMDKYPDVHWDDIAGLAHAKKCVNEMAVFPLRRPDLFKGCRSPGRGLLLFGPPTSSIQFGEGEKLVTALFAVASCRQPAVIFFDEIDSLLSQRNDNEHEITRRMKTQFFIEMESFSGGNEQVLVIGATNRPQDLDEAARRRLTKRLYIPLPSSDARAWIARNLLEKDELFKLSNEEMEIICDLTEGYSGSDMKNLVKDASMGPLREAMEQGIDIMELKKEDFRPVTLQDFLNSMKDIRSSVSANELGIYEEWNKQFGSLQI >RHN58249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:259051:263281:-1 gene:gene20139 transcript:rna20139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plastid lipid-associated protein/fibrillin MNLAFNLNLSSSLCIHRRRRSHTLNNVNRRKSKSISMAMASSVTQAYDSELENNKHELLTSVQDTQRGLLTTPHQRSSIEEALVNVEGSNMGDPIDFNKLDGTWRLQYTSAPDVLILFQAAATLPFFQVGQIFQKFECRHISNGGIIRNVVRWSIPNFLEEQEGATLVVSAKFTLVSVRNIYLQFQEISVQDINISEQLQALISPAILPRSFISLQILQYLRAFKAQFPVRNPGRESVGGLYYLSYLDDNMLLGRAVGGGGVFVFTRAQSLY >RHN65519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2850021:2854560:-1 gene:gene13366 transcript:rna13366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAETAVLFALGEVFKFLKEETNLLRGVHRDFSDIKDELEIILVFLKDADRRAADEADINDGIRTWVKQLREASFRIEDVIDEYLRLMHRANPPGCRSLCMTPRLIKTLIPRHKIASEIQDIKLTTHGIKKRSERYNFQISNEQGSSSSSNSSPGEAENGRWHDPRLSSLFIEETEIVGFEGPREEIYGWLLEGAAERTVISVVGMGGLGKTTLAKLVFESQKVTANFDCYACVTVSQSYTVRGLLINMMEQFCRGTEDPLPQMLHKKDDQSLIEEVRQYLQHKRYLIFFDDVWQEDFSDQIEFAMPNNNKGSRIIITTRMMHVAEFFKKSFLTHIHNLQLLPPNKAWELFCKKVFRFEPGGHCPPELKAVSKEIVQKCKQLPLAIVAIGGLLSTKSKTMIEWQNVSENMRLELGRNAHLTSLTKILSLSYDSLPYYLKPCILYFGIYPEDYSINHRRLTRQWIAEGFVKSDERRTLEQVAEEYLSELIHRSLIQVSNVGFEGKVKTCQVHDLLREVIIRKMKNLSFCHFVHEGDSVAVGITRRLSIASNSNNALQRTNNSHFRAIHVFGKGGLLEPFIGKLCSHSRILKVLDIQGTSLNHIPKNLGNLFHLRYLNLRNTKVKVLPKSIGELQNLETLDLRETHVHEMPGEINKLTKLRHLLAFHRNYDAKYSVLGFTTGVRMVKGIKNLTSLQKLCYVEVDHGGVDLIEEMEMLRQLWKLGLRHVKREHGNALSAAVEKMQHLESLNITAICEDEIIDLNFVSTPPKLRRLHLKARLQKLPNWVSKLEGLVEIKLALSKLKDDPMQSLKNLPNLLKLSVGHNTYEGEIFHFENEGFQKLKELVLRHVNRLNLILIEKGALISLEHIILEKIPQLKEIPSGCKHLDKLKVIDLSDMSDEFVNSIEPDKGHSYWIIKHVPRVFFRQWFGPKYYDYEIRTINSSSKES >RHN51960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29186999:29189218:1 gene:gene36543 transcript:rna36543 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPIAEGTPFTGPGPSLTPLVIAKDLYLKTSDVSDHLITKSHIRGFTSKYLLEKANLKTTCQDTLEAILAFLIYGLILFPNLDNFVDMNAIEIFHSKNPVPTLLADTYHAIHDRTLKGRGYILCCLPLLYRWFISHLPSSFHDNSENWSYSQRMMALTPNEVVWLTPSAHVKEIITGCGEFLNVPLLGTRGGINYNPELAMRQFGFPMKAKPINLATSPEFFYYSNAPTGQREAFIDAWSKMRRKSVKHFGVRSGIDYEAYTQWVIDRAEEIGMPYPAMRYVSTSVPSMPLPLPPATQEMYQEHLAIKSREKQMWKARYSEAENLIMTLDGKDKQKTHENLMLKKELAKVRRELEDKDELLMRDSKRARGRHDRYGGSDSKSESEDYPTSSYA >RHN57763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41574437:41576948:1 gene:gene33347 transcript:rna33347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MSGRKPYAVAQYGCLLVTHAVKKTVEEVDGNKCVSDWFGKGYMKVERQKKKLISNRDRVRAQVEAIDSKTEKVRDVVFEWLKEAEIVMQKMENLKLQSKPPSWIEFNKLQEKITALNKKCNFEPFSTTIPSLEHFSLGNNFECFKSTEKASDELLEALQDDNCCMIGLYGRRDSGKTTLVKVMEQKVQYLNIFDEILFVNVTKNPNITAMQDEIADSLNIRFDRNSEAGRARRILSTIEDMDRPILVIFDDVRAKFDLRDVGIPSNSNRCKVLLTARRQKYCDLVYCQRKILLDPLSTEEASTLFEKYSGILEEDHSSSFDLLNVAREIAFECDGLPGKIIKAGSSVRSKPMEEWEKSLDNLRHSTAQWEMFLSFRGEDTRYSFTGSLFQALSQGGFKTFMDDEGLHTGDRVSPCLRNAIEASRLSIIVLSENYANSTWCLDELVKILECKKWNNQLVWPIFYKVEPSDIRHLRNGYGKDMAQHEKKFGIDSERVQKWKSALLEVSNLSGMTYTTGYEYEFIQKIVEDANNIKSRLQIRSV >RHN55953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25975512:25976825:1 gene:gene31249 transcript:rna31249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MKVSLYKYIFFTSPNSVPIASFTLHFENITNKTKSHTHIKKRETPSTHTLKKTFLHPFIMVKTEINKINKEKPKPKPMQQHVLSTKAASNDKKKKYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLKGSSATNLNFPLETSSHFIPQENISMSPKSIQRVAAAAAAASSSSSSSNNDVTNTISTPPTSSSSLVSSPSMSSSPSDQIDDDVSLFSSFGACDDHYQANDQSMAMMDSWYGFDGLLQSPKHVDQMLSGSLFDIDSTQVLLDDWYEEGDISLWSFY >RHN49909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2216329:2219628:1 gene:gene34141 transcript:rna34141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 82A1 MTHTNPYKSKYGIGPFSTTIINQKMELVLNYLNITTIALISLISLFFFLFRLSKVSHTKNPPTIPGSWPILGHLPLMRNTQTPHKTLASLADRYGPIFTIKLGATHALVLNNWELAKECFTKNDIVVSSRPKPVAAEIMSYNQAFIGWAPYGAYWRQLRKIVTMEILSKHRVELLSHIRVSEVQSSIKELVNTWSHQSQASGQSEPLNDTKSSTNDYVSVELNKWFQQLTLNMKGVGSDDKVVGERDFMDAMLLVLNDKPIEMFDADTVIKATTMELIIGGSDTTAGTLTWAMSLLLKNPHVLKKAKEELNTQIGKENCVRESDVNKLVYLDAIIKETLRFYPPAPFSSPREFTEDCTIGGYHIKKGTRLMPNLWKIHRDSRVWSDPLEFKPERFLTTNKDVDLGGQNFELLPFGSGRRRCAGMSLGLHMLHYILANFLHSFDILNLSPESIDLTEVLEFTSTKVTPLEVLVKPCLSPKCYEIM >RHN75064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39133645:39134553:1 gene:gene11214 transcript:rna11214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MLSSTNELDELVLFDSPMPSDFPTFTINQTQLSYPDCLKIEYDSPFYVCSCDGILCFTMYSGPGVGPPVLWNPSIRTFKILPPLDNKPFSAYNFGYDHCSDKYKIVAVSLVEDREEVSVHTLGTDTWRRIPDFPYNGPFDRFGIFLSGTVNWMSFDNVSSSCVIVSLDLEKELYQTLSLPDFEKDRLIRTLWVLRDCLCIFVRNDMFLDVWVMKQYGNKKSWTRLYRVPHMDNRGLLCNYTRVLYISEDEILLMDFGKLECYQSKLVVYDSKDGTLEIPTIQNIGGWIHPEVYIESLISPCS >RHN62305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42507500:42509419:-1 gene:gene24866 transcript:rna24866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylic ester hydrolase MGSIPSKKDMGSIAKTWRELSGKSKWKGLLEPLHIDLRKYLLHYGQFAQATYDAFNFEKASKYAGNCRYSKKDFFSKVYLEEGNPYKYSVTKYLYATSKASDSAAFLLTSIFSKDAWSLESNWIGYVAVATDEAKEALGRRDIVVVWRGTIQGSEWVQNFNIDLDPAPLIFGPKSNVQIHNGFYSLYTSENSGLPSADSSARKQVLNEISRLVELYKNEEISITVTGHSLGGALATISSVDIVANKFNMPKEQPQKACPVTTFAFGAPRVGNSYFQKIFSDHKDLSALFVRNENDIVPKSLTFFYYKVGEELEIDTEESKYLKSGVSAHNMEVYLHGIAGTQGSKGGFNLEVNRDIALLNKSNDGLKDEYHIPENWRVVENKGMVQQSDGTWKLMDDHNDDVLIMRAH >RHN74273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31321112:31327113:1 gene:gene10309 transcript:rna10309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ENT domain, protein EMSY-LIKE, plant MDYEPYDSSGTDDDLPPTHQNRIPRGARLAGNGRSAVGSMSYPRMYGEIDMEAQIHQLEQDAYSSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLGRVNADDVIRRIREWRQTGGHQPSVLSSGHVIHDSVPSPTVSASRKKQKVTPSVPSQSYGGPSPSFHPQPVAAPHQPSSSVGKRGPVPGTKGKKQKPGQVLPGAPSIKQYPSSGPGGRNQVPNRVTSGTGTGELAEGASIDPLVGKKVRTRWPDDNNFYEAVITDYNPVDRLHNLVYDMGSVNESLEWVNLSEISPEDIQWVGEEPGINHRFGGSGRGVNRSVGRDNVPGAGRGRGGTKGQSKKDLLPSQNGIGKKALDDIQILHTDTLVKEVERVFSANHPDVHEIEKAKKILKDHEQALIDAIARLADLSDGDNDGGGRHFSHVKSMDRE >RHN54732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11696842:11700968:1 gene:gene29810 transcript:rna29810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAESAVSFLLQRLVPVFENKMNLLAGVEDEVVYLKGQLELIGAFLKVADALEESDEELKVWVKQVRDVAHETEDILDELELLVQARNHTNRFSVSFRIRNMKARYRIAHELKSINSRMTTIFSIHKRFLKKLDTSSEASNSNYTGKTRHDQRGDALLLDNTDLVGIDRHKNWLIGWLIKGCPGRKVISVTGMGGMGKTTLVKKVYDDPEVIKHFKACAWVTVSQSCGIEELLRDLAEKLFSEIRRKVPEGLENMHSDKLKMIIKELLQRRRYLVVFDDVWHIHEWEAVKYALPKNNCGSRIMITTRKSDIASISSIESKGKVYNLQPLKEDEAWDLFCRKTFQGHSCPSYLIDICSYILRKCEGLPLAIVAMSGVLATKDKHRIDEWDMICRSLGAEIQVNGKLDNLKTVLSLSFNDLPYYLKYCFLYLSMFPEDYLIQRMRLIRLWIAEGFIEAKSGKTMEDVAEDYLKELINRNLLQVAETTSDGRVKTLRIHDLLREIIISKSKDQNFATIVKEQNVVLPEKIRRLAQHGPTLPNPNGQQHRSVSQLRSLLMFGMTESLSLGKLFPGGFKLLSVLDYQDAPLRKFPKAVVDLYHLTYLSLKNTQVKVLPKCVLGKLQNLETLDLKNTRVTELPADIVKVKKLRNLLVYQSKVEGYAQFHSKYGFKAPLEIGKLQSLQKLCFVEANQGCGMIIRQLQKLSQLRRLGIMRLREEDGKEFCWCIEKLTSLCALSVTSEGEDKFIDLTSLCKPPPFLQRLYLSGRLQELPSWIPSLHNLARLFLKWSCLKHDPLVYLQDLPNLAHLELLQVYDGGENMLLHFKCGKFTKLKVLGLDKFEGLSQVIVGKGAMPWLETLSIGRCESLKKVPSGIENLAKLQVLEFFDMPDELMLTICQHGPGEDYWKVSHIPEVYSTYWRDGGWDVYALDSRRDCSPRSGTLRRSHESRNQWKV >RHN49296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53880822:53881376:-1 gene:gene44111 transcript:rna44111 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVTECSNKGASKKSNDSWPIIIKLATDCMCWDSLKISPSKDFEEYVLEYMNESSRKALESWIPLNILIYDVDTCETYDSNLSKKESFWFDPMPLVGEKTKVGKHSFSYSSSSYEETMWELPCYDLEKARKEFFYSIEPFRHIIRKRDLKYDQQIGLRFCGGNVTVGFEFSVLHSSSIVDVNC >RHN66108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8576450:8577351:1 gene:gene14023 transcript:rna14023 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTPFTRSWHLWATTTSTSSTKCICTKTPRIKISSWLSSRLALLTIS >RHN64908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62900645:62905320:-1 gene:gene27784 transcript:rna27784 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADP-dependent glyceraldehyde-3-phosphate dehydrogenase MAATGVLAEILDGDVYKYYADGEWKKSTSGKSVAIINPTTRKPQYKVQACSQEEVNKVMDSAKAAQKSWAKTPLWKRAELLHKAAAILKEHKAAIAECLVKEIAKPAKDAVTEVVRSGDLVSYCAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVILAIPPFNYPVNLAVSKIAPALIAGNSIVLKPPTQGAVAALHMVYCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMIPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAVKVVLVIESVADTLVEKVKAKVAKLSVGPPEDDCDITPVVSESSANFIEGLVNDAKQKGATFCQEYKREGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTKDINKAMMISDAMESGTVQINSAPARGPDHFPFQGIKDSGIGSQGITNSINMMTKIKTTVINLPSPSYTMG >RHN40277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14770369:14775512:1 gene:gene46411 transcript:rna46411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAFSSSHGASQKKYDVFISFRGEDTRTCFTSHLHAALCRTHLHTYIDYKIEKGDDVWSELVKAIKQSTLFLVVFSENYASSTWCLNELVEIMECSNKNEDDNVVVVPVFYHVDPSHVRKQTGSYGTALEKHMEQDNNGDKMMQNWKNALFQAANLSGFHSATYRTESDLIEDITRVVLGKLNQQCTNDLTCNFILDENYWSIQSLIKFDSAQVQIIGIWGMGGTGKTTLASILFQRFSFKYEGSCLFEKVTEVSKRHGINYACNKLLSKLLREDLDIDSPKLIPSMIRRRLKSMKSFIVLDDVHNSELLQNLIGVGHGWLGSGSTVIVTTRDKHVLISGGIDKIYEVKKMNSRNSVKLFSMNAFDKVSPKDGYVELSKRAVDYANGNPLALKVLGSLLRCKSEIEWDCALAKLKKIPNNEIDSIFRLSYDELDDKEKDIFLDIACFFKGHERNSITKILNECGFFADIGISHLLDKALVRVDSKNCIQMHDLIQEMGKQIVREESHKNPGQRSRLCDPKEVYDVLKNNRGSKNVEAIFFDATQCTHVNLRPDTFEKMKNLRLLAFQDQKGVKSVSLPHGLGLLPENLRYFLWDGYPLKTLPPTFCLEMLVELSLTGSLVEKLWNGVLNVPNLEKIDLSGSTKLIECPNVSGSPNLKYVLLDECESMPEVDSSIFHLQKLEVLNVSGCTSLKSISSNTCSPALRQLSAINCFNLKDLSVPFDYLDGLGLSLTGWDGNELPSSLLHAKNLGNFFFPISDCLVNLTENFVDRICLVKQRNCQQDPFITLDKMFTSPGFQSVKNLVFVDIPMLSEIPDSISLLSSLESLILFDMAIKSLPETVKYLPQLKFVDIHDCKLLQSIPALSQFIQILVVWNCESLEEVLSSTREPYDEPNVCFISLLNCKNMDSHSYQTVLKDAMDGIELVNMRIIIKFFHVDNEDVKRHLLSDRAS >RHN65504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2746258:2746662:1 gene:gene13348 transcript:rna13348 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNQNENNAQLPTFIPPPTSQSQRDFYYNGSPPPPFHTLLFTVHGSGRYNHRFHPYMRPITKVPTFPPLHHDNKIGESSRRTRDLISLIDKHVDHHDKKIDESVGRTMDLISLINKHVDSVGFNGGTALLYRG >RHN55255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16456087:16456491:-1 gene:gene30406 transcript:rna30406 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTKPNHYRCPTVGANCCESPSLQGPDRTTPIQRIMMCGATESGNHQTKLIEQARNNKTPPRSNLGNKCETKQLNTR >RHN68692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37869202:37872078:-1 gene:gene17076 transcript:rna17076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSITSTTPLFKSLTMAESCLLSSQSKSKPTFFSLPSKSLNLHLSLKTNRSISISPSPLFVAQEGDTLTTSLDEEAGLSLDWEPTADAAETETGADDSAEGYFVEPPEDAKLFVGNFPFDVDSEKLAMLFGQAGTVEIAEVIYNRQTDLSRGFGFVTMSTVEEAESAVEKFNGYDYNGRSLVVNKASPKGSRPERTERAPRTFEPVLRIYVANLAWEVDNSRLEQVFSEHGKIVSARVVYDRETGRSRGFGFVTMSDETEMNDAIAALDGQSLEGRTIRVSVAEDRPRRGSF >RHN73275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15067044:15069698:1 gene:gene9073 transcript:rna9073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MLKKLFISHNVILEINATKSTTPKIDHLFQHFSFPISLTRFSTTTSTSESNTHPFAASYLIDNFGFSPQSALKAFNNKQVRFNTLEKPNSVLTFFQNHGFSHENIRIIIRKEPWLLSSQPHKMLLPKFEYFLSKGASSADIVSLLTANPTILRSSLGKRIIPLFELLSRFFKTNKDIIVCLLRHSMSFIISPYHLIVANINLITGFGVSDSTIAKLLQKRPSIFGSTDLIKSLEEVKRLGFHPSTTTFGTALTAKKGLSKKLWDEKVDAFKKWGWSDEDVIRAFRSYPNILLASIEKINLLMIFWVNQLGWDSLELTKCPIMFGYSLHQRIIPRASVLQFLFMKGLREKNASLVAPFTYSEKLFLNKFVFSFEEESDYLLKLYNEKQKLANTKENTSMPTSKCPWRILSLRRNYSRLMSENLELVADES >RHN57117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36624351:36624689:1 gene:gene32617 transcript:rna32617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MVSPQGDIYSYGIVLLEMLTGKRPTDNMFYENLSLHKFCKMRIPEGILDVVDSCLLMSFAEDQTQVMENNIKECLVMFAKIGIACSEEFPTQRMLTKDVIVKLLEIKRKLSS >RHN82042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50792356:50799051:-1 gene:gene6158 transcript:rna6158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 9S-lipoxygenase MEMNYKNSVKGKVVLMKKSLLDFHDIKSNVLDRIHEFLGKGVSLQLISATAPDPAKGLKGKHGKVACLERWMSSISSLTTATDTEFSVTFDWDHEKMGVPGAFLIRNNHHSQFYLKTVTLDDIPGHGPITFVCNSWVYPTHRYTHDRVFFANKAYLPCDTPEALRKLREEELGTLRGKGIGKLNEWDRVYDYACYNDLGTPDNGPDYARPVIGGSQKFPYPRRGRTSRPHTKTDPKTESRLHLLNLNIYVPRDEQFGHVKFSDFLAYSLKSVTQVLLPELRSLCDKTINEFDTFQDVLDIYEGSFNLPSGPLHSKIRDLIPYEIFRELVRNDGEKFLRFPVPDVIKASKTAWRTDEEFGREMLAGVNPVIIRRLQEFPPASKLDPSVYGDQNSSIQAKHIENSLDGLTIDEALESDKLYILDHHDALMPYLSRINSTNTKTYATRTLLFLQDDGTLKPLAIELSLPHPQGEQHGAVSKVFTPSHEGVAATVWQLAKAYAAVNDSGYHQLVSHWLFTHAVIEPFIIATNRQLSLLHPIHKLLKPHFKDTMHINALARHTLINAGGVLEKTVFPGKFALEMSAVVYKNWVFTEQALPANLLKRGIAVPDSNSPHGLKLLIEDYPFAVDGLEIWDAIETWVSEYCSFYYTSDDMIENDYELQFWWKEVRTEGHGDLKDRSWWPVMKTRIDLIQSCTIIIWVASAFHAAVNFGQYPYAGYLPNRPTVSRRFMPEQGTPEYEELESDPELAFLKTITAQFQTLLGVSLIEVLSRHSTEEVYLGQTVDPDWTADAEPLAAFRRFSQKLLEIENNIMKRNKDPSLKNRNGPVKLPYTLLFPNTSDYSREGGLTGKGIPNSISI >RHN54558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10469416:10478241:-1 gene:gene29622 transcript:rna29622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-alcohol oxidase MDMEMEMEMEMENSNRGNQIKSGSFRKGSFRKGSFRLGGKDHTVVELGSIDTQKLLLEGGGGEIREKQKTLKNSLSIKQMKSLTALCDTILPSINDFVPVSDDDESAATFYRISASMAGTPERLGGIISERLIHPMTMVLKLVLWFLSTWLGTIILCGMGCLSTKFPFIHTFPDLPLHKRQQIMQSWSLSFFRHLRMFFRTIKLLTLLIFFTQVDESEDNCSWKAIGYCGPDPEFKAQLKNHFLHGACKEKQDSEEGDNDAELAIGPLYKGLVHLNYPRDIIVDTLRRFGFPVSVSRRKQKTAPSLSSPSLVVQCDAVVVGSGSGGGVVAGILANAGYKVLVLEKGGYSARNNLSLLEGPTMDQMYQAGGLVATDDMNIFILSGSTVGGGSAINWSACIKTPQHVCKEWCEKHGLELFESELYQEAMEAVCEKMGVQSEIEEEGFNNAILRKGCQEMGYPVNNIPRNASPDHYCGWCCLGCKDGKKKGTSETWLVDLVKSGNGAILPGCTAMKVLHKKKKGSGEKTARGVAFEFEYRGSKDICVVESKVTIVACGTLNTPSLLKRSGLKNNNIGRNLHLHPVVMAWGYFPDTPVEPTGASEVWPETWKKSYEGGIMTAMSPVVAEFEKSGYGAVIQTPALHPGTFSIVMPWVSGSDIKDRMRKFSRTAHIFALARDQGSGNVHSPSRISYEMEDVDEENLQKGIDKVLRILAAAGAEEIGTHHNKGNTLNVKNASYHEFEQFVKKESSRSLTDLSTPLCSAHQMGSCRMGSNPKESVVKETGETWEVEDLFVADASVFPTALGMNPMITVQSIAYCTAQSVLQVLKRKRTK >RHN47942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43726814:43728235:1 gene:gene42600 transcript:rna42600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MLSSRVVGTPGYIAPENAYDIVPGRKSDVYSYGVVLLELITRKKLLVPSMNDEAKETHIVTWARSVLLETGKIEKIADPYLASAFPNSEVLAEQVNAVLSLALQCTEKDPRRRPTMKDVIAFYNTNLFKLRCDKVKYCDGLVIKLMGNGKILSEKFASIANLAVPKINYVWPSLIFLPSITSLILIKPFNWFFLSRWGQYRYLQKSLYSKIKSPYSISTDQTEVTVQLSKK >RHN61655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37217383:37221421:1 gene:gene24142 transcript:rna24142 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHIIYCYLFNLDIIFLWKCHNAFLKIILLTTSLLENEIMHLSNLIINIEPLNILY >RHN63948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55281791:55285122:1 gene:gene26713 transcript:rna26713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MPGRVNQSGNDELHPGCRGYTISSNVDVHCSPTKRTRISAPFTFGPLPRALEHGQDLKPSIESLPDECLFEIFRRLPSGKERSSGACVSKRWLMLMSSIRKDEIDSGVETISSDESEEDAKGGGWLTRRLEGRKATDVRLAAIAVGTGCCGGLGKLYIRGNNSTRGVTDRGLSAVACGCPSLRSLSLWNVSSIGDKGLCEIAKGCHMLETLDLSHSSSITNKGLIAIAEGCPNLTTLNIESCSMIGNEGLQTVAKLCPKLHSICIKDCPLVGDHGVSSLLSLASNLSKVKLQILNITDFSLAVIGHYGKAVTNLVLSGLQNVSERGFCVMGVAQGLQKLMSLTITSCQGVTDASIEAMGKGFPHLKQMCLRRCSFVSDFGLAEFAKCTRSLQSLQLEECNRFTQCGIFYALSNIKTKLKSFTLVKCMGIKDIDVEVSMLSPCKSLRSLTIQNCPGFGSASMAVVGKLCPQLQHVDLTGLCGITDAGLLPLLENCEAGLVEVNLTGCWNLTDYIVSKVARLHGGTLEILNLDGCQNITDASLVAVADDCLLLNDLDVSKCAITDAGIAVLSRADHLSMRVLSMSDCSGISNKCVPFLVKLGPALSGLNIKNCNSIDSNAIEFLVENLWRCDILA >RHN53972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5873840:5882113:-1 gene:gene28947 transcript:rna28947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MASITSVELNYLVFRYLQESGFTHSAFVFGNEGGINKCPIDGNLVPPGALVTFVQKGLQFLEMEANLNNCDADFDEDFSFLQPLDLITKDVHELKKMVNERRRKRQRGKTKESEKEHDGDRGLTREKERQEREKEREKDKENLENHKEQELQNENQISREMVSDQEDKINGTKKEDAKKKDRVNGTKKDDGKKEGKVNGAKKEDKVNVKLEEDGAFGGPEPMDICTTSAFQPCEFLKSDVTILEGHKSEVCACAWSPTGSFLASGSGDSTARIWTIAEGTRKLDSKNDPSSVLVLQHIRGTTTEKNKDVTTLDWNGEGTLLATGSYDGQARIWTTNGELKSTLCKHKGPIFSLKWNKKGDYILTGGFDGSAIVWDVQAEKWKQQFDFHRGSTLDVDWRNNVSFASSSNDTMIHVCKIGENHPVKTFAGHQGEVNCIKWDPTGSILASCSDDNTAKIWSMKQDKYIHDFREHSKEIYAIRWSPTGPGTNNPNKKLVLASASCDSTVKLWDAELGKLIHSLNGHRDRVYTISFSPNGEYLASGSPDKSINIWSLNDGKIIKTYKGNGGIFEVCWNKEGDKIAACFSNNTVCILDFRM >RHN54818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12611250:12612894:-1 gene:gene29909 transcript:rna29909 gene_biotype:protein_coding transcript_biotype:protein_coding MWWRTSTRPQQVYETCQYCCPDGITVDQKTLLQLFPMSLVGRAKNWRLALSSGTIKIWINFKINL >RHN62326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42637303:42637971:1 gene:gene24888 transcript:rna24888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MDLINDCGEQGEELKKMIGAVSYIECSSKTQQNVKVVFDAAIKIALRPPKPKKKPRKTRTCTFL >RHN63100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48425344:48425766:-1 gene:gene25759 transcript:rna25759 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIRKESLRITQKDDEFDEFVMILVRVYVNVLDDFCFDL >RHN63009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47558659:47559159:1 gene:gene25657 transcript:rna25657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate-5-semialdehyde dehydrogenase, Glutamate 5-kinase MLIKKEIPHLNYGNLLVVLGSLQIKRMFKSILCKTRIMSVEFLSALLSVAFELQRVGVQLYRGPRATALLNVTETKPFHLGYSSLACIIGIVDDVCAVIDHIWGIVHYLYICMVTNSEVAEKFAHQVDNGVVLHNVSTSFCDGAHFELVVFLLEIEYNNPLVYILL >RHN61043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32458736:32460847:-1 gene:gene23454 transcript:rna23454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MSLIPINNRQGNSSNTSLNLWDPNPLSLWDPFMDFHFPLPSPITNFFPDFSFGSSLNTRMDWRETPRAHIWKVVLPGFTNEDVFVELQDERMLQVGGLSGGACQGPGKLQGSNCEGGRWSHSGQG >RHN42016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34797873:34798327:-1 gene:gene48401 transcript:rna48401 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFHDQHRPDLQNSAGGIPFIATYPLFIHRPHPPSLPSVLRVFNLKSYHRRPPPFSASILSASHSSKTHTQQAF >RHN56312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29763063:29766670:1 gene:gene31693 transcript:rna31693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MAILLTMLDIFIIITINVFVVLSHVSYATDTITKSASLSNGSTLVSKDGTFEMGFFRPGKSLNRYVGIWYKNIPVRRVVWVANRNNPTKDDSSKLIISQDGNLVLLNHNDSLVWSTNASRKASSPVVQLLNNGNLVLRDEKDNNEESFLWQGFDHPCDTLLPGMTFGYNRKLDFYWNLTAWKNEDDPSSGDLYASVVFTSNPESMIWKGSTKICRSGPWNPLSSGVVGMKPNPLYDYKVVNNEDEVYYQFVLRNSSVTSIAVLNQTLLIRQRLVYVPESKIWSVYQIMPSDTCEYYNVCGANAQCTIDGSPMCQCLPGFKPKSPQQWNSMDWTQGCVRGGNWSCGIKNRDGFQKFVRMKLPDTTNSWINLNMTLQDCKTKCLQNCSCTAYTYLDPNGAVSGCSLWFNDLIDLRLSQSSEGDDLYIRVDRDSNFGHIHGRGKKVVMVVSITVSMLLVMLLVLSYVYIFKPKLKGKKERDGGEHEDFDLPFFDLATIIKATDNFSTNNKLGEGGFGPVYKATLQDGHVIAVKRLSGNSEQGSKEFKNEVILCVKLQHRNLVKVLGCCIEGDEKLLIYEYMPNKSLDSFLFDPTQSKLLSWSMRLNILNAIARGIQYLHQDSRLRIIHRDLKASNILLDNEMDPKISDFGMARMCGGDQIEGKTRRIVGTYGYMAPEYVIHGLFSIKSDVFSFGVLLLETISGKKNRTLTYHEHDHNLIWHAWRLWNEGTPHELIDECLRDTCVLHEALRCIQIGLLCVQHVPIDRPNMKYVIMMLDSENTLPQPKEPGFLNQRVLIEGQPSSENGITISLLSGR >RHN79676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31162194:31163663:-1 gene:gene3498 transcript:rna3498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MANNVVLKLFVPMLLLFAGVFSDVTFHFENICSHSIWRASNPSIGDLEPELGPEIYEIFNMDDHYSGSIWARTECTTNTDDYFSCETGDCRLGTRECADTTPNYPVTLLNFNVNESIVSYEISLIHGQNIFVNIKPVGGTLLDGSGPCPVVTCNMDFGNVCPPSLIAYNANGLYVGCNSACDVFKDDEHCCNGNNCQLDEYTLKFKQQCPNAHIYSGDNKPPMYQCKGAESYDITFCPAIGSAT >RHN68254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34000286:34012594:1 gene:gene16585 transcript:rna16585 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGDYDTSSQPIESPSSHDLQIYGIVVTTVLCFIVFGGVKMINWVAPAFLIPVLFSLICIYLGILLAKEDHPTGLFYSHR >RHN40112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13376959:13377948:-1 gene:gene46215 transcript:rna46215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MAESSPSKPNSTIDPYEFLEIKLNPDGSLTRNDNVPTVPPSSDPNQTVLSKDIILNTTTNTSIRIFLPNPPPPSSAAKLPLILYFHGGGFFRYHPSSISFHQCCSTFAAQIPIVVASVAHRLTPEHRLPAAYDDAIDSLFWLRAQAQNPSVSDPWIRDNVDFDNCFLMGSSAGGNIAYFAGLRALDLDLSPLKIQGLIMNAPFFGGVQRTKSELRFINDNILPLSASDLMWALSLPEGTDRDHVYCNPKVSDVIHGEKIGRLPRCFVNGYGGDPLVDRQKELVKILEARGVHVESVFCEDGFHAVELFDPAKAQALLDYVKKFISSVDT >RHN71550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:966483:967499:-1 gene:gene7162 transcript:rna7162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MQNWVNPKSVPSCLSLNLTTCTMRDFALAGQQSNHLILALFILKNARVLETLSILALSSCPWASSACQLLMYRC >RHN46380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31166256:31169465:1 gene:gene40862 transcript:rna40862 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRNLEDFWAFYVSQHSKRATRHWHFVGTFLSLLFLLCSFLFSWWFLLFVPLSGYGCAWYSHFFVEKNVPATFGHPFWSFLCDYKMFGLMLTGQMDREIKRLGKRPVLQGF >RHN69321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42601498:42605412:1 gene:gene17771 transcript:rna17771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MRIEYLKSILRQEVGFFDKQTNSSTTFQVIATITSDAQTIQDTMSDKVPNCLVHLSAFFSSFIVALFLSWRLAVAAFPFSIMMIMPALIFGNAMKELGGKMKDAFGVAGSIAEQAISSVRTVYSYVGEKQTLKRFSSALETCMQLGIKQGQTKGVVVGSFGLLYATWAFQSWVGSVLVRTKGEKGGKVFCAEICIIWGGLSLMSALPNLASILEATIAATRIFEMIDRKPTINSTKEKGRILKHTRGEITFKDVEFSYPSRPDTLILQGLNLKVQACKTVGLVGGSGSGKSTIISLLERFYDPTCGEILLDGFDIKRLHLKWFRSLIGLVNQEPILFATSIRENILFGKEGASMEDVITAAKAANAHDFIVKLPNGYETQVGQLGAQLSGGQKQRIAIARALIRDPKILLLDEATSALDSQSERVVQDALDLASRGRTTIIIAHRLSTIRKADSIVVLQSGRVVESGSHNELLQLNNGQGGVYTEMLNLQQTSQNENAQHQINKSPRAMENPITSSNPSRKSTPIHHAFSPAQPFSPIYSISVIGSSFDDDYSSENVEKPYKSNISHWRLLQMNAPEWKYALFGCLGAIGSGICQPFYSYCLGIVASVYFIDDNARIKSQIRLYSIIFCCISAVNFVSGLIQHHNFSIMGERLLKRVRENLLEKVLTFEIGWFDQEENTSAVICARLATEANLVRSLVAERMSLLVQVSVTALLAFVLGLIVTWRVAIVMIAMQPLIISCLYSKTVLMKSMSGKAKNAQRDASQLAMEATTNHRTIAAFSSEKRILNLFKTAMDGPKMESIKQSWISGSILSMSQFITTASIALTFWYGGILLNRKQVESKQLLQVFLILMGTGRQIADTGSMTSDIAKSGKAISSVFAILDRKTQIEPEDTRHTKFKKSMKGDIKLKDVFFSYPARPDQMILKGLSLEIEAGKTIALVGQSGSGKSTIIGLIERFYDPIKGSIFIDNCDIKELHLKSLRSHIALVSQEPTLFAGTIRDNIVYGKEDASEAEIRKAARLANAHDFISGMREGYDTYCGERGVQLSGGQKQRIAIARAMLKNPPILLLDEATSALDSVSENLVQEALEKMMVGRTCVVIAHRLSTIQSVDSIAVIKNGKVVEQGSHSQLLNDRSNGTYYSLIRLQQSHST >RHN57495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39520812:39525825:-1 gene:gene33044 transcript:rna33044 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNPAIHLSSLPTSVSSCLISLPGNSLKFAPLSIRNRNKINKIRAISSDTGNVKKQSSSSETKNPLSVVFDIPRRIWKQTLVPLSDFGFGRNSVWEGGVGLFLVSGTILFVLSMAWLRAFQINSKFRKYTAVFEFAQACGISTGTPVRIRGVTVGNVIRVNPSLKSIEAVVEIEDDKTIIPKNSFVEVNQSGLLMETKIDINPRDPIPTPSVGPLDEKCAKEGLIVCDREKIKGHQGVSLDEMVGIYTRLGRDVEGIGIVNSYSLAERVFAVMEEARPLLTQMKAMALDVQPLLAEVRDSGLLKEVESLTRSLTLASDDLRRAHSTIMTNENAELIQKSIHTLIFTLKNIENITSDMVGFTGDESTKKNLKLLIKNLSRLL >RHN58119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43869414:43871395:1 gene:gene33728 transcript:rna33728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(A)-specific ribonuclease MIMMKEDPGSKPIMIRKVWGYNLSCEFKLISQLIGKYNFISMDTEFPGIVHSPTVRRRLQPNEQYSYLKANVDALNIIQIGLTLSDAIGNLPSDQNNRYIWEFNFRDFNVKRDLHNKDSIDMLHRQGINFFRNTVQGVDSFHFAMLMRWSGLLFNNSVTWVTFHSAYDFGYLVKILTRHYLPRSLKEFLHVLRELFGRNVYDIKYMIRYSNALYGGLEQVASILHVDRAIGKCHQAGSDSLLTSQTFHKMVNTYFINNEVKKHAGVIFGLEVTA >RHN67449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27330882:27331871:-1 gene:gene15638 transcript:rna15638 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTSLLGLFLLISILNFLAHEITATQQVEGFSTSKQQREPKYMYGMILESNKPTSSTQKTNLGLHVVQHAKVSKRARTVYGGANNVKSPHKGKNSASTNSIKSSSLLMASLSLPAMILVGGFF >RHN56412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30680449:30682943:-1 gene:gene31809 transcript:rna31809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, PC-Esterase MCKVVIEILQNHYTLSLFALTQTQHLPLNILSKPLFSILSMVKIWVEICLISARGVRASHSLWKRQWYAIGWVDPTNKYITKVDASTNTNPLWRTKFSIQVDNSDPNFHDLALNVEVYSRDPFFFTEKLHGSATVLLKEFLAKGLLNDEGLRQGSEEVGSYQLRKKKSGKPSGFVDVSVRVSEDKEEPNSHSVKFNGVEFLMKMKGKNVMFVGDSLGRNQWQSLIYMISAAAPRVETELVRGDPLSTFTFLDYGVNISFHRTPYLVDVDVVQGKKKFKA >RHN40439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16323743:16326980:1 gene:gene46588 transcript:rna46588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MEDCMSSSIHYKQLTHHLQMEWLLQFLHLLFLASLLICSSSSNITISDQIAKPYVVYMGSNINGVDGQIPESVHLDLLSSIIPSEESERIALIHHYSHAFNGFSAMLTQSEASALAGNDGVVSVFEDPILELHTTRSWDFLESDLGMRPHGILKHQHSSNDIIIGVIDTE >RHN61765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38153674:38162087:1 gene:gene24260 transcript:rna24260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative integrator complex subunit 7 MEPTCAACAMEWSIQLEKGLRSGKPGVPVKAILQMGPHLQRWSRELESGIVSNGMFGLVPGEDELFANTILLRLADAFRGGNTEIRLSVVRVFLIERKHHDNRKHKQCKGLLSVARVANHLELLKQVKSVFNSGDSESKALALVLFGCWADFANDNAQIRYLILSTLVSPHDSEAKASLFAAGCFCEISDDFACITLEMLFNMMNSPEVSLPIKLAAARVFAKFKCSYSVAKKAYKIGLDLISNSSNEDILVIMLFSLSKLATISTLLTSNHVNFLVSFLEGQLTSHVQETALRCIHFLFRNNPSLKLYCNTSFEVPDGQPLDLSPSEYETWKGDWTDDEMVELNKLLSDSEALKCIV >RHN78842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19092838:19093613:-1 gene:gene2503 transcript:rna2503 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNRYAWRPFPRKGYVYHTQVNEALNSVPFRGGKPFSSTVNDALKSGRSVKRGNPYSSEDHYIRVNEASKSDQLFGRGNPISSEAHYTRVDETLKPHPNRVREPFPSNVYPTQVNDALNSVPLFEGEKPFSRIGYHTTVNEALESSQSVKSVYGFSIDDHYTRVNEALKPNPNRGKKPFPSHAYHTQENKALNSVPLFEGEKPFSSIGYHTIVNEALESSQPVKSVYGFSIHDMLE >RHN55912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25381064:25381774:-1 gene:gene31197 transcript:rna31197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQKKGKYMAKLLTFVYVMIYFLSLFLVTKGAHVECHNDSACEKTVKCMLPRIPRCIKYQCLCGYSDDPGNRWSTRPKRIQKGSTERKGFLY >RHN81339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45029078:45032389:-1 gene:gene5361 transcript:rna5361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S10 MATYAAIKPTKVGLEESQEQIHKIRITLSSKHVQNLEKVCADLVRGAKDKHLRVKGPVRMPTKVLHITTRKTPCGEGTNTWDRFELRVHKRVIDLYSSPDVVKQITSITIEPGVEVEVTIADA >RHN68796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38668241:38670612:1 gene:gene17195 transcript:rna17195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MKIYFTFLFLSLSLFHVSIATIGRTQEYSYSKQKTNPFTPKASLIRHWNTKTSNKLPIPNFFLSKASPLTPQHYANLINLFKQKPSSSNLHNTLCSTPYLFCSFDHPNTLYQSTKTNKSDSNFAVYSNKKFSNYGSARLGGVDSFKNYSNGLNTNSDSFKKYSSGSTRRGGEFNSYAENGNVANTNFTNYGSGSSSGTGNFKSYDKLVNVPNLGFTTYDSGANNHKLSFSSYGNDTNSGSQSFNSYGKRVRSGNSDFINYAVSSNILQSTFTGYGELGTGGANDSFKAYSFNGNNPKSSFKAYGTGSVSGSDTFVSYRNRANVGDDSFQSYGAKSKAGAASFTNYGQSFNEGNDTFTEYGKGATGKTAFGFKSYGLGRAFKLYNKNGASFSAYNNFSTISGKIVNRFVEPGKFFRESMLKEGNVMVMPDIGDKMPARSFLPLSISSKLPFSSSRLSEIKEAFHARDGSATERVIFNALKECEREPSVGETKRCVGSAEGMIDFSVSILGPNVVVKTTENVNGSKRTVMIGKVDATDGGKVIKSVSCHPSLYPYLLYYCHSVPKVRVYEADILDVDTKSKINHGVAICHIDTSSWGSKHGAFLALGSGPGKIEVCHWIFENDMTWTTSS >RHN77409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6656450:6660510:-1 gene:gene856 transcript:rna856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MRREQSQSPCVTDAEPDRISSLPGHIIDQILSILPIKEAVRTSILSTKWRYKWATLPNLVFDSQCISDTSEDLLVIKSKLSRIIDHVLLLHSGPIKKFKLSHRELIGVTDIDRWTLHLTRRPVKEFVLEIWKGQRYKIPSCLFSCQGLHHLELFNCWLIPPSTFQGFRNLKSLDLQHVTLSQDAFENLISTCPLLERLTLMNFDGFNYLNIHAPNLQFFDIGGKFEDISFKNTSQLAVVSIGLYVNFESSQGRLHGRTSNLVEFLIHLPRIQRLEIQSYFLKYLALGAVPVKLPTPCIDLSYLSIRINFYDSKEISAALCLFRSSPNLRELEILARPEEQPLPLAHHADCWEDVYLDWPVMRVRHVRIDGISGIKPELDFISFILLYSPVLERMTVKPALNASPELVKELLRLRRASGRAEVIYLDSV >RHN58097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43739973:43742173:1 gene:gene33705 transcript:rna33705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase C MKKEDSTTLFISKLIFFSFLQFLTSFSVSYSSTFPYEALPTKSGYLPISPTSTSSIFYTFYEAQNSTSPLSQTPLLIWLQGGPGCSSMVGNFYELGPYLVTNSLTLQPNPGAWNRIFGLVFLDNPIGTGFSVASTPQEIPADQTAVAEHLFAAITRFVQLDPVFKHRPIYITGESYAGKYIPAIGYYILEKNTKLKNTERVNLAGVAIGNGLTDPVTQMVTHADNAYYAGLINQRQKNELEKLQLEAVELVERRNWSEATDARSRVLDLLQNMTGFATLYDYSRKVPYEDWMVAQFLNIAEVKKALGVNVDESFVYEKCSKIVWAALHADLMKSVKYMVGKLLKEKMRVLLYQGQRDLRVGVVQVEAWVKTMKWEWIEDFVNAERKIWKVNGEVAGYVQKWKSLTNVVVLGGGHLLPADQPLNSQAMIEDWVLEKGLFGSVSDRNDVSRNSVYDG >RHN76992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3029371:3032102:1 gene:gene396 transcript:rna396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme METHTQYITPQYINTENKPKTGTQRSLFTLSLSFFISPFNVVHRRMANYHRNNVGNLVLGHRHRHHHSSKPPTFSHHFRLWNSLSIASLRRLIFHAVSCGGATSRYNHRRRSTFNEDDTEDFSSSAASSFDKRQQTQTSKPNVKSEKLSDLLNMAEIETEEEAKKKEEALEKLKRLVKDLHEEDDSVKRREAATTVRMLAKENLEVRGTLSMLGAIPPLVAMLDSKDVDSQIASLYALLNLGIGNDTNKAAIVKVGSVHKMLKLIESSDGIDSAVSEAIVANFLGLSALDSNKPIIGSSAAIPFLVRTLQNKNLDKQSSNQVKQDALRALYNLSIFPANVQFILETDLVLFLINSIGDMGVTERNLSILSNLVSTRAGRKAISAVPDVFPILVDVLNWNDSPECQEKVSYILMVMSHKSYGDKQAMIEAGIVSSLLELSLIGTTLTQKRASRLLESLRIDKGKQVSGNYNGNLGATVSAPICGTSSSCAKPDGGGGGKDCSEEDEDMMSEEKKAVKQLVQLSLQNNMRKIVKRANLPQDIVPSDHFKSLTSSSTSKSLPF >RHN42765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40769218:40773405:1 gene:gene49252 transcript:rna49252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MGCGCGVSVVLVFCLWGVLISVSSSTRLGGSRQKFEVNKHLNRLNKPPVKTIQSPDGDIIDCVPVSKQPAFDHPFLKDHKIQMRPNFHPEGLFEENKLDDNKEKSSTPINQLWHANGKCSEGTIPIRRTKEEDVLRASSAKRYGRKKHKSFAKPRSAEPDLVNQSGHQHAIAYVEGDKFYGAKATINVWEPKIQQTNEFSLSQIWVLGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQVSSDIAMGASISPISSYRDSQYDISILIWKDPKEGHWWMQFGNQGTVLGYWPSFLFSYLADSATMIEWGGEVVNSEPDGQHTSTQMGSGHFPEEGFGKASYFRNIQVVDSSNNLKAPKGLGTYTEHPNCYDVQTGSNGDWGHFFYYGGPGKNANCQ >RHN67566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28297235:28297465:1 gene:gene15764 transcript:rna15764 gene_biotype:protein_coding transcript_biotype:protein_coding MRERLGRKLIQLQPDHDGFYVLLSNIYASKGNWSDVLELRGIMAHREVVKMPGCSMIENKWNKFMNSSPEIRRTLR >RHN66944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21987967:21990606:1 gene:gene15067 transcript:rna15067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MTIFTTQISLLLLILLSITTFHKTVCSNHTLVRCNEKDRETLLTFKEGINDSFGMISSWSIEKDCCSWEGVYCDNITSRVTEIDLKGHTFHESVKLLKGEMNLYILELEFLSYLDLSFNEFDVIRIPSIQHNFTHSSNLLFLDLSFNYSPTLHMDNLQWLSSLSSLKYLNLGGVFGVDLHKVTNWFEVVTSLPSLLELQLSRCNLNNFPSVEFLNLSSIVSLDLSSNNFTFHLPDGFFNLTKDITYLDLAMNNIYGEIPSSLLNLPNLRQLDLSSNMLSGFIPLTLGNLSSLNLLSIGSNNFSGEISKLTFSKLSSLDSLDLSNSNFVFQFDLDWVPPFQLSYLSLSNTNQGPNFPSWIYTQKSLFDLRLWSSGILLVDRNKFTNLIERIRGVLFLSNNSISEDISNLTLSCSLLHLDHNNFTGGLPNISPMTNHVDVSFNSFSGSIPHSWKNLSELKELNLWSNRLSGEVLAHLSASNQLQFLNLGENEFSGTIPIKMSQNLYLVILRANKFEGTIPQQLFNLSYLFHLDLAHNKLSGSFPHCIYNLTNMVTFHFYSYYVNTIELFTKGQEYVYDVKPDRRTIDLSSNSLSGELPLELFHLVQVQTLNLSHNNFVGTIPKDIGCMKNMESLDLSSNKFYGEIPQTMSILTFLGYLNLSYNNFDGKIPIGTQLQSFNASSYIGNPKLCGAPLNNCTAEEESKNATQSTRNEDSESIRESLYLGMGVGFAVGFWGICGSLFLIRKWRHAYFQFINRVGDKLYVTLIVKLNRFQRN >RHN73532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17440726:17442802:-1 gene:gene9355 transcript:rna9355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleosome assembly protein (NAP) MGYLSLSPNPKKRVDFLRVIQREHDELKEKFLEERAALEAKYQLLYQPLFAKRYEIVNGLAEVEGVAMGTATDTAEEKGVPCFWLVALKNNEVVADVITDRDEEALKYLKDIKYTKMVEPLGFKLEFFFDPNPYFSNTVLTKTYHMLDEDEPLLERAFGTVINWLPEKSLTKIANEKQKNDLKNVEPVIDTPPCESFFNFFDPPEIPENDVDIDEDACYELQDVMERDYDIGSTIRDKIIPHAVVWFTGEAVDGEGDEDDDMYDDLDDGELLVHVLIVRFDFLDSISFAKMAGISIVGFGMKSRR >RHN56871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34390493:34392727:1 gene:gene32335 transcript:rna32335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MVLSWTKGSRVFRRARKGKELLSNSCNDLQVEIAIPTHFRCPVTLDLMKDPVTLSTGITYDRDSIEKWFESGNNSCPVTKTELTSFDIVPNHSLRRMIQDWCVQHRSYGVERIPTPRIPVTRYEVTDTCSRILSAAQQGDESKCFELVRKIKGWGKESERNKKVIVSNGVSLVLAKVFDSFSRGLIEKNVVLLEEILEVLTWMRPLSEESRFVFLGSSNSLSCLVWFLNDQQKISTRQNASLLLKEMNVESLAKIEGIVESLVNMVKVNVEIGSASTKACLSTIFHLVYSSKSKKVILERFVELGLVSILLEILVDAEKGVCEKALGVLNCLCDSKNGVQIAKSNALTLPLVIKKLLRVSELSSSFVVSIVYKICDKAEEGILIEAIQLGMFQKLLVLLQVGCAESTKEKATELLKLLNGYKSKAECVDSSSLDFTHLKKPF >RHN44167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2143309:2144205:-1 gene:gene38256 transcript:rna38256 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKMDILFSVLSILFVESSIFNLIVPLTTYLINLIYFTSLYKEEFYTNFKAFKICVSIS >RHN43039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42756959:42765123:-1 gene:gene49559 transcript:rna49559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MKKTLSFDTNWTLSSSTRIRVAAVTAIAAIAASVLALKDAKSSKPIRSRFSLASLLPDYNQDNHSDKPIFVPGLRNLGNNCFLNVVLQALASCVCFQSFLDDVIAEYGTDEQLVENMPLVFSLASLLQELSSVSAQKVVLSPRQLMRAMSSYIPNFDLTSQQDAAEAFVHLLGTLKEETEWQSEPERWRRLFLGPFDGILNSGLTCQSCLSQISNKFENFDCLPLSPVLSNRYAIRVGCTLEECLKQFIVAEHIENYNCSHCWHNAAIKYLSLMEGDKVELGNLGRCSDQEFCDCQKTYNLENLPWSNRFSHALKQLSIARCPRILCIQLKRVHMNDFGESFKLQGHISFPLILDVSSFMTTRLGVKIQEEDVVKIQEEDVQSRSNSLPNHSNMHSGIIRMIKSGVLYGGAREQIDADARIEIVSSSTSRQAILINFPCSGSSESIQSNTQSQSIDTVDVSCTSDSQDTCLYQLVSVVEHFGRAGGGHYTVYRCVRPESSDVSGDCINQNSMRWFGVSDSHVDAVSVEEVLSAEASLLFYERIPNN >RHN79652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30910877:30912295:-1 gene:gene3470 transcript:rna3470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein LEA MASKQPRHVEEISVTKEQHDQEKPGVIGSVMKAVHDTYENAREAVVGKRDPTTEVHVFDNDDRLTRGEVRDISANQSRGVYDSAAQKAKETKDATAQKAKETKDATMQKTNEYAGYAGEKAREAKDKAGEYKDYAAEKAKEGKDATMNKMGEYKDYTAEKAKEGKDATMNKMGEYKDYTAEKAKEGKDNTAWKIGEMKDSAVDAAKRAMGYLGDKKEEAKQKTAETAEAAKQKTAETAEAAKQKTAETAEAAKQKTVEAKDKTKEMLSEADKEAGRKMESGNYGRKGFGEETWRRGNEGVIRTEDTRTGAVAERLKAADQMTGQTFNDVGPLDDEGVTRVGLLDIKKK >RHN66936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21896002:21896877:1 gene:gene15058 transcript:rna15058 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MSSSNSPCAACKFLRRKCTQECDFAPYFLPENPQRFANVHRVFGASNVAKLLKELDVKDREDAVKSLAYEAESRIKDPVYGCVGLISYLQQKLRETQNELTVAKKELAGYNLNPQTMQCLLMNSGTVLMPQQQQQQQQQWNSQFAAFANYPNNFPQEMVGGGGLVMQQQQEHELQMGGGYQVTPQEYLLRSGGGYQMGGGLQMGAGAGGVAVSDHADVAHPLDMGNFDNGASGGAGGGVYYQIPQQGGEQQHNYHVGVAHANPVEAQLLLSPQNQEAGEDGKSVGSAHRSS >RHN69970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47644864:47649021:1 gene:gene18505 transcript:rna18505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative N-acetylglucosaminyldiphosphodolichol N-acetylglucosaminyltransferase MGGEKTKRVVFVTVGTTSFDALVKSVDSETVQKELLAKGYTHLLIQMGRGSYLPTKSEGDCTLAVDYFTFSSSIADHIRSASLIISHAGSGSIFETLQLCKPLIVVVNEDLMDNHQSELAEELANRKHLFCASPQTLHQTIADMDLNALRPYSPGDATPVAKHINRFLGFPDD >RHN48942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51281273:51282337:-1 gene:gene43716 transcript:rna43716 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MASLGIIHQSSCPHTPQQNGVVERKHRHLVDTARTLLINAHAPFKFWGDAILTACYLINRMPSSVLDNEIPHSLLFPKDPLYRVPLRVFGSTCFVHDLTPGRDKLSARVVKCMFLGYSRTQKRYRCYSPSTRRFYISADVTFFENTPFFASPTTSSFTTDVTDSQVMPISLFNPIFEPPVSTQSSPQLQGNHEFRRYRYGNIFERRHVEALETSPIDSSDLATKTIATDSRDSATVPISSPIVVPPEPSNDLPFALHKGKRSTTNPHPVYNFLSYHRLSPSYFAFVSALSSVSIPKTVHEALSHQGWKQAMIDEMVGLESNHTWELVPPSLGKSVVDCKWVFNVKVDLADKLID >RHN66604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14859941:14865367:-1 gene:gene14631 transcript:rna14631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MVSTVGGGDLKIFPARYRSLWLLFPPNPFAQGLSVLATAVSTPEDNGVSWNRRGKCAVNDDDCVMTMNDIYIRLAATFFLWFVLAIYFDNIIPNEMGVRKSVLYFLNPRYWTGDGGQKVKEGGLCSCISSSRHEEFSPPDDEDVLEEENVVKQQQTQGVVDENVAVQVQGIVKTYPGTFKFGCCCNCKRTAPYHAVKGLWVNFTKNQLFCLLGPNGAGKTTAISCLTGITPVTDGDALIYGNSVRSSSGMSNIRKIIGVCPQFDILWDALSGQEHLELFSRIKGLSPDSIKSITETSLAEVRLTDSAKVRAGSYSGGMKRRLSVAIALIGDPKLVILDEPTTGMDPITRRHVWDIIENAKKGRAIVLTTHSMEEADILSDRIGIMAKGKLRCIGTSIRLKSRFGTGFITNISFGNNNDHSPANGDTVSARHHEPVKQFFKNHLDVVPKEENNNFLTYVIPHEKEALLTNFFSELQDRKEEFGISDIQLGLTTLEEVFLNIAKQAELESAAAEGTLVTLTLTSGESVQIPVGAMYVGFPGSESTEYPTGFMVEVHWEQDDTGTLCVAGHSQKAPIPQNIQLPSATARQRRSGSVHGVVIDPNQVSSDSFQ >RHN51564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19961539:19962132:1 gene:gene36026 transcript:rna36026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MADVLLGTVIQNLGSFVREELSTFLGVEELTQKLCGNLTAIQAVLQDAEEKQITSRVVKDWLQKLTDVAYVLDDILDDCTIKSKAHGDNKWITSFHPKMILARRDIGKRMKEVAKKIDVIAEERIKLGLQVVVMEDRQRGVDENRQTTSVVTEPKVYGRDRDREKVFEFLLSHALDSEELSFYSIVGVGGQGKTTLA >RHN43597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47109812:47115767:-1 gene:gene50203 transcript:rna50203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-phosphofructokinase MGSSPNSKPKIVRGSAGYILEDVPHLTDYIPELPTYSNPLQDNPAYSVVKQYFVHIDDSVPQKIIANKDSPRGIHFRRAGPRQKVYFKSDEVQAAIVTCGGLCPGLNTVIRELVCGLYHMYGVKKVLGISGGYKGFYAHNTISLTPKTVNDIHKRGGTILGSSRGGHDTTKIVDSIQDRGINQVYIIGGDGTQRGAYRIFEEIRNRGLKVAVVGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESVENGIGVVKLMGRYSGFIAMYATLANRDVDCCLIPETPFFLEGPGGLFEYTERRLKENGHMVIVIAEGAGQELVTESIQSMHKQQDASGNKLLQDVGLWISHKLKDYFAKQKTMGITLKYIDPTYMIRAVPSNASDNVYCTLLAQSAVHGAMAGYTGFTSGLVHGRQAYIPFNRINEGQNTVVITDRMWARLLSSTNQPSFLIAKTAVEEKKKEEEEEDSFNL >RHN77335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6090703:6091392:1 gene:gene780 transcript:rna780 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVERGKIHWSVYDGVKIIAATPEALMSEIDSAISNLEYTRATATLDAEQLHDARTADESYRAGCAALAGGKLDEALRCFNVSISKCPPDKTAAVAKLRSLISLTSQHLQSSSSAN >RHN75045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38933287:38936778:-1 gene:gene11193 transcript:rna11193 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSTSLKKNKHSSKTKASGRSKSRKHKSKKVRRREVSLSSSDYDDSKSMDTSLSSSSEDRSKRKRERSRPRKDVKSRKKKVRRRSYSSDSSEDSLYAKKRKKVKRKDKHDETKEKSRRKKKVKRETSVSSVSSGSRRDVQLGIDSNDNSEYESSRGRTERKEKDKRRLRGRSGSAKSGRYRARSCSPCSSPHDECTYEQAEDKYVSKNKSRWIRSVITVAKEGDEFTELIGNETKEEIVDDHDYPCRSNDSNDGGTKRELDHHTHDAPEEELRAEDVTGNMNTDVNFTEPKLRDMGSLEVCAGTSESMKEETNDVSDANLNDVDLESILRQRALENLRKFRGQVQSTAKTSEQKNRIVSQVKQPLTGNQELVQDKSNISNVAIATKLDKQTPVEETSLPVGRRNSVAYPRNNGRNLNLDKDLSGSAKIQMACAPEKVIDADNRSKVVTESTNAMTLDSTPSQPCHDSLQSRSSLKPTTVSGLPREKLVLAESIKNKGSNDNVKDIRGMPSAGAKPPIHESTFKHNNLDKGQDDASDHSQFKSKQTSDSRETSNPQLPVSEADEEKNAAKTAQSSIQNINSSGRDVDESCNAAGMLMGSSVENNSGKEQDGSNQGSQFEQKTMTVMRGGELVQVSYKVYIPKKTPALARRQLKR >RHN41555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31036811:31037506:1 gene:gene47889 transcript:rna47889 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLISMLVLLLAIITLTTVAGNINPKYESARSNGFISYQPPTTDTQKLVGLDYRRRRHAPPPPPQYRPRINYPGTPHQQPHRHQLRPPPKM >RHN55653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20662280:20662957:1 gene:gene30870 transcript:rna30870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVSKILPNLRELRVSECDLLDINISPLFDSFCNTSSSLTILDISSNMLTSSTFKWLFNFTSNLKELYLSNNKFVLSSLSLMNFHSLLILDLSHNKLTPIEAQDNFIFNFTTKYQKLYLRNCSLSDRNIPLPSASNSKLLSDLVSLDISFNMLKSSVIFHWLFNFITNLHRLHLSNNLLQGHIPDNFGNTMNSLEYLNLSNNELQGEIPTSFGNISTLQTYTNWWS >RHN61556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36350375:36355594:1 gene:gene24031 transcript:rna24031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anamorsin MDAAKMYGAVLACTDEAVLPVSQVFDAIRELGNEGVEKLDPLVITSASSLSKFPVESSSVDLVVLIWKSLDFPIDQLTQEVLRVLKAGGTTLIRKSSQSAVGSGDKMIPDLENKLLLAGFSEIQALQSSVIKAKKPSWKIGSSFALKKVVKSSPKVQIDFDSDLIDENSLLSEEDLKKPELPSGDCEIGPTRKACKNCSCGRAEEEEKVLKLGLTAEQINNPQSACGSCGLGDAFRCSTCPYKGLPAFKMGEKVALSGNFLAADI >RHN57021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35801074:35803303:-1 gene:gene32504 transcript:rna32504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MLGQKYDKHEDFNVKVKTIDGFQGGEQDIIIFSTVRTDCSTSLDQRTNVALTRARHCLWILGNERTLVSQDNVWKALVLDAKKRQCFFNADEDEDLVKGIWDAKKELDQLYDFLNSDSVIFRNSRWKVLFSDNFLKSFKNLPTKRTKMWVISLLLKLSSGWRPKRIKVDLLCGNSSQMFKQYKVEGLFVVCSKDIVKEVIFTQVLRIWDILPPEDIPKVLKRLDSIFESYTDDFISRFSEQRFEGKMEVLMSWEKSTEIIKIKNLVNNGYEAESIKGFILRTQSHLLSDRNSNELELPFEVSDEEHDIILFSKSTFVLGRSGTGKTTVLTMKLFKKEELHHVALEHTYGIKIVEVPCLSYEKEYKDSSTLNDRPVLHQLFVTVSPKLCQAVKQQVARMKRFVCGADISSKSCSIEEEIVDVDTSIQFRNKPDSFVNLAANSYPLVITFQKFLMMLDGTVGNSFFERFSDLSSLRENLGVRSVVLETFIRKKEVTYDRFDSSRVFTEIMSHIKGSMSSVESGEGKLSRQDYLFFYENRASSLSKRKREIIYDIYQSYEKMKMDKGDFDLADFVADLHCRFRIQKYEGD >RHN67269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25387727:25390736:1 gene:gene15445 transcript:rna15445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEMAVSFAIDQLLPLLTQEVNLLKGVPKEFEDIKDEFESIQAFLKDADRRAAADGDNTSEGVKTWVKQLRVAAFRIEDIIDDYLIHVGQQPRDPGCVAVFDKITHLLKTMTRRHRIAAEIQDIKSSVRRIKERSDKYGFQRSFEQGTSNSRGSRNTKWHDPRKAALYVEEAEVVGFEAPRKRLIDWMVQGRKERTVVFVVGMGGQGKTTLAKKVFDSKDIIGHFDCRVWITVSQSYNAEGLLRDMLLKICKQKGVKSPEGISQMNRESLTNEVRNYLQESKYIVVFDDVWNELFWDDVESAAIDSKNGSKILITTRNMDVAVSCKKSSFIEVLELQTLTPEQSLELFNKKAFKFDNDGCFQKEVIGIANEIVKKCNGLPLAIVAIGGLLSTREKKVSEWKSFRDNLNLELKTDIHLIGIKEILALSYDDLPYYLKSCLLYFGVYPEDYEVKSKRVTRKWIAEGFVKEEKGKTMEEVAEGYLTELIHRSLVQVSSLRIDGKAKGCRVHDLIRDMILQKNEDFNFCKHISDDGQISLSGIVRRLSITTIDNAFWECIDQPHHVRSLFCFGNNESFTTEIPTKYKLLKVLDLEDYFMGDFPDNLGNFIHLKYLSIMIASEEVPKSIGMLQNLETLDISGQQCAIELPKEISKLRKLKHLIGHALSLIQLKDGIGEMKSLQTLRTVYFNMDGAAEVIKGLGKLKQMKDLVLLDFREEYESILSSSINEMLHLEKLKVDNIPDDNFICLNLISPPPMLQKLILRGKIKEFPEWMLDLQNLTVLRLVWPHSVKDPLHSLKSLQHLLSLFLELGKYEGLKLHFQDGWFQKLKELEVSDCIELREIIIDKGSMPSLKKFTIHMNLRNTPTGIQHLKNLEELSIVGVEEEFGERSSTEDWNWIMEHVPLVTISCRYMGMEIKKSRS >RHN40841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:22731061:22734477:-1 gene:gene47070 transcript:rna47070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MIHGRFIKTNEDFYIVNVYAPCNRSAQQVLWNLLSARLLSLGNNKVCVCGDFNTVRSVEERRSVRGSQLVDDCAPFNDFIEDRVLIDLPLCGRKFTWFKGDGRSMSRLDRFLLSEEWCLVWPNCIQVAHLRGLSDHCPIVLSVDEENWGPRPVRLLKCWQDMPGYYDFVREKWGSLQVSRWGGHVLKEKLKLLKVALKDWHVSNTHNLPSKIGDLKNRQALLDGKGEEGELSEDEISALHGISTDIHSLSRLNTSICWQQSRLNWLRDGDANSKFFHSVLAARKRMNSLSSILVDGVMVEGDRQILDGILIANEVVDEARRSKKDLLLFKVDFEKAYDSVDWGYLDEVMGSMSFPTVWRKWIRECVGTATASVLVNGSPTDEFSLERGLRQGDPLSPFLFLLAAEGLNVLMKALVDTDLFTGYRVGRENSVVVSHLQFADDTLLIGNKSWANVRALRAGLVLFEAMSGLKVNFHKSSLVGVNINDSWLSEAASVLGCKVGKIPFLYLGLSIGGDPRRLLFWEPVVDRIKSRLSGWQSRFLSFGGRLILLKSVLTALPVYALSFFKAPTGIISSIESLFNKFFWGGGEEKRKISWVRWDSLSMRKEYGGLGVKRLREFNIALLGKWCWRLLLERDALWRKVLVARYGVEDGGLEDGGRSCSSWWREIVRIRDGIGEGGEGWFGSCVRRRVGNGADTDFWRDCWCGDVPLCVRFRRLFDLTVHKSISVRNMFLLGVDVGGEALRWRRRLWAWEEELVEECRALLLTVSLQDSVLDRWLWLPNHDDGYSVRGVYDMLTSQEQPQLHHNVDLIWHKQVPLKVSILAWRLMRDRLPTKLNLANRGILSVEERLCVAGCGIVEDVTHLFLSCATFGALWPMVRHWLGVVGVDSQSTSDHFVQFIHYAGCSRGRRSFFHLIWLLCVSVLWNERNDRLFRNRQSIVPQLLDKVKLTSLWWLKASNVVFSFGTHQWWSSPLLCLGID >RHN48268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46182524:46182736:1 gene:gene42967 transcript:rna42967 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKKQTKRLVYILLLSNSVGSQTVEGFLRNYFGFKNDFLGVVALVNVAFPIVFALFFPISIKMFSFQRR >RHN74325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31845115:31846528:-1 gene:gene10366 transcript:rna10366 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFIKQKSRHITRQYVHYIKPQICGIISTQNNNLSIPIIVSRIQNQRTMATTQNSQPALPLHLCFFLLTLLIFLGFSWYSNYEPIMESIMDQVKMVLMISPLLLLLVVHFLSNYGDGGFFSSLIPLPERESLHRAGGTPWGVGLFLVVLLFMVSYQSSFQERWFPLLSR >RHN78489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15567095:15567658:-1 gene:gene2061 transcript:rna2061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin MATSVADTQKSSFLKELNRKWNDHRKALRMIRDIIMHADRTYNSMTKTPVYELGLNLWRENVIYSNQIRTRFLNMLLGLICKDYAEEVVNKKLIRKITNMLMDLGPSVYMQEFENPLLQVSAEFYRAESQKLIERYDCGDYLKKAEMRLNEVIDKVSHFLDPSTQKKITIVVEKEMIENQMLRRTLG >RHN40713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19890448:19893299:-1 gene:gene46907 transcript:rna46907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MISFEIKKQVVLIYLWLWWNTTATNICVKATSDSLKPGDTLNSKSKLCSKQGKFCLYFNRTLDSEDAHLVIGINADYGAVVWMYDRNHSIDLNSAVLSLDYSGVLKIQSQNRKPIIICSSPQPINTLATILDTGNFVLRQIYPNGTKSILWQSFDYPITTLIPTMKLGVNRKTGHNWSLVSWLAPSLPNSGGFSVEWEPMEGELNIKQRGKVYWKSGKLNSNGLFKNILVKVQHVYQYIIVSNKDEDSFTFEIKDQNYKMFPGWELFSTGMLTSSEGEIANADMCYGYNTDGGCQKWEDIPTCREPGEVFKKMTGRPNTDSATIQDNVTYGYSDCKISCWRNCECNGFQEFYRNGTGCIFYSSNSTQDVDLEYSNIYNVMVKPTLNHHGKSMRIWIGVAIAAAILLLCPLLLFVAKKKQKYARKDIKSKREENEMQDLASSHESFGVKDLEDDFKGHDIKVFNYSSILEATMNFSPENKLGQGGYGPVYKGILPTGQEIAVKRLSKTSGQGIVEFKNEFVLICELQHTNLVQLLGCCIHQEERILIYEYMPNKSLDFYLFGKNVLDYTFKCFVETRFNIRYQHIQFTFFKLFSLISDFTSKVYFMTC >RHN74541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34529588:34530414:1 gene:gene10629 transcript:rna10629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MVQLQQPLLFFLFFLILHLYATGVISANFTIVNKCNYTVWPASTLSGSGFVLKPGETSTITIPAKWDGFVWGRTLCTTDSTTGGFSCITGDCGTGKVKCEGRGSPPATLAKFRLNGPNNQDFYDISILDGYNIPMEVVPSTGKCNNTSCPVDLNTGCPTKLKVTQNGTVVACKSSCWGNCNETSADVNVKIFKTACPQAYILTNDVKTFSCSHTDYQVVFCPASGNVRLENTLISFTLKKEIKYFKNQFN >RHN39889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11421157:11427132:-1 gene:gene45971 transcript:rna45971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PA domain, presenilin/signal peptide peptidase MVSLGVIYSNIVAYVFLFSVSLVLAGDIVHHDDVAPTRPGCENNFVLVKVPTWIDGVENAEYVGVGARFGPTLESKEKHANHTRVVMADPPDCCSKPKNKLTNEIILVHRGKCSFTTKANIADEAGASAILIINYRTELFKMVCEENETDVDIGIPAVMLPQDAGLNLERHIQNNSIVSIQLYSPLRPLVDVAEVFLWLMAVGTILCASYWSAWTAREAAIEQEKLLKDASDEYVAESVGSRGYVEISTTAAILFVVLASCFLVMLYKLMSFWFLEVLVVLFCIGGIEGLQTCLTALLSCFRWFQYPAQTYVKIPFFGAVPYLTLAVTPFCIVFAVVWAVKRQASYAWIGQDILGIALIITVLQIVRIPNLKVGTVLLSCAFLYDILWVFVSKWWFHESVMIVVARGDKSGEDGIPMLLKLPRLFDPWGGYSIIGFGDIILPGLVVAFSLRYDWLAKKNLRAGYFVWAMTAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTFLSLGKKRGDLKILWTRGEPERHCPHIQEVNQSINQH >RHN77166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4589500:4589682:1 gene:gene592 transcript:rna592 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGETAVAGEVGLGAGASAAEAMEMTAKNTAIVARSMVLVEAIDEGFEICDFTSLGFC >RHN58259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:365701:366608:1 gene:gene20151 transcript:rna20151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate 1-epimerase MEERAFHSHCLREGEKREMSRETMYVKHCMGVNGLEKIILRENRGFSAEVYLYGGQVTSWKNERGEELLFVSSKANFTPPRSLRGGIPICFPQFSNFGTLEHHGFARNKLWILDPNPPPFPTNSNSRAFVDLVLKNSEDDTKNWPHRYFIYIYIYI >RHN44352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3786529:3788126:-1 gene:gene38462 transcript:rna38462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MMERKPLCLILLLSFTIFASHSNSLPLSTNNRWIVDETGKRVKLHCVNWSSHMNAMVAEGLDAIPLKDVIAQLKGLGFDCVRYTWATYMFTRYSNYKVGENLDKLNLTSSRLGIGNFNPSLESITVVEAFDFVVDEFGKQGMMVLADNHVSDPKWCCDNNDGNGCFGDQYFNLEEWLQGLSNVANRVKGKPQIVAVGLRNELRGPGQNNDNWYKYMSQGVTTVHKANPNVLVFVSGLNYDTDLSFLKTKPLNVNIGDKLVYEVHSYA >RHN63282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50048729:50054996:-1 gene:gene25964 transcript:rna25964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MVEGDSAMDLWHKRLGHPSEKVLKFIPHVSQHSRSKNNRPCDVCPRAKQHRDSFPLSENNAASLFELVHCDLWGSYRTRSSCGAQYYLTIVNDYSRAVWVYLLCNKTEIETMFLNFVAFVDRQFDKKIKKVRSDNGTEFNCLRDYFFNNGIVFETSCVGTPQQNGRVERKHQHIMNVARALRFQGHLPMQFWGECVLTACYLINRTPSSVLNYKTPYEKLFGKVPKFDNMKIFGCLCYAHNQRRDGDKFASRSRKCIFVGYPYGKKGWKLYDLESKEYIVSRDVKFYEHEFPFDVQLDTTHSTPFIDSEYVTEDIGFETYDASFEGGGASMALQDNEQTQLQGGLHGDCNGADVAANEGLSDDVEPGEPEVVAEREAIVASEMGRGMRNKVPNIKLKDFVTHTIRKVKSSKSSSAQEDASGTPYPITYFVSCERFSIRHRNFVAAVTAGKEPNNFKEAVKDSGWRDAMRNEIQALEDNETWVMEKLPPGKKALGSKWVYKIKHHSDGSIERLKARLVVFGHHQIEGIDYDETFAPVAKMVTVRTFLAVAAIKKWEVHQMDVHNAFLHGDLEEEVYMKVPPGFKNTDPNLVCRLKKSLYGLKQAPRCWFAKLVTALKRYGFVQSYSDYSLFTLHRGEIQINVLVYVDDLIIAGNDIAALKIFKAYLGVCFHMKDLGVLKYFLGLEVARNHEGIYLCQRKYALEIIDETGLLGAKPADFPMEQHHKLALVSGKPLEDPEPYRRLIGRLIYLSVTRPDLAYSVHILSQFMQKPCEEHWEAALRVVRYLKKHPGQGILLRSDSELKLEGWCDSDWASCPLTRRSLTGWVVLLDLSPVSWKTKKQPTVSRSSAEAEYRSMAMTTCELKWLKQLLGDLGVSHSQGMQLYCDSKSALHIAQNPVFHERTKHIEADCHFVRDAVVAGIICPLYVPTSVQLADIFTKALGKAQFEFLLRKLGIRDLHAPEGGYWDYCDIIVIYFRLMWCY >RHN61640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37079484:37091044:-1 gene:gene24124 transcript:rna24124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-aminobutyrate--2-oxoglutarate transaminase MHTLVRSTLRTKSTSALASAAVSRSFQETLLQAPLWSRTSSSQSSLAKDSSTSDAKTGQGFKSHDMLAPFTAGWQTNELNPLIIEKSEGSYVYDINGKKYLDALAGLWSTALGGSEQRLVDAATAQLKKLPFYHSFWNRTTRPALDLAKELLELFTARKMAKAFFVNSGSEANDTQVKLVWYYYNALGKPDKKKFIARTKSYHGSTLIAASLSGLSALHQKFDLPAPFVLHTDCPHYWRYHLPGETEEEFATRLANNLEELILKEGPETIAAFIAEPVMGAGGVILPPAAYFDKIQAVVKKYDILFIADEVICAFGRLGYMFGCDKYNIKPDLVSLAKALSSAYMPIGAILVSPEIIEVIHSQSNKLGVFSHGFTYSGSPVPCVVALEALKIYKERNIVDVVNKIAPKFQDGVKAFSDSPIIGEIRGTGLILATEFVDNKSPNDPFPPEWGVGAYFGAQCEKLGMLVRVAGDNIMMSPPFIISPEEVDELISIYGKALRETEKRVQELKSQRK >RHN56809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33906598:33910525:-1 gene:gene32263 transcript:rna32263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MWKSNSSHARNDDDDEDAALGFLDIVFSWSIKDVLNQNIYRNKVKKIPETFNSPTDYKNSFIPPLLEETHSDLYSNLLGVSHAPFCEVLKVERESKEFKLPKSLFYQISLKSITNEVENGVRKYEPEPGDLIAFTDHRPKRVNDLKTQRCPYIIAYVIAPKDDISGEILILSSKCIFESDYRKDHTKKMYAVYLMNMTTNVRIWKGLNSQTEGEHLDIIKKVLRPCLNSGESCKLCLSGSNSEAFLIKEDIIHSQNLNESQEDAVSSCVGMINCCHANIKLIWGPPGTGKTKTVACLLFSLFKLKTRTLTCAPTNTAILQVATRIHSLVMDSVEHDTYGLGDIVLFGNNKRMKLDSYPGLGDIFLDYRVRNLMQCFSPLTGWKQTLESMTQFLKDPKKEYLSQIDHKSLEEFVNEKHCHVISAYRAYKRISRIDDTMTLEEYVQKLWKEIAEEYRSDEIDKVENFMTFEQFVKKRFRELSEKLKFLIQTLYTHLPKSFISLATVKKMFRGLELLRSIGVSLHQAKFKKTLDDCEKENIPACFEPSNFEIDEFLRLLSLLSNSILLPELNGRGHIEKFCLSNACLILCTVSSSIKLYTEGMAHVKFLVIDEAAQLKECESMIPLQLPGLQHGILIGDEKQLPALVKSKIADNCGFGRSMFERLVMLGYKKHMLNVQYRMHPAISMFPCKEFYDEQISDAPVVKDASYKKSFLEGEMYASYSFINIAKGKEKSGRGHSLKNMVEVAVISEMINNLKKEFKRTQKKVSIGIISPYNAQVYEIQEKVKQYTSVSDTDFSVSVRSIDGFQGGEEDIIIISTVRSNGSGNVGFLSNRQRANVAMTRARYCLWILGNASTLANSDSIWRKLIVDAKRRDCYHNADDDKKLARVIDDVLFEIELLEESESKFKKLSLCEKPEIDGSSSR >RHN78311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13962245:13968933:1 gene:gene1852 transcript:rna1852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFSFNNSDLQQPYSVDQNQGMLFQQPLFCIEKLSPNLEELAVSGTDMLGILNGCYQENIFHKVEFLRLQLFDETPTIFMNDLHIIFPNLQEFQVRNSSFEILFPTKGATDHLNMQISKQMRMLMLFELEKLEHIWQEEFPLDHPLLQHLQELFVLNCPSLISLVPPFASFTNLTYLKVDNCKELVYLITYSTAKSLVQLKTLIIENCEKMLDAVKIDEEKGEEDIIFENLEYLELASLSSLRSFCYGKQAFIFPYLLCFIVKGCPQMKIFSSALTVAPCLTSIEVEKENMRWKGDLNTTIEQMFKEKEVPHSN >RHN48613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48824713:48825364:1 gene:gene43352 transcript:rna43352 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIDEKQETVTIRAVSHDEEGKKRVEKTELNTHNIDTIKHVEKKLINNGVQRLDRHPVDGIGIGRGPPKSGRGGKFTWEGPADMVDNMLDPAPAAMDENDPNYVDEEDGEDAAKELIVGDVDVAKVGGERDGVARVDVDPRLLVVCVVIC >RHN59952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15274103:15277758:1 gene:gene22124 transcript:rna22124 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGTILSHISSLKEMLDQVNEEIEAHIQVTREIESSIVRCEEMENHFATKEAELIGICGVLQFDTVGFVTVAAGFRESVSTLEKELCCLKNKRDDMVNRMDEKREEFTTHCLEFQREIDNRENCKVRTLLAEKYSLENEIQLLDEKNCVLKNSVLAFVEEILEDLHNSNSELEAEIQSKNWENERLLNDINELKSTMFSAIGSSDNLL >RHN72706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10002227:10004086:1 gene:gene8455 transcript:rna8455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetolactate synthase MATTYRSLFYSYGTTFFLSHILKKPKPTHFRPLTVGISYSTLPPSTTGEAFNSCFSSTEPRKGSDILVEALERQGITTVFAYPGGASAEIHQALTRSKTIRNILPRHEQGGIFAAEGYARSSSIPGVCIATSGPGATNLISGLADAMMDSVPLIAITGQVRRSLMGTNAFQETPVIEVTRPITKQNYLISNVDDIPRVVEEAFIMATSGRPGPVLIDMPKDVQQELAVPNWVKPTKLTGYLSRLHQQRPSEAQLEQVVRLLVGSKKPVLYVGGGCLNSSDELIRFVELTGIPVASTLMGLGCYPIGGEYSLSMLGLHGTVYANYAVDNSDLLLAFGVRFDDRVTGKLETFASRAKIVHIDIDSAEIGKNKIPHVSICADMKVALEGLNRVLESKGIKGKLDFEAWRQELNVQKLKFPLAFKRFENAISPQYAIKMLEELTNGDAIVTTGVGQHQMWAAQFYKYKRPRQWLTSGGLGAMGFGLPAAIGAAIANPNAIVVDIDGDGSFLMNVQELATIRAENIPVKIMLLNNQHLGMVVQREDRFYRSNRGHTYLGDPSRKEEIFPNLLGFANACGIPAARVTKEEELREAIKKMLDTPGPYLLDVIVPHQEHVFANDSRM >RHN48183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45617966:45618382:-1 gene:gene42870 transcript:rna42870 gene_biotype:protein_coding transcript_biotype:protein_coding MNNDAEDISIPNLDMQGRLASCTTSENLDVGYNSILNDLMGQVEVTKLEVLEMENAVKTSFVDTEMQTAGFQTGALGLFSAYRDLIQDIVKETKEMRKEIGDLKMHHISSDGYKVDSLTSNADNCQVFANQRAACCNE >RHN62409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43225642:43227002:-1 gene:gene24982 transcript:rna24982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDNTYQIIRDGYSIRHCSRFPSRHPCRKLLSCDFSSDGKIVASGGETAKKHGAKPFICNVESLDSVTTSESHSSTIFDVRFQPGSTIFATSSADKTVKLWDAKKRVLCNVVGHTGTVRSLDFLPLGGILCSSDTCDVIKVWDLNQRVMMKNFMAGSLVRFQPGSGKLLAVANQNVITILEYPSLVFTNSLQGHVKNICSLCWDVTRQTIASVSEDCVSVWSLSMDGKRFQSVIFHPRHRNVLVVSGFQVNSFLSFHYDHGVSSISPFK >RHN82047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50850046:50852849:1 gene:gene6164 transcript:rna6164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAGGGFTTGSSDVIFEAKITPAVIVSCIMAAFGGLMFGYDIGISGGVTSMPSFLKEFFPQIYEWIQAPKNESNYCKYDNQMLQLFTSSLYIAALVASMIASPVTRKLGRKLTMLLAGIFFIAGTALSALAGTLSLIILGRIILGCGVGFANQAVPVFLSEIAPTRIRGALNIMFQLNITIGIFIANLVNWFTSKMEGGYGWRISLAGAIIPAVMLTVGSLIVDDTPNSLIERGFEEKGKAVLRKIRGVENIEPEFEDILRASKVANEVKSPFKDLVKSHNLPPLIIAICMQVFQQFTGINAIMFYAPVLFNTLGFHNDASLYSSVITGGVNVLCTLVSVYFVDKVGRRVLLLEACVQMFVSQVVIGVVLGMKVTDHSDSLSKGYAMLVVVMVCTFVASFAWSWGPLGWLIPSETFPLETRSAGQSVTVFTNMLFTFLIAQAFLSMLCHLKFGIFLFFSAWVFVMGVFTVFFIPETKNIPIEDMAEKVWKQHWFWKRFMH >RHN45023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10884476:10884889:1 gene:gene39218 transcript:rna39218 gene_biotype:protein_coding transcript_biotype:protein_coding MLWMVMRTFNNIGSTTGAVPQLTTKVVDKDSQDMNASKIQVNTSAKGSLRPVAGKTTRRTRSITLIWPEVQR >RHN62045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40285248:40290684:-1 gene:gene24574 transcript:rna24574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-hydroxy-6-oxonona-2,4-dienedioate hydrolase MVALFSFALPIFKVVAETDADSFPSFLPKDVNRIKDPFARTLATRIQRLPVSVKFSENPIMSSCVKPLVQNKENPIVLLHGFDSSCLEWRYTYPLLEEAGIETWAIDILGWGFSDLEKLPSCDVVSKREHFYQFWKSYIKKPMILVGPSLGSAVAIDFAINYPEAVEKLVLIDASVYTEGTGNLATLPRAAAYAGVYLLKSVPLRVYANYLSFTNISFSTSLDWTNIGRLHCLLPWWEDAAVNFMTSGGYNVASQIKMVKQKTLIIWGENDRIISNKLAVQLHCELPDAIIRQIPDCGHLPHVERPDSVVKLIKEFVQKDTKTVNQYVPSL >RHN81426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45825380:45833768:1 gene:gene5465 transcript:rna5465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoenolpyruvate carboxylase MTDTTDDIAEEISFQSFDDDCRLLGNLLNDILHREVGTTFVDKLERIRVLAQSACNMRQAGIVNMAELLEKQLASDLSKMSLEEAFTLARAFSHYLTMMGIAETHHRVRKGGNMAQISKSCDDVFNQLVQGGVSPKDLYNTVCKQEVEIVLTAHPTQINRRTLQYKHIRIAHLLDYNDRPDLSPEDREMLIEDLVREITSIWQTDELRRQKPTPVDEARAGLNIVEQSLWKAVPHYLRRVSNALKKHTGKPLPLTCTPIKFGTWMGGDRDGNPNVTAKVTKAVSLLSRWMAIDLYIREVDSLRFELSMNRCSDTLSRLAHEILEEAKDENRHESWNQSMNRSQSLPTQLPARAHLPSFAENGESQHPRLDIPGPDHKDGGISPSPTTLRTGNPSIKVSVTSSENSNGASSSIPSSPSYNSSQPLSQRKFTESQTGKSSFQKLLEPQLPQLPGIAPYRVVLGNVKDKLERSRRRLELLLEDVACDYDPLDYYETADQLLDPLLLSYESLQSCGSGVLADGRLADLIRRVATFGMVLMKLDLRQESGRHAETLDAVTTYLDMGTYSEWDEDKKLDFLTRELKGKRPLVPVSMEVPADVKEVLDTFRIAAELGSDFLGAYVISMASSASDVLAVELLQKDARLAAIGELGRACPGGTLRVVPLFETVKDLRGAGSVIRKLLSIDWYREHVIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNDYGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVEAKFGLPQIAVRQLEIYTTAVLLATLRPPLPPRDENWRSLMEEISEISCKCYRNVVYENPEFLSYFHEATPEAELGFLNIGSRPARRKNTKGIGNLRAIPWVFAWTQTRFVLPAWLGVGAGLKGACEKGHSEELKAMYKEWPFFQSTIDLIEMVLGKADTTIAKYYDEALVSEERQELGRELRNELLTAEKFVLVISGHEKLQQNNRSLRRLVENRLPFLNPMNLLQVEILKRLRRDDDNLKLRDALLITVNGIAAGMRNTG >RHN67996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32013970:32014529:1 gene:gene16271 transcript:rna16271 gene_biotype:protein_coding transcript_biotype:protein_coding MFMFLPYDMPCMLYMVFFCIFLDLDPLLSLYMWKYMSSFFFRVLSYARITYMFRVWQGDDLVRDKK >RHN62924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46776102:46777606:-1 gene:gene25562 transcript:rna25562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MVTLDQFHKADRGAHNYYLEKGIVDSRPDHILNLIHYEDAASLLVAILKKKIRKQIFLGCDNHPLSRQEMMDLVNRSGKFSKKFDKFNVTDGPLGKRLNNTRTRQEVGWEPQYPSFAHFLASM >RHN80898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41671575:41676589:1 gene:gene4879 transcript:rna4879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phytepsin MANKYLWVTCCLWALTCSLLPSFSYGFMRIDLKKRTLDLDSIRAARMVREKLKSSGPVLGAYDQYTGKSTDDAIVPLKDYLNAQYYGEIGIGTPPQTFNVIFDTGSSNLWVPSSKCYFSIACYTHHWYKAKKSKTYTKNGTSCKIAYGSGAISGFFSQDNVKLGDVVVKDVDFIEATREGSISFVLAKFDGLLGLGFQEISVENAVPVWYKMVEQDLVNEQVFSFWLNGDPKAKKGGELILGGVDPNHFKGKHTYVPVTQKGYWQIEMGDFFIGGLSTGVCEGGCAAIVDSGTSLLAGPTTVVTEINHAIGAEGVLSVECKEIVTEYGELIWDLLVAGVRPGDVCSQVGLCGGKRVQSKSMGIEMVTEKEQGELSAKDTALCSSCQMLVIWIQNQLKRKSTKDKIFNYVNQLCESLPSPNGESVVDCNSIYQLPNISFTIGEKSFVLSPEQYILKTGEGIATVCLSGFIAFDIPPPRGPLWILGDVFMRVYHTVFDYGNLQLGFAEAA >RHN62875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46410916:46414501:-1 gene:gene25506 transcript:rna25506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b561/ferric reductase transmembrane MHISYKSACFVIVSFYAFVIPFTQCTTLKEVNQFNSQRNTNSKVHKVNHQKASDIALHGLLLWGSVGFLMPLGILTIRGSNKAEPGSRRSRILFYFHVAFQMLSVLLATVGAAMSLIKFENSFDNNHQRLGLALYGAILVQAFIGFFRPHRGKKQRSYWYFVHWILGTIVSLVGIINIFTGLKAYHKRTLKSTMFWTILFTVEVFFIGLIYLFQDKLEYMRKQGVIEGSDESTMSSYQDIPQRQQNQNQKEMLPIACGKINALGNLFD >RHN62757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45662090:45666514:-1 gene:gene25363 transcript:rna25363 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGSNPKTNEGPEPVSVPEPAPVPITEEVKVGATEETPVEDNKSLNTLLNENVEEAKKPEEGKADAEEVKAEPKEEEPKTEEVKGQEEKPKTEETKIEA >RHN49005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51694334:51700651:1 gene:gene43784 transcript:rna43784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative terminal organelle assembly protein TopJ MAHQRAKLLLLLCALCYSLSAIAVKSYYDILQVSKGASDDQIKRAYRKLALKYHPDKNPGNEEANKKFAEISNAYEVLSDNEKRNIYDKYGEEGLKQHAAGGGRGGGMNMQDIFNSFFGGGSMEEEEEKIAKGDDVIVDLDATLEDLYMGGSLKVWREKNVVKPAPGKRRCNCRNEVYHRQIGPGMFQQMTEQVCDQCANVKYVREGYFVTVDIEKGMKDGQEVLFYEDGEPIIDGESGDLRFRIRTAPHELFKREGNDLHTTVTITLVQALVGFEKTIKHLDEHLVDISSKGITNPKQVRKFKGEGMPLHTSTKKGDLYVTFEVLFPTTLSEEQKTKIKSILG >RHN45249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13658520:13659793:-1 gene:gene39487 transcript:rna39487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNIPSQPEVAALLVILHAKLIVEILTFLDVKSLIRMKCVCKSWKTLFSDPLFVKMHLKRQSTRMTHLALFSKMSQGSVDCRAVPISRLLDTTSNSITLTDDDPYYQFNFKNARCMVGSCNGLVCLQGCSSDSAAYIDHSFSFWNPATRNISETLMSFRQYDNSDPREYICRFLFGYDNSTDTYKVVSFGLKIEDSLMTSSLLLPRCCEEELRDPPILPPTLSMLNDCLCFSYDFKKTYFIIWQMKEFGVEESWVEFVKISYLNFQMDYSPRVLVQYGYVPELIVNPLCVSGNGDMVIFAINRLDQVIHYNRRDNRVKRIKSPNQIWWFDAKGYVESLVSTSRKYVLFDNLFV >RHN70856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54511126:54515878:1 gene:gene19485 transcript:rna19485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tocopherol cyclase METKLLNPPFLLHFPSSVSSSSSTRTFFPFHHNRSFKLIIAHNSHTEQQQSQSSKPIYSPTPPNRQLRTPHSGYHFDGTARKFFEGWYFKVSIPEKRQSFCFMYSVENPAFRKELSTLELAQYGPRFTGVGAQILGADDKYICQYTPQSPNFWGSRNELMLGNTFAAKQNSKPPNKEVPPKEFNDKVLEGFQVTPLWNQGFICDDGRSDYVETVKTARWEYSTRPVYGWGDVGSTQKSTAGWLAAFPVFEPHWQICMAGGLSTGWIEWDGERIEFENAPSYSEKNWGGAFPRKWFWAQCNVFEGASGEIALTAAGGLRQIPGLTETFENAALIGIHYGGKFYEFVPWNGVVSWEVATWGYWFMSADNGNYVVELEATTEDPGTTLRAPTSEAGLSQACKDTCFGILKLKLWERRYDGSKGKIILDVTSDMAALEVGGGPWFNTWKGKTSTPPVLSRAIGLPVDVDGLYNLFPLFKPPGL >RHN60929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31647636:31655019:-1 gene:gene23326 transcript:rna23326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain-containing protein MGERVDKSSSTMNTNSKQNSAITGKKTYQKQLVVSRPKGFAQRYCEPLVSLTHGDFGSSAVGRAAFCLVKVAALEAVRRLTKSRCPCVWQGLQGLQILAYPPFKWIQKWAVFRGLVKSMQVLSRPLLVLSITTALTDALECSDGTSDHITNSHDSEISAEPAPVQDNLNTSQPERSPEVLEYENWLTQLNEELENQGISLPERINDDELHRFYTAANNDFSCFLTSIKKTIRWRECYKILSVEELEMWSNLVFWHGSDLSHRPCLIVRLGIACSTLASQDKPRFAQAIISQVEYGVLHFVDRENPQITVLVDCEGLSPLRIPMQILRSCSSILQDHFPNRLGCLFVIRLPAIARVIAQTFLQVLKPATKKKLKIEGEMYKKVLFDNLSTVPSYLGGSCTCVKCSKMGKRDRVQPHETGTSSSRIVSEDDISDNEDLPSLRLHPTMVLDDRQSNSYDQVLRTAVISIIVFWVVIALGAGVYEPGV >RHN65945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6790347:6795989:1 gene:gene13846 transcript:rna13846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAELVAGAFLQSSFQVIFEKLASVDIRDYFSSKNVDDLVKELNIALNSINHVLEEAEIKQYQIIYVKKWLDKLKHVVYEADQLLDEISTDAMLNKLKAESEPLTTNLLGVVLGLAEGPSASNEGLVSWKPSKRLSSTALVDESSIYGRDVDKEELIKFLLAGNDSGTQVPIISIVGLGGMGKTTLAKLVYNNNKIEEHFELKAWVYVSESYDVVGLTKAILKSFNPSADGEYLDQLQHQLQHMLMGKKYLLVLDDIWNGNVEYWEQLLLPFNHGSFGSKIIVTTREKEVAYHVVKSTMLCDLRQLVKSDCWRLFVTHAFQGKSVCDYPKLESIGRKIMDKCEGLPLAIISLGQLLRKKFSQDEWMKILETDMWRLSDVDNKINPVLRLSYHNLPSDQKRCFAFCSIFPKGYTFEKDELIKLWMAEGLLKCCGSYKSEEEFGNEIFGDLESISFFQQSFDKTYGTYEHYVMYNLVNDLAKSVSGEFCMQIEGARVEGSLERTRHIRFSLRSNCLNKLLETTCELKGLRSLILDVHRGTLISNNVQLDLFSRLNFLRTLSFRWCGLSELVDEISNIKLLRYLDLSFTEITSLPDSICMLYNLQTILLQGCELTELPSNFSKLINLRHLELPYLKKMPKHIGKLNSLQTLPYFVVEEKNGSDLKELEKLNHLHGKICIDGLGYVFDPEDAVTANLKDKKYLEELYMIFYDRKKEVDDSIVESNVSVLEALQPNRSLKRLSISQYRGNRFPNWIRGCHLPNLVSLQMRHCGLCSHLPPLGQLPSLRELSISNCKRIKIIGEELYGNNSKIDAFRSLEVLEFQRMENLEEWLCHEGFLSLKELTIKDCPKLKRALPQHLPSLQKLSIINCNKLEASMPEGDNILELCLKGCDSILIKELPTSLKKLVLCENRHTEFFVEHILGNNAYLAELCLDLSGFVECPSLDLRCYNSLRTLSIIGWRSSSLSFSLYLFTNLHSLYLYNCPELVSFPEGGLPSNLSCFSIFDCPKLIASREEWGLFQLNSLKEFRVSDEFENVESFPEENLLPPNLRILLLYKCSKLRIMNYKGFLHLLSLSHLKIYNCPSLERLPEKGLPKRRNESGGIQFVISQM >RHN46407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31446392:31449559:-1 gene:gene40889 transcript:rna40889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MILKRLFQFSHSISIPTTLRPFHSSSSSSSYSSLSPALSESLSHFHHTLQDYPNSIPSYSSCNTLIDNLRKAKHYDHVISVHSKMASVSVFPCFTSLSALIESFVNTQKPSFAFGVLGLIMKRGFHLNVYNFNLLLKGFCQSGDSHKAMDLFCMMKRNCLIPDCVSYNTVINGLCKGKRLVEAKELFKEMKGGECKPNSVTFSALIDGFCKNGDVEEGFGLLEEMEKMGLEGDVFVYSALISGFCSKGDIERGKELFNEMLRKNVTPNVVTYSCLMNALCKKQKWKEAAQMLDTMTGCKVRPDVVAYTVLADGLSKNGRASDAIKVLDLMVKRGEEPNNVTYNAIINGLCKEGRVDDALGILETMAKKGKKPDVVTYSTLVKGLCGVGKIDEAVDLLNLLMSKEFHIKPDVFAFNLVIQELCKQRRLRHAKRVYYTMVERGFPSNIVTYNILIDGYLSAGKLTKALELWKDAVDSGISPNAATYTVLINGLCKMQMLSIAKGLFNKKRASGTRPTVSEYNTLMASLCRESSVEQARNLFQEMRNANHDPDVVSFNIIIDGTLKAGDVESAKELLLEMLNMNLVPDNITFSILINRFLKLGQLDEAASLYERMVSCGHVPDAVLFDSLLKGYSLKGKTEKVVSMLQQMADKDVVLDSKLTSTILACLCNMSKDVDIEKILPKFSQHTSVGASIKCNELLMKLNKVHPELQLLVA >RHN50175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4851540:4852769:1 gene:gene34436 transcript:rna34436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase-like ATPase domain-containing protein MHVCCNAEDNQYLVRVNPTLEFIITSTDIAATGAAATLLIFNNEKGFSRQNIESICSVGQSTKKGNRSSGYIGEKGIGFKSVFLVTAQPYIFSNGYQISFNENPCPQCSLGYIVPEWVEEKPTRLLDIKQIYGKDSLPTTTLVLPLKADKINSVKQQLSSIHPEILSFLSKIRDFSVREDNEDPKMESVTTVSISSEINSAAKKNLNAESYTIHLSAGENGNDEKVCSYYM >RHN77436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6857116:6859813:-1 gene:gene888 transcript:rna888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope pore protein 24 MKAAVKGKYDNDKNAAAAASVAFNAGDVKLRASITDATFINGPSLTGLVLAVEKPGSFSIDYNVPKKDFRFQFMNTVRVADKPLNLTYIHSKGDNRTILDGTFVWDPSNKVSANYGVESGNCRLKYSYVHKGLTTIEPSYDVAKNAWDFAVSRKVYGDDSLKATYQTTSKKLGFEWSRNSKQRGCFKVVASVNLAEEKKIPKLSAETTWNFEM >RHN61159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33543817:33547012:1 gene:gene23594 transcript:rna23594 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-binding, CRM domain-containing protein MATTLFRTIRRASTVFKSSYAATSFLVPPRLVGRGQIYSFDCSLRHCVPFEKKCFWWGLREMSYGKVNLVIKDGKTKFETHEVEAPRKDKWKTKKRLKMQRKREKEKRKAANRRDPRRLGVKGKKKKQKFANPEERIKFKINNARVKEALLIERLKRYEVAKAQGPEVKPDGLTGEERHYLKKMAQKSSNYLQVGRRGLFGGVILNMHMHWKKHETVKCICKPCKPGQVHEYAQELARLSGGIPIHIIGDDTIIFYRGKNYMQPEVMSPIDTLSKKKALEKSKYEQSLESVRRFIAIAEKELELYHRHVALYGDPSNRNPLSILDGPIGSSMEKRNHDKKNLDSSSYYLSETESDSSQTELSESEDNFENGNLSMSESDSEDSMLGSDDDQEREVYLTKMQDESVCSTTGPSSMSKHTHHFMNQHLLS >RHN53793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4186991:4193346:1 gene:gene28739 transcript:rna28739 gene_biotype:protein_coding transcript_biotype:protein_coding MESKNEENEIRFSNPFTLKVGQVFTGFGIGCGVGIGVGRPLNLGAIPMLNQVMSATRGATDAFSGVSRHVNTSLRKIGAKNIEVGVGCGVGFGHGFGAGLAVKPGVLNQIQSCLVMTMTKMMIKFGITPSLPFNLGVLPASLQSATGAVSSGSVTQLAAKSADQLSQGLAGTQPMNIGLAFDKTAVKDTSVDSTYGSRTEKVLNNFLQNPLLKGEGGGPTESAGRLIAENKILQMVLKHQQMIEELVEENEKLRQILVKELKVPPSKLEASSSDLYTRSSKRENNNSQKAKS >RHN39828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10877063:10878103:-1 gene:gene45902 transcript:rna45902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Desiccation protectant protein Lea14 MSQLVNKAKNFVSEKIGDMAKPEASVTDVDFKKVSMNNVEYLAKVSVNNPYSTPIPICEVKYSFKSANREIASGTIPDPGSLKAKDTTMVDVPVKVPYSILMSLAKDIGADWDIDYQLDLGLVIDLPVIGNFTIPLSQKGEVKLPSASNMFSS >RHN64245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57606550:57608721:-1 gene:gene27039 transcript:rna27039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MMKPNLVKSLSRHHKLTLFSFHTNTNAHNIGKFHTFNHLPSATPTHANVVKSGLSNDTFTTNNLINSYLKLLKIDHAHKLFDEMSHPNVVSWSLLMAGYVRQGQPNIALCLFHQMQGTLVMPNEFTFSTLINACSILANLETGRRIHALVEVFGYRSDLVVCSSLIDMYGKCNRVDEAQMIFDFMWVRNVVSWTSMITTYSQNGQGHLALQLFREFNHIRMNKPNHFMLCSAVTACASLGRLGSGKITHGVVIRLGHDASDVVASALVDMYAKCGCVTYSDKVFRRIVNPSVVPYTSMIVGAAKYGLGTLSLRLFQEMVDRRIKPNSITFVGVLHACSHSGLIDKGLELFNSMNEKYGVMPDARHYTCIVDMLGRVGRIDEAYQLAQSVQVGSEDDALLWGTLLSASRLHGRVDIAIEASNRVIESNQQVAAAYVTLSNTYALAGDWENAHNLRSEMKRTGVYKEPGSSWIEIKDSTYLFHAGDLSKCSQKRELLSLLRELEGRMKERGHVGVTTGLVFVDVEEEAKEEIVSLHSEKLALAFGLLNTPKGITIIIMKNLRMCRDCHEAFKLISDIVEREFVVRDVNRFHHFKNGSCTCGDFW >RHN41521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30747516:30747857:1 gene:gene47854 transcript:rna47854 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSGLDVVREVGCCSNVRNLGFPGESNMPYLKNEVIKNAGKLDSSDMIMVPFDELERENSNIFSDESKIPDREAKLIESSNEDDILIPNMTNMLDDFFLNFNNINNGSPFC >RHN78934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20101152:20105886:1 gene:gene2603 transcript:rna2603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative enhancer of polycomb protein MPAAGMRRSTRVFGVVMKGSESGRVLRSGRRLLPEESVEVKIKRENEGDDWPIPPPPLKNKAVVPRKAPVVPKTEAEEERAVKPVKRSRVGDGVDRMYGIAYTRKRRRTAGAASLELSSKKREESGEICVFSVVVKSCAAKSGRFSSLLVSILRYMMMMRFTVTLPEVFAFFLSKPSQGVQFLQGSPPSITGICRFFEITQFIPLFSVDFSAVPVYFEYLHSSMLLDFLFRSFFIVQNPINAHSDDKDHEEEIGFLKNKDELQTLFDTVEREPSASGTVIPDVIQISDSLSLPTSAKGTGQPRSRNGQFKSVLNSRCIQKRRSSLKKRKAHSPSTMTLRRSNGSVASDLVGSRKCNIQFSGMTTSTKRHRSFVNENTAGSLKEASSAKLGSAQSVDSSFCFANVLVIESDRCYREDGAVVTLEMPDSTEWLLIVKRDGLERCTCKAEQGMPSWSSNRFTHAFMFSLDNGWKLEFTDRRDWHFFKDLYKQCFDRNIHGPVAIPVPGVHGVSSYAESNNNSIFQRPATYISEYGDEITRAMTRRTANYDMDAEDEEWLSKLNNVSQEHISEDNFELIIDAFEKVYYCNPDDSFDVKSAVSSRQDLGSMEVIEAVYTYWMRKRKQKQSMLIRVFEIHQSKRASLIPKHFLRKKRSFKRQPSQFGRGSQPSSLRAMVAEQDALAVDRMHKAQEAKASAKKSTETAIEKRKEAQFLAKNADLATYRALMMLRVAQALAVAGESGEVVAKDILGIDG >RHN49785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1121046:1121322:-1 gene:gene33996 transcript:rna33996 gene_biotype:protein_coding transcript_biotype:protein_coding MIFCERIIQESCLGYIEGDEFKALSGGKYRGYPIRGQTKSYEYSYMHYIFLSLWRVVVLNVRLSF >RHN63964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55419312:55419992:1 gene:gene26729 transcript:rna26729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S49, ClpP/crotonase-like domain-containing protein MGAGYYVAMGAGVIVAENLTLTGSIGVDLGIFSLENMYKLIEWEEFTSWGTYKYHTADTEAFAERARHMYIYAALSRSMTIDKMEEVAQGRIWTSKDAVSHGLVDAIGGLSRAIAIAKLKANIPQNRQVTLVERSIPSPNLPEVVRDIGYPLYDGRVWAHCNGAPLAIGYSLVGWRDFAHSNGVPLRMDGTMRDFILFLSSSLGGRNSTLNKKKIVLFFCYYDSLL >RHN73344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15519237:15519704:1 gene:gene9146 transcript:rna9146 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQPSTQQVLYPTTRPSSSSTATAPKPSGTKPPTMDKIFGIRKYQI >RHN64316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58112503:58113162:1 gene:gene27120 transcript:rna27120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MFVTSLVLLLATNIWIYSAIKFFVGVWWSTIGTCVFVLLTEKVCSKWRVKTGLLEILYFNLGYMSLPGLGYLLRNSSWKYLYLCSSLPCIFVYVFSYFFVNESPRWILMQGKEKELFAMLKRGNRKSNFPPSETNFPLPAQEQISFFQLLTHVRDHFKDKWTLKRTALVMFLGIGIVGVYLGIPLAVETLGFNIYLSAFLTTIMKIPLFIATYFMRGFK >RHN82563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54778627:54780832:-1 gene:gene6736 transcript:rna6736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAD-binding domain, FAD/NAD(P)-binding domain-containing protein METTKIFEEEDVVIVGAGIAGLATALGLHRLGVRSLVLESSDSLRVTGFALGIWENAWKALDAVGVGDILRHKHIHLYGNVTTSLITGQQLATMSFRDKGKLELRSVRRKLLLEALGNELPNSTIRYMSKVVAIEESGFFKILHLVDGTTIKTKVLIGCDGINSVVAKWLGFKEASYTGRYGIRGFAELENNHSFEPMLMQFYGKGFRAGVVPCHEKGVYWFFTWTPATQDKELMENPAKLKQYVLNKLENMPSNVISIIEKTDLDAFHSAPLRYRHPWELIMGNISKGNVCVAGDALHPMTPDLGQGGCSALEDGVVLARCLAEALSKKQKEEEKYKRIEQGLKKYAKERRWRCIDLITTAYIAGVIQQADSKFVTFFRDKILAPLLAGQLFKKSGFDCGKLN >RHN82501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54294528:54300511:-1 gene:gene6671 transcript:rna6671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain, coatomer delta subunit, Mu domain-containing protein MVVLAASIVGKSGKVLVSRQFGDMSLIRIEGLLAAFPKLIGTGKQHTYIETENIRYVYQPIEALYLLLVTNKQSNIMDDLDTLRLLSKLVPEYSYSLDEEGICKHAFDLIFAFDEVIFLGHKENVTVAQVKQYCEMESHEEKLYKLVQQSKVNETKDLMKRKASEIDKSKIEKNRGDKGGFGPLTSMGSGRIENSFSDMSISSTGTGFGSGSGFGSSTDVDSFSTKPKGRPTASATALPKGLGMKLGKSQKTNQFLESLKAEGEVILEVDQPKLGPSRSAAPPLIDPITLTVEEKLNVTLKRDGGLSNFDVQGTLSLQILNKEDGHVQVQVQTGENQAVSFKTHPNMNKELFANENILGLKDPNRPFPTGQASDAGGVGLLRWRMQSTDESMVPLTINCWPSSAGNETYVNIEYEASSMFDLRNVVISVPFPALREAPSVSQIDGEWRYDSRNSILEWSVLLIDNSNRSGSLEFVVPQADASAFFPISVRFIATDTFSDLKVTNIIPLKGGNPPKFAQRTQLITENYQVV >RHN54086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6822369:6823312:-1 gene:gene29069 transcript:rna29069 gene_biotype:protein_coding transcript_biotype:protein_coding MLANITDIGTPISPSVFRLCPSLFNTNPAAPYNFLRNFFGSWPTYTIKPLVYKNLVFFFSD >RHN40225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14388457:14392999:1 gene:gene46348 transcript:rna46348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin monooxygenase, FAD/NAD(P)-binding domain-containing protein MVKVAVIGAGVSGMVAAKELQQEGHNVIVFEKNNRVGGTWIYTSKSDSDPLSIDPTRETVHSSVYLSLRTNLPRHIMGFLDYPLSKRESGDPRTFPGHEEVLRFLEEFADEFGIHELTRFETEVVKVERKGGKDWVVESRGGDSVSREVFEAVVVCSGHYVEPRLAVVPGIENFGGFQMHSHNYRVPHSFKDQVVILIGLGTSSFDISRDIARLAKEVHVATRPKPDLKGRKLENVRNICFHTLIKCVYEDGLVAFEDGFSIYADAIIHCTGYKYHIPFLETNGTVTIEDNRVGPLYKHVFPPSLAPCLSFIGLTFKEITFSVIELQAKWIARVLSGKVLLPDEEEMMASIKDFYQSMEENGLSKRQTHSLRPLQGDYKHWLVEQIGLPPLEDWRDNMLMECLKNSIEMNEMFRDEWDDNYWDAIIQSGSAS >RHN45788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25573000:25574869:-1 gene:gene40189 transcript:rna40189 gene_biotype:protein_coding transcript_biotype:protein_coding MSELSFSNASNKNNEFSSNLFTILLHLCFSIFSHPLYFSYFLFFSPYILKLLSFLSPLFITTTLLLLVAFLTFTPNLVHHKGSSKSTSTSSVESYESKWCFFLSILQTFLAWFEADDKDEEIGLLNELEAYLVMFQASIFEVHEPKSVEDFVEEFEEADEEFSVEEKVVSCQMDEEKKVNLDEENKVEKVEIVESIKEEKVLDVKSLVTLFQEYAELENVSCEKEEKEVVKPILDTKFNKVEESKETLWSIGNGSKVKGNRDMYANKVKVKSQTLDEDFGSPKSNWEYGGKGIGNNEEVCSNLGSFGSMRVEKEWRRTLACKLFEERHNNGDGSEGMDMLWETYEKESNKVVKKSNTKKGKKLSEVEFSEDELEEEEVGAKLCCLQALKFSTGKMNLGMGRPNLVKFSKALKGIGWLHHVGKNGKKNNH >RHN65890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6264452:6265959:-1 gene:gene13783 transcript:rna13783 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNLRKKAVHKTATTDDKRLQSTLKRIGVNGIPAKVQASIAANTWVVSSSPQTKKLQDILPNIIHQLGPDNLENQKKLAEQFQKQAPEAGADATAAQEDDDDAVPDLVPGETFETAAEETKA >RHN41308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29025475:29026329:1 gene:gene47616 transcript:rna47616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MNLPSAFDARHFHILGSAIQGVLCLYIVGNQKNIILWNPDVSGQYRVLPTDYAEDLGSRDEDFVPNFQVHGFGYDAANHDFKVIQLVKYFGYHETISFWQVYCLRSNTWTKLNIPSGNQIPFHQYYPNGLEVYLDGFCHWLGRVAYGQLYLVSFNLTNYKFSVAAAPVDVGVTEQSLKLVVLNGSVAMINQHADPMSFSISILGKIGVKESWTKLFNVASLPSFKDPISAGKKGVIFFKGDENNGRVACFDLTTRMIEKEIDFGAKKNIQQIVFYKNRKNTGRH >RHN76164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48148822:48152841:1 gene:gene12449 transcript:rna12449 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDRTSQTSSDSPTRDPKVLSIECLRGSSKADEWTVDMLQTGDIVEELRIGTKTKSQIRYNSPFKGGRSGVQKILQEAYKKKETSIMIRVRRGSDELAELQACIVPNDASGKKQFVLRSIDDPNYVVGFLDRTEVECFEIQASRSSRMVNALTRTKLQDGYVSYPWERRMQEMLTVPNSSNFLSILLLPKASDRVASRYNDLEDTLARANAWINAGQASGVPIVFMNIQTESLLTKISGETASATVNAGSLSDLSNLANVSLYGFEDYHGIDIGVVRAVRLWYAPVGGELSIEIKLKEEDSKLGFAISRTEEGFIFISSVINQENVPATRSGLSSLYKLATDTCRLLVVSRVSNQKVLPWMVSSTGAIRCYDTVSLSQKLSLHRHTRVPILLHVFLWDRSMVNSSGGSNRFRALSPSALQPLPSEVQIGRFSNETHTLPLPPEASDPTDITSEVSQSRFERDTAGESSFRFHDFSLSSNWV >RHN75792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45103721:45106278:-1 gene:gene12042 transcript:rna12042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MGAVDEEYDYLFKLVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATRSVRILDKLVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDSTRHVTFENVERWLKELRDHTDAYVVIMLVGNKADLKHLQAVSTEEATTFAEKENIYFMETSALESLNVDNAFVEVLTQIYNVVSKKTLEKENGSASVPKGETINIGKDDVSDVKKSGCCSTA >RHN57908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42520016:42521996:1 gene:gene33499 transcript:rna33499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MELQNPFSNIIFMLSFLILLVLFKIVQRWSFNNSTTKLPPGPWKLPLIGNLHQIISRSLPHHLFKKLAEKYGPLMHLKLGEVPYVIVSSPEMAKEVMKTHDLTFCDRPNLLLSTIWSYNATNIVFATYCEHWRQVRKICVIELLSAKRVQSFRSIREDEVTNLVKSITASEGSVVNLTRKIFSMTNGITARAAFGKRNRNQDVFISAMEKVLVLLGGFEIADLYPSIKMLQCMSREKTKMEKIHRELDMIMQDIIDDHRSIHKEASNDEDLVDVLLKIQQENYYSEHPLTDDNMKSIIQDMFIAGTETSSEVLLWAMSEMVKNPKVLEEAQIEVRRVFDKKGYVDESELHQLIYLKSVVKETLRLHPVAPLLIPRESMKPCQINGYEIPAKTRVMVNAWAIGRDSRYWVEAESFKPERFVNSTIEFKGTDFEFIPFGAGRRMCPGIAFALPNIELPLAQLLCHFDWKLPNKMKNEELDMTESFGITVGRKHDLCLIPITRRL >RHN65628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3911942:3914286:1 gene:gene13491 transcript:rna13491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSLSILLPELIDDILLRLPQKPLARFKCVSKHYNSLISDPEFTKLHLQRLPKISHTLISLEEANTWVITPNSVHHLLEHPSSITEEEDASLRFNMNENDCFSIGSANGLVSLISVKSQEGGNKEICTQFWNPTLRLRSEDSPNLTIMPPPSNDNMLSRVHFGFGYDDLSDTYKVAAVFWNCIAQKMEAKVHCMGDSSWRNTLACHDFPILLQRTIVGPFVNGSVNWLTYHNLNCHLYERENVTINQLLIFSLDLRKEACKYILLPDATTVVSQDLLKLAVLRGCLCFYYNHMRTHFVLWEMKEFGVQESWTQLVNVSYGHLQFREFLNWLLLPVCLSEDGDVVMLVCEEEDEAIMYNQKDGIVEPVELSNNQIRYAEEHMQSLVLPCPHPH >RHN68824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38878040:38878280:-1 gene:gene17233 transcript:rna17233 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCVLIQNVLMAFLLLTFCRLKHIPYIGLIHLKLEIVEEPLYCLKFIENTYEKLRSDRVCKQKKTRTL >RHN82718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55873552:55873851:1 gene:gene6900 transcript:rna6900 gene_biotype:protein_coding transcript_biotype:protein_coding MVETPVSKSALKRSSGSVDSIGVGDVETGQASVTKPPKMVCVKIKPKDK >RHN65806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5523692:5524303:-1 gene:gene13696 transcript:rna13696 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRECDERGVVLVPSNPIDRQRLRLQCLKHAKRKNGLIDWEVYDSTYFSHMNFSDLSSESDFSESDDSDCVLLYSTSGNSLGKENDASSSLKMVRGKGIRLSCPESDTECFSDDVQRYDSAYNDQAKVDFFRSKILVSSTKREEDIVLAPCSAGEKVCTMRLKGVKEIFHIHGVVLEEFGVKIPFTLFEMDVLRLLNVAPT >RHN57019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35798409:35799092:-1 gene:gene32502 transcript:rna32502 gene_biotype:protein_coding transcript_biotype:protein_coding MILGTANVKDDPFVQVMTRFEDNKHGKDFIDSLRLYSAHGILPHKKTEFEMHPTCKLYKALCYTWSVNRIKEVDYISPSCFMYLFEQLLLLTSCSRGRLIYATKSSFTEWLICQNKFSLASLSFAPVDTRDVHDFVENFLRKFVNDQNDIKTWIKKSNLDVDNYFPSLFLRSVVSMCLLHLSTGSRKYLELLRCLLKNSYMTTQMPLEFCNVLQKGNKHMGLLRHLK >RHN64493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59530303:59531022:1 gene:gene27313 transcript:rna27313 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRRSALAIRTILSRSPGGFRAGRLWLLLFAVFVRSFVAAASCLFRFVPDLCGGSDGGVTW >RHN50421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6982157:6990054:-1 gene:gene34703 transcript:rna34703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEIDSASPELNVKGSDANGKKLNRNNKCGVRVIGNRIYDSANGKTCHQCRQKTRDFSAACKNPRTGKPCQIRFCHKCLLNRYGEKAEEVELLNDWMCPKCRGICNCSFCMKKRGEQPTGILVHSAKASGFNSVSAMLRKKASESLETNNAVVLPIKETTLEKELVVDLFGEPEKLNSLGGNKGLKVENEKTKKVNRKKLKEPTLEKELVVDLSGEPVKQNSVGGNIGLKVENGKTKTMKRKKLKEDTLEKELVVDLSGEPGKQNSVGENIGLKVENEKTKTVKKKKLKETTLGKDRKEYILQEVVLGLSCEPGKENSSGGVNASKVGREKTKKVKRENLKEISNGNSVDDACQNKKSKKPKLSNVVSEGGVKPKADSEMGTKIGENHGTVVIGDGDNAGAKSQTNAKVLHEEKIKEEISFPLGTEMTKILDIEFAPEDVGNALQFLEFCKVFGKALDVKKGEAVAVLRALIRKQNLRRGQNTLVVEFQIKLLTLIVSDSETESSSLTASNGKNSWLKVLEDLITESDAALKEFPADWLNKGISGYYDLDLSKKLILLNFICDEALSTMKLRNFIDDQNARFAEEKKAAKSKVSDAKEKERSLKQKLQDEIANAVVSSGASLSISEHDALLAKIKSEATKAHTELIEAKGTIPKRNRHCDTVRIEPEYLDSNGKTFWKLRSCNDEYAFMLQDLKIHDEDGVEVDEKWFVYGAEQKDEVNKYISSRRHWLPKLTSTF >RHN74726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36150238:36151376:-1 gene:gene10834 transcript:rna10834 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLSSSQPEATKLLDGDGLDWKRKEKKREQAENASNGVYVKKQSAGELRLHKGGIC >RHN71093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56433491:56433875:-1 gene:gene19736 transcript:rna19736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MGHVGSSKDANLEMDIDLNDDEEKPSNAEILNELGEDMVKYFCKKASIVFFNEYGLISHQINSYN >RHN60313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25107683:25111585:-1 gene:gene22610 transcript:rna22610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein MGIFLKLVDFTLFLFFLLITIVAPLIDAQTCLPLSYFPEILVNVKTWYTNEYGDYLVAEKPHFFVGLVWLELLFQWPLAVLNVYAILSSKGWFNTTCLIYGVSLSTSMVAVLSEMMGSKKASEKLLTMYFPFMCFGILATLRGLLATSSKSASAFGKRPAMARKKRA >RHN76170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48199331:48209198:1 gene:gene12455 transcript:rna12455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MEKNGANAEIVDINKTTTTLEPEKSTGGGLYVPGKDRVVYVAPERKSRLGLDTLAIAKRGESQSDGAFKVPKEITTSIAAAAEDEDKSESSDVVEESGQAGTRRNAHRRYRETTSETSRAESSLTDDHHADTYGNRSTERRGSDVSASPSGYDRDDHRSERRHSRDDSRSDSREVRHRNNYDSRESYSGRDSRSRYYDHEYDRKRNRYEGSRRTPGRSDWDHGRWEWEDTPRRDGVSSSRRHQPSPSPMFVGASPDARLVSPWHTPHSSYNSPSPWDHVSPSPVPIRASGSSVKSSVSGYNRRSHKLAFSSENSDTYEEEIADKSDLGEEHKYEITESMRQEMEYDADRAWYDREEGSALFDSDSSSLFLGDEASFQKKEAELAKRLVRRDGTKMSLSQSKKLSQLTADNAQWEDRQLLRSGAVRGTEVQTEFDDEDERKVILLVHDTKPPFLDGRVVYTKQAEPIMPIKDPTSDMALISRKGSALVREIHEKQSSNKSRQRFWELAGSKLGDILGVEKTAEQIDADTAVVGEDGEIDFKEEAKFSNHMKKGEAVSDFAMSKTLAEQRQYLPIFSVREELLQVIRENQVVVVVGETGSGKTTQLTQYLYEDGYTIGGIVGCTQPRRVAAMSVAKRVSEEMDTELGDKVGYAIRFEDVTGPNTVIKYMTDGVLLRETLKDSDLDKYRVIVMDEAHERSLSTDVLFGILKKVVAQRRDFKLIVTSATLNAQKFSHFFGSVPIFHIPGRTFPVNILWSKTPVEDYVEGAVKQAMTIHVTSPPGDILIFMTGQDEIEAACYSLAERMEQMVSSSNKEVPKLLILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGIFFVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTESAYLNEMLASPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQDNILNSMYQLWVLGALNNVGGLTELGWKMVEFPLDPPLAKMLLMGERLGCLEEVLTIVSMLSVPSVFFRPKDRAEESDAARERFFVPESDHLTLYNVYQQWKQHDYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTTCFPDTDVVRKAICSAYFHNSARLKGVGEYVNTRNGMPCHLHPSSALYGMGCTPDYVVYHELILTTKEYMQCATAVEPQWMAELGPMFFSVKESDTSLLEHKKKQKREKTAMEEEMENLKKEQAELERENKRKEKEKRAKSQQQISIPGLKKGSSTFLRPKKFGL >RHN64238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57524916:57526024:1 gene:gene27031 transcript:rna27031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSTSKKITSDSSFKGYHETNQTQMCLSLLQRNTNTSPSGEKRGRRKQSEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAAISMKGNQARTNFIYSDTINFHTLVSSPMDLQTLLPASQLLTNTQTNQNTTLSHLNTAHTSKNDQNLSSFNNDMIMSTFDHEKTTSYASTHDDNFFFSNDTNNSGYLECIVPDNCFRPASNTRKSNVSASSDEKVDNNAERNKISMEGQSHFGMTSFSQEMPNFSEFSYSPSEICSQGFLDWNSNELSAIFNNKPLRVEDECMDTLMYPNYPIIENLSTNYVMMNDQAASSSNYSPSLEFGYPLF >RHN77028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3353854:3355477:1 gene:gene435 transcript:rna435 gene_biotype:protein_coding transcript_biotype:protein_coding MVSERYPRDEDDDASASASDSVLDEDDDSVSDEVLAVSAPILETSASHDCIFFGSFDSPIVPVSTPSIEPKFDGFSVKIGEISCVFVDSCKNIVNFDDSVFIASEENGYVKVLEPELKIRNSVYGFSEASEHFITHATPVRLRNTVYGVAAARPSALWLLVGKQAEPIASDVDDKIRSFQLHDEFIVDFDPGGSVFSCSLFSVLTVLRRIHFRLWWIPWDRGKKGLCSFDSTHAIAEA >RHN48995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51639451:51644391:1 gene:gene43773 transcript:rna43773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,4-beta-D-xylan synthase MVNTTSSSSPVKITVSSGGKTGGSRNMGLTSPLPRSSVSNNNNSPLSNRSNRLSGGGAAIRRLSGGGGNYSSMSKDDVTEDTTTSEYVSYTVHIPPTPDRMPLSSSQTSLPEENNKNNSFISGTIFTGGFNSVTRGHVIECSNESKDLPLKSKLMCGMKGCDEEAIKGCTCECGFKVCRDCYKEICGNGGGGKCPGCKDSYNNVSDDEEEEEEEVSECEDQAQPLPSMAEFKLDKRLSVVKSFKAQNQNHPPDFDHTRWLFETKGTYGYGNAVWPKDGFGSNGYEPPPDFGKKSRRPLTRKVGVSAAILSPYRLLILMRLAALGLFLTWRIRHPNHEAMWLWAMSVTCELWFAFSWLLDQLPKLCPVNRVTDLSVLKERFESPNLRNPKGRSDLPGIDVFVSTADPEKEPPLVTANTILSILAVDYPVEKVACYLSDDGGALLTFEALAETASFARFWVPFCRKHQIEPRNPEAYFGQKRDFLKNKVRLDFVRERRRVKREYDEFKVRINSLPESIRRRSDAYNAHEELRAKKKQMETGSDVSELKVPKATWMSDGSLWPGTWSSAEPDHSRGDHAGIIQAMLAPPNVEPKYGSEADGENLIDTTDVDVRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAVMSNGPFVLNLDCDHYIYNSLALREGMCFMLDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDVSMRALDGLQGPMYVGTGCIFRRTALYGFSPPRASEHHGWFGRRKIKLFLRKSKVSKKEEDEVSVPINDHNDDDADIESLLLPKRFGNSSYLAASIPVAEFQGRLLQDSKGNGTQGRPAGSLAGPREPLDAATVAEAISVISCYYEDKTEWGKRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKFLQRVAYFNVGMYPFTSIFLIVYCFLPALSLFSGQFIVQSLSVTFLVFLLGITVTLCLLALLEIKWSGITLHDWWRNEQFWLIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKSATPEDGEDEFADLYLVKWSFLMVPPITIMMVNTIAIAVGVARTLYSPFPQWSRLVGGLFFSFWVLCHLYPFAKGLLGRRGKVPTIIYVWSGLLSIIISMLWVYINPPSGARPQDYLNFQFP >RHN69163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41479546:41479935:1 gene:gene17596 transcript:rna17596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPGIRRSSFSASQSSIKQVEVPKGYLAVYVGEKMRRFMIPVSFLNEPLFQELLSQSEEEFGYCHPMGGLTIPCKEDMFLHTTSVLNRL >RHN67756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30011120:30013021:-1 gene:gene15981 transcript:rna15981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MNLVSSKRDTNYSSSCYKLFFDNDNLLRLLYDGPGDSSVYWPDPLFLDWQDSRSMYNHNRVATLNRLGNFSSSDDFTFITSDYGTVLQRRLTLDFDGNVRVYSRKQGQEKWLVSGQFVQQPCQIHGICGPNSTCSYGPIKGRKCSCLLGYSIINNQDWSQGCKPSFQFSCNNKTEYRFKFLPRVEFNSYDYGFHKNYTYEQCKHLCLQMCECIAFQFRYVKKKGVNNCYPKTQLLNGLQSTKFRGSLFLKLPKTNIVFPPEYDNLVCSRNNGIKQLQRLYVGEKENGLVKFMLMFASGLGGIEVLCFFLVGCILFKNSKQSSVDNHGYVIASATGFRKFSYSELKEATKGFSQEIGRGAGGTVYKGILSDDRVVAIKRLYDTNQGDSEFLAEVSIIGRLNHMNLIGMWGYCAEGKHKLLVYEYMENGTLADNLLSNELDWGKRYGIAIGTAKCLAYLHEECLEWILHCDIKPQNILVDYDYRPKVADFGLSKLLKRNEHDNSNFSRIRGTRGYMAPEWVFNMQITSKVDVYSYGVVVLEMITGKSPTTGIQIKDKEELYHERLVTWVREKRKKVLEVGCWVEEIVDPALGSNYDAKRMETLANVALDCVQEEKDVRPTISQVVERLLSHQHNY >RHN74661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35549016:35551573:-1 gene:gene10766 transcript:rna10766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MADEVILLDYWVSPFGMRVRIALAEKGIKHEYREEDLRNKSPLLLQMNPVHKKIPVLIHNGKSICESLIAVQYIDEVWNEKSPLLPSDPYQRSQARFWADYVDKKIYEVGRNLWTKKGEEQEAAKKEFIEALKLLEQELGDKTYFGGDKIGFVDVALIPFYTWFKGYETFGNINVEKECPKFIAWAKRCMQVESISKSLPDQDKVYGFIVEIRKKFGLE >RHN60961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31852304:31852817:-1 gene:gene23366 transcript:rna23366 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFVATPITFVLGRETFQTMSYTDTLSIIRIKNCVLSILILKMDAPIYFATVRYLRERKLLVFIGSHGGLMKRETSLKYA >RHN69737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45952036:45953226:1 gene:gene18249 transcript:rna18249 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTARKSRCRKSPPARSKAALVVDESPIRAIACLKKIDDIKRFEETDDCFILGFDPSDNSPIDASSRPHNNDDDDDDLCVLGQKGKIALRDYPHSRHLCLKFPFKTTPRESYCNKCYCYVCDTAAPCMYWTMHCNAESAGHWKDQRRVRRRIY >RHN51224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15191417:15198720:1 gene:gene35622 transcript:rna35622 gene_biotype:protein_coding transcript_biotype:protein_coding MCESQTTISKGKSVSDACRRMAARHVDVVLLTDANALLFGMLCYLE >RHN41995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34619520:34620851:-1 gene:gene48377 transcript:rna48377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MERQTTRRLDTDFYLPDDCLESIFKFIITTNSYRSLNSLYLNSLSLVSKQFLSITNSLRFSLTIRSSTPIPSLPCRFQRFTKLTSLNLKYFNSDIDALLCQISHFPLNLTSLNLSNKLTIPVNGIQAFSQNITTLTSLTCSRIYSFNGTDLFLIADCFPLLEELDLSKPIMLENYKSLHNGVEALSTALYKLWKVNLTSHHYIKDQSLFHLFNNWKLLEEVVIRDCYGITKPGIAHSLRDRSTLRSFSFSGLNFKWEDCDVSAQLKFLEVTCNSWLTYDNIKMFASIFPNLQLLDLRCCHNISEEGICQVLRCSEIRHLNFTGCLHVKLRGMNFEVSNLEVLNLSCTRFDDETLYVISKSCSGLLQLLLVSCKYVTEKGVKHVRKNCIQLREINLRGCDQVHADIVDKMVFSRPSLRKITAPPRYDFSDKKRKLFLRHGCLVS >RHN80852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41289603:41290100:-1 gene:gene4827 transcript:rna4827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inorganic diphosphatase MCRLRSYYSGFSVTHVQLWHTKMEMLSQFLTQILIHVAQPHVSEFEYETALIGILFALLQWLYVSSVRVLFAANHTEANIGYKAVKITTYVNARTTLEARKGKRKGFRCCFSLWCSDGLSSCCKLPVIGRLQKKRKIRKLNQRLLRRY >RHN71404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58767912:58768701:1 gene:gene20083 transcript:rna20083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronate decarboxylase MVINLAAICTTVDYNTHPLDKIYSNFIDALPVEVKTEQWQDSVVTEVVTVPEVERRF >RHN54910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13424798:13426828:-1 gene:gene30009 transcript:rna30009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MNCYTSLIAQFTNKKSLTTLKSLHTHILKSGSLFSFFGHKLIDGYIKCSVITEARKLFDEMPNRHIVTWNSMISSHVSRGKTKEAIELYDNMLFEGVLPDAYTFSAIFKAFSEMGVSREGQKAHGLAVVLGFEVSDGFVATGIVDMYAKFGKMKDARFVFDRVLDKDVVLFTALIVGYNQRGLDGEALEVFEDMVGSRIKPNEYTLASVLVSCGNLGDLVNGKLIHGLVVKSGLESVVASQTSLLTMYSKCNMVEDSIKVFNSLAYASHVTWTSFIVGLVQNGREEIALSMFREMIRCSISPNHFTFSSILHACSSLAMLEAGEQIHAVTVKLGVDGNKYVDAALIHLYGKCGNVEKARSVFESLTELDVVSINTMIYAYAQNGFGHEALELFERMKKLGHKPNVVTFISILLACNNAGLVEEGCQIFSLIRNNHSIELTRDHYTCMIDLLGRAKRFEEAAMLIEEGKNPDVIQWRTLLNACKIHGEVEMAEKFMKKMLDQAPRDGGTHILLTNIYASAGKWDNVIEMKSAGRDLRLKKTPAMSWVDIDREVHTFMAGDLSHPRAHEISEMLHELIEKVITLGYNPDTKFVLQDLEEEKKISALYYHSEKLAIAFALWKTCGKNTAIRIFKNLRVCGDCHSWIKFVSLLTGRDIIARDAKRFHHFKGGICSCKDYW >RHN61847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38836992:38838372:1 gene:gene24350 transcript:rna24350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MAANNQLYHSISLALFFCLGLFAIQVTSRTLQDDSIIYEKHEQWMVHYGKVYKDLQERENRLKIFKENVNYIEASNNAGNNKLYKLGINQFADLTNEEFIASRNKFKGHMCSSITKTSTFKYENASVPSTVDWRKKGAVTPVKNQGQCGCCWAFSAVAATEGIHKLSTGKLVSLSEQELVDCDTKGVDQGCEGGLMDDAFKFIIQNHGLNTEAQYPYQGVDGTCSANKASIHAVTITGYEDVPANNEQALQKAVANQPISVAIDASGSDFQFYKSGVFTGSCGTELDHGVTAVGYGVGNDGTKYWLVKNSWGTDWGEEGYIKMQRGVDAAEGLCGIAMEASYPTA >RHN82761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56179444:56181497:1 gene:gene6946 transcript:rna6946 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGNAYIEGAQVGCLVKGGVQDLDDGDKSSSRQFNNSLARHINVAAPNFTGIGSMLVQAPDQNIRVL >RHN46800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34978847:34984670:-1 gene:gene41331 transcript:rna41331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-severing ATPase MSFLQGIIDSFNSVFSPQSSSSFSQTNFSASSSSSMEASPSPSSSISNERVAYKLKGYYDLATQEIDKAVRAEEWGLIDDAILHYRNAHRILLEANSTPVPSFITPSEKQKVQSYRQKISKWQGQVSERLQALSRRAGSSFANQSTSNRAQTAAVPTKLSNTTKKVLQKNPQRKDEVNKVQSPKPSQTSGENYDTKLVEMINTAIVDRSPSVRWDDVGGLEKAKQALMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVTAASLTSKWVGEAEKLVRTLFMVAVSRQPSVIFIDEIDSIMSTRTTNENEASRRLKSEFLIQFDGVTSNPDDIVIVIGATNKPQELDDAVLRRLVKRIYVPLPNENVRKLLLKHKLKGQAFSLPSRDLEMLVRETEGYSGSDLQALCEEAAMMPIRELGSNILTVKANQACWFQFALIFVNYLFFRFRQVRGLRYEDFKKAMAVIRPSLNKSKWEELERWNEEFGSN >RHN44569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6270429:6271744:1 gene:gene38704 transcript:rna38704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-l-methionine decarboxylase leader peptide MESKGGKKKSSSSNSSFLYEAPLGYIIEDVRPNGGVEKFKSPDYSNCTRKPS >RHN56380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30461138:30465025:1 gene:gene31771 transcript:rna31771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MGNTERIESMKEWFKSSQALLSMLLVQIFATGMQLLSRVILVQGTYIFALIAYRHIVAAICVAPFALYFEREKEKKFNWSVWFWLFLNGLMGMTMALGLFYYGLRDTTAAYSVNFLNLVPICTFLTSIIFRMENLKIGTWGGRAKCIGAIMCVGGALATSLYKGKEFYIGHHHHHHSHHSAEISAVAAHKTRMFRGTFFLVGACCSYTAWFILQVKLVKVFPLRYWGTMLSCVMAAIQSAVIGACVNQSKEAWRLDWNLQLITILYSGALATAATFCLLSWAITIKGPTYPPMFNPLALIFVAISEAIILGEPLRVGTLLGMVLIIMGLYYFLWGKRNEVPRLPQTNVAAAELSTSMADHSTVVVPSSFPNESVHLHINKTQKI >RHN49168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52983493:52985010:-1 gene:gene43970 transcript:rna43970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II MANTMTTTGFLTRPMSSFHFHSPRCSISSTPNSLKAAFAVPRRKAISLILSSTYILSDIGTALAQQPRVFREYVDTFDGYSFNYPSNWIQVRGAGADIFFRDPYILDENISVEVSSPSSSKFKTVQDLGSPQQAGKKVLDQYLTEFMSTRLGVKRESNILSTSQRIADDGKLYYQVEANIKSYASNNELAVMPQDRVVRLEWDRRYLSVLGVENNQLYELRLQVPENVFLEEESELRQVMASFRANKVVR >RHN68672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37693905:37698132:-1 gene:gene17054 transcript:rna17054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MEPSQQSQSHLISSHVQHISPVSNHHPPEPSDLNQLAQLDDELQNKLDLKDEDVIEEKGSNFEVGHEVAVLESDKGQGCHDDDENVNEKVSTDVDEVGGIDDKGSNFEVDDETSATVSEKGQGFHQVDVDDDDGWNGDYDGWNDDNEVSDWIENEVSGDVDERSNGGAEQYPLRPEAEDCSFYLKTGTCKFGFNCKFNHPLGRRNQVFRERAGERDELEERSSQTECKYYSRSGGCKFGKDCKFDHTRGKFSADQVLELNFLGLPIRLGEKECPYYMRTGSCKFGANCKFNHPDPTSVGGYDSTAGYGNGSTTSLQDVSQSSTPPWSSTRKFNETAPFVPIIISPTPGASPRSSDWNGYQAPFYLSERSMHPPSPYAVNNPAMEMNAYMHRHKHTPVEEFPERPGEPECSFFLKTGDCKFKSHCKFHHPKNRITKLPPCNLSDKGLPLRPGQNVCTHYSRYGICKFGPACKYDHPINLPPPTMPGRYQQSSHTNSASIEEAGSAGASDATI >RHN69287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42346625:42356827:1 gene:gene17733 transcript:rna17733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thimet oligopeptidase MTENEGKREKMEKLKKGRGMIAFTGTAAVLAIAVNLVIAAVKHQKEKNAKKKDLAGSKVRVNLSPSEIVKLANQIIAKSNEVHNLVASVPLDKVTYANVISPLAELQAQQFPLIQSCLLPKLVSTREDERKASAEAERRIDAHLNICSKREDIYLVVKAFAVRGDWMNAEIKSFVQILVRDFERNGLNLSASKREELLRIKAQIDELSIIYIQNLNDASAFLPFNESELAGLPPEFLKGLDKSENGQLKISLRSHHVTAVLEFCKVGTTRRMVSRAYGNRCGEANLSILESLVQQRHKYARLLGYSCYAEYAVDVRMAKTPTKVFEFLNDISVRVTDMATKELDVLKDLKKKEEGEFPFGIEDLLYYVKRVEEQSYDLDFGEIKQYLPIGLVLSGIFKIVQDLFGLRFEEIAGAEVWHCDVRVFAVFDLSSSELLGYCYLDLFSREGKYGHSCVVPLQNSALTISGARQIPVALLISQCQKDSEFSPGLLRFSEVVSLFREFGHVVQHICNRASFARFSGFRVDPDFVEIPAQLLQNCCYESFSLKLISGFHQDITKPLKDDLCKSIKRWRNSSSALKLKQEILYCIFDQIIHSADNIDIRELFKHLHSKVMLGLPVLEGTNPASCFPFSVVGYEAACYSRIWSEVFAADICASMFCNGVPNQLRGMQFRNKVLAPGGAKDSIEVISDFLGREPSILSYIENKAKYTL >RHN70936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55227772:55231860:-1 gene:gene19572 transcript:rna19572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MFSSRVFSLLLLFSLLTIAKSNEHEILLNLKTSLENPNTKDFFNSWNANSSICSFHGITCNSINSVTEINLSHKNLSGILPIDSLCNLQSLTKLVLGFNYFHGRVNESLRNCVKLQFLDLGKNYFSGPFPDISPLHELEYLYVNKSGFSGTFPWQSLLNMTGLLQLSVGDNPFDLTPFPEEILSLKKLNWLYMSNCNLGGKLPVGIGNLTELTELEFADNSITGEFPGEIVNLHKLWQLEFYNNSFTGKIPIGLRNLTGLEYLDGSMNQLEGNLSEIRFLSNLISLQFFENKLSGEIPPEIGEFKNLRELSLYRNRLTGPIPQKTGSWSEFEYIDVSENFLTGSIPPNMCNKGKMYALLLLQNNLTGKIPESYSTCLSLERLRVSRNSLSGTVPSGIWGLPNVQVIDVELNQLEGSVSSEIQKANKLASIFARSNRLTGEIPEEISKATSLVSIDLSNNQISGNIPEGIGQLQQLGNLHLQGNKLTGVIPESLGYCNSLNDVDLSRNELSKDIPSSLGLLPALNSLNFSENELSGKIPESLGSLKLSLFDLSHNRLSGEIPIGLTIQAYNGSLTGNPGLCTLDAIGSFKRCSENSGLSKDVRALVLCFTIILVLVLSFMGVYLKLKKKGKVENGEGSKYGRERSLKEESWDVKSFHVLSFTEDEILDSVKQENIIGTGGSGNVYRVTLANGKELAVKHIWNTNFGSRKKSWSSTPMLAKRVGSGGSRSKEFDAEVHALSSIRHVNVVKLYCSITSEDSSLLVYEYLPNGSLWDRLHSSGKMELDWETRYEIAVGAAKGLEYLHHGCERPVIHRDVKSSNILLDEFLKPRIADFGLAKIVHADVVKDSTHIIAGTHGYIAPEYGYTYRVNEKSDVYSFGVVLMELVTGKRPSEPEFGENKDIVSWVHGKTRSKEKFMSVVDSRIPEMYKEEACKVLRTAVLCTATIPAMRPSMRAVVQKLEDAVPCKLVGIVISKDGSGKKIEVNDK >RHN75427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42242758:42243253:-1 gene:gene11636 transcript:rna11636 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAILKGLMQGYLSALLDEGVVNDRFNAIVCLNNTVERRERVVQQIETYFADVDMILTEISLDVDNSAFDFSRLASLARQIEEKSDR >RHN77642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8376604:8377236:-1 gene:gene1115 transcript:rna1115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MHYTFLQRPASNCTMEEATTAVSFQELPHELLIHIISRIDSDNPVELMCISKMWKSLVVDHVFVRNHVFRSLINFSDLNHKNSKRFLAACKLQEEEDDDDDNEEEDDKEEKKGGKKEKQFMVKWLAERDNLDEEEVDQWAINNAAMIIKIYTALGFIRNIRPVVKLLDDIEISNENVEAMRADMESMEVRVKCLENFIRIYLKRGSISSQ >RHN62247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42003900:42007469:1 gene:gene24801 transcript:rna24801 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTRPFIHSFIRFQIDVLDVGTFVSPFSTAYLNMFWDSCLCLFYFCIP >RHN60511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27459920:27465750:1 gene:gene22832 transcript:rna22832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle-fusing ATPase MYSNFKEQAIEFVKQAVTEDNSGNYAKAFPLYMNALEYFKTHLKYEKNPKIKEAITQKFTEYLRRAEEIRAVLDDGGPGPASNGDAAVATKPKTKGKGGGEGEGEDAEQSKLRAGLNSAIVREKPNVKWNDVAGLESAKQSLQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFEMARESAPSIIFVDEIDSLCGTRGEGNESEASRRIKTELLVQMQGVGHNDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTESDFEHLARKTEGFSGSDIAVCVKDVLFEPVRKTQDAMFFFKSPEGMWIPCGQKQQNAVQVTMQDLATQGLASKILPPPISRIDFDKVLARQRPTVSKSDLDVHERFTKEFGEEG >RHN49956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2667457:2668044:-1 gene:gene34194 transcript:rna34194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MQPLVYSNGFGYDHVRDDCKVIRRLSFFYIIDIDEQWEEDSYVDPRWEIYSLRSNSWRKLNIEIPCCNGENSPNEKVYVDGMCHWLSLTDESNCHSVEPHMVSFDLYNEVFLTTPLLSGVVELYDSLHLKLLNGSIAFIIQDQENTFHVRILGELGVNKSWTKIFIVDPSPCIEQLFGMGTKGGIFFKKHDHELV >RHN60490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27313641:27316356:1 gene:gene22806 transcript:rna22806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MMDQLPVEVIGNILSHLKSARDVVIASATCKKWRTACCKHLHTLSFSSNDWSVYRDLSTTRLEILITQTIFQTSGLQSLSILMEDVDEFSASAVIAWLMYTRETLRQLFYNVKTMPNVNILEICGRHKLEILDLEHNSIVGVEPNYQRFPCLKSLSLSCVSISALDLNLLVSACPRIEALELVNPEIAMSDAMVTVELSSSTLKSVYVEAISLDKFILEADGIESLHLKDCALEVFELIGKGTLKNFRIDDVSVIHLDIGETVENLESVDISNFTIIWPKFYQMISRSSNLKRLRLWDVMFDDEDEVVDLETIATCFPHLTHLSLSYDVRDGVLHYGLQGSSHLENVVVLELGWNVINDLFSQWVEGLLKRCPILKKLVIHGVVSEAKSDEECKMLANFTTSMVELMRRYTDVDPHFKFE >RHN40343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15488024:15488281:1 gene:gene46490 transcript:rna46490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKQLESLDFSNNTLSGEIPQTMSSLSFLEVLNLSFNNLKGQIPLGTQLQSFTPLSCMGNPELCGTPLIAKCKHNEAPGEDTNDEE >RHN67391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26759779:26761893:-1 gene:gene15577 transcript:rna15577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MSSAFSSISSSYVGPPKKYDVFLSFRGEDTRRNFTSHLYDALSRKKVETFIDNNDLQKGDEISPTLIKTIEESHVSIVIFSENYASSKWCLNDLKKILECKQYMEQIVIPIFYNIDPSHVRKQTGSYKQAFAKHKQDLKHNNAKLEKWKASLTEAANLVGWDSQNYRIEVDFIKDIVEDVLRKLNLRYPYEIKGLVGIEKNYKQIESMLKIGSNDVKVLGIWGMGGIGKTTLAKTLYAKLYSQFEGRCFLNVMDESNKYGLNVVYNKLLSSLL >RHN52085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31368347:31368766:1 gene:gene36704 transcript:rna36704 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTSILFFILCFHREVVCLSCFVYVFVRFRLTDQLRSTLLETLSLPADFPKEINPQEFLPILLFLLLWTCFMRSLSPHENLQVTKTFALVSPAEVFLFSFFVFFHESLGNSPDIPADFRMFFLTDFAAFLFIFFFLQF >RHN47674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41446071:41446946:1 gene:gene42299 transcript:rna42299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MKKMKFHAIFVTVLLLLFGLFELGMCMSFGRKIMRASKEIPVDSPEIQRFAVSAVEQHNIQKNDVLEFVKVLKAKEQVAAARIYHITPERGRYMKPKYGCVHG >RHN63335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50477316:50478635:1 gene:gene26022 transcript:rna26022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WD40/YVTN repeat-like-containing domain-containing protein MELAMVNYRGKLRQLTAEETSNLSWKYRSTSIEYTRRAKPDAGFDLFQSDSFVVKFDDVNVKVLTYSAQDSMFKVFDLKNYTFLYSFSFSNVQRINISSGIMLFIFQRRSDHIPIKIISIEDGLVLKEFSYLHWNKEVDFIVQCNEKLLVKQDNVNLQILDLQSSKLTPVVSRTEFMKPTIFILLYKKELFLTLINRTISVWNFRGELVTSLEDHLLRHPLWHAHFNLNHNYITSDQNLIISYCRDDSEDQSKETNAVGSINVSSILSGKCVAKINAAKALECIDSSQIMSVPVPEALEGITTLYYEFMMKTGTRFIRVTDMVLFMSGPTPTN >RHN48795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50333386:50335740:-1 gene:gene43548 transcript:rna43548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MATSTAYVSSSIFNRINNLSFTHSSISLTTTNFPQTPISHKPFNLTLKSQSFILSSLPLQSLHPPFVATDEFETSQDTIISQQQEPEPETSDKPKQDEEQKVTTSDDAGRLYVGNLPFSMTSSQLADIFAEAGTVVSVEIVYDRLTDRSRGFAFVTMKSAEEAKEAIRMFDGSQVGGRSAKVNFPEVPKGGERLVMGQKVRNSYRGFVDSPHKIYAGNLGWGMNSQDLRDAFDEQPGLLGAKIIYERDNGRSRGFGFVTFETAEHLEAALNAMNGVEVQGRPLRLNLAAARTSPSPPVIEENTKNNIESSELVSSAST >RHN69072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40799103:40806182:-1 gene:gene17500 transcript:rna17500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cadmium-transporting ATPase MAVIMALHGCYCNNIESVNQRRSLDILGFTSSISSHKLSNNERSSSDKSKNDKFRRFVVEMRQTEMPPSKYGNNGRAVKMVPATEIPKRKTMSENKVDTVNGSKQVANGASLVRRDPTPALTKTAKPTISNELPALEELKVLPSDEGFSWANENYSSWQRSIDVWSFVLSLRVRVLFDNAKWAYPGGFTEEKQINRRRKTASWLRESVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDKVPAFSPQKARGFIETELGAPINELFKEFEDRPIAAASLGQVHRAILHNGEKVVIKVQRPGLKKLFDIDLQNLKLIAEYFQRSETFGGPTRDWIGIYEECATILYQEIDYINEGKNADRFRRDFRNIKWVRVPLVYWDYTAMKVLTLEYVPGIKINQVDTLTSRGYDRLRISSRAIEAYLIQILKTGFFHADPHPGNLAIDVDESIIYYDFGMMGEIKSFTRERLLELFYSVYEKDAKKVIQCLIDLGALQPTGDLSAVRRSVQFFLDNLLNQSPDQDQTLSAIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEGIGYTLTPDFSFVKIAAPYAQELLDIRQRQPTGPQLVGQIAKQANDVRTNSMSMPLRVQRIEEFVKQVEAGDLKLRVRVLESERAARKATVLQMATMYTVLGGTFLNIGVNLTSQGNQTFANGSFVGAGILFILFLRSMQRVQKLDKFEKML >RHN46776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34792107:34797654:-1 gene:gene41303 transcript:rna41303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-associated protein, MAP65/Ase1/PRC1 MRLYLSENYKWINFFYFYWRLGIDVLSIGPILLTGFITTLATLAAQPVTREYQLMLAMYSGQIRPFSFSSRDILLFFIMWELELIPVYLLLAIAGGSVFLLMGILGIGLYGSNEPTLNFETLTNQSYPVALEIILYTAFLIAFAIKSPILPLHTWLPDTHGEAHYSICMLLARILLKMGAYGLVQINMELFSHAHSIFCPWLMILGSIQIIYAASTSFGQCNLKTRIAYSSVSHMGFIILGIGSISDTGLNEAILQIISHGFIGAALFFLAGTSYDRLRLLYLDEMGISYTHTLPIESMFGVNVTDTCDCFKLYKYFFKLLLVSTYQYYCQYCVWSLCLCFIGKLFECYHHFSMFGKRSSQLSHQETMCESLLKELQVIWDELGESDSHKDAMLLEIEQMCLDLYKKKVDEAKLHKAQLQHQIADYEEEIAGICAAIGEQSPLFEPKSCGSLKKEREAVISQLEEMRKLKMERKKQFVEILHQLQKISSELHGSAGVNANLDEKNLSFEKLEELKMQLLQFQNEKASRLKHVSELLDSLSSLCLVLGLDVKDKICEICPTMTSTATKDVSDLTLKSLSSEVLSLREVKMQRMQKLQSCATSLLELWNLMDTPLEEQQKFHNMTSKIAALEYEITEPNILSIDNIVYVEREVKRLEQFKSTKMKELVQRKKMELEEICRKTHLTLQTVFPSGHPIESIDSESANHEHMLEQIEDQISKTKEEAFSRKEILEKVEKWLAAIQEESWLEEYNRDDNRYNAGRGTHLALKRAEKARVLLNKIPGMVEALTLKVAAWEEERAVEFSYDGTRLLSMVEDYNTLRQEKENERQKQKDEKRLKGQLLAEHETLFGSKPSPSKSGIKAPRCSTGVPNTRKFSVGGAMLQDRRQSTLIQQSNKKGKGTSKVYDHSAKKTPQSAKRIGIQSPLTRKPLSPVSSTVLSKASIKNSQGPKKILNVATKPILQKSEMLIGTPPSKPFIAGDEENMNPNNMGPSVPSTPLTVPMLTVATPDTSKAAAKIAQSFEYSFEELRAGFVEPKTHAQ >RHN56518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31544276:31550968:-1 gene:gene31926 transcript:rna31926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (non-electrogenic) MSLFRNLSKSYFFTTKQLFKPPNSTNPSHLFPSLTLLSRFSTSTPGSTAPVRYAALGPTKPHEKPRVVVLGTGWAGCRFMKGLDSNIYDIVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPVARIQPTISKEPGSFFFLANCTGINADKHEVQCETVTEGTQTLDPWKFTISYDKLVIALGAQPTTFGIHGVYEHAIFLREVYHAQEIRRKLLLNLMMSDVPGISEEEKKRLLHCVVVGGGPTGVEFSGELSDFIMRDVRQRYAHVKDYIHVTLIEANEILSSFDDRLRHYATNQLTKSGVRLVRGIVKDVQEKKIILNDGTEVPYGLLVWSTGVGPSPFIHSLDLPKSPGGRIGIDEWLRVPSVQDIFSIGDCSGFVESTGKPTLPALAQVAERQGKYLAALLNKVGEANGGRANSMKDIDLGNQFVYKHLGSMATVGSYKALVDLRQNKDAKGLSLAGFLSWFVWRSAYLTRVISWRNRFYVAINWATTFVFGRDISRI >RHN78590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16476032:16477955:-1 gene:gene2212 transcript:rna2212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTHISKFVFALIIFLSIYVGVNDCKRIPCKDNNDCNNNWQLLACRFEREVPRCINSICKCMPM >RHN78227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12947668:12949401:-1 gene:gene1761 transcript:rna1761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MSSQESNGVHFFKVIRQSTLQEEKLSVPISFVKRYWKGIRNPVTLRFPNMVEKKVSWEKTSENEVMFCNGWKELANYLSLNESQFLVFQYQENSVFNVIVFGRCGLEIKYPSRETREESEESDSSLKIIENPSSSWGKRLKSPAPSMEVCKKMKINSKEQKDSKLDVNNGSSCDDIKERSRILCQKVKKFFVSNNDFFACMMLKTYIDRDLLVIPNEFVKAHMDMMEGRDDATLFVDQDKWNVKLTLNKQSALTCGWREFREENNLKFGDVCVFVLNKGKETVSFQVVIFSLEKDMKTPYFEGKLYLISLYWEIHLTKWENCDLKGWLEFVKGCELEKGDTCLLKMVEKLGFDVLISRS >RHN74341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31973402:31974405:-1 gene:gene10382 transcript:rna10382 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFYPPFQHFVTSTLSHLTTTTSSQIQRTLRLLPPPLLSSRHHYHRLCRNHHAIQPPPHTL >RHN39490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7492605:7495360:-1 gene:gene45517 transcript:rna45517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sodium/calcium exchanger membrane region MKALNGLLGLKRRKIHGVFNGLCVMVVFFFFYNREDIIRNPLLRQSAYLVNQHGLSQNLILKNGVSVIHRRMVEIRNSTNDSSLVGNEDLGVSTPGVCFGLLQYDGYDSPCEFLKVNPQCSSDGYIDYLRFFYCKCRGFRALGYLVLGVWLAALFYLLGNTAADYFCPSLEHLSRLLKLPPTVAGVVLLPLGNGAPDVFASIASFVGTDTGEVGLNSVLGGALFVTTVVVGTVSLCVAERDVQVDRRCFIRDLSFFLFTIFSLLLILFVGKIGIGAAIGFVSIYVVYAFIVAANEILRKHARRLKLDSVTPMLPVQGSVFSIGSEEDTTIYSSLLDLDTESDPPRLPPSLPQWMWSSNVAIYSNQASKIYHLDDERPPWGWSDGTPENTRSSFSVSKLFLLMEMPLAIPRRLTIPMVHDEVWSKPFGVASASLAPILLAFLWSTQDNVSYTSIILAYCFGISVGSTLGILAYKYTVSDRPPSQYLIPWVLGGFVMSIVWFYIIANELVALLVAFGLMFGINPSILGLTVLAWGNSMGDLMSNVALALEGGDGVQIALSGCYAGPMFNTLVGLGFSLLLGAWSKKPSSYVVPKDGSLFYTMGFLITGLLWALVVLPRNNMHPTRMLGLGLIALYVIFLSFRVCTAMGLITMYGLS >RHN65500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2721835:2722864:1 gene:gene13344 transcript:rna13344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MEGCYVFIILYLIICGCLKGTLNVLKSCAKFPSLKRVVLTSSIAAVAYNKKPQTLDVVVDETWFTDHDLCRESNLWYVVLKKLAEDSAWKFVRENNIIDMVTTKPAMVIGPLLQPVLKTSAAAILHLIY >RHN49218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53355547:53357759:1 gene:gene44026 transcript:rna44026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MEGIEHRRVEVNGIKMHIAEKGKEGPVVLFLHGFPELWYSWRHQIAALGSLGYRAVAPDLRGYGDTEAPSSISSYTGFHIVGDLVALIDLLGVDQVFLVAHDWGAIIGWYLCMFRPERIKAYVCLSVPFTRRNPKIRTVDGMRAAYGDDYYISRFQEPGKMEAQMAEVGTAYVMKSTLTTRKTGPPIFPKGEFGTGFNPDTPDKLPSWLTEDDLAYFVSKFEKTGFVGGLNYYRNLNLNWELMAPWNGVKIKVPVKFITGDLDIVYTSPKVKEYIHGGGFKEDVPNLEEVIVQKGVAHFNNQEAAEEISNHIYEFIKKF >RHN49327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54060624:54063478:1 gene:gene44145 transcript:rna44145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal transduction histidine kinase, phosphotransfer (Hpt) domain-containing protein MYSVAQLVEYTSSLFQQGFLDDQFNQLQLLQDESNPNFVVEVVTLFFEDAEKLLNQLEKEIGQENNIDFKRVDALVHQLKGSSSSIGAQRVQRVCIAFKNYCEEKNIEGCFKSLQDIKQEYTLVKTKFETLFKMEQQIFAAGGSAPR >RHN74381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32425817:32426342:1 gene:gene10437 transcript:rna10437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MSLFQTLLFNQNNPFDHFQPFLKLNSDSLGYESNTQMDWKETCDSHIFQFDLPGFTKEDLKLELHENRVLCIKAEKKPEEEEENEEKSLKWHCKERKNNGVFMKEFRLPENAKVDDVKASMHDGVLTIKLVKDETKKKKNKHQHKKKVHIYEEGGDDGEGVSHKGIGRFVCCKA >RHN80216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36172262:36176419:-1 gene:gene4115 transcript:rna4115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ-MATH family MGKILRETTRPSSNSSSSSSSPSPPSIPSTTSSTSITDTIKGSHRFKITGYSLSKGIGIGKYIASEIFTVGGYEWAIYFYPDGKSVEDNATYVSLFIALASEGTDVRALFELTLLDQSGKERHKVHSHFDRTLESGPYTLKYRGSMWGYKRFFKRTSLETSDYLKDNCLSVNCSVGVVRSHTEGPKTFSIPISPSTIGHQFGKLLESGKSSDVNFEVNGEIFAAHKLVLAARSPVFRAQLFGPMKDQNTQCIKVEDIEAPVFKALLHVIYWDSLPDMQELTGINSKWATTLMAQHLLAAADRYALDRLRLMCEASLCEDVAINTVATTLALAEQHHCFQLKAVCLKFIARPENLRAVMQTDGFEYLKESCPSVLTELLEYVARFTEHSDFLCKHRNEAILDGSDVNGRRVKQRLYCEN >RHN69419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43288496:43289444:1 gene:gene17888 transcript:rna17888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MTVDISNVFQKLCNKIAILLIFVLVELIIFIWKLTSDTQSITTRQYIKFIEEKNPTIRYNKKLNSHGDCSVCLSEFEEGEKVRRLKCKHTFHKDCLDKWLQDYFATCPLCREQVLPDNVVLKHRQQRNQQSNIEGNDENLPYVLFLLRGGNNSHLRR >RHN58640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3595708:3598251:1 gene:gene20568 transcript:rna20568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation machinery associated TMA7 MSTKQGGKAKPLKKPKSDKKDYDEVDMANIQKKKDEEKALKELKAKASQKGSFGGSGLKKSGKK >RHN40861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23361251:23372999:1 gene:gene47098 transcript:rna47098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSFFFFSLFSLLLLFPLSFVSFTLGATLQEDEVEALKDIGKTLGKKDWDFSVDPCSGRNNWISSTQLHGSENAVTCNCSFQNNTLCHVVSVVIKAQNLSGTLPPDLVRLPFLQEIDLTLNYLNGTIPKQWATLKLVNVSFYGNRLSGPIPKEFGNITTLKSLVLEFNQLSGNLPPELGSLSQIERLLLSSNNFTGLLPATFAKLTALKQFRIGDSQFSGAIPNFIQSWINLEMLTIRGSGLSGPIPSGISLLKNLTDLTITDLNGSDSPFPQVQNMSNLSKLVLRNCNISGALPEYLGKLTNLKVIDLGDNKLSGQIPMSFDGLQNMYLLFLSGNQLSGSLPDWIAKPDFVDLSYNNFTITNFEQQTCQQGTVNLFASSLKGNRLGNISCMENYQCPKTWYSLYINCGGKSITVDGNKTYDDDSNEMGPARYRQIGENWALITAGHFFDSGRPDYYTWSNTTKLAVDNDDPKLYMDARVSPNSLTYYGFCLGNGNYIVKLHFAEIMFSDDKTYSSIGRRVFDIYIQRKLVSKDFDIVKEAGGVGKAVIETFTASVTSNALEIRLYWAGKGTTTIPFGSVYGPLISAISVNSDFTPPSENSRSIPAGGVAAIVAAAIVIILIISIMWWKGCLRLKCFGSRERKGLASQTGLFTLRQLKAATNNFDESFKIGEGGFGPVYKGVLFDGPIVAIKQLSSKSTQGSREFINEIGMISTLQHPNLVKLYGFCMEDDQLLLIYEYMENNSLAHALFAKKEDLENHQLRLDWKTRKRICIGIAKGLAYLHGESKIKIIHRDIKATNVLLDKDLNPKISDFGLAKLNEDDKTHMNTRIAGTYGYMAPEYAMHGYLTDKADVYSFGIVILEIVSGNNNTVSHPQEECFSLLDWARLLKEKDNLMELVDRRLGEDFKKEEVTMMINVALLCTSFSPSLRPSMSSVVSMLEGKTNVQEVVAESTEVLDDKKYKVMQQYYKYRGENSTSEAGSQSIATDESNTFIYDTDSSYLEPRN >RHN52100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31529273:31529983:1 gene:gene36721 transcript:rna36721 gene_biotype:protein_coding transcript_biotype:protein_coding MWIFYFFLNTKIYSWQLFMLSRLTHDVDQVKIEVLQKGIIMRGHFCHFF >RHN71039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56002599:56005654:-1 gene:gene19681 transcript:rna19681 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PINNA1 MMSNSMTHQNQFENQELLGGGYVSSSLRNNNAYHESLGTFSNNIGLAQGSEMSHTRHLMDLLGAANENNHQTQQGLSLSLGSHMLVDEYRNRSLNQGLMINPSYFMPGQDQTREPCNQPVVENLTSDYFFSGGSGTFASGSNNNNSLLLNRSTSTSYGSESFGSVIGNSRYLKPVQSLLEDLVDVGGNVIDRMNEKYAEKLFHGSRTGARTLSSELKAELRIHGHLLADKHEHQVKIAKLISLLDEVEGRYEKYYHQMEEVVSSFEMIAGLGAAKCYTALALQAMSRHFCSLRDAIMSQINAEKRKLFQDVPKINSGLSQLSLFERDNNRQTRMSLQQLGVIQNQRQVWRPIRGLPETSVAILRAWLFEHFLHPYPNDSEKLILASQTGLTKNQVSNWFINARVRLWKPMIEEMYKEEFGDSSEDSNPPANNFMSREDSTVED >RHN48362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46987221:46992317:-1 gene:gene43072 transcript:rna43072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ion channel regulatory protein, UNC-93 MASVTIHHDEETPLVVESPIQLQPHKSHSRDVHILSIAFVLIFLAYGAAQNLQSTLNTEEDLGTTSLGILYLSFTFFSVFASLVVRILGSKNALIIGTSGYWLYVAANLKPNWYTLVPASVYLGFCASIIWVGQGTYLTSTAHSHAIDNNLHEGAVIGDFNGEFWAVYALHQFIGNLITFALLSDGQGGSTNGTTLLFVVFLFVMTFGAILMFFLHKRIGNSKEEYKNSEADVVESKSLKSLCKSLTSALSDAKMLLIIPLMAYSGLQQAFVWAEFTKFVVTPAIGVSGVGIAMAAYGAFDGICSLAAGRLTSGLTSITSIVSFGAFAQAVALILLLLNFSISSGFLGTSYILFLAALLGIGDGVLMTQLNALLGILFKHDMEGAFAQLKIWQSATIAVVFFLAPYISFQAVTIIMLVLLCLSFCSFLWLALKVGNASSPSTSE >RHN59576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12197657:12199734:1 gene:gene21624 transcript:rna21624 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGNTSRENPSWPHKEIYTILFDLTIEKGTLVNAVLSASRVVKDSICSMSSSNLSIIIHPERMRVFRDFNL >RHN75763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44850962:44852813:-1 gene:gene12008 transcript:rna12008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MTTICAEQHQQHKFHSSYQPLSPKKSLRDIDIPPRKLLTRRNTTAAVDIFSDDTILQKFLPHNDSDSDSDDPYSSDHFRMYEFKVRRCTRSRSHDWTDCPFAHPGEKARRRDPRRFHYSGTVCPEYRRGGCNRGDTCEFAHGVFECWLHPARYRTEACKDGKNCKRKVCFFAHTPRQLRILPVTSSSNEHFSCNKKNNKLLNNHVASKSNNCCLFCHCGGNGNGNGNGANNSPTSTLFGMSHFSSPPASPPMSPMKPRNGVSSLSRYGSSINSNNLRYRDMLIDLLGSFEGMNFNDGSSSASSSSPVSVSAAKALQIQNMGYLESLDVPEEQNQQQFIVSPSSSFDHQQHFNILSQSIHQNLTPSFNDVDNNIINNNMNMFLGNNDNLNKVVGGGDVNGLSCPAPDLGWVNELLM >RHN65741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4866614:4867679:-1 gene:gene13622 transcript:rna13622 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILIKTPPTIGFPTDIEFLSIFQGFVVSYQRLHPLYIFKLSVMELPFLYPVMAHHHNFQAMFFYHMLIAPLIPTPTNAMERKINKLRVKYRIYMVEMDVEYVSLKLNIMF >RHN80768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40741796:40747836:1 gene:gene4733 transcript:rna4733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannosylglycoprotein endo-beta-mannosidase MTTSQGKTVLDSGWFAARLNDVHITGTQLTTTNPPSGPTLPWMEAQVPGTVLATLVKNKVVPDPFYGLGNEAILDIADAGRDYYTFWFFSTFQCKLSKNQHCDLNFRGINYSANVYLNGHEMVLPKGMFRRHSLDVTNILHPDGNNLLAVLVHPPDHPGSIPPQGGQGGDHEIGKDVATQYVQGWDWMAPIRDRNTGIWDEVSIFISGPVKIIDPHLVSSFFDNYKRVYLHATTELENRSSWTAECSLSIHVTTELEGSIHLVEQLQTQNISVPAKSRVQYTFPELFFYKPDLWWPNGMGKQSLYNVVIDIAVKGFGESDSWSHYFGFRKIESHIDDATGGRLFKVNGEPIFIRGGNWILSDGLLRLSKKRYSTDIKFHADMNFNMIRCWGGGLAERPEFYHYCDYYGLLVWQEFWITGDIDGRGDPVSNPQGPLDHDLFLFCARDTIKLLRNHPSLALWVGGNEQTPPHDINDALKNDLKLHPYFEHVKNKGKPVGGLSPKLGDPSQYLDGTRIYIEGSLWSGFADGKGGFTDGPYEIQNPEDFFKDSFYKHGFNPEVGSVGIPVAATIRATMPSEGWQIPLFKKDSNGYVEEVPNPIWKYHKYIPYSKPTKVHDQLQLYGAVKDLDDFCLKAQLANFIQYRALLEGWTSRMWSKYTGVLIWKTQNPWTGLRGQFYDHLHDQTAGFYGCRIAAEPIHVQLNLDTYFIEVINTTSEELSNVAVEVSVWDLEGTSPYYKTHENISFLPKKVTPIAEMKYPKSKNPKPVYFLLLKLYNKSDNRILSRNFYWLHLPGGDYKLLEPYRKKKIPLKITSEVFIEGSTYKLQMHVHNTSKIPDSKSLTSECGSNSPETVHNGAGKEQDVGWFKKIHKHFAGKSDDGLKVSEINGHDIGVAFFLHFSVHASSRDHKEGEDTRILPVHYSDNYFSLVPGETTTIKISFEAPQGVAPRVTLNGWNYHGQTIHEAL >RHN80361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37451866:37455744:1 gene:gene4276 transcript:rna4276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MTYRPLETVTAIFLLNFKTLFFHHNSLYFLFLYHHLLLLHLQSFLSTGSLIQHKRFNLSSISFLGFTSKFTFMAPTPSSSSKQIHTTHLITPRSKHRLNFNGVKPAPTPPHPHPSPHPNFNNKDSPPEHPIEVIARIRDYPDRKDKPLSVLQASSNSRSIRVKADFGYRDFTLDGVSVSEEEELDLFYKKFVESRINGVKLGDKCTIMMYGPTGSGKSHTMFGCSKQAGIVYRALRDILGDGDTDSEGSDGDSSKGFCLGVRTFVQVTVLEIYNEEIYDLLSTNGGGGGGGGFGFGWSKSNASKVKLEVMGKKAKNATYISGNEAGKISKEIQKVEKRRIVKSTLCNDRSSRSHCMVILDVPTVGGRLMLVDMAGSENIEQAGQTGFEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKEIHKTISTLEYGAKAKCIVRGPHTPVKEEDSSSTVILGSRIAAMDEFIMKLQMENKLREKERNEAHKKLMKKEEEIAALRTKVETAPASEEEINLKVNERTRHLRQELEKKLEECQRMTNEFVELERKRMEERILQQQEEVEILRKRLEEIELQLCSSSKQERKDENESKEMEPNGFMRKLLSVYKSTDDLGMVKSMDLDMDDQEPFLAREVIVGMQGISPNQPCPNTLKNGVQEDAYVCAPNFGQKACLSTVYEEEGEEEAEQDHDKVEEDEEVEKEVIEEKRVCSVVNKSPKIEDYTGADKENNGSNRLLRIHNIFTLCGNQRELSQYGTPIPTKKRSDESFDFKCSPVKSSEKKDSVLRVSNKENLEAYVIGN >RHN50445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7193324:7195178:1 gene:gene34728 transcript:rna34728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribulose-bisphosphate carboxylase MALISSAAVTTVSRASSTQANLVAPFTGLKSSAAFPVTKKTNNDITSIASNGGRVNCMQVWPPIGKKKFETLSYLPPLTEEQLAKEVEYLIRKGWVPCLEFELEKGFVYRENHSSPGYYDGRYWTMWKLPLFGATDSSQVLKELAEAKAAYPESFIRIIGFDNVRQVQCISFIAHTPATY >RHN38919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2795235:2797193:-1 gene:gene44896 transcript:rna44896 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWADLIVSNPWRNENYVELGNDYLNSSSHAGQFTGSEMLINFLTTHVGASDIPYISPPNAGFSAVDTPLTKDNAFLLLDWIRNLKYKGVHLPERFLKCIKDRSWLKVTAVLVDIPLIDESFYGDKINKYEEELKTIGVMSSCEEACNFIGKELMSHASFSALSKNHVILMLNFIQYLSKSLLPLDKFVNSIRDEPWLRTSWGRRSPCIRLLNAPSKLLSSLNGTCCLKTNMGFQIPSECYLYDQEWGCILYVFNDLPVIDHKFYGEKIFDYKDELRKIGAVVDFGDAIKKFASLFKQKASQSSFNQHHVMSFLSCRRLLKGTAYKFPSDFSTIIRNQKWLYTKVGCYMCPRYCILYGPEWKSISSVTRLPFIDDSDKFYGMAIHEYKEDLKSIGVVTELKNGVRFVPTCLNFPSDPSTISPDSVFSLLKCIRSLVQEHSLSIKDEFSKKLSRNWLKTSAGYRPPEMCLLFDSKWSSFFNPTDGPFIDENFYGIKIASFQKELGAIGVTIELEKGCSLLSGHLHNLSDTDNIVKTYRYFI >RHN73142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13720331:13720951:-1 gene:gene8928 transcript:rna8928 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNPLAPTQTQTVIEPTASIHTTVHTGRSSKTIVAPSAKVNTSVQAHPNTVNIVKPTAKVYTNVKTTDTSQTTVKPSVDVSTTVNTSTHSKTFVKPYTIVKTICEPVLKSVPPKPSVAPTQAPRQSKSEGKKHGLGKVRRSGRNVWRTNANKKGPGKNMDDPIHIVEDCNADAQKETDPPVKVVPEAKLGSYLGLLRKVDTIKYV >RHN41100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26972551:26973231:1 gene:gene47389 transcript:rna47389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MTGFGASILEGIVTFVLVYTIYDAMDTRRGQVSSTGTLVIGLIAGAGVLAAGPFSGGNQAVYWVGPLVGAAVAGLLYDNVLFPSQYSDSIRGVLDGTVRM >RHN67858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30942579:30944877:-1 gene:gene16102 transcript:rna16102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MAHQEFSIALVILVVLCINMNLQGVIADYGGWESAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEMRCNDDPRWCKPGSIIVTATNFCPPNPSLPNNNGGWCNPPLQHFDMAEPAYLQIAEYRAGIVPVSFRRVACFKKGGVRFTINGHSYFNLVLVTNVGGAGDVHSISIKGSRTGWQPMSRNWGQNWQSNSYLNGQSLSFQVTTSDGRTMTSFNVAPANWQFGQTFQGAQF >RHN49998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3030044:3030598:1 gene:gene34244 transcript:rna34244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MNIMKSNKVCAACKYQRRKCPKDCPLAPYFPTDKPKRFDNVHNLFGVSNIVKLLKNIKDNDVRADAMDSIIFESDIRAEFPVHGCVGVIHQYLGLIRESTEELNRTQSLLAICKMNNHSQQQNLHSSLPSTAPQETNIPSFALDDLDNEELNDLDGGVDAGWDLEPDDFFKDIEEYKERMCMNN >RHN73914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21578353:21584919:1 gene:gene9809 transcript:rna9809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiol oxidase MVNSELKKKEKGFGGKWVWVVIPLIAAIVAISISSRTSSKISLFGVIGKACQCAMGTPKYSGMVEDCCCDYETVDNLNEEVLYPSLQELVKTPFFRYFKAKLWCDCPFWPDDGMCRLRDCSVCECPENEFPESFKKPKRLSLNDLVCQEGKPEAAVDRTLDSKAFTGWTEIDNPWTNDDETDNDELTYVNLQLNPERYTGYTGTSARRIWDAVYSENCPKYLSQESCQEEKILYKLISGLHSSISVHIASDYLLDEATNTWGQNLTLMYDRVLQYPDRVRNLYFTFLFVLRAVTKAADYLEQAEYNTGNPNEDLKTESLIKQLLYKPKLQAACPVPFDEAKLWKGQSGPELKQKIQHQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSDDGPENMVQTLQLQRNEVIALMNLLNRLSESVKFVHEMGPTAERITEGHLFGHTKLISSLRKIWSRILQT >RHN39346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6250517:6256131:1 gene:gene45359 transcript:rna45359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CK1-CK1 family MEPRIGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYEAKLYKILQGGTGIPNVKWSGVEGEYNILVMDLLGPSLEDLFNFCNRKLSLKTVLMLADQMINRVEFVHTKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKFRDSAHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYERISEKKVSTSIESLCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRLLRDLFIREGFQFDYVFDWTILKYQQSQIATPPSRGIGLAAGPSSGLPLASANADGQSGGGKDGRNIGWSSSDPTRRRTSGPIANDGILSREKAPLTNDLTGSKDAMLSSSNFFRSSGSARRGAMLSSRDAAVGSETEPSSRPLTLDSSLGAVRKSSGAQRSSHIMASEHNRVSSGRNTSNINNLDSTLRGIESLHLNDERAQY >RHN62884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46483171:46484153:1 gene:gene25516 transcript:rna25516 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAPEELQFLNIPNILKESISIPKISPKTFYLITLILIFPLSFAILAHSLFTHPLISHIESPFTDPAQTSHDWTLLLIIQFFYLIFLFAFSLLSTAAVVFTVASLYTSKPVSFSNTISAIPNVFKRLFITFLWVTLLMFCYNFVFILCLVLMVIAVDTDNSVLLFFSVVLIFVLFLVVHVYITALWHLASVVSVLEPLYGFAAMKKSYELLKGRVRYASLLVCGYLFLCAVISGMFSVIVVHGGDGYDVFSRIFIGGFLVGVLVIVNLVGLLVQSVFYYVCKSYHHQEIDKSALHDHLGGYLGEYVPLKSSIQMENLDI >RHN55995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26573977:26577525:-1 gene:gene31305 transcript:rna31305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MDGLDECWIGGSNCHFHPIGKCMSKVSIIGGALTQRDGFFFAVKQASLLDQGIRGKQSVFQLEHEIALLSQFEHENIVRYIGTEMDESNLYIFIELVTKGSLLRLYQRYKLRDSQVSAYTRQILHGLKYLHDRNIVHRDIKCANILVDANGSVKVADFGLAKVTILNDIKSCHGTAFWMAPEVVNGKVNGYGLPADIWSLGCTVLEMLTGQVPYYSLEWVSAMFKIAHGELPPVPDTLSRDARDFILQSLKVNPDDRPTAAQLLDDKFVQMSFSQSSGSASQHIPRRGY >RHN60751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30138275:30143548:-1 gene:gene23108 transcript:rna23108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase MARCH MSSEENKHVTEDHEHDDDTSCRRTVSLPPVIHKDDDDSMGITEETSHVPPRKKQNLLLEIPSRTPEECSEDFVAIKMPMTPSPTPTPTPKRVNFLMTSRSVDAPTNSSPGSATSKGKSSIRKMLPKLSFRYRTPADIEKTNTPTREVSSSGTREKPLISRSLSLGKIFTPRMKRTSSLPLGEIGHSNPESTHGGNGSVGGPLSKKETRLKIARSLSMPANNNKDKSIRRMDSFFRVVPSTPRVKEANELLSSSPTKDTEVKDDDGEDIPEEEAVCRICLVELCEGGETFKLECSCKGELALAHKECAIKWFSIKGNKTCDVCRKEVTNLPVTLLRIQSVRNRNGGLSRAQLEDVNGYRVWQEVPVLVIVSMLAYFCFLEQLLVTKMGTGAIAISLPFSCVLGLLSSMTSSTMVMSRFIWIYASFQFALVVLFAHIFYSLVHVQAVLSILLATFAGFGVVMSGSSMLVEFFRWRRRWQASLEQQHGPLPMTQAGQQPRTVTTPRSGQSNHNQSVVQNQQDSNQN >RHN55052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14725929:14730373:-1 gene:gene30178 transcript:rna30178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MECYKSFLDHKVTKSSPSPALCCVEPVTQDEQNSHITAFHSGDRSTNSDNESSSSKTVRDVHISMRLMEDFLDLAKENTEKDLETCGILGAFLEKGTLYMTTLIIPKQESASNSCNATNEEEVFTILNERSLYPVGWIHTHPSQSCFMSSVDLHTQYSYQAMIPEAFAIVLAPTDTSRSCGLFRLTEPDGMNILRNCPERGFHPHKEPDNGNPVYEHCSNVYRNSNLRFEIFDLR >RHN81606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47522035:47522394:1 gene:gene5677 transcript:rna5677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-Xb-1 family MKSSNVLLDNEMESRVLNFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFKCTAKGDVYSFGVVMLELLNGKKPDDKEDFGDTNLVGWVKIKVHEGKQMDVINTDLLLETQGGTNEA >RHN78250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13164557:13166137:-1 gene:gene1784 transcript:rna1784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MHERHMQFANIHFSKTTMSIIQCIGGFVTILIFLYIYYSRRNRDELLLINWPIIGILPSFLCHLSDYHDYSTIVLKRYGGTCRFQGPWFTNTSFIALADQMNVNYITKKNCGNYRKGSKYHDIFEVLGGSIFNSDSDDVWKQEKTMFHLVLGRKSFKNMFEQSIQKKVENYLIPFLNDVSEAGAHVDLQDAFNRFTFDSSCMILFGFDPNCLPNKFNQLRKIPYKESLPVMEEVILYRHFIPSSLWKLQKWLNVGQEKKFKVAQEYLDRFLYESITFSHGEEQSKCSDEEMDQCFLGMVKALKKEGHGKGEISEKYLRDTAFTMIFAGNGTISSALSWFFWLLSTYPIVEEKIIQEIKDNWLTQEGNRITLRHVDLDKLVYLHGAICETLRLYPPIPFEHICSIKSDILPSGYHVSPNTTLIYSLYSMGRMEQIWGEDCMEFKPERWISERGDIIHVPSYKFVAFNTGPRICIGKDLSFIQMKMVAAALLQKFHIQVVEGHPVTPRLSVLLRMKHGLKVEVSKRSI >RHN56360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30325139:30326058:1 gene:gene31746 transcript:rna31746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFTLDCVIRICARLRILYAGKVVHGLYIKDGFDFDNSVSSALAEFYCVCDAVDDAKRVYENMVGEACSNVADSLIGGLVSIGRIKEAEMIFYGLRDKTLISNNLMIKGYAMSGRIRKSKKLFEKMSLKHLTSLNTMITVYSKNGELDEAVKLFDKTKGERNCVSWNSMMSGYIHNGQHSEALKLYVTMRRYSVEYRRSTFSVLSGGCAYLCSFQQGQLLHAHLAKTPYQENVYVGTALVDFYSKCGHLADAQRSFTSIFSPNVAAAWTALINGYAYHGCDLRRFHASAQC >RHN45413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20240444:20254708:1 gene:gene39741 transcript:rna39741 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVCCWILVAGSGLKHCAEMMMKNCVCFAIEILSLFDCVCNVIFIELVIKTILLLGEEHDRLFLWLGEEAVFVAWRGSMRLCAVYLDIVGQHFSFFFGY >RHN79742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31782529:31784743:1 gene:gene3574 transcript:rna3574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MGEQKIETEKKADEGAKKEDSPPVPVVYKLDLHCEGCIKKIKRSARHFAGVETVKADLPSNKVTVTGKFDAVKLQEKLAEKAKKKVELLTPPPKKDAGAEKPAEKKPDEKKPEEKKVEEKKPEEKKPEEKKPKESTVVMKIRLHCDGCITKIKRIIMKFKGVETVNLDGDKDLVTVKGTMEPKDLIEYLKEKLKRNVDIVPPKKEEEKKEKDGGGEKKEKKEDEKKEEKKVDGGDAAKVEVNKMEYQYPIQAPMYYYEGQSSNYAGMDQFHHQSGYGGGYDNNQHYMENNGYMNMNHGGGYPMQPPQVPYYMHPSHPPPQMFSDENPNACSLM >RHN41270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28763866:28767688:1 gene:gene47574 transcript:rna47574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M20 MMDLNNHIRLALLLIFMCLSINFEANECSNQTSSLKNQILEVANNPNTVKWMKQIRREIHEYPELGYEEFRTSSVIRRELDKLGISYQWPVAKTGVVAKIGSGFPPFVALRADMDALPIQELVDWDHKSKVDGKMHACAHDAHVAMLLGAAKILQEMKNKLKATVVLIFQPAEEKGIGARDMIQENVLEDVEAIFGLHLATQYPLGVVASRPGDFLAGCGSFKAKIKGGLAEIPQHCLDPVLAASMSVISLQNIVSREVDPLDSQVVSVAMVHSESAHELIPDSVTFGGTYRAISKKSFNALRQRIEEVIKGQAKVHRCTAEVEFFGKEHPTIPPTTNDERIHQLGRQASSMIVGEENIKLAPTYTASEDFAFYLEKVPGSFFLLGIQNEKVGSIYSAHSPHYFIDEDVLPIGAAIHAAFALSYHSHSTISYL >RHN40964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25044762:25058030:1 gene:gene47215 transcript:rna47215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myosin ATPase MVLSASPNSLTRSSLEEMLDSLRRKDEEENDKNKELPPALPSRPASKARLPPARRSLPNNFKVGSVMNDNVESKRKEISILTSTSRRESMSSFGRKRVKKDASVESPYAKLDCDTLSYFIKMKLRVWCRQPRGQWELGSIQSTSGEEASVLFSSGKVLKVARSELVPANPDILEVADDLIKLAYLNEPSVLHNLRFRYSREMIYSKAGPVLIALNPFKDLQMYGNDYVSTYRQRLVDSPHVYGIAEAAYNQMMRDEVNQSIIISGESGSGKTETAKIAMQYLAALGSGSFGRANDVLQTNCILEAFGNAKTSVNDNSSRFGKFIEIHFSATGKICGANIQTYLLEKSRVVQLASGERSYHVFYQLCAGSPSSLKERLNLKAACEYKYLNQSDCMTIGGIDDAKNFHQLMKAFDAVRIFKEDQEMIFKMLATILWLGNISFKVTDSENHIEVVGDEAITSAALLMDCSSQDLMSALSSQKIQSDQDIVSKSLTLLQAIETRDAIAKFIYSSLFEWLVQQVNKSLEVGENHTEKSISILDICGFQSFQKNSFEQFCINYANERLQQHFYRHLFKLEQEDCESDGIDCTVLDFEDNQECLDLFEKKPLSLLSLLDEESNFPEASDLTFANKLKNLLDANHCFKEESGRAFSVRHYAGEVLYDTNGFLEKNRDTLSSNSIQLLSSSNCELLKLFSEVFNQSEEHGNSTFHVGAAYSQKIGIGTKFKDQLFKLMHQLESTTPHFIRCIKPNTKKLPGIYDNELVLQQLRCCGLLEAVRISRAGYPTRIKHQDFSRRYGILLSETDVPQDPLTTTVAVLQKFNIPSEMYQVGYTKLYLRAGQIGALEDKRKHFLQATVGIQKCFRGHQVRSYFCELKNGVTTLQSFIRGEVTRRKHGVLTKSSITVYSKKIEEIHAIILLQSVIRGWKVRRDSSNINKLRKYHENAQPRRKSRVKMPEVKDLSNELVQNRPSALAELQKRVYRAEAIIEQKEDENTELKEKLKQSERKRIEYEAKMKSMEDAWQKQMASLQTSLAAARKSLASENGTVQPVRHDLVSPRYYDSEDATLLGSQTTNGSTHMKLSGSFSVSDAGRQANGTLTTVSNLMKEFEQRRQTFNDEVKALNEVKPGQSGNTNSADELRKLKQRFEGWKKQYKVRLRETKARISENEKSRRTWWGGKLSSRA >RHN61318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34754902:34755999:1 gene:gene23770 transcript:rna23770 gene_biotype:protein_coding transcript_biotype:protein_coding MCDGNYEDDLHVLFDCQRARSVWRDSHLSNDIYVAMQTNNTSADIVFALLQNLPHTKIQLFVTLVWSLWKSWNIQVWQNMSESSQSIVERAHQLLHGWTTANRCRNRFDRSVIGAETNTVNTISGSSCTQVQHD >RHN42725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40490381:40492089:-1 gene:gene49208 transcript:rna49208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MVGSIRNNPCLHLLQQWQNLTMKQTKQIHAHAITNNLTRFSYISSRILAFFALSPRGDFRYAETLFTHIPNPNIFDYNSIITSYTTNSQFHKLFFVFTKMLNTNIRPNSHTFTTLVKACVSLSSLEQVFTLSMKLGNSSDVYFVSSVINAFSKHSAIHLARQVFDECSNRNVVCWTSLVSGYCSCGLVNEARDVFDKMPLRNEASYSAMVSGYVRNGFFSEGVQLFRELKKKDKGCACLKFNGALLVSVLNACTMVGAFEEGKWIHSYVEENGLEYDLELGTALIDFYMKCGWVKGAEKVFNKMPVKDVATWSAMILGLAINGNNKMALELFEKMEKVGPKPNEVTFVGVLTACNHKSLFGESARLFGIMSEKYNITPSIEHYGCVVDVLARSGQVKKALTFINSMHIEPDGAIWGSLLNGCLMHGHYELGQKVGKYLIEFEPKHSGRYVLLANMYANMGKWEGVSEVRKLMKDRGVMIVSGWSFIEIDQTIHKFFADDKCCLYSREIYDVLSHLGKKVEDISGDNDAFFICNLNQ >RHN62438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43445312:43447330:1 gene:gene25016 transcript:rna25016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MSGNVMELNFGSLDQFSSDQNGVSLKPLRCPAPFLLKTYDLVEQGIEGEDGIKIVSWNEEGNGFVVWSPAEFSELTLPKYFKHNNFSSFIRQLNTYGFKKISSKRWEFQHEKFQKGCRHMLVEISRKKCEPSVFPQYLKSCSEENAMTNNSSVEEDNNNHELLMEENKNLKKERLELQMQIAECKALEMKLLECLSQYMDNRQNKVRRLC >RHN66579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14188360:14189364:1 gene:gene14592 transcript:rna14592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MDSLKIFKGYDKVEENLEDPQKQQNYNNNRNKISKPLIATISIIITILFLTLTLSFTLFFHHNTNTESQKPFNSPNSIRSICNITRFRNSCFTALSSSSQNLTNPKTILKISILASINQLTELASSLKANSKGNAFGDCNEQIGDAVSRLNDSMSVVTNGAVTLTDGEVNDIQTWVSAALTDQQTCVDGLEEVGVSLESAGKVKNLMEKSNEYVSNSLAIVANIRHLLH >RHN75646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44062798:44064757:-1 gene:gene11880 transcript:rna11880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MNNTNTNITHETKFTMKVIEHSQVAPPPNSLTSPTILPLTFFDIPWFYCHPIQRIFFYHFPHPTHHFLQTTLPILKHSLSITLLHFFPFSSNLIVPPNSQNTDPYIRYLDEDSISFTVAESSADFNILVSDSQDAQNWHHLVPNLPPPRTEQDNTRIIPIMAIQVTVLPNSGLSICLSYNHVAADGKSLHHFVKFWASLSKAIAKNNNNLSLEYSPPLDLPSHERDRVKDPNGLKLVYLQELEDSESNEMEFAGLVRDSYVNKIRTALVLSYEQVQKLKKWVTDKCKESCRIQHLSTFVVTSSLIWFCMIKAEEGDSKSDEGDCVDLDDHCYFVFLADCRDSGELSLPKTYFGNCLASYTVAVKRDELIGKNGIVSASIGIEKKIRHLKSHALLGAETLMSDYREMSKPGKSVTVVAGSPKLAVYETDFGWGKPKKSDAVHLDSSGSISLSDCRDGGGGIEVGLALERSRITNFINIFQEQLDNICSM >RHN48825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50519209:50520656:-1 gene:gene43582 transcript:rna43582 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKIVLRQTASITRRRQPLLEIQSSKKGCAVGEVVGGTAAECVAVCCCCPCGLAHFLLLAVYKIPAGLCRKMLRKRRRRRVIKDGLLQPKRRNCYCGCCDINYVNGVIRVSPMCVNDGSSDIKRLEYSSEDDDAIALEKEMWERFYSAGFWRSFSRRESSQSQSQSESQSPQIHELIQSSSH >RHN64101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56573094:56578506:-1 gene:gene26882 transcript:rna26882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-exporting ATPase MSSDNLFEELKNENVDLENIPIEEVFKQLKCTREGLTSAEGENRLQIFGPNKLEEKTESKLLKFLGFMWNPLSWVMEVAALMAIGLANGGGKPADWQDFVGIVVLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWTEQEAAILVPGDLVSIKLGDIVPADARLLEGDALKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTSIGNFCICSIAVGMLIEIIVMYPIQQRSYREGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFINGVNKDGLLLDAARASRVENQDAIDASIVGMLGDPKEARAGITEVHFLPFNPVGKRTAITYIDSEGNWHRCSKGAPEQIIELCELKGETLKKAHKIIDQFAERGLRSLAVSRQTVSEKTKESEGDSWEFLGLMPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGDNTDPAIASIPIDELIEKADGFAGVFPEHKYEIVKRLQDKKHIVGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLVALIWKFDFSPFMVLIIAVLNDGTIMTISKDRVKPSLVPDSWKLKEIFATGIVLGSYMAIITVVFFYLVHDTDFFTRVFGVKPIADSTDHLNSALYLQVSIISQALIFVTRSRSWSYVERPGLLLLVAFFAAQLVATVIAVYAHWGFARINGIGWRWAGVIWIFTVVTYIPLDILKFIIRLGLSGRAWDNMLDNKTAFTTKKDYGRGEREAQWALDQRTLHGLQVPESKNNNHHHEQSEMAEQAKRRAEAARLKELHTLKGHVESVVKLKGLDIDTIQQHYTL >RHN64020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55971271:55975084:-1 gene:gene26794 transcript:rna26794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MLVGHDMVRSHCMLMSAESEWQTLGKDMWVPLWSTGRGRGLSEGPEGSSSQSQVDIEMPSSKEVSSSQPPSVGVVQEGYGRGPSETSLLPNFGQHIAAKIWNGEDRGKTRWVLNNAKRIIDLKEALPPEVDDMGWFWNVIRASGLYPLFMTNYGQVDHDLLIAFSDRWHLETSSFHLPVGEMTITLDDVPCLLHIPVGGNPLFRETLTTNQGT >RHN75921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46156781:46158017:-1 gene:gene12182 transcript:rna12182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MVKMNYPLFTLSLLLIVLFYPTTINSASESPAPSPSSAPTDIIRILKKAGGFTTLIRLLQTTQVATQINAQLLNSNNGLTLFAPNDNSFSTLKPGFLNSLNDQQKNELIQFHELPSFVALSNFDTLSNPVRTQAGDDPERLALNITSSGTQVNLTTGVVNATVGGSVFSDHQLAIYQVDKVLLPKDFFIPKSPPPAPAPEKSKDSKKKSAEGPASLDDNDKSSAMSLKDKNGIMLIFAVYMVIAATLFSL >RHN50855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11173127:11179843:-1 gene:gene35194 transcript:rna35194 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO1 MAEDKVYERTLEETPTWAVAVVCFVLLAISIVIEHIIHAIGKWFKKKNKNALYEALEKVKGELMLMGFISLLLTVFQDYISKICISEKVGSTWHPCSTPKTKTASNDENSESENHDRKLLEYFDPNPRRILATKGYDQCADKGKVALVSAYGIHELHIFIFVLAIFHILQCIITLALGRFKMRRWKKWEDETRTVEYQFYNDPERFRFARDTTFGRRHLSMWTKSPISLWIVCFFRQFFGSISRVDYLALRHGFIMAHLAPGNDAEFDFQKYISRSLEKDFKVVVGISPTIWFFAVLFLLTNTHGWYSSYWLPFLPLIIILLVGAKLQMIITKMGLRIQDRGEVIKGAPVVEPGDHLFWFNSPNLLLFIIHLVLFQNAFQLAFFSWSTYEFSINSCFHRTTADNVIRVSVGILIQFLCSYVTLPLYALVTQMGSTMKPTIFNERLATALKKWHHTAKKQVKHNKHSNNTTPYSSRQSTPTHGMSPVHLLHRQTFGNSDSLQTSPRTSNYENEQWDVEGGGSTSPRNNQTVASEIEIPIVESFSTTELPVSVRHEIGTTSSSKDFSFEKRHIGSN >RHN65596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3566766:3569357:1 gene:gene13451 transcript:rna13451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein METFSIDQFKSLSFLGSFSSNPRKNCFFASKMKNWTKSISQISCCSTMEQVLRPKPKNKIAFEEKEKEFVPDLDETQIIKKRSLSPSGLCAQIEKLVLCNKFMEAMELFEMLELENAESYVGASTYDALISACIRLRSIIGVKRVFNYMKNSGFELDLYMMNRVLFMHVQCNLMRDARTWFDDMPERDSSSWMTMIGGLVDSRNYSEAFELFLCMWEEFNNGKSRTFAAMVRASARLDCIEVGRQIHTCILKRAVNGDPFVDCALIDMYSKCGNIEDARCVFDQMPQKTTVGWNTIIAGYAFRGFSEEALGIYYKMRDSGTKIDHFTISIVITICARLASLEHGKQGHAALVRRGFGTDLVANSALVNFYSKWGRMENARHVFDKMHRKNIISWNALIAGYGHHGRGEEAIEMFEKMLQENMTPNHVTFLAVLSACSYSGLSERGWEIFQSMSQDHNIKPRAMHYACMIELLGREGLLDEAVALIRNAPFPPTLNMWAALLIACRMHKNLELGKFAAEKLYGMEPEKLCNYVMLLNIYNSSGKLKEAADVLQTLKRKGLRMLPAYTWIEVNKQPHAFLCGDKSHKQTKKIYKKVDSLMVEISRHGYVMEKETLLPDVDEEEQRVIKYHSEKLAIAFGIMNTPDWLPLQITQRHRVCGDCHNAIKLITLVTGREIVLRDASRFHRFKNGSCSCGNYW >RHN76830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1633180:1633687:1 gene:gene209 transcript:rna209 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQKRREIIEMQEIKILLETNTQYVTKSTPSKYTLVKSNTSTPSIIYILLIPLSMNINQKEINKLYH >RHN45119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11965217:11965883:1 gene:gene39328 transcript:rna39328 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSCLVRSNLFRSSLLLNSLLRDGLGFCLGFQDSLFRNCLRGLSGFASEATSEAFCGDWLFGSDEVAPEACLFFKA >RHN81678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48035304:48037681:-1 gene:gene5753 transcript:rna5753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MSLPIFFYFLILSLFFKLSHSTTILVDGSSEWKNPTVSIGDSITFKHKQNYNLYIFKNQKAFNLCNFTQANLLTDPSTTSCSYTWHPSRVGFFYFTFSNDSLKACQDSQKLAIKVTPTKASAPEASSPMPTTPGPSSGGDIQSSPSFPWPFHPHQGSSPGPAPTPEASSPITVPLVPYKGSGDGMPFINSNPAVPLPTGEVDSATIHPLATSGHQGQVMIGLVGFHAAVHIMALLLL >RHN52064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31210998:31212146:-1 gene:gene36681 transcript:rna36681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor EIL family MLMMMEVCDARGFIYGVIPHEGKPISGSSENLRGWWKDIVKFDKNGPAAIAKYEAENGITTTNYEKLNGETITLHSLNELPDTILGSLLSSLVPHCHPPQRRFPLEKGIPPPWWPTGKESWRNEMRFSEEPGLPPYRKPHNLKKVWKVYVLAAVIKHMSPNVHNIRNIVRQSRSLQDKLTMKETSIWGEIIDHEETIARKIHPEFFSSFDSHVEGSNYLLVEANDVDVVEGGEHNLAKRKLSSSSSPSSSSSSSYEGTNKRKRKLGKRISTHHNSFLNTHQHATPLDQHEFSQQEKNVRNNHHFTSTEIGSSNNQFEMVEVEVSTTHQNVAPLAQRLQAAVPVADQIIHHTGYHYPATHLFSSTICIVNTFFFCHLMYDLNL >RHN41996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34623002:34626685:1 gene:gene48378 transcript:rna48378 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEDIAMSGLKSLSGQSCDTWKEGMERNQSQVDVLQEKVNEIKASIQGSEEDSKKELEVLWRRVKTTATLLTYLKSKARIMAIPHLAHTSCGIKKLDGVGVVDKDGVPLSDWSRNVDLSSFDEEDAESWIGISRHQGLLDEQDAVYIGEILNSVQMVTDVMEALVKRVLLAESETALEKEKVSLGQEKIMLKSAQLENMSMKLEEMERFASGTNSILNDMRQRVADLMEETTRQRERAAENEEELSRVKQEFVSLKSYVSSLITVRETLLSSEKQFQTIEKLFERLVGKTTQLEGEKMQKEAEVQKLMEENVKLSTLLDKKEAQLLALNEQCKMMALSASNM >RHN49564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55687741:55689333:1 gene:gene44408 transcript:rna44408 gene_biotype:protein_coding transcript_biotype:protein_coding MIITILHSNTKRKVTYDTIRYVCILSSHLINHVSTLSSLAKSNSNYLLYLLPDHIHNFTSHPSLNTNTVFGCFVMGSEAKAEQESSIPRLPLFSVSPAAMKIQMQMESPERTGTVTPPLQTSGSVPFLWEQEPGKPRLCNALVPFTNPSSDKCLELPPRLLLVPSPTLLQQGPHVTSNRFRSPSFRIEDNNCYGSSFSTDKGLLGSTMNILIKRDSGWFGSWRKNVKRDQVSGGSHVFPSSSTDKDTGTIDIADNNKIMKRSGSSSSLSHHGKSPTWTTIRKGMKQVVTLPWRSKKLKKKDGSGLKL >RHN79015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21085022:21085821:1 gene:gene2694 transcript:rna2694 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKQKNKSKSNVGDRFGFVVVSDERFSSLMVPGKFGCYTILWFVTAVEKSLLFLFAARVSFSCGCEIFLFAAVKFFSLILFLQPSFYSIRVDEKKEIICKR >RHN62734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45517981:45521063:-1 gene:gene25337 transcript:rna25337 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDESISAAEDKIKAWDVEIEDIKAQNRLHKEKARRVQQEKP >RHN75732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44608123:44631813:1 gene:gene11972 transcript:rna11972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Two pore calcium channel protein MEPLLRGESSGGLRNRSRGIVRRSDAITYGSNYEKAAALIDLAEDGVGIPEQLLDSSDFQSYSKFYFWFTKFDLLWSLSYFALIVLNFLEKPLWCEKNATHSCQDREYYFLGELPYLTAAECIIYEGICLVLLSIHTFWPLSYEGSRIYWRSPINLLKAFCLLVILADMLVYALYMSPVAFDFLPFRIAPYIRVVLFVLNIRELRETVVIVAGMLDTYLNILALGLLFLVFASWVAYVIFEDTIQGKTVFTSFSATLYQMFLLFNTANNPDVWVPAYKSSRSSILFFVLFVLIGVYFVTNLILAVVYDSFKSELVKQVSEMDRMRRAMLEKAFNLLDTHKIGYLNKDQCIRLFEEVNKYRTLPKISKEEFGLIFDELDDTHDVKINKDEFADICNAIALRFQKEDVLSYFDYLGIYHSPTSKRLKAFVKSTMFGYIVTFVLILNLVAVIIETTLDVEDSSAQKAWQVVEFTFGWIYVMEMILKVYSYGFENYWRDGQNRFDFVITVTIAIGETIDFASPDDGLPLFTNGEWIRYLLLARMLRLIRLLMYVKRFRSFVATFLTLIPSLMPYLGTIFCILCIYCSLGLQIFGGIVNAGNPDLEATDLAANDYLLFNFNDYPNGMVTLFNLLVSDGVWEELMESYKDLTGTSWTYVYFISFYLITVLLLLNLIIAFVLEAFFAEIELEEAETGDGNDKEVAGERYPRRRALGTKSRSQRVDALLHHMLSAELGQNQTSST >RHN77313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5959009:5960735:1 gene:gene755 transcript:rna755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MEKTIHIAVIPGAWYSHLVSILEFSKLLVQLHPDFHVTCFIPTLGSPSSASKSFLQTLPSNIGYTFLPPVNPNDLPQGTSVECQIHLATTLTLPYLHQALNSLTLRTPPVALVVDSLSAEALDLAKEFNMLSYVYFPPAATTLSFYFYLLKLDKETSCEYRDLPEPIQAPGCVPIRGRDLVAQAQDRSSQSYKFLLQRIKRFCSVDGILINSFLEIEKGPIEALTEEGSGNPAVYPIGPIIQTRTESGNNGMECLTWLHKQQPCSVLYVSFGSGGALSQEQIDELAIGLELSNHKFLWVVRAPSSSASGAYLSAENDVDLLQFLPPGFLERTKEQGMVIPSWAPQIETLSHRSVGGFLSHCGWNSILESVMHGVPLITWPLFGEQRMNAVVLSEGLKVGLRPRVNDNGIVEREEISKLIKGLMEGEECENLRNNMKELKEASTNALKEDGSSRKTISQLALKWRNLVHEN >RHN69790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46293660:46297296:1 gene:gene18304 transcript:rna18304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nascent polypeptide-associated complex subunit alpha MLLKSGLRPLNIDLSLSSDDDTCSSVVSTNVGCETPLSSWDFEPEIDINLEENYVDVEEQNDMDNRSYDDLIKKIIGTEEELRVTNSKLRLSEEENIKLKVQVGNGEGQLDNVSEELNLKKKELRKQKELLEEFNLKDEELQKQTAKLSTHIPEYIPEYVSNITNLVKQLEVASKKLKISKVEIESLRNELRSKSHETHQLQGQLKVALENMAKSELELVSERKKSQMLGDLVTVYEDNETKHKQDVQKLNSEMLDLQAKFSLEKDELNFDIASLSKMKIQLTSKLEYCESINKELENKLRKYEAENLKQEMHATQQMVLQDEISSLRELGQRIHDIEDTNRELDMVMIERDEADVKIDKLKIEICSCDDQITNTKTYIRELKASLKEQVIKRTKNIMFFISKLDVIKSSNSDTYIMFEEAKKEDLMNSHQLQTQAAQEQGAGADGAQPEEEEEVDETGMQAQNIEMVMIQAGVSRSKAVKALKTYNGDIVDAMLELINFDL >RHN42621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39764582:39764975:1 gene:gene49080 transcript:rna49080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MDTDLHQIIKSAQSLSNDICRYFLFRLLLGLKYLHSANVLHRDLKPGNLLVSRNCDL >RHN45033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10984499:10990960:1 gene:gene39228 transcript:rna39228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribulose-phosphate 3-epimerase MGVTPKIAPSMLSSDFANLASEAHRMINYGADWLHMDIMDGHFVPNLTMGAPIIESLRKHTEAYLDCHLMVTNPLDYVEPLGKAGASGFTFHIETSKDNWKELIQNIKSHGMRPGVSIKPGTSVEEVYPLVEAENPVEMVLVMTVEPGFGGQKFMPEMMDKVRILRKKYPSLDIEVDGGLGPSTIDVAASAGANCIVAGSSVFGAPEPAHVISLLRSSVEKSL >RHN69433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43368307:43370912:-1 gene:gene17907 transcript:rna17907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TRAM/LAG1/CLN8 domain-containing protein MAKKEMEEGGKRNAIELFFLTTLILWLASVSFQIILTHQTRLLYIIAGSFFYQTSNSLIRYFSPKSSLSDPLFVNTAVSLIHSLVTSSSVIFILSKQWLNNGLSGMFDHSQLVEGTWSWAFEALCFSCGYFAYDQWDMLHYRLYSGWIPSILLHHLVLLICFTLALYRNITINYLILTLICELHSIFLHVRKVRRMAGIRDARSVIVKLEWFLNWTTFFVARCVSHVLITAKLIKDAHKFGKGVELPLALFGMAGMNILNIGLGMDLLKAFKRERKSQHANHRQHHE >RHN51610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20974388:20975348:-1 gene:gene36083 transcript:rna36083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MKLSCNGCRVLRKGCNDDCIIKPCLEWISSSESQGRATLFLTRFYGRIGLLNLLTNATNQNQNPQAVFKSLLYEASGRLVNPTYGVLGLFWTGDWSRLEAAVEAVLTGSNINDNFTMIDGQTSSGTVNAENHVLPKTYDIRHVAKGTNVDIKGKTQFKRAGKILKPKPRVGSVDSATMLKSLLNNTNMEIGESSSRVQTEKINEAAENQVNLELTLGFDCHSTKSKKILDK >RHN77366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6354444:6357756:-1 gene:gene812 transcript:rna812 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMISLRLHQLPFFIIFFLQLFTAFSNASSSSSSTHSNITHIFQDILKAISSRQKWDLNDVRVFNFDVAKIRFGTSQNYLFRIGSSKNNFTVKFSDEISSWNHNKFTTTPKPDLASLVDQLSSIAFLDYIKLEGPFELRVHESHHLSLSLPMNVSYNGLKHIIVGKGITVEVRRAREISFYYQSDLDLQRNGSVICSNQKNEFWPFLQSMCVPLIPIRIIGSASLIAYVARNPYVQIGTALISEDAVELLPEKCYHGCVFRKQACPVASLNLRLILLEKILRSLLGHKILQDRLSGLIKANIKAYAGVKFPLELERDVGNNATLSTLPDWRTRPSVERVWFEVMARVEDSRLKPLSIKKVKPFIESDSVSWANLMSNLSYTKLRPVLLPPEALTLDVKW >RHN73569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17742185:17742537:1 gene:gene9394 transcript:rna9394 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPEGVCNPIIAKMYQGGAGLGMDAAPGNDDVPSGAGGAGSKIEEENQT >RHN39101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4112988:4113684:1 gene:gene45095 transcript:rna45095 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIASIVLVLLVVAILNGYSAEGTGRGNEPKDDRIYKSQKFFGCSFWGQMCYFFHLYCDEYNKYCATSQPPSSPGSSGGSPNIPNPPPIPTPPSIPNPPSSPNIPNPPSPPNNIDLQYTPAESGKP >RHN75596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43616886:43617825:-1 gene:gene11826 transcript:rna11826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator Znf-B family MCKGAEPKEHNFFPTSKESASHNKTRDYKCCELCGLQASLYCQADDAYLCRKCDKKVHEANFLALRHIRCFLCNTCQNLTRRYLIGASVEMILPANISWNKGNLPNNTTIIKCSKTHSSTSMFL >RHN66427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12214710:12214995:1 gene:gene14412 transcript:rna14412 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl23 MNGIKNAIFTDKSIRLLGKNQYTFNVESGSTRTEIKHWVELFFGVKVIAMNSHRLPIKGRRMRPIMGHRPHYKRMIITLQPGYSILPLRKELK >RHN71844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3024722:3025812:-1 gene:gene7484 transcript:rna7484 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKICKDKHQREMVDNFAQVLYAILKRKPKWWNGLQGWPRWVLGT >RHN46207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29643396:29644251:1 gene:gene40657 transcript:rna40657 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFCSRYCGGKGGGVGEGGDGIGNIVTDANPGGGHHGGGHHGHGHHGGGHHGGHHGHGHHGGGHHGDGGGGGGGGC >RHN71930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3660118:3666693:1 gene:gene7583 transcript:rna7583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative T-complex protein 1, gamma subunit MQSSVLVLKDSLKRESGPKVHHANIQASKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILRELDIAHPAAKSMIELSRTQDEEVGDGTTSVIILAGEMLHVAEAFIDKNYHPTVICRAYNKALEDAIAAIDKIAMPIDAQDRGQMLGLVKSCIGTKFTSQFGDLIADLAIDATTTVGVDIGQGLRDVDIKNYIKVEKVPGAQLEDSRVLKGVMFNKDVVAPGKMRRKIVNPRIILLDCPLEYKKGENQTNAELLREEDWSLLLKLEEEYIEELCMQILKFKPDLVVTEKGLSDLACHYLSKHGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGLFEVKKIGDEYFAFIVDCKEPKACTVLLRGASKDLLNEVERNLQDAMSVARNIIKNPKLVPGGGATEMTVSAALKQKSSSIEGIEKWPYEAAAIAFESIPRTLAQNCGVNVIRTMTALQGKHANGENAWIGIDGNTGVIADMKERKIWDAYNVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGAGPSKPKIDTEGDADGEQILPD >RHN54221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7915136:7915519:1 gene:gene29221 transcript:rna29221 gene_biotype:protein_coding transcript_biotype:protein_coding MHYPSHSFLFECQTSRSTCILSIRWKNLWKLLPALRFDSTDFRFYTKFTKFVSKVLSLRNSSVKLQALEFNSNAGRLEPHLLKRIVNYAISHNVQLLGLCSYTEIGHILPSMFSCQSLTHLKLCTLP >RHN58481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2115996:2117761:-1 gene:gene20399 transcript:rna20399 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRSTMSYNSKSTFDELQWVIHIRKILEEEFEEEDGKLSVTIFNVPKLLMASDPDSYVPQQVAIGPYHYWRSELYEMQSYKLAATKRFLKSLQSFKLDNLVDQLTKYEQRVRACYHKFLDLNGETMVWMMIVDASFLLELLQIYAMQEGEPKREVLSSMSHLVDYAGRKSAHNAMLKDIVMLENQIPLMVLRKLLEFKLSSKEAADEMLIFMFIGLFKQTSPFKMIEKFPSIKVSESAHLLDFFYDMIVPKLETGNDVTIDVEIQQEEEQDKGEDENSKGESSYVKQPFNEIWRILSKHIKGPMKSLNRVVSRPLKVLVKFPWKIISNLPGGKLLKQPVESLFFSKEKGDEEKQENENSSTDLVNKPPLMDEIAIPSVEQLVLAGVNFLPTNGSISSISFDAKTNTFHLPMIRLDVNTEVFLRNLVAYESSVGSGPLVITRYTELMNGIIDSEKDAKILREKGIILNHLKSDQEVANMWNGMSKSLRLSRVPFLDKTIEDVNKSYNNTMKIKIWKFMKSYVFGSWPVLTFLASMFLLFMTALQAFCSIYTCHRFFDKALDFTSPDTN >RHN43268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44485760:44489587:-1 gene:gene49815 transcript:rna49815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CRK7-CDK9 family MGCALGKPAGAGARHRRRDNTATANGGNNAVEVQEKQEAEAPTACELPAPVSLLPRLNSLAATQQSWPPWLMEVAGDAIRDWTPRRANTFEKLAKIGKGTYSNVYKAKDLVTGKIVALKKVRIDNLDAESVKFMAREILVLRKLDHPNVIKLEGLVTSRISSSLYLVFEYMEHDLAGLIAGLGVKFSLPQVKCYMKQLLSGLEHCHSRGVLHRDIKGSNLLIDDEGILKIADFGLATFYDSKQKHPMTSRVVTLWYRPPELLLGATFYSVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPSEEYWKKYRLPNATLFKPQQPYKRRISEAFAVFPPSSLPLIGTLLAIDPDDRGTTSSALISEFFTTEPYACEPSSLPKYPPSKELDVKLRDEEARRQRALSGKSNAVDGARQSRARERSYAIPAPEANAEIQTNLDRLRVVTNGNGKSKSEKFPPPHEDGAVGYPGDGSNKGAVSFGTTEKTSFSSILSNSIHSKSVGSYAGSSYKRRKSNEADSRISSWKFMRSSFKPSTVGLSFNLLFRSRR >RHN71440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:172375:177071:1 gene:gene7044 transcript:rna7044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MVDPFKGFEIAAAKPKKRGCNEEVERCFEEFYEDVHTEFLKFGEIVNFKVCKNGSFHLRGNVYVQYKLLDSALLAYNSVNGRYFAGKQVSCKFVNLTRWKVAICGEYMKSGYKTCSHGTACNFIHCFRNPGGDYEWADSDKPPPKFWVKEMVALFGHSDNCEMSRVHGNFSALKHSSNILETDSDRYHSRRSRSREMGQLYGGRSSRRRQEDERKQRTLDEEWNTNYKDNHKRKYRNKTSDSDSDKEGLEEVDRKKYHEHTRKSSFNWNKDDNSRRHEEYSDVDRVTINRDNEKPHDRKGRNSQKSNRDSRDWIYEAGCDEDRDGDGRKHHISRRKGSRHQSRDNSNVTDESESDKDRGHEEYSDVDWDTMSRENEKQHGSNGRNSQKWNRDSRYRIYEAGSDEDRHRKRHHSSQRKGSRHRSRDNSNVADESESDKDRGHEKYSDVDWDTMSRDNEKQHDSKGKNSQKRNGDSKYQIDEAGSDEDRHRKKHLSSQMKESRHQSSDSGYGIDESDKDKGEMEAQHGYSRKSSRHKRSGLQY >RHN50549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8056445:8057249:1 gene:gene34847 transcript:rna34847 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSHPLTHHLTHSNRSPHPIFYFPFDLIWVKSDNSFGTYKNLVLEKVERRR >RHN59959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15343095:15345916:-1 gene:gene22131 transcript:rna22131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MRAISMGNMSQVEMLILLVYGLLVAISVNSNTVAIQCLASDQEALIDFKNGIEDSHNRLSSWRSNNCCQWHGICCDNITGAVVAIDLHNPYRKPYHSSPNKYEMWNLRGELRPSLMKLKSLRHLDLSFNTFRAIPIPKFLGSLVNLQYLNLSNAGFAGLIPPHLGNLSHLQSLDLGAFRLHVENLHWLAGLVSLKHLAMDRVDLSSVARTDWVSTLNQLPSLMKLHLSSCKLFGHIPSPTSLNFTSLAVLDLSSNNFVSKIPDWVVNISTLTHIDISSGGLYGKIPLGLRDLPNLKFLSLGGNGNLTANCSQLFMRGWRKIEMLGLSGNKLHGTLPSSFGNLTSLTYLDLGYNSIEGGIPSSIGKLCRLKYFGLSTNNLTGTLPEFLQGIDECPSRKPLPNLMYFIMENNQLYGKIPDWLVELDNLIGITLAYNLLEGPIPVSIGSLPNLNYLILTGNKLNGTLPYSIGQLSKLSHLDVSFNQLTGMVTEEHFSRLTKLETVILSSNSLTMNVSANWIPPFQISFLLMGSCVLGPSFPPWLKSQNKVVYLDFSNASIVGFIPNWFWDISSGSEFLNMSHNELQGWLPNPMHVGSDSDGVDLSFNLLDGPIPVIKPGVALLDLSHNRFSGTIPLNICQYMNHVGILSLSHNQLHGEIPLSLGEMSPCTVINLSGNYLTGRIPASFANCHLLDVLDLGNNSLFGTIPDSLGELKLLRSLHLNDNHFSGDLPSSLRNLSMLETMDLGNNGLSGVIPTWFGEGFPFLRILVLRSNEFSGELPPNLSKLGSLQVIDLSKNDFTGSIPTSFGDLKAIAQAQKKNKYLLYGDSEDHYYKESLNVYIKDRRVEYTKTLSLVTGIDLSHNNFIGNIPNEITKLSGLMILNLSRNHITGKIPETMSNLHQLESLDLSSNRLSGKIPLSLPSLSFLGA >RHN71007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55780886:55785580:1 gene:gene19647 transcript:rna19647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-3-methyladenine glycosylase II MGEDTQQQPQPQPQGVSSDNTISATTAVDSVQTIIPVESELSNVPPHTKAPATKMPLRPRKIRKVSPDPTTSESQSETLKPPNSTAAGKSNGRNNKTVQPPQQRTLAVPKIVPRSLSCEGEVEIAIRYLRSADPLLSPLIDIHQPPTFDNFQTPFLALTRSILYQQLAFKAGTSIYTRFIALCGGEAGVVPDNVLALTAQQLRQIGVSGRKASYLHDLARKYQNGILSDSAIVNMDDKSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPINDLGVRKGVQILYNLDDLPRPSQMDQLCEKWKPYRSVASWYLWRFVEAKGSPSTAVAVATGNGLQQHELDHHQQQQQQQQQQHSQQPIMDPMNNMFNMGAACAWGQ >RHN61497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35943871:35947922:1 gene:gene23969 transcript:rna23969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MGIISDTPSVSTATKWFGFVAAVWIQAISGNNYTFSNYSDALKSLMHLTQLQLNNLSVAKDVGKAFGLLAGLASDRLPTWAILLIGSFEGLIGYGVQWLVVGQYIQPLPYWQMCVFLCMGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFTNLCSALVADDPAFFLLTLALIPFIVCLTGVFFLREVPVAKTTTAAEDSEESKYFGICNAVAVVLAVYLLAYGFVPNANTLVSRVFVAVLLVLLASPLGIPVYAYFKGRNSGRDGGDVEGQRVREPLLQNGEKESETTVTDALVAETEVVVIKGQPAIGEEHTIMEVMKSLDFWILFVSFLCGVGTGLAVMNNMGQIGLALGYTDVSLFVSLTSIWGFFGRIVSGSVSEHFIKKSGTPRPLWNAISQILMAVGYILLALAMPGSLYIGSIIVGICYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGILYDMEATTTEGGGNTCVGGHCYRLVFIVMAGACVVGFFLDILLSYRTKTVYNKIYMSKRSKKSSVTSSSQ >RHN52554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36586421:36595653:1 gene:gene37244 transcript:rna37244 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKTKKKTRSDEEADATNPETIERKRLKSLAFSNNVLSETKARSSIHLNPSSIVAKHHGKDIIKKSQRKSSRYLFSFPGLFAPIGGGKIGDLKDLGTKNPILYLDFPQGRMKLFGTILYPKNRYLTLQFSKGGKSVMCEDYFDNMIVFSDAWWIGTKDENPEEAKLEFPKELYEGKQTEHDFKGGAGAGAGAGAASVVNHGVSKTKIKRPEPESPETPLEEDLSDSEIELKDTTELVPVRQSARTVKKSYKFAEISSGDDSGKSSPDLSEHEEKAVEVDTDANDHTSSNILSKETAVIDIDDEDDAPKDQLPVENKEPASVSKAKKGLLVQATISSLFKKVEVKKAAANPKKSPSSKASGQKSQPAGSKRKIELDEGPKKRARKTKDKNPGEKKKAKSKDSEVEDDDDIEEFSNASEDSDEDWAA >RHN77219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5155113:5156798:-1 gene:gene648 transcript:rna648 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNKRNNFFNKKETWVAVKTKESEKEKGKEKEKKKEIEIEIEEEVEKQSLVMEEEKQEEKKKVVYSPLPSNYVTLAQLQERWLKQQSEKNQQEKKEDHQPVDERHVVVVAETSVTVSRSNPFDRTRDDSVDNRRVVDVAVANEDGGKSVTEAGSADDRNRRGNRGDSMDNRRAVVVKSEDSRKSVTGAGIREGKADNVTDSRFIAVDRNPRGNRDDSAINRRGVVVVSEENRKPMTGDGIADNATVSRSNRSGRNRRGNLKGLVENRRENGVENEDRRKSVTGAGIGGGSAGHVTVSKSNDVDRNRRGNREDTVENRREIGVEDEDLDGKVEEGRNKKKRDGGTKRRKKWNERKLGKEKEERRAIEEGSAAKGNEVAEKIELKDEEVVDSKTVDEVEEKFRVLSVKSDNGKQSWKFRKMNHAVRNNGVGDGRGHRHGHGHRNFKEEQSTKTTWVKKDGSVGEIEN >RHN79159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24735190:24740603:-1 gene:gene2885 transcript:rna2885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MSQISYFLKALLIFFSLFLVEINGEVKPCTYNIDCPLSMCPSPEIPKCVNSICECKQFIIDSAAFQAYLHD >RHN76419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50098537:50101698:1 gene:gene12742 transcript:rna12742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLPGFVTARRFISTSTPFTQRLKQTENEIVKMFRLPDSQEENHYVPMEGRRVLRKDPNARKLDERFIRILKIFKWGPDAEKALEVLKLKLDIRLVREVLKIDVEVHVKIQFFKWAGKKRNFEHDSTTYMALIRCLDENRLVGELWRTIQDMVKSPCAIGPSELSEIVKILGRVKMVNKALSIFYQVKGRKCRPTAGTYNSVILMLMQEGHHEKVHELYNEMCSEGHCFPDTVTYSALISAFGKLNRDDSAVRLFDEMKENGLQPTAKIYTTLMGIYFKLGKVEEALNLVHEMRMRRCVPTVYTYTELIRGLGKSGRVEDAYGVYKNMLKDGCKPDVVLMNNLINILGRSDRLKEAVELFEEMRLLNCTPNVVTYNTIIKSLFEDKAPPSEASSWLERMKKDGVVPSSFTYSILIDGFCKTNRVEKALLLLEEMDEKGFPPCPAAYCSLINSLGKAKRYEAANELFQELKENCGSSSVRVYAVMIKHFGKCGRFNEAMGLFNEMKKLGCIPDVYAYNALITGMVRADMMDEAFSLFRTMEENGCNPDINSHNIILNGLARTGGPKRAMEMFAKMKSSTIKPDAVSYNTVLGCLSRAGLFEEATKLMKEMNSKGFEYDLITYSSILEAVGKVDEDRNMAES >RHN80456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38243700:38248286:-1 gene:gene4385 transcript:rna4385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase chromatin remodeling SET family MATRRLRALKRWMKSNGFEWSSALQFVDTPEEGISVKALCEINAGDVVAKMPKKACLTIKTSGACEIIENACLGGYLGLAVAIMYERSLAEESPWEGYLQLLPQQECLPLVWSVEEVDQLLCGTELHQTVQEDKALVYEDWRENILPLLDSEPSKLNPAFFGVEQYFAAKSLISSRSFEIDDYHGFGMVPLADLFNHKTGAEDVHFTALSSNNESEDDTDDEIVDEEALAQNSSMDKTEKGVDSDMEYSSITEDDTSMLEMVMIKDVSSGAEVFNTYGILGNAALLHRYGFTEQDNTYDIVNIDLELVLQWCSSLFSNRRSRSRVSLWRRLGYSSDTENSEYFEISFDGEPQMELLILLYIIFLPDDVYHKLDLSVAVAENFHESSEKTTFLNDNIFLKKLSNMSKKTLMTKEVCDALLSLADMRESLYGLKSLEDDIEALKRSSFVRDRKLYHSSVLRISERKILQKLRNYASKSCKITNLSSAGKKLKRTTKRES >RHN62384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43050511:43055053:-1 gene:gene24953 transcript:rna24953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-40S ribosomal protein S27a MYPMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDRRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >RHN60012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16562401:16565993:-1 gene:gene22200 transcript:rna22200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MLLLSVTIVLNDAEEKQFFDPFVKEWVDKLKNAAYDADDVLDEIATKAIQDKMDPRFNTTIHQVKDYASSLNPFSKRVQSKIGRIVERLKSILEHKNLLGLKEGGVGKPLSLGSETTSLVDEHRVYGRHGDKEKIIDFLLAGDSNGEWVPVVAIVGTGGVGKTTLAQVLYNDERVRNHFQSRSWASVSETSNVNEITRKAFESFTLMYSNISDLNILQIKLKDRLAGQRFLLVLDGFWNENFLDWDIFQRPFLSGNYGSRIIVTTRSQSFATLIGADLNHSLSHLSHEDTWKLFASHAFKSVNPTEHPMLAQIGQKIVKKCNGLPLAAKALGSLLRTKDVGEWEGICYSRIWELPTDKCSILPALRLSYSHLPSHLKRCFTYCSIFPKGYEIKKWNLIYLWMAEGILPQQRTDKRMEDVREECFEVLLSRSFFYQSTYHASHYMMHDLIHDVAQFVAGEFCYNLDDNNPRKITTIVRHLSYLQGIECLPDSVSTLYNLETLLLSGCRCLTILPENMSNLINLRQLDISGSTVTSMPPKFGKLKSLQVLTNFTVGNARGSKIGELGKLSKLHGTLSIGSLQNVIDAIEASHVQLKSKKCLHELEFKWSTTTHDEESETNVLDMLEPHENVKRLLIQNFGGKKLPNWLGNSPFSSMVFLQLTSCENCKSLPSLGQLSCLEELCISKMKSLQKVGLEFYGNVIEPFKSLKIMKFEDMPSWEEWSTHRFEENEEFPSLLELHIERCPKFTKKLPDHLPSLDKLMITGCQALTSPMPWVPRLRELVLTGCDALVSLSEKMMQGNKCLQIIAINNCSSLVTISMNGLPSTLKSLEIYECRNLQLFHPQSLMLDSHYYFSLEKLHLRCCDSLISFPLSLFHKFEDLHVQNCNNLNFISCAPNSTLPYFRKLKLKQ >RHN74788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36697966:36703800:1 gene:gene10905 transcript:rna10905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRRKHMDQITHLLYAFIIFLYVNFASTESEDYQDLVPCLSRADCPQDMSCNKRQQRTEPTLLDWNTEKQLFFQETLEWGLFEILLCIYIEAWKVGINAPL >RHN74650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35514517:35515237:1 gene:gene10754 transcript:rna10754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MNPNIRLFYALIIFLSLLLILTDGGLINGGSVPCLTSFGCPRSTCYPPSTPNCIRRICECI >RHN50388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6676449:6680072:1 gene:gene34666 transcript:rna34666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MLANGGNTSSVVSDISRKRCFDELSNQVGFSTDWRYHPEMVRKRYDQSINKTIPPKVAVSLIDSFRRDQIEEHISSLRKESDQIISEDQAGIDANTCNLCKRERLYFAKVPLFCLCCGARIKKIYFCKKEEEFVAQGCICSVCYNSVKGENVAFNGTSISKKNLAKRNNDEVIEEPWVECNKCERWQHQICALYNKKADSDCSAEYICPLCRLKESRFVPLPKAANFGAKDLTRTVLSDHLEKRLFERLMQERKNWEKDEVLAAESLSIREVLSVDKQLKVNKQFLDIIPEENYPTEFSYRSRVILLFQKIEGVDVCIFGMYVQEFGSECGGNPNQRCVYISYLDSVKYFRPKQRTKSGEALRTFVYHEILIGYLDFCKERGFSTCYIWACPPKKGDDYILYCHPKEQKTPKNDKLRHWYLSMLKKANKENIVVGLTNVYDHFFVPTEKRNSKVTASRLPYFDGDWWCSNAVVVAKTLEKENRADYEKLLKQVSNRTVKDMGHAKPSKDILVMQKVGQNILPTKENFLVAHLRYSCMHCREVIVSGKRWFCTECKKFQECERCHSSEQHTLMNGEVHTLCQADVDDIPSDTKCNDIVLESELFENRDNFLIFCQKSQFQFDTLRRAKYSSMMILYHLHNPTVMTQNEHLLTQKFSTPVCQSENQESNEKMMVKLMNVLKHASQCCRHASNAEPCSYPNCSQIKKLFYHASKCEIRANRGCQHCKKIWFVLTAHSRNCKDLECRIPRCSDLKKHFEKRSMHSESSRRSEVLEAFIGQ >RHN47948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43764724:43765344:-1 gene:gene42606 transcript:rna42606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDSDISFIISPIEDPTFTIPKDDPFRKDFYINFHYIQVNFPLHLNASNSFSACRTTMNRIFSIPCDILCNCDDANTLLYNTFSSMPISPHILKQILLEIGKYAKEMIASNDDSWGMNAKLFVYTWYIEDEDAVKAVLAMDDLKKVGMDQSSCYSMDQCSICLEELFKGSKSECVMTECLHVFHKECIFQWFKRSLTCPLCRNDKIF >RHN58825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5266253:5269405:1 gene:gene20777 transcript:rna20777 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPWWLCWTADHHDDGHEDYLSLKAYCLRSLKLIYVPIVDEIGHWFLMVVA >RHN42543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39190268:39191745:1 gene:gene48995 transcript:rna48995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rapid ALkalinization Factor MASKSTIKLLTFFYFMLFGFMNLSSTVISLSSNHHHASICNGSIAECNQEDEQLMESEISRRFLEQRRYISEGALKRDKPVCNGGAGGEAYSKSAGCIPPPSNPYNRGCSKYYRCRMLETIKYI >RHN65645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4060658:4065653:1 gene:gene13510 transcript:rna13510 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKEELSSTVKRKLSCFEHFDALWFCYSPVYQMKQYYRLGTLDNCRGKWKAWTDCLMLKTKPKSQVEEVLEAREKSKKPHIWNFRTRYEASQNWQKMYGHLDKPE >RHN51880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27563323:27567000:1 gene:gene36438 transcript:rna36438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-transporting ATPase MTSLTTLSHYHPLSSFKIHKQTHSLSLSLSRVPIRPLQFQFKPKPLSLSRSRFLLSQSLPRAYISGPASDPNVAEPDPKVDGLQQEEAVIPKVVTWELLGLLLFKHKFRIALCVASLFACTACTLSMPIFSGRFFEVLIGVRPEPLWSLLSKMGVLYALEPLFTVIFVINMNIVWEKVMSTLRAQIFGKILIQKVEFFDKYKVEEITGLLTSDLGSLKDLVSENVSRDRGFRALSEASNSRSMRSELYISHI >RHN38598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:575105:576222:1 gene:gene44554 transcript:rna44554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterol 14-alpha-demethylase MDYFSKWGSSGEVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMLPISVLFPCLPIPAHRRRDNARKKLAEIFANIISSRKSADKSENDMLQCFIDSKYKDGRPTTESEVTGLLIAALFAGQHTSSITSTWTGAYLLCNKQYLSAVEEEQKNLMEKHGDRVDHDVLAEMDVLYRCIKEALRLHPPLIMLLRSSHSDFSVTTREGKEYDIPKGHIVATSPAFANRLPHIFNDPDKYDPDRFAVGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFELELVSPFPEIDWNAMVVGVKGKVMVRYKRRELSVNQ >RHN74730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36187315:36198446:-1 gene:gene10838 transcript:rna10838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDGIISVAAKIAEYMVVPIGRQFGYILYYKDNLQRMETDVQKLEGTKDSVQRKVDEARNNGEEIEKFVQDWLNSVDNMVAEAKKLIDSEGHAKAQCSMGHLPNLCTRHQLSRETKKMSQDISNILADGKFDKVSYRTASQVTVSSFGRGYEALDSRTLVLDEIMLALKDPNFFIIGVYGMGGVGKTTLVEELASKAENGGSFNAVVLATISDSPDVEKIQSQIAEMLDMKFTKETRDGRARELRDRIKKKKSILVILDDIWGRLDLVEVGIPFGDDHKGCKLVVTSRDLNVLSCEMGTQKEFRLEALHEEDSWKLFEKMAGDVVQEFNIKPIAVEVAKRCAGLPLLIVTVAKALRKKKIFDWQNTLNDLERFDQEGLHNDKRINSTLELSYNFLESDELKSLFLFIGSFGVDHLHTGELFSYYWGLCLYKHSRTLTQARSKYYKLINDLKASSLLLESETEWIRIHDVVRSVAKSIASKTQPPTFGMKRYNEVKQWPGMDELRKCHQIILPWSYIYKLPEKLVCPKLELLQLENIGDYLEVPNDLFSGMRELKVVSLYGMMFTPSLPSSLHLLTKIRTLDLAGCVFEDISIVAELKSLEILSLERSDITELPKEIRQLTNLRMLNLANCSRLRFIPANLISSLTCLEELYLGNCFIEWNVKGSKEQSNSACLDELRNLSHLTTLDIMIRDASVLPRDLQVFGKLERYNIFVGDMWKWSLDWSGGASEPSRILKLADNGCSSIHLDCEFNFLLNSAEDMCLAKIHCVRNFLCELNRNGFPQLKHMCIQDIADMKYIINSMLYPTYHALPNLETLVLQNLFNLEEICHGPIPIQSFTKLRSFEVKGCDKLNNLICYSLVTDLPLLREIKISNCKMMTEIMAVQTSKVEKGMGKIIFPELRSLELECLPSLVSLCSVPLTIEKSLKVRGENYDSTQCIPVALIDQKVEMPHLELLKLSKIKSRKLWDDKLPSRSWMQNLRSLTIDGCDNIACIFSSSVAREFVNLKQLVISNCQMLEGIFVPDGKLGSLPLSQKPFSNDEVIFPNLETLVISHMEHLKFVWYNQLTPNSFGKLKELKIELCNEISNVIPSYVLDKLLNLETVTVVCCHTLEVVFETQGLKVDGGRQTKLEMQLRTLTLNHLPMLKHIWSGNPNESVKFQNLCLLQVTGCESLNYVLPLSVAKELQNLQGIYIKECGVEIIVEQDEMSDTVPILNFPELTFLSFRDLKQLQSFYRGLLTLDCPALRHVDVLHCDKLVLFKPKSINYQEIVPVDTKSLLSIEQIVQNKGELILNSKDVTMACNGHLNDELINTVTALCLRCFHDVSEKFPSGFLQRFINLKNLKVTCSSFTYIFANGSECTRHSETIMKLGSLELIMLQNLEFIFEEKFEVQPVLQDIERLVVNSCSRLKNIFPSSVMFENLQKLEVGHCAGLESIMKPSTARSLQNLKELYIHCCGKIEEIVASDDENDTSDLIFMKLEFLQLSNLPRLRSFCKGRHGFKFPLLRMLFVVDCPVMETFSHGVLNAPRLRAVHVREEDEWHWNGDVNTTIKQLVAKTSSKDDCVCPQNLIEGSSR >RHN46241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29877980:29878951:-1 gene:gene40700 transcript:rna40700 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYLRWLEGLATAWGFMLLVALLCCCLSTKPRQDGDDISGNGGCTCDGGGHGCV >RHN72923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11889384:11891743:1 gene:gene8689 transcript:rna8689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MSTSRRCINCSKTSFTRDDETGGSFCSSCGAEQHFDQFETYTIGINGPQGTFIHIGTSGSAM >RHN78222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12922242:12922944:1 gene:gene1756 transcript:rna1756 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIQIVNILHGIGNFSEIICGFLEKYYCQTQGKHVHQFYQDCRVTILQSHKLIRCQMKICGQIHLHPITNS >RHN46958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36022848:36029794:-1 gene:gene41500 transcript:rna41500 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRNMHTLSTQMNGSTHHGAGYLAKCSSQQNNWNYGCKIKSSSKTMNQIIRSSIAFESPGPLHDIIVCWIDQHIVHKGEGLKRLHLFIVELICAGIFYPLAYVRQLIVSGIMDTSVNMVDLERQKRHRRIVKQLPGNFIRHALEESKIIEGPLLIEALHDYLNERRLILRGSFSENHDNASSANGFAVNQKHCTSSAKDGSSTVSIDQRKTIPSSKISYKAEKDGNGVDDLKKAISVLLQLPKSLSNLTITGLGESQGSVKRPFRCHNKIDVMEATPGCEECRRAKKQKLSEERSSFVQAHFPVLSDDEDTWWVKKELKPLEPLKVEQPLKTTKQVAKSRQKTVRKTQSLAQLAASRIEGSQGASTSHVCDIKVNCPHHRTAMDGDTTKFVDGIQTSQFEDIVSTGRALKRLRFVEKREITVWLMTVIRQLIGDTEKSIGKVGQFGRPVTTVDDRSSIRWKLGEDELSAILYLMDISDDLVPAIKFLLWLLPKVCSSPNSTSHSGRNVSMLPRNVDNQVCNVREAFLLSSLRRYENILATSDLIPEALSSVVQRATTIIASNGRVSGSGALAFARYLLKKYSNVVSVIEWEKNFKTTCDKRLASELEFGGRLVDAECGLPLGVPAGVEDPDDYFRQKISGGRLPSRVASGMRDVVQRNVEEAFHYLFGKDRKLFAAGTPKGPTLEKWDNGYQIAQQIVMGLMDCIRQTGGAAQEGDPSLVTSAVSAIVGSVGPSLAKLPDFSAGNNHPNASLATSSLSYAKCIMRMHITCLCLLKEALGERQSRVFDIALATEASNTLAGVFAPSKASRNQFQMSPETHDTSATMSNDAVNSSSKIVLARTTKIAASVSALIVGAIIYGVTSLERMVTVLRLKEGLDVIQFVRSSRSNSNGSARSVGAIKVDCSVEVHLHWFRLLVGNCRTICEGLVVDLLSEPSIVALSRMQRMLPLSLVFPPAYLIFAFVMWRPFIMNANVAIREDTNQLYQTLTTGINDAIKHLPFRDACLRDSQGLYDLMAADTSDLEFATFLELNGSDMRLNSTAFVPLRARLFLNAIIDRKMPQSIYTKDGGSQISGHGESKIQFTDSKSKLEDKLVDVLEALQPAKFHWQWVELRLLLNELSLIEKLQTHDMSLAKAIQLSSPSPEKAAASENENDFIQIILTRLLVRPDAAPLFSELIHLFGKSLVDSMLSQAKWFLVGQDVLVGRKTIRQRLINIAESQRFSIKPQFSEPWGWCSPCTDPITIKRDKRKVDSLSLEEGEVAEGVDVKRPLKGFSQVFDSEGSTIKQLHETERAFLELILPCIDQSSDESRYSFASDLINQLCSIEKLIAAVTRGPGKLAVSSPVTEGLTNKVNTRKTIKGGSPGLARRPTSSTDSSPPSPAALRASLSLRIQLIMRFLPILCTDREPSVRKMRNTLASVILRLLGSQVVLEDANILVNATHSSHSKRDVESPSNVACVAFLDSSVEGLFDQLLLILHGLLSSSPPCWLRLKAASKTANEPTRELLETLQNHLDCMQLPDSIRLRIQAAMPVLPPSTRCSFSCQPPSVANSSLASLQSNIKNSGSNSGSLATSQRSPVPLSRTAASGKSKQQDNDFEVDPWTLLEDGAGSCPSASNVGSGDRVNIRAASWLKGAVRVRRTDLTYVGPVDEDS >RHN71805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2719558:2723298:-1 gene:gene7441 transcript:rna7441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MLHILFTLLILYMIKIGSASMYTITSSHLIKDSETISSSDDAFKLGFFSPVNTTNRYVGIWYLDQSNIIWVANREKPIQDSSGVITIADDNTNLVVLDGQKHVVWSSNVSSNLASSNSNVTAQLQNEGNLVLLEDNIIIWESIKHPSNTFIGNMIISSNQKTGERVKLTSWKTPSDPAIGKFSASIERFNAPEIFVWNQTNPCWRSGPWNGQDFLGWTHDYKVSSSPYLLGVSITRKDNGSLVEFTYTLPDSSFFLTLVLSSEGKVVYTAWMNRVQVRKLFVQSNDCDSYGICGPNGSCDLKISPICTCLIGFKPRNMDKWNRRNWTSGCVRRAELQCDRVKYSGSALGEEDGFLKLPMTKPPDFVEPSYVLSLDECRIHCLNNCSCVAYAFDYGIRCLTWSGKLIDIVRFSTSGGVDLYLRQAYSELDGTHTDGIHGKRNITSIIIATVIVGAVIVAICAFFFRSWTSKRQGQINHENQSADLIANVKQAKIEDLPLFEFKNILSATNNFGSANKIGQGGFGSVYKGELLDGQEIAVKRLSEGSTQGLEEFMNEVIVISKLQHRNLVRLLGCCIEGEEKMLVYEYMPNNSLDFYLFDSVKKKILDWQRRLHIIEGISRGLLYLHRDSRLRIIHRDLKPGNILLDGEMNPKISDFGMAKIFGGNENEGNTRRIFGTYGYMSPEYAMKGLFSEKSDIFSFGVLLLEIISGRKNTSFHNHEQALTLLEYAWKIWIEENIVSLIDLEICKPDCLDQILRCIHIGLLCVQEIAKERPTMAAVVSMLNSEIVKLPPPSQPAFLLSQTEHRGNHNSKNSVSTTSLQGR >RHN75665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44169795:44170612:1 gene:gene11900 transcript:rna11900 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSRAWVAAGSVAVVEALKDQGICRWNHTLKSVQNHVKNNVRSFSQAKKLSSSSSSAMVSNSSKRQREKTKQSEESLRKVMYLSCWGPN >RHN67207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24932389:24934611:1 gene:gene15367 transcript:rna15367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MIRSDHSQNENLPLKLDLELYDLPQLNSISWLGPTTPRQTQSLQCLKHLQVLRCENLKSLFSMEESRSLPELMSIEIGDCQELQHIVLANEELALLPNAEVYFPKLTDVVVGGCNKLKSLFPVSMRKMLPKLSSLEIRNSDQIEEVFKHDGGDRTIDEMEVILPNLTEIRLYCLPNFFDICQGYKLQAVKLGRLEIDECPKVSQSLNAIQVALEDGSS >RHN44098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1612425:1615000:-1 gene:gene38157 transcript:rna38157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MLIAFLIICSLIVGTQSAATVTSQLQMEANAISNSGWWNTSDARFNISDRCNWHDICCNDDGSIKAINIDPWRSPLATPNLSTLNYSTFNNLERLVIRSAELEGTMPNEIGHLSKLTYLDLSNNYIEGQVPHSLGNLSMLTHLDLSGIYLLGPVPPSIENLRQLNYLDISFNNINGSIPPELWLLKNLTFLGLSNNSFKGEIPSSLGNLKQLQKLDISHNYIEGYIPFELRFLKNLTRLDISHNNLKGSIPHELGFLEYLSSLDLSHNRLNGNLPTFQTYLTDYVIYVDFRHNLISGQIPSHIGFYWSLNLSNNNLTGTIPQSLCNLFNYYVDISYNCLEGPIPNCLDHMENSDVCSFNKFQPWSPHKKNNKLKHIVVIVLPILIILVIVFLLLICLNRHHHSSEKLHGNSTKTKNGDMFCIWNYDGKIAYDDIIKATEDFDMRYCIGTGAYGSVYKAQLPCGKVVALKKLHGYEAEVPSFDESFRNEVRILSEIKHKHIVKLYGFCLHKRIMFLIYQYMEKGSLFSVLYDDVEAVEFNWRKRVNTVKGVAFALSYLHHDCNAPIVHRDVSSSNIFVNSEWQASVCDFGTARLLQYDSSNRTIVAGTIGYIAPELAYTMVVNEKCDAYSFGVVALETLVGRHPGDLLSSLQSTSTRSVKLCQVLDQRLPLPNNDIVIRDIIHVVVVAFACLNINPHSRPTMKRVSQSFVTELTPLSIPLSEISVQQLMSEELNALFYIENP >RHN78140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12220605:12221747:-1 gene:gene1662 transcript:rna1662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ANTH domain-containing protein MKRMRLWKRASGVLKDRYRIWVAKVSPYGPCRNPDLETLIIKATSHDEQCMDYKNVQRVFQWLRTSPLYLKPLLCGLSMRIQRTRSWVVALKGLMLTHGVYSFDLPMVQSMGRLPFDLSHFSDGHLSPEKGWVFNAFVRSYFAYLDQRSVILREEANKLQNKKGKESEEIPLIEELKNLEELQKLIDMLLQIKPKSEMSMKIVLILEAMDCVMDEILEVYGKFSKEINRVLLRVCDIGGKEEACIGLDIIRKAQLQGDKLSLYFDFCREIGVLNKSECPNILRINEEEIEELKRIKNKDEEKAIVVRNDYEQLKNGLKTTVITDQWEVFVDDVIVDVQQHVPNVTTLCVIHTNNPFVDECYSSVPYDPVQNYELPDLISL >RHN68735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38121201:38133429:1 gene:gene17123 transcript:rna17123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MGSGELAVAESGGASLPSVGADAMKRRVTYFYEPSIGDYYYGQGHPMKPHRIRMAHNLIVHYSLHRRMQINLPFAAAPEDICRFHSDDYVEFLSSVSPEIVKDNSHPHNRLLKRFNVGDDCPVFDGLFPFCQASAGGSIGCAARLNRGDADIAINWAGGLHHAKKAEASGFCYVNDIVLGILELLKAHRVLFFIFSVYTLLNVFFFVFFVIVHMTQRESCDYIIVSGLVPLIVNISKYLIYKRCGRRRVSEEEFPMGSGEMGVAESGGASLPSIGADAMKRRVTYFYEPSIGDYYYGQGHPMKPHRIRMAHNLIIHYSLHRRMQINRPFAAAPEDIRRFHSDDYVEFLSSVSPEIVSDNSHSHYRQLKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVRLNRGDADIAINWAGGLHHAKKAEASGFCYVNDIVLGILELLKVHRRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIKDTGVGPGKDYALNVPLNDGMDDENFRALFRPIMQKVMDVYQPDAVVLQCGADSLSGDRLGCFNLSVKGHADCLRFLRSFNVPLMVLGGGGYTVRNVARCWCYETAVAVGVEPSNKLPYNEYYEYFGPDYTLHVEPNNMENLNTPKDMEKIRNTLLEQIARLPHAPSAPFQTTPSTAEVPEVEEEDMDIRPKRQLWSGEDYDSDPDEDEKANIRSSSLTSHMRRAADMMEEDKPEVKPGVHPPC >RHN60372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25936094:25937897:1 gene:gene22678 transcript:rna22678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MFAKDDGFRDDSLRIIEKTQKFNSNCQVLFFSATLNDIVKNFVTRIVAKEHNEIFVKKEELPLKAVKQYKVHCPDELAKIEVIKDYIFKTGENRDKMVKEFKDGSTGVLISNDVLARGFDQDQVNLVINYDLPLNYTAEITCGGKPELDCEVYFHRVGRAGRFGRKGAVFNLICDERDEKLMSKIENHFGNHVTEVRQKCVEDYKDAFKKAGLLYRC >RHN80629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39583619:39585103:1 gene:gene4575 transcript:rna4575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MSMLAPPFQLLEINVISAQDLAPVSKSIKAYAVAWLNPERKLTTQADPHGHNNPTWNEKFVFRVDDDFLLSEESVIMIEIYASAWLRDVLIGTVAVHLNNLLPRNRKSKIRFVALQVRRPSGRPQGILNIGVNVVDATMRSMPMYSELSSSAVEYYDITKPNKQNQNYDNNSNCDAKHMMTLQRSQSEKNDSTINDYVYNPNGKNGYGGECESEISVPTGKKGVIVNANGSLCSDVGPSPSVVAAAIAKGLYPLPLHVPRKTVNNSMFEKWPPEKDNGGEMLNTKMDRWRQIDIPQVYDHLGNNNNGSVKKTGKQTKGKGKGKNRRQGSGLFSCFGTALGCEISITCGGGNHKKSGSTNKPRVADSELTYESSYI >RHN75526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42993682:43004652:1 gene:gene11747 transcript:rna11747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MECSSEDSSDNTQKPDSNNNNNNQRVYFVPHRWWKDAQDAMPVDLDKKKGIVYASSPGSSYGPMKIINNIFSSDLVFNLQREEESRNILENGEVGVSGRDFALVSGDMWLQALKWHSDSKNATKDDKGFSATDTDMGDVYPLQLRLSVQRETNSFEVRISKKDNTVELYKRACKLFSVDSEMLRILDYSGHITLLFSDGENHVPTDFQRQSDQENLLELQVYGLSDSMRCREGKKDSGSASMKMNGTADCTRASSLTFCLGPGEAGSLGLTGLQNLGNTCFMNSSLQCLTHTPKLVDYFLEDYSREINSDNPLGMNGEIASAFGDLLRKLWAPGASPVAPRMFKSKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYVEAKDGDGRPDEEVADEYWNYHLARNDSVIVDVCQGQYKSTLVCPVCRKVSVTFDPFMYLSLPLPSTTMRTMTLTVVSNTCDGMSQLSPYTISVPKHGKFEDLTRALSTACSLGPDEALLVAEVYNNRIIRFLEDPADSLSLIRDADKLVAYRFPKDNVDTPLVVFINQRMEEQYIYGKLAPNWKAFGIPVVASLCNIVNGSDLRNLYLKWFHPLQDLIEETLESCVVSERTEEVAEIEGVADSGSDTIVNGLDTPSDGEMEFYITDDKGTVKNSKILMNEPLTVNGGLNPLHVLVCWSEKQIKKYDTQLSSSLPEVCKSSFLAKRPQEPVSLYKCLETFLQEEPLGPEDMWYCPGCKNHRQASKKLDLWRLPEILVIHLKRFQYSRFMKNKLETLVDFPVDNLDLSSYITHGNQKSYHYTLYAISNHFGSMGGGHYTAFVHHGGDQWYDFDDSRVYPISKEKIKSSAAYVLFYRRVSEVLTERRE >RHN72897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11602973:11608785:-1 gene:gene8663 transcript:rna8663 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPVSVICTFLLFLSLSSPQARGDASGSVFFIDSSSHQFLRARSSNDEHPSISLKEVGAAVPVLLGFAPPSTLSASSSSKLNEVLIPNPFNRPRAVFLLEVNGINGLEKIVQDNPMFSKSLWDTNYIGSDRVDIQLPDENDVSVSSLDEQLEDCTDKEISDFSSSIGGSYAPDALEPLNGVLSVPLPNGALVNLHMSKEAERKFVIGLLSLTQNVKRAIQMHHDLSQTTLSPAELLTGRFNGIKVLQEQDEAEIIAQHGVELLLVTLTKIFGSLQEAYKGQIVGIIYCQTATPQESAKKFNVILTPPHYHRARWLEEVNALNTTFAEVALVRITLAWITGIILLVSTLMGTCYLLYMPITRDTLLYSNVKLD >RHN75577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43468146:43468370:-1 gene:gene11806 transcript:rna11806 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRTTPMKCMVRAKMMMMMTGSRWMIDAYDACCVFSLLLCYGLYDFGRFSMLHGTIFIFLHGL >RHN79702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31361639:31361803:1 gene:gene3527 transcript:rna3527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamine synthetase/guanido kinase, catalytic domain-containing protein MASDGSSKYGISTLGKEFMAGVLYHLPSILPFLAPLPIRFLVTITTHSPKSLSF >RHN70919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55075560:55076269:1 gene:gene19554 transcript:rna19554 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGRPISNLNEVAKNGMEENGSMLAEKLQIGSRPPKCERRCRSCVHCEAVQVPIVPSKVQIHRSHYDSAAYSSRGDGLSNYKPISWKCKCGDYFFNP >RHN78589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16474075:16475798:-1 gene:gene2211 transcript:rna2211 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFNFLSTLRRSSSYLNKQAIQSKFKNTTNVVFLMQIEICISSFYHILTQK >RHN72267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6282726:6286701:1 gene:gene7961 transcript:rna7961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exosome complex component Rrp42, archaea, PNPase/RNase PH domain-containing protein MEQRLANCWRLTVNEKKFIESALLSELRVDGRGPLDYRKLNIKFGRDDGSAEIQLGETRVMSFVSAQLVQPYKDRPNEGTLSIFTEFSPMADPSFEPGRPGESAVELGRIIDRGLRESRAIDTESLCILSAKLVWAIRIDIHILDNAGNLVDAANIAALASLKTFRRPECSLGGEDGQQVVVHPPEVREPLPLIIHHLPVAVTFGFFSNENLVVLDPTYHEEAVMTGRMTATLNANGDVCAIQKPGGQGVFQRVIMHCLKLAHVKAGDITSKINDAVEKHNNERALQKIKRHSSSVAVDVCGATATLGGKQNQSHGDKEGSHLDQSKLKGETNFMECDATSSGQVQSSKKDGSSKNFTGGPSSWDPYSECVNSDLLKASLASRGQLTPSKQKDSRHETKPEEPVQEIKTVSAPLDTALTAGQSNEGKTLKDAVKPKNKRKKKTSTNNGN >RHN59311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9416953:9417882:-1 gene:gene21319 transcript:rna21319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MLPFLLVLFLIFPLLFFFLKRSRNINARHPPGPRGLPIIGNLHQLDNSILYLQLSNLSKIYGPIFSLKLGLRPAIVVSSDKIAKEIFKNNDHVFSNRPMLYGQQRLSYNGSEIVFSQYSDFWRDIRKICVIHIFSAKRVSYYSSIRKFEVKQMIKNISNHATSSNVTNLSEILTSLSSTIICRIAFGRSYEDEGTNKRSKFHGMLREFEAMLTALFISDYIPFTGWIDKLSGLRARLERNFKEMDEFYQEVIDEHLDPNRQHEDDEEVIVDVLLQLKKERLFPIDLTFDHIKGVLMVCCLDSFLQFCFG >RHN63437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51310725:51314101:-1 gene:gene26135 transcript:rna26135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative costunolide synthase MPITLSATSIFGETMALKKWSHEQIIGALSSPFCLSLLFLVSVLFVFKFAIGKSKSKTNPNQLPSPPKLPIIGNLHQLGTLPHRSLRDLSLKYGDMMLLQLGQKQNPTLVVSSADVTMEIMKNHDRIFSNRPQHIAPKILLYGCDDVGFGLYGENWKQKRKLCVSELLNMKSVQSYHFVREEEVDELVNKLREASLNDECVNLSEMIISTSNNIVCKCTLGRKYEGDNEGNVKELARKVMIYLQAFAVGDYFPSLGWIDVLSGKIREFKETFRELDSLFDQVIEERLALKKKMENDQFKKKGFVDILLQLQEGGMLGFELSNNDIKALLTDMFVGGTDTASATLEWGMSELMRHPTIMKKAQEEVRRVVGNKSKVEKDDINQMHYLKCVVKEILRFHPATPLMAPRETISSVNLKGYNIPEKTMVYVNSWAIQRDPKNWENPEEFMPERFEHSQVDFQGQDFQFIPFGFGRRGCPGMNFGVTTVEYVLASLLYWFNWKLPFETNSNEQDIDMSEVFGLVVTKKEPLRLKPIAFSF >RHN65083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64081490:64084904:-1 gene:gene27980 transcript:rna27980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MQMSQQRPNSSGLGFYHQPAQGIYLQTNLCGDRDGSSSQGTDLSFETYKPQRFTLESYPEITGFIDCDSPSYASVSSNRTPFSPQESQSCHSDHHQSPDNTYGSPISGMSSVDDGNDLKHKLREIENSLLGPEDFDIVDSYGSCMETNLHGASPSAKYNWDLIAENIPKLDMKEVLLLCAQAVSDGDIPTARGWMDNVLVKMVSVAGDPIQRLSAYLLEGLRARLELSGSLIYKSLKCEQPTSKELMTYMHMLYQICPYFKFAYISANAVISEAMANESRIHIIDFQIAQGTQWQMLIEALARRPGGPPFIRITGVDDSQSFHARGGGLQIVGEQLSNFARSRGVLFEFHSAAMSGCEVQRENLRVSPGEALAVNFPFSLHHMPDESVSIENHRDRLLRLVKSLSPKVVTLVEQESNTNTSPFFQRFVETMDFYTAMFESIDVACTKDDKKRISVEQNCVARDIVNMIACEGIERVERHEVFGKWRSRFSMAGFRQCQLSSSVMHSVQNMLKDFHQNYWLEHRDGALYLGWMKRAMATSSAWMC >RHN60542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27970961:27973069:1 gene:gene22863 transcript:rna22863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MPFPSFYISSYSQTAKRNIKQIEMSFYFHQDSLSLQSTMSTTFTFLFFSFTLLFSFFSFLLFISRIKPWCNCNTCKTFLTMSWSNKFVNLVDYYTHLLQESPTGTIHVHVLGNTITSNPENVEYILKTNFNNYPKGKQFSTILGDLLGRGIFNVDGHSWKFQRKMASLELGSVVIRSYAMELVIEEIKTRLLPLIASVAEKKTASKADNTSEDVLLDMQDILRRFSFDNICKFSFGLDPCCLVPSLPVSNLANAFDLSSTLSAQRALTASPLIWKMKRFFNIGSEKKLKEAIKIVNDLANEMIKQRREIENGVESRKDLLSRFMGALNSHDDEYLRDIVVSFLLAGRDTVASALTGFFILLSKNPKVEEKIRVELDRVMNPNQECATFEQTREMHYLNGAIHESMRLFPPVQFDSKFALEDDVLPDGTFIKKGSRVTYHPYAMGRMENIWGPDCLEFKPERWLKDGVFVPKCPFKYPVFQAGSRVCLGKELAIVEMKSVVASLVKRFDVRVVGPNQEPQFAPGLTASFRGGLPVKIYERT >RHN81745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48642040:48643631:-1 gene:gene5830 transcript:rna5830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiomorpholine-carboxylate dehydrogenase MLSQAIITFIPFSHCMSEIQRPITPHKITNLTNNNNIIRVQFQSNNNHSKTRNKLPNFSSMASTNKDQITLSSSPIFISTENLRTILTHQTLINHIQSNLPKASTFLQTPIRQHYNLSPSSSLLLMPSWSSTPSFPYIGVKLVTHFPENSSQNLPGVQGSYVLFNSTTGQTLASMDSTELTLYRTSCVSGLASKYLARDDSEILVMVGAGALAPHLIKAHFSARPSLKRVFIWNRTVEKAKTLAKKLSESDEFPLSGLSFEGCGNLDEVVGFGDIVSCATNSEAALVKGERLKVGAHLDLVGSFKHSMKECDDEALKRGKVFVDNEAALVEAGELVGAFERGVIKEDEIGGNLLELIRGDKVGRSSSEEITVFKSVGSAVVDMLAAQFVYETYTRT >RHN46903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35663537:35671098:1 gene:gene41438 transcript:rna41438 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSMRRILAGGQAKTTLEGSSLFPSISDYNGRFHQGMISRVPNRGKLSLGKS >RHN81066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43059893:43061081:1 gene:gene5061 transcript:rna5061 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVENAMISYDPKSKTTLDHIPQPQPILKKGSSKGSKNFFKVVVFMLRGHSRKTKSIMPIDNESKSMWKKLLGSMRPMHLQSNQSPPQILNGENNHKKVVIENNVNSIIDTQGEDGFHNASEFLNSPSPTRSCLASVASSRYASAVGLSEMVEEEKEEEIVEKDNNNNNNNNTNENDDDMIDADAAGLNEMVEEEKKEEIVNKDSNNNNEIDEDDMIDAKAEDFIAKFYREMRLQRMDVRYNEMSMRSLGL >RHN62329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42657413:42657726:1 gene:gene24891 transcript:rna24891 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCNVHGFIWLMFVLSSFSEDNVTAKCRVITIDGAFEVNVGPFNVRKFFGDGAVLLDSSGVPVLTDEWGVTLNSLHHGVDYFLVCNFFL >RHN74089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27381452:27382039:-1 gene:gene10063 transcript:rna10063 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAGGSSSNMDIDTPAYEIKGRTMSIEEWDLIIQAENPVDFTSLTHHGCDLVRFYKKQKLMSYFSLLNGPTYEVLVRQFWVRASVFDKVAAKQEEAQMILVDPTLEGKTREEMGLLAFTGTEIRSNVMGIPVTINEHVIAQAMRRDASGTYDGEEIPNPRTSPWKEIVNNTIYGSKDAKPYSTLSMEKKCC >RHN54622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10842794:10844543:1 gene:gene29691 transcript:rna29691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MSHILNDIASQEYEKFEVKFIKKSVVKALNHFPKPFSVINLSNLDLLSGRFPVTYLYFYKKPKLGNFKSFVNNLKTSLAQILNHYYPFAGHIVQNHKTDEPQIICDNNGSLVIEAQVNVPLRNLDFYNLNETLEEKIVSIELDFPLQIQVTEFTCGGISIAFTFDHALGDATSFGKFISSWCEIAQNKPLSCIPNHKRNLCARSPLIYQPYLDQIFIKCTMEEIQNMKMSKNISLKRLYHIDASSINMLQRLASVNGIKRTKIEAFSAYVWKKMINTVDQKLYKKCKMGWLVDGRERMSVSKNSMSNYIGNVLSLAFGEARIQELKEGSLSDIGEIVHDAISKVSNEEHYLDLIDWIEFHRPGLMLAKAVLGQDDGPVLVVSSGQRFPVSEVDLGFGSPLLGTVYTSIERVGVGYMNQRQSGKGDGSWTVSAILWPELVDALKDDPIFQPMTASYLQL >RHN41737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32508840:32512839:1 gene:gene48089 transcript:rna48089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VIII-1 family MDDSIYPRLKLVDLQENRISTVIIGEHYKNTLILIGNPVCSTGLSNANYCNLRQQLKQPYSISLANCGGILSCPLDQKLSPQSCACAYPYQGMLYVRGPLFRELSNITIFHSLEKSLWEKLGLTPGSVSLQNPFFNGDDYLQMQLALFPPTGQYFNRSEVQRFGFSLSNQTYKPPHEFGPYYFIASPYAFPDSYKGASLSIGTIIGITAGSTTLILCLVGLMVYAIRQKKRAERAIGISRPFASWASSGKDSGGAPQLKGARWFSYDELKKCTNNFSGRNELGFGGYGKVYRGVFPDRKIVAIKRAQEGSMQGGLEFKNEIELLSRVHHKNLVSLVGFCFEKGEQMLVYEFIPNGTLRESLSGKSGIQLDWKRRLRIALSSARGLAYLHELANPPIIHRDVKSTNILLDDNLNAKVADFGLSKLVSDSEKGHVSTQVKGTLGYLDPEYFMTQQLTEKSDVYSFGVVMLELITSKQPIEKGKYVVREVRTLMNNKDEEYYGLRELMDPVVRNTANLIGFGRFLELAMQCVEGLASDRPTMSEVVKALETILHNDGMITNSTSASSSAANFEVSKGAAARHPYIDTLFTKKDDGIESDAFDYSGGYTFSSEVEPK >RHN77227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5209683:5212129:1 gene:gene658 transcript:rna658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small VCP/p97-interacting protein MKEGLKKKKMGICFGCFGGGDKRMTKEEERLASEEARARAAEAAQKRQEDFEKSAAGRAARAHQKGMAKQAANANHGEPTLKWQMG >RHN64770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61668663:61670640:1 gene:gene27625 transcript:rna27625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MASTTTLLVLLIPLLWINVACVAGNFNKDFQITWGDGRAKILNNANLLTLSLDKASGSGFQSKNEYLFGKIDMQIKLVPGNSAGTVTAYYLSSKGGAWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIVFSVDGTPIREFKNMESNGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTASYRNFNANNACIWNGGKSSCKSSSKPSASSASWLSQQLDSTGQQRLRWVQKNYMIYNYCSDKKRFPQGLPVECTHS >RHN52187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32508168:32508533:-1 gene:gene36829 transcript:rna36829 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLYHFKGIPVILTYKKGQRSNCKLHYFLPFSLNLTRLPLSLSPSSELSYPYNHCFVEDGGVTTA >RHN56061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27284628:27292303:-1 gene:gene31387 transcript:rna31387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MLCLRSIVSKQTKHEKWGSYASDLLDSNKGLWRDPRACKHTDHSHPPIHPIKFSNRKESGWKDHQCVLYELIVRHFLACLSEDALVQKTTVEVDLAGQQFFAMTMTLYKLGYRKMYHYESLFLGFSKFPPYTAGGELTPMDLIVKGSTYSSPQNLSDEDLLSRMQQERIGTDSTWHLLINTVIGRKYVERKFDGNTWRYEPTKLGLALFNGYDQMKLPLWKPEMRAKMEKQITMIKNGTATKKDCLRDTG >RHN62148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41157895:41162259:-1 gene:gene24692 transcript:rna24692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MAGRNDAALAAALQAVAQAVGQQPNVNAGANAEARMLETFMKKNPPTFKGRYDPDGAQTWLKEIERIFRVMQCTEDQKVRFGTHQLADEADDWWVAILPTLEQEGAVVTWAVFRREFLRRYFPEDVRGKKEIEFLELKQGNMSVTEYAAKFVELSKFYPHYTAENAEFSRCIKFENGLRPDIKRAIGYQQLRVFQDLVNSCRIYEEDTKAHYKVVNERKGKGQQSRPKPYSAPADKGKQKMVDVRRPKKKDAAEIVCFNCGEKGHKSNVCPEEIKKCVRCGKKGHVVADCNRTDIVCFNCNGEGHISSQCTQPKRAPTTGRVFALTGTQTENEDRLIRGTCYINNTPLVAIIDTGATHCFIAFDCVSALGLVLSDMNGEMVVETPAKGSVTTSLVCLKCPLSMFGRDFEMDLVCLPLSGMDVILGMNWLEYNHVLINCFSKSVHFSSVEEESGAEFLSTKQLKQLERDGILMFSLMATISIENQAVIDRLPVVCEFPEVFPDEIPDVPPEREVEFSIDLVPGTKPVSMAPYRMSASELSELKKQLEDLLEKKFVRPSVSPWGAPVLLVKKKDGSMRLCIDYRQLNKVTIKNRYPLPRIDDLMDQLVGARVFSKIDLRSGYHQIKVKDEDMQKTAFRTRYGHYEYKVMPFGVTNAPGVFMEYMNRIFHAFLDRFVVVFIDDILIYSKTEEEHAEHLKIVLQVLKEKKLYAKLSKCEFWLKEVSFLGHVISGDGIAVDPSKVEAVSQWETPKSVTEIRSFLGLAGYYRRFIEGFSKLALPLTQLTCKGKTFVWDVHCEKSFGELKKRLTTAPVLILPKSDEPFVVYCDASKLGLGGVLMQEGKVVAYASRQLRIHEKNYPTHDLELAAVVFVLKIWRHYLYGSRFEVFSDHKSLKYLFDQKELNMRQRRWLELLKDYDFGLNYHPGKANVVADALSRKTLHMSAMMVREFELLEQFRDMSLVCEWSPQSVKLGMLKIDSEFLKGIKEAQKVDVKFVDLLIARDQTEDSDFKIDNQGVLRFRGRICIPDNEEIKKMILEESHRSSLSIHPGATKMYHDLKKIFWWSGLKRDVAQFVYSCLVCQKSKVEHQKPAGMMVPLDVPEWKWDSISMDFVTSLPNTPRGNDAIWVIVDRLTKSAHFLPINISFPVAQLAEIYIKEIVKLHGVPSSIVSDRDPRFTSRFWKSLQEALGSKLRLSSAYHPQTDGQSERTIQSLEDLLRICVLEQGGTWDSHLPLIEFTYNNSYHSSIGMAPFEALYGRRCRTPLCWFESGERVVLGPEIVQQTTEKVQMIQEKMKASQSRQKSYHDKRRKDLEFQEGDHVFLRVTPMTGVGRALKSKKLTPKFIGPYQILERVGTVAYRVGLPPHLSNLHNVFHVSQLRKYVPDPSHVIQSDDVQVRDNLTVETLPVRIDDRKVKTLRGKDIPLVRVVWSGATGESLTWELESKMLESYPELFA >RHN62099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40718487:40722213:-1 gene:gene24633 transcript:rna24633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiamine phosphate synthase MGVESITKRVSSLCVAKFPLSVKAQLPTLKTQESMATAPKWAQKTIALPPYKRGCHLVTPKIAKEIEQDLSGFKCGLAHLFLQHTSASLTINENYDTDVRDDTETFLNRIVPEGPSAPWKHTLEGHDDMPAHIKSSMFGCALTIPITDGKLNMGTWQGIWLCEHRDHPTSRRVVVTLNGI >RHN68996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40167352:40168575:-1 gene:gene17422 transcript:rna17422 gene_biotype:protein_coding transcript_biotype:protein_coding MNWLIMISMLQSNMFTIILVKKIHYEGHSQVFHGTLMAFVALPREKLLNVFRSATLFSPITYINLNPTKEISLVVGLFLTNVRHTNKITLYLTIILG >RHN60981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32024824:32031487:1 gene:gene23387 transcript:rna23387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxo-5-alpha-steroid 4-dehydrogenase (NADP(+)) MLFFLQDPSLFSYSLHTLFLIAPPTFISLTFLQAPYGKHHRPGWGPNLSPPLAWFLMESPTLWFTLYLFPHGSNSSNPKSIILITPFLVHYFNRTIIYPLRLFFTKTTKNPSGFPFSIAVIAFLFNLLNSFVQARWVSHYKDFDDGWCFWVVFFCGVFVFFVGMMINVLSDKELLRLKSEGKGYLIPKGGLFEVVSCPNYFGEIVEWFGWALMTWSWAGLGFFLYTFANLGPRARANHQWYLEKFGEDYPKKRKAVIPYLLRWFGSKSVLNAVYADAEVIIWFYSLTLYVAEKEDLSMALALASTWTMFIAFGSLVCIGPISYTVGMAYQNAFSSVKVLQGLWRNDLVSLKGACPNCGEEVFAFVRIDRNIDSPHKQIVMCVCECLLEFRTNMERSVSRFGRQWVYGRIHLVSPRGRSQRQRQL >RHN62227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41862802:41863587:1 gene:gene24781 transcript:rna24781 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSPSAPLCFSQLNNSLTTFSCNSFTPFLHRTVPICKARHIGGVYFRTESNVLHKYVSKCKESGRDLYTEEGTTSFDWGDEEEEEIDEDEGLPWEGAVIYKRNASILHLEYCTTLERLGLGNLSTDVSKNKASVMGL >RHN59819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13571483:13573680:-1 gene:gene21978 transcript:rna21978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MTIIMGKILLTLLLLSSIFSIATSSKRRSRSSNNNIDWWCNQTPHPETCKYYTKQTHYYHNKSIKHKTKFREILIHLALERAVVMRNMAHNFGQNSWITQKKKSVSRDCLKLFDNTVFHLNRTFLDLHKKKSCSSFDAQTWLSTAYTNIETCRNGALELNIPKFIVPIMKVNMTQIISNGLFVNWEFVKRDGRVRYTNDVTEEGFPRWFSVRERKLLESSRAIKAHIIVAKDGSGHFKRVQDAINAAGRRRFKTRFVIRVKKGVYRENIVVGKMNDNIMLVGDGSRNTVITSNRNVQAGFTTYSSATAGIDGLHFIARDITFENTAGPRRGQAVALRSASDLSVFYRCSFKGYQDTLMVHAQRQFYRECYIYGTVDFIFGNAAVVFQNCMILVRRPLNGQANMITAQGRDDPFQNTGISIHNSQIRAAPDFKPVVGKFNTFLGRPWQRYSRVVVMKSFMDNLVSPMGWSPWGGTNFAQSTLFYGEYKNFGPGSSTRNRVRWPGYHVMKSPAQASPFTVATLLAGTTWLPATGVPFTSGI >RHN72906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11750081:11751536:1 gene:gene8672 transcript:rna8672 gene_biotype:protein_coding transcript_biotype:protein_coding MAKILVQILVSILLVLMAVEAASPPGNAKGRGNGYATCKIKKYKHCYNSVHVCPKSCPYDCTVECASCKPICTCDKPGAVCQDPRFIGGDGITFYFHGKKDNNFCLVSDNNLHINAHFIGRRNENMKRDFTWVQSIVILFDNHQLFLGAQKTSTWDDSVDRLALSFDGEPITLNESEGAKWESSGVSFVRETSTNNIIVEVEGNFRITAKVVPITEEDSRIHNYGITKDDCFAHLDLGFKFLSLSNEVNGVLGQTYKPNYVSRVNIGAKMPIMGGGKEYETSSLFSPDCSVARFIGNNGLNKNIGIVENLALPSLSCTSGIDGEGVVCKR >RHN50255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5560472:5563384:1 gene:gene34524 transcript:rna34524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MNSNITREPGLYVSVAQIDATTFNVCSVAPPNIVSDGIWGGPDSRRNPMKSALPVFEMQLLVIFTITQICNFFLKRLHFPAFIAPMLVGLILGPSIQHAEFDKYKKLLFPYGSQDILATISLIGYVLYIFTIGVQIDLSMVTRTGHKVWTIAIMGFVVPILFSFVPQFVVLLEKYYRFEDVTKPHLIVDIYRVVRIHSSVAFAVTATLLNELKILNSELGRLALSSAMVTSILGLSLQCIGNVLEQQESHMRIIFGMSLLALVVFAPLIFRPLMFWIIRHTKEGRPVDDGYIYGIILMVLGLGWFAGYINQEFALGAYVLGLAVPDGPPLGSALVRKLEFFGTSLLLPIFMTCCVMKADLSLPYTLNASIGFGIIICFTHIVKVIAYLISCLICKIPFKDALTLALILNAKGEVDLAKLSFSYDDKSFAGQIYAVNVISIMVVACIVKWSVKILYDPSRKYAGYQKRNIMSLKPDAELRLLACIHKQYNISAIIDALDVFSPTTEKPFIVDALHLIELVGRSSPIFISHRLQKTVSGSRKSYSNDVILALDLYEHDNYGGVTTHTYTAISPPTLMYEDVCQLALDKVASIIILPFHRRWTIDGAIESDDKNIRSLNCKVLEIAPCSIGILVSRSSLKNNSPVKLAMIYLGGRDDREALCLAKRAIRNPGINLVVYHLTSEDDHMSNLEYLLDNEALEEVKKLPHYGSKNVCYKKLIVNNSPGTSTVLRDIANEHDFFIVGRTHDSDLPLIEGLTKWIEFSELGVIGDLLASPDLGSRAGVLVVQQQVKDK >RHN45446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20919469:20920626:-1 gene:gene39787 transcript:rna39787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome-c oxidase MTNPVRWLFSTNHKDIGTLYFIFGAIAGVMGTCFSVLIRMELARPGDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGSGNWSVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSSALVEVGSGTGWTVYPPLSGITSHSGGAVDSAISSLHLSGVSSILGSINFITTISNMRGPGMTMHRSPLFVWSVPVTAFPLLLSLPVLAGAITMLLTDRNFNTTFSDPAGGGDPILYQHLFRFFGHPEVYIPILPGSGIISHIVSTFSGKPVFGYLGMVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIAVPTRIKIFRLSGWKAKHLSLGGRLVLLKSVLSSLPVYALSFFKAPSGIISSAESF >RHN81832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49223512:49228673:1 gene:gene5923 transcript:rna5923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ascorbate ferrireductase (transmembrane) MALGVPAVPFTYVAHLLGIVAIVLVLFWNLHFRGGLAWNSDNKAQIFNLHPVLMLIGLIIIGGEAIISYKSLPLKKEVKKLIHLVLHAHALVLGIIGICAAFKNHNESGIANLYSLHSWLGIGVISLYGIQWIFGFVVFFYPGGSSELRRESVPWHVLFGLFVYILALATSSLGFLEKLTFLESSGVAKYGAEALLVNFNAIITILFGTFVVLSAISQAPPAADDYAPI >RHN76119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47837255:47841343:1 gene:gene12399 transcript:rna12399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MHGLTMFLSLSSTLQFLQPSSCFQNQTSSSISMASFKPNHRRCVLNYSNCYTNKKQHGRGGNRMRVIVRAKRGESPYEVLGLSPSASVNEIKKAYRKLALKYHPDVNKEDNAQEKFLRIKHAYNTLLNSSSRRKYDSGNRGSNSSQRSQSWNQQVEEEFYGLGNFLRDVQITIGSYILSGRQHAIKPAISLACISLQTLLVND >RHN79915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33459009:33462470:-1 gene:gene3770 transcript:rna3770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA reductase MVGIFSLVTGMAGPSGFGSSTTAEQVTQGIDASNLTAIITGGASGIGLETARVLALRKVHVIIAARNMESAKEAKQIILQDNESARVDIMKLDLCSVKSVRSFVENFLALDLPLNILINNAGVMFCPFQLTQDGIEMQFATNHLGHFLLTNLLLEKMKQTAKATGIEGRIINLSSIAHTYTYEEGIRLDNINDQIGYSDKKAYGQSKLANILHANELSRRLKEEGVNITANSVHPGVIMTPLMRHSSLLMNFLKMFTFYIWKNVPQGAATTCYVALHPSLKGVTGKYFLDCNEFQPSAFASNGLLGRKLWDFSNKLINSISKS >RHN77312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5950675:5958873:1 gene:gene754 transcript:rna754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MYQWRKFEFFEEKYVAKCTIPEEEEQDDNNVKEKEKERKIECCSSGRGKVVTGFDDGTVCFFDRGLKFNYSFQPHSSSVLFIQQLKQRNFLVTIGEDEQLTPQQSALCLKVFDLDKMQSESTSTASPDCVGILRIFTNQFPEAMITSFIVLEEVPPILLIAIGLDNGSIYCIKGDIARERITRFKLQVENHSDKTLSSITGLGFRVDGQSLQLFAVTPSSVSLFSLHDQPPRRQTLDQIGSGVNSVTMSDRYELIIGRPEAVYFYEVDGRGPCWAFEGEKKLVRWFRGYLLCVIADQRTGKHTFNIYDLKNRLIAHSALVKDVSHMLYEWGNIILIMTDKSTLCIGEKDMESKLDMLFKKNLYTVAINLVQTQQADAAATSEVLRKYGDHLYSKQDYDEAMSQYINTIGQLEPSYVIQKFLDAQRIYNLTNYLEKLHEKGLASKDHTTLLLNCYTKLKDVEKLNLFIRSEDSIGELKFDVETAIRVCRSANYHEHAMYVAKKAGRHEWYLKILLEDLGSYEEALEYISSLESSQAGMTIKEYGKILIEHKPSETIQILIRLCTDEGDKRGHSNGVYVSMLPSPVDFLSIFVHHPHSLMDFLEKYTNKVKDSPAQVEINNTLLELYISNELNFPSVSQSNEGADYLNVASEKTSKISVQTNGTISDHKSSKKEKGRLERREKGLHMLKSAWPPETEHPLYDVDLAIILCEMNSFKDGLLYLYEKMKLYKEVIACYMQAHDHNGLIACCKRLGDSVKGGDPSLWADVLKYFGELGEDCSKEVKEVLNYIERDNILPPIIVLQTLSKNPCLTLSVIKDYIARKLEQESKVIEEDRQAIEKYQEDTQAMRKEVQDLRTNARIFQLSKCTACTFTLDLPAVHFMCMHSFHLWCLGDNEKECPACAPEYRSVLEMKRNLEQNSKSQDRFFQQVKNSKDGFSVIAEYFGKGIISKTSNGSTPGLGSGNASSSSGF >RHN66386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11697787:11698604:1 gene:gene14356 transcript:rna14356 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRGGQEDDLKKLNIDKDAGKDAFSCYSCHVLYKKMFYLMLYLSL >RHN61301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34649242:34654266:-1 gene:gene23749 transcript:rna23749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 27S pre-rRNA (guanosine(2922)-2'-O)-methyltransferase MGKPKAKGKHRLHKFYFLAKEHGYRCRASWKLIQLNSKFHFLESSCAVLNLCAAPGGWTQVAVQRVPVDHLVIGVDLAPMKPIRGVISIQEDITRPECRSRVRKIMNENGYSAFDVILHDGSPNVGGAWAQEATTQNSLVIDAVKLATQFLAPKGTFVTKVFRSQDYNSVVWCLKKLFEKVEVEKPPASRSESAEIYLLGIKYLAPARIDPRILDIKHLFEASAQPLAKVVDVLRDNAPLEILGSVTSICFTDPADLPIKDHDLTTEEVFFGWRINIRKALSPAKKTEPATTAEVGNDHEGDENDRILNEMEELTNAMDRKKKREKKILAKRRAKDKARKATGMQMDVVEDGYVDHELFSLASMKGKKDLVAVDTTDYEGGEGEVDDSENEENKGGLEHSSSDLDSDEERRRYDEQMEDLLEQAYERFVIKKEGTAKQRKRIKKFYDADSLLLESKYDSVEDDVQEANSLLVPLTDGTGPTQEEITNMWFSQDVFAEAVEEGGFEKDDGESEMDIDGIKEKTPVAEKINENKTAASVEIDRTRSQASKEMDFEIVPAPAATDSDDSSSDESEDDVAKKAEILAYAQKMLRKKQREYMLDDAYNKYVFDDQGLPKWFLDEERKHRVPKVAEAKARKKRVAMRKIEKIRKKANAISDQSDISDRSKSKQIDRLYKKSVPKRPQKEYVVAKKGVQVKTGRGKVLVDRRMKKNMRKNGIGKAGKRGSNAKGKAPKGGRAPIGKIPMGKGSSKASAKKGRK >RHN53903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5212083:5212887:1 gene:gene28868 transcript:rna28868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phytosulfokine MNKIAALFFMTLFLSCMLTHSSRPEPSFQKESMVATQKLDVDAVDKICEEIEEEECLMRRTLVAHTDYIYTQKHNP >RHN65687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4381255:4381866:1 gene:gene13559 transcript:rna13559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MSTRPLTIFIIAHVWLFMITTSVAQIVIDTSGEPVEDDEEYFIRPAITGNGGGSILVTRNAPCPLHVGLGNSEGTLGLAVKFTPFAPRHDDDDDDVRLNRDLRVTFQGFTGCGQSTDWRLGEKDATSGRRLIVTGRDNGAGSHGNFFRIVQTQTGGIYNIQWCPTEACPSCKVQCGTVGVIRENGKILLALDGGALPVVFQKE >RHN48486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47847702:47848196:-1 gene:gene43206 transcript:rna43206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-V family MDLYQYHNCICLLIYQLLIYEYVNNWNLEQWLHGAMRQHGYLTWEAWMKILLGLGTAKALAYLHEAIEPKVVHRDINSSNILIDDSFNAKISDFGLAKLLGAGYFRVSFMFHI >RHN44842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9054551:9056685:1 gene:gene39008 transcript:rna39008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAKKYEGPAIGIDLGTTYSCVAVWDSQINRAEIIHNEQGNRITPSCVAFTDAQRLIGDAAKNQASSNPSNTVFDVKRLIGRNYSDPIIQNDLNMWPFKVIAGRDDKPMIVVKYKGEQKQLFPEEISSMVLTKMREIAEAYLESSVKNAVITVPAYFNDSQRKSTKDAGAIAGLNVIRIINEPTAAAFAYGLQKRGNCVKERNIFVFDLGGGTFDVSILKIKGEVFEVKATAGDTHLGGEDFDNRMVEYFVEEIKRKNKVDISGNAKSLRRLRTSCERAKRTLSFAVDTTIEVDGLFENFDFCSLITRARFEELNMDLFMKCVETVNTCLKDSNMDKSNIDDVVLVGGSSRIPKVQQLLQDIFKAKELCKSINADEAVAYGAAVQAALLCKGVSPNLVILDVTPLSLGILINGDLMSVVIPKNTTIPVKKTEDFEKSEDNQSSIPIKVCEGERTRASDNNLLGLFYLDCNPSNPRGHSLNVCFDIDADGILIVSAEEECTGNKKEITIANNTRRLSPEQIMRMIREAEKYKAEDEEYQKKVNAVNALDDYVYKINKALKNIDRSAKLCPEEKMKINLECSKAKDILDSIKEKETAVFVNCLNKLKSVVKPILQID >RHN67721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29708608:29709234:1 gene:gene15941 transcript:rna15941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MIATIDNPSNLVEWILAEMLNQPELFQQATNELDKIVGKDRLVQESDIPNLNFLKACAREALRLYPMAPFNIPHVSLNDTVVGNYFIPKGSHVLIKRSGRNPLLWDEPHKFKPERHFKNGESNIVLTEPGLKFISFSTGMRSCPGVKLGTTMTVLLLARLLHGFTWSIPPTTSSISLEKSKDDMFLAEPLVVVAKPRLPTELYNFSTK >RHN74643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35414742:35419104:1 gene:gene10747 transcript:rna10747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endosulphine MSNIEDSKDIGQMDVDASDGSVNMPSPQKQEETLKKKYGGIVPKKPPLISKDHERAYFDSADWALGKQGGSKPKGPLEALRPKLQPTQQQTRYRKSPYAPSGEEGGSVPSEDAASNE >RHN67928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31532738:31534299:1 gene:gene16186 transcript:rna16186 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLCLNCQYLNPPATKYVDIGDSSEIIAMEDINKLIEEDPLLAFEKLLTGVQSFSIRTLLQELKTLMDSSSDLDHLVSNQESKLKLISLFHGLNHHQGLLPSNVKEFVEKVQNFFNDDYIIKYTTSQQVLKKRNQLLDLKTNLMKKLLSAKSTQAHIDDESSTANAQIHELSLQIDNLKSVLNKCDVQKEKLKAECTEWAQQSKELLSALVSTEVDVIEAERVMKLATEGFVNLKSSFPTF >RHN47815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42742585:42744927:1 gene:gene42460 transcript:rna42460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbonic anhydrase MIINLFSLVILLLILCSSSFLVSASDSGGGNEYNYKKGDSKGPENWGNLKPEWKLCGNGKLQSPIDILNKRVQELPQLGKLEKDYKLGPAFLKNRFNDVMLQWKGYAGKLNLNGTYYKLIQCHWHTPSEHTLNGSKFDMEQHCVHQNSKDEIAVIGIWYKIGRPDPLLSKLLNHIKSIRDKEIDVGIINPADIFKFGGTKYYRYIGSLTSPPCTEDVIWTVLKKVKTVSVEQLKALKAVNHGFEENARPTQDLDGRKVWFYNPRKEKKST >RHN59965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15391371:15394373:-1 gene:gene22139 transcript:rna22139 gene_biotype:protein_coding transcript_biotype:protein_coding MLHICNWTKVHTFFVDWVVRNFDKENMWITLSKTEVLPLKEDDVHRVYELPMAGKQINVDLCSEEAIKRLRTELGFDENYSPFVKVADLERILKTLEQPKAWVKGAICFIIHNILCPTNSSFVSLQYAHILEDPAGVSSYNWCSHVLAYMKEGLQTQEVANPLADFHFLMINYMEKMGKRSPFLTGKYKRPSLRDWDVKTANQDLQKVHDLMGLEHGLTTGVTKLYSTNEGPLVLCFDADTCPLSKAEMHLNHCRSCIRIYTTTAETLERRIAEGNIGTSGKNDAVAEETNITKVNPIEVQPETEDNISLRAGTDLTRKRKERSSSVDNGQAKNESSIPQTSTRGEERLNNHDSVNKKCNSESVKTQCKVKQANVMEEEDPKEQKPLEPNKHFVLEPIPLRYVLPDAIIDLDNVETVQKKKRKKHDMLYSGGTYPERRRAVKKSKYLASPYDEAVYESNATKMQKDISTFAWSISHDKTEILYCSDNKAHAYRLQRSDLWTLQKDEWVSCFVINAWVNCLNWNQPNEKMTRLVTPFINHVCITYSMNVKSLHTV >RHN49685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:288715:293276:1 gene:gene33889 transcript:rna33889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase TKL-Pl-4 family MGSGNEVHSVGEFNLDAKWLIDPKQLFVGPKIGEGAHAKVYEGKYKNQTIAVKIINKGETPEEISRREARFGREVAMLSKVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLLSMRPKCLDMRVAVGFALDIARAMECLHSHGIIHRDLKPDNLILTGDHRTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNTRPSAEDLPGDLAMIVTSCWKEDPNDRPNFSEIIQMLLRYLSTISPLEPVVPMRMASSENIVLPPESPGTSALMFRRDDSGETPKANVEERFKGYFVCFNQCY >RHN44053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1271692:1272074:1 gene:gene38102 transcript:rna38102 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLYAILICFLGRILDGLSLGAKERDLEQIGHENMKIGKQNMSPTSQKPGTARASLGTAVPPSRRPFAAFAWALSYSILAHNLPRNILGIYLIRF >RHN41909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34027491:34028182:1 gene:gene48282 transcript:rna48282 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPEVEVYCPPNCVAKDIDMIGVNLAKIKKDKYVSQKSTHICLFYFCQTDKDQVTIAGCVSFVQFLSVGP >RHN80453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38232721:38235124:1 gene:gene4382 transcript:rna4382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MMYTSSMKSLKMVMVKLMTFFMLIEVITCQFGFGFGGGLNMNYYLMSCPFVEPVVKNIVNRALDNDPTLAAALIRMHFHDCFIQGCDGSILLDSTKDNTAEKDSPANLSLRGYEVIDDIKDELENRCPGVVSCADILAMAATEAVFYAGGPVYNIPKGRKDGRRSKIEDTRNLPSPSFNASELITQFGQHGFSAQEMVALSGAHTLGVARCSSFKNRLSQVDPALDTEFARTLSRTCTSGDNAEQPFDATRNDFDNVYFNALLRKNGVLFSDQTLYSSPRTRNIVNAYAMNQAMFFLDFQQAMVKMGLLDIKQGSNGEVRSNCRKIN >RHN51022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13033706:13035290:1 gene:gene35383 transcript:rna35383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MKGVEIEQPLKLHFIPYLSPGHMIPLCDIATLFASRGQQVTITTTPLNSHFFTNKSPFFRLHIVDFPSLQVGLPDGVESLSSTTDHATSIKIYTAAKLLLEPIGDLMQKDPPDYIIADCIYPGVYDMAHKLQIPILAFTVFSLFTVSLLESLRTNHLLHSHMDLGSFVVPNFPHRITLCTNPPKAFTEVMETMLEAILKSNGLIVNNFAELDGQECVKHYEKTTGHKAWHLGPASLIHKTVQEKADRGNESVVNVQECLSWLNSKRDNSVLYICFGSICYFSDKQLYEISCGIEASGHEFVWVIPEKKGKEDESDEDKQKWLPSGFEERNIGRKKKGLIIRGWAPQVMIMSHNAVGAFMTHCGWNSVVEAVSAGIPMITWPLNGEHFYNEKLITDVHGIGVEVGATDWSMYVIDEKKVVSRDSIKNAVRRLMDGGIEAEEIRRRSQELGEKARLAVQQGGSSNNNLLTLIEDLTRLKHIRKPLH >RHN60066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:18117340:18118924:-1 gene:gene22266 transcript:rna22266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVAVTKLINVMLIFLTLFLGALSIFPEHNECRTSFDCRKYFCQLPLRPTCNYVEIFRHYYDTTCGCA >RHN81726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48490804:48494776:1 gene:gene5810 transcript:rna5810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MVQHAVIIHKSQQPQTFTKMAKNSHTLSLFLFLTLVRTSYAGGIAIYWGQNGEEGSLYETCATGRYTHINIAFLSRFGKGRTPTLNLAGHCNPSTNSCTKLSHSIKYCQSRGITMFLSIGGGLGRYSLSSIEDARSFSRYLWNTFLGGTSYSRPFGDAVLDGIDFDIEIGSTKNWQHLARFLKDYNGVYLSAAPQCPFPDRFLGRALETGLFDFVWVQFYNNQACDYNKHRMNNLVSSWKHWTTTIPAWKIFLGLPAAKDAAGSGFIPANVLTSQILPLIQDTSKYGGVMLWSRYFDEWTGYSSSIIASV >RHN42542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39187934:39188899:1 gene:gene48993 transcript:rna48993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative selenium-binding protein MPGLITDFLISLDARFVYFMNWLHGDIRQYNIEDPKHPMLTGQVWFGGQFQKGSSIVVTTEDGNTWQSYVPYIQGNKLRGGPQMIQLSLEGKRLYVTNSLFSANKQVYQELIEKGSHMLQIDVDSEEGGIKINPKFFVDFGTEPNGPSLVHEMRYPGGDGTLDIWI >RHN63491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51736685:51737840:1 gene:gene26193 transcript:rna26193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SOUL heme-binding protein MEKTWIAAMCLIMAMIRNTTATESPQYTVVHTESDFEIRLYRTSVWMSAPAVNLISFEKATRNGFHRLFQFIQGANLNFSRIPMTTPVLTTTVPGTGPLESQGYYVSFYLPTKFQENPPLPLPELNIKSYGFESHCVAVRGFSGFAKDEKVVKEAEKLDLSLSRWGGESEVKRKGGYSIAQYNGPFRIAKRRNEVWVDVHAPQLGCPDAGVAAY >RHN58084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43630979:43635837:1 gene:gene33691 transcript:rna33691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphopyruvate hydratase MSVQEYLDKYMLSRKLEDAVNAAVRAKTSDPVLFISNHMKKGVQSVITKVKARQILDSRGIPTVEVDLYTNKAMFRASVPSGNSTGMYEAVELRDGDKGVYLGNGVAKAVKNINEKISEALIGMDPTLQSQIDHAMIDLDKTEKKGELGANAILAVSIAACKAGAAEKEVPLYKHIADLSGKTNPVLPVPAFTVISGGKHAGNNLAIEEIMILPIGASRFDEALRMGSETYHHLKAVITEKCGPHNCNVGEDGGFAPNVSRQAFYLKHSGFVQFLKFFTFK >RHN44328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3582254:3585705:-1 gene:gene38431 transcript:rna38431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MSSNSNDKVNHVVETHTPQIDDNETLSAMVLGSNLVFPAVLNAAIQLNLFEIIGDGFKSAIEIASNLPTQHSDLPNRLDRMLRLLASYSLLSISTRTNDDGSIVRVFGITPSGKYFCYDENSDHGYVGSFTSFMCHPALIGLWLNFKDAVLDPEIDLFKKVNGISKYEYFGKDPQINQIFNKSMTNMTKIHMKEILEKYKGYEGISTLVDIGGGNGQTLKMIIEKYPSIKGINFDLPQVIENASPIPGIEHVGGSMFESVPQGDAIMLKAVCHNWSDEKCIEIFSNCYKALPQNGKVILVELALPECPEPTNASRFASIIDNIMFINAGGKERTPKEYEIFAQRSGFSRLEVVCCAFSIIGVMEIYK >RHN58824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5234506:5234736:-1 gene:gene20775 transcript:rna20775 gene_biotype:protein_coding transcript_biotype:protein_coding MLFENSGEDGLNAEENIDVDAHSSSPPNVDIVEDCNHVLEDVQSKDADESMEAMLSSDDVMMRLKLKDIAIYLKKA >RHN81133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43533935:43549401:-1 gene:gene5133 transcript:rna5133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosinetriphosphatase MVETRSSSSSSKRPLSSPSSSPSNTKKRSKVSKNVSSKVNPSPLVNESGERERRPSDLSEMAVDGNNDKSSSLPNEDEALVSPPQCIESGQIAEKSKVLPPLSRSKKRCTKSNSKSAWGKLISQFSENPHLPMCDPIYTVGQCRQCNLWLKDPSVSNVLCKLSHIEHGGSSVALLEIIGNCGAVKVNGKMCGKKSRHILSGGDEVVFGVSGKQAYIFQQLNNNITTANIPSPVTILEAQGASITGTQLDARSGDLSSVAGASILASFSELNEDLSMISPSSNTSKNMQQKTDVSSLPAGNGDDKANTDMKHNIINDEPDRVFSAEETGLPSSTTVNEDPNVVAVEVNAGVDADVGKMTAASCKLRPLLHKLSGSCPEFDLSGNIAKILEERKELKELLKDVDTPTILTSPKQQALKDSLQMRILNAENIDVSFESFPYYLSDTTKNVLITSAYIHLKCNGSGKYVSELPSLSPRILLSGPAGSEIYQETLSKALAKHFGAWLLIVDSLSPPGRTPLKEVDSTKEIPIPRTERTSMFTKRSTPAATIHIQHKKPASSVDAQIIGGSTSSSQAVLKQEVSTASSKGSAFKTGDRVKYVGDFPSAASSPQVFPSRGPSYGCRGKVLLAFENNGSSKIGVRFEKSIPDGNDLGGLCEDDRGFFCSANHLVLVDGCGGDDSGKVAINEIFEIASSLSKSGALVLLIKDIEKGVAGNSEVLKSKFASLPQNVVVIGSHIHPDNRKEKTQPGSLLFTKFGGNQTALLDLAFPDNFTRLHDRSKETPKVMKQLNRFFPNKVTIQLPQDEALLSDWKQHLERDVETMKAQSNVVSIRLVLNKFGLDCPELETLSIKDQTLTTENVEKIIGWAISYHFMHSSEASTEESKPVISAESIQYGFNILQGIQNENKSVKKSLKDVVTENEFEKKLLGDVIPPTDIGVSFNDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDRERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAANREKIMRVILAKEELAPDVDLEALANMTDGYSGSDLKNLCVTAAHCPIREILEKEKKERTSALAENKPLPRLCSSADIRPLKIEDFKYAHEQVCASVSSDSTNMTELLQWNDLYGEGGSRKKTSLSYFM >RHN69526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44137565:44142127:1 gene:gene18005 transcript:rna18005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Protein-PII] uridylyltransferase MAEVCWPYFDPEYENFSNRINPPRVSVDNASCRNCTLIKFDSVNKPGILLEVVQILTDLDFIITKAYVSSDGGWFMDVFHVTDQQGKKITDSKTIDLIEKALGPKSQNKDELKNWSGKRVGVHSVGDHIVIELIGRDRPGLLSEISAVLASLHFNVVVAEVWTHNRRTACVLYVNDGARQAVDDPKRISLMEEQLNNILRGYEDGEKVASRTSLSMSFTHIDRRLHQMLFADRDYESYGVTTTDVECPPSFRPKITIECCEEKGYSVVNVRCKDRAKLMFDIVCTLTDMQYVVSHATISSDRPYASQEYFIRHMDGCTLDTEGEKERVIKCIEAAIQRRVSEGVSLELCAKDRVGLLSEVTRILRENGLTVCRAGISTRGEQALNVFYVRDASGNSVDMKTIEALRKEIGKTMMVDVKKVPTHDKEQETRGWAKTSFFFGNLLERFLA >RHN66177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9512427:9513349:1 gene:gene14109 transcript:rna14109 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATTMKFFSFFFVAMLAASIASAQDLSPSLSPAPGPDAGAAGYVTNSVTMVGVSIVLSMLAIFKH >RHN68564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36586611:36587402:1 gene:gene16932 transcript:rna16932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLECPLLTHAGIASAIYERPSLSSFSVGNFMEPRESKNVTSYFIDSLVSLKHLTHLDLSLSCVTDDMLISVANEDLPLRNLVLQDCCEYTYFGISYFLSKCRFVQHLNLQNAKFLNDDDNSSMLYPYLRDLVSINVSGCNMLTNVTLFAFPRHCPLLSDIRMESTSIGIGPLGEPFVYRQVKSLHLANNSQLLNVNIDIFAFMFPNLQLLDLNSCPGISKDIGNVLRRWGDIRYLELPFYPQKELPWINFKSSKLEVLNLSKS >RHN40945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24814895:24821647:-1 gene:gene47194 transcript:rna47194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactosylxylosylprotein 3-beta-galactosyltransferase MMPNKYSSKFHGHNVASFSLSRRSLILSALFLCIIAAFIFFRPFQGNRCLNSNPRSVKVVWEHSAAAAVTGSHDQVSNHRHKVMGFVGIQTGFGSVGRRQSLRNTWFPSDHQSLQRLEEATGLAFRFVIGKTSEQSKMSALKKEVAEYDDFILLDIQEEYSKLPYKTLAFFKAAYALFDAEFYVKADDDIYLRPDRLSLLLAKERSHTQTYIGCMKKGPVFTDPKLKWYEPLSHLLGKEYFLHAYGPIYALSADVVSSLVVLRNDSFRMFSNEDVTIGAWMLAMNVKHENNLELCASDCTATSIAVWDIPKCSGLCNPEKKMLELHQKESCSQSPTLESDDD >RHN54376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9103475:9105781:-1 gene:gene29396 transcript:rna29396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDFVPNPCPSRSSLFGSFGNFVDKVKQFGTLAISVIIGNIFSAILTFCFALVGTLLGALTGALIGQETESGFIRGAAVGAISGAVFSIEVFESSLVLWHSDESGIGCLLYLIDVIVSLLSGRLVRERIGPAMLSAVQSQMGAVETSFDEVQNIFDIGGSKGLSVDSVSKIPKIKITADNIEASGEKVSCSVCLQDFQLGETVRSLPHCHHMFHLPCIDMWLLRHGSCPLCRRDL >RHN43796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48533874:48543330:-1 gene:gene50422 transcript:rna50422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuole morphology and inheritance protein MADALSAIPAAVLRNLADKLYEKRKNAALEIENIVKQLASNGDHDKIAAVITLMTNEFTYSPHANHRKGGLIGLAAATVGLTSEAPQHLEQIVPPVLSSFSDQDSRVRYYACEALYNIAKVVRGDFIVFFNQIFDALCKLSADSDPNVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPFVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAGSPDEFTRLTSITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEALRGIKADPAEAFDVESILSIARRQLSSEWEATRIEALHWIATLLNKYRTECLQFLNDIFDTLLKALSDPSDEVVLLVLDVHACIAKDPQHFRQLVVFLMHNFRIDNSLLEKRGALIIRRLCVLLNAERVYRELSTILEGESDLNFASIMVQALNLILLTSSELSEMRVLLKQSLVNPAGKDLYVSLYASWCHSPMAIISLCFLAQTYQHASTVIESLAEEDINVKFLVQLDKLIRLLETPVFAYLRLQLLEPGRYTWLFKALYGLLMLLPQQSAAFKVLKTRLKAVPSYSFNGDHLKKSSNGNPYQFLHHISGGSHITEDGNVAVDNGDPHNGINFVARLHQFHQMQQQHREFFRNQAQTRKTSTSVSKEEVQRLEEGEEETRRPHLSELNVPPRSSKRAS >RHN70023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48094336:48096952:-1 gene:gene18563 transcript:rna18563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MNKTRFGVLFILFILLASKMSVQAEEFNFAPFTPLHFRVESCFTRSRRYNGICLSDESCFKACSLEGYACGRCEKRRPYLGDHCCCKKSCQLKLVLAVLCLVCFFSA >RHN50037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3433743:3437009:1 gene:gene34285 transcript:rna34285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin protein, neddylation MKKRQFCIERFKNRVVVDSNYAEKTWKILEDAIHDIYNHNACDPTFDELSRNAYNMVLHRFGEKLYTGLEKTMTSHLKQISQSIESAQGESFLEELNRKWVDHNKALGKIRDILMYMDRTFVPSNHKTPVHELGMNLWRDVVIHSNKTKTRLRDTLLDLVLRERNGEVISRGLMRNLLKMLMDLGSSVYQKDFEDRFLEASEIFYSCESEKFIKSCDCEDYLKKVESCLNIEKERASHYLDSSSESKIISVVEKKMIENHRHTLIDMNKSVLVNMLRGDKYEDLERMFNMFRRVHSGLTIIKDVMTSFIRDTGKQLIMDPERLKNPVDFVQCLLVLKDKYDKVITLAFANDKSFQNALNSAFEYFINLSARSPEFISLFVDDKLRREIKGVGEEDVETVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSRKTVSEEAERSLIFKLKTECGYQFTSKLEGMFTDMKTSYDTMQGFLADHGAQLGDSPTLSVQVLTTGSWPTQPSPQCNLPSEIRGLCEKFRNYYLGPHSGRRLTWQANMGNADLKATFGKGQKHELNVSTYQMCVLMLFNNADRMTCKEIEQATAIPMSDLKRCLQSLALVKGKNVLRKELMSKDISEDDVFFFNEKFTSKLFKVKIGTVVAQRETEPENIETRQRVEEERKPQIETAIVRVMKSRRVLEHNNVIAEVTKQLQARFLPNPVVIKKQIESLIEREFLERDKVDRELYRYLA >RHN45332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16997125:16998189:-1 gene:gene39611 transcript:rna39611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MDYLSSLMTVCFLFATVVFYITNGEPVVPALFIFGDSIVDVGNNNKLHTVVKADFFPYGRDFVTKNPTGRMSNGKLAIDYASEFAGFTSYQPAYLNLNTKGSNILNGANFASSGSGYHDSTSILYHVIPLMNQLEFYKDCQEELVKLIGKENATSIISGAAYLLVDGSGDFAQNYFINPILQNIYTPYQFSDVLMQEYYNFIQARPSQPCIFP >RHN77209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5087613:5089330:1 gene:gene637 transcript:rna637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phytosulfokine MSKLVTLFTLALLLSLSLTSASRPNFVFKGVSSLHEDIVSSKASSVDLEDENCEGVEGEQECLTRRTLAAHLDYIYTQHKPKN >RHN43270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44493591:44495133:-1 gene:gene49817 transcript:rna49817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MDKLNFIKNGVSRLPPGFRFQPTDEELVFNYLKCKIFSCPLPASIIPEVNVCKYDPWDLPGGCDEQERHFFSSKEAKYRNSNRMSRTTKCGYWKATGSDKKISSSTCNGIAGLRKTLVFYEGKSPNGSRTDWILHEYRLINVETTNNSAQNYGNEIGEWVLCRLSVRKRSGLEYGSTSTPNSRLMFDFMMVNNKTCSSTSSSCSSSSNNIEVSSNVQDHEQDYADHF >RHN63234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49584310:49592929:1 gene:gene25913 transcript:rna25913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative COPII coat assembly protein, Sec16 MASNPPFHVEDQDDEDFFDKLVEDDVGNVNDEANDSDDVKAFSNLSIGGDDADVNASAFENSSGGGSGGEGKERKEEGDVKLDGGNVQEGSSSGCDGMMDRSDHGMESRNSSGSSADKSNRRSSLDVKEKDWNAFNVDSNGGAGSESYSDFFSEFGDQNGKGYDHDLNTEVKHANEIPGDQYAQTYNRDSNTEVKLGNEIPSDGMNASVDYVQYQEGQSYDASARNSTSGEDVNSSQYWESLYPGWKYDYNTGQWYQVDEHNATAATQGSSEVNTAEVSYMQQTAQSAVAGTLAESAATETVPSWNQVSQGNNGYPEHMIFDPQYPGWYYDTIAQEWRSLETYHSSIQYAVQGHGNGHASSGTFSHNDNSLYRDYGQVGYYESQGVGSQAANNNWSGSYGINHQQDLDRHTTDTATKSGGSAYGGNQQFDHSFGSSNSVNKNQQNASSSFGSVPLYNKVNHGHGLVNGTVEVQRFAPSGNFGQHYNYSNTQFDEQKNISNDYAESHQPFGYSNQSYQSGHQQSYAPNVGRSSAGRPPHALVTFGFGGKLIILKDSSLSSSTYGSQGAAQGSVSVLNLMEAVSGSIGSSSIGNGAGDYFRALGQQSIPGPLVGGSVGSKELNKWIDERIAHCGSPDMDYKKSERMRLLLSLLKIACQYYGKLRSPFGTDTILKDNDTPGSAVAKLFASAKMSGKEYGVLSHCLQNLPSEAQMRATASEVQNLLVSGKKKEALQYAQEGQLWGPALVLASQLGEKFYVDTVKQMALRQLVAGSPLRTLCLLIAGQPAEVFSSDSSNSGDPSAFNMPQNPAQLQFGSSGMLDDWEENLAVITSNRTKDDELVIIHLGDCLWKERSEITAAHICYLIAEANFESYSDSARLCLIGADHWKFPRTYASPEAIQRTELYEYSKVLGNSQFILLPFQPYKLIYAYMLAEVGKVSDSLKYCQAVLKSLKTGRAPEVETWKQRLSSLEERIRTHQQGGYAANLAPGKLVGKLLNFFDSTAHRVVGGLPPPAPSSQGNVHGNEQNYQSGAHRVSNSQSTMAMSSLVPSGSMEPNGEWTADNNRMTKSNRSVSEPDFGRSPRQETSHDAQGKASEGTSRFSRFSFGSQLLQKTMGLVLKPRPGKQAKLGEKNKFYYDENLKRWVEEGAAPPAEETALPPPPTTAAFQNGLTEYNLQSALKTEGPPSKEGSDLKTSNPELTPGIPPIPPGTNHFSARGRVGIRSRYVDTFNQGGGNSANLFQSPSVPSAKPVVAANAKFFIPTPAPSSNEQTMEAIEENNQEDDLAYENPSTSYRNDWSFQSPKHASASTWQRCPSMGNFANHEAVVSGSNSRSPHSRRTVSWGGSTDVTYSPTKMREIMPLGEALGMPPSTYMSDDISSMRTSMKSGNFGEDLHEVDLSTHN >RHN64681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60969007:60969945:-1 gene:gene27523 transcript:rna27523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannosyl-oligosaccharide 1,2-alpha-mannosidase MVHLIVQLAWTCYNFYQSTPTKLAGENYYFRKDEDMNVGTSWNIQRPETIESLFYLWRFTRNKTYQEWGWNIFQAFEKNSRTETGYVGLRDEYFLMHYKNYGFPIVTCALRNITKPLLNTQVNTGDKDDMMQSFFLAETLKYLYLLFSPPSVISLDEWVFNTEAHPLRIVTRNSHEEGQSIDPEEKIPHHLHGRKEGRIDYK >RHN72251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6125294:6127698:1 gene:gene7943 transcript:rna7943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MESLPSSSTTSNSKSIIDSGERNWLDLPRDAVLSIFRKLDAIDLLVRAHNVCTTWRKISKDPFLFRTINMPNLGEPDYQLDLETLCQRAVDYSCGHIIDINIEYFGTDDLLHRIANSASHLQRLRLASCWSISDEGLCDAAEKFPCLEELDISISNLSDRLFEPIGRRCPRLKTLKFNSQGYRHPHIQYDDDDEDAYDDNEAFAIAKYMPGLRHLQLIGNEMTNDGLVALLDGCPHLESLDIRRCFNVNLVGTLGKRCKEQIKYFRLPHDATDDYPFQTSECDYGSPVEDDADESDLMSDYDYDYEDYDDFLGEGDFSDDNPFTF >RHN51440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17836578:17840444:1 gene:gene35874 transcript:rna35874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (adenine(58)-N(1))-methyltransferase MLAIDSTRKMSFNRTISNGDLAIVYARHDNMKPVTVSEGSVLQNRFGVFKHSDWIGKSFGSKVFSNKGGFVYLLAPTPELWTLVLSHRTQILYIADISFVVMYLEIVPGFVVLESGTGSGSLTTSLARAVAPTGHVYTFDFHEQRSGSARDDFEKIGISSLVTAGVRDIQGEGFPEEFAGLADAVFLDLPQPWLAIPSAAKMLRHDGTLCSFSPCIEQVQRSCETMQSNFTDIRTFEVLLRTYEVREGKMESLEIDGDDGSNGSLPPCKRRQRSDGASVVSYPSSSSVMARPCGEARGHTGYLTFARLN >RHN47031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36603897:36607030:-1 gene:gene41580 transcript:rna41580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L5 MATTPSLLHSSASSFLSQFRALPTQFSSSSLLCHGNNRVVSVKADASGAVLVEKSEAETVYRLKTTYNDKIVPLLMEEFSYTNIHQVPKVKKIVVNCGIGEAAQNAKGLDAAILDLALITGQRPVKTRARNSVATFKIREGQPLGIAVTLRGKIMYSFLDRVINLGLPRTRDFQGVNISSFDGNGNYNIGIKDQTVFPELKSGIGTPRGMDICISTTAKTDQEGQKLLALMGMPFREGVEVTQIVRKKKLKSHHFDPKSKGRGDRAKK >RHN45260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13860719:13863236:1 gene:gene39499 transcript:rna39499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MELDPGCAEKIPCLHWNFPKDLCVKPEIPKVIPSQPCSTLSPPPSHYTTYLYQNHRNQFKEHALNRSNNQSSFPTMIPSSRHPISGISMASYNDAHNNGSQREFLSTQNPMIFTPNNNKIEAMHGCLNTREGIWDLSKKNIFRYGETSQPRVSPDLSPSLVYDAHPSVSIKPKLQGDIFFYGGFGNEPQENNGPVLTSQRLQKRIQNNIEIQHKDLNIIKGQWTTDEDRILIQLVDRFGLRNWSKIAKYMNGRIGKQCRERWNNHLCLDIKEAWTEEEDKILVEAHKIVGNKWAEISRRLSGRTENSVKNHWNATKRCLKAKKKNRGNSSKGTLLLKYIMEVTCAKKVEKEMMTNSLSMMNIGNQPNYESSESDFFSEGLTTPEDEIGGYVPMMFNDDDGMASGFGSNGMEFFPEIPKKQEIDLMEKIYRNP >RHN61191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33752289:33754689:1 gene:gene23629 transcript:rna23629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MILVGSSGEKWEVSILKKGNDIYLQIGWQKFLIDNKVMLEELLVFTYDGENKFQGQIFGKNGLERPCFKNVPEQEEVQEEEEEVTAAPPFTVAKRKRGRPRKVPSPESECFKKEKAEVPAKIAVKRNDCRARKSPAGERVCFRKKEEVAATIMSMGKRRKNRQRVSVKKEEAKAAATMAAAINKGRTRENPAGERVCVKKEDAKAEETIVAERKKGRPRKYSAPVVIYVN >RHN62585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44506430:44513176:-1 gene:gene25177 transcript:rna25177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSNSAVEDDLMIPSKLRKTKKLKQGNEEDNLSDLPDCVILHILSFLNAKEAVRTCILSNRWKNVWKCVPTLVLHSSDFSTFKNFTKFVSKILSHRDGTIALQELDFERVGSIEPHLLKRIVNYAFSHNVQRLGISVKGDICHILPCVSSCRTLTSLKLSVSPKGRHNYGRTLFPKSLDLPALTSLHLGNFVFCASDKGRTEPFSGFNKLNSLVIDNCTVKDAQILCILSETLVNLTMRNHSSDVYKIELSAPSLSTFAFTGTPYQKLCGSNLSSVKQVNIDAEMLSNYTEPPLVLLSWLLELANIKSLTVSASTLQVLSLIPDLLKDKLTSLCNLKSLRVQLKPLSYGLSMTLRTAKLQKEVKAGQEPSSPIPDGIVDFLIQNSPSAEVDIIDSSRFGGTFDHLPPFPVSSIFPQFLQPSSCESVVDDLRQRIQQLEQAVLQVQQYIQLAHEEISREKEEMSAIQGHMSMLSKTLAALKRQMQTMGLWQ >RHN45910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26760218:26765771:1 gene:gene40337 transcript:rna40337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MVSHIGTNTITSSQYIKDPEALTSKDGNFTLGFFSAKNSTNRYVGIWWKSQSTIIWVANRNQPLNDTNGIVTISEDGNLVVLNGQKRVYWSSNVSNIASNTTSQFSDYGNLVLLESTTGNTLWQSIQQPTDTLLPGMKLSVNKRTGMSVKMKSWKNPSDPSIGNFSSSTIERQHIHEVFIWNETRTSWRSGPWNGGVFTGIQAMAMAYFFDFQVGDDGEGSTSIYYTIQNDVGLVIYHLNSHGVLEEKRWDDEKKEVHVTWTSRDSECDVYGICGAFAICSSSTSPICSCLKGFEPKNIREWKINNWSGGCVRKTPLQCERVHNKTTSTKEDGILKLQTIKVPDFAEGLIVTPDICRSLCLENCSCLAYSHDDGIGCMLWTANLLDIQQLEMGGLDLYVRIAHEKPDTDKRRNKTIIILSTVIVGSIIILICAYIIWRRTGNHPAKLWLFTKSARKKNNKAFQQFNIGGSPNVSPSDNVIGEMSQVKLQELLIFDFEKLATATNNFHLSNKLGQGGFGIVYKGKLQDGREIAVKRLSKASGQGLEEFMNEVVVLCKLQHRNLVRLLGCCTDGDEKMLMYEYMPNKSLDAFIFDPSKNKLLDWSTRCNIIEGIARGLLYLHRDSRLRIIHRDLKASNVLLDEELNPKIADFGMARIFGGGDDQVNTSRIVGTYGYMSPEYAMQGLFSEKTDVFSFGVLILEILTGKRNSSFYEDAHNLSLLGYVWIQWREDNILSLIDQGIDDPSHHYYILRYIHIGLLCVQEIAVDRPTMAAVISMLNSEGALLAPSKPAFILRQNMLNSNWPEECKSVSSINNVTMTETCGR >RHN53303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:839030:843631:1 gene:gene28194 transcript:rna28194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase MSHTNLVDNGVGDYPFTSKTKPSTSMGVAAVPLLPSSIFFTSNKSKLRIKANYGIKFITNSDWFQVGRPIGNYGFMNVTTSSTDQYSLGGGLKTQDVQEGSVKIRLYEGRVSQGPLKQTPVLFKVYPGTRAGGVVADMMAANELNSHMFLQSSSKGISQHLMLLLGGFETTTGEQWLAFRDYGKSTAADYAKVASEKVSKLSSWNSFERGQSMKRRRRFIIKLLQGALRGLAYMHDHDRLHQSLGPFSVSLNTISESEAPYLIPRLRDLAFSVSVRYSELEDSGPLTEGLWARASAASAFTYLEKRAFGIADDIYEAGLLFAYLAFVPFCEAGVMDGLSLQRLLENTFRLDLEATREYCIADDKLVNAIEFLDLGDGAGWELLQAMLNADFRKRPTAEAVLSHRFMTGEVL >RHN78731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17926815:17927474:1 gene:gene2378 transcript:rna2378 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMRFYEYLPHEYSFACYLAYIYDLSPYDSMILNMVSERYPRKEDEDDAFVDDSDEDAVSVEDSAAIVISVEDSDELVISVEDSDEVIVSDEDSSEIPAEFDSSYFITFHDSDLIFGSLGQASDVVSVSPLKLITPLIEGKSFKIGEIDCVLSDSEFVTEEEQQSSNIPFEGLPSSIMCQHGNDVDQILHCRKIKKSVRLAAMVLQTYEIASACTTAA >RHN41581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31214500:31217694:1 gene:gene47915 transcript:rna47915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase MTASVSPMKRLYLSLYNFTVLFGWLQVLFLVLKTLKEMGHQNVYSVAQKPLLFAQTAAILEILHGLVGIVRSPISATLPQISSRLFLVWGILWSFPETQTHFLVSSLLISWSITEIIRYSFFGLKEAFSFSPSWLLWLRYSTFLVLYPTGISSEVGLIYIALPFIKASEKYCIRMPNTWNSSFDYFYIAIVALAIYVPGSPHMYSYMLAQRKKALAKAKAA >RHN52416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35111927:35113117:-1 gene:gene37091 transcript:rna37091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CMGC-DYRK-PRP4 family MLAKGRDTKYFTKIHMLYERNQESNMLEYLIPKKTSLRHRLPIRDQGFIDFVDHLLEVNPKKRPSASEALKHPWLSYPYEPISS >RHN51962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29191849:29194050:1 gene:gene36545 transcript:rna36545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MTIGPKNFLITFQVMDINASYSCLLGRPWIHDAGAVTSTLHQKLKFVKNGSAEGTAFQGLSMEGAEPKKVGAAMASLKDAQKAVQEGQAADWGKLIQLCENKRKEGLRFSPTSGVSTGTFHSAGFVNTLAEEVARFVPRPLFVIPGGIAKDWDAVDVPSIMHVSELNHYKPVEHSNSTFPPNFEFPVCEAEDDEDDDIPYEITRLLEREKKVIQPHQEEIELINIGTEENKREIKVGATLEEGVKKKIFQLLREYPDIFAWSYEDMPGLDPKIVEHRIPTKPECPPVRQKLRRTRPDMALKIKSEVQKQIDAGFLMTVEYPKWVANIVPVPKKDGKVRMCVDFRDLNRASPKDNFPLPHIDVLVDNTAQSKVFSFMDGFSGYNQIKMSPEDREKTSFITPWGTLCYKVMSFGLINASATYQRGMTTLFHDMIHKEVEVYVDDMIVKSRDEEQHVEYLTKMFERCRKYKLRLNPNKCTFGERIFDGESLGAKERDLEQIGHKNMKIGKQNISPTSQKLGTARASLGTAVPPSRCLLLLLL >RHN44331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3593561:3597016:-1 gene:gene38434 transcript:rna38434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MSYTNEKENHVVESHTPQIDDNDTLSAMVLGANMVFPAVLNAAIELHLFDIIAKESNGGFMSSFEIASKLPTQHSDLPNRLDRMFRLLASYSLLSVSSRTNDDGSIVRVYGITPSGKYFVKYENGDSYLGSFTSFLSHPALSMVWLNFKEAIIDPEGDLFKKVHGITMFEYFKKDPQINEIFNKSMTDTCTTHMKRILEIYQGFEGISTLVDVGGGTGQSLKMIISKYPSIKAINFDLPQVIENTPPFPGIEYVGGNMFESVPQGDVIMIKAVSHNWSDEKCIKMFTNCYKALPPNGKLILIEPLQPEDQEPTNVSRWLSIADNMMFVTAGGMERSVKEYETLGKRSGFSKIQVVCLAFSIIGVMELYK >RHN64552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59979439:59983134:1 gene:gene27377 transcript:rna27377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquinone biosynthesis protein COQ9 MYRTAAKRLLCSARQFNGNAALRFRRHNALITYSRFSTTEINQPFSNPHSIHDAIPISTTEIENPTLYDSTSSSTSSSSTTDEDSHRFESPKSKTKYEDEHARLLSASLVHVMKLGWTETALMAGAKDVGLSPSIVGSLSRKEAALVEFYMDDCLQRLIDKIDTDGSLKNLTPSDCISKLIRFRLEMQAPYISTWPQALSIQAQPVNVPTSFKQRAMLVDEIWHAAGDNASDLDWYAKRTVLGGIYSTTEIYMLTDGSADFRDTWAFLDARVKDAFDLKKTIQEAQYLAEAVSTGLGNTFEEFVGKVFRR >RHN62738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45548946:45549254:1 gene:gene25341 transcript:rna25341 gene_biotype:protein_coding transcript_biotype:protein_coding MDKCMCGSDTCYFHEPTGAVIARLARSQPTSPEPHRNTVNPNTNTINDWSPLDDGIEVVLPNGSDRVPSREEVLDAYIFRILNKPNTKRRRLPVFAEFCKEH >RHN57393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38628278:38629373:-1 gene:gene32932 transcript:rna32932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MGSFCFLFPYLAFHLFLLMLLTLFTSYTFSMCKHHDSSALLQFKNSFFINTSSQPGFWSHCSSFSFKTESWKTGTDCCEWDGVTCDIMYDYVIGLDLSCNNLNGELAANSTIFQLKHLQQLNLAFNDFFGSSVHAGIGDLVKLTHLNLSNTGISGNISSTISHLSKLVSLDLSSYSYWNMEQKLELGPLTWKKLILNATNLRELHLNTVDISLIRERSLSLLRNLSSSLVALDLSITGLQENFPSYGKLPLSNWSTPLRYLDLSYTAFSDEIPYSIGNLKYLTHLGLSNCNFYAVLPLSLWNLTQLTKLDLSTNNFSGEIPPLYYFQTSHTSLPLVLEIITSTV >RHN60870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31177372:31181984:-1 gene:gene23260 transcript:rna23260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Iron hydrogenase MSEKFSPALRIGDVNDFIVPSQACTVSLKERRLKKPDKVEVKVADRQVKSKPVKISLKDCLACSGCVTSAETVLLNNQGLDEFLSNINEGKTVIVSVSPQSRASIAAHFRISPLQVFKKLTRFFKSLGVRAVFDTSCSRDLTLVESCVEFVSRYRKNQFVDDERSKSSLPMISSACPGLICYAEKSHGSVLLPYISSVKSPQQTIGTIIKRYLCQDMELRPEEVYHVTVMPCYDKKLEASRDDFVFQLESHFDEGREGEVNRVLEVDSVLTTGEILELIQSKEVDFKSLEEAPLDKLLSNINEEGHLYGVRGSSGGYAETIFRYAAKTLFGRHIDGPLNFRNIKNSDYQEVTLEVDGETVLKFALCYGFSNLQKNIPKLKVGKSDYHFLEIMACPSGCLNGAGQIKPISGQSAKELSQLLESVYMENVLAIEEPFDNPIIKGLYDKWLGQPGSEKARSYMHTQYHPVQKSITSELHNW >RHN49278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53753860:53759354:-1 gene:gene44092 transcript:rna44092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MAIITEEQESDQQQQQQKQKQKQKQQHSNKTKSKTQKPSTTQPQQTNPLSFWFYFTLSISLVTLFFLFTSSPLSSSSPQQWFLTLPTTLRQHYSNGRTIKVQIHPNQQPIHLFTFQLDPTIPNPSETVLILHGQALSSYSYRNLIQSLSTQGVRVIAIDLPGSGFSDKSVEVSVEGLDGIFGRFSYVYSEIKEKGFFWAFDQIVETGQIPYEEVLARMSKRKVNKPIDLGPEEIGKVLGEVIGTLGLAPVHLVLHDSALGFTANWVSENSDLVSSLTLVDTPVPPSNLGAFPIWVLDVPLIREVVLGFPYVFAKVVNFYCSKRIGGLDADAHRVLLKSGDGRKAVVAIGKNLNSSFDLTEWGCSDRLKDMPMQLIWSSDWSEEWSSEGNRVAGALPRAKFVTHSGGRWAQEDVAVEIAEKISQFVSSLPKTVRKVEQEPPIPDHVQKMFDEAKSDDGHDHHQGHSHGHDRLGEAHIHEAGYMDAYGIGHEPNDW >RHN40520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17116459:17116659:-1 gene:gene46679 transcript:rna46679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L33 MAKGKDIRITVILECSSCDKKSVNKESRGISRYITQKNRHNTPNRLEFRKFCPFCCKHTIHVEIKI >RHN73885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21137758:21139515:-1 gene:gene9773 transcript:rna9773 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFYLLDKCNDLTSRLQKYELWKRKLEAGPMSTSTINFQDGFIGGYKAHISTVA >RHN70614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52734667:52735122:-1 gene:gene19217 transcript:rna19217 gene_biotype:protein_coding transcript_biotype:protein_coding MACPFGVYHKWLLQFKWDTGCYRLLGLQFSINYNIILHDSPCCSISNCKQPVLLKPVEFISSFTQIYWMTVIYYNTYIVDTVGQL >RHN39387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6735217:6735957:1 gene:gene45406 transcript:rna45406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MNNEVENHGGSTETAPNKESKKGWRKVLEKIRSWLSYKDRDKWLEDMRGNLGLIATVIATMTFQMILNPPGGVMSIKDGENPPSTDASPPSTNANPPNADNYDKICTFVYKERLCPGEAVLAVRDSSGYLRFLISNTICFIASVSVCLLLVSGIPMHHRFLMWLLSLGMWVTLTSLAYSYLTAAIMTTPDRVYFEATEVVNKVFFTWIGLSAFIGLCHTLRLVTWGVIVLLERNKKPKSTKETPIC >RHN50097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4018243:4022588:-1 gene:gene34350 transcript:rna34350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MKICNFCMSLTIVLVMITNINMVVSKRHIPTTLDGPFKPVTRRFDSSLRRGSDDLPMTHPRLKMNVTLNFPEQIALAISSPTSMWISWITGKSQIGLNVTPLDPASIGSEVWYGKKSGKYTNVGKGDSLVYSQLYPFEGLLNYTSGIIHHVKLEGLEPGTRYYYKCGDSSIPAMSQENYFETFAKPSPKNYPARIAVIGDLGLTSNSSTTVDHLSYNDPSMILMIGDLTYANQYLTTGGKGASCFSCAFPDAPIRETYQPRWDGWGRFMQPLTSKVPMMVIEGNHEIEPQADGITFKSYLTRFAVPAEESGSKSNFFYSFDTGGIHFIMLGAYVDYNKTGAQFDWLKKDLQNVDRSVTPWLVATMHPPWYNSYASHYQEFECMRLEMEALLYQYRVDIIFNGHVHAYERMNRVYNYTLDPCGPIYITVGDGGNIEKVDVDHADEPGKCPSSGDNIPEFGGVCHSNFTFGPAKGNFCWKKQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDSYKENAVGDQIYIVRQPELCFKDSKLQDSQQSLPHSAASSHLSQDLSIIISQLIIGVLLIYGLYSHVNQIRFKD >RHN63268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49905354:49906483:1 gene:gene25949 transcript:rna25949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(ADP-ribose) polymerase, catalytic domain-containing protein MASGWVKSLQCKSRAFEDVYHPYPKTLLTSASCRKTVQNIKDIVEIPKPKKPKTSLEKHSSSKSKYPTNNKSETPTMNRSRSMTATTTTSSSSRAITELPEGHPSRNVVDIIFHTSWGNNEFPGRVEMIFKVQNGARTMSRFEEFREAVKTRAASSVSDSEENARCVADGNEVMQFHCLGPAEDGGPHGSWSFPERKGAAICTFSGSGGAHENSGGGKGRMAMLVCRVVAGRVSKRVGYLDYKRVGFDSVSGDNGELLVFDSRAVLPCFLIIYRL >RHN55861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24811484:24812296:1 gene:gene31138 transcript:rna31138 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLVFYFLFLFSYFLLLLSAAPEWDTKCAKEKVSCGYLGEISFPFTTVDKRECGLYIIGCDNNSSVKTINLINQTYEIDSISYMNNSAIIYGLNSSELEPIKNKPNFSINTVNFYVCNHGYDNSHDNKFKYKNCADYDIYFTSTPDKPPMFPIFPLACFPVPFETFNCVDIISLLEIKVDLESCEGCYLEGKSCLLNQETLNFTCGPGKHIIFYRHSFICGTRLYGFMFQTMYTHCSLTISFCYVVLKPPIPKRNDRHLKNAVIGTVL >RHN63304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50248133:50252883:1 gene:gene25990 transcript:rna25990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myeloid leukemia factor MQGRGGGPGGRDPFSGGPFGGFGGFGPFGPPGNLMSSFFGGRDPFDDPFFTQPFGGMFQSSIMGGPSGFPFPPEMHRPGFDLGQNMPNMQLPGFPFHPDMNQSGFPFHPDMHPSGFLEHQARAPPPERSNRRGPIIQELDSDNENEDETEEKRENPKKHRRSNTEPSVEHPDDELEVAGKKIRHLQGRNEYNRFNASELQPQTRSFSFQSSTVSYGGPNGAYYTSSKTRRTGSDGVTLEESKEADTSTRQASHRISRGLHDKGHTLSRKLNPDGKVDTMQTLHNINEDELAGFEEEWKGKGQKYLPGWSGSIGASHGGQAGQAGQGGRLLPSSEHIHPVRMSEVGGKVGSSHAEEKERTDSNGRGAYHPGRHGRN >RHN68873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39271587:39272354:1 gene:gene17288 transcript:rna17288 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRVDSSHVKIDSPHLESDSWDPISELESLLHDSYKLSPMCTDSTTVSVLQASNVAAIFENLETLLETPLEILSSDDEVKQKFHQILEQLGQFANQIPIRLHHVICKLRTFIEGVDVKFASAQNTIQDYDQLLQSRSLISKKLESSKARESQIISEISRGKIQFEKINSEIVELEQKLSGLVELRAKVKKEVEHFEVINSNLKTEVAQHWLPECKTVLINLRDSETSYKVALSNKKKIEDEWVDLKKAFSANKI >RHN72552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8574507:8575110:-1 gene:gene8278 transcript:rna8278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stigma-specific protein Stig1 MKSMKTLFLVTLLIMALTVTPSSASSSENEEPNSSLQGTSHFLNRKQYRISLTCDKYPKICHTKGSAGPDCCNNKCVNFTIDMFNCGRCGKKCSFPKICCEGKCVNPRSNKKHCGKCGNKCESRGSCVYGMCSYA >RHN42262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36815560:36816009:1 gene:gene48682 transcript:rna48682 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHPQCVMNAPTTVWLKIIACGAHPLMIKPFLFKMFLSSNPLGNHFSFCSSLSSSFPFFSGTTHTNS >RHN71314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58179511:58181866:-1 gene:gene19981 transcript:rna19981 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYDEYVPMVDKPVDAEWKRQTSLQRSHTFKGTRPLPDIQNTPEGIKARAWVALAAFFLTLFALFRQVACRMTNKLPAISSNDDQRISEPTLETTNMEVLPSTSQAQTEENLFPSLLKRLGELEEKVDTLQSKPSEMPYEKAELLNAAVCRVDALEAELIATKKALYEALMRQEELLAYIDRQEEAKLRKKKFCW >RHN47998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44159223:44160916:-1 gene:gene42664 transcript:rna42664 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKNTSSVLKRILVNCASQAKAYGSCVAAKVPDIERDMCVTEFLALKSCMQNTLKKKV >RHN66005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7469818:7479085:1 gene:gene13914 transcript:rna13914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MGKTTLAQLVYNDRRIQETFELKAWVYVSEYFDVIGLTNAILRKFGSAENSEDLDLLQWQLQKKLTGKNYLLVMDDVWKLNEESWEKLLLPFNYGSSGSKIILTTRDKKVALIVKSTELVDLEQLKNKDCWSLFKRLAFHGSNVSEYPKLESIGKNIVDKCGGLPLAVKTMGNLLRKKFTQSEWEKILEADMWRLTDDDSNINSALRLSYHNLPSNLKRCFAYCSIFPKGFEFDRDELIKLWMAEGLLKCCGRDKSEEELGIEFLDDLESISFFQQSQNYRGHKRLFMHDLVNDLAKSESQEFCLQIEGDSVQNISERTRHVCCYLDLKDGAGILNHISKIKGLRSLLVLPRGYGNECKITNNLQRDLFSKQKYLRMLSFRDCGELRELSGEIGNLKLLRYLNLTESLIERLPDSICKLNKLETLILEDCSELTKLPSKFYKLVSLRHLNLEGCNIKKMPKQIGSLNHLQTLSDFVVGEENGSNIQELGNLNRLQGKLCISGLEYVINPEDAARANLKDKRHVEELNMKYSDNFKFNINRRESDVIEALQPNSNLKRLTIEGYNGRSFPNWLTGCHLPNLVSLQLLSCGLCSHLPPLGQLPSLKELSISKCDGIKIIGEEIHGNNLTHVPFLSLEVLKLEDMVNWEEWFCREGFPLLKELTIRNCPKLKRALLPQHLPSLQKLELCDCKQLEVSVPKGDNIIELKMQRCDRILVNELPTSLKRLLLCDNRYTEFSVDQNLINFPFLEELELDWSGSVKCPSLDLCCYNSLSTLSISGWGSSSLPFSLHLFTSLISLCLSDCPELESFPMGGLPSNLSWLTIFNCPKLIALREQWGLFQLNSLKQFYVSDEFENVESFPEENLLPPTLQSLEVINCSKLRKMNKKGFLHLKSLESLHIINCPSLEHLPEKEDLPNSLWMLYIFNCGIIKEKYEKEGGERWHTITHIPNVWIDHIKQE >RHN72469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7929765:7933532:1 gene:gene8183 transcript:rna8183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MTTFVMNRARSSTTLKSVSSVLHGIRSYAKVATGSDIISAASNVSLQKARNWDEGVSSKFSTTPINDIFKDKKVVIFGLPGAYTGVCSSKHVPPYKDNIEKFKAKGVDSVICVSVNDPYTVNAWAEKLQAKDAIEFYGDFDGSFHKSLELTTDLSGALLGTRSERWSAYVVDGKVKALNVEEAPSDVKVSGAETILGQI >RHN69884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47094953:47098843:1 gene:gene18413 transcript:rna18413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbamoyl-phosphate synthase (glutamine-hydrolyzing) MATKALAFTFSFNDLFGSKAPPHTSAKVSVFSVRCSSGNGERPWKNSDARLLLEDGSIWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGINFDDEESTECFLSGLVIRSLSISTSNWRCVKDLGDYLTERNVMGIYDVDTRAITRRLREDGSLIGVLSTDNSKTDEELLQMSKSWDIVGIDLISGVSCKSPYEWVDRTKEEWEFSSSEGPRDTFHVVAYDFGIKHNILRRLASYGCKITVVPSTWPASETLKLNPDGVLFSNGPGDPSAVPYAVETVKNIIGKVPVFGICMGHQLLGQALGGTTYKMKFGHHGGNHPVRNLRTGRVEISSQNHNYAVDPATLPEGVEVTHINLNDSSCAGLAFPAQKIVSLQYHPEASPGPHDSDNAFREFIELMKHEKNQTPNENLHQLQSV >RHN57114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36603695:36604858:1 gene:gene32614 transcript:rna32614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MPQLSAIYASSNNFGGALPNLIGNFSTHLGLFYIDNNKIYGVIPERIEQLIGLIDLTIGYNFFEGTIPDSIGKLKNLGILGLDGNKLSGNIPIIIGNLTLLSELGLSNNKFEGSIPFTIRNCTQLQLLNFSSNRLSGHMPNQTFGYLKGLIFLYLNNNSLTGPIPSDFGNLKQLSHLNLSLNKLSGEIPKDLASCLELTKLELGRNFFHGAIPLFLGLSLRFLEILDLSENNFSSIIPSKLENLTFLNNLDLSFNKLYGEVPKGGVFSNVSSISLTGNKNLCGGIPQLQLPPCIKLPAKKHKKSLKKKLVIISVIGGFVISVITFIIVHFLTRKSKRLPSSPSLRNEKLRVTYGELHEATNGFSSSNLVGTGSFGSVYKGSLPNFHY >RHN55140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15476741:15477474:1 gene:gene30274 transcript:rna30274 gene_biotype:protein_coding transcript_biotype:protein_coding MPELFFKHARTKNTPKIPKTPRVEPSQQEIYELQTMASYLLQANAYLRKWLAEEALPKIPYDLVPPPVTIAENLPPEFWLQGPSLLTIQTMNYYTMLDSANLRKWTIEELCAKTGLPPPPFVFDYKAILTSVDDA >RHN46584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32958514:32959782:1 gene:gene41086 transcript:rna41086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDIRPIKMQPQSTAPSLPTSIPDELMAEILSRLDVKTIVRFKSVSKSWNTLISDPAFVDKHLQKSSQKQNIIVIWNDNDGYNVSRIPLHRLIGNPSISIHSHNNSHYLERGCYIAGSCNGLICLFSKYFYITENVGSRHVGHENYSIYFWNPSTGKKSEKLGSFSYSTPLERLRPFHTLSNTFQFGFGYDDSTKTYKVVAFHAKENKPAPVTSEVKVFSLGGNSWRNIQSFPVIPLNGLNHRHTCLNNGMHLSGTVNWLAGLNDFYSIHEYRYITNVEDFVIISLDLSTETYKQLLLPQGFDEITPVRPVLMVLMDCLSFSYDTKDNGFVLWQMKEYGVQESWSQLFKISYHNLQGCCVKDYDETVCLYKNGDMVIFAKPQCPDRAVIYNLRNKKVARIRVQDCIDWSFHASVYVESLVAFR >RHN65063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63953664:63954007:-1 gene:gene27956 transcript:rna27956 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKYEQMLKDTKKKQQMEGSSRDCMMLLDNGKNNMLEAVLVRNELLRKTLDTTDIAVNIMQAEEEEKEE >RHN56782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33719092:33722280:1 gene:gene32233 transcript:rna32233 gene_biotype:protein_coding transcript_biotype:protein_coding MGACLSKKKASSSTSSSHPLSSTKSLSTPPTQQKHSDNNNVTETETTLKNNNVVQEKQQDPEVVEEPKKEIFIIKHRKSHDEKREKNSIKITPFTVQHNVPSQQNDGLVSSSETESLNSNNKVGSVVGVRTSSCTKEEVDAILIQCGRLSRSSSGKAATSSSARKYSGSKRSFDFDHCDNNDSISAEDEQKRVHAHGSDNSEEYDGVARHNHRPRHRNSPKKASSSSNGRRRTPSRERDQRSSSRERRVSRSPGRRSSENGSNNNNNNNNSNGSGSGCTRPGKMVTVPATVTSLVMDKSNNGGGEGVKRVNVKRNVASPRSMSPARGNVNGLNQQRSMSPARGNGNVVNQNQQPSLSRNNSARKTEVSPYRRNPLSEVDPNSLAYPQSNANNGGSKVQNKGKKEIEVETIQKPTVEMRDNTRNRTNNRVGMEKGVNCHTKEQQQEEIKVMSDNTIVKNVVMPSGITRSRSSRRSRDFDTANPEPLTNPPQTSYTSLLLEDIQNFHQKNTTQPSVSLPACLNKACSILEAVADLNSTTSSTFSRNEYNNVAVPESSFVESELVVSDDVMEPSLHKYVTVKRGGSLCEDQESSGSNSFTVSSGQQQWNICSSGDSSDCWSSRLNSKEESLKRRECDHQHSGGIGRGRLATAST >RHN45200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12880838:12882325:1 gene:gene39429 transcript:rna39429 gene_biotype:protein_coding transcript_biotype:protein_coding MRIWILCSGRTCCCCDFVCEEREIINKKGRSNDERERNHVRDRNIKGQQGVQERKCCR >RHN40916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24286889:24288898:-1 gene:gene47161 transcript:rna47161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S20 MASVSCSLLNLPSKMKNLTLTSSSNSGSLSFSRNISQSRVLSQGTFSLNTVQRRGVVVVCEAAPKKVDSAIKKALQAERRRVYNKARKSEIRTRTKKVLEALELLKKKSDAQAEEITSIEKMIGEAYSIIDKAVKVGTLHRNTGANRKSRLARRKKAVEIHHGWYAPVPQASV >RHN67357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26410020:26411519:1 gene:gene15538 transcript:rna15538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEKTTTTTTTKLIGTTLSLLCSLFMSSDPPLLSELPFELVAEILCRLPVMFLLQLRCLSKFFNTLISDPKFAKKHLRLSTTRHLILSYADIHDHSRLISYPLHSTFHQCDSIFNSVTVKPTQIRYPFNKQYNNIVGSCHGILCLTRKQSMHDKRNNVLLWNLSIRKFKILPSFKSPPNSRPTLYGFGYDHVTNVYKVLAVFSCDFGNMVFKAQGMVHTLGTNSWRMINGELPLPDNRYESLKFVSGALHWIAYRDNNHSVVSFNLGIESYGKFLPPNYGGEDVHNVILGARGIEYGNEESWTKLFRIPYIKGPFHNPYTKPLWISEDDQVLIDYTSFERINLAVYDFKNGTFKKLLMQDIKCWITSEVCVESLISPCF >RHN54882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13197441:13211018:-1 gene:gene29979 transcript:rna29979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MGRGKIVIRRIDNCTSRQVTFSKRRKGLIKKAKELAILCDAQVGLVIFSSTGKLYEYANTSMKSVIERYNICKEDQQVTNPESEVKFWQREADILRQQLQSLQENHRQLMGEQLYGLSIRNLQDLESQLELSLQGVRMKKEKILTDEIQELNRKGSIIHQENVELYKKVNLLQQENTQLHKKVYGTTDNEATATSKNAFVQFPYSVRGGGEYPQTLFQLQLCQPEQEYCETSGSASATK >RHN76663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:256334:260806:-1 gene:gene26 transcript:rna26 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MSITQTLIMEHEQDDDGKAKRTGNVWTATTHIITVVVGAGVLALAWAMAQLGWIAGIASILTFASISIFTYSLVADCYRFPDPITGKRNYTYMQAVKSYLGGTMQVICGLILYGKLAGITVGYTITSSTSLAEINKVVCVHRKGLEADCSTSYNPYMIGFGILQIFLSQIPNFHKLTWISTIAAITSFGYVFIAVGLCLTVLISAGKGASTSITGTQIGPELTAAEKVWRVCTSMGNIALASTYATVIYDIMDTLKSHPAENKQMKRANVIGVSTMTMIFLLCSCLGYAAFGDHTPGNIFFGFYEPYWIVAIGEVCIVIHMIGAYQVMAQPFFRVVEMGANIAWPDSKFINQDYSFNVCGATIKLNLFRLIWRTIFVILATILAMAMPFFNQFLALLGAIGFGPLVVFFPIQMHIAQKRIPVLSLRWCALQLLNCLCMVVSLAAIVASIHEISENIHKYKIFAYKQ >RHN47116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37246067:37253427:-1 gene:gene41673 transcript:rna41673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase C MKKLLVVVPLCMFLLVLFVEAAPQGSLITQLPGFSGKFLSNHYSGYISIEGNAESGKNLFYYFVSSERNPRNDPVVLWLNGGPGCSSFDGFVYEHGPFNFEAAKSKGNLPTLHNNPYSWSKISNIIYLDSPTGVGFSYSNNISNYITGDLQTASDTHAFLLKWFEQFPEFQTNPFYVSGESYAGIYVPTLAFEIAKGIQSRAKPVINLKGYMVGNGVTDPIFDGDAYAFIPFVHGMGLISDTMYENVQATCKGPDYNSKSNPVGGTCNTNMDKVSKAVEGLNVYNILEPCYHDPESVTNGSSNLPLSFQKLGATERPLQVRKRMFGRAWPFRAPVRDGLVTLWPQLMAAQRRHVPCVNDEVATTWLNNDAVRKAIHVDKASGAWQLCTDRISFRHDAGGMIPYHKNLTRLGYRALIFSGDHDMCVPFTGSEAWTRSLGYKVVDEWRSWISNDQVAGYLQAYENNLTFLTVKGSGHTVPEYKPREALDFYSRWLEGKSI >RHN68744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38216850:38217888:-1 gene:gene17132 transcript:rna17132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative groES chaperonin family MAKRLIPTFNCILAEKIVPPSKTSAGVLLPEKTSQLNSGNVVAVCPGSRDKSGNLIPLSVKEGDHVVLAEYGGSQIKLDDRVFNMEQHI >RHN64871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62605958:62606573:-1 gene:gene27739 transcript:rna27739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLQSIVQWTHIFLIQIRIQHCSDRSLTLVAQRCSNLEILSIRSSLRITDSSISMIAFGCPNLRELDIGYCYMITQESLVVIGRNCPNLKRSQEKSASSNPTRRCCSSSFAKCFSARWRF >RHN45720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24974812:24980279:-1 gene:gene40108 transcript:rna40108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNILPHPPPSAADPSAVVIFLPDDVIIEFLTFLEVKDLIRMKCVCKSWNTIISDPIFAKTHLKKKKRTKKPHLAFLSDKSEGSGDCRAVPISRLLQEMKKSSSNLTHDDLPYYYRFNYKNYSDIVGSCNGLVCLLGCSFTDSHYVEKSLSFWNPATRTKSDTLVSFRSYFKRPYREFCKFALGYDNSTDTFKGVLLTSITDGNLVAIGKTAARVFTLGGDNNNNNANAWRVIQYFPVVVVPHRFCYTQCDTVYLNNSINWLVCHRKKKKKKKKNLTIEQYVIVSLDLKTETYTQFLLPRSCNKELLTRPILSPTVDCLSVLMDCMCFSYDFKKSHFIIWKMDEFGVENSWTQFLKISYMNLQINYLPQLIPLCLSEDGDTIIFSINRANQAILYNWRDNRVKRIKSTNMITWSLAKAYVESLISTDLWKDHDKLKQLHISSDSPSKQDTDHAHTKRSS >RHN58657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3717744:3721036:-1 gene:gene20587 transcript:rna20587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MGSIGVLLVSHQVIPYLEQELNKRYNLFRLWDFPQKSQLLTQHGASIRAVVGDAFAGADSDLIEALPKLEIVSSFSVGVDKIDLGKCKEKGIRVTNTPDVLTDEVADLAIGLMLTLLRRICECDRYVRGGNWKHGDYKLTTKFSGKTVGIIGLGRIGAAIAKRAEGFNCPISYYSRTQKQESKYKYYPSVVELASNCDILVVACPLTEETHHIINREVINALGPKGFLINIGRGKHVDEPELVSALLEGRLGGAGLDVFENEPHVPEELFGLENVVLLPHVGSATVETRTAMADLVLGNLEAHFLGKPLLTPLV >RHN80746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40579404:40581175:1 gene:gene4708 transcript:rna4708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDNSYQNDKTTPLCFFFIFIFFSGVPFAGAQTNDNTNDNSYYNRVSPSMAIIIVILVAALFLMGFFSIYIRRCGDSPSNSIRNMAGGLAGRSRRAARGLDASVIATFPIFEYSTVKIHKIGKGALECAVCLNEFEESETLRLIPKCDHVFHPECIDEWLGSHTTCPVCRANLVPQPGESVHGIPSINTEPQDIEAQNDAVESVHEHQNADGSVKVDPTEPEVLTVSQTLNRNRTRGSQSGRPRWFQRSHSTGHSLVQPGENTERFTLRLPVEVRKQILQNPELHRARSLVVLPRETSSRRGYRTGGGEGSSRGKSVRRLDRGLLSDRWVFTMAPPFLVRASSMRSPRVASSAGEGTSASAATAAVVESPRPPV >RHN71072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56278419:56282250:1 gene:gene19715 transcript:rna19715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VII-3 family MATTAIFLTFIALTLTHSAAAATQINSSHSEIQALTIFKLNLLDPLNALTTWDPSTPSAPCDWHGILCYNNNNRVHTIRLPRLQLTGSISSSLSNLSQLRKLSLHSNNLNSSIPSSLSHCLFLRAVYLHNNSLSGYLPPSLLTLTNLQILNLARNFLSGTIPNNLSNSLRFLDLSSNSFSGNIPGNFSSKSHLQLINLSHNDFTGGIPFTVGALQHLEYLWLDSNHLHGTLPSAVANCSSMVHLSAEDNFIGGFVPSTIGTMPKLQVLSLSRNQLSGFVPTTLFCNEDNNNNNNATNLRIVQLGFNRITGISNPQNGKCIDYFLEILDLKENHIIHTLFPSWLTNVKSLKGLDLSGNSFSGVLPQDIGDLFLLEELRLSDNLLSGVVPSSIVKCRLLKVLYLQRNRLSGLIPYFLGELKSLKELSLGGNYFTGSIPKSYGMLNELEILDLSNNKLNGILPSEIMQLGNMSVLNLSNNRFSSQVSFQIGDLTALQVLNLSHCGFSGSVPATLGNLMKLRVLDLSKQNLSGELPVEVFGLPSLEVVALDENHLNGSVPEGFSSIVSLKYLNLSSNDFVGSIPTTYGFLSSLVVLSLSRNFISGSIPNQIGGCSQLEVLELQSNRLAGNIVPSVISKLSRLKELNLGHNGFKGEIPDEISKCSALNSLDLDGNHFTGHIPQSLSKLSNLKTLNLSSNQLTGVIPVGLSRISGLKYLNVSNNNLDGEIPPMLSSRFNDPSVYAMNKKLCGKPLHRECGKSKRRKRKRLIIIIGVAAAGLCLLALCCCGYVYSLLRWRRKLREGVTGEKKRSPSAGSNGERNSRGSGENGGPKLIVFNNKITYAETLEATRNFDEENVLSRGKHGLVFKASYQDGMVLSIRRLPNGSTLMDEATFRKEAESLGKVKHRNLTVLRGYYAGPPPDVRLLVYDYMPNGNLGTLLQEASQQDGHVLNWPMRHLIALGIARGLGYLHSVEIVHGDVKPQNVLFDADFEAHLSEFGLDRLTMINSPIETTASSSTTTPVGSLGYVAPEAVLSGQVTKEGDIYSFGIVLLEILTGRKAVMFTQDEDIVKWVKKQLQRGLISELLEPGLLEIDQESSEWEEFLLGVKVALLCTAHDPLDRPSINDIVFMLEGCRVGPDIPSSADPTTLPSPAS >RHN49885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1956329:1958342:1 gene:gene34111 transcript:rna34111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MEDEDEGFPGGPYELSLLPNFGKHVAYKLWSDKVLQVERKMTGVSHGKKLKLSALSLPLPVDDNRWFWDPVDTSGLRPLLLTGYESISHGLVCALAERWHEETSSFHLPVGEMTVTLDDVACLLDIPIVGRLIEEDDLDHCVGVELLENQLLFTVEDAMEQVSYNSGAYVTYTALKERYEQLLNRCNQLVGEDLSEEEEEEQRRVRPACVKAFLLLLLGYTLFAGKNSKTINLLWLLAIQNLDELGEWSWGGMGLAFLYEQLSLTSSSHVGSCGGYMSLLVGWVLAHFRHIVPRRKYEDYERENPYVGRWRPPRGYSDAGHFRGLMDSMEHCHVIWRPYEHRRDVTPFQDVCWYSGWIMAGKQKMVRHLPERVLRQYGYVQTVPRPPTTIVPLAPAEVATAFFEFVVHVLSQQDRGDPVPEDEWWKHSDGYIKWFYRVSHPLIVNPAPVPEYIAPRPVYQEVIVEQEWVKHPPDPLQVINNMRVRVEHAMEIPEVASNPLFFNILEGLLSDYIVFDKEPVPRRRSRSPRVQEQE >RHN50014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3149732:3150219:1 gene:gene34261 transcript:rna34261 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNNLLKLHSVDYSTLPWFTVILTIHLNIKHVIINNEIVVHTCQNGDEHDSDVHEEVEYKQKVQVYVLLQLEL >RHN60973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31971708:31975182:-1 gene:gene23379 transcript:rna23379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MKCFHFSNGSSEKRTTEENDEGSGSASASSSRGSRVSWARSLSLMDTRRSEYDSDSRDFSDTLGFHEFLSQRRANHLHLFSFSDLKIATRGFNRALLIGEGGFGSVYRGTLIHHNHHRSQIDVAIKQLNRNGHQGHKEWINEVNLLGVIKHPNLVRLVGYCAEDDERGIQRLLVYEFMSNKSLEDHLLVRVPSSVLPWITRLRIAQDAARGLAYLHEEMDFQLIFRDFKTSNILLDEDFNAKLSDFGLARQGPAEGFGYVSTAVVGTIGYAAPEYVHTGKLTAKSDVWSFGVVLYELITGRRAVERNLPKNEQKLLDWVRPYVSDPKKFHHIVDPRLEAQDCIKSAHKLAVLANKCLMKQPKSRPKMSEVVEILGNIIDESVPMDECISEAVAETGEANEVNLSVENAEPEPAKQGNNYLKKVFEFRDKVSLRNKSIGRFDWKSWAPGLVRTW >RHN80537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38870337:38875451:-1 gene:gene4475 transcript:rna4475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MAFNNEEEKTEDYLFKIVLIGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKMEINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELHTHSDMNVVTILVGNKSDLKDAREVPTTEGKALAEAQGLFFMETSALDSSNVVAAFQTVVKEIYNILSRKVMMSQELKKDDASWIENGKTVVLQQEGNQSAEGETKKGCCSK >RHN44614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6829536:6839968:-1 gene:gene38753 transcript:rna38753 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGRMPPPQHLRRPHPLGPVMLHHEPPGPVMHHGLPPGPFDLMPPPQVMEQKLASQHGEMQRLATENQRLAATHGVLRQELAGAQHELQMLHAHVGSLKADREQQMRGVIDKIAKMEAELKKAEPLKMELQQARGEAQNLVVARDELMAKAQHLNQEIQRVHADVQQIPALISELDRLRQEYQHCRATYDYEKKLYNDHLESLQVMEKNYVSMSREVEKLRAELTNTANIDRISGPYGGTSATNNNEASGLPVGQNAYEDGYAAAQGRGSQPTASGGGGSATTTAPAGAQPGPASAGTGYEAPRGGPGYIASAGPTYSTQSASTYDPQRSTGYDAFRGSAYDTMRGQMFDAQRTGYDPQRGMGYEAQRGPAYDPSRAAAGYDAQSRGVAGPQGQVPPMNIMQYGSTTPPTRNVGGGGYDAARGVNPARR >RHN65627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3893852:3895851:-1 gene:gene13490 transcript:rna13490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MSWWWSGAIGAAKKKLDEDEAPRSFQNVALVVGVTGIVGNSLAEILPLADTPGGRWKVYGVARRPRPSWNADHPVEYIQCDITDPNDATTKLSVLTDVTHVFYVCWASRPTEAENCEINGTMLKNALTAVIPNAPNLRHVSIQTGGKHYVGPFESFGKIKYHEPPYTEDMPRLDYHNFYYTLEDVLFEETGKKEGVSWSVHRPLLIFGFSPYSMMNIIGTICVYAAICKHEGVPLRFPGTKLAWENYYMASDADLIAEQHIWAAVDPYAKNEAFNCSNGDVFRWKQLWKVIAEQFGIEEYGFDEEGPRLKLTELMKDKGPVWDEIVKENGLQVTKLEEVAEWWFADACFGGNGFTDSMNKSKEHGFLGFRNTKNSLINWIDRTRAYKIVP >RHN59395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10244849:10245162:-1 gene:gene21421 transcript:rna21421 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLGIKYNSISGCHTRLTTAKISVFNFRQKPTEMFQQEQKV >RHN68159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33255004:33255387:1 gene:gene16470 transcript:rna16470 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVLSVNCDRQTSTPASIDIPRKETEHHVQQMVIPIRKAPLFGPPLPKEGTSNVYSTKVLLNNIIKNLCQQTQVINDQNRRIREMEESRSREMRGRSPTSMTTIRSPTPHSNIRRMSPTLDRSISP >RHN46672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33955855:33956688:1 gene:gene41191 transcript:rna41191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MASSILVKVTCLAMICLVLSIPLANAVITCPDADITLKSCLPYVAHPTQWPPLECCTAVLGLTARAVTREDRQAVCKCLLGLMNGIPGLDLTAFAAVPILCAANIGYIIRPNMDCNSVP >RHN42403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38068837:38070651:1 gene:gene48840 transcript:rna48840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MEAITKFCDEAIVLRTLNLIKKSTRLCHFLQLHSLFLKSSLDHNSNIISHFILPASSISLSYANSFFHSLPITPPLFAWNTIIRAFSNTPTPFHSLYLFRQLQSSHFSPNNFTYPFVIKACARFSSIYHGGMIHSLTIKTGFCSDCYIGNALLRFYADCGEIGFARMVFDEMFDRDVVSWSSMIGAYVCSKTPLEAFNVFQEMRVADEKPNYVTLVSLLSACTKTINLCAGVSVHSYIIRNHIEMGVELGTALFEMYAKCGQIDKALLVFDLMPEKNLQSCTIMISALANHSRENDAISLFNRMEDMGLKPDSLSFSAILSACSHMGLVYEGKMYFDKMVRLYNIKPTVEHYGCMVDLLGRAGLLQEAYDIIKNMPVEPNAVIVRSFLGACRNHGWVPNLDDDLMSKLESELGANYVLAANLFSDRSSWKDANELRLVMKRKGLKKVPGCSWLEVQN >RHN73321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15365116:15368157:1 gene:gene9122 transcript:rna9122 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTAAEVAVFADTNLGTRIAFNAPSHITAGTLKRDFEQVHFTCLPDIGEIQVHGLMVKRKSCFYYLPDSLPIRYVFPKMRETWFLHVEVGHLKLRLHSLPCDAAILSNHQDLMTYDCENKTRCNSEEKRQEGLHPCAYLSEENEAINQVLKEKGNSHENYKQHTASGMPERHSCGFGDKPTSTVSKSQCPIPENKDENLVELRANSRQESPSKMSTQVISVSGIINKYFLGFNGIDNDNFSCSSNSEVTSRAVRSEIEVQSSTSAQSCYKRQIDPLPQFSPKTPPHVPLHVDFVSKSSGSKTRRSKVKKCSKPRKPKAGKCSENRPSKLGKLFLSASKSLGVYNTKNSPALSLCKFKNKNLLEQKSHPNGSIFSISDSDD >RHN51872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27429778:27435575:-1 gene:gene36427 transcript:rna36427 gene_biotype:protein_coding transcript_biotype:protein_coding MLSITVRCCLSHRFCIFIHHLCQSFPCQEENKETRNRKVGFAVTATITILDVTVKCGERTPIAVRTQLMVIDLLLNDTSSS >RHN79985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34115190:34125741:-1 gene:gene3849 transcript:rna3849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAGEEDNNNNTVAFTGEESIPDNQETIAAPDEASVDAAAPPAKKRGRKRKTEKEAETGEIVRRSERAKKSSRSLNEDYLLDVEEELEVKKIKGKRGRKKKVATGVGVTDEINAAQEGENPECIEQQQMGIEDGSLLVCNKDTNCHQCKRNDKGRVVKCTKCDRKKFCILCINRWYPRLKEEDIAKACPGCCGNCNCKACLQSLALIKAIKDKRETYNDHEVELSKYMLKILLPYLRQLDEEQMAEKEIEAKRQGLSCSELKIKAADIPKKERVYCDNCKTSIFDYHRSCTKCSFDICLLCCCELRGGKLLGGADPIKFEFIYRGLDYLHGGNDNEERVNESEPRAAAQPEIREWSRSGWLADSDGSIPCPKADDDCDHGFLELRSILPQNCISELVCKAKEHEETIKLQDAEETSDSRCSCLKPVLNASDIHNSTRKAASREDSSENFLYCPRAVNLCHEDLRHFQWHWSKGEPIIVSNVLECTSGLSWEPFVMWRAFRQISNTKQKTLLDVKTIDCLGWCEGDINLHKFFTGYTKGLLDWLNWPKVLKLKDWPPSNLFEESLPRHCAEFISSLPFKEYTDPFNGVLNLAAKLPKDVLQPDMGPKTYIAYGFAQELGRGDSVTKLHCDMSDAVNVLTHITEVKLDSKIINAIKTQKKNHLEQDKRELIGDNRDGEPSIDMLDNTSSSINASYEQNSVRFVENGSGLCDEKVVDPELKEVDKENSLLVGCNSLDGALWDIFRREDVPKLEEYLKKHFREFRHVYCSPLKQVVHPIHDQTFYLTIEHKKRLKEEYGIEPWTFVQKLGDAVFIPAGCPHQVRNLKSCTKVALDFVSPENVGECFRLTEEFRKLPVNHKSAEDKLEVKKMIIYAMVDLVKKLEKARSGETKVPM >RHN40364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15622936:15624252:1 gene:gene46511 transcript:rna46511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MQQNSIEGTGCTNSLCPGFVQTSTKIYIGSPFSNVSSVGGPQFSMLLYLAQDPNTKNWWLRAQDMDVGYFPATLFSNKLDHASKGGWTGQTQIFGDGPSPPMGSGQFAGANILTSCYISNLYIKDSQRNDRAPHADEVHVYFDKPLCYDANYVDNLRDDLQRVVLFGGPGGFCGL >RHN62926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46777608:46779099:-1 gene:gene25564 transcript:rna25564 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTSNVVLKLPNLSHNTVSVSVSVSVGFLCPKPLHLSSLHSNFRTKLKLPSFSISRPTHFKVSQNSITTTEESLSNDLLIVGPGVLGRLVAQKWRHEIPGCEVYGQTMTTDHHNELIQMGINPSLKWTEATHKFPNVLYCAPPSRTKDYADNVRLAALSWNGGGSFIFTSSSAPYDCNDNGPCDEVILHLYQLEEAPGLTSF >RHN59831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13789643:13790037:1 gene:gene21992 transcript:rna21992 gene_biotype:protein_coding transcript_biotype:protein_coding MELSMYMSPVFKKTNFGTVACFILIVIDANAGSSFSELFGIVSKFKKGLSNSCNIVWLDNQHMHHMRPLFMGTCACKDHIVFDHEYCIVGLCQALNDVLDSMGKKGIPVPV >RHN69612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44926683:44928510:1 gene:gene18103 transcript:rna18103 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRFRIGRVEIIGVVLHYDDLPVMICFSVHFYDLQNKSSVGVISLIKHDKMSLAAISQVLLDITQEMSVIGLMSDEIMENILCNLPIKEAFEASVLPKR >RHN67403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26881214:26885568:-1 gene:gene15592 transcript:rna15592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MDEDGEHGGDQFYRNEAISAVADDGFMAEEDEDYEDLYNDVNVGEGFRQSLQKTELSEVKIEAVEEKKLPPPPPLPLPPPPPPLTAHGVGGVSAFQNQELIGNEVGVQGGGIRVELGQKAATLSGIGEQGGNTGVELQGIIGQQQQPPLGVGGFVGGVGNDGLMRQGQGGGVGGGSGGAGGGGGSTVLFVGDLHWWTTDADLEAELCKYGQVKDVRFFDEKASGKSKGYCQVEFYDPLATTACKEGMNGHLFNGRPCVVSYANPYTVKKMGEALNNRNQPMNPTAGANQGRRGPAEVGGVKPGGGNVGTGGNYQGGDGNNNNNNNSNNNNNRGSGRGNWGRGNNPGMGNRGHGNPMRNRGGGMGGRGMIGNAGNGYGPGIGAGPPMMHPGFDPSFGGPIGRVGGYAGYPGGPTPPFSGMMPSYPGMHGVAPHVNPGFFGRGMHMNAIGMIPPSGMDGPNMGMWPDPNMGGWGGDELGGGKVAESSYGEEAASDHQYGEVNPDRAGWQNTMREKDRGSERDWSGSSERRYRDDRDQGYERDVPREKDAGHDPEWPERKHRDDREVVRERSRDRDRGREKSRDRERERGDRDRERDRYREDRDRYADHHRYRDREPEHDEEWERGRSSRTHSKSRLSQDEEHHSRSKDADYGKRRRLTSE >RHN51808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25669244:25669983:-1 gene:gene36342 transcript:rna36342 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDVLQQGWTVDNASRMLTVKKQPVVSVQKLDPRKLQQLTEYVFHLEH >RHN60175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22365981:22367165:-1 gene:gene22420 transcript:rna22420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRKKIPMKYIQNVKSRKTAFKERKNGLARKLSKFVSKTGARACLIVYDEDGNNVGPMTWPENPNIVNSMLQEYEHKKIEEAPEIFDVHKYFEFRKKNVEAKITKVRKDTLKEKYPTWHQDFQNMEANQLRDFIATVNDKIQACDHKISMLKNNALMQNMVQESVVSSHSRQVNVMHSNSIPQMQQHVNGTNEMVDLTNHVDLPHNSSTNQLHDISEMIDFTNLGDLHPSSSTKQLSQLDDSDDWLNQLDGGVLNQVSQPHQFFWKDLSFSSQSEKGDASYLINLHPSSSTQQLSQPNEFAGWLNDGVLNWANQPDQFAWKDLSFSSQSEDGNVSYLGDFPPSSSTKQLSQPDDWLNQLDDGVGQFASKDLSFPSQSEEGNANLDDSIRSKVNF >RHN61704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37658451:37661909:1 gene:gene24193 transcript:rna24193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PWWP domain-containing protein MDCGVGSIVWVRRRNGSWWPGQIIGPDDHSTSHLTSPPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDDYIEKIEASHEMPLKKREKYARREDAILHALELERELVKKQVKLDNAYDQRDCRRSNSVKKGVVVALPVETEGNDDDDDEKHANLKSYRSCENEIDGGCFPLEVARDVEVDLSKATPLMRGLQDVGLEIAPLKTILSSPVDSHVSQKILINEGVRALASDSLCTGRTLQANGARQMTYRTKRSCPFYFPAKSNDLLDYKERPALLEMPSPNGGKFPYHGSLVGETESTFMDDAESGSSETDFTESTVSDSDSSETEPDMDVKMAILAETGREFEEHENTSSEEPDDLAVTSDMPHLYPRELITCHEAVSKWQLKGKRNNRNLIKRSYGAPDGKGIIYGAEGDFEEGRTNLSHKSLHCYENGFSDALYEDDQMLELEDEDSLTPRPVSKFQNKIHRGTDWNDLTWDENVASKEYWDMKEYNPTYGDRYHVGRRMRSMLINVDVRVQAGYRKEPVPIISLMSKLDGKAIIGHPIQVEVLKDGSSDTFFSAIDDFSNEGIGIEGSVLPQAWRTARRTANFRVPRPHVFLSTGAEAPVDFPSLDQEQNFDYEKLNTGSSSHNASLQKKSGDKKFFENVPKKLSLSSNQKIRTLSSSSAEHSVRNPLHDISSYQTDRLIKPEISGPTTVACIPVQLVHRRLLEKISRPPLKAASNAALLSTGVERNS >RHN66217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9821525:9832107:-1 gene:gene14160 transcript:rna14160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(ADP-ribose) glycohydrolase MEKREDWRSILPYLPVVMRSSSLFWPSQVVGALRELGCGRVDSGQLLFIFITELRNSLSLSSEPLAPSAAHGYALFFDELISREECRKWFEEVLPSLGDLLLRLPSLLEAHYENADMVIDGKGATVRTGLRMLDSQEAGIVFLTQELIAALLACSFLCLFPVHDRYEKQLQPVNFDELFASLYNDYTQKQEDKIWCIIHYFQRITSNMPKGVVSFERKVLPWEDDCIHISYPNASFWSTSVKPLCRFEVKSSGLIEDHSSETVEVDFANEYLGGGALRRGCVQEEIRFMISPELIAGMIFLPSMADNEAIDIVGVERFSSYTGYASSFRFSGDYVDDKDVDTFGRRKTRIVAIDALCGPGMRQYREKFLLREINKAFCGFLQQSQYQRDQKIPQENFDAMETSEGKYSYQEIRNSQNDYDMMENSNDIGVATGNWGCGAFGGDPEVKTIIQWLAASQAGRPFIAYYTFGSGALQNLDKVSCWILSQGWTVGDLWNMLVEYSTSRSKGETNVGFLQWLLPSIYDHDSGMY >RHN43374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45257149:45258956:1 gene:gene49936 transcript:rna49936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 METWFIVLFSVCVCWLIKATLSITTKSVSLPPGPPHIPIITPLLWLRKSFTQTQLVPFLRTLHAKHGPIISLQIIFRRIVFISDRSLAHHALIQNSSVFSDRPIPLPNINLISSNQHTINTASYGATWRTLRRNLAAEMLHPSRAKSFSEIRKWVLQTLLDRLKLSSESTDSIKVVDHLQYAMFCLLVFMCFGERVNDDKISDIELVQRALLIGLNKFNILNIFPKVTRILFRKRWEELLKLRKDQEDVLLPLIRARKEAKERRLSKNNVVSYADTLLELELPEEKRKLSEDEMVSLCSEFLNAGTDTTSTALQWIMANLVKYPHVQDKIVEEIRDQVIGADRNEENKEIKEEDLQNLPYLKCVILEGLRCHPPGHFVLPHAVKEDVVFDGYLLPKNVVVNFMVADIGRDPSVWEDPLEFKPERFLKDEAFDITGTKEIKMMPFGVGRRICPGYNLALLHLEYFVANLVWNFNWKILEGAGYVDLSEKTEFTVVMKNPLQVHISPRI >RHN52527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36388462:36393965:-1 gene:gene37215 transcript:rna37215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Arf GTPase activating protein MIPTYLVSYVTTEGFANMNGKASVSKELHAKHTKILEGLLKLPDNRECADCWTKAPRWASVNLGIFICMQCSGIHRSLGVHISKVRSTTLDTWLPDQVSYMQFMGNVKSNKHWEAKLPPNFDRNAYGIEKFIRAKYVEKKWALQGELQSASKSADMMFEFKDLPADGVKSGINRNRRLSLEESILVNHMAQVRPPIARSHEAPVNLQVKIKPPIKRPSASVDFDKPVGNSKSAVDLFSMLSTDADKTNISTTPPSWTTFDCM >RHN48681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49434401:49437120:1 gene:gene43423 transcript:rna43423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MDVAVMLWCLWRRRNDKVWDGELKPINIAIQLAREALFQWQEVRKRSAEPVQNQQQQVAIWQPPDEEFVKCNVDAAMFEEQNCFGIGMCIRNHRGMFVKAATIWYEGKPLPREAEAVGLRDAISWLGRLGLSKVLVELDCKLVVDSIFDRNSNQAEFGSIISECRSRLKHYPNFRISFVRRQANFVAHTLARASRLNARHQEFDLIPSYIETIVRNEII >RHN49850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1673697:1674575:1 gene:gene34074 transcript:rna34074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDFLFLFQENGSNPLDICAICLENFTIGDKCRLLPICKHIFHAQCVDKWLLKTPICPICRSRVCSHSANKVVLGNNGRESIESGLSRNVGLELRENNPT >RHN75392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41890344:41894301:1 gene:gene11583 transcript:rna11583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MAPPGKLSGFHLQGHHWFSDSGLPSDITVSIDGVNFHLHKFPLVSRCGKIARTYEDSKNTYEKNLTVVLEEFPGGPETFLIVAKFCYGFRVELTAKNVVLVHCAAEYLEMTDEYEEGNLLTKSESFFHKNILRNWKDCILALQSSEPILSRAENLNLVDKCLNALSMMACTDPSLFGWPMMMYGSFQSPGGSILWNGINTGARIRTSESEWWFEDISYLSVRLFESLIKTMRSRGMRPESLAGAIMYYSRKHLPGLGRWQGGQGGKTRTVASFSLTPASATVDQRVLLESIEKLLPQKKGKSFCRFLLGLLRVASILNVDQACKESLERRIGMQLELATLDSLLIPAYADSDALYNTDCIENIVHHFVLTESNLTAFSPSSLDPQASSSSESLRKVAKLIDSYIGEIASDVNLKPEKLRALAQALPESSRSLHDGLYRAIDIYFKAHPWLSDNEKEEICNIIDYQKLSIHACAHASQNDRLPLRVVLQVLFFEQLHLRTALAGCVNALDGESAPAVPAQSTARRGTAGEIVQRDGWVAVVRENQGLKVDMDRMSTRVGELEEEFSKIKKEMKTVTKSHSARGSPRLLARKLGCKLVPRFSDAQPESLERSVSTPRASVERARRSHKSRHTESFS >RHN42071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35211708:35212366:-1 gene:gene48462 transcript:rna48462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DDE superfamily endonuclease domain-containing protein MDYWEICKARCFKNVNMNSLDCQYRANKKAWMTSVLFDEYVRSFDQMMHGRRVLLVVDNCPAHPRNIEGLRNVELFFLPPNMTSKIQPCDAGIIRAFKMHYRRRFYRKILEGYEVGQSDPGKINVLDAINLAIPAWTIDVRKETIVNCFRHCKIRSASDVVGNLDESILMKKLKTSRL >RHN65423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2094049:2103096:-1 gene:gene13260 transcript:rna13260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloride channel, voltage gated MPENDQLRLLGASEDAERDIEAHGSEHIAGVNGGGGGGSGSGKGLRDMLKLSGHHRHSFKRFERERERERDRVVDSNNVRDSSHSEFDLHSFDSSGDVLADSAPPEWALLLLGCLLGLATGLLVAVFNKGIKVHVIHEWVWAGTPNEGAAWLRLQRLGDTWHRILLIPVTGGVMVGMMCGLLEILDQIKQASYSPQRQGFDLLAGIYPTVKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGFSLMMENNRERKIALVAAGAAAGISSGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVLQGSQSAFTVPEYELKSAAELPLYLILGMLCGVVSVAMTRLVAWFTKFFDYIQEKFGLPTVVCPAIGGLGAGIIALKYPGILYWGFTNVEEILRTGKSASAPGIWLLTQLAGAKVIATALCKGSGLVGGLYAPSLMIGAAVGAVFGGFAAEVINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVTNQGKESDPPGKRNLARGYSSVSNNEDDDGNGLELCIVGDGSELEEIDEELFLDKLKVSQAMSKYYLKVPSSVTLKDAIKIMHDSQQNCVLVVDEDDFLEGILTYGDIRRCRSEKSNETSKSDSDPLDVNTLLVSSVCTRGMSYRGRARGLLTCYPNTNLAMARELMEAKGVKQLPVVKRGGSHNRERKRRIVGLLHYDELWHCLRKEINHRKLDYQSRTENILTNGH >RHN60639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29037244:29041415:1 gene:gene22985 transcript:rna22985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MLRRKNTVQILMFVSALIIYIFLFLVITSSANIPCNSDSDCPWKIYYTYRCNDGFCVYKSIDPSTIPQYMTDLIFPR >RHN73108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13524628:13528139:-1 gene:gene8888 transcript:rna8888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 7-O-beta-glucosyltransferase MPQVLFRWGEERVLPYCIISDAAYPWVNDLAHKFQIPNITFNGMCLFAVSLMETLKTNNLLHSDTNFDSDSSTFVVPNFPHHITLCEKPPKLIIPFLETMLETIFKSKALIINNFSEFDGEECIQHYEKTTGHKVWHIGPTSLICRTVQEKSERGNEVFVNVHECLSWLDSKRVNSVLYICFGSINYSSNKQLYEMACSLEAAGQPFIWVVPEKKGKEDESEEEKQKWLPKGFEEKNIEKKGLIIRGWAPQVKILSHPAVGGFMTHCGGNSIVEAVSMGVPMITWPVHGDQFYNEKLITQVRGIGIEVGATEWCTSGVAEREKLVSKDSIEKAVRRLMGGGDEAKNIRVRAREFGEKATQAIQKEEIKRREKQTSQYVT >RHN64515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59686433:59695057:1 gene:gene27337 transcript:rna27337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligomeric Golgi complex, subunit 3 protein MDMERNFHIMDRSTYLYDTLRPKLVHETNIDFLCELVDILKMEVLGEQHSRRSESLAGLRPTFERILADVHERLTFRARTHIRDEIANYMPTNEDLDYPEKLKRLAESTSEINPADGNPDTFKTWYPPLEKTLSCLSKLYRCLESEVFTGLAQEAVEVCSTSIQKASKLIAKRSSQMDGQLFLIKHLLNLREQIAPFNIEFSVTQKELDFSHLLDHLRRLLRGQASLFDWSRSTSLARTLSPRVLENQIDTKKELEKSLKATCEEFIMSVTKLVVDPLLSFVTKVTAVKVALSTGAPNQKLESAMAKPLKNQAFATPDKVAELVQKVQTAIQEQLPVVIAKMKLYLQNSSTRTILFKPIKTNIIEAHIQVQSLLQSEYTSEDIQIINLKSVQDLQTELDNFL >RHN55597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19898302:19902079:-1 gene:gene30799 transcript:rna30799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ureide permease MDSKDFFEISSLISSISERILSFELKMYLVESKGGAIGCMLLALFFLGTWPAVLTLLERRGRLPQHTYLDYSITNFLAAIIIAFTFGQIGNGTVDAPNFLTQLAQDNWPSVMFAMAGGVVLSLGNLSTQYAFALVGLSVTEVITASITVVIGTTLNYFLDDKINKAEILFPGVGCFLVAVCLGSAVHASNTADNQAKLKDFSSHHNDVTLDSLKEGNIVKSKDLESGGSSAEKLKAGTAVFLIENENRRAIKVFGKRPLIGLTIVFFAGICFSLFSPAFNLATNDQWHSLKKGVPHLNVYTAFFYFSVSCFVIGIILNIIFLYHPVLNLPKSSLTNYLGDWNGRGWALLAGFLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGIILFGEYRKSSGRTYILLGSMLFMFIVAVGVLMASSGHRKH >RHN74515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34207279:34209110:1 gene:gene10601 transcript:rna10601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MKERIRDGSNLQYNFYKVSCPQAENIVRSAVTDIYFNHRDLHLLFFVSFHDCFIQGCDASLLLEDNGDRNSSYEKQAIPNQTLKGNDKVDLIKEEVEQACPGVVSCADILALAARDSVFLGGGPFYPVLTGRRDSLQSFFQEATDQIPRPDDNITRTLHLFNLRGFNARETVSLLGAHNIGKICCDFIQQRLYDFQGTGQPDPSIPLDFLSQMRQNCPDNNKNNVISNGMFSTFTVSKPMNVHHSNNKGMSYKQALSSAVSSGASFDTHYYQSLLRGRGLLFADQQLMAEEKTAKLVSAYASDDGSTFRMDFARVMMKLSNLDVLTGNQGQVRLNCSRVVSS >RHN74022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23720491:23723878:-1 gene:gene9948 transcript:rna9948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MASSITQQPPPLPSLHHPILKPTITRRSLLLTSTTTTLTFPSLSSSASPQLPNPTITDRIFMDFSLCPNYYLPNRTLGDTISTLCSESTLLGRVILGLYGNLVPRTVSNFKSLCISSPNSSSSYKNTFVHKVIQGQYFLAGRQGRPEKGEVRPPRHLPRNVETVDPKAFALTHSRPGVVSLSLSENDDDDEIKFDPEYRNVEFLITTGPGPCPQLDNKNIVFGTVLEGLDVITTIASTPTYQPGERIRQFNDLAEFFGDERAQNARAIWNRPLTTIYISDCGVLEVAKPSLTPSLP >RHN77905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10373864:10374106:-1 gene:gene1409 transcript:rna1409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MKKGTFISFIVTTIFYMMYGCFGYATFGDSSPVNLLTVFGFYNPSWLLDIANDDCYYKLTSTMYSIVEKIAVERFGWRFC >RHN74828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36967227:36968350:1 gene:gene10952 transcript:rna10952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRGQNMAQNKYLFCAFIIFLSLFFVLTKSSIPCKTRTQCPEKMCRLPKFVWCIDGSCVCA >RHN70376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51000301:51000705:-1 gene:gene18946 transcript:rna18946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AAA-type ATPase domain-containing protein MNLSLPPSLPPYLSLSLSLSLSLSLTNTGSSSSSWFQAYASFSTFMMILRTVFNDLKPLKLQNFIASKLRAFFSNYQPKNQVSLQIDPLWDGSPNNLFYAAKEYLPTRISKTYTSLKIGKISNHNNVLVAFDGK >RHN63904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54951768:54952466:-1 gene:gene26660 transcript:rna26660 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRFKHFLSFSLFVINGVFSSLSKPYKSRKMRLSCTKSPVDNKYCRCSIDTWICSVIGPDLNEFDLILDDIVVIIFTYYLPTALSACTNLVSLGGDIYIKPQFTKVVRCLPSLKKLKLPAMEMPELNAFLVGCPILENLNTYIYYENYANICFPPTLKSLQIDVDIASVGAFFYDNPLCSRYLNLTQIRFDDVSNL >RHN57552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39984304:39985382:-1 gene:gene33116 transcript:rna33116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RIN4, pathogenic type III effector avirulence factor Avr cleavage MILFMQKKHAKSSVPQFGAWDQKTMGATNYSMVFTQARANKKQQKTDLTEVKRSSIGTEEELVKAINHRHGHPPQGYHAQPAHGRAPPAQVQANAQVHANANANAQVQEDPVVMGKRRILTYINCCIRP >RHN46840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35248698:35249149:-1 gene:gene41372 transcript:rna41372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MDPYVILTYRSQEHGSSVAKGSGSHPHWNEIFLFTISDSNYTLHLRLMDEDTYILRMTSLGRQCE >RHN61990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39842005:39843993:-1 gene:gene24510 transcript:rna24510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MLQQFQNQTHTKTELKMATTTTSEEEEYMQNLRSKATELFIREEWTNAIETYSHFITLCTHHLSVPHPNPLHHQKLHKSLCISLCNRAEAKSKLREFNSALEDCDHALQIDATHFKSLVCKGKILLCLNRYSMALNCFKTAMLGNQASGNCEMLVGFVEKCKKFEFLSRSGTMDLSDWVLNGFPGKAPELAEFIGAVEIRKSEISGRGVFTTKNVDAGSLILVTKAVAMERSILGGKDLSEDTQLVMWKNFIDKVVDFVKKCHKTRNLIGKLSIGENEDELDVPDVDLFRPENVGEVNSNEDVEIDMVKLLAILDVNSLTEDAVSANVLRKNNDCYGVGLWLLPSFVNHSCCPNARRLHVGDYLIVHASRDLKAGEEITFAYLDPLSPLNKRKELSVTWGILCKCKRCKFEGEFLLSKQEVKEIEIGIERGMDVGGLVYKLEEQMKRWKVRGKEKGYLRASFWSLYSEAYGSERCMKRWGRRIPALDAVVDSITDVVGGDHRVLKILMEELKRKGGGSGGGNLEMEKVFKLAREVYGKVVKKQAMRTLLELCIAAE >RHN42629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39827493:39828755:1 gene:gene49093 transcript:rna49093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MIQIQNMQPDAEGKYPYTGFLDCAVKTYKAGGILKFYTGFPLYCVRISIGVMMACIAINNLLRR >RHN63057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47991326:47994831:-1 gene:gene25712 transcript:rna25712 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAELATVVIHRPGNKVERIYWSVSAHEVMNSNPGHYVALVVSSPTLKSENGMPLKHLKLLRPDDTLLIGQVYRLISFEDVLKEFASKKCGKLGKLLKESGNRGIQMKHRDFRAPNPSPVKVERETQRTESNGSRSTNRSVGRHIVGGSGQWRPALQSIAEFGT >RHN43361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45167813:45169820:1 gene:gene49921 transcript:rna49921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MEKEEDVKVGANKFSERQPLGTAAQSDSKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVNRSTSKCSSVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIVMQCLGAICGAGVVKGFEGNARYELFKGGANFVNPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNRDFAWDDHWIFWVGPFIGAALAAVYHQIVIRAIPFKTRT >RHN68391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35094463:35095518:1 gene:gene16735 transcript:rna16735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Helicase-associated domain-containing protein MTTFSVNTEEGLDKSKVCSEKIARESHSPSPGALFVLELYAVLPAAAQLRLFEGVKEGKRLVYVVDTAREKAENYDSSNGMETYEVQWISKPVRHLFLNMQAELKPDTVIVSILPEFNNEFPEYSPAEVKKVSALGAVPLKSMHIKKYHCSSRLPFPTSLKVTSLLEAENCLKALEALDCRDELIILVKAMTHYTLSPCHYRMILTVIKNTRHDHKCNPRLPLAYAISAAAALSLSNHFVT >RHN64062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56290454:56290987:-1 gene:gene26840 transcript:rna26840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MFITSSLSDTLSFDFEVSIRNNTVIERPNPNFITPLSDGLVKIVSSCRGFIFLHHNSSFYLWNPSTRVHKQIPLSPIELNADVVDAYDCFYLYGFGYDQLRDDYLVVSVSCDPTLVHCYSRLEFFSLRDNTWKVLEGTRFPYMNDYDDPRVGSLFNGVIHWLAYHHDLVKNAILAFS >RHN72685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9725635:9730288:-1 gene:gene8431 transcript:rna8431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Smr domain, tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MASLSNIPLFLSFSIPPPSSCNPNFKFKTFSHVNQQHSHNPVTNSSSLSKPKIWVNPNNPKSKPLQNKNNNKPSNSRNHFLLKFVQSLDSCDPTHQQVNAILNAFISDGVSERDAVFILDKMTNPKTAHIVMGCIRDRIEHVRDNGVVLYNVTLKVYRKCNDFDGAQKVFDEMLQRGVKPDNITFTTMINCARMSALPDKAVEWFEKMPGFGCEPDAITCSAMVCAYARTNHVDMALRLYDRAKIEKWPVDVVTFSALIKMFDLNGNYDGCLNMHLEMKGLGVKANVEMYNVLLVAMLRGKRHWQAKTIYQEMKSNGVSPDFTTYSTLLRIYTRAQFGQDAISVYKEMKGKGMNVSIDLYNVLLAMCADVGCNDEALEIFQDIKNSRTCTPDSWTFSALINVYSNTGKVFEAEAMLDEMIKSGFEPNIFVMVSLVQCYGKVKRIDDVVKVFNRFLNLGIVPDDRFCGCLLNVMTQTTNEELGKLIGCLEKANKKLGFVVRYLVEEQDGDGDFKKEASELLNSIDTEAKRPICNCLIDLCVNLNLPDKAHDLLGIGLKHGIYRNIQSRSQTKWSLHLKNLSIGAAMTALHLWINDLSKALESGEKFPPLLGINTGRGKLKNSSKGLGSVLQSHLKELNAPFCEAQNEVGWFLVTKEAAKSWLESRGSTKSVATLDSLVLSAPSMTLTY >RHN70742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53678373:53683312:-1 gene:gene19356 transcript:rna19356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Lunapark domain-containing protein MADDKAVEEGEKKETSPTATASGNVKKKRKGFISRIWNGIFRLHGDDFEKRLKHISKEEATVIARVTRRSRSRRQTSRNLIVFSVIFEVIAVGYAIMTTRSVGIDWKMRAIRVLPMFLLPALSSAVYSAFITFTRMCDRRDQNILEKLRAERQAKIDELKEKTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGADSGMRLYMGDESNLSGASTGKSNDVELVQSSGLRNRKQVQTRSTSPGTSTPNFADQQLAGSGGFDQTQTSEYNQHAVVEHHQPQSSTPQDGGWIARIAALLVGEDPTQSYALICGNCHMHNGLVRKEDFPFITYYCPHCHALNKPKQLDGSVSGLASPNAGSVQMDEGILGLPSLNVGSVQMDERISGLPSLNVGSVQMDERISGLPSPNAGSPKTGDGEAILNANASAAESIITSNGPVNASPEAEKVSEMTNLEEKTD >RHN59773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12981534:12983848:1 gene:gene21921 transcript:rna21921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactosylxylosylprotein 3-beta-galactosyltransferase MLKLKTGDKLKELEKEKGVVVRFMIGHSTTPGSILDKSLDEEEAEHNDFLRLDHVEGYHELSSKTRLFFSTVTSMWDADFYVKIDDDVHLNLGMLVSTLAKYRSRPRVYIGCMKSGPVLYQKGVKYHEAEYWKFGEEGNKYFRHATGQIYAISRDLADYISSNWPILHRYANEDVSLGAWLLGLEVEHVDERSMCCATPPDCEWKARSGNMCVASYDWKCSGICKSVERMKEIHNACGEGDGAVWNVEI >RHN68353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34823070:34826855:1 gene:gene16694 transcript:rna16694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MKLLQVSEDQKGSKTMKGASTRFFTIGLVAAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLFSYVAIAWMKIVPMQTIRSRVQFFKISALSLIFCVSVVFGNISLRYLPVSFNQAIGATTPFFTAIFAYIMTFKREACLTYLTLVPVVTGVVIASGGEPSFHLFGFIVCVAATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPMAVVFLLPATLIMEENVVGITFALARDDTKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMMGYGLTVFGVILYSEAKKRTKG >RHN44803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8644469:8646051:-1 gene:gene38968 transcript:rna38968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MFRQEKKNKKNKPRRSFMSVEILDGATIVHFLEDEEAFNSSVGNRFARLDTNKDGLLSYDEMLKELRSLRVFETHFGIDVDSDPNELARVYESLFIQFDHNLNGTVDLEEFKKETKQIMLAMADGMGFMPIQMVLEEDSILKIAVERESSKLAA >RHN41025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26067479:26069004:-1 gene:gene47297 transcript:rna47297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MPYAVSQGGWLSFMLLIIFAMICWYTALLLERCMNQQPLIKSYPDIGEVAFGYKGRVVIASFIYVELFLIAVVLLILEGDNLEKLFPNMNFTIIGLNIGSKSSFVLITALIILPTTWLRSLGALAYISVGGVVTSVVLIGCVVWVGEVDGVGFHERGKLVNLEGLTTAMSLFAFCYCAHALMPTICNSMNDRKQFSKVLLVCFMASTIIYGTVAVLGYSMFGDHLKSQITLNLPTNTISTKLAIYTTVINPFTKYAIIITPIINAIEEKWHLCKRRPISILIRTAIVVSSVFVALFVPFFGYIMAFIGAFLSVAMSWLFPCLCYLKMNKAARRFGLELIIIIAILLIGTFIGMHGTYISLMQIINSVKS >RHN46802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34997102:34999313:-1 gene:gene41333 transcript:rna41333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MEYQTLLLVITSLCTTILIFILRKLIQTQNSTKLPPGPYPLPIIGNILELGKNPHKALTKLSQNYGPIMTLKLGTITTIVISSPQVAKQALHENSQIFSNRTVPHALSAVDHDKFSIGWLPTLALWKKLRKSCATNVFSKKMLDSTKNLRQQKLQELLDYVNEKSNKGEVFDIGEAVFNTVLNSISNTLFSIDLADSKPDKKSQEFENIIWSYMEAAGRPNISDFFPILRPFDPQGVHAKMTNCIKKLCDIFNGIIEERICSRASNGDFEVFNDVLDSLLNSNNIIGESTYELSRNEMVHLFLDLFVAGIDTTSSTIEWIMAELLRNPDKLTKVRKELCQAIGKDETVEESNISKLPYLQAVVKETLRLHPPAPLLLPHKCEETVSILGFIVQKHAQILVNVWAMGRDPTIWKNPDMFMPERFLECDIKYMGSNFELIPFGAGKRICPGLPLAHRTMHLIVASLLRNFEWTLADGLKPEDMNMKEQFGITLKMIQSLRVQVKSSD >RHN60043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17593969:17595246:-1 gene:gene22240 transcript:rna22240 gene_biotype:protein_coding transcript_biotype:protein_coding MILCIEDLKAEIEEVKTEIPVQHNAGFDKMVKQILVSISLTKLDCL >RHN56724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33217036:33219125:-1 gene:gene32164 transcript:rna32164 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRKTTKLMNKIHLSYSFYFFMLSMMFTNTSTASTIKCLDTRCTIFFKSEIDLQQINVKTRQDMESQEKSKVSVDWPRRFLGGPGSFPPRCNAKCGKCIPCKPVHVTVPPGTPVTAEYYPEAWRCKCGNKYYMP >RHN41483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30347329:30350265:1 gene:gene47814 transcript:rna47814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MKIENSCDNTMALETLSSSCNEFSNSNFIIYDTISATSFSSNLIDTTNESSFLENTMNYDHEQAHHEADAAIFDQSNCSMMNSRKRQSMEQEETMNNPQVVQRKKRRRKPRVCKNKEEAETQRITHITVERNRRKQMNEHLAVLRSLMPESYVQRGDQASIVGGAIEFVKELEHLLQSLEARKLQLVQQEVTQNNEDMAISKPPFAQFFVYPQYTWSQTPNKYTSKTKAAIADIEVTLIETHANLRILTRTRPGQLTKLVAGFQRLFLSILHLNVTTIQPLVFYSISAKVEEGFQLGSVDGIATAVHHLLGRIEEEASLTCC >RHN55782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23720415:23727486:-1 gene:gene31036 transcript:rna31036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative breast cancer type 2 susceptibility protein MIDDSFGFSNSLFKTASGRKVTLSSNGLVRAKTLLGLGLQEEIIDSNNHTPKNAKNFHGFDEESPPLVSKSKNGFENKIVQIESGSGSKKAPIKFQTPQSARSFNAFEEESPHLQCMKSCKMTSAASFQSPLVGRSNSGFENIIVQPDSDSGAKQAQFKFQTAAGRSLSISSDALKRARSLLGDPDLGDFFEGEDSLFLSLDMRQISKITSSVGHQKTPESNKNHVTKSFTYPLQPSKQTEFSNKLLGHEGNGNNLIMKFDDVANESDYGCKSSNTLEVVDLTRKSSSLGTTFFDVSSTTKTTPTFNKQPAIGKRRLGLTANVSSFKKPRISKTSASGDQHVQNFHNGLSKLSSGASGCKRKVSTRYPSRNARIHIKEFFAVPPLMKKVHFPNPVRQVTSGNAGEYIFHDGSDDNGIGGEAFIHLLAQHGASLHFASKEWVLNHYKWIVWKLACYERYYPARCAGKFLTVSNVLEELKYRYEREVNHGHRSIIKKVLEGDILPSSMMILCISSIHSNHVMESGTLFQAQTGNKSREAVKVELTDGWYSINAILDAPLSKQLDAGRLFVGQKLRIWGAELCGWNGPVSPFEVSSTISLFLHINGTYRVHWSARLGFCKVAGPPLAFKCIKNNGGLIPQTLAGITHIYPILYKERCSAVVDNIVSEYQKERTSSHVYDHDDSEGAKIYMMLETAAEPEFLMADMTLEQLRSFAAYKAKLNATRQSQLESSIEKALKDSGLGNRDVTPFMKLRVVGLTYKNRQDKPKEGIITIWNPTQKQCQELAEGEAYAIAGLTPYGSDPDVLHLQTRGSTTKWLPLSSNAKEQFRSFFNSRKSIP >RHN80058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34682249:34687102:-1 gene:gene3934 transcript:rna3934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hyoscyamine (6S)-dioxygenase MEKFISNRSSIKSVPEDYVFPQETRPGNFKIPINKTIPTIDLSEAQNGDRTKTIQQIIKASEEFGFFQVINHGLSLDEMKEAMSVFKEVFEKPNEYKHDLYPENNLKTCKMFSSTFKYEFDKVHLWRDTLRHPAYPLEQWQHLWPEYPTTYRKCVGDYSTKIKELGSRIMNLISEGLGLECGYFDNDNLSDSLVIQLNHYPPCPDPSLTLGITKHFDAYLITVLQQEDICGLQVLKDGEWIAVDAIPHAFVINIGYALQIMTNGKLKSVEHRVVTNSEQARTTAAFFIAPSGDCFIEPTKDLIDEHNPPIYKSYEYKELLTRFFKKHGDMDMVLKSYEEEEPKD >RHN39246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5257799:5265108:-1 gene:gene45251 transcript:rna45251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MTYFENENTIGDISEPLLAQKVETKQTGLGHATFLSKLIFSWVNSLLRLGYSKPLDLEDIPSLVSEDEADMAYQKFVRAWESLVRDKTKNNTKSLVLWSIIRTFLKENILIAFYALIRTISAVVSPLILYAFVNYSNRTEADLKQGLSIVGILILTKLVDSLSQRHWFFNSRRSGMKMRSALMVAIYQKQLKLSSSARTRHSAGEIVNYIVVDAYRMGEFPWWFHMAWASALQIVLSIFILFGVVGIGALPGLVPLLICGLLNVPFARILQNCQSQFMISQDERLRSTSEVLNSMKIIKLQSWEKKFKNLIESLRDKEFVWLYKAQILRASSSFLYWMSPTVISAVVFLGCAVSKSAPLNAETVFTVLATLRNMGEPFKLIPEALSIMIQVKVSFDRLNNFLLDEEINNDDGERSLKQFSVNAMEIQDGNFIWDHESVSPTLRDVNIEIRRGQKIAVCGPVGAGKSSLLYSILGEIPKISGTVNVGGTLAYVSQSSWIQSGTVQDNILFGKTMDKTRYEKAIKACALDKDINDFSHGDLTEIGQRGINISGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAAILFNDCVMNALREKTVILVTHQVEFLSEVDTILVMEGGRVIQSGSYENILTSGTAFELLVSAHKDKVTELNRDSENRGGYENEVLPNPQDSHGFHLTKNKSEGEISSIKDPIGTQLTQEEEKVIGNVGWKPFWDYINYSKGTSMLCLIMLAQSGFMALQTSSTYWLAIGIEIPKVTNTTLIGVYALISFSSAAFVYLRSYLTALLGLKASTAIFSSFTTAIFNAPMLFFDSTPVGRILTRASSDLSILDFDIPYSITFVASTAIEILVVICIMVSVTWQVLIVAVPVMVASIYVQQYYQAAARELIRINGTTKAPVMNFAAETSLGVVTVRAFNMVDRFFKNYLKLVDTDASLFFHSNVAMQWMVLRIEALQNLTVITAALLLILHPQGYVSPGLVGLSLSYAFSLTGAQVFWTRWFNNLSNYIISVERIKQFIHIPAEPPAIVDNNRPPYSWPSKGKIDLQGLEIRYRPNAPLVLKGITCTFQEGSRVGVVGRTGSGKSTLISALFRLVEPSKGDILIDGINICSIGLKDLRTKLSIIPQEPTLFKGSIRTNLDPLGLYSDDEIWKAVEKCQLKETISKLPSLLDSSVSDEGGNWSLGQRQLFCLGRVLLKRNRILVLDEATASIDSATDAILQRVIRQEFEECTVITVAHRVPTVIDSDMVLVLSYGKLVEYDEPSKLMDTNSSFSKLVAEYWSSCRKNSLPNISRQQQ >RHN47633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41139368:41140171:-1 gene:gene42255 transcript:rna42255 gene_biotype:protein_coding transcript_biotype:protein_coding MKISKYIHKVDDDQLVLFEIQSEKESGESNGFEVVFNDSGGGNNNDDEVLRLSEHLKWLGLVWSKCWCGFWVVVAVGIDDGQRKRWKKKKNNGQLVYMDLSYAGPIVVVVLEIERKKK >RHN40379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15798689:15802224:1 gene:gene46526 transcript:rna46526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MAMEKSNSFENMKHYTAMICLQFGYAGMNIITKVSLNQGMSHYVLVVYRHAFATASIAPFAFMFERKGQPKITFRIFLQIFVLALLGPVIDQNFYYAGLKLTSPTFSCAMSNVLPAMTFVMAVLCRMEKINIKQVRCQAKILGTILTVAGAMLMTLYKGPIVEMVWAKNRHPQNETHETSTTGSSERDWILGCTFLIIATFAWASLFVLQAKVIETYKHHQLSLTSLVVFIGTLQAIAVTFVAEHDPSVWRIGWDMSLLASAYAGIVTSSLAYYVQGLVIRKKGPVFATAFSPLMMIIVAIMGSFILAEQIYSGGVMGAILIVIGLYSVLWGKHKEEIERKVDDIPLPIKGPQMSGNSGLVIDDTDQVKHGQVEDTNNMLSSVAISIPTNNVNQQ >RHN80881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41542918:41544822:-1 gene:gene4857 transcript:rna4857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol 3-alpha-galactosyltransferase MSPDIITAATNITNTQSKATRRAFVTFLAGNGDYVKGVVGLAKGLRKVKTMYPLVVAVLPDVPQEHRNILTSQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWAFEEYDKMIYLDGDIQVFENIDHLFDLPNNYFYAVMDCFCEASWRHTKQYEIGYCQQCPDKVQWPANFGPKPPLYFNAGMFVYEPNMATYHDLLQKLQVTKPTSFAEQDFLNIYFKDKYKPIPNVYNLVLAMLWRHPENVELEKVKVVHYCAAGSKPWRYTGVEENMQREDIKMLVKKWWDVYEDESLDYKQPVNANHLASAILEASDLKVVPAPSAA >RHN68879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39306165:39306389:-1 gene:gene17294 transcript:rna17294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyanohydrin beta-glucosyltransferase MDQKPHVVLAPFPAQGHVNPFMQLAKLLRCNGFHITFVNTEFNHKRLIKSLGQDFVKGLPRGGSRHCILVWQIF >RHN45430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20668700:20669113:-1 gene:gene39768 transcript:rna39768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDCVLNHQLKSLHLACNFQLLNENIILFKSICDPNLQFLDLSSCHNISEEGICEVLRRCCKARHLNLAYCSRVKLLRINFKVPELEVFNLSHTSVDDETLYMISKNCCGLLQLLLENCDEVIENGVKHVVENCTQLR >RHN45008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10687163:10695850:-1 gene:gene39201 transcript:rna39201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MTHIYILFDKERRYFRTLLECLLSIPASKLFHRKMTLRLISPPPSFHRFTCHATTHPPPPPPQISTATPISSTPHPPPLTCALHCSHFQSCSGCTHELNLHRPVIVEDAANFFRNYGVTDFTFDTCKLWGWRCRAKLAVRGSSTNPLIGLYEEGTHNVIDIPECKAHHPNINAAVELLRRGITELDIEPYLEDEGTGNLRYVQMAVTTHNTSLPAGQRYMSGKVQVTLVWNSRNEKSHGSDKLNALANFLWKNGGPQSRLHLIHSVWANFQTTDKNIIFGNRWRHLLGERDFWEHVGGIDVSLAPSSFGQANTRAFDSLLQKLQKYVPYESSVADLYAGAGVIGLSLAATRKCRSIKCIEINKESKVSFEKTIQRLPATVDSSITWHHADASKDPFSWLVGSDVVVIDPPRKGLDSSLIDALKNISLVARKALSSSERSNSVQEEKRPWVLRANETSVARKALSSPEANITPLVPQTLIYISCGWESFKEDCKSLLSSKAWYLEKAHGFNFFPGTQSIEVLAVFKRGPQKKKPGKKKKKHSQGAARH >RHN74813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36834525:36837198:-1 gene:gene10933 transcript:rna10933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MRHHLCCLFIENNSEYRVTMEEIKNPSGTSLLVPSVQELAKEKISTVPARYIQSQHEELVINEANSILEIPVIDMKKLLSLEYGSLELSKLHLACKDWGFFQLVNHDVSSSLLEKVKMEIMDFFNLPMSEKKKFWQTPQHMEGFGQAFVLSEEQKLDWADLFFMTTLPKHLRMPHLFPQLPLPLRDTLELYSQEIKNLAMVILGHIEKSLKMEEMEIRELFEDGIQMMRTNYYPPCPQPEKVIGLTNHSDPVGLTILLQLNEVEGLQIRKNCMWVPVKPLPNAFIVNIGDMLEIITNGIYRSIEHRAIVNSEKERLSIATFYSSRHGSILGPVKSLITEQTPARFKKVGVEEYFTNLFARKLEGKSYIDVMRIEHDD >RHN51316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16116924:16119333:-1 gene:gene35738 transcript:rna35738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MAMVSASRSLFISFLLASLILVSSERVARPPAVRGLDYDFYRLSCPRIERIVRKHLEDVFEKDSGQAPGILRLFFHDCFSQGCDASILLNGVDGEDDEKQHDANFALREEALQTIENIRAIVRKQCPRVVSCADILVIAAREAVRQFGGPDIDVPLGRKDSLNFSVNSPDNLPVPFARTDELLTVFGSKKFDATDVVALSGAHTFGQAHCPTMFNRVIDSDPPIEPNFKKQLEATCPNEESLNAVNLDVRTPNTFDNMYYINLLNHQGVFTSDQDLASHPKTKEIVNLFASNQKEFFNKFANAFVKVSQLDVLTGNQGEIRKSCFAPNNRKSKVATVVEEVVEIVANM >RHN41023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26048383:26068077:1 gene:gene47295 transcript:rna47295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAD dependent protein MVLLLPSCSNQLNTTFWFSTPSYRRNQSHRNSNIIIKCANKRTGKQRYPSEKRKLKSKHKELLSSNDNKSNKFEGTWRLCNLAVPLDQDPGKDFLEVSDALLHVIAKLLKFPVASMLPREPFSVVRKSFDARKEPKFVHTVDMDVQKLLSLEPRSWDFISQLQPKVGLVERVNDEGDFGDLMSIIRDCKENKEDLVKGENGHSIFSKELYKKQDTRKPKIAIVGSGPSGLFAALVLAELGADVTLIERGQPVEKRGRDIGALIVRRILELESNFCFGEGGAGTWSDGKLVTRIGRNSGSVIAVMRTLVQFGATKQILIDGKPHLGTDRLVPLLRNFRQHLQDLGVTIKFGTRVDDLQIEDGQVLGVMVSESADELRLRSQRLECDAVILAVGHSARDVYQMLLTHNVELVPKDFAVGLRIEHPQELINRIQYSELASEVCRGRGKVPVADYKVANYIDKDDFNESTDSKVENRSCYSFCMCPGGQVVLTSTSPSEICINGMSFSRRASRWANAALVVSVTKNDFEALNYYGPLAGVEFQREFERRAAVMGGGNFVVPVQTVPDFLENKLSVTSVPPSSYRLGVKASNLHQLFPANIIEALKHSLETFDKELPGFICNEALLHGVETRTSSPIQIPRDIDSYESTSVKGLYPVGEGAGYAGGIISAAVDGMHAGFAVAKKFNLFHGDVKSVLGKARNAGLVKY >RHN57196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37239472:37241641:1 gene:gene32705 transcript:rna32705 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCKSLDVFSWVQNLPPISEWKTGSMSLSLCSLLNSSQPSLNLTISKNHQSQKLSFAIVADYNIPIFLWTSKPFKPSNKISHEETISNLLVNFIQDILNYGSKNNLFIKFPKLETIPNFSDIFNLAFLTLLFLVCIYEAPSDLRYGCLSALKEQLSGCESRKSSKLLMKLLGSNLEEKWMRSINLAITNWIVELKEVAKHHYSMFRTPSPLFSHAFSTFGLWKVQLYCPLINMDVVSANNHAADERLQFSLKYQQLEGVLQFNHKAFIKEKWVEIMVNIDNIRCDVFKLVDDTLMKERGAGASEKHFPSRISLQLTPTLQHQVLSVSVGKSSENPIMEIGMEKSVEATFQPTNPYIGLNVSAGESTTMSLKPWKFEESVYGYSANLNWFLHDSMDGKEVFSTKPSKFELINPKSWFRDRYSSAYRPFTRQGGVIFAGDEYGESVCWKVDKSARGKIMEWEIRGWIWLTYLPNKYRTFYHETRRLEFREIVHLNIP >RHN65213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:263919:270373:-1 gene:gene13032 transcript:rna13032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNIRSTIDRYKKACSDHSSTTTTTEINAQYYQQESAKLRQQIQMLQNSNRHLMGDALSTLTVKELKQLENRLERGITRIRSKKHEMLLAEIEYFQKREIELENENLCLRTKINDVERLPQVNMVSGQELNAIQALASRNFFNPNMMEDGETSYHQSDKKILHLG >RHN76207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48526680:48535206:1 gene:gene12498 transcript:rna12498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative electron-transferring-flavoprotein dehydrogenase MFKLSSLLFKSKSKSKNALTIIRTFNNLQPCNASTHANSRVPISRNFCTAPERDSIEYDVVIVGAGPAGLSAAIRLKQLCRENDTDLSVCVLEKGSEVGAHILSGNVFEPRALDELLPQWKQQEAPISTPVSSDKFWFLTKNRAISLPSPFDNKGNYVISLSQLVRWMGAKAEELGVEIYPGFAASEILYDSDNKVIGIGTNDMGISKDGSKKETFQRGVEVKGQITLLSEGCRGSLSEQIMKKYNLREKGGAEHQTYALGIKEVWEIDEEKHQPGAVLHTLGWPLDHKTYGGSFLYHMKDRQISLGLVVALNYQNPFMNPYEEFQKFKHHPAIKPFLEGGTVIQYGARTLNEGGFQSVPYPVFPGGAIVGCSAGFLNVPKIKGTHTAMKSGMLAAEAAFGVFNEGLDMNTYWDALRNSWIWEELYKSRNYRPAFKYGLIPGLALSGLEHYILKGRHPITLKHGKPDHEATNAAELHSPIHYPKPDGVFSFDVPTSLHRSNTNHEHDQPPHLRLRDPKIPELTNLPVFAAPESRYCPARVYEYAADEQNQLKLQINAQNCLHCKACDIKDPKQNIKWTVPEGGGGPGYSVM >RHN55513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19123455:19124720:-1 gene:gene30706 transcript:rna30706 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQLTGKEENKADFGATRDRKIPMQKTQSFKAEKKKGQSWLQKQLSSKTGRDCDYIDMVHGAAVAAAAFSINLQ >RHN65378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1591375:1591924:-1 gene:gene13210 transcript:rna13210 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKLTSHLPKTTCIEVTSWQIHIFYVRLVVILWKTINTYSFNVTTTINFDI >RHN57260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37633928:37644190:1 gene:gene32782 transcript:rna32782 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDIIMISFEFCPQFARHRDHRDHLHRVLRVHLHRGCNHLHRGGNYLLHGCNYLHHGCNFHHGCNYHHHIWHHLLH >RHN62341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42756341:42761277:1 gene:gene24903 transcript:rna24903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MMGLIDLNTTEEDETPSSGSSSSTSIGSVGAGSSVCLELWHACAGPMISLPKKGSVVVYFPQGHLEHLHDFPLSFSDNIPSHVFCRVVDVKLHAEEGNDEVYCQVLLVPESEQVQQKLREGVIDGDGDGDGEGEDTDAVMKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGQEWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGIRRAAQLKSCSNFSSISGQQLNLGSLRDIVNALSTRCAFSIHYNPRIGSSEFIIPIHKFLKSLDYSYSVGMRFRMRFESEDAAERRFTGLIIRITDVDPVRWPGSKWKCLVVRWDDMEASRLNRVSPWEIEPSGSSSTTNSLMATSLKRTKTGLPSSKLEFPVPSRIGTSDFGESLRFQKVLQGQEILGVDTTFNSINAHSHHQLSELRRCYPGSTYPGIASTGNNIRIPQMNSDVSCNGFGESFRFQKVLQGQEILPSQPYGQAFSVDEACRNGRFGLFDGHHQVPRSTNGWSSQTSNNSHFHPSVLMYQQASNMDYNSKINPVMEDNVLQRGSYYASEMKDGTFASTPTNKPIFRGLAHEDTNSFGTHSRDLVTLQRSGQELVSTCKNSCRVFGFSLTEGAPIANKDAEPSAVTCQINPGPPSFTRHIEDDFNPRHSLRSKAVESYCTKGVLQY >RHN50030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3262556:3263207:-1 gene:gene34278 transcript:rna34278 gene_biotype:protein_coding transcript_biotype:protein_coding MCFFFKKKKYSCAFKFTCVPLFFRTEAKMEIPLERPFETITAVTNKKDFWKLAVRVKDKWTVVKDGKEHLEMIIVDAKILSPFYDVLISFLYLPLYVFSMGNNIQVVIPTGYKAVYDRSLWKTLHTP >RHN58664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3768567:3768935:-1 gene:gene20596 transcript:rna20596 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYLKKSKSQNPQFKLISENHKTIKGVDPDGRDDLYEEDYSIIPYPISRLLDNPSFTLVADSHSLLNQNHYNIVGSCNGLTCLADSCRRHSTDTHVEYWLRFWNPATRKISQKMGYFRDSA >RHN78321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14118959:14132112:-1 gene:gene1863 transcript:rna1863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PWWP domain, CID domain-containing protein MAPWRRRGANKAKANAHLTLGDLVLAKVKGFPAWPAKISRPEDWEKKPDPKKFFVQFYGTEEIAFVLPADIQEFTNEVKTKTIARLHGKTKCFTQAVREICAEYDELEKQRAGGLTDDTDDARVGSEAPSFDGVVDDVKDVTDAVVSNVEKAKTVMEDVGSNLEHHEQRCGETDCQDEKPSTSGRATDGSSPVLSPVLESKSSIGTELNKHNIKSGLEDKSCRKNEVSDIKDFCKVNDFKHDDNAQSVSTDGNKARKLVTSSRKRSEVAADKEISGFDIAFSKGEKSAGHANLFRSRETMKDGKRGKNAFSVDSEDAPKSDPGINSGNKDKNLLKAKTSLKVKNELQESFVDSEEADRTNSFKKNKTQVQGKNNWGLNETLHATKKLKSIDVKDRKTLNSLSEDMKSASPVFPIDSEEADRKNSFKKNKTQVQGKGNLGTNETLHATEKLKRMDVKDNEPLNSLPEDMKSVSPVLTVDSEEADRKNSFKKSKTQVQGKRNLETNETLHATKKLKRMDVKDNKASNSLSKDMRSSLQVFPVDSEEADRKNSSKKSKTQVQGKGNLGTNETLFATEKLKRMDVKDNKPLNSLPEDMKSASPVSTVIGNKALKKTELKRSSSSLKTEKGLSSRAQTAKVDSNDSVCEVLTGTKHHSQERKALPHSANLSSDQHAEMSSTRLKGDADNLAVKQLQRKRRAVCLGEDDDDESKTPVHGGASKTIRSPSLVSEAVKSNDPLLENADVSQLNKEKPGTPEDSHLKGHLTKLCNVSLPTRHPQKENADEVVAVNSPHSPEQLNRKRFPSNVEKLSSISPVNFPKSLPTTKSNAERHKSSKALPKVSNTTQKKADNGSSKSLISTNTLQSQVITHKKKPTSYVERSKTTPKTSPQSVEVHTATENLKELDVFHVDRLELGTEEKSSLYVGSGTPENANTMKHLIAVAQAKRRLVAQYQCHPFDLHYAQVGTPSPSMAQPFLSVSNNSGQADMKEVYEHPTLASPLNNGYHSTSQNQLDAEKNEEIRVGSGQRAVGGSLSSGTEAAMARDAFEGMIETLSRTKESIGRATRLAFDCAKYGIANEVVELLIRKLESETSFHRKVDLFFLVDSITQCSHNHKGIAGASYIPAVQGGLARLLGAAVPRGTSSRENRENRRQCLKVLRLWLERKIFPGSVLRRYMNDIGVTGDDMAGRFSFRRLSRAERSVDDPIREMEGMLVDEYGSNASTIQLAGLLSSHIFVEDDDFSNNASPADPTRTLVDSETSTVTPSDKRHCILEDVDGELEMEDVSGHPKDEKPVLLNSTSEMDFQFQGSDRSLDPALNVSGEDHVIREGSPPLPLDSPPTPPPLPSSPPPPPLSTSLPPPPPPSLLQPPPPLPPPCPTPSLIPQSSGTAQPSISILPSQSSHQSSPLSGYQQLHNSNGTNSGIQIVQMAGNSFPGGQNSSVVKNEILPQPSACFPPMAGCSSQDSSALNPTRKLEYGQSDMHLNSQIPKPNQEFQIGNPRFAPRNVHPTPPQNPSNQYLYPNPLVQQHPHSFCPPHVLTSVPDGQRQFVANEQWRMSTNYFRPPLERPPMSNIGFQHANVSIPVPPSKSGYGVPQMFPFRPDIPALNCWRPT >RHN80696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40159858:40162280:1 gene:gene4653 transcript:rna4653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine synthase MIEDAENKGFITPAKTVLIEATSGNTGIGLASIAALRGYKLLVTMPSFVSLERKIILCAFGAEVYLTDPAKGVDGVFEKAYELLAKTPNSYMLNQFENPVNPKTHYETTGPEIWRDTGERIDALVAGIGTGGTITGAGKFLKERNLDIKVYGVEPTESAILNGGKPGKHLVQGIGTGVVPPVLDLDLLDEVIQVSSEEAIETAKLLALKEGLLMGISSGAAAAAAIKLGRDKKMQESSLFFGERYLSSPLFESIRREAEEMTFD >RHN68191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33574794:33576404:-1 gene:gene16506 transcript:rna16506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative retrotransposon gag domain-containing protein MTNMYNSGFRPAGSLGFSVPPQYHMPPGYPWGMPLANNEGVRHNAPEMQFPFGQQQTPFYQSGQPFPQATMTCAGPLVHAAHQEEDQVYYSNSVAGDDRVGNLEEKFEAVHKELKTIRGKEMFSQNVNDLYLVPDVVIPHKFKMPIFEKYTGDTCPEMHLVTYVRKMIAHKNNEPLLIHCFQDSLTGPAHTWYMNLKGITTFEELANAFIQQYKYNSYLAPNRKELQSMTQGDKESFKEYAQRFVQKSAQIRPPLDEREVSDLFYETLSPFYSEKMLGCASQKFTDMVDMGVRIEDWVRKGRVSKDGASSGGSSNGNRKFGNGYSKKNAQEVGMVAHGGSQPVYSSYPYVANIPPPTPAPQNPNYQLQRPQTPHPYYPPLYQPQPYQPQPFYQQLYYTPPPQPQQPRPRAQQQQPRPQRN >RHN79025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21299704:21306234:1 gene:gene2708 transcript:rna2708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide chain release factor 2, double-stranded RNA-binding domain-containing protein MKTMGSKSCCARTAHDIVPSVSSKWLRKKNPRVGLFLCSFRVRACQSTANNNNKDIYKQVGLFSLRRKIEDTVIRAELYASSALEMEEASWIKQEEMVRDSDLWDDPTKSNDILVKLANSAKVVDSLKDLRYKVEEAKLIKQLAEMNAIDYGLYKQAYDASVDVSNILDQYEISKLLKGPFDMAGACLVIKAATGIFSKLWAEQLLQMYLGWAKRQGYEGRIVDRCLTENGGINSATIEFEFECAYGYLLGEKGVHHLIRGSPNESSQLETSTATVDVIPLFLEKALDLEIDSEDLIISSPLIHGEQKRQTQRIVCIHHIPTGITVQSSGERSQFANKMKALNRLKAKLQVIAIEQGVGSINGIVKDKIVNLWEEKTRRYVSHPYKLVHDVKTDIEMSDLNSVLDGNIGPLIAAHINTRE >RHN71581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1168721:1169491:1 gene:gene7195 transcript:rna7195 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSAVKTALESKSYDKIADICDNLMLQVAADGVAFHDEWPYSVHLLAHFYVHDM >RHN71586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1174287:1179314:1 gene:gene7200 transcript:rna7200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MHRRHRFTLSTLRHLIHTNPFPFHSHPPFPPLHPPPRHFSTTPFRRPPPDPSDPSTLLKEDAFSLISNLWIDNFRQPDKLITNLSSTLRRFELWLLAYQKVTTDETGSYTPRSSIQRSELENLLALRNSVIDGNFKWGSRLKFFIKSPIDKTDHDSLSKRKIKIILNTTQPTPFQDKIVQEVLLMILEPIYEPRFSEKSYAFRPGRTPHTVLRVIRRNFAGYLWYLKGDLSTLLDGVKVGLVINSVMRDVRDKMVVDLLKSALVTPVVTSKIDDREVKKKKRKYQKKRVLNEDEPKPDPYWLDTFFGFAPEEAEKVPSWGHCGVLSPLLANIVLDELDRWLEGKMKEIYVPSKSDVIWNSPEGEAEQGNTSWPEFVPTSGPDKTRKMDFIRYGGHVLIGVRGPRADTATLRKQLIEFCDQKFMIKLDNECLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIRQFRKLSFLKGDRDPDPQPCFRMFHATQAHTNAQMNKFLSTMVEWYRFADNRKKIVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGARNLSRPLKEKKGQSPEYQNLLRMGLAESIDGLQYTRMSLVPETDYTPFPGNWRPDHVKALLEYIKLADPKTLEEQQSCIREQGLVTPQDYISMLVWNYKRNSLPMDHLSLAKSDEIIVGNQHLLASSNQDDTSKEEENDARINEAQM >RHN79908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33414501:33415077:1 gene:gene3763 transcript:rna3763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MNCAKESHFKGAKRVLRYVKGTLNYGIKFCRSEKFKLQGYSDSDWAGSLDDMKSTSGYCFSSGTGIFSWSSKKQEIVAQSTAEAEFIAATAAVNQALWLRKVLTDLHLEQKETTEVMVDNQAAIAISKNPVFHGKTKHFSIKLFFLRDVQKDGDVCLKYCKTEDQLSDIFTKALPKGRFELLREKLGISNY >RHN46908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35696999:35703971:-1 gene:gene41443 transcript:rna41443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MNNNNRGRYPPGIGLGRGSGGGGLNSNPNNANAGFQQRPHYQQQQYVQRHLMQNQNQHQQHYQHHQQNQQQYQQQNQQQQQQQWLRRNQLGGGTDTNVVEEVEKTVQSETNDPSSQDWKEKLKLPPADTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFSIPALEKIDQDNNIIQVVILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCVLKDCSMLVMDEADKLLSPEFQPSIEQLIQFLPPTRQILMFSATFPVTVKDFKDRYLRKPYIINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPFIDQAVYCR >RHN75285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40923358:40933122:1 gene:gene11461 transcript:rna11461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, non-heme dioxygenase domain-containing protein MDYEPPFLQTYMSLLQGTNDLISDLSDVEKREIPLIDLKRLKLDQLEREECMKEITEAARKWGFFQVVNHGVSQEVLKNMQFEEKEVFRTPFGIKSQENFLNLPSRTYRWGNASAINPKQLMWSEALHIFLPDIEKMDQHKSLRSSIESFVKVVTPLAENLVQILAQELNINFSYFQQNCSANTSYLRLNRYPPCPFPSKVIGLLPHADTSFITIVHQDHIGGLQLMKDGKWISVKPNSEALIVNVGDLFQALSNGLYTSVGHRVVAAEKVERFSLAYFYGPSIDAVIESYATPPLYRKFTFGEYKEQTMKDLKEGGDKVGISRFLL >RHN77183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4740433:4741395:1 gene:gene609 transcript:rna609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MDLFNECMETVKSCLSDSKMRGSNIDDVVLVGGSSRIPKVQDLLQDFFKRKDLCKSINPDEAVAYGAAIQAAILSEGFKNVPNFVLRDVTPLSLGILVDEDTMSVVIPRNTSVPIKKTEEYATREDNSDTFIKVYEGERARATDNNLLGSFDLLCPDAPRGTPLEVCFAIDANGTLTVSAKEMSTGNTNKITITNEKERLTTFQVKKLIEEAEKYREEDKKFLRKAKVMSALEFCVYNIKNALKKKDVNLKLSCDESEKINDAITVATNLLDKNNEQKEIDVLEDHLKELESMLEKLEVKTSNEKKHKSSLFRKVLKHIT >RHN45911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26768495:26771170:1 gene:gene40338 transcript:rna40338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MFHHYSFGIKLSINKRTGKSVKLKSWKSPFDPSIGNFSCSTVERLRIIEVFIWNETSIYWRSGPWNGGVFTGIQTMTAAYFFSFQGGDDGEGNINIYYTVENDEDFVIFHLNSQGKLEATQWYDEKKEVIVTWTSQDLECDVYGICGAFASCSSLFSPICICLRGFEPRNIQEWNGHNWTGGCVRRTTLQCSRDNNKTTRRKEDAFLKLQTVKVPAFAEALAVTPDICRSLCLENCSCLAYSHDSVIGCMSWTGKLLDIQQLESGGLDLYVCTAYAELAKQWLFTKSARKKNNKAFQQFNKGGSPNVSPSDNVIGEMSQVKLQELLIFDFEKLATATNNFHLSNKLGQGGFGIVYKGKLQDGQEIAVKRLSRASGQGLEEFMNEVVVLCKLQHRNLVKLLGCCIEGDEKMLMYEYMPNKSLDAFIFDPSKNKLLDWRTRYNITEGIARGLLYLHRDSRLRIIHRDLKASNVLLDEELNPKISDFGMARIFGGGDNQVNTSRIVGTYGYLSMQGLFSEKSDVFSFGVLVLEIVSGRRNSSFYDNEHALSLVGFVWIQWREGNILSLIDPEIYDHSHDKNISRCLHIGLLCVQESAVDRPNMATVISMLNNEVASLPPPSQPAFILRQYMLNSKSPEENQIVCSINNVSITDLCGR >RHN78103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11910885:11911716:1 gene:gene1620 transcript:rna1620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MQQNKNPFPISLHNTHVKLLAFDLLTLTPPISPNHSFTRNSISITRAETVGTITLRDHKPFKFLRFAIDDGTGCIPCILWLNHMTSPHLARRRSPQDLCLLADAAARSAEVVKFGNVARVRGRVTEYKGGVQITVTDVVSERDPNVEVLHWVECVNLARNCYNLVNVSSSSGSVVPKLN >RHN52518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36262163:36264313:1 gene:gene37206 transcript:rna37206 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCLPLVQEREAKVPALVWGAVACAAAAAPPPPCLSAVLHGKFDWKTSCFTPKVTSDVNFNALACAPIIPSPAVQISATLPATVGENNLSFPAPLLSACIHKGKKKVVLPREHNLLSTKSSLNPSVDVA >RHN43213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44080470:44085094:-1 gene:gene49753 transcript:rna49753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative holo-[acyl-carrier-protein] synthase MSSTRYKIPWLTMNIYCFGRNLTTASCCLPPVQLPTHKEAHFWYVLPQEVKCTNLLNRYFEILSPCEKENVLRMRGEELKKSALLARALVRTTLARYQTNCQINPTSLKFRKNDYGKPEVDWQYTDDRSLPPLHFNISHTSSLIACGVTVGSPIGIDVEEKQRRLKNDILAFARRYFSPHEVEMLAHIVDPELRRQEFIKLWTLKEAYVKALGRGFSASPFNTFTIRLRDHVVKGGIHVPPDVISKEAEITVEPSGDLKNLSSNWHFVLLELIGSHYAAICIEKDNTNADKGSIPVNLIIRKTIPFVEDGCISGTDSAEVIGGLSKLLVC >RHN61277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34401912:34405080:1 gene:gene23720 transcript:rna23720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 1 MEECNNNRGGELQLQSLSSRSIPQQHSPSFRRRESKGSSNASFSWIGSNRLVLWLVLVTLWAYLGFFVQSKWDHYEKEQELKGFDFHLKNHQDSVVKKSSLFVDNEKVGVNNLLDIVLAKKRRSRRSLRSKLHGKHKRKLKVDGNFGNIEEKELEIPLVGPFGSMEDKILKLSTNEKGCGKCDKKSEFAQVVMSKSFVLIFHELSMTGAPLSMMELATELLSCGANVSAVVLSRKGGLMQELVRRQIKVIDDKVDHSFKTSMNAHLVIAGSAVCASWIEQYIEYSPAAANHVVWWIMENRREYFDRSKDVLNKVRMLIFLSELQSKKWQKWCDEESIKLRLQPAHVPLSVNDKLAFSAGLHSSSDAEKIDEKRKLLRASVRRELGLNDNDMLVISLSSINPGKGQLLFLESAKSVLENESFQDDNKMQNSSKVEDIYTLARRHHLRKLLPMMKDSNSNISSNTISSNRKGEVKQSLKILIGSVGSKSNKVEYVKSIVSFLSQHSNLSKSVLWTPATTHVASLYSAADVYVINSQGLGETFGRVTIEAMAFGLPVLGTDGGGTKEIVEHNVSGLLHPIRRKGNHVLAQNLEFLLENRLAREQMGMYGRKKVQRMYLKEHMYSKFVEVLVRCMRIK >RHN70579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52445196:52446395:-1 gene:gene19172 transcript:rna19172 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLNLMVAIHSRVKILVYHKVIGQDELLNGGHTFKTQNSRSVTK >RHN74694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35942190:35945027:-1 gene:gene10801 transcript:rna10801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-beta-dioxygenase 1 MVLLSKPSSEQYTYVRNNMQATTFSSSIPLVDLSKPDAKSLIVKACEDFGFFKVINHGIPMEAISQLESEAFKFFSLPLTEKEKAGPANPFGYGNKRIGPNGDVGWVEYLLLNTNQEHNFSLHGKDIDKFRCLLNDYKCAMRNMACEILDLMAEGLKIQPKNVFSKLVMDKQSDSAFRVNHYPACPELAINGENLIGFGEHTDPQIISLLRSNNTSGFQISLRDGSWISVPPDHRSFFINVGDSLQVMTNGRFKSVRHRVLANGINPRLSMIYFGGPPLSEKIAPLPSLMKGNESLYKEFTWFEYKNSTYGTRLADNRLGNYERIAAS >RHN80833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41149585:41151510:-1 gene:gene4800 transcript:rna4800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein dehydration-induced 19 MLKPYSITWLNSLTREYMQRKRKSRKGGSYSTLSLLRKELREGNLQSLFGGSPCIVSSSNAAPDPLLSSFISPLGNESASSQSHTHTETRSSKKLSDEVVSKSHVETPTMSVKDKEEKAKRCEFVQGLLMSTIFDDDL >RHN65034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63781211:63785489:1 gene:gene27927 transcript:rna27927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative integrator complex subunit 3 MVPNLTLTAPHEAENQIEISLKQSLQSLQPSLKPPFSLTIPNPDEYIQLNHAILHAILTQPHFSKTHVKHLHAIITDGYATFLNLLFNIVHHLYPKLLGSVKHQLIWVTDEMIQVSGIGYDALLISLLRQIVGGDFSDDNLWLCEKLVTLLLDKWDCLLEEMPHVLCSGLYVFLRVLADHCRVNGEKFESLKRLEVHFCVKIVREEFHLCMKIGRDFIRLLQDLVHVPEFKSIWKDLMLNPSSFNTLGFSGVSQIYLTRTSSRYALLRITPAMETQLRFLLTHVKLGNQKRHLMWFARKFLNEPDKETVVVDIVRFICCAHHPPNEIIQSDIVPRWAVIGWLLTTFRKKSCVEANAKLALFYDWLFFDEKVDNIMNIEPAILLMVHSITQYVEMTNTLLEFLLLLVDNYDMEHKDIIVKGVSLAFRFLESKGVIQSLDILTSCPTLSPSLKEGLSRLLSSGKPESSKEFLPVLSQPGQQTV >RHN79836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32685022:32687535:-1 gene:gene3679 transcript:rna3679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MNITLLQPPSSFNFTKTNNINSNSNSNSFVLNYTNTTSITPTSKTEPRDQKLLTLLQQRKTEEAWIAYTHSPHLPNPTCLSRLLSQLSYNNTPSSLTRAQSILTRLRNESQLHRLDSNSLGLLAVASAKAGHTIYASSVVKSMLRSGYLPHVKAWSAVVSRLASDDDASGPAEAIRLFRAVTRRLRKLPDLEMAAESRPDTVAFNAVLNACANLGDGKMFLQVFDEMPGFGVVPDSLSYNIVMKLCCGNGRKDLLVFVLERILQLNIPLCMTTLHSLVAAYVDFNDLETAEKIVQAMREKRRDLCRILRESNSQYSYVDDDVDSVFHKLLPNLIMDKSANHNVNDLPLLPKAYTPNTRIYTTLMKGYMKAGRVADTVRMLEAMRYQEDSSSHPDHVSYTTVVSALVKAGFMDRAHQVLAEMTRIGVPANRITYNILLKGYCKQLQMDKAMELLQEMAEDIGIEPDVVSYNILIDGCILVDDSAGALSFFNEMRAKGIAPTKVSYTTLMKAFALSGQPKLAQRVFDEMVTDARVRVDLIAWNMLVEGYSRLGLVEEVKKVIQKMKENGFYPNVSTYGSFANAISLARKPGEALILWNEVKERCELAKEGGKTDSSVPPLKPDEGLLDTLADICVRAAFFRKALEIVACMEENGISPNKTKYTRIYVEMHSRMFTSKHASKARQDRRVERKRAAEAFKFWLGLPNSYYGSEWRLEPLDGYE >RHN45115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11948232:11949559:1 gene:gene39324 transcript:rna39324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVEIVKFIYGLIIILFIYLIGMNVDAVTYCTQNYDCPDDMCPFPDISWCNKHNICACA >RHN56908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34642332:34646267:1 gene:gene32373 transcript:rna32373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MKLKKTVLLYFTACLIITIVSGADLASDRASLLTLRATVGGRTLLWNSTETNPCLWTGVICNNKRVTALRLPAMGLSGNLPSGIGNLTELQTLSLRYNALTGPIPMDFAKLVSLRNLYLHSNFFSGEVPEFLYGLQNLVRLNLGKNNFSGEISQHFNNLTRLDTLFLEQNMFTGSVPDLNIPPLHQFNVSFNNLTGQIPKRFSRLNISAFSGNSLCGNPLQVACPGNNDKNGLSGGAIAGIVIGCVFGLVLILVLLVLCCRKRKKSDSDNVARAKSVEGEVSREKTRDFESGGGAGGSYSGIASTSTMASASVSASGVSLEKSLIFIGNVSRKFSLDDLLKASAEVLGKGTFGTTYKATLEMGISVAVKRLKDVTASEREFREKIEEVGKLVHEKLVPLRGYYFSKDEKLVVYDYMPMGSLSALLHANNGAGRTPLNWETRSTIALGAAQGIAYLHSQSPTSSHGNIKSSNILLTKSFEPRVSDFGLAYLALPTATPNRVSGYRAPEVTDARKVSQKADVYSFGIMLLELLTGKAPTHSSLNEEGVDLPRWVQSIVQDEWNTEVFDMELLRYQSVEEEMVNLLQLALECTTQYPDKRPSMDVVASKIEKICHPSLEKEEEKIHDDLSKDEDN >RHN53559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2541612:2542181:-1 gene:gene28478 transcript:rna28478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MYQPVRKEPSSNKCFVYVFAAFVFCCAILLISASILRIKKPELKLTSTTLNGIGYNDVSPSPFFNATIITYFSIWNPNYGGIFSYEYSNIKVLYSGVKVGVTKIPNDKVSQRKTKHINVTVDVNFLKLIVNGNEKFSSDIGSGMLNLTSYVKFSGIVQLMKIFHKRKTLEMACIMNLNFTSHAIQGIHC >RHN44135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1938059:1938984:-1 gene:gene38204 transcript:rna38204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQREREKNMVKTLMFVYILILFICIFLVMIVCDSAYLPFSRSCISDKDCPQVKNFSARCRKGYCQFRVVR >RHN47607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40959671:40963298:1 gene:gene42227 transcript:rna42227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-reducing end alpha-L-arabinofuranosidase MIAQNILATMSFSHMFTAFLFSLIVCLVISECHADVNANASHISKLVIDARTRRPIPDTFFGAFFEEINHAGAGGLWAELVDNRGFEAGGSNVSSNINPWIIIGDNSSSIIVSTDRSSCFECNKVALRSDVLCQGQSCPLGGVGISNPGFWGMNIEQGKKYKVVFYVRSLGPINLQVSFIGSDDGVKLASTNISAFGVNVTKWSRMETILEANGTNHNSSLQITTSNRGVVWLDQVSAMPLDTYKGHGFRSDLYQMAADLKPKTFRFPGGCYVEGDYLRNAFRWKDTVGPWEERPGHFNDIWNYWTDDGFGYFEGLQLSEDLGAFPVWVFNSGISHHDEVNTSDISPFVQEALDGIEFARGSSTSQWGSLRASMGHPEPFDLRFVAIGNEDCHKYNYLGNYLKFYEAIKHDYPDIQIISNCDGSIHQLDHPADLYDFHDMFSDYTKFDNAPRSGPKAFVSEYAVWKEDAGAGSLYAAVAEAAFLIGLEKNRFDYI >RHN81902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49669818:49673690:-1 gene:gene5999 transcript:rna5999 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNPSLRPEIGPDGLAREAAVIGYTERIIEEEQLQLHKYIQENYSKIRNVERELQNLTLEMKLTAGPKKSALEHLRKKIEHSTEKIRAAKLKEEQARKVWEAAAKVVKEEEAIKEKLCEDLSNLVQESSQSQFARLAELKRRLEALNPSHTTTNANHDGRSESSSQESKTQDGSSVPNARESSGGSAASVTDQSNGQKAAVTDRLNQQPPNESEGKSKKKVNFQLKGKGIGVVSKGRSSAPGWTGAGFDA >RHN49375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54377191:54382952:1 gene:gene44197 transcript:rna44197 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSTTLLLEPCPFHLKWNNQFSFPRTHTFITSISIPNIKSHNNTIKFKCHLSQNHKTPTSITSNSNSNSNSKSLLESLATTIWNTLRKPAFAAILIGILLMYNPNTSLAASGGRIGGRSFSSSSSSSSSSRSYSVPSPSPGFSYSAPYYGPSPFSGGVYFGPAVGVGVGAGSSLFLILAGFAAFILVSGFLSDRDEGSVLTAAAGKTTVLKLQVGLLGMGRSLQRDLNRIAEVADTSSPEGLNYILTETSLALLRHPDYCISGYSSVDIKRGIEEGEKRFNQLSIEERGKFDEETLVNVNNIKRQSTRSQRSNGFSNEYIVITILAAAEGEHKLPGINGSGNLKEALQKLGSIPSSKLLAVEVLWTPQNENDTLSERELLEDYPLLRPL >RHN39104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4130189:4132062:-1 gene:gene45098 transcript:rna45098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-transporting ATPase MNQMKVTKFWLGLEPMEVGTYSNVDPFVLQLIKEGVSLNTTGGVHKLKSGSDSEFEFSGSPTEKAILSWAVLELKMDMENLTKSCSILQVETFNSKKKRSGVLLRRNVDNQTISHWKGAAEMVLRMCSKYHDGFGISKDLDNETMLKFERIIQGMAASSLRCIALAYTKVTDEELEVEGDMNKMVVKDNGLTLLGLVGIKDPCRPGVKTTVEACQHAGVNVKMITGDNVFTAKAIAFECGILQPNQDTDETVVEGEQFRNFTHEERLAKVEKISVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASIVTVINWGRCVYNNIQKFIQFQLTVNVAALVINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATEKPTKDLMDKEPVGRTKPLITNIMWRNLLSQALYQIVILLTLQFKGESIFGVTSKVNDTLIFNTFVLCQVFNEFNARKLEKKNVFEGIFKSKLFLGIVGVTLVLQVVMWIVCIGFAAVSWPIGFVVKFIPVSDKPLLDFLNFRKRY >RHN48832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50540804:50549079:-1 gene:gene43590 transcript:rna43590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MEDGKSGLWRTKSEQLESVVEDLTSTPGSSESVGVADGGSGSLTRKSRRASPGGRNTHIRKARSVQTSLKVDLDEVNSGAALSRASSLGLSFSFTGFSVPLDEISNSKPFSDEDIPEDIEARIHKPKFQTEPTLPIYLKFTDVTYKIVIKGMTTNVEKDILKGITGCVNPGEVLALMGPSGGGKTSLLNLLGARLSQPNIGGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAARLRLPKTLTKEQKEQRALDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQMLHDIAEAGKTIITTIHQPSSRLFHKFDKLILLGKGNLLYFGKASEAMDYFKLIGCNPLITMNPAEFLLDLANGNMIDISVPSELNDKVHMGNAETETFNGKPSAAVIQEYLVEAYETRVAETEKKKLMVPIPLDEEVKSKVRSRKRQWGASWIEQFLILFSRGFKERRHDYFSWLRITQVLSTAVILGLLWWQSDASNPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERASDMYRLSAYFVARTTSDLPLDLVLPVLFLLVVYFMAGLRLSAGSFFLSILTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGFFVKKVPIFISWIRYLSFNYHTYKLLLKVQYEHITPSINGMRIDSGMNEVVALIAMVFGYRLLAYFSLRWMKIQP >RHN49215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53343851:53346108:1 gene:gene44023 transcript:rna44023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MALLVNFLFILILLPSFDQVLSFPDEIPSDIQTQDMQALIAQACMDIENQNSCLTNIHNELTRTGPPSPTSVINAALRTTINEAIGAINNMTKISTFSVNNREQLAIEDCKELLDFSVSELAWSLGEMRRIRAGDRTAQYEGNLEAWLSAALSNQDTCIEGFEGTDRRLESYISGSVTQVTQLISNVLSLYTQLNRLPFRPPRNTTLHETSTDESLEFPEWMTEADQELLKSKPHGKIADAVVALDGSGQYRTINEAVNAAPSHSNRRHVIYVKKGLYKENIDMKKKMTNIMMVGDGIGQTIVTSNRNFMQGWTTFRTATFAVSGKGFIAKDMTFRNTAGPVNHQAVALRVDSDQSAFFRCSIEGNQDTLYAHSLRQFYRECEIYGTIDFIFGNGAAVLQNCKIYTRVPLPLQKVTITAQGRKSPHQSTGFTIQDSYVLASQPTYLGRPWKEYSRTVYINTYMSSMVQPRGWLEWLGNFALDTLWYGEYRNYGPGSSLAGRVKWPGYHVIKDASAAGYFTVQRFLNGGSWLPRTGVKFTAGLSN >RHN81814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49107264:49111416:1 gene:gene5904 transcript:rna5904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MVSQRQRLAKKRYKEEHPELFPKVDPTPPNDPSKKKKNKFKRKKPDSNSKPRTGKRPLRVPGMKPGDSCFICKGLDHIAKFCTQKAEWEKNKICLRCRRRGHRAQNCPDGGSKEDFKYCYNCGDNGHSLANCPHPLQEGGTMFAQCFVCKEQGHLSKNCPKNAHGIYPKGGCCKICGGVTHLARDCPDKGQNGFGDGRPKGPVHNLLRTDERPSGQVTKFVSGDDMDDDFTTEVKSEEKNKSAKSEDGNVKPKKGPKVVNFD >RHN69650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45245630:45245919:1 gene:gene18145 transcript:rna18145 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNLWLLVVPQKGMFEFIVDLIDLCLNYLKKEKINRIFCKTKLSLMQLLDS >RHN51993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29731097:29733646:-1 gene:gene36592 transcript:rna36592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA oxidative demethylase MDDSVSPSQNSNGSIRGRDRLRPFNHHPVSSQTQLVTPPVTAVGDKTSMKNNDCDKEGVSVSPTKTKSFDICPPKQGGSIPRLKPTLFKQNREKREENNQISKGDQLRPGMIHLKGYISLTDQIKIVKVCRELGLGDGGFYQPSYEDGAMLKLKMMCLGRNWDPQTSKYEYQRPCDGSVPPKIPDEFLTLVDSAIKDSHSLAKHSNSKLPLISPDICIVNYYANNGQLGLHQDKDETEQSIREGLPVVSFSIGDTAEFLYGDERDIGKAEKVLLKSGDVLLFGGKARNVFHGVSTIKPETAPSRLLEETNLRKPGRLNLTFRQY >RHN62779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45781154:45782140:-1 gene:gene25388 transcript:rna25388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MDEILKNIFPAAIEAAKQQHQEHQQEEQQSNNNNNIDDVWTDIVADGGANHLHHQQHYHHPSSDEGFSACGAGGGDEVTLEDFLVKAGAVPYPHHQYPSSSSAVDDGSHSLQVALGKRKTVDETLDKAALQKQKRMIKNRESAARSRERKQAYTTELESLVKHLEIENKQLEEEQAERKKLRFKQVCISPHFFTT >RHN72631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9269356:9270117:-1 gene:gene8374 transcript:rna8374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MLLNSFCAIIYIFSILSFYLFAASKVNSLHLKYFIVSGRIIALALKKKVHVGIVFDRVFFKQLAGNYIITLEDIRDADPIMYSSCKQILEMDADYIDSDALGLTFSTEVEELGHRELIELCPGGESLVVDSKNREKYVHLLIQNRFVTSISKQVSHFAEGFADILSCSRLEFFQFLDLEDFDLMLHGSENAISVEDWKVHTKYHGYKENDHQISWFWKVCRILILWNHIGFTFIFTDFLFSPLFLHFKILWRV >RHN48287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46325337:46327678:-1 gene:gene42989 transcript:rna42989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exostosin MRRRPVAAIFSDQMEKSTTKSHNSRIFFLASLSAFFWLLLLYFHFIILPHQQSSTTVNIQQPPPRKHFGFPDIKKTDPCGGRYIYVHNLPSRFNQDMLRECKTLSLWTNMCKFTTNAGLGPPLENIDGVFSDTGWYATNQFAVDVIFANRMKQYECLTNDSSIAAAVFVPFYAGFDIARYLWGYNISMRDAASVELVDWLMKRPEWGVMNGRDHFLVAGRITWDFRRLSEDEKDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDKDVFVWQERMRRLERKWLFSFAGAPRPGNAKSIRGQIIEQCRSSKVGKLLECDFGESKCHSPSSIMQMFQGSVFCLQPQGDSYTRRSAFDSMLAGCILVFFHPGSAYTQYTWHLPKDYTKYSVFIPEDDIRKRNVSIEERLSQIPEERVRIMREEVISLIPRLVYADPRSKLETLKDAFDVSVQAVIDKVTNLRKDIIEGRTDENFIEENSWKYALLDEGQHEVGPHEWDPFFSKPKDGNGESSDSSAEVAKNSWKNEQRTQS >RHN46622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33369851:33372275:1 gene:gene41134 transcript:rna41134 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRKTDDKENGREIYMRQKATLRWCKVFTKEISGCSWSHNN >RHN47904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43458490:43459111:-1 gene:gene42558 transcript:rna42558 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAEAPLLGPGAAAAPPAPAPAGAAAGTSVAAKAALIEAAAIRTAQVTFLMFMMNLFVLFLLRCLVFYFLYCLCFVW >RHN58330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:845679:848893:1 gene:gene20233 transcript:rna20233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S12e MSGEDAVVAVEPVAAAAAGIPGEPMDIMTALQLVLRKSLAYGGLARGLHEGAKVIEKNTGQLCVLAEDCDQPDYVKLVKALCAEHNVSLLTVPSAKTLGEWAGLCKIDSEGKARKVTGCSCVVVKDFGEEHEAYNVVLQHVKAQ >RHN60968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31959198:31961372:1 gene:gene23374 transcript:rna23374 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLRSTMEWRRVIGRPMDKNKGPVLLNLQTSNRNLNYTIN >RHN51969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29293383:29293749:1 gene:gene36554 transcript:rna36554 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIIFQGRILDGESLGAKERDLEQIGHKNMKIGKQNISPTSQKLGTARASLGTAVPPSRCLLLLLL >RHN45865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26383765:26384789:1 gene:gene40287 transcript:rna40287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSRSISTEDRISALPDPIIWHILSFVPTKTAAITSILSKRWNPLWLSVLILHFEDETFQNMESFSHFMSSVFLLRDITLPIRSFHLNRSKRYGIETQNINRFVHAIAQRGIENLNLELSGSITLPRSVFSCRTLVVLHLQWITVKDLSQLVVDFPLLKTSLVWCTFGTC >RHN73969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22578872:22579860:-1 gene:gene9880 transcript:rna9880 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNLSVLVLFLAVNTIITNIAGNPKHQIHAQPVGPIINRSPTIDPETSVGLNYSPSSGINNRRPRHRKLSSSPSHQPPPAPMLPPPHDDLPEPPKLQPPEPPEPPS >RHN44109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1768757:1771890:1 gene:gene38168 transcript:rna38168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MSLMLFLKFLFLYSLFFSTFTCFPLVQPKCHQDESHALLQFKEGFVIRKFASHNSLSYPKISSWNSTINCCSWDGIRCDQNTNHVVSIDLSSSMLYGKIHANNSLFRLVHLRVLDLADNDFKYSRIPSRIGELSQIKYLNLSRTKLFGEIPPQVSKLSNLLSLDLGNNFAEPISGGETGLLQLELSSLRSIIQNLTKLEILYLSYVTISSTLPNTLSNLTSLKVLSLYNCELYGEFPVGIFHLPKLRYLDLRDNQNLKGRLPEFQPNALTQIGLDSTSFYGTIPASIGKVGSLKVLSISNCNFFGPIPSSLGNLTQLTFIKLGYNKFRGDPSASLTNLTELSYLCLGFNEFTMKEISWIGKVSSITYLDLSEVNIGSDIPLSFANLIHLQYLRAENSNLRGEIPSWIMNLTDLAYLNLEHNSLHGEIPKSFFRLENLESISLSTNFLHGKLEFDMFLKFKKLIFLNLSFNKLSLLGGKSSSNVTDSRIHVLQLASCNLVEIPTFIRDLSDLGCIILSNNSITSLPSWLWRKTSLQSLTVSHGSLTGEISLSICNLKSLMHLDLSFNNLSGNVPSCLGNFSHSLEILMLKGNKLSGLIPQTYMTQNSLQMIDLSNNNLQGQLPRALVNCRRLEFFDVSHNNIKDLFPFWLGTIPELKVLALRGNEFHGPIKCPRIGNMTCSFSKLHIIDLSFNKFSGSLPLEMIQSWKSMKASNTSQLQYEQWRLFFRTQQKGQSWTETNTYSFTMSNKGLVMVYERLQEFYKMIAIDISSNQISGEIPRAIGELKGLVLLNLSNNILTGNIPSSLGKLSNLEALDLSFNNLSGKIPQQLTHLTFLEFLNVSFNNLSGPIPQNQQFSTFQDNSFEGNQGLCGDQLSKKCIDDHAGLSFSPPSASDSYHDDSESLFEFDWKVSLIGYVGGLVAGVALGITFSPQVLGWINRIF >RHN81885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49577213:49579609:1 gene:gene5981 transcript:rna5981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MPILVRFHYRHPDIFDRIFQITRGGISKASKTINLSEDIFAGYNSTLRQGYITHHEYIQVGKGIDVGLNQISLFESKVANGNGEQTLCRDVYRLGQRFDFFRMLPFYFTTVGFYFSIMITVLTGYVFL >RHN42748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40625571:40634642:-1 gene:gene49232 transcript:rna49232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative T-complex protein 1, alpha subunit MSLVNQTPDISGERQSGQDVRTQNVVACQAVANIVKTSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDREVGDGTTSVVIVAAELLKRANDLVRNKIHPTSIISGYRLAMREACKYVDEKLAVKVEKLGKDSLINCAKTSMSSKLIAGDSDFFANLVVEAVQAVKMTNARGEVRYPIKGINILKAHGKSARDSFLMNGYALNTGRAAQGMPLRVSPAKIACLDFNLQKTKMQLGVQVLVSDPRELEKIRQREADMTKERIEKLLKAGANVVLTTKGIDDMALKYFVEAGAIAVRRVRKEDMRHVAKATGATLVSTFADMEGEETFEPSFLGTADEVVEERIADDAVIMIKGTKTSSAVSLILRGANDYMLDEMDRALHDALSIVKRTLESNTVVAGGGAVEAALSVYLEYLATTLGSREQLAIAEFAESLLIIPKVLAVNAAKDATDLVAKLRACHYAAQTKADKKHLSSTGLDLSEGKTRNNLEAGVIEPAMSKVKIIQFATEAAITILRIDDMIKLVKDEGQEE >RHN51120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14142437:14146449:1 gene:gene35500 transcript:rna35500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative D-xylose 1-dehydrogenase (NADP(+)) MLNILNKCNTHIHSYTLSSRKNMAFEETKPIRFGILGCAEIARKVSRAINLSPNATLYAIGSRSLEKATKFAASNNFPSHAKVYGSYDAVLDDPDVDVVYIPLPTSLHLHWAVLAAQKKKHLLLDKPVALNVGELDKILEACESNGLQYMDATMWMHHPRTEKMFQFISDPNLFGSLQLVHAAFTFGASPHFLANDIRVKPDLDALGALGDAGWYCIRAILWAANYELPKTAKVLHKPKYNEAGVLLSCEASLTWEDNKVATFFCSFLADMSMDITAIGAKGSLRVHDFIIPNQENEALFRISSNSYFVELSTGWNPKPSEIIVKNDVPQEVLMVKEFAHMVGGIKYGNSKVENKWAIISRKTQVVIDAVKASIDNDLEPVSIHFDVPNKEVSYGIDALISGDTCTTLCGNISFTPTQDSDTWQPGPHTNRR >RHN54609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10748028:10749868:1 gene:gene29677 transcript:rna29677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MQLNNKTPNTKIKGMIKETEHNLNEALLQEEIWWSQRAETRWLKYGDNNTKFFHFKANQRRKKNFIHSITDTNGKEWQEENHIHDIFTDYFHNIFSTSNLVDVQNSLEVVSNRVPQIMKEDLSADFTAEEVKVAMRSMKGTSSPGPDGLPALFYQTYWHIIGSDVTQLALQILNKGSDPTHVNNTYTSLIPKINKPILPSDFKPISLCNVILKIITKVIANRVKKVLPFVITDYQIAFLSNRLTTDNILVAFEAFNKIYKTTNTRKGLVGIKLDMAKAYDRIEWSFLHKTLLTMGFPPNLVDTIMRCVTTVSFSILINGQPINSFNPQRGLRQGDPLSLYLFIICVEVLSGLLTKGLADGKFQGVLIAPSAAPPPPPPYSLLMIVYYFVDLIQRKLGF >RHN82793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56459991:56462833:-1 gene:gene6981 transcript:rna6981 gene_biotype:protein_coding transcript_biotype:protein_coding MMILDGTSLLSCGSLDEICANLVCLITQSKRNSGSLHHITRNIKWKMLTSASEHSRALFKTFKRIISPFGMLKSTRGTC >RHN51108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14080272:14084124:-1 gene:gene35485 transcript:rna35485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MEKENKNYVAHCLILPFPGQGHMNPMIQFSKRLIHKGVKVTLVTIISLWRTIKNKNLSSNIDVESISDGYDDGGYESAESLEIYMDTFWRVGSKDLSELLHKLSSSKYPPNCVIFDAFMPWALDVAKSFGLVGVAFFTQSCSVNSIYFHTHEKLIELPLTQSEYLLPGLPKLAQGDLPSFLYKYGSYPGYFDIVVNQFSNIGKADWILANTFYELEKEVVDWMVKIWPLRTIGPSIPSIFLDKRLKDDKEYGVSISDPNTEICIKWLNEKPKGSVVYVSFGSMAGLSEEQTQELALGLKDSESYFLWVVRESEQVKLPNGYVESSKKGLIVTWCPQLLVLTHEALGCFVTHCGWNSTLEALSIGVPLIAMPLWTDQVTNAKLIADVWKMGVRAIADEKEIVRSETIKNCIKEIIETEKGNEIKKNALKWKNLAKDSVDEGGRSDKNIEEFVAALAQC >RHN51205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15053409:15055241:1 gene:gene35596 transcript:rna35596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MSTLSNSNPETKQRNLADYHPNIWGEYFIQYASESMELDQNIVTQIDTLKSHVRNMLVAKSEKPFEKVKLIDSICRLGLSYHFEKEIDEVLQHIHKSYVENGEIILEDNLFSLAVLFRVLRQHGFYVSPNVFTKFKDEQGNFNETLIMDVEGMLSLYEASHLIVHGEDILEEALAFTSTHLEFIATESSHSLAAQVKYALRQALHKSLPRLEARRYISIYEQDPSHDEILLTFSKLDFNLLQSLHQKEFGNISKWWKELDFSSKLPYARDRIVECCFWTLTVYFEPQYSRARKMLPKINVMLSLIDDTYDSYGTIDELERFTEAIERWDVIVSDDLPDYMKLLYKSFWNVYEEIEQAMIEEGREYILNYYKKEV >RHN54849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12882246:12885418:1 gene:gene29942 transcript:rna29942 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MYC2 MNLWSDDNSSVMEAFMTSSDLSTLWPPQPPSQPPQTTTGFNQDTLQQRLQALIEGAKEIWTYAIFWQPSYDYSGSSLLGWGDGYYKGEEDKTKAKKSKVTSPAEQEHRRKVLRELNSLISGNPVTDESPVDEEVTDTEWFFLVSMTQSFVNGTGLPGQAYYNSAPVWLTGAENLALSACERARQGQEHGIQTLACIRSADGVLELGSTELIYQNNDLMNKVKMLFNFNNNFDFGSSWQLGNNSAATIGGNQGENDPSLNWINDPEARDSVDNNSLVTTTTAATNASISVPSHQHHNNNQNLSVSVTKTMQFETHGSSTLTEVPSVVHVSSKQNNQSFFSKEMNLSDYGGSNNQQRLLKPESGDILCFGESKKSSYVANNGNSNSNFFSGQSQLVSVAEENNNGNGNGNGKRRSPNSRGSNNDDGMLSFTSGVIVPPATSNLKFSGGTGGGDSDHSDLEASVVKEVDSSRVVEPEKKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYITELKTKLQKTESDKDGLEKQLDGMKNEIQKINENQSHQPPQQQQQQQPIPNKPSSNQALIDLDIDVKIIGWDAMIRVQCSKKNHPAARLMAALMELDLEVHHASVSVVNDLMIQQATVKMGSRFYTQEQLRAALSSKVGDVQ >RHN76021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47038327:47040179:1 gene:gene12292 transcript:rna12292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MYKEVDLSVNEKTEDELKDGVSTNGKLAKTFTLNELAAATGNFSANCFVGEGGFGKVYKGYLQKTNQFVAIKQLDPKGIQGTREFVVEVLTLSLAEHTNLVKLLGFGAEGDQRLLVYEYMPLGSLESHLHDLPPGKNPLDWNTRMRIAAGVAKGLEYLHDEMKPPVIYRDLKCSNILLGNDYHPKLSDFGLAKIGPMGDQTHVSTRVMGTHGYCAPDYGMTGQLTFKSDIYSFGVALLELITGRKAYDESKPSKKRHLVKWATPLFRDQKNFSKMVDPLLKGQYPARGLYQALAIASMCVVEQTNMRPVIADVVSALDFLASQKYEPRVHPIQRSRYGSSSSRSRAKGHRRVTSNVSEKDKLGN >RHN58185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44416855:44417473:-1 gene:gene33802 transcript:rna33802 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSWPLELFHASADFFSLTVLFFFSRSLSCYTTLSYISSLVIMFCFEID >RHN46973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36157840:36158139:-1 gene:gene41517 transcript:rna41517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDVQSAKKSRPLSRTVVVLPDDLITEILPFLPVKSILQFRCVSESWKSLTSNPSFVKLHLNRSASRNPQFTIVTLHKKDLFRCFVQISFELGYSVLLTR >RHN52031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30639888:30640944:-1 gene:gene36645 transcript:rna36645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyubiquitin MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >RHN74700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35995251:36004246:-1 gene:gene10807 transcript:rna10807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MLEPRESDIPVLFLVLVVLPLVAYILLGKWSETAKKRNRINLLAQLAAEEAFKAEAKMAVADVIPPQPQVFYTPKNYSPKNELHHECARCSAPAKTRCSRCKLVRYCSGNCQIIHWRLFHKQECLPLETHNSSSFPMAFPVEEFGHGSAFYENSNNPYFGCNLNQTLRASEPLDNLVHPPTGTTAASATVDFSPFNGSQPSALESRPSHKSNRETRRRDSGSIYESSDCKATTSQETFMRQKSRKSNDSVSEEETSKINSNGFGVYTHEQDGSRNTMDEDDNYQNQYENAFAPRNKNGSYNVSSAANSDEGVDEFETNVVTKGGHHHSDEAAQHKCSSETTVKGSAKAKKPSHTSKAKLSKSPKSTSKTSIDFCDPEIEKKGKIPDEPKVAGSRSTLPLHGINGVTSTGLMKMMGLRKSTKHTALASSESNGVRSKKAKNIKMLFPYEDFVKIFQSEIFGIFPRGLLNCGNSCYANAVLQCLTSTKPLVVYLLYGSHSESCCAKDWCLMCELEQHMMILREIGAPLSPSRILWHMRSINCNMGDGSQEDAHEFLRLLVASMQSICLEGLGGERKVDPRLQETTFIQHTFGGRLQSKVKCLNCSHESERYENIMDLTLEILGWVESLEDALTQFTSPEDLDGENMYRCGRCTAYVRARKQLSIHEAPNILTIVLKRFQEGRYGKINKCITFPEMLDMIPFMTGTGDIPPLYVLYAVVVHLDTLNASFSGHYVSYVKDLQGNWFRIDDTEVQPVLVNQVMSEGAYILFYTRSSPRPPAEFTGKAAQQSVSDSSKLYSLETQKLSKPGHSRHVSQSFVSEPSPNTRPEIATHLMDTSNGFVKRSTNRNVHPVIQTYAENVRHEFSDATTSSDWSIFTSSDEASFTTESTRDSFSTVDYADPFSNMDPISSLFNYTPENNNYMKISHSRPLTRFFPKKGHIERVQKTTIWES >RHN64065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56309461:56323726:1 gene:gene26844 transcript:rna26844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin MGSNSVEKIPSNNSTGSSETTIEIKLKTLDSQTYTLRVDKQMPVPALKEQIATVTGVLSEQQRLICQGKVLKDDQLLSAYHVEDGHTLHLVVRQPDLPPPGSLPNHAATEPNSSTSHSHSTQVAPGVFIETFNVPIQGDGVPPEINRIVSAVLGSITGLPNFASGGEGIVVREHDSQGLGRTLDSSGTSDPFRPLLDQTGSQSVSDRLRNTFGLPASVSLGSLQPPVIPGSLTTLSQYLSHMSREFDTIVREGGNGQAAEAHSNEAVGSGSSPLGSTAENLPSPASLAEVLLSTRQMIIEQAGECILQLARQLQNQADITDAPSRSTTQSRALRTGLLFYNLGAFLLELGRTTMTLRLGQTPSEAVVNGGPAVFISPTGPNHIMVQPLPFQAGASFGAVPVGGAQTDSSLGSGLGSSLFPRRIDIQIRRGTSTTTPNASQEERGDTQSASVQRNPVESSASQATSRRPDASIAGESGIRIVPIRTMVAAVPGPLGRASSEPSGNSTGLYHPVLGRFQRVGQANSEQGSQSASQHRAAPHSTPESTLQRQRTEDSARNGASQTPYTRQEPSNSRVVNINILAAGGPQNSQESDRQIPSSVYQFLRTLFPTGEIHVEDSSLQGANASSTSMHAATSRGAPQAPEAEPAVSAEGVFLSNVLREIMPVISQQVGSERNPSDDQAAQDSSTQVGTNAGTSRRHSGSESGAPDPKRQKME >RHN53051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41517626:41519921:-1 gene:gene37800 transcript:rna37800 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNPIILIYISFNIFYAFSLKACFISFLIQKKIFLLEMNVKGIMGDDSARNMKWMVKKTNSDSTTSIGTFSEDSNNSMCSCSSDLTEDADSSSSSHSIGSLCDFSELMNNLPMKRGLSMFYQGKAQSFTSLAEVENIEDLPKKSISYNKRMKSCRSYGGGLDNHRIWYSPKATISKKASRGPLSSSLLGKRGSFLERSRPSIVVHKNF >RHN73357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15630927:15636398:1 gene:gene9159 transcript:rna9159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-methyl-2-oxobutanoate hydroxymethyltransferase MAILRSMLKATKTLHRSSSLLRNMSNLPENTVYTGPTSQNKRVTLSQLRQKHKNSQPITMVTAYDYPSAVHLDMAAIDICLVGDSASMVVHGHDTTLPITLDEMLVHCRAVARGAKTPLLVGDLPFGTYECSSNQAADTAVRILKEGQMDAIKLEGGSPSRIVAAKAIVEAGIAVIGHVGLTPQAISVLGGFRPQGRNVASAVKVVETALALQEAGCFAVVLECVPAPVSAAATAALQIPTIGIGAGPYCSGQVLVYHDLLGMLQHPHHAKVTPKFCKQYARVGDVINKALLEYKEDVMNGSFPDAQHSPYKISETDANGFLNELQKLGFDKAASAASEAVQKMVTKSTK >RHN48043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44489935:44490860:-1 gene:gene42713 transcript:rna42713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative short-chain dehydrogenase/reductase SDR MASLPLQDRVAIVTGSSRGIGKEIALHLASLGARLVINYTSNSNNADSVAAEINANQTTFRAITVRADVSDPEGVKSLFDSAEEAFKSPVHILVNSAGVLDAKYPTIANTTVESFDRIMNINLKGAFLCTKEAANRLKRGGGGRIILLTSSLVAALKICMGAYTASKAAVEAMTKILAKELKGTGITANCVAPGPIATEMFFEGKTEEMVKKTEEESPFGRLGETKDVAPVVGFLATDASEWVNGQIIRVNGGYLFFNNLRT >RHN57216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37340424:37344893:-1 gene:gene32726 transcript:rna32726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosome biogenesis protein Nop53/GLTSCR2 MGKKSKSSRKGKKAWRANISTEEIEDFFEKSTKDALSGGSLQAVSSDSLFFEDKSKDLAVKKKIEKHREKVLHVDSILQKNQFVKPVPSSTLKKHAKNRKVVSKSLVANENSHKEGSVLDSDVFDMWGDKDADEGNKKVKKLSKPTHIPAVEVDPPGCSFNPTHESHQDTLATAVAEEMIKVYRNELGPEPVPLTVPAGEAISEEDMYFLDVEKSDDDESNHEDDGENEDDASEKKPIKTKKVTRVELNKRIRRREQLKKEAESKKKKNFSKEIDSISKIAEEIKHEDEEQSRRHLRRQVAKQERLKACPPRLGKHKFQPAPVQVLLSEEINGSIRKLKGCCTLLKDRYKSIEKRGLVVPKPRRNR >RHN63321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50370248:50371900:-1 gene:gene26007 transcript:rna26007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRQPCCDKLGVKKGPWTAEEDKKLITFILTNGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLTEAEEQLVIDLHARLGNRWSKIASRLPGRTDNEIKNHWNTHIKKKLIKMGIDPITHEPLNKQASSNDSSTSSPAENFSQPVNNHEVKETDGVVNSEENSSSSPAENSSGEESLLLDSICSDDSLMNSIWLDETPLVEALWEMDTTPIAENTKNDINFMPSWEDNCAWLFDCQDFGIHDFGFNCFNEIESSTLQTIGIKENKH >RHN57039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35984092:35989245:1 gene:gene32527 transcript:rna32527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MSAQGQPPPPQLQAQVIDETVLDDIIRRLTEVRLSRPGKQVQLSEAEIKQLCSASRDIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPQSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKAFTESFNCLPVAALIDEKILCMHGGLSPDLTNLDQIRNLPRPVPIPDTGLLCDLLWSDPGKDVKGWGMNDRGVSYTFGPDKVAEFLTRHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDENLMCSFQILKPAEKKTKFVMSNKM >RHN40656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18860183:18863620:-1 gene:gene46841 transcript:rna46841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MSLKKYLISNFFGPKMWMVFLLICGLVEGTQSATMTSQLQMEANAILNSGWWNTSDANFNISNRCNWHGISCNDAGSIIAININYSLGNELATLNLSTFHNLESLVIRPFNLYGTIPKEIGHLSKLTHLDLSNNLLIGLVPPSLGNLSKLTHLDISYNKLVGQVPHSLGNLSKLTHLDLSNNLLAGQVPPSLGNLSKLTHLDISYNNLVGQVPHSLGNPSKLTHLDLSANILKGQVPHSLGNLSKLTHLDLSANILKGQLPPSLGNLSKLTHLDLSANILKGQLPPSLANLSKLTHLDLSANILKGQVPHSLGNLSKLTHLDLSANILKGQLPPSLGNLSKLTHLDLSANFLQGHLPPSLGNLSKLTHLDLSANILKGQVPHSLGNLSKLTHLDLSANILKGQLPPSLGNLSKLTHLDLSANFLQGHLPPSLGNLSKLTHLDLSVNFLDGQVPPSLGNLSKLTHLNLSVNFLKGQLPPSLGNLSKLTHLVIYGNSLVGKIPPSIGNLRSLESLEISNNNIQGFLPFELGLLKNLTTLDLSHNRLNGNLPISLKNLTQLIYLNCSYNFFTGFLPYNFDQLTKLQVLLLSRNSIGGIFPISLKTLDISHNLLIGTLPSNLFPFIDYETSMDLSHNHISGEIPSELGYFQQLTLRNNNLTGTIPQSLCKVIYVDISYNCLKGPIPNCLHTTKIENSDVCSFNQFQPWSPHKKNNKLKHIVVIVIPILIILVIVFLLLICLNLHHNSSKKLHGNSTKTKNGDMFCIWNYDGMIAYDDIIKATEDFDMRYCIGTGAYGSVYKAQLPSGKVVALKKLHGYEAEVPSFDESFRNEVRILTEIKHKHIVKLYGFCLHKRIMFLIYQYMDRGSLFSVLYDDVEALQFKWRKRVNTIKGVAFALSYLHHDCTAPIVHRDVSTSNILLNSEWQASVCDFGTARLLQYDSSNRTIVAGTIGYIAPELAYTMAVNEKCDVYSFGVVALETLAGRHPGDLLSSLQSTSTQSVKLYQVLDQRLPLPNNEMVIRNIIHFAVVAFACLNVNPRSRPTMKCVSQSFVTKLPRLSIPFSEISVQQLMSEELKALFYIANP >RHN44568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6265856:6266530:1 gene:gene38703 transcript:rna38703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosylmethionine decarboxylase MTENSGIRKILPKSDICDFEFEPCGYSMNGIEGSAISTIHVTPEDGFSYASFEAVGYSFEEKSLNELVGRVLACFYPAEFSVALHIDTNGEKLDKFPVEVKGYSCGERINEVLGEDGAVVYRTFVRNDGCSSPRSTLKCCWSEDENEEEVKEI >RHN76326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49374133:49383085:1 gene:gene12628 transcript:rna12628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP diphosphatase MKLLRTIFIHHKNHHFLNPKRVFSSLLSPNPSSTTTPEDTETLHSNEKPQKPTNTWSMYGNVIATKQKINNHNEDDETKEEKPTESENGSMMNLKNKTIFKTAATTVTVDKRSTKKVKTQWVCCNCGYTAGQWWGTCPSCTMVGTMKEFHEAKLGDGIDSNKVRTGLSVSEDVMGAWLPQRGDQLHPVKLSEVNRGFDEKGWRFPLSGPFGDEVSIVLGGGLVPGSLNLVSGDPGVGKSTLLLQMAALIADGCNDSDGEALPVVYVSGEESLQQIGHRAGRLGINSDIYLYSSTDIEDIIRIAQSFPIRALVVDSIQTVYLKGIAGSAGGIVQVKECTSALMRFAKTTNIPVLLIGHVTKSGDIAGPRVLEHIVDVVLYLEGERFTSYRMLRAVKNRFGSTDELGVFEMSESGFKAVSNATEMFLTEQDADSDVLVGLAFTVIMDGSRTFIIEVQALCLSQSPVGGSTSRVVNGIDTDRANMIKCVLIKQAGLHIPVNSVHLNVVSGLKMTETAGDLAIAAAICSSFLEYPIPKGIAFIGEIGLSGELRTVPRIDKRVHALAKLGYKTCVVPKQALKALGTEGLENIKVVGCKNLKEFINAVFSR >RHN48385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47128478:47130822:-1 gene:gene43097 transcript:rna43097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MATTVKEIRTPPLTSNSDPPPIFNGDTRLYISYVCPYAQRAWIARNYKGLQDKIKLVSINLQDRPAWYKEKVYPENKVPSLEHNGKVLGESLDLISYIDVNFEGLSLVPSDPAKKEFGDQLISHVDTFTKDLYSSLKGDPIKQAGPAFDYLENALGKFDDGPFFLGQFSWVDIAYVPFVERFHIVFSEVFKHDITEGRPKLAAWIEELNKIDAYTQTRADPNEIIDIFKKRFLAQQ >RHN59560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11954943:11955731:1 gene:gene21604 transcript:rna21604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MRAALMWTINDFPAYGMLSGWSTHGRLACPHCMEQTKAIWLHHGRKHSWFDCHRPFLPATHEGFQSALSVFRHQGRPSHPGKYYWMNDAELQSATIHVMINCSEVGPYIEIFQGLNIDNIYTSFQGWFKHQLLNSHPTTQIYHLRGLAEGPGRKVKQWDIYFVNGFKFHTQSQSVGKKTINSGVYVKGKKGKIIIIDVSIDETSDDHELTELQLHFDMVMMTLHNGKEREKKEWKKLIYDAGFSSYKITPICGFKSLIEVYP >RHN73135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13679029:13683238:-1 gene:gene8921 transcript:rna8921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galacturan 1,4-alpha-galacturonidase MLVALLLLLALSNAVNVYGDVEICRLNPKLDPRPHSVSILEFGAVGDGKTLNTIAFQNAIFYLKSFADKGGAQLYVPPGNWLTGSFNLTSHLTLFLEKGAVIIGSQDPSHWNIIEALPSYGRGKDVPDGRYKSLINGNQLEDVVITGNNGTIDGNGMVWWDSYKSHSLNHSRPHLVEIISSDFVVVSNLTFLNAPAYSIHPVYCSNVHIQNISISTPPESPYTAGIVPDSSNNVCIEDCFVSIGFDAISLKSGWDQYGINYGRPSEKVHIRRVHLRAFTGSAISFGTEMSGGISKVLIEHVNIFNSNSGIEFRTTKGRGGYIKEIALSHIEMENVHTAISAKGNSGSHPDDKFDPKALPLLDHITLKDITGKNITIAGSLSGIEESPFTNICLSNITLSTNSVSSSKWECSNVSGFSDSVLPKPCQELGNPSNSSSSSCFYLMSLGQKTAAI >RHN46771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34733167:34733559:-1 gene:gene41296 transcript:rna41296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylsterol monooxygenase MLPYQTLDESKHALGRNLTFAETLWFNYSAKKTDFVLHCHNTLFLCLFYSIAPIPFVLIELSGYKKLNKYKIQPSVNKTLLEMFKCYKYVMWTFIIAVGPLQIISYPTIKVCNPSLYISCRQCKINQFNH >RHN61361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35166311:35174656:1 gene:gene23821 transcript:rna23821 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:sqs1 MGSIKAILKNPDDFFPLLKLKIAARNAEKQIPPEPHWGFCYSMLHKVSRSFGLVIQQLGPELRDAVCIFYLVLRALDTVEDDTSIETDVKVPILIDFHRHIYDNDWHFGCGTKEYKVLMDQFHHVSKAFLELGKNYQDAIEDITKRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGLGLSKLFYASGKEDLATDKLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWSKYVSKLEDLKYEENSVKAVQCLNDMVTNALLHADDCLQYMSALRDSSNFRFCAIPQVMAIGTLAMCYNNIGVFRGVVKMRRGLTAKVIDRTKTMADVYGAFFDFASVLESKVDKNDPNATKTSSRLEAIQKTCRESGLLTKRKSYVLRNESGYGSTMILLLVILFSIIFAYLSANRHNN >RHN72146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5360527:5365736:-1 gene:gene7826 transcript:rna7826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ysc84 actin-binding domain-containing protein MEYEIYKATNTIKSYNQIGLLKPEKTIPDAILRQAKGLAIITVVKVGVVVTYNIGTGIVVARREDGSWSPPSAVSTFGVGWGAQAGGELTDFIIVLRTNEAVKTFSGNAHVSLGAGMSAAVGVIGRAVEADVRAGDGGYAACYTYSCSKGAFVGCSLEGSIVTTRTHENCRFYGSQSLNASDILLGSLPRPPAAAILYRSLADLYLKIDGY >RHN58241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:207573:212740:1 gene:gene20130 transcript:rna20130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyltransferase A, auxiliary subunit MLSMMSMRSINCNCNCNWNGGLFPFRIKNSGGFGGGGGADNNNNKAAAAAAAADDKKKKNNNNSIPKQAAPRLTSQVNSQDIDFQQRLNAIKRSALEQKKAQDKKQFGAIDYDSPISISESDNKTISFATKVGIGVAVPVFALVFALGDFLPSGSPNEDNIALVNDKLSDMDKTALQSRLKEFEATLSNSPRDPTALEGAAVTLAELGEYARAASLLDDLTKEKPNDADAFRLLGEVKYELKDYEGSIAAYKSSAKVSEDIKFEVLRGLTNSLLAAKKPDEAVQLLLACRERLSSEDLSKKTDSSPTDSQKLDPIQVELLLGKAYSDWGHVGDAIAIYDQLISTHPDDFRGYLAKGIILKENKNIGDAERMFIQARFFAPDKAKALVDRYSR >RHN57080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36312787:36319011:-1 gene:gene32575 transcript:rna32575 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRERDLESSNGEDFDFNDLNDSSSVESYGGGGSGVSSSSPGNDGDGGGGGSRSEIGLTERLTDIIVDESDGDLLIQTTNCEDRLMQWLQALDMQVMGACRADERLKPLLKMNSVSGVSEDPLLTQLIQHFEPSEVGMLARCFCLPLVSIRVGKISKEGTRLCPTANRCCNWTYSIMISEIRGSKALGNLTLVLLPSSDLRLSFIGDDGKTERLFTLSSTSQCSAVVVEGIPTDGSGRSFHVTTPDDRTFFFWCSEKSKLLGVELLVKMKDLLKRKPSIAELSGISKSRIDCFATQLRAFLVGSTAGSSHDSSAHASTSVNSSTYCDVAFENSHASSASSKFPRSRHIVQTTKGDSMLCQSILSPRSSSFKEVPPRNMSSHRVAAREKIKRRGDTQPLAADNLDLSSTSDHDKASEVTKTLAFSPSFMGSAGMYSVPSSLGPGGEVPPVVSPLFSPYYCWCPPGISSTFPSIAAALPQSPVSFAGSQPLASGASFIPNTLAASLFQPIQPLNLGSSMDFPPFFPEPLVRMSLPTSQQIPTFTPLMCDPIVHVPIIDVCSSGQGYLVSAGPAMSTSIPPLHPNLVNPLISESDAVVKGARETLRLLISGSSQGNQQVMMNPLPAILTNLDENQNNVLVAGSRGLYTGTRDINVIANSIAAMGLVSLSGVSNGENESDSEVCGNYGILEAMKKSNDAGGAFSDEGGPSLDSK >RHN48739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49835166:49839920:1 gene:gene43488 transcript:rna43488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative secoisolariciresinol dehydrogenase MCIVYPFGVGLVVDLGSWSLLLQRLEGKVALITGGTSGIGKRTAEIFAQQQAKIVIADIQDKLGHSVAQTIRSSTLDTTVQTYGKLDIMFNNAGIGGPNNSRIIDNDKEDFERVLSVNVTGVFLGIKHAAQAMIPTRTGSIISTSSISSYVGGAASHAYCSAKHAVVGLTKNAAVELGQFGIRVNCVFTYALATPLATQFVGCNDGELETTMNMLANLKGVTLKTDDVANAVLYFILLVTIRGM >RHN44961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10302406:10302663:-1 gene:gene39150 transcript:rna39150 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLGLCCGHSIVAALVGGFVACRLLSGELPVLMNLRLLCFCALIQRLGGYSSITQKGLVLLTQKGFVVTKAFNSSLDLSSYASD >RHN51249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15382693:15383917:-1 gene:gene35657 transcript:rna35657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MEDSKKMDRISNLPDELLCHILSFLPTKIAFTTTVLSKRWTPLFHSLTILRFDDETVHNYAAFNSVCGFIDTFMLPPRLPNQFIKTFSLKCRFVFSDSNCHILDAWVEAAKQRCIEEFHLSMIARISNDTIFTCQTLVVLKLEWLQVEVENLCRDLPSLKTLQLRFVRFKNKNVLQQLLNASPNLEDLNAYGTSKHDKNSAPVGVKSLSLAKLVRAEMRAIDVPYNVVNNVEFLCVYQAEDIIFKSFPVFRNLIHIKLQFYCFFHGWDGIVQLLQQCPKLQIVFIRKWRSSSSKEWKWPNSVLECVSSHLRSCTILNFEGSANNLQFATYILQNARFLQDMTIDVTTRSSNEMLLERSEIIEELSSCPRISPACKLTFEYK >RHN54244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8030307:8033882:1 gene:gene29247 transcript:rna29247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannan endo-1,4-beta-mannosidase MMKHFAWLFLLAILVHQECFNINVEAAGDGFVRTRGIHFMLNGNPYYANGFNAYWLMYTASDPSQRFKVSNAFREATSHGLTVARTWAFSDGGYSPLQYSPGFYNEQMFKGLDFVISEARKHGIKLILSLVNNYESFGGKKQYVNWARSNNGQYLTSDDDFFRNPVVKGFYINHVKTVLNRYNSFTGIHYKDDPTIMAWELMNEPRCTSDPSGRTIQGWITEMASLVKSIDRNHLLEAGLEGFYGQSTPQRTKFNPTINNIGTDFIANNRIPGIDFATVHCYPDQWVQSSNEQDQLSFLNNWLSAHFLDAQYALKKPILVAEFGKSFKDSGFSSNQRDQLFNTVYYKIYASAKRGGPASGALFWQLLTEGMESFDDGYGILLGQSTSTANVIAQQSHKLYLIRKIYAKIANERRWKRARFNGRRSSRDGGNRGGQIGF >RHN64813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62118436:62125466:1 gene:gene27675 transcript:rna27675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator GNAT family MTILSSLCSFPPIHSSYPFPNSALTCSISNLRTQIQSYACSTNICTQKIDTSLITIAESFYDDEFWAASSLRVRSFNQFRPDTFGLQDHAKYLAEREFEALKERVSGKNKAFRRVSCINASLPMSHLSSLYDDLCSSCKYSASGEDRVVVGSLDLNQCLSLPDEIVGMKPEVSGADTTRAYLSNVCVARELHRNGLAYELLEKSKSVARNWGITDLYVHVAVDNEPAKKLYMKSGFVYESDEPAWQARFLDRSRRLLLWMGLSIT >RHN76674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:317497:319246:-1 gene:gene37 transcript:rna37 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tyrosine--tRNA ligase MLPGPQQGQEKMSKSDPLSCIFMEDEEADVNVKIKKAYCPPKITEGNPCLDYIKQLVLPWFNEFTVERSADNGGNKTFKSFEELVADYEIGELHPADLKPALSKSLNKILEPVRLHFRTNKEAKELLKKVKAYKITK >RHN80501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38629263:38630547:-1 gene:gene4436 transcript:rna4436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MASHNNWFLVSSLLLTLLQIQTKVFCYQFKVGDLNAWGIPTSANPQVYAKWSKFHNFTLGDSLLFLYPPSQDSLIQVTQESYKSCNTKDPILYMNNGNSLFNITSHGDFYFTSGENGHCQKNQKIHISVGGTGNVDAEANSPSSSLPASAPSSQTVFGSIPVAPSSSNSPHPTSTFHVFIIGSLYALFLALM >RHN80562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39085429:39085779:-1 gene:gene4502 transcript:rna4502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MINNLALSPNTQYAAYLVFKMIDADGFRNLRVGLFVGIEGCLGNTKIVCLDPNMVPKLYSIDRSWYWVLRVLDDIVVGLQRPNVRSDGWLEIEMGDFFNLDLQVEEVYEYCRYKGW >RHN81125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43485499:43486519:1 gene:gene5125 transcript:rna5125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyanidin 3-O-glucoside 5-O-glucosyltransferase (acyl-glucose) MKGYFMWSFIDAFELLDGYKSIYGLYYVDRNDPELRRYPKLSAKWYSQFLKGTRSSLVGAIELNNDSSLVSVGHLLQ >RHN52391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34749057:34749692:1 gene:gene37062 transcript:rna37062 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLHRKHQNHHNDILVIPPRNPHEEIRVGEEITGVIDGIFSGGYLLTIRLGNGVTLQGSMLTSNQPSVIQGDVNVNVPLVPTNVNITQNVGNSEACIDLNTKKNTLEFEVDDDFSSDYSYLEISPLHEIQMCDLLLENFSLLCLDLLLHQEGCQLIKKNSSNKGKGVSIYLNSAPTRKGRRICRDPFLPRNFPSATVSIRPTYDLIAITS >RHN60301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24916856:24919271:-1 gene:gene22593 transcript:rna22593 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFAPLEKKRKNVTLTFNPGEWKNVKLCESDTIIELNIRSFNL >RHN82143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51511080:51511880:1 gene:gene6269 transcript:rna6269 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVSFEATNPVVFSNALAPRVEDSSGAATLLSGLTETIESLPGRFPSEILLPLCSNPSVCFISPVIVVLTVAF >RHN44973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10405020:10406257:1 gene:gene39163 transcript:rna39163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKRKRSSSTKSSQQAVSSDLPNECWELIFRFILKDENNLDYYSLYILNSLSLVSKQFLSITNTLRLSLSVPFSRGMIPIFKRFTDITSLKFDPFLYPDLDKILCKVSRFPLKKLTSLDISYQCTIPANGLRVFSQNIRTLTSLTCSDIDDFNTTDLFLIAECFPLLEELNLIFPSDWKSIYKNYNNYCDGIEALSLALVKLRKVKLCRFPMSDKSLFYLFNSCKLLEDVTLFECDQITIAGIASAIRERPTLKSLSFCNRTYSENSISNDDISHFKVTSDFIDSLVYL >RHN50966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12384701:12388728:-1 gene:gene35323 transcript:rna35323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MNIYIFNKSLFWLGERDIHSFEQSIYINLSHEFLYITTKKKSFNKIFNRSKMSNYILKIFYVLQLLLLLTSGSTHEFKNTTIESVELKCIEKEKQALLKFKQSIIDDSYMLSTWKDDNKDGDCCKWKGIECKKETGHVKKLDLRGDDSQFLAGSIDFTWLIVLQNMEYLDLSYNLFQGNLFSEQIGSLTKLKYLNFSNSFVGGRIPYQIGKLLDLEYLDLSEMFYGINGEIPSQLGNLTRLRYLNLRDNENIVGEIPCQLRNLSQLQYLNLEGTSLTELIPFQPGNLPVLQTLKLDVYFDLTNDNIKWLSTLSSLTSLSLSGQYRRFVFFHYLQQTIMKFIPNLRELRLVDFGLIDTDVVSLFHSHSNFSNSLTILDFSANMLTSSAFQFLSNISLNLQELHLSGNNVVLSSHFYPNFPSLVILDLSNNNISSSQFPGIRSFSSKLQELHLTNCMLTDKSFLVSSTSVVNSSSSLLILDLSSNMLRSSEIFLWAFNFTTNLHSLDLFGNLLEGPIPDGFGKVMNSLEFLVLGENSLQGEIPAFLKHIKHLDLSNNRITGVLPESINLLSELENLNLEGNALEGEINELHLTNFSKLKVLSLSYNSLSLKFPHSWVPPFKLTSLKLASCKLGSSFPSWLQTQRSILRLDISDTGLNGGVPEWFWNNSLNMILMNMSHNNLIGTIPNFPYKLSQISAVILNSNQFEGGVPSFLLQVSILLLSGNKFSHLFSFLCDKNAPATNLVTLDLSNNQIEGQLPNCWNSVNTLLFLDLRNNKLSGKIPQSMGTLVKLKALVLRNNSLTGELSSTLKNCRNLMLLDVGENLLSGSIPSWIGENMQQLIILSLKGNHFSGNIPIRLCYLRNIQLLDLSRNNLSEGIPTCLENFTSLSEKSINTSETESHMYSTSQGYFYDYGVFFNSYTFNIPLFWKGMERGFKHPEMRLKSIDLSRNNLTGEIPKKIGYLVGLVSLNLSRNNLSGKIPSEIGNLVSLDFLDLSRNHFFGKIPSTLSNIDRLEILDLSNNSLSGRIPFGRQLQTLDPSGFEGNLDLCGEPLEQKCPEDTTTVNPQGSEIHGEDGNSVFHEGFYMCLGIGYFTGFWGLLGPILLWRPWRIAYIRFLNKLIDYIYVFVAINVTRFQMWLKH >RHN77812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9557968:9560918:1 gene:gene1305 transcript:rna1305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MWGNYSTLVLQFLCFFFSVNYYTNLASNDVQCMKTRCNHKDAPTIQYPFRLQNIQPQNCGKIGYDLSCYENQQTILKLPNSINFIVNKIDYKSQTIHVTYPNGCLPKLLSNLNVTSTPFHFIDNSNSNYTLFNCSSMNTALQSYYSSITCLSSNGYEVYALNSTLQLKDVTLTYCTKLYDMSFVPEDVVFSHGDDLILGWDTSCGNESETEHECYNNVNKSKGAGKKVEILVPILSCLGILLLVTVLYHFRYRTQKDKENEARIEKFLEDYRALKPTRYSYSDIKRITNKFKNELGQGAYGTVYKGKLSSDIYVAVKLLKTSTGNGPEFINEVGTMSQIHHVNVVHLVGFCADGHTRALVYEYLPNGSLQKFISTTDFKNHFLSWEKLQEIALGIAKGIEYLHQGCDQRILHFDIKPHNILLDNNFTPKISDFGLAKLCSKDQSAVSMTAARGTIGYIAPEVFSRNFGNVSYKSDVYSFGMLLLEMVGGRQNVDVVETRSGLGYFPEWIYNLLEQGIDLREHIEVEKYAKIAKKLAIAGLWCIQWHPVDRPSMQVVVHMLEGDGEKLSMPPNPFASADPAIMNVSLIGRHLHREQ >RHN82316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52794813:52796919:1 gene:gene6472 transcript:rna6472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative loganate O-methyltransferase MRSYIYYSYSFFLHRQLTKIPPRCICFFLGNTSKRLKVGIEAAKSLIQGAIANKFYPNTNSNNSRKQICIADLGCSTGPNTFIAIQCIIEAIELQYKSQGLAIPEFQVFFNDQISNDFNTLFKKLPSNRNYFAAGVPGSFYGRLFPKESLNVVHSSASLNWISKVPKEITDRSSAACNKGRIHYTNAPKEVVDAYANQYQKDMEIFLHARAQELVGNGLMALQIPAATDVTFDSDFYCGKNFELLGTCLLDMAKEEKVDEEKVDTFNIPIFFSPLKDLIKILESNDDFIIEQMETMDAKSHFIPVNAQMYVSFHRAALEGVIENHFGNGILDELFHRYTKKVMEIPAIMDLQNLNIVGLFVLLRRKV >RHN40266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14697756:14700172:1 gene:gene46397 transcript:rna46397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MSSLSDFVFGVSTSAYQFEGAANEDGRKPSIWDTFAHGGNANMYEDDGDIACDGYHKYKVMQHGIIGLNLLTFGFVPLTNTSKDIVATQMAQDFMMGWVLNPLTFGDYPYIMKKNVGSRLPSFTAKESNLIKSSIDFLGINFYSLIYVKNNPTSLDMDDRA >RHN51469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18297194:18298195:-1 gene:gene35907 transcript:rna35907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Hemopexin-like domain-containing protein MPNSVDAAFRSSRENECYVFVKNKYVVVNYAPGGKKNQIIKGPDNITDGFPMFVNTVFQWKIDSSFDTEDNLAYLFSGDQCVKIDYSSNSPGNARVQCFNPYYSPYSQGNAIDQRVMPQGNAIDQRVKPQGNAIDQRVKPQGNAIDQSVKPQGNAIDQRVKPQGNAIDQSVKPQGNAIDQRIKPDNSPYSQGNVKPDYSPYSPGNARLLEGPIPISKMFPCLKGTVFESGIDATMWNPNPEFVHFFKGDECCLLRFQSNEMVSAGKIRSVFKIFLGTVFEHGIDAAFNSHVHPEVYVFKGEYYVHYSFNDPNSFKNGPIKLISDEWPALRSLL >RHN53743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3751113:3754085:1 gene:gene28685 transcript:rna28685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MECVFGLVGTDFAIVVADTSAVHSILVHKSNEDKIMFLDSHKLVAASGEPGDRVQFTEYIQKNVALYQFRNGIPLTTAAAANFTRGELATALRKNPYSVNILLAGYDKETGPSLYYIDYIATLHKLEKGAFGYGSYFSLSMMDRHYHSGMSVEEAIDLVDKCIAEIRSRLVVAPPNFVIKIVDKDGAREYAWRQSVNDTPVPSA >RHN43153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43606189:43608053:-1 gene:gene49684 transcript:rna49684 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSLAVAGGGYETEKKQEHGSMTMMGSLRVIELQLVAFVLVFSASGLVPLLDLLFPFFVSIYLVALSRFAFPSYNRGVSQTVFHGSKAFQFYVIVGTILGLFLPLAYVLGGFGRGDKLAVKSASPHLFLISFQILTENIISGLSVFSPPVRALVPLMYTVRRIFVDINWIHNVWLNITLPANAHFKDKAWFWFGRVLAVANLVYFSINLFGFLIPRFLPRAFKRYFQERGEIYAKAAEDKPRGVINKSHVPQKKSINLCL >RHN41567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31101299:31101964:-1 gene:gene47901 transcript:rna47901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthranilate N-methyltransferase MVHGTHVFHYLSLDSRFNKVFNTAMINHTKIVMNKVLERYNGFEGIRRLVDVGGGLGVNIHLITSKYPNIHGVEHVGGDMFESVPKEDVILMKVSEYEYELLFMVYWIIRRTNKLFFKQKNVEFF >RHN70714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53385716:53400229:-1 gene:gene19326 transcript:rna19326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translocation and assembly module TamB MNTSFFGIKLHSSFKVYNTITINSNNHLELLERRRLLQKSKRWCTVSAKHGRPIRQVFSFCCQNVNLLRIHHVSVSGSRLKCTNEESRFSPFFTPLWKEGIFLMRVCVYTVVISGLCLLVWFGSNIVKDYVEAKLLPSVCLVISEQIQRDFQFGKVRRISPLSLTLESCSFGPHKEEFSCGEVPIVKIHIHPFASLMRGKVVVDAVLSHPSVLIVQKKDYSWLGIPNNEGGTKRHLSTEEGIDHRTRTRRLAREEAAVQSAAERDYAARVAAELGYFVSDSTKGDDLKENVGHSRGATDSSSFFGMSEGKHDHQCVDSGVDYDMKHADLEKPFRVKFPGPGLQFWSRVIKRHWKHKFKRKSKRRDIAASGVAIKRRILKCSASAARAYFRGQSQGKSGEPSSSSECFCSTNLDTNLVNDDVDKITEYVADGDDDNDIVAEHVDGVDALQPEDLTSTLPVMLDSVHFRGATVMLLAYGDSEVREMENVNGHVKFHNNYSHMNVQMSGNCKPWRSDVTCKDGGWLSANIFVDTTEEKWHTNLKVDNLYVPLFERILDIPVTWSKGRASGEVHLCMSKGETFPNLHGQLDMTGLNFQLLDAPSCFTNISASLCFRVQRIFLHNACGWFGSIPLEASGDFGIHPEKGELNIMCQVHGVEVNSLMRTFNMKSFSFPLAGSVTALFNCQGPLDSPIFVGTGMVSRTLSSLFVDTPATVASEALAKSKEAGALAAFDRVPISYASANFTFNADNCVADLYGIRAILVDGGEIRGAGTAWICPEGEEDDSAVDVNFSGNLSIEKILLNYIHNYHSLMPFKLGVLHGETKVSGSLSRPMLDIHWTAPLAEGSFSDARGDVIISHDFVTVNSASAAFDLYMKVPTSHSDDISLTREEFCPKAIPFTVDGIEFDLHMHEFEFFRLITTYTLDFPRPMLLKATGRVKFQGKLIEPSCAMMEQNFDKNGQQLHILEKGSADCLVGEVSISGLKLNQLMLAPQLSGLLRVSPECIKLDASGRPDESLEVEFNEPLQSSDEDGTNGGQLLSVSLQKGQLRANVSIQQSHSASLEIHNFPLDDLELASLRGTIQRAEVELNLQKRRGHGILSVLKPKFIGVLGKSLDMAAKWSGDVITIEKIIFEQSNSHYELQGEYVLPGSSDRNLNDGKEEGILKRLMSGHLSSVISSMGRWRMKLEVCRAEIAEMLPLARLLSRSTDPSVLSRSKDFFIQSLQSVGLYSKSSQQLLELIRGHHIPSHGAVLEDLNLPDLFDIKGRWHGSLDASGGGNGDTLAKFDLHGEDWEWEDNKTQRILAVGAYTNDNGMHLENFFIQNDNATVHADGTLLGPKTNLHFAVLNFPVSLVPTVAQLVESTATGVVHSLEPSLAPIKGILHMEGDLRGSLTKPQCDVQIRLLDGSIGGIDLELAEVVASLTTTGRFLFNAKFEPIIQNGHVLIQGSIPVTFVQSSMLQQDEELDKSEASLVPDWVRDKNRGTTVDASNEHIFRDRNEEFWNTRLADSLEGLYSQILDVGEVRVDADIKDGGMMLVTALSPYANWLHGNAHVMLEVRGTVDQPLLNGYAMFHRASISSPVFRKPLTGFGGTVYMKSNRLSITSLESRLGRKGKLLVKGNLPLRTSEAALDDKIELKCDVLEVHAKNILSGQVDSQLQITGSVLQPIISGNVKLSNGEVYLPHDGGNGDSQTIISNQSALSDGGDSQAFASRYISHFFSSRYASLTTKSSQTSSSVNAANHVDKDMEKMLIKPSIETRLSDLKLVLGPELKIVYPLILIFTVSGELELNGLTHPKCITPKGILVFDNGEVELLATQVRLKQEHLNIAKFEPEYGLDPMLDIALVGSQCQYKIQGRASNWQGSVEQDTPSLSPNEAVRKLESQLAESILKGDGQLALGKLATATLEKLMPRIEGKGELGKARWRIVYSPQIPSSKSAGTTLDTFEFLANNLTFGTNVEVQLGKRLQARVIRQMKESEMATQWSLSYQLTSRLHLRLQSSPLLCIFFEYYATV >RHN80392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37677060:37682431:1 gene:gene4317 transcript:rna4317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deoxyribodipyrimidine photo-lyase MRSNRTIVWFRRDLRIEDNPALAAAARDGSVFPVFIWCPKEEGQFYPGRVSRWWLKQSLVHLDQSLKSLGSRLVLIRTESTLNALLDCINAIQATKVVFNHLYDPVSLVRDHNIKEKLVELGLSVKSYNGDLLYEPWEVYDEKGHAFTTFDPFWQRCLHKQMEPVSLIPPWQLIPAEGNVEKCSIEDLGLENELEKPSNALLGRAWSPGWSNADKALTEFMDKQLLHYSKNKQKVGGDSTSLLSPYLHFGELSVRKVFQMARIKQILWGNEGNSVGEESVALFLRAIGLREYSRYLCFNFPFTHERALLGHLSFFPWNADPSNFKTWRQGRTGYPLVDAGMRELWATGWMHNRIRVIVSSFAVKMLLLPWKWGMKYFWDTLLDADLECDILGWQYISGGLPDGHELERLDDPEILGSRFDPEGEYVRQWLPELARMPTEWIHHPWNAPLSVLRASGVELGQNYPNPIIDIDMARENLTQAIFKMWEIQAASKASGSEARDEVVAESEYLDIPKVVLLKDKAPCATISANDQKVPAFQDPKNELPIRKRTKCFEEKRKNKENSVNHVKDSNVSSSDHEDTCSTADSSRKKQCSTSTYSFSVPQQCSSSSNLKWPWQEQTDMEQSSGKDGAT >RHN57404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38730761:38733826:-1 gene:gene32944 transcript:rna32944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MGSFFIPLPYFTFHFFLLLLITHFTSYTFSLCNKHDNSALLQFKNSFSVSTSSQLYFARSSFSFKTESWENSTDCCEWDGVTCDTMSDHVIGLDLSCNNLKGELHPNSTIFQLKHLQQLNLAFNHFSWSSIPIGVGDLVKLTHLNLSYSDLSGNIPSTISHLSKLVSLDLSSYWSAEVGLKLNSFIWKKLIHNATNLRELYLDNVNMSSIRESSLSMLKNLSSSLVSLSLSETELQGNLSSDILSLPNLQRLDLSSNDNLSGQLPKSNWSTPLRYLVLSFSAFSGEIPYSIGQLKSLTQLVLSFCNFDGMVPLSLWNLTQLTYLDLSHNKLNGEISPLLSNLKHLIHCDLGLNNFSASIPNVYGNLIKLEYLSLSSNNLTGQVPSSLFHLPHLSILGLSYNKLVGPIPIEITKRSKLSYVGLSDNMLNGTIPHWCYSLPSLLELHLSNNHLTGFIGEFSTYSLQYLDLSNNNLQGHFPNSIFQLQNLTDLYLSSTNLSGVVDFHQFSKLNKLGSLDLSHNSFLSININSNVDSILPNLVDLELSNANINSFPKFLAQLPNLQSLDLSNNNIHGKIPKWFHKKLMEWENSWNGISYIDLSFNKLQGDLPIPPDGIGYFSLSNNNFTGDISSTFCNASYLNVLNLAHNNLTGMIPQCLGTLTSLNVLDMQMNNLYGNIPRTFSKENAFQTIKLNGNQLEGPLPQSLSHCSFLEVLDLGDNNIEDTFPNWLETLQELQVLSLRSNNLHGAITCSSTKHSFPKLRIFDVSNNNFSGPLPISCIKNFKGMMNVNDSQIGLQYKGAGYYYNDSVVVTMKGFSMELTKILTTFTTIDLSNNMFEGEIPQVIGELNSLKGLNLSNNGITGSIPQSLSHLRNLEWLDLSCNQLKGEIPVALTNLNFLSVLNLSQNHLEGIIPKGQQFNTFGNDSFEGNTMLCGFQLSKSCKNEEDLPPHSTSEDEEESGFGWKAVAIGYGCGAISGFLLGYNVFFFTGKPQWLVRIVENMFNIRLKRTNNRYCANRRRMN >RHN52972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40782798:40783273:1 gene:gene37714 transcript:rna37714 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cycloartenol synthase MWKLKFSKNKDGTEELVRSVNKNIGRQFWEYDPNLGTEQKRAQVEQARKQFHENRFKTKNSSDLLMRLQGKRFFKYEIRE >RHN69873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47019550:47019711:-1 gene:gene18401 transcript:rna18401 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRCNISVLNYKTLLRIFFVPFYKIHLLFSTTLIISLHTCSYLLYIFFFNYQ >RHN73272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15047368:15053652:1 gene:gene9069 transcript:rna9069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EGF-like calcium-binding domain, PA domain-containing protein MKPCMVFLFVLFFLHSYVQGRFVVEKNSITVLSPHKLRGKNDGAIGNFGIPNYGGYIVGSLVYPEKGSHGCQVFEGDKPFKFQSHRPTIVLLDRGECYFALKVWHAQLAGAAAVLVADSIDESLITMDSPEESTDAEGYIEKIVIPSVLVEKSFGDSLKEALNNKDEVLLRIDWRESVPHPDNRVEYEFWTNSNDECGARCDEQMNFVKNFKGHAQILERGGYTLFTPHYITWFCPKPFVETSQCKSQCINHGRYCAPDPEKDFAEGYEGKDIVYENLRQLCVHRVANETGRSWVWWDYVTDFHVRCSMKEKKYSKDCAEVVMKSLDLPLDKIKKCVGDPEADVENEVLKIEQTSQIGGGSRGDVTILPTLVLNNVQYRGKLERTSVLKAICAGFKETTEPQVCLSGDIETNECLERNGGCWEDRNVNVTACKDTFRGRVCECPVVKGVQYRGDGYTSCEAFGPARCSLNNGGCWSETRDKITFSACSESKVNGCHCPVGFDGDGNNKCEDVDECKEKSACQCDDCSCKNTWGSYDCKCKGGLLYMKEQDMCIERSGSKFGKVVAFVVIAVVVGAGLAGYVFYKYRLRSYMDSEIMAIMSQYMPLDQQNNVVHAESEPLRQGNV >RHN56502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31382497:31383342:-1 gene:gene31910 transcript:rna31910 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTEHLSFVDRVDKVQVYNHYLHYSVFVHATRQNNSYACRICAFKMGMNLKLILQRTTPKSGIGLLVDDQRISNDSLDVLIISTSLSRLYIKE >RHN38940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2926420:2932211:1 gene:gene44917 transcript:rna44917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MPKIVSQLNIKQNHAHGLENRDTPTKSCHDSELSQNHNFKAISTSCINSPVSQTKNTIAIGDSFTAETSNSTWLLSPSGDFAFGFLPIQDTDLFLLSIWYAKIPDKTVVWYANRESPAPEGSKVELNADDGLVLTSPNGVGLWNTTEVLSAKVSRGVFNDTGNFVLEGGGWETFKYPSDTLLPSQFLQKGGKLSSRLKQSNFSKGRFELLLQENGDLVMHSINLPSGNANENYYESGTVESNTSSAGTQLVFDRSGYLYVLGENNEKYNVSEQESKVSTTEFYVRATLNFDGVFTLYKYPKNSTESDVWTIVWSKPDNICNYIANEGSGVCGYNSFCTLGVDKRPTCQCPKRYSLVDPDDPLGSCKPDFIQGCAEDELSKNRNDLYEFETLTDIDWPMSDSVLQKPFTEDQCMKACMEDCFCSVAIFRLGDSCWKKKLPLSNGKYDPTLDGAKAFLKVRIHNTSIAIFPPNSNSTIVNKINNRETWVLVGSVLLGSSTILNVVFIVAICVCTSFIFQYKKKLRRVSKSDTSVETNLRCFTYEELEEATNGFDKELGRGAFGIVYEGVINNNTTCKTRVAVKKLNSFLLDQAHREFRNELNVIGLTHHKNLVRLLGFCGSGSERLLVYEYMSNSTLASFLFNEEKQKPNWKLRLELAIGIARGLVYLHEECITRIIHCDIKPQNILLDDYFNARISDFGLAKLLNMNQSKTNTGIRGTKGYVALEWFKNMPITAKVDVYSYGVVLLEIISCRKCVEEMDEEDEDKAILTDWAYDCYKDGALCALVEGDNEALEDKENLEKLVMIALWCVQEDPYLRPNMRDVVHMLEGTVEVQVPPYPSQISIQYSLN >RHN62460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43598583:43600379:1 gene:gene25040 transcript:rna25040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MSTFLHSSLSQEIFSSFPMLFFTILPIFISLLFIIKWYSNNSATQKNLPPSPPRFPILGNLHQIGVSPHRSLQDLAHKYGPLMLLYFGKVPVLVVSSADAARKVMKTHDLVFCDRPQLKIFDILLFSSKDVASCAYGEYWRQVRSLSVLHLLSNKRVRSYRCVREEETLRMMEYIKEVSSSDSSPLNLTELCSTITNDIVCRVALGKRYREGRGMKFQEVLLEFGELLGTVCIGDYIPWLDWLGKVNGFYSKAEKVAKQLDEFFEEVIEEHISGDRTNGHGVENEQSDFVDVLLSLQKTNAMGFPIDRISIKALILDMFAAGTDTTYTVLEWAMTELLRHQTVMHKLQDELRNVVGNKTHVTEEDLVNMNYLKAVIKETLRLHAPVPLLVPRKSMEDIKINGYDIAAGTQVIVNAWAISRDPSSWEEPLEFKPERFMNSSIDYKGLDFELIPFGAGRRGCPGVLFGIAVNELVLANLVYQFDWKLPDGVEGKDLDMSETNGLTCHRKYPLLAVATKYEKNE >RHN42277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36983383:36984135:1 gene:gene48698 transcript:rna48698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MDVDIVKTDGSMDMIAMMMQMEKFPEFSDQPFYNTYQEITNNTVPLFNLNQNALSSPQSLNNIDPVLHSNVNFQQHLNITPPPLQTNSSSFPYSQSNSSEKKNSMAAMREMIFRIAVMQPIHIDPEAVRPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKKQVHTLEQVGANNRSQSHIGGGFIGGNNMMNNFNNVNYNSGLMMKGCQPFQMVGSTSKQLLS >RHN71353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58469471:58474524:1 gene:gene20026 transcript:rna20026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA-dihydrouridine(47) synthase (NAD(P)(+)) transcription factor C3H family MEGGEDDSRQGSTLVIHQTTEQLIARCIAPVKKDFLRPPPDRTTTSSAPNDKPPVLAKEKKSKRQLKRERLQDKKSTKNLCPNLAKSGDVNSCPYQVNCRFSHDIQAFKEQKLADLEGECPFLKSEGACPYGLSCRFLNTHQEGKPLSSNGLKVRCEVNGFSKDVQKLLWKNKMTFPKTDAKLKSLGLLNKSKGNAVKNKDGGDKCVQSDDNGSCAVTSELENKDDGDKHHQSDDDGSCEVPADSDSKLERFVEVIKDDDGIKRELEPDIPCPQKKRKTAENCEDEETENEVVSVDDQIVDGCCIRSEPEAGTEVITPETDLSLKSHSREKKLIDFREKLYLAPLTTVGNLPFRRVCKVLGADITCGEMAMCTNLLEGQASEWALLRRHSSEDLFGVQICGAHPDTVGRAVELIEKECTVDFIDINMGCPIDIVVNKGAGSALLTKPMRMQNVVEVASGTVDIPVTIKVRTAYFEGRNRIDSLIANFSSWGASAVTIHGRSRQQRYSKPADWDYVYQCTRKAPNNLQVVGNGDVFSFVDWNNHKTECPELATCLIARGALIKPWIFTEIKEQRHWDISSGERLNIFKDFVHFGLQHWGSDTKGVETTRRFLLEWLSYTCRYIPVGLLDVVPQRINWRPPSYHGRDDLETLMASDSAADWVRLSEMLLGKVPDGFTFAPKHKSNAYDRAENG >RHN61349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35008001:35008712:-1 gene:gene23804 transcript:rna23804 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFFPTFILTIIHCFATLILHSITSFAIFITTLNHCFATLVSTLNHCFVTLVTTLNHCFSTLIATLDNYFATLITTHNHCFANLTLILNNCFSNLTLALNHLFATLKVTLNHRGANVDNGDQLGREIYDGNDPVLDLWYHLGAQAGLLGLVLKSIVFALMSFAIKPLGRYIGGARRLWGDGNLVLAICLSMIVMITKVAEHEHRAKVELSGPYANMPPFWH >RHN73716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19283071:19285248:1 gene:gene9570 transcript:rna9570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MAYNNTMNILILFWVTFVYSFFGVSNSNHLPYDAIFNFGDSISDTGNQASFYTVPGNSSYGSTYFKQPSGRFSDGRLIIDFIAEAYGLPFLPAYKTLTKGQDVTKGVNFAFAGSTALNYNNYLNKSRILVPASNYSLGVQLKMFKEFRNSTCKSKKDCRSYFKKSLFLVGEIGGNDLSSHISQNFSNFRNVVPLVVAAITKATTTLIKERAVEIVVPGNFPIGCGASLLALATGYGNKTENYDEFGCFKAFNTMVEYFNDKLIYSINTLRENYPNVKIIYFDYYNAAKRLYEAPEQYGFDKSKTLKACCGGPNTTVCSDPSKYINWDGPHLTEAAYRQIAKGLVEGPFANPPLKPASFKIA >RHN69922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47358957:47359650:-1 gene:gene18455 transcript:rna18455 gene_biotype:protein_coding transcript_biotype:protein_coding MCIFYISHLTLSLSLSTRRVFLLFTKEEYLNIVILVVHTNRFSINSLCGFFYYYTC >RHN79561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30124737:30125894:1 gene:gene3372 transcript:rna3372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain, rmlC-like jelly roll MSTQKNLWLLLSIFSLNLAIITLASDPDPVQDFCIPNPILASMIKTHHTFHTILPCKNSSEVITNDFIFSNMKTSGNFSETGLAVMPANPTNFPGLNTLGMSFARTDIEIGGINPPHFHPRATELIHVIQGKVYSGFVDSNNKVFARILEQGEVMVFPRGLVHFMMNVGDEVVTLFGSFNSQNPGLQKIPSAVFGSGIDEELLQKAFGLSSKQIGTMKRKLDPKQER >RHN80029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34492140:34493006:-1 gene:gene3904 transcript:rna3904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S24/S26A/S26B/S26C, peptidase S24/S26, beta-ribbon domain-containing protein MYIIISIICQYKTFFFDRRLYVGDVLVLKNPEKPDDYLVRRLAATEYEMASIVEKDESFVLEKDQCWVVAGNGKLKAKEAIDSRTFGPIHITNIVGRVLYCMRSAGDHNRVRNSFVSMHYDSPVLEVELNVDEMAKSHKA >RHN64308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58056331:58059463:1 gene:gene27112 transcript:rna27112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-glutamyl cyclotransferase MGVSSDLLALASLHGDGDVDGYISICGYGSLLSEKSARSTFPHLINFRTARLTGFRRLFSVVGAFFFNHGIANLKTQEVAGLSVEPCQGETIIITVFDIKKSEIPAFIKREREYRFLSVFPESLDGVPFTSPAVLCASYTDEEFFKFKCCEGREIYFKQYGEYNIHKIWRDDALPCRVYLRHCVLAAKSLGDEAYSNFLDHTFIADRETTIRKYFEKMGTSIMDEEPPESLKTRYGG >RHN59436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10727599:10733621:-1 gene:gene21465 transcript:rna21465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MQPRASAVDVIRFSASSTPSNSSGGSEADDIESKGDVYIWGEVFADGFPSKTDVLTPRLLESTVVLDVRDIVSGTHHLAIVSREGKVFTWGEESGGRLGHGIDKDFGKPHLVEFPDVVTLDIVACGEFHTSAVSKCDNFFTWGDGTHNVGLLGHGNEISHWIPKSVNGFLDGLRVVSVACGSWHSALATSNGKLFTFGDGTFGVLGHGDQESVMYPKEVQLLSGLKTVKVACGVWHTAAIIEVAFQSGSNASSWKLFTWGDGDKFRLGHGNKDMYLKPTRVSPLIEYNFQQIACGHTMTVALTTSGHVFTMGSTENGQLGNPNAAGKVPTLVQDKLLGEFIEEISCGAHHVAAVTSRSELYTWGKGSNGRLGHGDTDDRKSPTLVLALKDRHLKNISCGSNFTSCVCNQKYVSGTDQSVCFGCRQPFGLTRIRHNCNNCGLVFCHNCSSKKAIKAAMAPTLDKPHRVCDTCYTKLKVVDTNDPSKFNGKAVPSHCSTTAYERLDQGIIRSKRTLFSSTTEPVKYLEIRNHKPGTEHDCTSFVRASLVPSLLQLKDITFPSSLSSIPSVAKPSTPPSSSQSSTSPNRSSPSPPLINPRFSGGIVNSLRRTNDVLNQRVSDLQSQIRSLEQKSEMRDVEIQKLQKKATEAIAMVAVESSSHTEAKEFIESTKHQLKEMTEKLPADIPESNMLSTIQTRVEDFLKEFSESELENIGPADNMLSTIQTRVDKSAADNDSSKLENIGPAEHAVIVGADSCLGQSKLEVLQHAIDISTSNSGNFELEDRRIESNANVVGVDHCQNEGNFVEEINKPTLESEQRNSTDTTISDSETSKPQEHMHEKIDETAKVDQSESEQNVRQETNGSCKFSVGEEVPPQNSENSSTSLESEQRNSTDTTISDSEISKPQEHIPEKIDETARVDQSESEKNIRQESNGSCEFSVGEEVSPQNSENSSTSLDSSRHERPPETQFFEKFQSGVYALLTLRADGIKIFKRVKFSKRRFTDTQAEEWWRQNKGRVLRKYCPVHQNNNGSCNITPNVKENIESLPS >RHN41161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27668598:27669173:1 gene:gene47452 transcript:rna47452 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVRTYIVLLSGEQRSLILTSLAVTMRVVLNVRDLGNQMVLAIDVDVERMLRFRLQILGVIADTLRKTMQEIGEDDPIIYPKHLDNLLNLQLALHM >RHN52410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35054593:35055444:1 gene:gene37083 transcript:rna37083 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKDAQRVIQEGKAEGWGKLVQLPENKRKEGLGFSGNKQVMFDPTRGTFHSAGFINAPPETNAILEDQSEEVAPDFVTPGGNCCNWIAVDIPSAIPLSK >RHN78261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13371998:13378201:-1 gene:gene1795 transcript:rna1795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MASSSSPPEEQYGTESTDNYETTCWGCGLRVMLPSGASIFKCGWCGAITNQNKKKREQKCFGWRVLRDRCFLSSVIIFMLFVIFGGVWAVYPIVFSISLLWGIFHSIITTILSIATVSFFSLAAFRCAGTPPNILWGSYPTVGQGDLENYTFCLYCSKPKSPRAHHCRSCGKCILDMDHHCPFIGNCVGAANHYSFVCFLISAVLSTIYVSIMSVYASLHIWPPLSYSLGRLKGMTGRDLAWRLMNEILIAFLRSTLFLSSRGLLLAYLFIASVSLQIGLSVLLWQQLSFIYQGKTYLSNLSSHADNEEEKKDCQNLVRFFGFQHPVSRFFSNFRVTQKRHDT >RHN71928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3645317:3647168:1 gene:gene7581 transcript:rna7581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MKPQNLNQIAKLSQFQIINPNPQLLNQNLKLLSKSHDNVKAFFNLCNQMLSCSSSHNHYTFTHALKACCSYHAHAKGLEIHARLIKSGHIFDGFIKNTLLYFYLSSNDVVSATRVFKSIPSPDVVSWTSLISGLSKCGFETEAIEAFSSINVKPNALTLVSAISACSSIGAIKFGKAIHAYGLKSLMIDGNIVFYNAALDLYAKCGFFSNARNVFVKMSKRDVISWTTLLMAYARGGQCGEAVEVFKQMIVSGEAEPNESTVVTVLSACASIGSLSLGCWVHDYIEKRIDLDVDGNIGNALVNMYVKCGDMKMGLKVFNMVVHKDVISWGTVICGLAMNGYGKQVVQMFSHMLVHGVLPDDVTFIGLLSACSHVGLVSEGMMFFKAMRDSYGIVPQMSHYGCMVDMYGRASLFEEAVAFLKGMPVEAEGPIWSALLQACKTHGNEEMSEWIRGQIHDKNVGVGTLALLSNIYASSERWDDANNVRKIMRGTGLKKVAGLSWVEPEVRLDSSLCAA >RHN72792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10714300:10717967:1 gene:gene8548 transcript:rna8548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MMTDDAACSPNDKGLSLSPNLDITIDEGSPQSKQLLELENGREETTGVDSHSEESQRTNDYAQPVEGLEFETYDDAYNYYNSYARDIGFAIRVKSSWTKRNSKEKRGAVLCCSCEGFKTIKEANSRRKETRTGCLAMIRLRVVESNRWRVDEVKLQHNHSFDPERPQNSKSHKRMDSGAKRKVEPTLDVAVRTIKLYRMPTVDVSGYGSSNSNEGGTSTNVKFSRRLKLKKGDAELVSNYFCHRQLGSPNFFYLMDLNDDGQLRNIFWIDSRSRAAYSYFSDVVAFDSTYLSNNYEIPLVAFVGVNHHGQSVLLGCGLLADETFETYTWLFRAWLTCMSSRPPETIVTNHCKTIECAIVEVFPRARHRIFLLQVLQSIHGCLVQFQEDVAFQMALTRAIYDPKTVDEFERDWDSLTQHYGIRNHAKLRSLHEDRELWAPVYSKDTFFAGISNYEKGESTIPFFKGHVHQQTSLKDFFEIYELVQQKKQKTEALDDLESQNSSPQLKSRCHYELQLSKLYTNAIFSKFQDEVVMMSSCFSVSQNQTNESTVTYMVKEHQGEEPACLVYP >RHN43678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47663968:47664180:1 gene:gene50292 transcript:rna50292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAYQPSRERGLPFAVELVANPSIIFMNEPTIGLDARAAAVVMRTVRNTVVTGRTVVCTIHQPILCRWQLK >RHN52625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37204896:37210049:1 gene:gene37319 transcript:rna37319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLCSSRFRCVIPLFNETNPNFIHSFRFSSSPSTIYTQFNNNPHHENLISRFNRLTNQIPTPPISQFGRILASLALSNRYSIALSFHQKLHFKGIKPDLFTYNVLINCFSQLGHTHFAFSLFANILKRGYHPDIVTFNTLINGLCLKGEVHKALNFFEKLVVLKFQLDRVSYETLINGLCKVGETRAALRLLKEVNEKIVQYDVVMYTAIINGLCKDKLVDDAFDLYTEMVAKRVSPDVFTYNAMIYGFCVVGRLKDAIGLFNDMKLENVEADEYTFNTLVSAFCKEGNVREGKAVVAVMMKEGVKPDIITYNSLMDGYCLVKEVDKAKNIFNTMVQRGVTANVRSYSIMINGFCKVKMMDEAMNLFQEMHCKEIIPDTIAYSSLIDGFCKSGRIPQALELVDEMHDRGQPPNIITYNSILYALCKIHHVEKTIALLRKIKVKGIQPDAYTYTILTNGLFKDGRLEEAREIFPDL >RHN55421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18129369:18129979:-1 gene:gene30599 transcript:rna30599 gene_biotype:protein_coding transcript_biotype:protein_coding MNIILIIFTTTLFLWGVLECHVYILKHIYLYFLHNPYIVFIFVVVDITSLMEDQNMELQLTLSLGSIPKRSETINNAPDVIKIFGVDVDLITGAKSGGSNSEQVQRGEGRPPKYGVSRSPFSPMTPPSGLATSHSNESEEKDGNGRSGGSLVSTDVLLTPVACLNDCLSSRSFV >RHN46021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27792198:27798537:-1 gene:gene40457 transcript:rna40457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor IIIC, subunit 5 MGVIKDGTISGVLPEPQGFLVHYPGYPSTTSRAVDTLGGSQGILKARSSQANKLELRFRPEDPYCHPAFGERRPTNALLLKISKRKLPDDDGATTSNSMCGMEHGMQADNVESEHGAADKVDEEANLCADIVGRVPEAYFFEGMADYQYVVPVHADVAKRKKRNWSEPEETHLAKGGRIDVDHEDIMIIVPPIFAPKDMPEDLLLRPPTVSSSKKKEEEIVHPHFEIDMEPVLALDFFQIKDILHSISRIIL >RHN65128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64382821:64385539:-1 gene:gene28030 transcript:rna28030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MTEPCCNRFGVGNLVKIMMFGLCWVPLLTESAIGVNWGTVSFRKLNPSTVVDLLKDNKITKVKLFEAEADVLKALMGSGIQVMVGIPNEMLSLLSSSPSASDLWIHQNISAYTGKGGANIRYVAVGNEPFLKSYNGQFQNLVMPAVLNLQQSLVKAKLASSIKLVVPCNADVYESSIPSQGIFRPDLTQIMTQLVQFLNTNGSPFIVNIYPFLSLYDDTDFPQDYAFFEGTTHPVTDGSNIYSNAFDGNYDTLVAALGKIGYGQIPIVIGEIGWPSDGAIGANITAAKVFNQGLINHVLGNKGTPLRPNAPPMDIYLFSLLDEGAKSILPGSFERHWGIFYFDGKAKYPLNLGLGNKELKNAKNVQYLPSKWCVVSSKSSGLSINNVANYMRTACSVADCTTLDYGGSCNGIGDKGNISYAFNSYYQLQMQDSRSCNFDGLGMVTSRDPSVGDCRFIIGLTDKATRSSGGSQIGYSWWFIVAFSIIEITFSLLM >RHN72695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9870301:9870903:1 gene:gene8444 transcript:rna8444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MGSKGVGSIFIIFLNLLLVSTLVASHTPRRPPPPPCLSTPPPVDTPSTPPHVVTPSTPPPSTPPPSTPPSTPPSPSPPIITPSAPPPSTPPPTTPPSTPPSIPRTPPSTPPPIPVTPPQNCNLLNLNICAKVLNNVVVLNPRNNRCCTLISGLVDLDAAVCVCAALKANIIGISVNINADLKIILNSCGVNTPAGFTCRR >RHN60187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22879640:22880002:-1 gene:gene22440 transcript:rna22440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MFTTIAIYRAGIVPVQYRRVPCRKSGGVKFQLSGNPYFLIVLIYNVADAGDILRVLVKGSKTDWAPMTHNWGQNWHTGLKLVGQDLSFWVTTSDRKAVKFLSIVPSYWQFGQTYQGSINF >RHN74689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35880150:35885870:1 gene:gene10796 transcript:rna10796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative interactor of constitutive active ROPs MPRSRGTDLPQRQSPRGTHQLRTSSSDSDPSHHHRPVTDRSPKLGDRRSPRGTTQSETVNQKKLGTRIADLESQLGQAQQELKNLKNQLSSAEAEKKKAEDELVKNAQSVVRVVEKFQEKKSISKKPQECNIKAETKPQDVIIPDENQEETDVFEVPIEKLAIEFKKPVDVFEKEPQIQPATSEPEKPFVEELTQKNEEILSLKSSLEEKKKELESMSNENDDLKNQLNEAVSKVKTYETKEEGMAMQVKQLSEELKASKGNGEKLNEKLKSVEEEKAGLESEMKKLRVQTEQWRKAADAAAAVLAGGFDMSAAARVPERCGSMDKHFVGGTFETPGGRYHGYVGSPGMVDDLDDGFGSGKKKGSSGIRMFGDLWKKKGQK >RHN49325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54046416:54047988:1 gene:gene44143 transcript:rna44143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VI family MANDDQKDYCIWDPKTSPACRLKKISIEEAFFNAKHKSASAKDCFSGLDGNKQSELPTSCKNLRARFQWDKALKLLKKRSINNTSSCPPFCVPIPNTDDPTLSNLDNFKSSLVNFTLSELKNATHNFSKENLIGRGGFAEVYKGRLLDGQLIAVKRLNKGTSDERTSNFLSELGIIAHLNHPNTARLIGCGVEGEMHLVFQLSPLGNLDSLLHGENLFSN >RHN69421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43293826:43294099:1 gene:gene17891 transcript:rna17891 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLYHRRKWKSNRGMHVHMRGMSPRINSGSWYLTNLHMQQMGQNCFGWYVE >RHN55620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20110222:20111135:-1 gene:gene30824 transcript:rna30824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MEYESGGSGGSFDSQSKKKLHRRPRTPDEIQSKKKRYRRPHTPNQLERLEEVFKECPKPNEKQKLQLSKELALSYGQIRFWFQNKRTQTKAKLERNDNRLLRAENDKIRCENISMKEALENSICSSGGCPPINGDCYFDRKRLRFENILLKDEVCKSLSKEEECKNLCLFILI >RHN66263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10168557:10168900:-1 gene:gene14211 transcript:rna14211 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAVFRASNRDMILGNHDTIFGLMDKIFRIRLVVPWIVRINRGTIGEKRDTILTQKAAI >RHN82603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55117120:55117317:1 gene:gene6778 transcript:rna6778 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPVCCISDVGVWEIVLLDKVVCTAVGTGVGGFRAGAASSVLWPCVFWFAVIRVLEAVCVSATP >RHN65800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5511339:5512621:1 gene:gene13690 transcript:rna13690 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDYVFNLVFKSLVHATLNYFFPKNQLISPKLSLLRKIFKCRSLFDFINYLFFCTIFINYSDN >RHN54773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12093638:12094006:1 gene:gene29856 transcript:rna29856 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRVVVNFGCGDDKDEFVDWSCALGFALLFFSPAARGDLQYGSIVFTSAHSNWVVRFWVVFELVLFALIFHLLTVSGNCYMDSSGPIIFASRSEISVKFQVFELVKVSSLVVQELRGAIVI >RHN38414.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000024.1:391:2369:-1 gene:gene50764 transcript:rna50764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIII family MDVKWQLKSWIPVSNQTKNFFLRFGSLTVSIVSRLKHENVVELVNYCVDGPLRALAYEYAPNGSLHDILHGRKGVKGAEPGQVLSWAERVKIAVGAARGLEYLHEKAEVHIVHRYIKSSNILLFEDGVAKIADFDLSNQAPDAAARLHSTRVLGTFGYHAPEYAMTGNLSSKSDATPKLSEDKVKQCVDVRIKGEYLPIFIDLLYFLFCLQKLAAVAALCVQYEAEFRPNMSIIVKALQPLMNNTRSSQPREHATCKFTNQSQHFLDCVNDN >RHN79304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27372212:27372693:1 gene:gene3079 transcript:rna3079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding pseudobarrel domain-containing protein MLFTRCCCYCSDIIDSELEELMPNFNPPADENPSEEEVDGPVFAQLQNFQNGDHIWMLLGVFLDGFNFIFAGLFAHGLRLYEEYECEVVDKGYWLEKYVSSGWIEFVRAKELKHGDSLFFNIDNLQKQIICISH >RHN80429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38099505:38105494:1 gene:gene4357 transcript:rna4357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MNWGTPKDSPKMSYHPRPLSWIVVLVGALAIFLIYASFVLVSSPIGATFHGYFYGIGSSEKLDVSGSPINDASIDNKPSLDSQSSTGTSDSNIEKTDTTSNSEVDNSKSSSVELPTSEDVNKTSDVKLPPKPTTSFGDSVDTANTSLPAQANSQVDSTSSATVSVEAGAGSSNLTGGIRTEEPPSTVSNDQSGGVIIAPKETSTTSDNSTLTAVPESVKKPDNASNAGSVNSGCDLYHGNWVHDPLGPLYTNNTCPVLTQMQNCQGNGRPDKDYENWRWKPFQCDIPRFDPRKFLELMRGKTLAFIGDSVARNQMESMLCILWQVEVPKNRGNRNMQRYYFRSASVMIVRIWSSWLVKVTSEPFDYAPAGVDKLHLDAPDPKLMENIPNFDVVVLSSGHWFAKKSVYILNNEIVGGQLWWPDKSKQMKVNNIQAYAISVETILTALATHPTYKGLAIVRSYSPDHYEGGAWNTGGSCTGKVKPLALGELVENAYTNTMHEQQVTGFNRAMEKAANKSKLRLMDITQVFQYRHDGHPGPYRSPDPNKITKRGPDGRPPPQDCLHWCMPGPVDTWNELVLEIIKREYEGGSAS >RHN61342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34930778:34931980:-1 gene:gene23797 transcript:rna23797 gene_biotype:protein_coding transcript_biotype:protein_coding MENQTSSSSSMQFLILEQVQFVKVNDDSLLQWELVDVVDAEEQSEEEERVDDDGDSFISWSDSSRIGDPIELLTHRRIHLDDPVENHHHHHVGYSEEQVNHDDDDDDDDESEDDGDDDLDDELVPWDIGNKLGRQRMRKLGKRVSSKMNNSKRSPILFVRPGCVRGKHGMGLKHCF >RHN57590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40354063:40355299:-1 gene:gene33163 transcript:rna33163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MNLDSSNNNADWAQQDSLNRVQLGMFKTGTCPYGDHCQFAHGIGELRQMIRHPRYKTEVCRMVLAGVVCPCGHRCHFRHALTEQEKAMFLLKLVFKL >RHN56428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30797536:30798323:1 gene:gene31828 transcript:rna31828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAGIIKFVHVLIIFLSLFHVVKNDDGSFCFKDSDCPDEMCPSPLKEMCYFLQCKCGVDTIA >RHN59800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13374358:13375821:1 gene:gene21956 transcript:rna21956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphate-induced protein MLKTSPTQPPPYTVALPLTILLLSLLTVDPVTAYRPWPQNPTKNITDYAFGTSKKYEGSSEFVKLRYHMGPVLTNIITVHTIWYGNWQKNQKKIIREFINSISAKNTPHPSVSGWWKTVMLYTDQTGSNISNTVHLGQEKNDRFYSHGKTLTRLSIQSVIKSAIRAKTKPLPINPRSGLYLLLTSDDVYVQDFCTSACGFHYFTFPSLVGYTLPYAWVGNSEKFCAGQCAYPYAVPQFMPNVKPFKSPNGDVGVDGMISVIGHELAELASNPLANAWYAGGDPSFPVEIADLCEGIYGTGGGGSYTGQVLDDHDGATYNMNGIRRKFLVQWLWSHVLNYCTGPNALDH >RHN52588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36868669:36869389:-1 gene:gene37282 transcript:rna37282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MGNLLSWFPSSFTYDVCLVFCGDDLHDSFPRNLLKALNERGIRTFIDKNQESTLKAIEESRTVIIIFAEDICGLPLDFYSIDRYGEHAIITPVLINTNPSQVAEAAYGFYDPYNPEEHPSPYDEYFEVDCYHRCCYTAEKPGWYFKDGYLPDH >RHN78458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15332997:15334804:1 gene:gene2026 transcript:rna2026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MKTSNTELCVFSTIFMFILGGVNSTLESDKITRLPDQPKVDFQQFSGHITIDETHQRALFYYFVEAEVDPASKPVVLWLNGGPGCSSVGQGSFSEHGPFKPTRKGLVKNSFSWNKANMIYLDSPIGVGFSYSANKSDYFLVNDQITARDNLLFLQGWFSRFPMHRSSDFFITGESYAGHFAPQLAELIIQTNANIRLKGIAIGNPLLEFNTDFSSGAEFLWSHGQIQDSTYQMLKTVCSVAEIRRQSRTGKLSNACDKVNRLLSMETYF >RHN48263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46149879:46156700:-1 gene:gene42962 transcript:rna42962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MEARPAFSIERSSSQQLNNIGMSGALPSSLSVHPTPLEETYPRFSDSQPTYVEKDLKTKTFNHSSHISSSGAVGHMFSSSPGYSTDLHHSSLSPHEKHSRSAHFISQSLSNMASVPLPYSSNNGPVPSTTSTHYSNGNSASWHADPLPSFLDFSANASIDNNQVESGACNIMATEEFSKRNDWQEWADQLISDDDTLTSNWNDLLADNIQDLEPKAVESISKSSSQFPAGHQSQDHQQLPALSGENHVGVAPSSSANSATTKPRMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYRPESSEGAGEKKLSPIEDISSLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQCKSGVEPFKASSSAIENPSGVSSDTMKDSPTKNELEASKMDHCKSGPDQANGSTTVEESSLEAVEKLDTSKSQQASKDLEQNENEDSPQAPKRQRTDE >RHN80011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34306676:34313456:-1 gene:gene3884 transcript:rna3884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CK1-CK1 family MDHVIGGKFKLGRKIGSGSFGELYLAVNIQTGEEVAVKLESVKTRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFTLKSVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYAIDFGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLRAGNKKQKYDKISETKVSTPIEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQSSGSSRGRHGSGKAAMHAATPVHKAQKIPVGREIREKLSGAVVEAFTQRNRASSSPHHGEHTRHRTYDDVAMHKDLHYAQHNSTRYGSSSRRAMVSSKPNSSGDHTGRMATSGSRPSGAHRVQPVYDTKQATFARNGSMRGHRDDPLRSFELLTIRK >RHN66383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11647743:11655238:1 gene:gene14353 transcript:rna14353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MHPTSATASTDHKNKRLCNTPSTILSLDGDILSIIFAFLNMFDLVRCSLVCKFWNEILESRSLRVFYERKLRNDASSSRSFEHTKKSLRMILRDVAMEQHRLALQCGRFHVDQWKGHSTTISQCRMKMGTLVTGVGDKVIRLWSLDRYKCVEEYSIPDTLSLVDFDFDESKIVGLIGSHFCIWRRNGKRSVFPSLEGKFIKGSCMRYFDPEAMVGCDDGSVRVFDMYSRRCSQIIRMHSAPITCLCLSEDQLILSGSTSGNITIADPSSVQKVATLRSSDFRGIKTLCLKPSSQLLFAGSAVGYTYCWDMRTRKLLWNTRVSPNVVSSLQHMRNDKSTLAVGGIDGILRFLNQNDGNIVSSCIAEDRLLSTYQSHPGSIQRRKGKRLPEDTYINIDAIPRNSRPSITCLAVGMKKVVTTHNTRDIRLWKFKDNNTISL >RHN41855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33616799:33618501:-1 gene:gene48218 transcript:rna48218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ent-copalyl diphosphate synthase MLLANVFKHFEKNGEFFCFAGQLNQAVMGMFNLYRASQVLFQEENILEDAKSFSSKYLTKKRVANELLDKWIITKDLSGEVGYALDVPWYASLPRLETRFYLEQYGGENDVWIGKTLYRMPYVNNDVYLELAKLDYNYCQAMHYDEWEEIQSGKGGSQWHDEGNKSKREAELLVEIINLTAGNWTKDVHLNPEYNKLLDVTNIICTGLRNFQSIRLIMNTSFMLRSACLDRQSVFRVIVCDRRFGQNYILEL >RHN78848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19177608:19180874:1 gene:gene2509 transcript:rna2509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MYVYINTTTSHKNMHPCLVETESVSLLQEEITIITMASSTFPTVNKCTSIGREKHTVVADMDGTLLIGRSSFPYFALIAFEVGGVLRLLIYLLASPIAAILYYFISESAGIQVLVFASMAGMKLSSIESVARAVLPKFYSSDLHPETWRVFSSCGKRCVLTANPRIMVEPFLKEFLGADMVLGTEIASYKGRATGLICKPGILVGDKKAQVLKKTFGDEKPDIGLGDRVTDAPFMALCKEGYIVPAKPKVTTVTSDKLPKPIIFHDGRLVQKPTPLMALLIILWIPIGFPLACLRIAAGSLLPMKFVYCAFKALGVRVIVKGTPPPPVETSKTNHQSGVLFICSHRTLLDPIFLSTALGRAIPAVTYSVSRLSEIISPIKTVRLSRDRATDAAMIKKLLQEGDLAICPEGTTCREPFLLRFSALFAELTDELVPVAMVNRMSMFHGTTARGWKGMDPFYFFMNPSPVYEVTFLNKLPKELTCGSGKTSHEVANYIQRVVASTLSYECTSFTRRDKYRALAGNDGTVVEKTNKANKVMGRALEISRIWLRRRPKKGAPWALEPFWRALRPLGGSLESLGGLLGPYEYIDGPQPPKHESWDMAK >RHN43984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:503365:506248:-1 gene:gene38028 transcript:rna38028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSQLWSNTERKCFNILQSSKTKTFKTLLEIHAFILRNSLHNNLHLLTKFISSSTSLALSTPRRNDAVSIVQHARLFFNHTPPHKCDEFLCNTIINAHFSLRQFNHGFTLYNQFSKDCFFRPSSYTFTLILKGCSVSDAKRQGFQIHGVVLKNWFCLDLYVGTSLVDMYVKFGDVGFARKVFDEMSVRSLVSWTAVIVGYARCGDMVEARKLFDGMVDRDVAAFNVMIDGYVKMGRMDLARDLFDKMRVKNVISWTSMVHGYSEDGDVDEARFLFDCMPEKNVLSWNAMIRGYCQNGRSHDALKLFCEMRGNVDVEMNEVTVVSVLPAVADLSALDLGGWVHGFVQRNQLDGSVHVCNALVDMYAKCGEIGKAKLVFEEMTEKDTGSWNALINGYGVNGCAKEALEVFAMMLREGFEPNQITMTSVLSACNHCGLVEEGRRCFEAMERFGIVPQIEHYGCMIDLLGRAGRLDEAEKLIQAMPYDPNEIILTSFLFACCYFEDVSRAERILKVAVKMEKEGAGDYVMLRNLYATERRWADVEDVKEMMKKRGSNKEVAWSVIEVDGRFREFVAGYYSHSHSHLEAIQSTLGQLWKHMKVETIY >RHN51476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18478354:18482007:-1 gene:gene35915 transcript:rna35915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arginase MMSNIARRGFNYKQPLARATHVIEIRQNRVIDGVKMMSAAPQQRYDLLEKTTELLKSGQESVIKASLEYLQVKAKITGELALAFNRSTIATSTLLGVPWGHSSSFVEGPAIFLHPRIRKAIWHGSTDSITDKGKNLNDPHVIADVGDVPVQDIRDFGVKDDRLTKLIRDSVKIVMDQPTLRPLVLGCDQSVSLSVVRTIYEQLAGPVDVLHFGAHLDHENPLVQSKEKGYVNRLVQVGLRSITPEGRKEAKKNNAEIHEMKDFEKERHDLEKLKLGVGEGVKGVYVSIDVDCLDHDYAREVSLHESRALSLQDVLNILQNLEGDIVGGDVVEYNPQHDDTNYKTTARVTAKLIKELAAKMSK >RHN54138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7232590:7241800:1 gene:gene29128 transcript:rna29128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CCAAT-binding factor MAAKSKSDKPLTNTEDINLLKSEVASFASSLGLSTSQTNSSGFNDVDFRKTKPKKQQPQQQKTPEKVTPQNNQKPNNKTFGKSNQPHENSKLKKPEPKPKPPVLSLNNDANKEKGYYNKFKNLPKLPLMKASELGVWFEDAGELEGKVIGEGKKVDVKNLGEWKGFVEKKRVLGERLMAQFAQDYESTRGRSSDIKMLISTQRSGTAADKVSAFSVLVGDNPVANLRSLDALLGMVTSKVGKRHALSGFEALQELFIASLLPDRKLKTLIQRPLNHIPETKDGHSLLLFWYWEECLKQRYERFVVALEEASRDMLPALKNKSLKTIYVLLSRKSEQERRLLSALVNKLGDPDNKAASNADYHLSNLLSQHPNMKAVVVNEVDSFLFRPHLGPRGQYHAVNFLSQLRLTNKGDGPKVAKRLIDVYFALFKVLITGPSNNQTVDKSGKENAKEKKTEEFSELHAEMDSRLLSALLTGVNRAFPFVSSDEADDIVDVQTPVLFQLVHSKNFNVGVQALMLLDKISSKNQIASDRFYRALYSKLLLPAAMNTSKAEMFIALILRAMKRDVNLKRVAAFSKRLLQIALQQPPQYACACLFLLSELFKARPPLWNTALQNESIDDELEHFEDVVEETDEKPVAVSNKPSDDILPVQNGDTANSDTDSSEGEDDQLASSEDDDDDLDDALEDGNFSLAKSKMKHKKSKSESDDEDKKTQESTKKPVLPGGYDPRHREPSYCNADRVSWWELLVLASHAHPSVATMARTLLSGANIVYNGNPLNDLSLTAFLDKFMEKKPKQTTWHGGSQIEPIKQMDLNNLLVGPEILSLAEVDVPPEDLVFHKFYTIKKSSSKPKKKKKKSTDEEDAADYFDADGDDDIDGGDESDNEEIEDLLDSADPTLGPDGDYDYDDLDNVANEDEDDLIGDVSDGEIDIGDVSDAEIDIPSDMEEDDADNTPFAAVDDDNDLDIGDIDDVEDNVNKRKRKRKIGGKSGASPFASYEEFEHILDGDDAEKKPSQKKNKSKKRKKKSGQ >RHN54592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10634006:10646457:-1 gene:gene29657 transcript:rna29657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PRONE domain-containing protein MFRMKKGLACCSRGKKISIDFHDHERIMTYNGLESCILNNQSYEEESRTSRGDGGITDSFDDDDSSCSSSKDAFGSFSSKCLTMKREDEQGLEEWELSESPQHFHVKEKPSYAIQHSDIEAMKENFSKLLLGEDVTGGTKGITTALALSNAITNLSVTVFGELWKLEPLSEERKSKWRREMDWLLSPTNYMVELVPAKQNNANGRIFEIMTPKARADIHMNLPALQKLDCMLIDALDSMVKTEFWYGEGGSRTEGKDMSVRHSKRWWLPSPQVPKTGLSDTERKRLVHQGKVVRQVFKAAKSINDNVLLEMPVPMVIRDALAKSGKANLGQELHKVLTAESTSGEDMIKSLNLKSEHMALETINKLEAVIFSLKERTMEQVTGKSPVRTSWSFVKGPMSEADKLELLLDRAETLLQLLKIRYPNLPQTFLDAAKVQYGKDIGHSIMEAYSRVLGNLAFSILSRIGDILQEDCLSSSNSLSCSPGINISETWMVDSHIKQSLLQKINKADGKCCDSTSDLELKSFDAKSKDVIIATPNRSSVWCISREACTSVSTQNSP >RHN58479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2098333:2098665:-1 gene:gene20397 transcript:rna20397 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSNICWQNAYQHLFAGCTEILAADEKRSRLAWHLSDCFQMDSGRTSFPHCNSKTPISTCLINLDDLAHKVNLEFYLETNSICYQLQLSVVSLWICAENMPSNTKLRDS >RHN43085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43046897:43051786:1 gene:gene49608 transcript:rna49608 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHHHGSAQSDNAKIEQIITEFFAKSLHIILESRTLYASSRNSYGGYKSDSSPCSSSSSSSSVRSRDKWFNLALRECPAALENINNLDSIIIDVVLVNKSLGWDPMTPKRVILRSSSLKERYPLCCHGEELGVEAKSERIIERWFVQYKNRKIKDSDSGTRRSNNYFLQNLYKKSTLLLRSLYATVRLLPAYRIFRDLNSSAKIHPFTLARRVSSFVEPFTRKEESEMMKYKFTPVDTSSGSLCLTVMYSPSASDLSCDPLPSMSPQVISDYVGSPLASPLRRFPSLPYAGFLCHESPPPRRHSSNFDDRKASTTSITDSSLPIYSKPHISVSNTSSRLFPHESLPPHLAEMSSIQKKDVFSLRKDKPQKYSGVRISANSSPRVLISKRYYQDDFDDTDCSCPFDVDCDDMKDPGSRAESLDHGHVAEALEAGGFFPIRKSNDAAVGVLVHMLKKAPPLHQDFNTSEHPSVLCGGLRRTAIPTLRVNFTTKPLEKSVQKHKKESCETRNNNIQEHNQNQEASIATRKTTSDALEEFHGYREMKNLLVMRDSKPQI >RHN54222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7921173:7924013:1 gene:gene29222 transcript:rna29222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MQQTDRSFHLLNTSIQTHTHTHTYIYVHHNVSVLTRFKISKKKLNIKQNIMASLGSFMSVLNFLLFLFVGLISSSEAAIKKYQFDIQVKNVSRLCHAKPIVTVNGRFPGPTIYAREGDQVFVNVTNHAQYNMSIHWHGLKQYRNGWADGPAYITQCPIQTGNSYTYEFNVTGQRGTLWWHAHIFWLRATVYGAIVIMPKLGTPFPFPQPAREFEIVLGEWWNNDVEEIEKQGNKLGLPPNMSDAHTINGKPGPLFPCSEKHTFAMEVEQGKTYLMRIVNAALNDELFFAIAGHNMTVVEVDAVYTKPFTTQAILIAPGQTTNVLVHANQVAGRYFVATKAFMDAPIQVDNKTATAILQYKDIPNTVQPILPQLPASNDTGFALSYNKKLRSLNSAKYPANVPLKVDRNLFYTIGLGKNSCPTCLNGTRFLASINNVSFVMPQIALLQAHYFNIKGVFRADFPDRPPTPFNYTGAPLTANLASLTDTRVNKIAFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDPAKDPAKYNLVDPIERNTIGVPTGGWTAIRFQADNPGVWFMHCHLELHTGWGLKTAFLVENGPNQGQSVLPPPKDLPSC >RHN52601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37017210:37019512:-1 gene:gene37295 transcript:rna37295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MRVLNFDHHGLLTQIPDVSGLVNLEEFSFQGCVNLITVHDSIGLLGRLKTLRVMCCIKLRSIPPLNLASLEELDLSECSCLESFPPVVDGLADKLKTMIDFFRKIRSFAMF >RHN56409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30676628:30677194:1 gene:gene31805 transcript:rna31805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ligase MVTYMITFNHFYILKSLLVFTCRYQFVFGNSARLTVPGGPTVACSTAKAVEWDASWSKNLDPSGRAALEVHDSASENQKTLVNQTVEFEKIIPLEASPIEVAIQS >RHN60819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30622296:30625961:1 gene:gene23204 transcript:rna23204 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKYKENEAEVKAANMCSLWEHYIKDSTWHPLVKREKLLMMGIKD >RHN44410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4408030:4408336:1 gene:gene38529 transcript:rna38529 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMLKDMKEGCIFLWGIGVLLIELLTGRKPFDRYISFESEIECHAKERAKSGEMGFPFDGYQLVMEEEEDNVERATRIFLSFDF >RHN79876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33093369:33099303:-1 gene:gene3726 transcript:rna3726 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIKSHRTNSKLHLPQGKEQIHKQQQHPDLSPDSSLSCGKVAEKDSFSFKFGWKSSKQSVGTPIKKLLAEEMSPVTESKRRSPGVIARLMGLDGLPSQQPTNKQHKDLQKPTPLEKNRSRGASNDGRSSRRSSRDQEEFKDVFEVSEIPKVESGRYSSSDLKDGEEEMSFIEQKFMDAKRLATYQDFQSSQEFHDTLEALDSNKDLLLKYLKRPDSLFKKHLNDLQATPFQSHSGHVESTNMENFENDFNWRSDRETTAVNYNRFHQKHRDGYHGQFDKRRAMHNSPRSSKIVVLKPNMGKFQSGIRIESSPCSPHNFLPEHGNHVEFSDVRFRDTELYQKINLPDSARSFRHNSLESREIAKEVTRQMKNNLSNGCTMSSSPRFKGYSKHDSSSSASGNESPEEITATLGKPFDLNRRSRRSPRPSESSVSREAKKRLSERWKMAHKSQEVQGTSRSSTLADMLAFPGKRMKGTRFDSLPSGEGFNDKFARNGEPSELVEPLGISSKDGWRDACISSLSRSRSLPASSTVFGSPRTFLRAEALRNDRYMVPKDLKRERRRVTKSLDHRHGMNSRSTISGHKKSWSVHSLKQEVNDFSPDLNEVQNNMNTNLEEDSPNLEVLAPETFEPLRDKSAVCDDVSDVADENTVGPSESSSDKVLPGSSARVLVKGDSRVVDEENSMQEDVSAGSTGGISVLSEAPVPGHESPCCKDADQPSPISVLDPSFTDDLSSCSECFGSVSADLQGLRMQLQLLKLESEEHEEGPMLVSSDEDGVEVSSRMLEGNALWRTEDSWESSYIIDVLSESAIADAQTDNNLEVWQSLECPVSVSVFEDLEERYSDLTTCSRSERRLLFDRINSGIVKIHEQSTDPQPWVRNAVKPFGSKRINGLQDGLFQMLGNQGKVEDDVLSKLLIEESQWLKLRDDIDVIGIEFERLILDELVAEIVGI >RHN67968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31871087:31872184:-1 gene:gene16235 transcript:rna16235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKIVKFIYVMIILIFLFLVSTNIDAIRNKCFRPSDCPPSMYCDAGFQIGCVRKICTCLRILAPIDFVPT >RHN60810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30549856:30550997:-1 gene:gene23192 transcript:rna23192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MLILPLILKNSFKVKTIGGRVRFGEGVGSIWWRQLNHIRAGVGLAEPTWLVDNIVRKVGDGSNTLFWEDAWLLDVPLAVSFSRLFELSENKNVTVREMCLLGWEADGGAWRWRRRLFAWEEELVGECVERLANFVLQVDISDRWVWRLHSSQLYTVHSTYSYLTTVDINITAVFDQFLWLKAVPLKVNIFVWRLFLNRLATKDNLRKRNVLQATDTSCVALCGKEEERDHLFFQCDYYGRLWLLVSNWFGFVTVFHGNLYPHANQFCALGGFSKNSMKALSIIWILVLFVIWKDRNLKIFQNNMDHLEALLEKVKLQTFWWMKANFITFSFDYPFWRQNPLCCLQTAM >RHN70669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53101059:53102009:-1 gene:gene19278 transcript:rna19278 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSSSSRVFDTINECLILVQSDSHETQEKGLQTLAAVTKVSPQNRTLLAQIDGAISTLATLTNASSSIIQTLSLLVLFNLSLNLDLKQSLADMETIYHLNSLINSSSCSIDSCKLASSLICSLAMFDKNKAKFGVAGTVQLLVKAIESSLLDSADSHHLLSSLAELVQFHGNCTLAVRGGVVPVLLQVVQSTDNNNEDLAGTSLTVLGLLVRFDEGLNAVKKTNEIISSMLSALRRRSLLCKEGAVDILVRLFDDSDECVREAFMFSEFSSLLADISVRGSARVRDKADLLMRKIAKVSLETNMELACSLYDY >RHN63590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52517249:52523706:1 gene:gene26312 transcript:rna26312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-exporting ATPase MAEEEKPETLEAVLKETVDLENIPIEEVFENLRCSREGLTSEAAEQRLTIFGHNKLEEKRESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKAPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWNEQDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEGVVIATGVHTFFGKAAHLVDTTNQVGHFQQVLTAIGNFCICSIAVGMLVELVVMYPIQHRRYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFAKGVDADAVVLMAARASRLENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDQDGKMHRVSKGAPEQILNLAHNRTDIERRVHSVIDKFAERGLRSLAVAYQEVPDGRKESPGTPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLSEIFTTGVVLGSYLAMMTVIFFWVAYKTDFFPKVFGVETLEKTAHDDFRKLASAIYLQVSTISQALIFVTRSRSWSYVERPGLLLVAAFIIAQLIATLIAVYASWSFAAIEGIGWGWAGVIWLYNIIFYIPLDFIKFFIRYALSGRAWDLVIEQRIAFTRQKDFGKEQRELQWAHAQRTLHGLQPPDTKMFTGGTHVTELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >RHN79756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31886044:31887759:-1 gene:gene3588 transcript:rna3588 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYFSSAYRGDPGVPHADPHRFVNIWFGCLAFTASNCINPYYWHIGSSSFNWHDRVMLFEQYHWKKAMRKNQRYEFNWNKTWDRAHRDSYYFNWPIYFT >RHN48458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47633170:47633457:-1 gene:gene43177 transcript:rna43177 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFPYEEFSLTSDSDVKVIGGSMTLLVGWVLARFKKIIPRYPEPEYKRVDPLVGKWKPLRG >RHN69277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42276164:42279670:-1 gene:gene17722 transcript:rna17722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MPPSYFPLRWESTGQQWWYASPIDLAAANGHYDLVIELLHLDTNLLIKLTSLQRLRRLESLWNHNESQFQTVAKCRSHVAKKLMLECETGKNQKRRNSLVKAGYGGWLLYTAASAGDMEFVCELLRRESSLVFGEGEYGVTDILYAAARSKSSEVFKILFDYALLKNSDELVLDEVFEKDMVNRGVHAAARGGNWEILKQFVGSVSHVLAYTDSNGCTVLHTSAATGQVEVVRKLLESFDIINLTDAQGNTALHVACYKGYLPVVEILINASPSPALLTNHHGDTFLHLAVAGFKSPGFCRLDKHTELMKQLVSEKIVKTQDIINVKNNDGRTALHVSVIENIQCEVVELLMSVPSIDLNISDSDEMTALDLLKQRSQSASSDILINRLISAGGINCKLSSYNFESSCTVQPLQKIQINVSGGSPGTSFRIPDAEIFLYTGIENASNADYDQTSPESYSCSSELNNSDDANSPYNNKSNSVNYAARRLKFLLRWPRRKDTKTTFSEMEDDDSLDHFSSSKNMEEFPIPLRQRYSQPCSIRAQSIRNSIPSPSSKMNFTAGLMQGVIQLNPHVTLPTRSTPNLFQELSVVSLSSLHKQKSLDIMGPSCSDRSIKDDGALQLNYKHGSFDKKTLMSRYLSFGAQGLNMEDSNSCESNGSYKCFSSLVV >RHN45938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27021340:27023313:-1 gene:gene40368 transcript:rna40368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MFSPGFTAGGGSSDFYDGSTSNRSSITLPSSMNNNNIYNYNFSTVAQNLHHPYYQTQQEQQIPSISINPSSQIIQSQTSNLIGKRTFDEFQSQNQNILYNNNNLNLRNHNYINNNNTVLSNLLIRSVKPRNFQHSSPMSPLTPKDFTSSPLEFISPSFSSPHLGMSLCSNPNIQNPLPMNNSILQNTNFSYENSDFAEIQSTVVPTSHVPDKKMMYELEKKLHEDIDDEEEWSLVVSGIMNTNNEWSETIRNLNSFEHNPIQKPISFSPPFSTTSLNSSPSSIVSPASEFSKQSLMEAATAISEGKMDYAKEILSSFSHTQNPKLKFDSWLLDCIASALKSRVNNIENPPPVAELFSKEHTDSTQLLFDNSLCFKLSFMAANIAILEAAFKDTTKSVKNLCVVDFDIGNGKQYINLLQELHARLNGSPAMLKITTVTVNIDNENLKTIGELLVREAKSLGIGFEFKPVNLKLTELTRESLNCNSEDILAVNFAFNLCKIPDESVSTENPRDTLLRQVKSLSPSIVTILEQELNTNTALFVSRVAETLSYYNTLLESIEFAMDRGSYKRLKLEKGLSRKMRNVVACEGRDRVERCEVFGKWRARMSMAGFRLNPMSRKVTESITSRLIQGSRITVHEENGGVCFGWKGKALTVASSWC >RHN66938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21941928:21949851:1 gene:gene15061 transcript:rna15061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MEVGAADPYTTNPGPIDGSVLYDQDKHVSTAVWEGQERGALRCHEHTSKLDQWTLTPKQIELVEKAGFRYLRSIPAISLDNPLISALVERWRRETNTFHLNVGEMTVTLKDVALLIGLAIDGEPVIGLTHTSCSSVCEKYLGRAPESGYTSGGMVKLSWLKEFFSRCPNDAPIEVIEQHTRAYLLYLVGSTIFSTTTGNKVPVMYLPLFENFVRCGQYAWGAAALSFLYRALGNASLKTQSTISGCLTLLQCWSYFHLNVGRPKLNLEMMHDRFPFVLRWKGKQSGPTANRDVVFYRKALDSLKPCDVEWLPYRNMDSMVIPDHIKSTLILGRSKTMLICFDKAERHLPDRCLRQYGMLQSIPDDVERWERKSRGVDGGVDLSGKMESELTEWMDRQLHIVDGDEGVDESEYMDWYMRITRKFIGRPISLSSEFQRTNAGLRDIAHIADTFSTKGLDPQQIESISRIRYIAHECLRDQFSGPRIVTSTPQAEHGKRVRGKERIRRKGGAGKRLRKDGAVQYNVVSDDEQPEFYGTAIDVGQLHLSHMDREMDNHAQLCTVESAVSSVHMIHADANAENMHLCDAHLSVDQSDLVYEDDENDDDLAAEFNHDDLKQEADEEIKEELNHMTGEENIDELHAATEIHQDLRPCDHIMIDDSQFCDVSHDINNTTLSDDADDINHTHFGDPDEVDPLEINPTEDFVNAQLSHVNDISEPPTSDMAEMEVSQHSSIETHGDISQKGDCSVAV >RHN69823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46536707:46542145:-1 gene:gene18342 transcript:rna18342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Mn] MAVRTLLSKKTLTTVLRNDPKPIGAAITQSRGLHVFTLPDLAYDYGALEPVISGEIMQIHHQKHHQTYITNYNKALEQLHDSVAKADSLTTVKLQNAIKFNGGGHINHSIFWKNLAPVREGGGEPPKEALGWAIDTNFGSLEALIQKVNAEGAALQGSGWVWLALDKELKRLVVETTANQDPLVTKGTSLVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKYASEVYENAF >RHN43482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46203348:46207118:-1 gene:gene50066 transcript:rna50066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MSCFCLMKGKPKTKQEQSSILALETSFTVNEVEALLDLFKKLSSSIIDDGCIHKEEFQLALFRNKSKQNLLASRVFDMFDIKRNGVIEFGEFVRSLSIFHPKTSEEKKIEFAFKLFDLGKTGYIEHSELKEMVLATLTESEVTVTEDIVESIVEKTMEEVDSKGDGKIDMEEWKEYAAKNPSLLKIMTLPYLKDITMAFPSFVLHTEVED >RHN47992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44113325:44113810:1 gene:gene42657 transcript:rna42657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MESDSEFLSESDDESSTGSSIITNVAPIPCNHCHETFRTAQDLSWHQSTYTICNINRALLRLNNTYHIGPPSPPPSSHTMLFPMHGSGNYNRRFHPYVRPIPEVPIVSRIDRDYNNIDGSLERTMDLISLIDKPIDSAPIEELPVVWRDGSTNDINLDLKL >RHN80670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39935894:39936206:1 gene:gene4625 transcript:rna4625 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRARDSRVVLSRTVPPLTKGNRRWSMLGGLRRRMLQRLCVSIVVRKATRATSALRKSRSVSGVVRRVML >RHN58112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43821313:43821514:-1 gene:gene33721 transcript:rna33721 gene_biotype:protein_coding transcript_biotype:protein_coding MDIWKVRNDRIFSNLVKEFGEIVDEIMVLSWNWAVTRLKSPPCLYYEWCRNPKECLLR >RHN54235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7975103:7986135:1 gene:gene29237 transcript:rna29237 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYLFGLGYKFKLFTHMQKKKSRKNEPIFFYFIFMGVFVWEIKNIFLDSRPARLLYQRSWAAQMRVSVTDIRAGIHHSVAVACQSMFYAELIDLREGVNLDQQGLLLIQQ >RHN47727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42008478:42010408:-1 gene:gene42360 transcript:rna42360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTQEKNLIQEDRKVSLLDLPEWALDCILEYLSPLDLCKVAQVCSCLRNRSKNDYLWEKQVNQRWGELLGDIAYHEWQWHTAKMVNEEILLLHQNQSGSCGTFTGVWPSLHLHSYLENFRDMISLLRNCPKMSLFIALETGQFWFPAQVYKKALSCYDALISYDSRNDTFKARSPSNGWRIRGDVEWDMLRIPPPQTFLSDYYKPNYINNLKPGDYVEVQKRRRKEYPYDWWFAIIGHLESCDEDMNHCHCQYSETLVVELILYALGSRWRRSMLHRNLYEEQGSRICWFGGIRKLNEEEIEKWNNILLSRQDPPQYWM >RHN46483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32141950:32144965:1 gene:gene40974 transcript:rna40974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase, trichome birefringence-like family MIPSGIMKIHRGKMPFPIIIISICILVFIAVLYAERLSFLSSKSIFKFKPCPRKNAKLKSSDKKVDEEIVNTTWIDDRFDFDSEECNIANGKWVFNQSIKPIYTDITCPYIERQFSCIKNGRTDSDYQHWEWQPEDCTLPSFNPVLALKKLQGKRLLFVGDSLQRNQWESFVCLVEWIIPQNQKSMKRGVVHSVFEVKEYNASIEFYWAPYLVESNTDIHFIGDTKKRIIKVDAIKERAKNWTEVDILVFNTYVWWMSGSRIKSLWGSFSNGFEGYEEFDTPVAYKLALKTWANWVDSTINPNKTRVFFTTMSPTHTRSQDWGNMKDEKCFNETKPVRKKKHWGTGSDKRIMSVVAKVVKKMKVPVTFINVTQISEYRIDGHSSVYTENGGKLLSEEERTNPQNADCIHWCLPGVPDTWNQIFLAML >RHN59914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14713998:14717171:1 gene:gene22083 transcript:rna22083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MSNLNHNHMHTFPIQATVLVSLLLALCQITSGLTQFEALLKWKQSLPQQPILDSWIINNSSSTQTPCLWRGITCDDSKGSVTIINLAFTGLEGTLNHLNLSVFPNLLRLDLKANNLTGVIPENIGVLSKLQFLDLSTNYLNGTLPLSIANMTQVYELDVSRNDVSGILDHRLFPDGTDKLSSGLISIRNLLFQDNFLGGRLPNELGNIKNLTVLALDGNNFFGPIPSSLGNCKHLSILRLNENQLSGSIPPSIGKLTNLTDVRFFTNNLNGTVPQEFGNLSSLVVLHLAENNFIGELPPQVCKSGKLLNFSASFNSFTGPIPISLRNCPSLYRVRLEYNQLTGYADQDFGVYPNLTYMDFSYNAVQGVLSSKWGSCKNLQFLNLAGNSVNGKIPSEIFQLEQLQELDLSYNQLSGTIPSQIGNASNLYHLNLGGNRLSGKVPIEIGKLSNLQYLDLSMNAFLGEIPIQIGDCSNLLNLNLSNNHLNGTIPFQIGNLGSLQDFLDLSYNSISGEIPSNIDKLSNLISLNISNNNLSGKIPNEISEMLSLSSLNLSYNHLEGNVPKSGIFKLNSSHALDLSNNQGLCGSFKGLTPCNVSSRHKKKVVIPIVASLGGALFLSLVFVGIFLLCYKKKSRSLKKSSIKIQDPFSIWYFNGRVVYNDIIEATNSFDNKYCIGEGAFGNVYKAELKGGQIFAVKKLKCDKENLDTESIKTFESEVEAMTETRHRNIAKLYGFCCKGMHTFLVYEYMDRGSLEDMLVDDERALELDWSKRFDIVKGVASALSYMHHDCSPALIHRDISSKNVLLSKNLEAHVSDFGTARFLKPNSPIWTSFAGTYGYAAPELAYTMAVTEKCDVFSFGVLAFEILTGKHPGDLVSYRQTSNDQKIDFKKILDPRLPSPPRNILKELELVANLALSCLHTHPQSRPTMRSVAQSLERETK >RHN50155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4541687:4546119:-1 gene:gene34410 transcript:rna34410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MGNFANRKQHAVLIPYPLQGHINPMLKLAKLFHLRGFHITFVNTEYNHKRLLKSRGPNALDGFTDFSFETIPDGLTPMEGDDNVSQDVPSISQSIRKNFLKPFCELLTRLNHSTNVPPVTCLVSDSCMSFTIQAAEEFALPNVLYFSSSACSLLIVMYLRSFVERGIIPFKDDSYLTNGCLETKVDWIPGLKNFRLKDILDYIRTTDPNDIMVEFFFEIADRFNRDSTILLNTYNELESDVMNALYSMFPSLYTIGPLHSLLNQTPQIHQLDCLGSNLWKEDTECLEWLESKEPGSVVYVNFGSITVMTPHQLLEFAWGLANCHKPFLWIIRPDLVIGGSVILSSEFTNEISDRGLIASWCPQEKVLNHPSIGGFLTHCGWNSTTESICAGVPMLCWPFFADQPTNCRFICNEWEIGMEIDTNVKREELAKLINEVIAGDKGKKMRQKAMELKKMAKESTRLGTLAFISIIKPLTYPFLIFSILHIIHTQHSNTNSQMSGKKLHAVLIPLPIQGNINLLIKLAKILHLRGFYITFVNTEYNHKRLLKSRGPNSRNGFTDFSFETIPDGLTPIDGDGDVTQDINSLCKSIRNNFLQPFRELLARLYESSTAGLIPPVTCLVMIGTCPLLYKLLKNFHFLLFSLVHPMHVHS >RHN63834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54337756:54339305:-1 gene:gene26588 transcript:rna26588 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYRSNIISYSYLAPCQTFNTFLSSKTLIFIIFFLKFLFLHAIISISLYFTFMAMTIKLQYVLSFIIWIFLFLIPFHMCLGIKSNISSTSGKITITGNTKFQVYRNRKILATGFDFTPFLNRHHHSHRHHQDHHHRSHMPKETEIDPRYGVDKRLVPSGPNPLHH >RHN47844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42996246:42997918:1 gene:gene42490 transcript:rna42490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MESQPPSRLFIKEMVMRNFKSYAGEQRVGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQRRLNKVSELIHNSTDHHNLDSAEVSVYFQEILDLEDGTHKAVSGRDFKITRVAFRDNSSKYYINNISSNFTEVTNKLKEKGVDLDNNRFLILQGEVEQISLMKPKSQGPHDEGFLEYLEDIAGTKKYVEKIDESYKQ >RHN81288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44586315:44589860:1 gene:gene5304 transcript:rna5304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MGLSFYVRFRHLLPVLVCLVTILVFQSYWKTFSLLDLSSRFIGNNVTLQSNYSFQYEVENHEKEIHNFNQKILLDDSTQKVREFDEKHNIQHQRKGFPSKGTKGLNADWSIYHDNVKKSSNGSGIQSMEIDPQNKEKPQLLKTSLLGSSITMQPTSLTRLYSQLIQSFNSSSRKPKSSSQRDRELLAAKIEIENANVLLKSSGLHAPVYREVSKFSRSYELMERKLKVYIYREGEKPIFHQPKMRGIYASEGWFMKLMEGNKRFIVKDPKKAHLFYLPFSSQMLRANLSDNKKMEQYLDKYVNIIAGKYRFWNRTGGADHFLVACHDWASRITRQPMKNCIRSLCNANVAKGFQIGKDTTLPATYIHSVMNPLRKIAGKHPSERTILAFFAGSMHGYLRPILLKHWENKEPDMKIFGAMARDAEGKRIYMDYMNSSKYCICARGYEVYSPRIVEAIFSECVPVIISDNYVPPFFEVLKWEAFSVFVRERDVPNLRSILLSITEEKYLALHLGVKKVQQHFLWHKVPVKYDLFHMILHSIWNNRLSHIRLK >RHN76817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1524102:1526442:1 gene:gene196 transcript:rna196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MGSLSFLTFLVNLFLLQLITNCHATKLRVDYYRNTCPNVESIVKNAVEMKLQQTFVTAPATLRLFFHDCFVQGCDASVMLATRNSTSEKDNPINLSLAGDGFDTVIKAKAAVDGVPGCQNKVSCADILALATRDVIALTGGPSYAVELGRLDGRISTKASVTNHLPHPEFKLAKLTKMFASHGLTLTDLIALSGAHTIGFSHCSQFSKRIYNFKSGKVIDPSLNIGYAKQLQNVCPKDVDPRLAIDMDPITPRTFDNQYYKNLQQGIGLLSSDQSLFTHKSSRNLVNLFASDNTAFERAFVIAITKLGRIGVKSGRQGEIRHDCSMIN >RHN73243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14687301:14703952:-1 gene:gene9039 transcript:rna9039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin protein, neddylation MSERKTIDLEQGWDFMLKGIQKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPNDYSQPLYDKYREAFEEYILSTVLPSLREKHDEFMLRELVRRWANHKIMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRDLVYKEVNGKVRDAVISLIDQEREGEQIDRALIKNVLDIFVEIGMGHMDHYENDFEVAMLKDTSAYYSRKASNWILEDSCPDYMLKAEECLKREKDRVANYLHSSSEPKLLEKVQHELLSVYANQLLEKEHSGCHALLRDDKVEDLSRMFRLFSKIPKGLDPVSSIFKQHVTEEGTTLVKLAEDAASNKKPEKRDIVGLQEQVFVRKVIELHDKYLAYVNDCFQNHTLFHKALKEAFEIFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLAKENQTSFEEYLKNNPNVDPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFKEFYQTKTKHRKLTWIYSLGTCNISGKFEPKTMELIVTTYQASALLLFNSSDRLSYSEIMAQLNLTDDDVIRLLHSLSCAKYKILNKEPSTKAILPTDSFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLNYQQLVMECVEQLGRMFKPDVKAIKKRIEDLISRDYLERDKDNANLFKYLA >RHN64602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60329040:60330253:-1 gene:gene27430 transcript:rna27430 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRSSSASSSPINKFDVFDVKVESKTEFDVFDFKVESKTENLPEIMLRKFRTHVKSPSPPISKYDFLQACKSLLLLHSSFFFLNSIHFFVIFHSFNFDSTAVFRSKPQSKVVCIDLDDDDDDDVEAQCTPVKVLSKLVQVDEDVDDTCFNVPEELNKHNNGAQASQPVQKTQSHMPQKVDIYSQVKGPEKRGCVHCIGNIPKPKKPKASLSENQELRDELKKMRASLEKM >RHN46481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32124746:32129791:-1 gene:gene40972 transcript:rna40972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MKTEEINMALILKVLALKELLNVAVVLLVILLPSAYGLSRDEFPSYFVFGASTSAYQVEGAANKDGRKPSIWDTFSHAGNGNKYQSNGDIACDQYHKYKEDVQLMANMGLDAYRFSISWSRLIPDGKGSVNPKGLQYYNNLIDELISHGIQPHVTLHHWDLPQKLEDEYGGWVNRSIVKDFTAYVDVCFKEFGDRVKYWTTVNEGNVYALFGYDLGNLPPQRCSPSFAAKCSRGNSSTEPYLVAHHMLLAHASSARLYRKKYKGMQHGFIGFNLLTFGFVPLTNTSEDIIATQRVQDFMMGWFLNPFIFGEYPDIMRKNAGSRLPSFTKKESSLIKGSMDFLGINFYSSFYVKNSPGIQHIEDRDYIADMEVETIMLTSNHTSPNENPITPWILQGVLDWMKNNYGKFPIYIHENGQKTFSNSSLDDWSRVKYLHEYIGSMANALSGSNVKGYFVWCLLDAFELMGGYEISYGLYHVDMNDPSLKRQPKLSAEWYSNFLKGKPMDSKIASEIEKNPHLPSQTSLLYDNSK >RHN52853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39566343:39572189:-1 gene:gene37577 transcript:rna37577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flagellum site-determining protein YlxH/ Fe-S cluster assembling factor NBP35 MQAVQASSSPHFSIHSSKPPHSSTCSLVTSSVNVKCSGFSLREQSSLWTSYNKRVILKSSFSAKAASVEVGSSSISTGTAEDDVLKALSQIIDPDFGTDIVTCGFVKDLQIDKALGEVSFRLELTTPACPIKDVFEKQANEVVAVLPWVKNVNVTMSAQPAKPLFAEQLPAGLQTISNIIAVSSCKGGVGKSTVAVNLAYTLADMGARVGIFDADIYGPSLPTMVSPENRILEMNPEKKTIIPTEYMGVKLVSFGFAGQGRAIMRGPMVSGVTNQLLTTTEWGELDYLVIDMPPGTGDIQLTLCQIVPLTAAVIVTTPQKLSFIDVAKGVRMFSKLKVPCVAVVENMCHFDADGKRYYPFGRGSGSQAWITFLNFNFVVQQFGIPHLFDLPIRPTLSASGDSGMPEVVADPQGEVSKIFQNLGVCVVQQCAKIRQQVSTAVTYDKSVKAIRVKVPDSDEEFFLHPATVRRNDRSAQSVDEWTGEQKLQYTDIPDYIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLQTMERLVGVS >RHN74660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35544110:35544289:-1 gene:gene10765 transcript:rna10765 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHKFKISPRTRNMVHTKVKIVYMSSQTHRSIFHISLYKRVPTFILCHSHTFLLLP >RHN73196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14260502:14269871:1 gene:gene8985 transcript:rna8985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MPPQGNAAAAVYDQPAGGSLQSASTDAGDAVMARWLQSAGLQHLGSPLASSGVDHRLFPNLLMQGYGAQSAEEKQRLFKLMRNLNFNAESGSELYTPNTQTLGGGSASDGFYSPEFRGDFGAGLLDLHAMDDTELLSEHMISESFEQSPIIPGDTRVFEDDFYPINSKLEKEAEVDASISLPMNEKENSTRENNVAKIKVVVRKRPLNKKELTKKEDDVVTVSDTAYLTVHEPKLKVDLTAYVDKHEFCFDAVLDEHVTNDEVYRATVEPIIPTIFERTKATCFAYGQTGSGKTYTMQPLPLRAAEDLVRQLHQPVYRSQRYKLWLSYFEIYGGKLFDLLSDRRKLCMREDGRQQVCIVGLQEFEVLDVQVVKEFIEKGNASRSTGSTGANEESSRSHAILQLVVKKHNEVKEGKRNSNTDANDARSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSKTVMISCISPGAGSCEHTLNTLRYADRVKSLSKSGNPRKDAIPNCVPQTNKDVSSTSTLPASVGAEDFIDQRQEKPMDMGRKPLEKEKTMYSSAAIADKQLPSISSNYLSNGREEKGITYPSMERERFEMKNSYNDNSSQKINSYPQNGTDEKVQKVSPPRRKGSKDEKSERPANWMKRDTVEEKGLTYASVEREKFEMKNSYNDSSSPKMNSYARNDTDEKVQKVSPPRRKGFKDEKSERPANLMKRDTNASDLSTTSSRQQQTTVNHNTVTSGSRLYDAESAPDVNINAVLEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSRIDNYVAQLSFVLSRKAASLVGLQARLARFQHRLKEQEILSRKRVPR >RHN70521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51989269:51992697:-1 gene:gene19106 transcript:rna19106 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIHRYGRIHFCLERTLVKRSYLSSANHRSTGYVGRSYCCLFIQAKSEGTGGGSALTINIKVEHKFTVELADLVTVVAADSTKAPSIDVEDKPTLESADLEAYVSYFKWWAGLNCVAGYVALAVALAVCDSNPVCHSNPNTMTKVLISFVPICFFAS >RHN69113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41118844:41119107:-1 gene:gene17542 transcript:rna17542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MDHICASYIIVLVVPLLKDGSSIGSFHAIQQGVTVVFSAANYEVSPEPSLVRNVEPWSLCVAASSIDRNFPTKIIIGEIIFTRYNAI >RHN82031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50719370:50725377:1 gene:gene6147 transcript:rna6147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5'-nucleotidase MEEGKNNRGTIMITNDDGIDAPGLRALVKALLDTNLYNLQICAPDSEKSAVSHSITWLHPIAAKKVHIDGTTAYAVSGTPADCTSLGVSKALFPTVADLVISGINMGNNCGYHIVYSGTVAGAREAFFYDIPSISISYDWVKGRSNLNDFTLAAQACLPIISALLVDIKNQRYPQKCFLNIDVPSNVANQKGYMLTKQGKSLIKMGWKQITSETEGRKMLSDMTNAETAAHADVNVSSISPESLLFAREVKGSQLDHDDSSDHKSLQEGYITVTPLAAISQAEVDCQNYFKDWLQNVSESPSSSAL >RHN76087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47575171:47575548:-1 gene:gene12363 transcript:rna12363 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFDKKGVVRLITNPTRESFEHKTEPGTGTATAPGARPRVLVYLPTNQVIHSFSQLEQRLTELGWTRYSHSHLPDHIQFHRSDTSPHLLSLPRNFNSFKHFHMYDIVIQNRSFFQVRDPTS >RHN44923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9978417:9979030:-1 gene:gene39101 transcript:rna39101 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIFDEYDEHSQPKENEDTEVPTLQNDPIQNTENTVEKEDDQNVQDLSLQSRPRSWRMVGDHPADQIIGSTTDGIRTRLSFQDNNMAMISQMEPKSINEAIIDDSWIEAMKEELSQYERNKVWNLVPNN >RHN63406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51007873:51017117:-1 gene:gene26103 transcript:rna26103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cadmium-transporting ATPase MLPPIVVDLNDIKQKLSLQFRPIQRSFQFWVRAVDIYTGYKVFQLRVNFVKDAQKQEAMWERQHELAADKIFSMCSDLGGFFLKIAQIIGKPDLAPAAWVKRLVTLCDQAPPTSYHTVKLVLENELGMSIHDVFDRFDVEPLGSASIAQVHKARLKGDKSDVVVKVQHPGVQDLMMTDIRNLHMQKTDIKFDLYSVTKEMETQIGYEFDFMREASAMEKIRTFLYKINRRAPVLVPRVIRDMVTRKVLVMEYIDGIPIMNLGDEIAKRGVDPHGKVAAAAKQKILQSLTLAYGQMILKSGFFHADPHPGNILICKGSEVALLDYGQVKDLPEQLRLGYANLVLAIADCDPARASESYRELGIDTLSQCENEQQEMLKLAQTMFDTKLPPGVKMLQPFSEESSIKKIAVQAFPEELFSILRTVHLLRGLSVGLGINYSCAEQWRPIAEEALSQAGRFKGNNVKQRGIIRGIVNTLFWRD >RHN44491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5350397:5353850:1 gene:gene38617 transcript:rna38617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-beta-hydroxyhyoscyamine epoxidase MNMDGKLVSTWYNLHSSVPESYVQPAERQPCNAVLSTIKTIPVIDLGGHDRDDIIRNIVKSSEEYGFFQVVNHGVAKELMDDTLRIFKEFHAMPANEKLIESSKDPNGSCKLYTSSGRNCADVAKYWKDSLRHPCPPSGEFTEYWPEKPEGYREIVGKYTQELRALGLRILDLISEGLGLSPNYFSEELSANPVLISHNYPPCPEPSLTLGASSHKDPNILTILFQEPNITALQVLKDGAWIPVEPIPNAFVVNMGLMLQIITNGRLIGAEHRVITNSSPNRHTIAYFINPKKDAIIGPAKPLASSTSQAIYPSMPFGELLENFMNKGPYFEAEFRL >RHN49903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2151274:2156878:-1 gene:gene34132 transcript:rna34132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-thioredoxin reductase catalytic chain MTSQASTFAVSVSSAPSPLRRRRTFPVVRAQLEPSDKSVEIMRKFSEQYARKSGTFFCSDKGVTAVVIKGLADHKDSLGAPLCPCRHYDDKAAEAAQGFWNCPCVPMRERKECHCMLFLTPDNDFAGDEQTITLDEIKESTANM >RHN70244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49971276:49977293:1 gene:gene18805 transcript:rna18805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MIAIELRLLTINLFSFEIIQNEQLGPALEILLLTNAASKEEYMNLETLNQRVQALLGVPKSYNTGYYGVQEAGISHPSIAHNLPCFPGDGSSIPPVADMDVLSNLPVSYGGFNLDANTRSTSNYFSQQHSNNLEMDLTKYKDCFPTTMGRSLQSEDSSFMFSQSASVNTSEDTMQYQPQKLDFQKNSTVRHVVNDVPSDNTEYILRPAKIPKMMDSGFGVSSTNHPSFDQWNNGMVQPYSFQPHPEVQQHSEFPLSSLHYESNVEQITNPIFHFAGVKGINNNVMVDTFGQPGLESYDVMDSNNLKNIVTGIKSRMQYLDMDPIPTNGEDIQGRTEFDHGIRLNSSMPTLTKEPIPTAFWTNAKKKADEVTFDQEKLTKSSVTTVSGKTNYVIYDLTSEPKMIPSKGLEAGRISYEPDSLIYGMIDCRKSVEVDRVPELITIDGDDDEEDIQGRIGFNHEGTDAKEKVSEPKVDQEKKDLESHVVIDSCKSMEIDIGSNSNIPALISKVAIPIDVDDKQNKIGFNQEGTDAKEKVSEPTPDQEKQTKLSNTIVDAVSLIDLFTRDQITEHINSLRKESVLEAGTEANTCQLCERKKLYFAPVPIVCSCCGIRVKRIYFCRKEELDVQGCICSMCYKTSKGGKITFNGTSISKKNLEKRTNDEVLEEPWVECNKCKRWQHQICALYNNRRDLDCRAEYICPICRSKEIENGKHAHLLKAANFGAKDLPRTVLSDHLEKRLIECLIQERAKWENVEGNENLDKVIPRNPHVIAAKGLSIREVLSVDKQLKVNKQFLDIIPEENYPAEFSYRSRVILLFQQIEGADICIFGMYVQEFGSECGNPNQRCVYISYLDSVKYFMPERRTKSGEALRTFVYHEILIGYLDFCKKRGFLTCYIWACAPSRKGDDYILYCHPKEQKTPKNDKLRRWYLSMLKKATEENIVVGLTNVYDHFFVAAEKGDSKVTASRLPYFDGDCWSGNAMEAAKTIEKECGGDYEKMLKEQVPNRALKTMGHANPSKDTAKDILVMRKVGQNIWPTRESFLIAHLQYACIHCREVIVSGKRWFCTECKEIQECERCHSSDAHPSKNGDLHTLCQAVVDDIPSNTKHNDIVLESRLFENRDNFLSFCQKSQFQFDTLRRAKYSSMMVLYHLHNPTLMTVVKCCSICSARNVFQKSWKCEICPECTICSACYKDRGADCHEHKLTQNEHKLTQNELKLTQNYSRPIYQSGNQESNEKMMVKLLNVLKHASQCRADNAEPCSHPNCSQIKKLFSHASRCEIRVNRGCQQCKKIWFILAAHSRNCKDSECSIPRCRDLKKHLESKAMHSESWRGTAVFESDKAVVDQ >RHN73368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15710254:15714902:-1 gene:gene9170 transcript:rna9170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MDTTFVEGRSISKPPYFDGTNCTEWKERTKIFIQSVDYRVWLVIKNGPKIPKKLLNGREVLKSEDEFNEEDIKNMEQEAKAKNILSCAINQDDFKRISSCQTAKKMWEQLDKEVTTSNVNSTPPVSPQAPAAALYDTPNLEFLEETSDDQKKKFLNLCVPIHKHALNGNWLAANRILDKEKKLKNAAITNGWPTLLHIAAGANHIHFVKELLNMLDDKDLALQDVNGNTAFCFAAAAGNMEIVDLMLDRNSQLPVKRGGKGYTPIQYAALQGRCKMTWHLYDKTIHRFDAEDWELLFFACIYTGIYDLALKMVRGRNALAFARDVNKETALHLLAQNRTPLDSGCHCPEHDHNPIMTNPGMKNHVVFQLVKFLWTTILEKHYSSKEELNKILNEPSQLIFDAAEVGNFGFLSELLSVYPSLIWDVDSKNRTILHIAVLHRHASIFNLVHQIGHIKGIIVTYENDDDRNTLLHLAAKLAPRNQLELVSGAAFQMCVELLWFEKVKKIMLPAQIKMRNSEGFTAQELFSIEHETLRENAESWMKKTAESCMLISTVIATGVFAAATTLPGGTDDSGKPHYLNKTSFLVFAISDASAFISSATAILIFLSILVSRYGEYDFYKSLPLKLIFGLFTLFISVTSMMVALSTNFFIVYYHGSTWVPGFISIFSFLPILLYIGLQFSLFSDIINSIYYWRTLSKPGKNMIYVLEK >RHN79650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30898851:30902148:-1 gene:gene3468 transcript:rna3468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein TauE MTMSTRNFIVYLVSCSCLAILSALFLIHNNQKPSFSLLSSSSNSNRYGSGTDRIWPELEPSWKLVLATVIGFFGSACGTVGGVGGGGIFVPMLNLLLGFDTKSAAALSKCMIMGASASSVWYNLRVAHPTKQVPILDYDLALLFQPMLMLGITVGVTLSVVFPFWLITVLIIILFIGTSLRSFFKGIEMWRVETLLKKETAEQAALVNSNGEFKAVLVDTKFEPLIPKEQKSTMQILCFNLRWKRILVLLSIWFSFLLIQIIKTHVEDCSVWYWVLFGLQFPIAFLVFGFEAVKLYKDHRMRMGTGSLEYICEASIEWSATSLAFCAMSGIVGGIVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVFEFYILKRFPMPYALYLITVSVLAGFWGQFFVRKLVAFLGRASVIVFILSGVIFASALTMGIVGTEKSIEMIHNHEFMGFLGFCST >RHN43283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44619630:44620504:1 gene:gene49831 transcript:rna49831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MDFVKKCKRVLGSNNRLHDPEESSGSGSYGKLSEKSRKKQKDNNNNKKTPHGCLCVYVGPERQRFVIKIKIFNHPLFKTLLEDVENEYGYRNDGPLWLPCDVDLFCEALVEIESAEDHDLGFVGCNFPIVHKHNSSVSHSPFSCRSNLSCDANYGVFV >RHN76383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49830663:49833416:1 gene:gene12701 transcript:rna12701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSLISQNARSRNETKREEDLKAKEVEDGQDYDVDTVEVKKRKLDGKEEHLAKEQVKEMKKLESFLFGSLYSPLEFGNGDDDEGEAMTAAKASNLFFTDRSADSGLTVYQEDSDFSDGSCGDDALRKKPVWVDEEEEKVTVNIAKVNRLRKLRKEEDENVISGSEYVSRLRAQHVKLNRGTDWAQLDSGSKMDGSSDDELTDDGNNVLVSRGYEDLDDILRTNEDLVVKSSSKLLPGHIEYSKLVDANIQDPSNGPINSVQFHRNGQLLLAAGLDRNLRFFQIDGKRNTKIQSIFLEDCPIRKASFLPDGSQVIISGRRKFFYSLDLVKARVDKIGPLLDREEKSIEVFEVSPDSKMIAFVGNEGHILLVSTKSKQLVGTLKMNGTARALAFTEDGQKLLSTGGDGHIYHWDLRTRTCMHKGVDEGCLNGTAICTSPVGTHFAAGSASGVVNIYNSEEFLGGKRKPIKTIDNLNTEVDFMKFNHDSQILAICSRAKNSSLKLIHIPSYTVFSNWPRSNASLHYPRCIDFSPGGGFMAVGNASGKVLLYKLHHYHHA >RHN54829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12683373:12683630:-1 gene:gene29920 transcript:rna29920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ent-copalyl diphosphate synthase MWVLDRLEHLGISRYFRQEIKESVNYVSRYWIEKGICWARNS >RHN69328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42654952:42659874:1 gene:gene17779 transcript:rna17779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase CMGC-CLK family MDYATEFSMDRRPRKRPKLAWELPQTHSKAHSGIYCGQEFASATTSYGTLRVLPDHTGFSIKGLAEKGSPQWRDDDKDGHYVFALGENLTSRYKILNKIGEGTFGQVLECWDRETREMVAIKVVRSVKKYREAAMLEVDVLQLLGKYDRNGSRCVQIRNWFDYRNHICIVFEMLGPSLYDFLRKNSYRPFPVDLVRELGRQLLESVAFVHDMRLIHTDLKPENILFISPEYVKVPDYKVMFRSPKEGVSYKRLPKSSAIKVIDFGSTSYEHQDHNYIVSTRHYRAPEVILGLGWNFPCDIWSIGCILVELCSGEALFQTHENLEHLAMMERVLGPIPQHMLKRADHAAEKYVRRGRLNWPEGAVSRESIKAVLKLSRLPNLVMQHVDHSAGDLLDLLQGLLRFDPMSRMKAHEALRHPFFTGEHYQRY >RHN43539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46650594:46657014:1 gene:gene50138 transcript:rna50138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IXb family MNFSSFENKNREPKLRKSQAIIKFREGTAPLRSIQEKKLAAGRVVAVAIENNKTSQYAAKWAVDNLLPKDQHLLLVHVRQKASSIPTTTGSHVSVDANDDVGRAYMRQMDNESKELFSSFRVFCNRKNILCKEVLLEDMDVSKAIIEGIREYSIELLVLGAPSRSGLVRRFRTSDVPSLVSKGAPEFCTVYIISKGKIQSVKTATSPLTPKAMPRNNALQPQQSPDRINVQQLMRNHPLRPSNEKPTYLAHRPGDEGEIISPFTKGARPTHRSYESSISDSDISFVSSGRPSIDRMFPSLYEEMDSGNGITPRISGSSDYDLRSFGSLYSGVKSIDHNDYSFTSQDSGRSGMSMSSQSRISYSDEVEAEMRRLRLELKQTMEMYSTACKEALTAKEKALELQRWKLDEQRKLEDTRFSEETSLEVAEKERAKSKAAMEAAEASRKIAELEAQKRVSVEMKSNSDSDQRKRTFGDSVLNSPARYRRYTIEEIEEATNYFSNSLKIGEGGYGPVYRAELDHTAVAIKVLKPDAAQGRSQFQQEVEVLSSIRHPHMVLLLGACPEFGCLVYEHMTNGSLDDCLFRRNNSKLPVLPWQLRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADTVTQYRMTATAGTFCYIDPEYQQTGMLGTKSDIYSLGIMLLQMITAKPPMGLSHHVARSIEKGTFDEMLDPAVEDWPIEQAMHFAKLAIQCAEMRRKDRPDLGKVILPELNKLRDFADENMPMMMMMFGGGAGFAQRNNNYLRSRFSSSIQDSMSESQSLSLSGYESHSSSSSMGKM >RHN68705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37916778:37919778:1 gene:gene17090 transcript:rna17090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MVSVAGVATTQPLFSFGLISDVQYADIPDGRSFLGVPRYYRHSILVLQRAVKEWNSHQKHKFVINLGDIVDGFCPKDQSINAVKKVVDEFEMFRGPVYNMIGNHCLYNLPRSTLLPLLKIPTLDGCAYYDFSPVPEYRFVVLDSYDISAIGWPQDHPKTLEALKLLREKNPNEDKNSPNNLKGLERRFLMFNGGVGKEQMEWLDGILQDATKLKQKVVVTCHLPLDPCATSEEALLWNCDEVMNLIHRYSCVKAVLAGHDHKGGYSIDSHGVHHRVLEAALECPPGTDAFGSVYVYDDRISLVGTDRMANTDMHFDHQ >RHN48637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49040522:49044205:-1 gene:gene43376 transcript:rna43376 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPKYLHELLKEDQEPFLLNNYISHKRSQMKITTLLLKKKPFNHIQKSNFNMNLCKNTSCFFSFPQTPDFKKSPLFELTSPVKSPCKIPSRTATLLLEAALRIQKHSSSSSSSSSSSSSKNKTKNKGFGLFGSLFKKLTQRNQNRKHEIENEYVSVRELLKLDSSVKQRREKMDSQKKNNLEMKTSSSGYSFFTDHEACACHHHQHAFPESPFHFALQTSPCSGSYTPELASPSRNITEDKESNEAVESINQFKSGEEEEEDKEQCSPVCVLDPPFEDDDEVHINDDEDGVDFDLESSYAIVQRARKKILYKLCRFEKLAELDPLELEKRMLDQEDDEDETYMEEDDIDDEEGEVSFKENDFKELVFEAVYLSMVHDRQQIPQEFKKLISDLIVEEERELNSLEDSDVVITRILKRLESWKEVESNTIDMMIEEDFSIEDGGWKKNAEQIRNMAGEIELAIFSILVDEFSEELEC >RHN69658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45298367:45304008:-1 gene:gene18154 transcript:rna18154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FERM/acyl-CoA-binding protein, 3-helical bundle MGEWHDWQSLLQSIFIGLIFSYLLAKLISIVVSFNNENLTITRNTNPNAVVSHDDTKQDDVVSDPGHRPEAEAESVMAEQGSIRNESVDGGDDDYDDDWEGVESTELDEAFSAATAFVAAAAADRLSQKVSNDVQLQLYGLYKIATEGPCSTAQPSPLKMTARAKWQAWQKLGAMPPEDAMQKYIDIVSELYPTWLDGAPLRSKTGDGGGPSSEARGPMGPVFSSFVYEEECGSDSKMDAIHGFAREGDMTNLLKCIESGVSVNLKDSEGRTPLHWAVDRGHLNVTELLVGRNADVNAKDNDGQTPLHYAVTCEREAIAEYLVKHSADIHSEDNDGSSSRDICSSKWPFMQHEEEVK >RHN73118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13589920:13590251:1 gene:gene8901 transcript:rna8901 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRKTRLHIYIKEATRTRPVIHVSALESRFGTKPRQEKEREREGIEGNRKLTKQ >RHN55342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17500787:17501644:-1 gene:gene30512 transcript:rna30512 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGAVNFGWFVVELLFRSRCLSSLFSLGSICLLLLVDFWSLFGFAPPPIWWSNSYFLLPRSAISLDDSMICGSFVVGASLDCHVEVVTFHIGLNVSGLPIIWYRLLIRLSSYGLFQTFAGNSFMPTALLRGCWYVDLITILAGDPFANFGPLLVSTNVHSSSFFVTWFRTRTRVR >RHN69043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40543245:40543812:1 gene:gene17470 transcript:rna17470 gene_biotype:protein_coding transcript_biotype:protein_coding MPMFEIWRRKWVVCVLLLIESDSTHSNPNSVVDLEKKMSCLDLSMTFTATVGICRG >RHN61355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35073239:35080285:-1 gene:gene23811 transcript:rna23811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MALKIIPSILLLPRSLHHFPPRQNPNLKSLSLFPTISHPHLPLHFSSLSISALHPTRFVTARAIQPRELTGDEGRISEPSEEAKIENEAATQGVEKELANQGIWIQLKEIVKFTAPATGLWICGPLMSLIDTAVIGQGSSIELAALGPATVVCDYMSYVFMFLSVATSNMVATALAKQDTEEVQHHISVLLFVGLACGFMMLLFTWLFGAATLTAFTGIKNAHVVPAANTYVQIRGLAWPALLVGWVAQSASLGMKDSWGPLKALAAASVINGVGDIVLCTYLGYGIAGAAWATMASQVVAAYMMMRTLNMKGYNAFALSIPSGREFITILGLAAPVFMTMMSKVAFYSLLIYFATSMGTHTMAAHQVMVQTFCMCTVWGEPLSQTAQSFMPELLYGVNRNLSKARMLLRSLAVIGATLGLLLGIVGTSVPFLFPYIFTSDQMVIREMHKVLVPYFVALAVTPPTHSLEGTLMAGRDLRFISLSMIGCLCGGALVLSILCSRYGLQGCWFSLAIFQWARFSMALLRLLSPKGILYSEDIDHNRLQKLKTA >RHN52113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31622042:31623536:1 gene:gene36737 transcript:rna36737 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMTAPPFSPLSFDYPPSNVSPLFRCRFRRFASLLLCWLRCCPFLAGGCFLLLWFYIFVSYLKILELKLLPPCQTEDRGCFAYVLLLCRRFFSKEVRQCFRRRSGCVCLVFLRFLHWSSKLRLGVVLFRIR >RHN39973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11976037:11979102:-1 gene:gene46059 transcript:rna46059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MTEASRRYALVTGANKGIGYGICKKLASSGVMVVLTARNEKRGLDAVESLKELGLSDFVVFHQLDVTDPISVSSLVEFIKIQFGKLDILVNNAGVAGGIVNGENVVKMVRGEISDWNLALRQTYELAEECVEINFFGAERVTEALIPLLQLSTSPRIVNVSSRRGKFKFMPNEWVRGVFDDIKNVTNEKLGEVLREFLKDYKEGALETKNWPTFVSGYTMAKAALNSYTRLLALKLPRFRINCLCPDFVKTDINEMKGFLSIDEGAECPVNLALLPDDGPSGQFFLHDEVISY >RHN45740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25153217:25156002:1 gene:gene40130 transcript:rna40130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L32e MAVPLLTKKIVKKRVKRFIRPQSDRKICVKQSWRRPKGIDSRVRRKFKGVTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVQDLELLMMHNRTYCAEIAHNVSTRKRKDIVERAAQLDVVVTNKLARLRSQEDE >RHN63426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51190641:51193931:-1 gene:gene26124 transcript:rna26124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSITSFKSLTMAESCLLSQPSLFYTKSKSPFISNSAKPFKVQNPSYNSSKFSSSVSLVSKRRTRHSSLVTFVAQTSDWAQQEEKEDGATWENQADATWVGETESNENEEVEVASFEEPSEDLKIFVGNLPFDVDSEKLAQLFEQSGTVEIAEVIYNRDTDRSRGFGFVTMSTSEEVERAVNKFSGFELDGRLLTVNKAAPRGTPRLRQPRTFNSGLRAYVGNLPWDVDNSSLEQLFSEHGKVESAQVVYDRETGRSRGFGFVTMSNEAEMNDAIAALDGQSFNGRAIRVNVAEERPRRSF >RHN56195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28621493:28624371:1 gene:gene31557 transcript:rna31557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVAILKFIYSILLFIFLHLVSTNGYRNIKYCFIDTDCPRSMCHYPEIVRCVDQCKCVRIMP >RHN80631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39596049:39605560:-1 gene:gene4577 transcript:rna4577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MRVFRFCFTHAQTLLSFFLLRFHLFIIIGDDTMGKKNKAMKENSDGTVKQCPLTLFVSNLPYSFTNSQLEQTFSEVGPVRRCFMVTQKGSTQHRGFGYVQFAVEKDANQAIELKNSSLVGDRKIVVKHAIPRPPRENRRSKPDQEGNEGDLTESKNDDKDSELSGAEKPVSVPKEPKEEEVKVLDKPKNSRKPVEIKKAALCNDAADEGGGSEKQKVARTVIFGGLVNSAMAEDVHRQAREIGTVCSIKHPLSRNDLQQHGLLQEGCTFNASAVLYTSVKSARASVATLHKKEIGGGTVWARQLGGEGAKTQKWKLIVRNLPFKAKENEIRDAFSSAGTVWEVFIPQKSDTGLSKGFAFVKFTCKQDAENAIRKLNGSKFGSRLIAVDWAVPKKIFSSDTNDAPASEEGQQKVTDEDGSTTTEDDLENTDKKSDQGDDSDIDSVVEEDVPSEDDFDKEADIARKVLNNLITSSAKDESVNNDSVSSEEKNKPKSKETVKGADSKTSKESDKVSDISKPETSKETEDDLHRTVFITNLPFELDTEELKQRFSAFGEVEYFAPVLHQVTKRPRGTGFLKFKTAEAADNAISTANTASGMGILVKGRPLKVLKALDKKSAHDKEQEKEKNEVQDHRNLYLAKEGLILDGTPAAEGVSATDMSKRKNLERKKKTKLQSPNFHVSKTRLVIYNLPKSMTEKQLKTLCIDAVISRATKQIPVIRQIKILKDGRKGKATQEQQYSRGVAFLEFSEHQHALVALRVLNNNPETFGPEHRPIVEFALDNIQKLKLRNEKLQYQQRAPHNGNSRNENDKPNNAGVYTHGTDRKRKSQEHGKPAKDLAPDSNSEHGGRVPNGKSPQGGKSKRQKGDPKSTNTDVISSKESPKASSARKLKNNQDGQNHGAKLHEGKNSSIDSNRKISGKKEDAVFGKRKMHNQEQAGEKVSRKRPKKNKDSVGKDTVDKLDMLIEQYRSKFSHKGSQGNDGEKKQSKQLRKWFQS >RHN38400.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000028.1:20893:21405:-1 gene:gene50786 transcript:rna50786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ELMO domain-containing protein MILASLRALWNAAFPEEELNGLISEQWKDMGWQGKDPSTDFRVVVTYHWRICCFLPGISQYDLFFFFCSIFSVL >RHN58357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1069943:1071596:-1 gene:gene20262 transcript:rna20262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller MASHDISSAKLSSMCDITYLSDDLLAKIFSLVPFRSTVGCKCVSKRWLDLISSPYFIKQFTSYQHSLFKSIFIFVTPHEVVLAFHEQNPSLERPISFGLDMLIKGSVCGCSNGLFLCCKNRYTYGIDYYIYDPLMKKCVPIPPSPSTCNESLFAVGFICNPTGAAVITPSPNERNFRVVIIKSFIKRLFEMELYVFSSETGSWKRVVMIIPDGFAFAPHWLLSFSYGGSLYFMGRTSIFVFDPYTNTRDTLNYPLEADSMNIMSFGFLGISCGCLRIADIGQNNLRVWELVEKNHWDLLHSIDISKKMSKKFCGNYYKKVAGFHPYDGDIVYLHSYADGVFICNLRTDTFEVVPGYEKIDISPFQLEIADLLLPTESSSPSAE >RHN77138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4372628:4382599:1 gene:gene563 transcript:rna563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-CTR1-DRK-2 family MPKMKQLLRKLHIGGGAPTSPINNHNTIANANANANVALPLSHSDSLTTTPSPSPSPSPSPTVVQNPRNDGAGVNDFNLLQEEEFQVQLALAISASDSDPKDVDESAQIDAAKQISLGYSASLTDTPALVQFQSLRYWNYNVIAYDEKVMDGFYDVYGIDASLIERGKMPLLVDLKTVPTSRNVDYEVISVNRVVDVELSQLEEKARALFEECSVTELGLFLSGLIQKLADVVVNRMGGPVGSADNIMTKWAMRSRELRDSLRTVVLPLGCLDVGLSRHRALLFKVLADRINIPCMLVKGSYYTGTDDGAVNLIKADDGSEYIIDMMGAPGTLIPAEVPSSQIQNYGFAGRDFAEIAGQHNNLYPMLGDETDVLGVLSDCTVGRVQTKELIKIGSQTKPDEINHAKVNEAGRFKHTDAYGSSSHPESSHAENMHVKNVSQYVLSAAKNPEFASKLHTILLESGASPPSDLFSDMNSRHGGLDTVQADQNRLLLSYDKSLLLPQGVGSAGNTRLCQAVAEQQKELHTDAIEFYDSLQSSCTRNAFATVSGKDSDIEQSNPLIVDFACLNTHKTCKEKCPESSLPKTVLSCKRHNGVECFCDDDESGPRNEAGASSNNIELGNDSVIQINETVIGDCVLYDDDKTKKVHPILGEDTQWEIQWEDLVVGERIGIGSYGEVYRADCNGTEVAVKKFLDQDVSGDALDQFKSEIEIMLRLRHPNVVLFMGAITRPPHFSILTEFLPRGSLYRILHRPNLVLDEKRRLRMALDVAKGMNYLHTSHPPVVHRDLKTPNLLVDRNWVVKVCDFGLSRMKHHTYLSSKSCAGTPEWMAPEVLRNEPANEKCDVYSFGVILWELTTTKIPWHGMNPMQVVGAVGFQNKRLEIPEEMDPGVAQIIRDCWQTEPHLRPSFSQLMSRLYRLRQLVARKTGSTH >RHN39182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4794436:4802084:-1 gene:gene45185 transcript:rna45185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MQTDYMERELPQIVTLMLKACVLLALVPFICNSQANSEQLDKPVSREIANKENPGFISIDCGSIIDYLQEDTGIWYKTDKGFVETGENHVTSSIINLNYLYFGKQLTTLRCFPEGDRNCYTLKPKEGKNKKYMIRAFFSYGNYDGKNQTQSFELYLGVNLWKKINFTNTDHYTFTEIIHTPSTDTINVCLVKTGPIIPCISSLELRLLNNSIYQNHQMISTNDPEPLLESQARIDVGPSPCLANATSDSDAPPILNAFEIYKLMTQLDSPTSPQDVGAIMDIKSSYQVYKLNWQGDPCLPTQYRWEGLVCKGDTIPRITSLNLSSSKLTGKINISFSYLTELEFLDLSYNELEGPLPEFLAHLPNLKVLNLTGNKLSSPIPKDLKQKADNKTLELSVAGNPDLCMTGSCKKKNIVVPLVASFSALFLIILIISLGFRIFKRQKALYIHVVPPARFNSKKRGSLKSKHHAFSYNEILNITDNFKTIIGEGGFGKVYIGILQDHTQVAVKMLSTSSKQGYKEFQSEVQLLMIVHHRNLVSLIGYCDEGEIKALIYEYMTNGNLQQYLLVENSNIINWTKRLKIAVDAAHGLDYLHNGCKPPIIHRDLKSSNILLDENLHAKIADFGLSRAFGNDNDSHISTRPAGTFGYVDPQFQRTGNTNKKNDIYSFGIILFELITGKKALIKAPDETIHILQWVIPLIKGGDIQNIIDARLQGEFNINSAWKVVEVAMSCISQIAAERPDINQILVELKECLSLEIVQRNSGSARDIIELTTLSTGPEITPSAS >RHN62908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46695482:46713703:1 gene:gene25544 transcript:rna25544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MKLKRKRALEVPQKIRCFINRVAAVPLENIQEPLKDFTWEFDKGDFHHWVDLFNHFDSFFEKYIKPRKDLQIDDNFLDSDPPFPREAVLQILRVIRIILDNCANKHFYGSYEQHLSSLLASTDPDVVEATLDTLATFLKKTIGKYSIRDASLNSKLFVLAQGWGGKEEGLGLIASAVPNRCDPIAHELGCAFHFEFYAVNESDSDIKGAEPLVEGLQIIHLSDVHKCVETDLGLLHKLVREYKVPSSLRFSLLTRLRFARAFGSFSSRQQYTCIRLYAFIVLIQVCGADDLVSFFNAEPGFINELVSLLSYEDAVLEKIRVLCLHSLTALCQDRSRQPSVLTALTSGGHRGILSSLMQKSIDFVISDTSKWSVHFVEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDINPQHLHLVEKAVRILEAFMDYSNPAAALFRDLGGLDDTISRLKIEVSHVENGGKQPDENSESSRSAHMVGGSSVWLDDMQPLYTEPLISYHRKLLMKALLRAISLGTYAPGNTTRIYGSEENVLPQCLCIIFRRSKDFGGGVFSLAATVLSDLVQKDPTCFPVLDAAGLPSAFLDAIIDDVLNSSEAITCIPQCLDALCLNSNGLQAVKDRNSLRCFVKVFTSRTYLRALTGETLASLSSGLDELMRHAASLRGPGVDMLVEILETISKIGSAIYSSSFCHDPCSSTSAPMEMDGRDKNLILADRKESSKPDDTEQIDEPSPDASTMNTESFLPDCVNNVARLLETVLQNADTCRIFVEKKGIEAILQLFTLPLMPPSVSVGQSISAAFKNFSPQHYVSLARALCSFLREHLKSTNEILDSVGGTQLTLVESAKQSKVLKYLSSLEGILALSVFLLKATTAVVSELSTSDADVLKDIGRAYKEIIWQISLCDDSKTDEKKNNDQEPVISQAPSSTVFERESEDDTNMQTVRYTNPVFGRTGSRPLWSGGRDFVSVVRSEEGLHRRTRQGIARMRGGRTARRLEALNIDSEASSCELEASSSQDLKKKSPDVLALEILNKLASTMRSFFTALVKGFTSPRRRADSGSLSTASKALGTVLATNFLEALSFSGHSTCAKLETSLSVKCRYLGKVVDDIAALTFDNRRRSCYTAMVNNFYVHGTFKELLTTYEATSQLLWTLPYTYPSSDIDLGKKGGANMSHYTWLLYTLQSYCRLLEYFVNSSLLLSPTSASQTELLVQPVAVGLSIGLFPVPRDPEVFVRMLQSQVLDVILPVWNHPMFYTCSPGFITSIISLVTHVYSGVGDVKRIRNNILGSTNQRFMPPPPDEATIATIVEMGFSRARAEEALRRVETNSVEMAMEWLFSHADDPIQEDDELAQALALSLGSSSETTKVDNVEKTIDVPIEEGHTKKPPVDDILAASVKLFQSSDSVAFQLSDLLVTLCNQNKGEDRPKVISYLLQQLKLCPLDFSTENCALGILAHVISLLLFEDGSTREVAAQNGIISTIIDILTNLKDKPEMGKELPVSKCISALLLILDQMLQSRPKTENIEAGTRTGSMPDSSGEHGSLQFTDTVAQEEKKIDGREKEPGMDFENVLGKPTGFATIDESHKLLDITCDLIKQHVPAVVMQAVLQLCARLTKTHALALKFLENGGLAALFSLPRKSFFPGYDTVVSAIVRHLLEDPQTLQSAMELEIRQTLSGNRHSGRVSPRSFLTSLAPVISRDPIVFMKATAAICQLETSGGRTIVVLSKEKEKEKSKSSSTEVTNECLRISESKSHDGSGKCLKSHKKVPVNLTQVIDQLLEIVLKYPPMEGQGDSKCDSNLMDIDEPTMKVKGKSKVEETVILKPESEKSAELVKVTFVLKLLSDILLMYGHAVGVILRRDSEMCQFRGSNQPSGNNGIIHHVLHRLLPFSVEESAGTDDWRGKLSEKASWFLVVLCGRSGEGRKRVTNELVKEMMSLSNFESNSLKSSLSPDKRLFTFVDLVYSILSKNSSSGSLPGSGYSPDIAKSLIDGGIIRCLTSILQVVDLDHPDAPKFATLVLKGLECLSRAANASERTFKSDGAEKRTSTSLNERPDDQMAAPSVFETEAHDQNVSSQEALRETIDNAHHQRTSQSDHHADNSNQSGEQDMRVEEEEVLAQNPPVVEMDFMREELGEGGVLHNPEQIEMAFHVENRVDDDMGDEDDGMGDDRDDGDDDEDDDDDGEDEDEDIAEGGGGMMSMADTDVEDHDDADTGDEYNNEMIDEDDDDFHENRVIEVRWREALDGLDHLQILGQHRTAGGLIDLSAEPFEGVNVDDLFRLQSFERRRQTGRSSFERPASEINGFQHPLFVRPPQSGDFVSMWSSGGNSASRDSETLSSGNLDVSHFYMFDAPTLPHDHTPSNLFGDHLGSVAPPPLTDYSLGMGSLHLPGRRVLGNGRWTDDGQPQGGAQAAAIAQAVEGQFLARMGTIAPASSPTIRQVHNSEKQEKQSDAALPSHDDPSLNVGADSTYQQFAGQEQENVAQQINLSVEGAPCEEEINVGSSVQDTGEGLQNNEPMSVQPLSLEIMPNGLDCTVNEINVTPRDNVAIPQEFVNSSIESGADVLTNNQNVAIVPMVCDGTSNVDRQPTNLELPGSGFETSNPSDCPASSIYASVDVDMGGVDAEGNQSEEPIVFEDRRDELLSTQNTEVAPDDTQADQTSANNEASGTSTIDPTFLEALPEDLRAEVLASQQAQSIQPPVYAPPSGEDIDPEFLAALPPEIQAEVLAQQRAQRVAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSTLLAEAQILRDRAMSHYQARSLFGSSHRLSNRRNGLGFVRRTVMDRGVGVTIDRRSALTDTLKVKEIEGEPLLNENALQALIRLLRLAQPLGKGILQRLLLNLCAHSVTRAILIYLLLDMIKPEAEGSVSRAVTLNSQRLYGCHSNTVYGRSQLWDGLPPLVFRRVLEILTYLATNHSAVAKMLFHFDQSIADSSNSSMTHMNGKGKEKVIEEGPSPKSSKTLAEAVPLVFFMKLLNKPLFLRSTAHLEQVMGLIQVVVDIAAAKLESQSQSEKAIADTQNLSVGEAEKDPPLVELDSNQLDKHDYTKSCHSNVKKNNDMYNIFLQLPQSDLRNVCSLLGREGLPDKMYKLAGEVLNKLAIIVPSHRKFFILELSESSHALTGSAVSELVTLQQTNMLGLSAGSMAGAAILRVLQALSSLISLNTSGSMDMRSDADIDQHDDKAIIWNLNTALEPLWQELSNCISAAEMQLGQSSFCPNMSNINVAENLHGSLTSPPLPPGTQRLLPFIEAFFVLCEKLQSNESIMQQDHINATAREVKESAGCSASMSGKFCGDSQRKLDGAVTFTRFAEKHRRLANAFIRQNPGLLEKSLAMMLKAPRLIDFDNKRAYFRSRIRQQHDHQLSGPLRISVRRAYILEDSYNQLRMRPTQDLKSRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKLILYEKNEVTDYELKPGGRNIRVTEETKHEYVDLVAEHLLTNAIRPQINSFLEGFNEMVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTVASNVVQWFWEVVKSFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKFQIHKAYGAPDRLPSAHTCFNQLDLPEYTSKEQLQDRLLLAIHEASEGFGFG >RHN77180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4725537:4727987:-1 gene:gene606 transcript:rna606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MNVDDTSNTWFQLDLDLGLALHDHFDDHRKRESKKKRVENPSKCIKAYQMLTLGPSKEESEENTKIQHSTSKTESCDQNLPTQFTSPSIESSFSNSTSIKKESGEEFEVEIEKVPITRIGNFDKDCNPRKKLRLTKEQSEVLEENFREHSTLNPKQKQALANKLNLQARQVEVWFQNRRARTKLKQTESDCEVLKKCCESLTEENKKLQKELQELKSMQTVAAASFYMKIPAATLTICPSCETICGGNNNGSLPSTTLLIGSKTHHHFHKNNKNDDNYQFPHSSSAAC >RHN73915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21584420:21587150:-1 gene:gene9810 transcript:rna9810 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSYLWIKHLFHHFNIIAMIILVYETIQSIVLFPVPPLNKTQLFGQLIPWSWNLTLVGTVNTIVLILFY >RHN53457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1767796:1768658:1 gene:gene28366 transcript:rna28366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MLQYLRASVTGRQATSKLVEVPKGYVAVYIGEKQKRHVIPISYLNQPSFQSLLSQAAEEFGYDHPMGGLTILCTEDVFENITSSLNGL >RHN78734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17939532:17951424:-1 gene:gene2381 transcript:rna2381 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVRKQEHLEAGKRRLEEFRKKKAEERAKKTASSGTVQNSKATQNQKQPLEVENVRVNESDGVTTSDGIGGAVTDTRISNQKNVNLFNQSSNQGSLAGASSLVRNDLNTSTTSPVEEHSDIDEAKRYNASTFITSADVSQNSEANKTNDLYGIHTSGVGGFPYGTTNPQSTFLRSQGSQEFDNSTSQSSLHGMNGSQSNKSNNSVKDYAVTDGSSPYVPSKIIRENSVDSLQKTKLTSSSTLDGGSLHGLVSGGFSDSISSMFRETIRSDSDLPSLHGATIPKYDSIGYEVRNSSNHSPINSASTESSSRKLRPSFLDSLNVARPSMGSPFYQPEQDASKFSHLESSSNDTSGSTYFHKPSEDTKSGGLFSNLTSAPVFSNNQDTPMISAKENGMEKKHDFYSSSQNEDFSTLEQHIEDLTQEKFSLQRALEASRVLAESLATENSSLTENYNHQRSVVNQLKSEMENLKQEIKSQLVELEAIKSEYTNVQLECNAADERAKLLASEVIGLEEKALRLRSNELKLEKQLEIEQAEISSYRKKMSSLEKDRRDLQSTIDALQEEKKMLLSKVRKGSGFGKPENKTSKRDGSTSTEDLVSEDPASNSSNTEINDNAAVRDAEPSSLSVVPETTHSSFGVSPVNIPHDQMRVIENINALISELALEKEELMKSLAFESSESSRMKEINKELSRKLEVQTQRLELLTTQNMVNGNIENISTKQPDSRVTYENIPYADEGDEVVERVLGWIMKLFPGGPSKRRTSKLL >RHN67447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27319101:27321511:-1 gene:gene15636 transcript:rna15636 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRKERRRAAHSNAGRRVKLDLFAEPSGELGGSPIHGDAGGDANSQQHDGLPNSPSSSGGFCQLSSKGLYLEDMSAQH >RHN77254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5451442:5453259:-1 gene:gene692 transcript:rna692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MALWHSLSQAIQLLIACHLYYTNIMIQHHIIFEKKKKMNWWLAKASGTGKITEFKEAPGSFQNVALIIGVTGIVGNSLAEILPLDDTPGGPWKVYGVARRPQPTWNADKYVHYIQCDVSDQKDVELKLSPLTDVTHIFYVSWTSMPTEAQNCEVNGSMLRNVLRALIPNTLNLCHVSLQTGTKHYLGSFETIGKIKAHESPFTEDVPRLVTPNFYYTQEDILLEEVGKKKGTTWFINRPHPIFGFSPYSMMNVIGTLCVYAAICKHEGLPLRFPGSKGAWECYSTASDANLIAEQHIWGAADPNAKNEAFNCSNGDVFKWKHLWKVLAERFEIDDYGFEEGSELRLSDLMKDKGGVWEEIVRENELLYTKLEEVGDWWFADFSLRLEGVLDSMNKAKEHGFIGFRNSKNSFISWIDKTKAYKIVP >RHN57387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38610663:38611088:1 gene:gene32926 transcript:rna32926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MGSCFVLLSYFTFHLFLLLLFTHFTSYTFSLCNYHDSFALLQFKNLLLVNGISSQHDIWPSCSSFSLKTDSWKNNTDCCEWYGVMCDTVLDHVIGLDLRCNNLKGELHLNSTIFKLKHLQRLNWLLMIFSGLRCMMILVIW >RHN45826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26054020:26054282:-1 gene:gene40245 transcript:rna40245 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRLKRCCLCVYYTHICASFSLFQLSGTSLGISLQFFVFIPTQFRPPNLINYHLCLLKGLIKEN >RHN38887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2636409:2641194:-1 gene:gene44862 transcript:rna44862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxyisourate hydrolase, 2-oxo-4-hydroxy-4-carboxy-5-ureidoimidazoline decarboxylase MVKADANISTKTYIDRSSSKFQNPNFAIEENRLLVCVKKMEVGVGFDENDYLSCCGSTKFAKEMAAASPFPSYHRALTVAKHIWFNIVDVNGWLQAFSAHPSIGQPRPPSHASATSAEWSIGEQSTALATSTASSLQELAEWNARYMQKFGFVFLVCASGRSTESLLAELKRRYANKPIVEFEIAAQEQMKITELRLGKLFTSKKNISSTTDTNSIAVAQSQRAEEVRVSIIGGHVTAAASNTLTGKSIQNTSRTRPAITTHVLDVSRGYPAPGIEVLLEVWRGSQAGPTFGITDGGGWVVLGSSTTDSDGRSGQLMDIVDDVDPGIYRLTFNTGKYNPTGFFPYATIVFEILESQKREHFHVPLLLSPFSFSTYRGS >RHN67596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28476096:28476518:-1 gene:gene15799 transcript:rna15799 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSLIMPQFIPQSRLVNNMSDINQSRSCHTSQPMQKSSVGGNSEQSQLMHVSGISGNSQQSESRPINTSTSSIDVPSSMSLLNSETTNFSKEVESSLLCLGTPYQFGDFQPIMGLSELNNNSYRNQVNHLYLYPLCLK >RHN76196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48462378:48465497:1 gene:gene12483 transcript:rna12483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CR4L family MGFSRKQFSTYLLNTILFEVVVFSWLWSKVTGLGSMSSIAVSYGDKGSAFCGLKSDGSHTVTCYGMNSAIVYGTPSQFPFLGLTSGDGFVCGLLMSSNQPYCWGSSSHIEMGVPQPMFKDAQYLEISAGDYHVCGLRKPLTGRHRNFSFVDCWGYNMTKNYVFDGQIQSISAGSEFNCGLFSQNRTVFCWGNEVSTQVIRLIPQRMRFQKVSCGGYHVCGILEGVNSRTVCWGRSLGLEQEISLIPNQGQGGNVELAPNDPMLSVVGGKFHACGIKSYDHVVICWGLNLKTSTKVPKGIKVFDIAAGDYFTCGILAAKSLESICWGVGFPTSLPLAVSPRTRKCLSAPCPPSYYEIEKDQQNGLICQDPNSHLCVPCSGVCPDEMYQKSGCNLKSDILCEYNCSVCSSPECFSNCSSSSSNAANGGKKNERFWSMQLIVIVGEIVFAVFIVSAVSITAVMYVRYKLRDCECSTRPLNSMKRLNVSSSVQKDNGKVRPDAEEIKIRRAQKFSYEELENATCGFKEESIVGKGSFSCVFKGVLKDGTVVAVKRAIMSPNMQKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEYMAHGSLHQHLHGKNKELKEQLDWIRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVSDFGLSLLGPTDSSSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQYEEGNIVQWSVPLIKSGDIASILDPCLKPPSDIEALRRIANVACKCVRMRGKDRPSMDKVTTSLERALAMLMGSPCIDQPILPTEVVLGSNRMHKKTSQRSSNRSASEIDVVEGEDQRFEFRAPSWITFPSVTSSQRRKSSGSEGEVEVKIVEGRNYGNVVGGGGDVLRSLEEEIGPASPQERLFLQHNF >RHN79229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26257501:26259448:-1 gene:gene2977 transcript:rna2977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MALNSSSSKDFKFTLKDHELLRFLYNKIHNKSLPNYITILEYDLFGTLKNPWDIWEEFGASCSYCEKDLYFFTTTNSKVVRSIGIGAWEGEEDTGKIIVAKNKNQLIGVKKCFRFENSGTYHDGEWILHEYSLDKSLIRNSLANNYVLCRFRKNIVAQDKERKTIVPGHAETTISGNKNLLTKYIIYKEPRNESNGGHNSTNMVNTKSHYKEEEKDVRNIWPRETTILGLEAAKKFESIDQNPIAQPDNEDDDDGECIVDKEDDDECIEDKEEDDDDDGMTWPEIFAKELIEMNEGCLLEEEEVQMIPNKFHEELLLDNTNIK >RHN63354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50617480:50618406:-1 gene:gene26044 transcript:rna26044 gene_biotype:protein_coding transcript_biotype:protein_coding MENQLNKELNLLPPPRSDSSLLRFRSSSKTDHRAPSLDLQLSISVGPPSMLIHDGVETLKWEAAEQIRLAAMEKAYAERVRELTRREMEMAQSEFARARQMWERAREEVERAERIKERATRQVDSACMEITCHSCRQRFRPASV >RHN55910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25362282:25366851:1 gene:gene31195 transcript:rna31195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MKGEKKSLLQDLIENSGGCVVTDGGFATQLEKHGAFINDPLWSAICLIKQPHLIKKVHMEYLEAGADILVTSSYQATIPGFLSKGLSIEEGESLLQRSVKLAVEARDSFWSSAKRNPGNKYRRALVAASIGSYGAYLADGSEYRGLYGPDVSLVKLKDFHRRRLQVLVEAGPDLLAFETIPNKLEAQACVELLEEINVQIPSWICFTSVDGENAPSGESFQYCLEVINKSNKVEAVGINCAPPHFMESLIPKFKQLTNKAIVVYPNSGEVWDGIAKKWLPSKCFHDDDFGFYATRWRELGAKIIGGCCRTTPSTIQIISNALRENI >RHN52869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39742497:39743213:-1 gene:gene37600 transcript:rna37600 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKFILVVGICLVLGMSHNAYGRNLKNEKEDVKKPEWFFDTPSDGYGGGEGGGGGGYGGGGLGGGSGGGYGGGGGSGLGGGYGGGGGSGLGGGYGGGGLGGGYGGGGISKGIVGGIGGYGGGISKGIGGGIGGFAYKGIGGGVGGIGGGVIGGVGGFIGGHKNVVEANKP >RHN76371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49717395:49718610:1 gene:gene12684 transcript:rna12684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Myosin heavy-chain] kinase transcription factor WD40-like family MKHKRLITSMAINTAEDIIYTASLDKTVKVWRISDLKCIETIKAHPDPINDMIVSDDGVLYTASDDATIKVWRRNFCSHDQPHSLTVTLHAKYSPVKALTLTNNDGGILYGGCTDGYIHYWLKGWFAGQLQYGGSIQGHTHAVLCLASVAKYVVSGSADSTSRVWSRERDGQHVCLAVLAGHRGPIRSVTAFLGGCLVKEDDNEDSCTVCTGSLDGVLKLWRVTLTKNAPDNNQCLSQVGNKYFELYKGFQQ >RHN60113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20633255:20633527:-1 gene:gene22337 transcript:rna22337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase Pif1 MLLQENGRSLTDFKSMPRPNAADMPTFTNKLIVDELSYNKDELEKTHADMLLMLTNEQRCMHDKIMESVGSDDGGFFSLYGYGGMGKTFI >RHN55173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15751132:15751527:1 gene:gene30310 transcript:rna30310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M10A, metallopeptidase, catalytic domain-containing protein MTNVFRNAFTRWSQTTRVLNFSETTSYDDANIKIGFYNINYIDGVDDVVVGDTVIKLGSNVNSGFIRLIASKYWVLPTDNYMWSWQNGEFDLETAAMHQIGHLLGLDHSFDKEYVMYPAILPLQQQRKLQI >RHN68660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37546303:37547514:1 gene:gene17040 transcript:rna17040 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVDRIMLRFRPIAPKPCTVSDVDAFSKPDGSRKNRAKDNTVNKRCNRRRKTVPSPTVVTLPLLPETPDPKVPLLPSSAKNTNKPVCMSFENHGHTTTSEKVDLTAVGLGGSVVTVECVTDTWQHGDEGWEWLMKSRDDEERKVKLEKDACPGFISDGYGRVTWRNSAYREMVGEGQVWLVRVPDSESGFTCRVRVQYAYGKERRVPCDAWRLDCGGFAWRLDVTAALALSCFPF >RHN48216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45848017:45850935:1 gene:gene42906 transcript:rna42906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ALOG domain-containing protein MDSIQEFIGTCNNENLTCNFMNNNNNNTISTTTTSLTTTTTTASGSSSSSAASTIINSPNSSSRYENQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPETNPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPPPQQQQQVPPPAQGASATH >RHN65096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64146808:64147916:1 gene:gene27993 transcript:rna27993 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTRLPEFCSAANLLCLPEVAQSLEKHEGDENFKAYSDKNFTNYGTSLPGGHDSFKNYSDGDYSDGEFKQCTTEANVPNEVFTSYSDNANGRTQSFNSYTENGNGGDQSFTSYGKNGNGHTEEFTNYGTSSNTVGSDFSNYAETSNSGNDSFKGYSVDMNNPTNTFSNYANGGNGAIQTFSTYREDANVGADSFTSYAKTANAAKIGFDNYGKSFNGGTDTFTSYAKTSSGETKVDFKGYGVDNTFKEYSKEGVSFAKYTNASLSLNASMEMKKSTVSGSLVKTSVEPGKFFRENMLKEVVVMPIRMALNKEKEGVNSQLPP >RHN65961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7044658:7048325:1 gene:gene13868 transcript:rna13868 gene_biotype:protein_coding transcript_biotype:protein_coding MSISHMSTLMLAIFAYIYDFSPYDSMILNMVSERYPREEDDDASAFDSDIDEDEESDDAASASAIPETSSSHDRIVFGSFESPITPVSTPVIEPEFDGFSIKIGEISCALVTPCCNMVNENNYVFVDSQEDEKLEILEPELTNCDSDFFISDAPEVLVTMFPVRLCRAVYAVSLFALWLFVEKQSEQPVSAINNQLRFLQLHDESIINFSIPAEELVHVFCSLFTQFSDKSNSVCGGYLGIEGGIS >RHN53749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3835814:3837654:-1 gene:gene28692 transcript:rna28692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S30 MGKVHGSLARAGKVRGQTPKVAKQDKKKQPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >RHN60780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30334884:30341354:-1 gene:gene23151 transcript:rna23151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQILISVHALSVFIFPFLVVIIRDKPAPIPCKFHADCPIMLSIVVECINNVYVAHSNGQGASSSTALQFGITLKYKSSHKKDQIIANKNIPLKTRSTFRNGHLMMGLLSVIEPTSINDALKYDGWVVAILDEPNRF >RHN79685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31234534:31235113:-1 gene:gene3509 transcript:rna3509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MKFVEYRLKPETMEMCKRNKEARKKQIFNHTCSAMTFARKRHILILEAGKPVGRGPMWDMTHKRADGKYVNEEAQKIGVN >RHN58977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6357017:6357235:1 gene:gene20951 transcript:rna20951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKFKAVHVLNFSNNAFSGEIPSTIASLEQIESLDLSNNSLVGEIPVQLASMSFLSYLKHLRLKVMMDYMVLH >RHN52778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38694718:38699502:1 gene:gene37484 transcript:rna37484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAMQSSSSSSSISYVFKYQVFLSFRGSDTRYGFTGNLYKALTDKGIHTFIDDSELQRGDEITPSLDNAIEESRIFIPVFSANYASSSFCLDELVHIIHLYKQNGRLVLPVFFGVDPSHVRHHRGSYGEALAKHEERFQHNTDHMERLQKWKIALTQAANLSGDHRSPGYEYKLTGKIAFNQTPDLSSDCSQRYEYDFIGDIVKYISNKINRVPLHVANYPVGFKFRIQQVKLLLDKETNKGVHMVGLYGIGGLGKSTLARAIYNFIGDQFDGLCFLHDVRENSAKNNLKHLQEKLLLKTIGLEIKLDHVSEGIPIIKERLCRKKILLILDDVDNMNQLHALAGGLDWFGRGSRVIITTRDKHLLSSHGIKSTHAVEGLNGTEALELLRWMAFKSDKVPSGYEDILNRAVAYSSGLPLVIEVVGSNLFGKSIEKWKSTLDGYDKIPNKEIQKILKVSYDALEEEEQSVFLDIACCFKGCGWADVKDILHAHYGHCITHHLEVLAEKSLIDRWEYDGCVGLHDLIEDMGKEVVRQESPKEPGERSRLWCQDDIVHALNENTGTSKIEMIYMNFHSMESVIDQKGMAFKKMTKLKTLIIENGHFSNGLKYLPNSLRVLKWKGCLLESLSSSILSKKFQNMKVLTLDDCEYLTHIPDVSGLSNIEKFSFKFCRNLITIDDSIGHQNKLEFISAIGCSKLKRFPPLGLASLKELELSFCVSLNSFPELLCKMTNIKRILFVNTSIGELPSSFQNLSELNDISIERCGMLRFPKHNDKINSIVFSNVTQLSLQNCNLSDECLPILLKWFVNVKRLDLSHNFNFNILPECLNECHLMKIFEFDCCKSLEEIRGIPPNLEELSAYKCESLSSSSRRMLTSQKLHEAGGTEFYFPNGTDGIPNWFEHQIRGQSTITFWFRKKIPSITYILLSPEHWLGYPKVNIFVNGYEIEIDITLKFGISDHTLLFDLKLEEHNKRLRRIYGIKYESEMDKALLENEWIRVELELKSFNLSEEEKNEMLRSAQMGIHVLKEKNNAEEENVIFTDPYRETKSQKKRKQNMFSILMEYNEL >RHN48015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44317593:44326522:1 gene:gene42683 transcript:rna42683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MKMDIKEDVEGGKQKGIREPLIGEQNNQLVHANKDHHHPWMVYFTTFIAVCGSYEFGACAGYSSPTQEAIRKDLSLSLAEYSLFGSILTFGAMIGAITSGPIADFVGRKGAMRVSSAFCIAGWLVIYFSEGPVPLDIGRLATGYGMGVFSFVVPVFVAEIAPKELRGALTTLNQFMIVTAVSVSFIIGTVLSWRALAIIGLIPTAVLLLGLFFIPESPRWLAKRGHAKDFVAALQILRGKDADISEEAGEIQDYITSLEQLAKPKVLDLFQRRYLRSLTIGVGLMVCQQLGGINGVCFYTSSIFDLAGFPSATGSIIYAILQIVITGVGAALIDRAGRKPLLLVSGSGLVAGCIFTAVAFYLKVHDVAVGAVPALAVTGILVYIGSFSIGMGAIPWVVMSEIFPVNIKGQAGSIATLVNWFGAWLCSYTFNFLMSWSSYGTFVLYAAINALAILFIAVVVPETKGKSLEQLQAAINA >RHN63626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52775797:52779344:-1 gene:gene26351 transcript:rna26351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MVTDKLTRSIQGPILQSLSIPTISELLSKQHWSELKPHLRVTKPATFLDQLLNAGVDSELVLRFFKWSQKEYRLSYGLEPTSKVLHFLANSKRYSKVRSFLDSFVKNEKHTVSSVFHSLLLDGGRPGATALIIDMLVLAYVKNLELHCAYEAFTRAKDYGFKLSLTSCNPLLSALVKENKIGDVEYVYKEMIKRRIHTNLNTFNIFINGLCRAGKLNKAEDAIEDMKAWGISPNVVTYNTLVDGYCKRGSAGKMYKAEAFMKEMLANKICPNEVTFNTLIDGFCKDENVAAAKKAFEEMQKQGLKPNIVTYNSLINGLCNNGKLEEAIDLWDKMVGLGLKPNIVTYNALINGFCKKKMMKEATKVFDDVSKQELVPNVITFNTMIDAYCKEGMMEEGFSLCSSMLDEGILPNVSTYNCLIAGLCRKQDLQAAKELLNEMENKGLKGDVVTYNILIDGLCKNDKSRNAEKLLNEMFNLGLKPNHVTYNTLMDGYCMEGKLKAALNVRTRMEKERKQPNVVTYNVLIKGYCKINKLEAANGLLNEMLEKGLNPNRTTYDIVRLEMLEKGFSPDIEGHLYNISSMS >RHN46939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35904356:35905037:-1 gene:gene41477 transcript:rna41477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I12, Bowman-Birk MIGFSQSKEIRDIKQKDIMSLMNKNAMIMLLFLLSLMNNHANSTTTRCCNDVLWYISPGIPFSCQCVDIAETCESTCKKCTCKQPKQCSCDDYNEYCIQC >RHN57827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41993605:41995837:-1 gene:gene33416 transcript:rna33416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Rcd1-like family MLAQLEEFSFVSSRTQVQISTYNCKLTNSVIFINTIFFHCFKFESILQRCLLIYIHLYQVMLFFQEIISIYPTLSSENLTPTQSTRMCDVLALLMCVASHPTTKMSFLNANMHLYLYPFLQTTSELTQYEWLRLGSLGVIGALVKVNTKEVMSFLLPNEIIPLCLGCMENDKEPSKSVGTFIIQKMLLDNAGLAYVCDTAEQFFTVARVFDMMLSSLKNQHSPRLLKLIIRCYSRLSEHSRARDALTSCLPNMLKDFNFINCLYVSTSCIY >RHN42170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36077867:36080316:-1 gene:gene48578 transcript:rna48578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxygen-evolving enhancer protein 1 MAASLQAAATLMQPTKLRSNSLQLKSTQSVSKAFGLEHYGAKVTCSLQSDFKELTHKFVEASKIAGFALATSALVVSGASAEGAPKRLTFDEIQSKTYLEVKGTGTANQCPTIDGGVDSFSFKPGKYNAKKFCLEPTSFTVKAEGVTKNTPLAFQNTKLMTRLTYTLDEIEGPFEVSSDGSVKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPESFSGEYLVPSYRGSSFLDPKGRGASTGYDNAVALPAGGRGDEEELGKENNKSAASSKGKITLSVTQTKPETGEVIGVFESIQPSDTDLGAKAPKDVKIQGVWYAQLES >RHN39393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6776581:6776839:-1 gene:gene45413 transcript:rna45413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MAVDIFQLDLTSQPREFSRNSVKIEFWRLRDAQGKPPGVIGWWPSRSHWNFEELIGFV >RHN40802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21396474:21397283:-1 gene:gene47016 transcript:rna47016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MFDIVLKALEATEEANLWAEKETNGHIKNLLPPESFNSLTNLIFANALYFKGVWEEEFDTSDTRDYDFHILNGSPVKVPFMTSYVMQYISVLDGFKVLRLPYTQGEDKHRFSIYFFLPDAKDGLLALIKKVASESKFLEQKLPRELVEVGDFRIPKFKISFGLECSDMLKELGVVLPFSEGALTKMVDSRIAQNLYVSNIFHKSFIEVNEEGTEAYGESYMGLSLCDSPRDHTPPPINFVADHPFLFLIREDLSGTILFIGQVLNPLDK >RHN67504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27768786:27771410:-1 gene:gene15698 transcript:rna15698 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSMSLISIGLGFSQIPKTKVGVCGRFNPRSSARLRIQAVQENGGSRRLVDIIRLVPDLSKNYFRRPSRRTLFGGIALLGGFYVAQTISLSFGALAVNDVIAAVVCVLLTEYVTKFYYSRPKITFPVALLNNFKMGFTYGLFIDAFKLAS >RHN48735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49820259:49824206:1 gene:gene43483 transcript:rna43483 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVFISSIKITSTKLRHFNIIDCGWANKSPSELAIDALNLSSFEYSAHTTRIISIMAPRLLKVFWNCVVREEAPHLFINPIARLSHIENLSMAVHPSLIKELTKVLVRFQSLRQLEFIIMGEYDPSWDYFWILDIAMASEHLQKLSLTIQNLHPEHSHMVGFKRQKREYAFFHNDLKYVEFRGCVCSTNVIELASHLLRSANSLRKMTFNSRDKVYIGAGRWFRDTTDRDRYYIDASRWINFCGGGCWIEENVIHEMLKDEVNEQCHLIIL >RHN38772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1896520:1897578:1 gene:gene44738 transcript:rna44738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-heme dioxygenase domain, isopenicillin N synthase MGTIDATMPNLDSVMNERKAFDETKSGVKGLVDGGLKRIPEIFHCQPDKYEKANNTSHVIPVIDLVDIDNKDPSIYQGIVGKIKEACETLGFFQVVNHGIPLSVLEELKDGVKRFYEQDTEVKKDFYTRDMNRSFIYNSNYDIYSPPALNWRDTFACYLAPPDTLKPEEIPVVCRDILLEYGKHMTNLGTLLF >RHN73465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16687607:16689886:1 gene:gene9280 transcript:rna9280 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSDEEMESLLSTFNQIYEDVKIGISEIQSLQSKHSSELKLRESLQITCNNLKKENEELAKLYSESLKNVADQLDFRAKYMNLKEELERSNNEVVSKESGHRRALKLLEEEYNEKIARLEAQVKESLHEKASYEATISQLHGDIAAHKNHMQILANRLDQVHFEVESKYSSEIRDLKDCLMAEQEEKNDLNRKMQLLEKELLLFKAKMVDQQQEMTSNWQVETLKQKIMKLRKENEVLKRKFSHIEEGK >RHN40710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19882014:19882559:-1 gene:gene46904 transcript:rna46904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRRKIAIARVRDPVIRQVTFSKRRTGLFKKANELAILCGAEIAIVVFSPGNKPYSFGHPSVDDVASKFLEEELNLDDGIGSSSSEVSNREDLNQQLDDVLAELKEAENEARAHDEILEEYKATELTQLEELKDSYGKFKEMVKSRLSDLETTESMLLLAEKPVVGTKNKVARKKGKQPML >RHN53504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2131601:2137551:-1 gene:gene28416 transcript:rna28416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynamin central domain, dynamin, GTPase domain, GTPase effector domain, Dynamin superfamily MENLISLVNKIQRACTALGDHGEAATSLPTLWDSLPSIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLQKIDEGNREYAEFLHLPRKRFTDFGDVRKEIQDETDRETGRTRQISTVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVQDIENMVHSYIEKPNCIILAITPANQDLATSDAIKISREVDPTGERTIGVLTKIDLMDKGTDAVEMLEGRAYRLKYPWIGVVNRSQADINKNVDMIAARRREREYFSNTPEYKHLAHRMGSEHLAKMLSKHLEAVIKSKIPGIQSLISKTIADLETELSRLGKPIAADEGGKLYAIMEICRTFDQIFKEHLDGVRPGGDKIYNVFDNQLPAALKRLQFDKQLSMENIRKLITEADGYQPHLIAPEQGYRRLIESSLTSIRGPAEAAVDAVHSLLKDLVHKAISQTVELKQYPGLRVEVTNAASDSLERMREESKKSTLQLVDMECGYLTVDYFRKLPQDVDKGGNPTHSIFDRYNDSYLRRIGSTVLSYVNMVCASLRHSIPKSIVHCQVREAKRSLLDHFFIEIGKYESKRLSSLLNEDPAVMERRTALAKRLELYRSAQAEIDSAAWSK >RHN72993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12418633:12419198:-1 gene:gene8762 transcript:rna8762 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSMIRKGVVMMLIVTHVECNSPSKNLGQLSSKDSQEHGVYLSVTCHVLKT >RHN73846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20600233:20601776:-1 gene:gene9728 transcript:rna9728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 3-O-glucosyltransferase MTKFEVVFISTPTIGNMVPTVEFANHLTKHYPQLSPTILIITIPKLPLVNTYLQSRPSSAANLRFIHLPTIDPPTPDQYQSLIAYISLLIQKHKNNIKNTLLNLTKTNSLVALFVDMFSTTIIDVANEIFVPCYLFFASPASFLGFTLHIPRLKSVELENEFEIPTFQNLLPKLVLPDLVLDTKTEEDGYSWVSYHARRYRETKGIVVNTLQELEPYALQSLYKDLQLPPVYPIGPVLDLVGPVQWDPNPVQYNYIMEWLDLQSVGSVVFLCFGSLGSLKVKQVQEIAIGLERVGIRFLWALRGPPKAQLADPRDYASYENVLPDGFLERTVGMGIVCGWVPQAKVLAHKAVGGFVSHCGWNSILESLWFGVPIATWPVYAEQQMNAFQMVRELGLAVEIRLDYRVGGDLVLAEEVKNGVSTLMNNSDEIRRKVKEMSEKCKVSLMENGSSYTNLMSLIQELTK >RHN63184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49175456:49177088:1 gene:gene25858 transcript:rna25858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MELSFKHQLGLVCIILLLPQLCTSQDSFTDSRATYYGSPDCYGTPRGACGFGEYGRTVNDGNVAGVSKLWKNGTGCGACYQVRCKIPQYCDENGATVVVTDYGEGDRTDFIMSPRGYAKLGRNADASAELFKYGVVDIEYKRVPCMYSGYNIVAQVHERSKNPDYFAVVVLYVDGMYDVNAVEMWQEDCQEWRPMRRAYGVVFDYANPPSGEINLRFQVSGNEGVYWVQSKNAIPSDWKAGAAYDTMVQLN >RHN68785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38592666:38593568:1 gene:gene17183 transcript:rna17183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbonic anhydrase MVFACSDSRVSPSIILNFQHGEAFMVRNIANMVPTFNQLRYSGSWCNP >RHN71061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56179288:56183332:-1 gene:gene19704 transcript:rna19704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative V-type ATPase, V0 complex, 116kDa subunit family MDLLRSEPMQLVQLIIPIESAQRSISYLGDLGLFQFKDVKRCGEMSRKLRLFKEQMKKANISPSTWSTRDGSIDLEKLEVKLAELEAELIEINSNNEKLQHTYNELIEYKLVLEKVGEFFSSAQISAATRQRELEVQQPSVEGSIDCPLLMEQETTAYPVKQIKLGFITGLVTREKSIPFERILFRATRGNVFLKQAVVEHHVLDPLSGEKVHKNVFIIFYSGERVKSKINKICDAFGANRYPFSDDLSKQFEMMTEVSERVVELKTTIDVGLLHRSNLLQTIGYKFEQWNLLLQKEKSIYHILNMLNINVTKKCLLAEGWCPVFATSQIQKTLMRATMDCNSQVEAIVQVLQTNELPPTYFCTNIFTSSFQEIIDAYGIAKYQEANPGVYTIITFPFLFAVMFGDWGHGICLLLATLYFIINEKKFYCQKLGDILEMVFGGRYIIMMMALFSIYTGLIYNEFFSIPFELFGQSAYGCRDPTCRDATTIGLVKVRDTYPFGVDPKWHGTRSELPFLNSLKMKMSILLGVSQMNLGIILSYYNAKYFENNINIWHQFVPQIIFLNSLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPTDDLGENQLFVGQKLLQVILLLLALVSVPWMLLPKPFLLKKQHQERHKSQSYSLIYNVDDPLESKSHGIHKNHQEFDFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALR >RHN61188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33739674:33743862:1 gene:gene23626 transcript:rna23626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arginine decarboxylase MTSNIVESWNSVFKGTRNLPVTPIVQSTYYRLACLFADRAQKAFARVGSGDLFSEYCQNAIKDDIAKSNTHHVEQFDREKYTFSVRETVNYREGRPMGTFKVDLRAGWCDCGKFQALHLPCSHGIATCSSFRHDYTTIIPAVLKNESVYSIYNTTFKVVQDKSYWLPYDGPVLCHNPNMRTLKKGRPNSTCIRTEMDEEVVERTPTPRQCGLCRHIGHIRRNCPSINNRSTALQEYEQEKSIGTVNTASITNNTVLFSNSPVLQQSGLPPLVSALKASAEENAASFHFPGHNRGHAAPSSLTQLIGVRPYAHDLSSIPELDNLFCPQGPILEAQREASKLFGSSETWFLVNGTTCGVQAAIMATCSPGEYLILPRNSHLSAISAMVLSGASPKYIIPDYKNDWDIAGGVTPLQVLNAIQELELEGKKAAAVFITSPTYHGVCSNLSDISALCHSRKIPLIVDEAHGAHLGLHSELPSSALQQGADLTVQSTHKVLSSLTQSSMLHMSGDIVDKEKISRCLQTLQSTSPSYLLLASLDAARAQLSESPAIVFKQAIELANEAKFLLKRIPGVSVLENSSFPNFPAFDPFRLTVGFWELGLSGYQANEILCRDFGIVRELVGYKSITYVLNLGTCRDHVQRLLSGAKYLAAVYSSIQQRKDKVLTDHAPFDDIIMSLTPRDAFFASKRKVMVKESIGKVSGELVCPYPPGIPVLIPGEVITEKAVDYLLHVRSEGADISGASDPLLSSIVVCNV >RHN38520.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:568489:569027:1 gene:gene50636 transcript:rna50636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative importin-beta domain-containing protein MAYVVDQDQQWLLSCLSATLDPNHEIRSFAEASLHQASHQSGFGTALSKVIANKDIAVGLRQISFSIVNNNKSVILFVFINTKI >RHN53555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2492122:2497717:1 gene:gene28474 transcript:rna28474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGNRISSKHKPSSDSQTRSKSEPSHSTPTSFHPPNRTPKQSTHTPTPSSSPLGRVLGKPMEDVRSTYTFGRELGRGQFGVTYLVTHKLTKEQFACKSIATRKLIRRDDLDDIRREVQIMHHLTGHRNIVELKGAYEDRHSVNLIMELCAGGELFDRIISKGHYSERAAAELCRQIVTVVHNCHTMGVMHRDLKPENFLFLSKHENSPLKATDFGLSVFFKPEDVFKDLVGSAYYVAPEVLRRSYGPEADIWSAGIILYILLSGVPPFWAENEQGIFDAILRGHLDFASDPWPKISSIAKDLIKKMLRADPKERISAVEVLDHSWMKEDGASDKPLDIAVLTRMKQFRAMNKLKKVALKVIAENLSEEEIIGLKEMFKSMDTDNSGTITFEELKAGLPKLGTKISESEVRQLMEAADVDGNGTIDYIEFITATMHLNRMEREDHLYKAFEYFDNDKSGYITKEELESALTKYNMGDEKTIKEIIDEVDSDNDGRINYEEFVAMMRKGNPDLITNKRRK >RHN82339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52944595:52947917:1 gene:gene6499 transcript:rna6499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MEKGLLEKDREGAGSRSITWGVFFQEVKDVCFLALPMISVTLSQYFLQIISMMMVGHLGKLSLSSTAIAISLCVVSGFSLLFGMSCALETQCGQAYGAKQYRKFGVQVYTAIISLIIACVPLSLLWLNLGKLLSLLGQDPLISQEAGKFAMCMIPALFAYATLQALVRYFLMQSLVLPLVISSSVTLGFHVAFCWLLVFKSGLGSLGAAFSIGTSYWLNVIILGLYMKFSADCEKTRFTISMESFDGIGEFFRYAIPSAGMICFEWWSFELLVFLSGLLPNPQLETSVLSICLSIISTLYTIPEATGSAASARVSNALGAGCPHAARLSVYAAMAIAVSEAILVSSIIFASRRVLGYIFSNEQDVVDYVTDMAPLISLSVIVDSLHGTLSGIARGCGWQKLGAYVNLGAYYVFGIPIAVILGFWFELRGKGLWIGIIVGASCQAVLLSLITSFTNWEKQAIKARERIFQESFAVQRID >RHN43154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43619660:43620696:1 gene:gene49685 transcript:rna49685 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGVALQARAYGRKKSSEYREMIKYYVTRTVGENNYKVLMKLKEEE >RHN78749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18024135:18030001:-1 gene:gene2397 transcript:rna2397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat domain-containing protein MAGIDVAKFGHSPVHKAVILKDYGELKRILGGLPKLCNVGEIRTEAVSILEEEKADAISAVIDRRDVPNRDTPLHLAVKLGDEAAAEMLMVVGADWSLQNEQGWSALQEAICSREERIAKIIIKHYQPLAWAKWCRRLPRLVATMRRMRDFYMEINFNFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSVLFLGDGSEDGKVQPGSLCMISHKEKEVLNALDDAAFAANDEEVQQEVAAMSKTNIFRPGIDVTQAVLLPQLTWRRQEKTEMVGSWKAKVYDMHNVVVSIKSRGVPGAMTDDELFSSCNGNETESEELNDILTEDERRQLEDALKLDSSDLNNDSDEGIIAHRPSFRDIPIEDANGSNSGENKLEKKGWFGGWRKKDYKHEASSKKITPPRSSLCVEEKVSDLLGDSPSSYQIKPGRHSVEVVARGDEQRRKKDAKASSVNSDSSRSRHKDGSRENEYKKGLRPILWLSPNFPLKIEELLPLLDIVANKVKAIRRLRELLTTKLPMGTFPVKVAIPVVPTIRVLVTFTKFEELQPLDEFATPPTSPSMSGEESPAATNFSASSWFQWIKAPYRPSSSAAGSSSSRIENIPDPFAIPPDYTWVTAEEKKKKMQEKNKSKKGKSHKQ >RHN45859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26353328:26360696:1 gene:gene40281 transcript:rna40281 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MNSLISLQRFILFLNLLPLFFTFSNCHIPNTLEGPFLPVTVPFDTSLRGDTEDLPDDDPRVRRQVTGFQPEQISLSLSTTHHSVWLSWITGEYQIGNNIKPLDPKTVSSVVRYGTSRLELVNEAKGESLVYSQLYPFEGLQNYTSGIIHHVRLTGLEPSTLYYYQCGDPSLQAMSDIYHFRTMPITGPQSYPGRVAIVGDLGLTYNTTTTISHMTSNEPDLILLIGDVTYANLYLTNGTGSNCYSCSFPQTPIHETYQPRWDYWGRFMQNLVSRVPIMVVEGNHEIEKQARNQTFVAYSSRFAFPSEESGSSSTFYYSFNAGGIHFIMLGAYIDYEKTGQQYKWLESDLANVDRSLTPWLVATWHPPWYSSYKAHYREAECMRIEVEELLYSYGVDIVFNGHVHAYERSNRVYNYSLDPCGPVYITVGDGGNREKMSIPFADEPDNCPDPSTTPDPYMGGFCATNFTFGPAAGKFCWDRQPDYSAFRESSFGYGIFEVKNETWALWTWYRNQDSYKEVGDQIYIVRQPDICPFRQRVYSNCVASF >RHN79414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28609465:28614345:1 gene:gene3203 transcript:rna3203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAGASTGSFPIYHRLFNLSFTTHSSISHITLNFPHRTTTTTTISSKPFNLISQLFNPLHPPPSFSFYEEEQQFFESESESESESESETETETLLNSDLNQEAESTQSRRLFVGNLPFSLSSSQLAQLFGEAGNVVSVEILYDDITNRSRGFAFVTMGNVEDAEEAIRMFDGTTVGGRAIKVNFPEVPIVGKRVQMGSTYRGYVDSPHKIYAGNLGWDMTSQYLRKAFAKQPGLLSAKVVYERNNGKSRGYGFVSFETAEDVEVALSAMNGVEVQGRPLRLKLAVDNRKPSSLDQNKGNNVDSLEMLFGISK >RHN49768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:986584:986879:-1 gene:gene33976 transcript:rna33976 gene_biotype:protein_coding transcript_biotype:protein_coding MQRELSPSSRYLFSFFSFSVSYPISVSCLISLPLNLCLLLLRGNILHDGTFSLFTTQTSRSCYDDTFLSCTK >RHN51681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22663346:22667370:-1 gene:gene36175 transcript:rna36175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MGETRAALQMLRKIEGKLINTNVVMHNTIIDSLCKDKLVLDAYGLYSEMIAKKIYPDVVTFSSLIYGFCIVGQFKDAFRLFHEMVLKNINRDVYTFNILVDALCKEGDVKVAKNLLAVMMKEGVIPDVVTYNSIMDGYCLVNEVNKAKHVFNIISQMGVAPDAHTYSIMINGFCKIKMVDEALSLFDEMRRRGIAPNTVTYNSLIDGLCKSGRIPYAWELVDTMHNNGQPADIFTYNSLIDALCKNHHIDQGIQPDMYTYNILIDGLCKGGRLKNAQDIFQDLLIKGYSLHTQ >RHN42033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34952006:34952447:-1 gene:gene48420 transcript:rna48420 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLSVSLVLCTGKPAAFRDTLPLSCSLVYVFYFHSISSSICVLFAYYQKCYSLYPPVLNDV >RHN52359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34298377:34304424:1 gene:gene37024 transcript:rna37024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAMQSPSSSSSFSYGFTYQVFLSFRGTDTRYGFTGNLYKALIDKGIHTFIDDNDLQRGDEITPSLIKAIEESRIFIPVFSINYASSKFCLDELVHIIHCYKTKGRLVLPIFFGVDPTNVRHHTCSYGEALAEHEKRFQNDKDNMERLERWKVALSQAANLSGYHDSPPRYEYKLIGEIVKYISNKINRQPLHVANYPVGLHSRVQEVKSLLDEGPDDGVHMVGIYGIGGLGKSALARAIYNFVADQFEGLCFLHDVRENSAQNNLKHLQEKLLLKTTGLKIKLDHVCEGIPIIKERLCRNKILLILDDVDDMEQLHALAGGPDWFGHGSRVIITTRDKHLLTSHDIERTYAVEGLYGTEALELLRWMAFKNNKVPSSYEDILNRAVSYASGLPLVLEIVGSNLFGKSIKEWKGTLDGYEKIPNKKIHEILKVSYDALEEEQQSVFLDIACCFKGCGWEEFEDILHVHYGHCITHHLGVLAEKSLIKISTCYHSGSIDVVRVHDLIKDMGKEVVRQESPKDPEKRSRLWRHEDIVHVIKENIGTSKIEMINMNFHSMESVIDQKGKAFKKMTKLRTLIIENGHFSEGLKYLPSSLIVLKWKGCLSESLSSSILSKNFQNMKVLTLDDNEYLTHIPDLSGLQNLEKFSFKYCENLITIDNSIGHLNKLERLSAFGCSKLERFPPLGLASLKELNLCCCDSLKSFPKLLCEMTNIDCIWLNYTPIGELLSSFQNLSELDELSVRECGMLNDKMYSIMFSNVTELSLKDCNLSDEYLQIVLKWCVNVEELELSNNNFKILPECLSECHHLKHLDLSYCTSLEEIRGIPPNLKELSAEGCKSLSSSSRRMLMSQQLHEAQWTYFVFPNGTEGIPDWFEHQSKGPTISFWFRKEIPSITCIFILPEGKNGVADSGVNYFVNGYEIELDGSFYQFSYVYLKQTSLFHTLKWNELSGRQYDYDIMDKVLSKNEWIHVELKLDDSSLNRLSEEEMNKILRSAQIGIHVLMEKSNTEENVVFTNPYIRKTKSDEYLNASLSLSQEKMKRKKFSIRINLRRKIWVLLKFRARFRKQKEHRS >RHN50456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7269023:7272995:1 gene:gene34739 transcript:rna34739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-acylglycerol O-acyltransferase MKRLPGVDNELQKKLDAKMDEVPARRQAREAFKDIQLGIDHILFKTPCDGLEMKESYEVNSKGIEIFWKSWLPKESKPKAAVFFCHGYGDTCTFFFEGIARKLASCGYGVFAMDYPGFGLSEGLHGYITSFDQLVDDVIEQYSKIKENPEFKSLPCFLFGESMGGAVALKTHFKQPKAWNGAILCAPMCKISEKMVPPKLVVKMLIAMSNVLPKNKMVPTNDIGDAAFKDPKKREQTHYNVISYKDKPRLRTAVELLKTTEEIEQKLEEVTLPLFILHGEADTVTDPSVSKALYEKASSSDKKLELYKDAQHALIEGESDETITQILGDIISWLDEHSLKHNIETS >RHN48433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47443836:47448436:1 gene:gene43148 transcript:rna43148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MAEARGTTWWSEELASLMENSLPESSTTTSFEDVRKSSSSEEVEVGESLKEHAVGFLMAWCEILMELGRGCRDILQQNFFNEDSYLVQKLRGPCSKLSKRLSFLNDFLPEDRDPLLAWSIVFTVFLLAFAAISVDSNHQTSTKAAMVRMHPPIASRILLPDGRYMAYQDQGVPPGRARFSLVAPHSFLSSRLAGIPGVKASLLEDYGVRLVTYDLPGFGESDPHPSRNFNSSAMDMLHLVDAVNVTDKFWVLCHSSGCIHAWASLKYIPERIAGAAMLAPMVSPYESHMTKDEMKRTWEKWLPRRKYMYSLAYRFPKLLSFFYRKSFLPEKHERIDKQFSLSLGKKDEILVDEPAFEEYWQRDLEESVRQGNLKPFIEEALLQVSRWDFNIEELHVHKKCQTGGLLLWLKSMYGQAECELAGYLGRIHIWQGLDDRMVPPSMTEYIERVLPEAVIHKLPNEGHFSYFFFCDECHKQIFSTLFGTPQGPFERQEETMLEKNTEDALVSVSDIE >RHN70119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48903996:48906910:1 gene:gene18671 transcript:rna18671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MVSLQEKLKKFVGHRWVVFVCAMWDMSFAGTAYMFGSISPVIKSSMGYNQKQVAFLSVAKDLGDNVGLLAGFISKAWPVWAVILVGVLQNVVGYGLVWLVVTHRLPSLPLWTLCFFILVGQNGSTYYNTAALVSCVQSFPENRGPVVGILKGFVGLSGAIWTQIIAMINLPDQASLIFIIAVGPAMVSLTFMFIIRPVHTFNQSRPSDESGFMFIYSICLLLAAYLMGVLLLENMFDLDQNIITSFAVILIVFILLPIIVPIILVFFSKPKSADEEQLLQPSIVAATTPMHNEINDNVISKHVTFEDAKPQKNGPHRGEDFTLTQAMVNADFWIMFVSIVLGCGSGLTMINNMGQICQSLGDNNVNIYVSVISISNFLGRVGGGYFSEVIVRKFGYPRLVALAMIQAVMSLGLSYYTIGLVGQVYVIAITMGFGYGSHWSIALAATSEVFGLKNFGTLYNFLTIASPVGSLLVSGLASTIYDYYAEQQAKHRIQIYGASTKLAMPYYGTGNNNELLLCEGNICYSLTCGILAVVCLVAAGLSLIIVQRTKRFYSQLYGNGKSLS >RHN49326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54049127:54051798:1 gene:gene44144 transcript:rna44144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VI family MVDNAILCSNKNKLDWTRRYRIIVGIADGLLYLHENCQRRIIHRDIKAENVLLTENFEPQICDFGLAKWLPEQCSHHNVSKSEGTFGYFAPEYLMHGIVDEKTDVYSFGVLLLEIITGRRALDHLHQSLVLSAKPLLDANNIKDLVDPSLGDDYDQEQMDRVVLTASLCVEISPILRPRMSQVSILLRGDDFFQEFANECPRQSLQRAYSEDY >RHN70305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50432688:50434095:-1 gene:gene18872 transcript:rna18872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MQTKTSSKTDLFLHFQNMSIPSLNIVSSCFIKPYPTIKDSNQICYLTHWDIAMLSTHYNQKGLIFKKPTSSSLNQQHFIENLVEKLKHSLSLTLFHFYPLSGRLVTKKSEDPDSYTVFVDCKNSPGAKFIHATLDITINDILTPIDVPPIVHSFFDHDKAVNHDGHTMSLLSIQVTELVDGLIGISSTHFLRYFKMMFLLLPISHQPIHKRWFPQGYGPIINLPFKHHHEFVHRYETPILRERIFHFSVESIAKLKAKANAESNTNKISSFQSLSALVWRSITHARQLQHGQRTTCKMATNNRTRMEPPLPKEYFGNSLYVVSAETTVGELLENDLGWAAWKIHVAIANHDDKVVRRSVEKWFRSPFLYRMDLFFDPYTVMISGSPRFNTYGNEFGMGKALAVRSGYANKFDGKVISYPGQEGGGSIDLEVCLSPKKMMVLETNEEFMNSVCV >RHN45694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24550852:24567375:-1 gene:gene40077 transcript:rna40077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MEKMEILSSLRITKTVLAILSLVLLSNIRVQAQGILLLPSEKSSQRDLSTSQIGEGDTTNAHDSILKFVNSSNFPNRVSFPRGFLFGAGTSAAQIEGGSHEGGRGLGIFDELFSGEDKFATKIEHYKRYKEDVQHLKNLGVNSYRMSICWNRVIPDGTLKGGINKEGINFYNNLINELLNNGIEPFVTILHLDYPLALQKKFGGFLNHSIVKHFKDYSELLFKTFGDRVKHWATLNEPEVQVVYESVDNVGNWSMESCQTTKVCTEIYTELHILLIAHATASKLYKSKFQAIQKGEIGITISSESYVPYSSKLEDVDAAQRLTDFTWGWVLEPLFHGDYPQIMRKLVGKRLPKFTKNEKEMLKGSIDFIGINYYSSHYVRHEPNRTKVTGGYFDALANLEDINAEGKTLGYWDQYGGTYVYPEGLYNFLLYLNKKYKNSKIYINENGIPSIKIPNPLNDEHRTAFIAAHINATKSAIDDGVNVRGYFAWAAFDTFDFYDGYSHNMGLYHVDFNDCLKRIPTNTAKWYKKYLTHDLDQ >RHN69451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43522601:43525115:-1 gene:gene17927 transcript:rna17927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA N-acyltransferase MFDKNKIIISQNSKYNKTLHILSIYFFYKCPEKYIINLSLVKISYYCLRFFGELRKMANIVGSGSPMIVWNEGTKRFETEDKKAYLEYVLRDNGKVLDLVHTFVPPSKRGLGLASHLTLAAFNHATSHSLSVIPTCSYISETFLPKNPSWNSVVYKESGQSHI >RHN41110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27056657:27056971:1 gene:gene47399 transcript:rna47399 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKGSPKPNSSSPSPRRYLKPGALAKLRDSKKIKASRKLQNQINLLDLSQLSPTSSPSSPPQQNLDNVVPCFNPTINRPRCLARKKLFAVTPVFTEHTDTYMV >RHN56417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30723182:30728046:1 gene:gene31814 transcript:rna31814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MNKNNLEDIVLGKPAPLVSTFRLRYHSILNLMSRADGQFSAEHLISNSFHQFQYEKTLPEMKKRVSMLEQKLALLDAAEKAEVSEYHKLKLKLAELQRKMSKKIRPDNILPFLCPGRLIKVRERGTDWGWGVVVDVVQEPVDDYIVDTLLHCSPGSNENSLQLKPCPPFPGEKGEMHVVPVQLTLIYALSQVKISLPHDIRPLKARQDILLGVQEICDRFPQGLPTINPAQDNVLKDSEIVELVKEMENLEKKLLDHPMHKIQDVEKNNITHFQRKADLNHEIQQLKEKMQYSQLQKFREELKNRSQVLKELGHIDADSVVQLKGKAACLIDMDDVLLVTELLFNGTFNHLDHHQVTALASCFMPIDKSSKKIQPTSLLERPLQQLQDSARRIAEIECKYRLRVNVNKYVKSTERPVIMDAIHSWSKGSSFADVTQMTDIFEGSIITAARRLVGFLNQLRAGAEAVGENDLAKKFTAASESIRRGIIFTDSLYL >RHN72940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12017360:12019057:1 gene:gene8707 transcript:rna8707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MKLSLVFPPILGLLFLSCLASATNSHQNTFIHCLVNHSQPSHPITSSIFTPNNSSFSSVLNDYVRNLRFNTSTTRKPYLIITALHVSHIQASIICAKQHNLQMKIRSGGHDYEGVSYVAEVPFFILDMFNLRSIQVDVENETAWVQTGAQLGELYYRINEKSKVHGFPAGVCPTVGVGGHLSGGGYGNMMRKYGLSVDNIIDAQIIDVNGRLLDRKSMGEDLFWAIKGGGGASFGVVLSYKIKLVKVPKIVTVFQIRKTLDQNASDIVYNWQHVAPTIDNDLFIRLIIDVVNVTQNATNNGAKTIRATFISLFLGDSKTLVSLMNEKFPQLGLKESDCIETSWLQSVLFWTNIDITTPVEILLNRKPQSLVNYLKRKSDYVKEPISSKGLEGIWKKMIELEDAILYFNPYGGKMAEISSTDTPFPHRAGNLWKVQYQANWNKAGKDVADHYIGLTRKLHRYMTPFVSKNPREAFFNYKDLDLGINHNGKNSYAEGRVYGVEYFKDNFDRLVEIKTKVDPDNFFRNEQSIPTLPHRKN >RHN73036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12907793:12908366:-1 gene:gene8811 transcript:rna8811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MDQSIMEYRTLEIKMISAKDVKDVTPFFQKLKVFAYVSIKGDPLNPQTEVTDADGYNKRNPEWNSSLKFTFKESLANQDRLFLKIHLGAKLNFPNKLIGTVNIPLKELFDNPAGHQLSYQVRKINSEKSRGTLNLSYKLGDRPPPPKTMKEPVKAPVYRL >RHN43031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42702706:42703839:-1 gene:gene49551 transcript:rna49551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MTKALAFLLLLLQLTSFSAFAEDTSDTFNDIFDFDADDDALNAFSKEFETLHKPSHAHSLNHQSPASSPHHHFHSPSPAPSRHHQSLPPSLHQQPHAPSPHHHSPSPAPSLPHQSPAASPHHQFPAPSPHHHLLPLLATNLPLRPLTTNLPLPPLTTNLPLYLQNPQPITTLPLTRHRHCVPLLSLEVSWLFEVLFMSNLATIVVLTPSRKLHRFLVCFLALYLNNINSYICTFISLSFIFYVVVIFCKIIYIICFLLNSIYIICLSFFIGMSNLCIFCFEIEGPVVKLQCNNTKYKLDETDKDGHFSLVGPKIITIYTAKQCDVVLVSAPHGLKPSNLHNGITGVILRPKRRFVSKGVPFILFGTQPLAFEPSCPH >RHN47848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43004983:43006203:1 gene:gene42494 transcript:rna42494 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKLAKGIEDSKKKERLTEEEEKLNGNIKEIKQKKSAVQENYKKTQEMIDKHWDVLEEAKSEHDKMKKSVDELRASEVDADFKLKDMKKAYKELEIKGKSYKKRLDELQFAISKHLEQIQVDLVDQEKLQATLAEEHLNAACDLKKTCETVALLEAQLKEMNPNLDSIAEYRKKVSLHSERVEELNAVTRERDDIKKQYDELRKKRCADWMSLWKDLLCFALQFTVLLTCYISNKLNIFGLHDF >RHN50396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6779671:6780494:-1 gene:gene34674 transcript:rna34674 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQSLLLMLIMACSLLVAHELPRLLESIPTIADTDMLYNVTISGDGNYVPQPNANNLEIDMTMGKPEEFSNYFPDILSCIDFSDFFSECQSQMLDILAHDINNKRRKTESGCDVSIQHKDVIIIDDDDDADEEDIQDITVFSQEGADDLERHAMTDKTNQEVPMEIDIEANDNMHACIREVPIPIDIEHKQDGIEFNQEGTDADQVNDAVSSIDMFIHDQITEHITSLKKPNED >RHN43894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49299358:49303158:1 gene:gene50529 transcript:rna50529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MHGGIMLRIYNNLRVRRVCTINFGHTSTSTRRSESVTNNNKPRVKDPDILKWNKAISTHMRNGHCDSALHVFNTMPRRSSVSYNAMISGYLRNSKFNLARNLFDQMPERDLFSWNVMLTGYVRNCRLGDARRLFDLMPEKDVVSWNSLLSGYAQNGYVDEAREVFDNMPEKNSISWNGLLAAYVHNGRIEEACLLFESKSDWDLISWNCLMGGFVRKKKLGDARWLFDKMPVRDAISWNTMISGYAQGGGLSQARRLFDESPTRDVFTWTAMVSGYVQNGMLDEAKTFFDEMPEKNEVSYNAMIAGYVQTKKMDIARELFESMPCRNISSWNTMITGYGQIGDIAQARKFFDMMPQRDCVSWAAIIAGYAQSGHYEEALNMFVEIKQDGESLNRATFGCALSTCADIAALELGKQIHGQAVKMGYGTGCFVGNALLAMYFKCGSIDEANDTFEGIEEKDVVSWNTMLAGYARHGFGRQALTVFESMKTAGVKPDEITMVGVLSACSHTGLLDRGTEYFYSMTKDYGVIPTSKHYTCMIDLLGRAGRLEEAQDLIRNMPFQPGAASWGALLGASRIHGNTELGEKAAEMVFKMEPQNSGMYVLLSNLYAASGRWVDADKMRSKMRDIGVQKVPGYSWVEVQNKIHTFSVGDCSHPEKERIYAYLEELDLKMREEGYVSLTKLVLHDVEEEEKEHMLKYHSEKLAVAFGILTIPGGRPIRVMKNLRVCEDCHSAIKHISKIVGRLIILRDSHRFHHFNEGFCSCGDYW >RHN68491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35941589:35941822:-1 gene:gene16853 transcript:rna16853 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCFPTGLLSLSPSPVWISDSFPLLLRFDLCDRCLSPPSTMADSRLELQKQRFNDDDYARISENREKIQEKTVEFG >RHN47743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42152780:42175007:1 gene:gene42378 transcript:rna42378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate synthase (ferredoxin) MALNTVSSVSLSQVLRLSDTIGNRHLFVDFAPFRRNTKRCNRRLTPAILRRSSVKAVLQLDNNHLNPAPPPSSPSTSDSKPKVANLEDILSERGACGVGFIANLENKGSFEIVKDALNALSCMEHRGGCGADNDSGDGSGLMTAVPWDLFDNWANEQGLASFDKLHTGVGMVFLPKDVELMNKAKKVIVNTFQQEGLEVLGWRPVPVNTSVVGYYAKETMPNIQQVFVKIGKEENTEDIERELYICRKLIEKEVSSESWGNELYFCSLSNRTIVYKGMLRSEVLGLFYSDLQNDLYKSSFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMQSREPSLKSPVWRGRENEIRPFGNPKASDSANLDSAAELLIRSGRTPEESMMILVPEAYKNHPTLTIKYPEAIDFYDYYKGQMEAWDGPALLLFSDGKTVGACLDRNGLRPARYWRTSDNMVYVASEVGVVPVDESKVISKGRLGPGMMITVDLLGGQVYENMEVKKRVALSNPYGNWIKENLRSLKSENFLSSSVMENDAVLRHQQAFGYSSEDVQMVIESMASQGKEPTFCMGDDIPLAALSQKPHMLFDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILEIGPENASQVILSSPVLNEGELESLLKDSHLKPQVLHTFFDITKGIDGSLEKALNKLCDAADEAVRNGSQLLVLSDRSEALEPTHPAIPILLAVGTVHQHLIQNGLRMSASIVADTSQCFSTHQFACLIGYGASAVCPYLALETCRQWRLSNKTVNLMKNGKMPTVSIEQAQKNYCKAVKAGLLKILSKMGISLLSSYCGAQIFEIYGLGKEVVDLAFSGSVSKIGGLTFDELARETLSFWVKAFSEDTAKRLENFGFIVFRPGGEYHANNPEMSKLLHKAVRQKSQNAFSVYQQYLANRPVNVIRDLLEFKSDRAPIPVGKVEPALSIVKRFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWKPLTDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLANADQLEIKIAQGAKPGEGGQLPGKKVSMYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQVNPKAKVSVKLVAEAGIGTVASGVAKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLTESHQTLVENGLRERVILRVDGGFRSGVDVMMAAIMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNLFLYVAEEVRGTLAQLGYEKLDDIIGRTELLRPRDVSLVKTQHLDLSYILSNVGLPKLSSTEIRNQEPHTNGPVLDDVLLADPKIADAIENEKAVSKTIKIYNVDRSACGRIAGVIAKKYGDTGFAGQLNITFTGSAGQSFGCFLTPGMNIRLVGEANDYVGKGIAGGELVVTPVDKIGFQPEDAAIVGNTCLYGATGGQVFVRGKAGERFAVRNSLAEAVVEGAGDHCCEYMTGGCVVILGNVGRNVAAGMTGGLAYILDEDNTLIPKINREIVKIQRVTAPVGQIQLKKLIEAHVEKTGSNKGEAILKDWDKYLSLFWQLVPPSEEDTPEANAKYDITATEQVTLQSA >RHN79396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28441771:28442307:-1 gene:gene3182 transcript:rna3182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MVCFSEVTAASNAVRQMQNFPFYVKPMRIQYTKTKSDCVSKEEGSFVPREKKKKQEEKAEKKWYADESKQSAVPNGTHGASNGGSTQASFCPGSGAQEAAAPNNILFIENLPYETTGRMLEMLFEQYPGFKEVRLIEAKPGIAFVDFEDEGQSSMAMQALQGFKITPQNPMIINFAKK >RHN63587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52461389:52462725:1 gene:gene26306 transcript:rna26306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MGFEETFNSRGDVYVGIFKDGLPDGNGKYTWSDGSIYEGDFVDGKVAGKGRLLVQPSASKYEGDFSGNFRHGNGTLTLKDGTIYIGNWKKDTPDGRGIFTWAIGDVFIGSWSNGLVHGFGVFTSLDGDVYAGNWKTGKLDGRGNLNWANDDHFNGCLSNGLRHGFGVYTFVNGDVYIGNWKNDKMDGRGTMSWTNGDVFEGCWSNGLIHGSGVFKFANGDVDIGNFRSKLLYDNGTYTCSNETMYKGCICSNEKVIEKGLMIWSLQNPNGGCIYHNCSSGVIPLIRGGSSLGQAGAMVTPKFSIYIRLGIVLMLVFSWCSGNEVWFSYGGRVIDPTIPFFFIFLLYFFYFVKKKPLHRFEPAPPTLQSSKINHWTS >RHN48256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46128888:46130025:-1 gene:gene42954 transcript:rna42954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family MVQVFVAALRKWNLNVPNDPKELHEVSIEEYNTSKGRYRINHSSSLRFLDKAAVKTDQTSPWMLCTVTQIEETKQMTKMIPILIASCIPSTIFAQTNTLFVKQGTTLDRRMGAHFKVPPASLIAFVHIFMVISIVIYDFVFVPIIRRYTESKRNYNATKTRNWRCVSRHCNGCFVSYREEETQCCKRTQSFRQARYNPSFYFHSCPSFRFDGDC >RHN67221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25022205:25023034:-1 gene:gene15381 transcript:rna15381 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVDVMDELILENTNDNAISILEPVQSDNVNVESRFTRPNLATLEHMETIASIAHNDLETNDQKVDFGIPFTTYISKSQKNNT >RHN58148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44083689:44088270:-1 gene:gene33760 transcript:rna33760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MIVPQSQLIRYDSAVGSSRETSAAPAILPSIQNGIPMLLILQSAGGSSQDTSAAPASLPSAQNGMPMLLILPAVGSSHQTSAVPASLPSAHNGSWQLQIYGNETKIMLPNQTKVIKAFRCEVCEIEVNSQVSLENHIAGKKHKKNLQRQTNPTVASHANVQTDTSSIQGQALIGPVPEQSEPKKQVDSIQGQALIGPVAEQSEPKKQVDSVKNVQTDTSSIHGQALIGPVAEQSEPKKQVDSVNNVQTDTSGIQGQELIGPVAEHSEPKKQVDSFKNVQTDTSSIQGQALIGPVAEQSEPKKQVDSVKNVQTDTSGIQGQALIGPVAEHSEPKKQVDSVKVCSTCNVVCVGQDTYNKHVAGRKHAAKVALKSNDGIGPSIAELKRKGDAPIEKAAKKIKVAESVWCEFCKINCNSRDSYTAHISGKKHLRNLEKLSNPKVGVGSGATPTTTATITIIETQEKPDSDNLKAKQVPELDIEAEKRKAVERGAAVNDIKMCTLCNVVCNSQANLNTHLSDHNHAAMVKKAGLITG >RHN47882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43266293:43269887:-1 gene:gene42531 transcript:rna42531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative remorin MLNNNLNTSTSHISEENQEDEQITEIREIHALTPPRLPPPPPPTNRGSHSHRSSSLSMASTDSENFTTISREFNALVLAGSTVDHNNISPHEHETANNNNNNNSNNLRRIREDDHMMEETNPLAIVVDNSPFDPIPSPTSRRNMASGSSRASGQGGSEEHVSVDRVKKEEVDAKISAWQNAKVAKINNRFKRDDAVINGWESEQVQKATSWMKKVERKLEEKRARALEKTQNKIAKARRKAEERKASAEAKRGTKVARVLEIANLMRAVGRPPAKKSFF >RHN61540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36259808:36261896:1 gene:gene24013 transcript:rna24013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative uracil-DNA glycosylase MVTGRIKLPDMLVEQSWLEALPDEFQKSYALALCMFVGNEYFAFKDSVYPPPHLIFDAFNTTPFHSVKAVILGQEPYSGPGQAMGLSFSVPRGVQFPSTLKNVLKEVKNDLDCKVLRHGNLEKWALQGVLLLNAVLTGRINENNANAHANIGWEQFTDAVIKTISEKKEGLVFLLWGEYAQEKIRLIDQTKHHILKAEHPSGATVKGGFFGCKHFSKTNQYLEQNGIGPIDWKF >RHN81900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49652831:49655807:1 gene:gene5997 transcript:rna5997 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDWYWTGRRRSSKKTEAAETDIPSGCMCAVFQAFDFHPFQLPINQQQSSFNSSSPIHSLPKGAEAPRNSLECEDGTFSSISKQDSFKIPKNIRIKTKGGSFSDLSNDLCSPGTKTPTLVARLMGLDLLPDANSPSSSSSSSFSTPKRQSHYQNRPKQDIKIMKHRHSTDSVIKTPTLSSERTSYVEHRLSLQINKENMNLGEDLETPRSSFSKRKFFDENNCRSPSHYARQIVKQVKESMSRKVGMDITNTVKNREQEKVKEDFVAQIKFKKALKPLDESNQGKVPSTNTSHSPRLSRFNDNNHKHSPTTTPRDQNTHQVLKQSSPPPAVNIEAQVSRVSTKPQTQAMSEKEDKKSFPKCKKTAHGNLSPRINRNKPPQKSSSIRNKQEESFITTRASDIKTNSKRTHPISNNNVPNLLHLKTHLSLPAQKQVNDDVQEAKNMSQLFSTSCHKYTLATRGTTNDESKSNGSSAAGAENEGSEYQYITTILSRSGIHRATVTNLQDFQWFSSTHPLDPSIFHRLELYPTAKDSNFTQKNELGPRCNRRLLFDLVDEVLSEILIRPKCYHGLLLDTVWKRVGSFPRAKCEVLEDIDGLIEMKEVMDKVKEEEEEGEKLVAEIEGKVLDMLVNETLTVMVGGT >RHN46696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34144348:34153527:-1 gene:gene41215 transcript:rna41215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipid-translocating ATPase MSSDQPLLSEPDLVPPVIHHRHRRSGSREAVESPPTSNHREVVLAKDCSFHSAFNNNSNSSSISTAPASITEFQTPDSQFPLECPTREQGRLRSWGAMELHDVNTVPFEISTTPSAAPTPSSRRIRHKSVQFDDQILHEDSARLIYINDPKKTNDKYEFTGNEIRTSRYTFITFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLLVTAIKDGYEDWRRHRSDNNENNRESLVLQSGDFRSKVWKKIEAGEVVKIFADETIPADMVLLGTSDPSGLAYIQTMNLDGESNLKTRYAKQETASAVSSEVCDVSGIIRCEQPNRNIYEFTANMEFNGIKFSLSQSNIVLRGCQLKNTDWIIGVVVYAGQETKAMLNSAASPSKRSRLEGYMNRETLWLSIFLCIMCLVVAIGMCLWLVRHKNQLDTLPYYRKTYLNNGPDKGKKYKYYGIPMEAFFSFLSSVIVFQIMIPISLYITMELVRLGQSYFMIEDLDMYCTSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTENKMEFRRASVDGKNYGSTLLTADNSSASTDVIPKQRWKLKSEIAVDPKLMNMLHKNSNEDERIVAHEFFLTLAACNTVIPILNDGGFSGCGTSELNEYAECIDYQGESPDEQALVSAASAYGYTLFERTSGHIVIDINGEKLRLDVLGLHEFDSVRKRMSVVIRFPDNVVKVLVKGADTSMFSILANGSESNNSLLHATQSHLCEYSSQGLRTLVVASRSLSDAELVEWQNRYGEASTALTDRASKLRQTAALIECNLNLLGATGIEDKLQEGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLSADMQQIVINGTSEEECRNLLGDAIAKYGVRSSCRGNQNLRNKTNAEHGELDISNGSKSMSLPKWNPGNEEGTDIPLALIIDGNSLVYILEKELESELFDLAISCKVVLCCRVAPLQKAGIVDLIKSRTDDMTLAIGDGANDVSMIQMADVGVGICGLEGRQAVMASDFAMGQFQFLKRLLLVHGHWNYQRVGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSVLYTSVPTIFVGILDKDLSHRTLLQYPKLYSTGYRQEAYNMQLFWITMIDTVWQSLVLFYMPLFTYKDSSIDIWSMGSLWTIAVVILVNAHLAMDINRWVLVTHIAVWGSVVITYGCMVILDSIPIFPFYWTIYHLASSPTYWITILLIIIVALLPRFTCKVVYQIFWPSDIQIAREAELMRKRHDRFESRHQASS >RHN57141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36810630:36816242:-1 gene:gene32642 transcript:rna32642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MISPQDWPEPIIRVQSLSESCKDSIPQRYIKPLSDRPSINSILETINNIPIIDLRGLYSTNPHEKASTFKQISEACKEWGFFQIVNHGVSHDLMDLAKETWRQFFHLPMEVKQLYANSPKTYEGYGSRLGVKKGAILDWSDYYYLHYLPLSLKDYNKWPSLPPSCREVFDEYGIELVKLCERLMKVLSINLGLEENFLQNAFGGEDIGACIRVNYFPKCPQPELTLGLSSHSDPGGMTLLLPDDQIHGLQVRKGDNWITVKPVRNAFIVNIGDQIQVLSNAIYRSVEHRVIANSHKERVSLAFFYNPKSDIPIEPAKELVKPDKPALYPAMTFDEYRLFIRMRGPCGKSQVESLKSPR >RHN61120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33141053:33145914:-1 gene:gene23551 transcript:rna23551 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYLYNCCFSHAINGLIWFNAIICLLDNSLKFLLYLFIMGKVGLILSLSVSYPLCGSSLSLDPP >RHN75539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43127330:43132947:-1 gene:gene11762 transcript:rna11762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MANQNPLPLYDKFLLKYTFSRVMDSFTLLFLLLLLGYRILYINNYPFPWLVAFLCESWFTFIWVVTMSTKWTPAYTITHLDRLLLREHELPALDLFVTTADPGLEPPIITVNTVLSLLALDYPANKLACYVSDDGCSPLTFYALVEASKFAKLWVPFCKKYNVQVRAPFRYFCDENAVSNIEESPEFYQEWLRMKEEYGYLKSKIENASQNPLPLVGEFAIFSSTNHKNHSTIIKVIWENKENLLDALPHIIYISREKKLDHPHQYKAGAMNVLTRVSGMMTNAPFILNLDCDMHVNNPKIALHALCILLDSKGEKEVAFVQCPQQFYDGLKDDPFGNQLVALFVYLGGGFGGLQGMLYAGTNCFHRRKVIYGLSPDHDDIQNRKKGDDVVNEMEVVFGTSKRFIESATHALEGKTFTRIDNLCNTLETAKKVASCTYEYGTAWGKQVGWIYGSTSEDVLTGLDIHTRGWRSEMCSPDPLAFMGCSPQDNIVSMIQQKRWASGLFDILLSKHNPFLGFLYGKLQFREALGYFWILSWALRSVPEICYAALPAYCILTNSNFLPEKLWIHAALFVTYNISTISESLKTGLSIRTWWNNQKMMRITTMSAWFFGFLAILLKLLRISEPVFEITQKIDQSSNNGRFSFNESPIFLPSTTILFVQLTALATSLFGWATRVGSGLGYGPGEVFCSAYLVACYLPFFKGLFGTGKHGIPLSIIFKSVMLAFLFVYSCKLTITY >RHN45165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12546614:12554393:1 gene:gene39383 transcript:rna39383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Iwr1 MAESSSSPAPLPAPSKPVVVRVKRKPSHSPLDAFWLEINERPFKRPFFDFGNLSISDSSSSSQQVEIHNKKVLVQHVETISSSEVTLDIVQSFVEPASRSASESKSKVEERKNFFRNVNKQDQLFFKAKQEKESSAKDARFEQIWKSRKVNKGTADENALQEICQFYDIVRVDSEEKVNQVQQEDMSLEDQKLLSSFLPLLREVIPNAAAEIEDDISAHSKQEYIEDYVYDLYTVTDETIVEEDSSHSYPLVQVEEEDYFDGLDNSDYETDDSNAEDNPMNDYPDEISEDEEGSECDSEESKHSGSSKELSDDDEDVDDELRCFAKGVTSDVDDPLYDEDFDDYEGQGVGDDNDEEEDVGNEDWRWSYR >RHN74675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35680510:35685036:-1 gene:gene10782 transcript:rna10782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative caffeoyl-CoA O-methyltransferase MVIIGMTNSSINLVFHHGLTLPHEHVTSLVRPCARFNLNYATTWGVTSSSKAQRLKEYKQLVRNSSISCEIVIASDEKYGNKQVVSLTPRLYDYVLENVREPEILRQLRAETASMHGSQMQVSPDQAQLLAMLVQILGAERCIEVGVYTGYSSLAIALVLPESGRLVACERDAKSLDVAKKYYQLAGVAHKVDVKLGLAADSLESLIMNGEAGSYDFAFIDAEKRMTEKYFEMLLQLVRIGGLIVIDNVLWHGKVADPLVSDPKTISIRNFNQRLMEDKRVSISMVPIGDGMTICRKR >RHN47103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37158047:37159579:-1 gene:gene41659 transcript:rna41659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MLVQDQQLLDFSFPEDFPNDYLASDESILLENSIHSKDIGQHHVLEAEQKEISHAAGAKTLQDGYNWRKYGQKQVKGSEYPRSYYKCNQSNCQVRKKVERSHDGNIREIIYSGNHNHAKPNSSRRGSVPSSDEMSENAEANETRGNIQSRGKDAKHNPEWKPDGQERTSQPSDVTGLSDPMKRARSQGMFESDDAQEHSSALDNHDGDKDGATPENNSDADSESKRRYCILSDTVFMLALAIQIERQSIYVVGLIFCCRKKESYPVETMLPRRAVRAPRVIVQSESDIDVLDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHNIKYVLTTYEGKHNHEVPAARNNNHSSSSANVLHRRAVIPSSETRQV >RHN55514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19126080:19128657:-1 gene:gene30707 transcript:rna30707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative remorin MENLWTQMRVQLTGTEENKSDLGATRDRKIPIQKNQSFKEKKKGQNWLQKQLSSKTGRDYDYIEMVHAAAVAAAALSINLQETFEQKSETPEASSAKVKSNMDSTKSSKSLLSSASKRLSGSFRSKDDQGDKVSTSSVIEEKKPEKAITPAPSMKKTSTFTGKKTNSTVPNAPPPPPPPPPPIRKTSEKPGPLRQTTTGSNNGETNADDEWERTELEKIKQRYEKLKVLIDSWEDKKRMKAKRKLMKQESEIERRRLKALEKFQNKMKYVNLVADGARAKAEESRKNEELQAKGKGSTIRTTCKLPRMYFCF >RHN73326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15417871:15426709:1 gene:gene9127 transcript:rna9127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription repressor PLATZ family MVRDMMMGTSTYNSISSSSSRGDMNMDMDMDMMNMKPAWLERLMDETFFGDCGVHKNRRKNEKNIFCLHCCLSICPHCLSSHTSHPLLQVRRYVYHNVIRLDDLEKLIDCSNIQPYTINSAKVIFLNQRPQSKSCKVTTNACFTCDRILQDPFHFCSLSCKVDFMVYEGQSLSNILHRFDESDLGISQFEGLRMDGSEVIDEDNNHIGPSSSNYSITHQEEATSNKITKKNKSNRFLPGIVLSLGSRRKGAPQRAPLS >RHN70320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50633422:50635872:-1 gene:gene18890 transcript:rna18890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MSSFFLLVFLIIQTWPMKLEAQKNIFILAGQSNMAGRGGVVNDTTTGVTTWDGVVPLQCQPNPSIMKLNANLKWVEAHEPLHEDIDTLKTNGVGPGMAFAKHVLEKNSGLGLVGLVPCAIGGTNISEWERGKVLYNHMMKRVKASLRDDGNIRALLWFQGETDTVSLTDAQSYQARVHKFFLDVRDDLQSPLLPIIQVALASGSGPYIEIVRQAQLGIDLLNLKTVDAKGLPLQPDRLHLSTPAQVDLGKIMADAFLQFVPSSSSNPDPYHNVSPIRNEATSSIAFSNCISHIYLVALFITFLTMTSLTLL >RHN44422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4483059:4484564:1 gene:gene38541 transcript:rna38541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MSDGLQARNIEDIWQWHKRLGHPSFSYLKRLFPSLFSRCDISDFKCETCVMAKSHRVSFPINNSRADAPFSIIHSDVWGPSPFPTNNGMRWFVTFVDDCTRMTWLYFLKHKSDLFSVFQVFHKMITTQFNTPIKIVRSDNGGEYHNNKLTTFMKSVGILHQTSCPNTPQQNGVAERKNRHLLEITRSLLIGSNVPSYLWGEALSSAVYLINRVPSSVLNFRRPIDVLSNHCTLNSINNLPPHIFGCVIYVHLHPHQRTKLESRAMKCVFVGYSTTQKGYKAYHPSSKKYFVSMDVTFHEHELFFLSKTLHSSPQRGSDVEVQNHEIRIHEIMLFDTMPIENQNEIQDIEDENQDIGNENMTEDDSIISSSTSSPLLIQSSENSAEVPSETIASIHSIADTENYVSADIENNDSSSSPLNFDHVVSTYTLPPRTNRGQPPIRYEPDPNCKLKYPINNYVSFQKLSKSYANYASQLSIASTPSNLQEALADLR >RHN48300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46449908:46451392:1 gene:gene43002 transcript:rna43002 gene_biotype:protein_coding transcript_biotype:protein_coding MADLMVMKEIEDKASKLRIFSDDVDVFRMENPKFDTIFEFDTQGQISRESLMQLTLVEQLRERQEMEKKLQKLAKTMDHLERAKREEAAPLIEAAYQHGLVEERILYEREQQQEVELSRQRHAADLIEKERLSRMMGCKEIYQERVVSHRQVEFNRLMREREERISRILPSRKQEREKMRKLKYYLELEEERKQKLLKEEEARKRQDLVVLKGLH >RHN39874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11264054:11267173:1 gene:gene45954 transcript:rna45954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MFLFFLFLLLPHFTLSNTIILSQNKTLLSQNQTFQLGLFNLEQQNQLQPRYYLSIRFTSLPSPNIIWVANRNKPISSLTGSALQLTPTGQLLLTQNDTVLWQTKNTLDESPLPQLNLLENGNLVLETKNGVVLWQSFDEPTDTWLPGMNLTRVHNLLSWRTLTNPDNGFYSLRLKPPNYGEFELVFNGTVSYWDTGKWTGGAFTGVPEMTVPIYRFDFEDAYSPMASFGFSERALENGVRPPTMFRVEPFGQMRQYTWSSQAGSWNMFWSRPESICSVKGVCGRFGVCVGDVLRVCECVKGFVAVDGGGWSSGDYSGGCWRGEKVCDNGDGFEDFGVVRFGFENVSSFRAKSRSLCERGCLNSCDCVGLSFDEKSGFCRNFLGSLFDFQNLTALESGGGNGNVLYVRVPGNVSEGKIKGWNGKVLSGVVIGCVLFLVLVLGVVAVTLVVLAKRKRLKKENGLEEDGFVPVLNLKVFSYKELQLATRGFSEKLGHGGFGTVFQGELSDSTVVAVKRLERPGGGEKEFRAEVSTIGNIQHVNLVRLRGFCSENAHRLLVYEYMPNGALSAYLRKEGPCLSWDVRLRVAIGTAKGIAYLHEECRSCIIHCDIKPENILLDSDFTAKVSDFGLAKLIGRDFSRVLATRRGTLGYVAPEWISGVEITTKADVYSYGMTLLELVGGRRNVEAPPSSGDRKSDCETGDKWFFPPWAAQLIIDDNVAAVVDKKLGNVYNIEEAKRVALVAVWCIQDDEAMRPTMSMVVKMLEGLVEVALPPPPKLLQALVTGESFRGVKVDSSNAVSTAGSSSFCDNMEVSVADSESCIGEVFSPPDGIVNVR >RHN67926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31525985:31526785:1 gene:gene16184 transcript:rna16184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MGGTGKTTLAKEVGKELKQSQQFTQIIDTTVSFSPDIKKIQDDIAGPLGLKFDDRNESDRPKKLWSRLTNGEKILLILDDVWGDINFDEIGIPDSGNHRGCRILVTTRNLLVCNRLGCSKTIQLDLLSEEDAWIMFERHAGLREISTKNLIDKGRKIANECKRLPIAIAAIASSLKGIQRPEEWEWALKSLKKHMPMPDVDDDLVKIYKCLKFSYDNMKNEKAKKLFLLCSVFQEDEEIPTERLTRLCIGGGLFGEDYVSYEDART >RHN71194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57097889:57099067:-1 gene:gene19845 transcript:rna19845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling ARID family MSSSAVRSKNSGNDDEAKHYPPPLASHNDVVNDPTLFWDTLRRFHFLMATKFMIPVIGGKELDLHVLYVEVTRRSGYEKVVAEKKWREVGSVFRFSSTTTSASFVLRKHYLNLLYHYEQVHFFKVQGPVYTPSPDAFSGNSPSWKPELAIVQYSPKMVNYSAESHDEGNLMAIYYARKENN >RHN62082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40603132:40603903:-1 gene:gene24615 transcript:rna24615 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNGSREKKKDEGLFANRLTLSFLTERKSLLADVESSCGGATSDLYPLHRCLSLLSSGRSLKSGYSELHTHTHTHTLLNWFFPASLHRVKSFIHSFFHCRTGHWCFVGLLVVVVFFGSRSLGWWVVWVPRMGQGFKACLHHIRRVGFVF >RHN65911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6405124:6413090:1 gene:gene13806 transcript:rna13806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEILKFICFMIIFLSSFIVSESLNGHGKDRCFKDSDCPKYMCPSSLVAKCIKKLCSCRKPGLQIQLNPK >RHN62203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41681983:41686980:-1 gene:gene24754 transcript:rna24754 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAILVDLWRKNHNSAFQSSASSSAAVAAAASFAAGTTFASRAFFGIPVAYCDAGATVSEDLISTIQSASERIYNYDAERFSTKTYNVQPKPLFSAFELRSLAMTSIRSFLMHYLPLLEPRAEIEDLDDLFEEEQPEHRVDLVVPFKKSVKQIIRETSVVTTRRILERIAVHYVSERMAWKLLKDVPRSATRKAARNMPSYVYFFSVGRTTFRGHMLGVAASWVVQVGMELYRFFRSIFNANDEDSNVDTTKQDTTKQVQLLGQKVALTSIRCGSSLVFASIGAGIGATLVRPSLGQWIGCAAGDLVGPIIVAFCADKAFQVAL >RHN72835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11140537:11145320:1 gene:gene8596 transcript:rna8596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycolipid transfer protein MLQIIYLQFKKVKSNVASNHALIEFLPAQNSICTTSKNHIIPILNSLEKKKRHHLTAFSNTNTTSLVSMAESINSNKTLHQIAVAFKNLANTVSDSQSAEVEVAPFSHACSLISPLFGSLGIAFKFAEKDYVAKVNDLAEASKSVPKLHSLIDQDVQANSVRNAGSHTRNLLRVKRGLDMVRVLFQQMIITEGNSLRDPASKAYEQALAPYHGWAIKKAVSAGMYLIPTKEQLLNKLNEDAESAKVQMQIYVSASEAVIQYIDKLFDSRNLGTDW >RHN69597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44769021:44771148:1 gene:gene18086 transcript:rna18086 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLASAREFRTYGPGLTRNRYEYINAGLYLFATVILCSGFVAQLSPEARSGLVVLLIALTIIIFVNLHDILAHFAAIDFRSSLLPFDLQLLFVEFAAPVVQILGSLLIFLGILFTFIEEEKGYYLKLEKHVVNMLVAGPVLWMVGSIHNSCQIYERADGHVQILQQFVYIPFLMGSLSFMLGAILNHHQQSGIIHHGMNLLGGTWVWLGIFGSLMFFIGGLTNLIKVFKMQQMNGTMRLEKLRGGAQERLVSAREGRVPLILGHHQPMINRQISEETKVDIPLPTPYKDVLLGQTGS >RHN38560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:243423:246359:-1 gene:gene44513 transcript:rna44513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase Group-Pl-4 family MNEHHIISYHNNMASLLHPTPTVTTILKHRTSTICFFCPLKPTNVSFLTNTNRVNTNTTKCNAFFDNNVTSGLLEVGGDHIPSFLRSGLLQFQELSDMQRWEFLLFGGLIWIYLTARPGVLIGAIDAYLFAPLQLGFDNLSGRRNLKTGDFLVGDKIGEGSFGVVYSGVLISKNVVDVEEDKRGRSKATRLEPKSKDKVILKKVKIGIQGAEEFGEFEEWFNYRLSRAAPETCADFLGSFVADKTNSQFTKGGKWLVWKFEGDRTLADYMKERNFPSNLESVMFGRVLQGVDSSRRNALIIKQIMRQIITSLKKIHDTGIVHRDIKPANLVVTKQGQIKLIDFGAATDLRIGKNYVPDRTLLDPDYCPPELYVLPEETPSPPPAPIAAFFSPILWQLNSPDLFDTYSAGIVLLQMAIPTLRSPAALKNFNLEIKTCGYDLKKWREYTRLRPNLQILDSESGRGWDLATKLISERGPVRRGRLSAASALRHPYFLLGGDQAAAVLSKLSLSRK >RHN75730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44594962:44597658:-1 gene:gene11970 transcript:rna11970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation protein, beta-barrel MGSSGMLGPCKVFKGKKMPGRMGGKQRTVKNLWVYKIDPARNLMWVKGQVPGATGNFVFIKDAVYEKPDTSILPFPTYFVPEDEDTDDMKPLVADLGDVDPFMVTD >RHN66444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12374333:12376463:1 gene:gene14433 transcript:rna14433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSYAIAILNSDIWNIPNDNIMPSLFLTYQHLPSHLKRCFAYCSIFPKGYPFNRKKLILLWMAEGFLEHSMVGKAVEEVGDDYFNELLSRSLIERSNDDIVKEKFVMHDVVYDLATIASGKSCCRFGSGGRISEDVHHVTYNQEEYDIFNKFETFFDFKCLRSFLPIGSRLQESYLSCKVIDDLIPSIKRLRMLSLSNYNITVLPNSINKLVQLRYLNLSHTDIKCLPDTTCDLYYLQTLLLSGCWKLIELPIHVGKLINLRHLDISYTKIKKMPMQIVRLENLQTLTVFLVGKQKVGLSIRELGKFPNLRGKLCIKNLQNAIDVSEACDANLKHKVHLEELEVYWDQQTEESPTNEVILNELQPSINLKKLSIKFYGGISFPSWLGDCSFSNMVYLSIKSCEYCITLPPLGQVPFLKELKIDGMSRVETIGPEFYGMTGGSTNSPFQPFPSLEKLEFNSMPSWREWISFRGSKFPFPRLKTLMLRDCTELRGHLPSHLPSIEKITILWCNHFPATLSTLHWLSSVKSLDLMCQGSPELSLLGNDSPCHLQVSTIFGFNKLLSLPNMFMSSTCLQHLDLIYISSLTAFPANGLPTSLQSLRIDECQNLAFLRPETWSNYTSLVTLELKNCCDSLTSFQLNGFPVLQILSIEGCSSLKSIFISEKNSSLSLSTLQSLK >RHN71324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58243480:58247249:-1 gene:gene19992 transcript:rna19992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MSSSLSESDDGVQRRKVVNDYRKKLLNKKELESRRNSVKLDLRKSIKEFNKSEDDLKSFQSVGQIVAEILRPLDHQRVVVKASSGPRYVVGCRSKVDKEKLTAGTRVVLDMTTLTIMRILPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESSRLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKIIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNICTEAGMSAIRAERDYVIHEDFMKAVRKLTEAKKLEASAHYNADFGKD >RHN47601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40917907:40918530:-1 gene:gene42221 transcript:rna42221 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIVAISEQVIAFVLRRPAEGTYRGGIKSAKNSSWNQIVNQSIFNNKEKGVYVDMSMEKKMMLKIQNDKLLPKGGGSDQPSLEHKILLHLFITGEPANVPRYIFRHMIQQLRESQLKNICWVPYGRFFSEIFHQGGLINLLCKVDFFTNDLLGTETGKIINGETLIKMRLISKENYKKLSTYMKESDGVSAIYYEGLPSNLQTRSL >RHN40109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13367976:13369376:1 gene:gene46212 transcript:rna46212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MSKFDPYNHFGLTFNPDGTLHRGYKTPSTDANPEPSPGISTVSKDITIDDEKKIWVRIFRPTKLPSNDNTVARLPILIYFHNGGWIILSPADAGTHKKCSNLASDIPSIVVSVAFRWAPEARLPGQYQDAREAILWVKNQMTGPNGEKWLRDYGDPSRCYLYGCGCGANIVFNTALQIGDVDLEPLRISGLVMNQPMFSGEKRTASEIRFATDQTLPLPVLDMMWAMALPTGTNRDHRYCNPMAKGPHLENVKKLGRCLVIGYGGDIMVDRQQEFVTMLVKCGVQVEARFDPVGFHNIDIVDPTRASAVINIAREFIIL >RHN66804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19031288:19035791:1 gene:gene14894 transcript:rna14894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MKNNNSKRSGVRKYHKSEQPRLRWTPELHQYFVQTVESLGGKDKASPKHILNMMQVKGLRISHIKSHLQMYRNVKGQTILAPTLEENAKFNHLPMCSSCSPQRSRNINQELQPLHNKRLSQASETDHYYDLNQEPESSACFLSDISNEENDCRTTKYLNLPFPFSSLIIPMVHSDQGITHFSSPNETNNHDVDSSSIKSHGRDYINLDLSI >RHN55301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17021353:17021787:1 gene:gene30466 transcript:rna30466 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFYPPHHPSPLSLDDFILIVLGRLFLFADVLHIASGWGRLFSTTTAGGLGRLVSTIKMRSTILFGGHLCSNTINPPPAFVDSPSARVMVGCRWWFLWMVFAYLVSLQVRLLHVSVLQGLACFGCCYFQKILLGLMINFGLCG >RHN81496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46450296:46450706:1 gene:gene5545 transcript:rna5545 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYTKWDDARTFKCCELLHGLGEHLKQQDGVHKYLIRDLVKKKKYLIRVKE >RHN42989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42405556:42407271:-1 gene:gene49505 transcript:rna49505 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCTVKKPKRTSGSLKAILGCLVLSQRDDDVVRFPAQNQF >RHN58591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3052279:3055594:1 gene:gene20515 transcript:rna20515 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYNQSGVVQSSAWKREAIEIDPVSVETLGVRWWLQYTAELNL >RHN52831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39278721:39279158:-1 gene:gene37549 transcript:rna37549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MSFKLGQVQTIVVSSPQIAELFLKTHDSIFSSTPKAFAADYMTYGKKGIIFTEYGDYWRNMRKLCSVQLLHSSKVEMFAPLRKEEVGLLVKSLRNSATLHEVVNVSKVVAELIENITCKMILGRSKDDFDLKGLVHEGDVIDWKI >RHN71197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57121117:57126207:-1 gene:gene19849 transcript:rna19849 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQTKPEEELNQGCEKTKMSVDWSELPPEIIQTISQKLTIYADYIRFRSISHTFLSSIPKTPHHLPPQLPCLLLSHQSFFNISTHKTHLFNLPLPSHRTRICASSHGWLIILNETPQIRLFNPLTCVTLFLPPIHTFPNVVSFDYSNIGREYSVTNDSYLRFFSLRQMCDDFIAKIVLSTSPSLSDEFVALAIVDGYSCNNLAFCKKGYDSWIFLTKKNDYYFWEDVVYYNGLFYAVSKGGTIAVCDVNSHRVSIFQMTVPVQFSGDIHYVVFSGEDMLLVNRVLEEDFSDEPNYDMLVYRTVGFTVFKMDWNAMAWNRIEALGDKALFVGVNSSMCFSAGDFVGCCGDCIYFTDDYSEDNHDDACGKHDFGIFRLYDGIIDPLLPSYSRNSYSRLECPLPIWISPNPC >RHN69282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42313918:42314882:-1 gene:gene17728 transcript:rna17728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKAAENCQLPWDLLDISRMIDFDDLFQFGSVCKNWRAFHKIYWRNFMAFQEPLLVQRSRVKNVFSFISLSHQKVYHLKMANNFVIFAYHGSSSGYLIMTGKNDSFILINPFTRRKMVINNSVFKVDYSCGSCHALLAFYRGSEEFVLANIGILSLNSANINFLDLKSTPSVTYADYAYVTYADYAYVRLLNCDEHLLVLNFTSNIMFNLYKIDFSTMTYVKLESLGDIALFHAPKKKYYALNNPSMWGYENNSMYVTDNVIHKLRVYKGDDNKMP >RHN76810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1453138:1456494:-1 gene:gene188 transcript:rna188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Calmodulin-binding domain, plant MATKTKESSTLVGNERKKTAPSITTTKRTTKPSTTNKSNSTTSSEKNIPNYLKPTTSFKQLKTETPIKPSPLRRRSLDKSLSSSNLTATKQKETSFSRLHKTLVSPGPRERSATLNNRSSNVPVKSTNTSKPISNKTPSDAKTKLVGKKVINKSAFSRNNSTSKTSKKVANDHASDIPAKIKAVITYSDSSSVETEDVKEVTNQEVEVIKVENEEYVCHEISTDVNSELPQQHEHDQILEDSDMSQNQADDEKVISTVSDQEVEEKESQEEEHELEHEEKENNNENQTEEVDHSEVESEVSVIEKEENESENQIEEVDRSEVESEVSVIEKEENQSENQTEDVDRSKVEIEVSGNEKVEIESGNQREEVDHFEVESEVAVNEKEENESGKVIEDQKSENNNEEEHGGEEKEAVEGGVSEEVEEAKIEVAQPKQQQLAVKGKNDLSQVSNGMIEETASKLLGRKNKVLALAGAFQTVIDHQIK >RHN58747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4459612:4465103:-1 gene:gene20688 transcript:rna20688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MMMEGASNGGMLYHEVQESKLCAVHCVNTVLQGPFFSEFDLAALASDLDCKERQMMMMPAHSSAAGDLFSHNVSLDGDFSIQVLQKALEVWDLQVIPLDSPVAEPAQIDPELENAFICHLQDHWFCIRKVNGEWYNFDSLYAAPQHLSKFYLAAYLDSLKGFGWSIFLVRGNFPTEFPISSESNGFGQWLSPEDAERITKSCNAVQQAPQQQRTVERQQHSNPFLSREEAEMFSDMEDEDLKAAIAASLMDSTPTMTNFAEASTPQNNDKQKSIQVETIEASVPPSSDESNKHVEATEAGSLPNDRNNQQVSSSLGGGNSQSGIQNKENTP >RHN82631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55292267:55297368:-1 gene:gene6806 transcript:rna6806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MCSLISKSHCHLGLGQLSLQPLQTRKLEYGIVNVKMSGRLKVMCKGMLTPRKFMQRKRKMVVFKDAADEAEQKNWWRLMKLIDETGSAVSVLNSEKMKNQTIPKALVVGTLMRFKQLKKWNLVAEILEWLRAQNWWDFGKMDFFMLITAYGKLGDFNGAEKVLGLMNKNGYAPNVVSQTALMEAYGKGGRYNNAEAIFRRMQTFGPEPSAFTYQIILKTFVQGNKFKEAEEVFDKLLNDEKSPLRPDQKMFNMMIYMYKKSGSHEKARQTFALMAERGIKKATVTYNSLMSFETNYKEVSNIYDQMQRADLRPDVVSYALLINAYGKARREEEALAVFEEMLDAGVRPTRKAYNILLDAFSISGMVEQARIVFKSMRRDKYMPDLCSYTTMLSAYVNAPDMEGAEKFFKRLIQDGFEPNVVTYGTLIKGYAKANDIEKVMEKYEEMLGRGIKANQTILTTIMDAHGKNGDFDSAVNWFKEMALNGLLPDQKAKNILLSLAKTEEDIKEANELVLHSIEINNLPKVNDHEEDDDDDDEDNYEYFDTQDAMAYDE >RHN61572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36540357:36546052:-1 gene:gene24050 transcript:rna24050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain, NUCL, RNA recognition motif 1 MYCKRQAEEEVKAVSAKKQKVEEVAAKQKALKVVKKEESSSEESSESEDEQPVVKAPAPSKKTPAKKGNVKKAQPETTSEESDSDSSSSDEEEVKKPVSKAVPSKNGSAPAKKVDTSEEEDSEESSDEDKKPAAKAVPSKNGSAPAKKAASDEEDTDESSDEDEEDEKPAAKAVPSKNGSVPAKKADTESSDEDSESSDEEDKKPAAKASKNVSAPTKKAASSSDEESDEESDEDEDAKPVSKPAAVAKKSKKDSSDSDDEDDDSSSDEDKKPVAAKKEDKMNVDKDGSDSDESEEESEDEPSKTPQKKIKDVEMVDAGKSGKKAPNTPATPIENSGSKTLFVGNLSFSVQRSDIEKFFQDCGEVVDVRFSSDEEGRFKGFGHVEFASAEAAQSALEMNGQELLQRAVRLDLARERGAFTPNNNSNYSAQSGGRGQSQTVFVRGFDKNLGEDEIRAKLMEHFGGTCGEPTRVSIPKDFESGYSKGFAYMDFKDSDSFSKALELHESELDGYQLSVDEAKPRDSQGSGGRGGGGRSGGGRFGGGGRSGGFGGRSGGRGGGRFGGRDSGGRGGRGGRGGRGGFNKPSFASEGIFPFPLSHIFCQFCFFDAAFQLKGLHNIVKIFVLKF >RHN42446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38437394:38440761:1 gene:gene48888 transcript:rna48888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MAPSYFPLRWESTGDQWWYATPIDLAAANGLYDLVAELLHHDTNLLIKLTSLRRIRRLETVWDDEAQFEDVAKCRSHVAKKLLLECEETETGTNSLIRGGYGGWLLYTAASAGDLEFVYELLRRDSLLVFGEGEYGVTDMFYAAARSKNSEVFNMILDFALLGKDCGIVELDEGVGGGVFKREILNRAIHAAARGGNWEILKKQLLLVSASQILSYRDVQGCTVLHSAAGRGQVEVVRNLIVSSPDIINSTDAQGNTALHVASYRGYLHVVEILIQSSPSLTKLTNHNGDTFLHMAVYGFTSPGFCRLDRHTELMNQLLLTEKIANMKDIINVKNNVGRTAIHVAVIHDVKCDVVESMMSVASIDLNIRDADGMTPLDYLKKRPRSRSSELLIIKKLISSGSFYNREDYMTRNAIVTHLKTRHGIRGSPGTSFRISDSKIFLYTCNENEQASVESNSCSIEVESNYESAENSSCNSKTSGVNWAARRLMNILKWRRRRETKETLEFEDDDSVNNSVSSRKNNLEEFPISLRQRYSKQCSLPNNKRTLSIRTLLPTPSAKKYFTAGLMQGVIKVKTQREGSNLSHSSNNKHGHVDTLGLPSCSNGPIDGGGTLQLNYKERNLNKKLMNRYFSFGAQGQALEDANTCSMSNHSSKCFSYLVA >RHN70647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52986139:52987306:1 gene:gene19256 transcript:rna19256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MVQQTKKFRGVRQRQWGSWVSEIRHPLLKRRVWLGTFETAEAAARAYDQAAILMNGQSAKTNFPVTKNQGEEVASDTPYNGGGGDDSFLSPKALSELLSTKLRKYCKDPSPSLTCLRLDNDNSHIGVWQKRAGPHSDSNWVMRVELGGKKKTIESEEIGSKQHTIDGGNNSNADNENRVVVEEEERVALQMIEELLNWNYPCGSTSSN >RHN46536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32587001:32590173:1 gene:gene41032 transcript:rna41032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyketide cyclase/dehydrase, START-like domain-containing protein MLPNPTTTVPDAIARYHTHAVSPNQCCSAVIQHIAAPVSTVWSVVRRFDNPQAYKHFVKSCHVILGDGNVGTLREVRVISGLPAAVSTERLEVLDDERHVISFSMIGGDHRLANYRSVTTLHPSPISDEDGNHRSGTVVVESYVVDVPPGNTTEDTCVFVDTILRCNLQSLAKFAENLASTRSNQR >RHN42617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39744075:39745143:1 gene:gene49075 transcript:rna49075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MIQPFFFSKHAHLYIYVPLLALLIVFVLTSMDYQNQKSNENKHGEKLMMKNRSKFVGVRQRASGKWAAEIKDTSKNIRMWLGTYKTAEEAARAYDEAAFLLRGTNTRTNFSTTHSIPTNSPISLKLKNLLHRKSISNLSQSKNQCTLMSSSLQGAPIDNSIMVMENENKSSCSSEESKSLFWVQNQLVSEYNPYGVDMNMMNCSIGITPNTLQIDYSWPLPQQRINELPTLNDSVNVYGMNECYVEGTYESKYEYDVNYPLSHLFCFT >RHN60764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30252946:30253108:1 gene:gene23122 transcript:rna23122 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVVGMVRHLPAGSPTTFYCIHSLVEKANQLCSEVFTH >RHN64414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58859004:58864522:-1 gene:gene27230 transcript:rna27230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MTVVSLFLTATKLAGALVTLTLAANAFSFSRFRNKNLRRFNSPIDESSDTLADFNITEEENGFFFGLATAPAHVEDRLDDAWIQFAEQESVAGAEQKVDALMGSATADGGSQQAVSSAQRGLKGNKKSLKVAMEAMIRGFEKYMEVDGQEGEEEEEHIPNVTAWHNVPHPEERLRFWSDPDTELKLAKDTGVTVFRMGIDWSRVMPHEPVNSLKESVNYAALERYKWIINRVRSYGMKVMLTLFHHSLPPWAGDYGGWKLEKTVDYFMDFTRLVVDSVSDLVDYWVTFNEPHVFCMLTYCSGTWPGGHPDMLEAATSALPTGVFQQAMHWISVAHSKAYDYIHELSNSSNQIVGVAHHVSFMRPYGLFDIAAVSLANSLSLFPFIDEISKKMDFIGVNYYGQEAVSGAGLKLVENDEYSESGRGVYPDGLYRMLLQFHERYKHLNVPFIIAENGIADETDLIRRPYLLEHLLAVYAAMIEGVPVLGYLFWTISDNWEWADGYGPKFGLVAVDRANNLARIPRPSYHLFSKVVSTGKVTRDDRDKAWNELQRAAKEKKTRPFYRAVDKNGLMLAGGLDEPIQRPYIERDWRFGHYEMDDLQDYLSQFSRFIIQRFSLKRKKKSQKEQLVLHSL >RHN52333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34040692:34042434:-1 gene:gene36996 transcript:rna36996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor BSD family MSSSVQQVVKRAKYKSTVKDPGTPGVIKLTRPKLVFKPNDPTSNNKLDVDFRLIKSQKNTKEGSNKQTWLKLLHSQGSYIFEFESFSDLHVCREFAAGIISKPVEAPKVVSDEQLSTAEMTLRIKLLGEDSKLKKIHKELVMSDKLTESEFWATKKKLLDQDESRKLTQRIGFKNCLIFDTKPTTSDGRINIVKFQLTREIKYQIFALKPAIHQAFLNFVPSNMSEVDFWNKYFKAEYLHSTKNAIAAAAEAAEDEDLAVFLKDDEILKNEARKKVRRIDPTLDMEADQGDDYTHLPDHGIFRDGCKETSEAQNTLYRRTLLQDLNRQSEVVLEGKTLDIDMEHPRTEVEVLARRKHESDGVVEERRNRISKTAQIEDLQAQDNHPFVPLCIKDPRDYFDSQQANVVKTFDESLADKEKIRRNLGSEEAYDSLRESISNIKTMGLRDPLLSHKVAFKVLTVLNKSISSTTKECVLDILPNSTKEKLLDHWVCSQELLRHFWSSYPVSTQSLVSKATRLKDAISQIYSKLEEIKVSAQSNLRDQVSLVVDPMQQALDAALLHYDADIRRRNDKGSKPNGYV >RHN48692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49513323:49520899:-1 gene:gene43435 transcript:rna43435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase I associated factor, A49 MESPEPKSKKKAKTKKKMDTEPPPEPVHETVQAKIEVVSSNPTKMPPFVGYFTSGFDPVKSSSGSTDVQVYRNKVMHKRTELVVSPAGSLVEFVGTSYEGEAAAVARNPATYMLGVFDKESQTLKIVPIGGNKIFRLEPRVKGVEYKEPTPTPTKMVEELTAEQWTEKKRKADAALGTKKSKQMLIWLDEMTADEEPEAKKNLDEKMKNVEVKETALANTEAHVTRHIPPYNSSATTPQEAYVLDKIILADEWNYLQDIYYSLQKEEAANFSLYPSFIRNRINRLKKIEDDSEKKKLSCILLFINYLVKFKDQHSMDGISSSKFEKLPYTLHHRFTAMFDVSESRRLPPEKLNLLISYVLVLTLFSDDFRTDYRDIAKDLRMTTLTLRPIFEHLGCKLISSQKVSYATLPIPLTFPQIRQRKKKNKNKL >RHN48943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51282867:51284011:-1 gene:gene43717 transcript:rna43717 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWDLTILVTILRSTLFLYPNETMVSGFVGHSLLTLICHFCRVSSWLLHICLWTSFKGCWFSGMMVVQPILSPTTLTLFGNSTTCLSHCVRSWILDSGASDHVVGNPSLISNLSPPNIPHSITLANGSKAQVTGIGQASPLPSLLLNCVICTWLSF >RHN41498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30512919:30516275:-1 gene:gene47830 transcript:rna47830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MGPISDFPLMFIPPAMPSSPSSPELVHSEPNPNPIPEPEPNPESNTSHNAPSSPPQTTTNKPPPTAAEIAARYILQSPPLSFAPKPLSTSEVTAAFGVIDDLPSLTDEEQKPLSSILTTSSGDQFSGTSWWSNQLETGISALADLADLANPSDDDFGYQGYSIHTNLASYNSRKPFEIDNGGWSPVDYGYNFNNFFATASSPRSPSLKSVGAGITNMGNTCFMSAILQCFTHTVQMFLGLRYCTHASSCDVEGFCVICAFRDHLDDALERSRSPIVPRELVKNLNCNQEDAHEFMQYALNKLKRGFPVGEENLIDQIFGGRLVSKLRCCCCGHSSDKFEPLIDMSLEIEHVSTIQQALESFTKVEKMDGKFICSDCNQEVTMEKQLMLDKAPSVAAFHLKRFLKDGDSVKKIDKYVDFSKELDLKPYTCGSSSGDNVLLKYELYAVVEHRGPSPNSGHYFSFVRSAPDKWYLMDDDKVSSVSEEEVLNRKAYILFYAQQGTPWFSSIVENEETAPKSKYLHNKYGFDCDNNGEKDDNDSTDANYDNCVSDMDEECDSGMQDVSP >RHN55940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25805237:25806479:-1 gene:gene31234 transcript:rna31234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MENSAATVDMISNLPDELLCHILSFLPTKLAFTTTLLSKRWAPLCYSLTALRFDDDTVKNVDSFNRFCGFVDKLMLFPSATNQPIKTFHLKLSRFYKVDHQSFYAWVEAIKLRRVEELHLLLDNVTLKNLTIFTSRTLVVVKLASLKVEGENLCVDLPNLKTLHLRYVSFETQNNFSKLLKACPILQNLHASFLLYRRADENNKVEEFKPLFLSKLVRARFCSTDIPVNLISNVEFLHIANAGEALKGFRFKSIPVFQNLINIQLWFLEFFHGWNGVVDMLQNCPKLQILFIRKWCSCLSNEWKCPISVPECVSSHLRSCTIFNSDGSTNDLAFTTYILQNTRLLQSMKINGTAQSSNGLQKLQIIQELSSCPRMSPECKLSFSFK >RHN79825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32610810:32618148:-1 gene:gene3667 transcript:rna3667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative importin subunit alpha MADPGFATNKRDPIKSSVVNAAGTRRRQLAVTVGKERRESLVRAKRLCRVGVSDAEVDVDVEGEMMIDEEQSILESQTSLAVENLKSALAYQGKGAVQKRVGALQELRRLLSRSEFPPVESAVKAGAVAILVQCLSFGSPDEQLLEAAWCLTNIAAGNPEETKALLPALPLLIAHLGEKSSSPVAEQCAWALGNVAGEDEELRNVLLIQGALVPLARMMLPYRRSTVRTAAWALSNLIKGPNPKAANELIRVDGVLDAIVRHLTKADDESATEVAWVVVYLSALSNLATSVLVKSDVLQLLVNRLATSNSLQLMIPVLRSLGNLVAGDSHATYAVLVPGLEVTDTAMQALIKCLNSEHRVLKKEAAWVLSNIAAGSIEHKQLIYSSETLPLLLHLFSAAPFDIRKEVAYILGNICVAPTKGDEIPNLILEHLVSLVEKGCLPGFIDLVRSADIEAAKLGLQFIELVLRGMPNGKGPKLVEQEDGIEAMERFQFHENEDVRTMANSLVDKYFGEDYGLDE >RHN44698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7445854:7449282:-1 gene:gene38848 transcript:rna38848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MMAEEDRMSGLPDELLCQILSFLPSEEIPSTSLLSKRWRRVWLGMPNADRISILPDELLCRILSLLPAKQIMVTSLLSRRWRSLRPRMTEINIDDTSYIHDRDAYDRYYHVIALFLFEMKIHHPIMKTVTILSASPFFNPLPLWLNCLKVQHLDVTSSATLCLCVPYKVLTSTALVVLKLNALTIDYVHRSSTNLPSLKILHLTQVHFLKLKFLIKILSMSPLLEDLLLKDLQVTDNTLAQDDAAALKPFPKLLRADISDSCISPLLLPLKLFYNVHFLRSQLQTLEEQQDTQFLSLTHLDLSFDHGYYWISLIKFICACPSLQTLTIRKIGGGYGLLSNDDHNNWPHPQFVPQCISSHLQMFSFINYGGNLSELQFTKYVVQNATLLRNVTIYRNTSSNPPKDLQIIKELHYCQKEKKEDSVTHQLHFEWI >RHN63428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51212131:51213203:-1 gene:gene26126 transcript:rna26126 gene_biotype:protein_coding transcript_biotype:protein_coding MRICIVEFVFLIFLGIYEFELKMMKNHEFGFEWKKNMEEYIEDEEA >RHN80475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38402790:38407229:1 gene:gene4407 transcript:rna4407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKRDRLNDLPNEILLHILSFLMIEDVVKTSILSKRWKNLWKYLPDLKLHTSEFSNPYLFSECVSGVVSSRSMGNHPLRTLDFDRHGCFQHKIFTELVKHAMSNGLQKLSIVVPSNIGLPCSIFSCHSLTSIYISVSMYDVKKRTRLPKRLDLPALKSMHLDFVGIQADDNGHAEPFSTCTELTDLYIDECVLVYPSSVPREVEGILNIANATLSNLTIKNTLTHTKLRPVPTFKYVISTPKITSFIVNGSPFQESSLPERMKVELCLS >RHN42118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35512667:35520111:-1 gene:gene48517 transcript:rna48517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar sorting protein 39/Transforming growth factor beta receptor-associated domain 1 MVHTAYDSFELLTNSTSKIESIESYGSKLLLGCSNGSLLVYAPEHSVPAPEEMRKEAYVLERNVNGFAKKAVVSLQVVESREFLLSLSESIAFHKLPTFETIAVITKAKGANAFCWDEHRGFLCFARQKRVCIFRRDGGRGFVEVKDFGVLDVVKSMSWCGENICLGIRKAYVILNATSGSISEVFTSGRLAPPLVVSLPSGELLLGKDNIGVIVDQNGKLRPEGRICWSEAPTEVVIQNPYALALLPRFVEVTKYLVSNFWLLRISFGFKFFAWKRLCIFTLCVACILQIRSLRGPYPLIQTIVFRNVRHLRQSNNSVIIALENSIHCLFPVPLGAQIVQLTAAGNFEEALSLCKLLPPEDSNLRAAKEDSIHIRYAHYLFDNGSYEESMEHFLASQVDITYVLSLYTSIILPKTTIVHDSDKLDIFGDPLHLSRGSSMSDDMEPSSASNMSELDDNAELESKKMSHNMLMALIKFLHKKRHSIIEKATAEGTEEVVFDAVGNNFESYNSNRFKKINKRHGSIPVSSEAREMASILDTALLQAMLLTGQPSMAENLLRVLNYCDLKICEEILQEGSYHVSLVELYKCNSMHREALEIINKSVKESESSQSKIAHRFKPEAIIEYLKPLCELDTTLVLEYSMLVLESCPTQTIELFLSGNIPADMVNLYLKQHAPNLQATYLELVLSMNEGAVSGTLQNEMVHLYLSEVLDWHADLSSEQKWDEKVYSPKRKKLLSALESISGYNPEALLKLLPSDALYEERAILLGKMNQHELALSLYVHKLHVPELALSYCDHVYESAHKSSVKSLSNIYLMLLQIYLNPRRTTKNYEKKISNLLSPRNKSIRKVTSKSLSRTMSRGSKKIAAIEIAEDAKASQSSDSGRSDADTEEFTEEECTSIMLDEALDLLSRRWDRINGAQALKLLPKETKLQNLLPILGPLVRKSSEMYRNCSVVRSLRQSENLQVKDELYNKRKAVIKISDDNMCSLCHKKIGTSVFAVYPNGKTLVHFVCFRDSQSMKAVAKVSPLKKAF >RHN40900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23967208:23970736:1 gene:gene47144 transcript:rna47144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease III MKMEMEASVAAVEKIIGYTFLNKKLLEDALTHTSYPESVSYERLEFVGDAVLGLAISNHIFLSYTSVDAGQLSLLRAANVSTEKLARAAVNHGLHRYVRHNTVNIVHKISEFADAVEREKDCAVVLYGGSVKAPKILADVVESIAAAVYVDVDFDLKKLWVILRGLLEPIVTLDDLERKPQPVTTLYEICQKNGRKVEIRQSRNGAKSTASVYVDGELVATACSDQKDIARLDAAQIAVHELERVLPATTMMSDRCKGLDGTFEIEAAKQQLYAICGTKKWPKPVYSIEKDEGTPQKKIFVTSVQIATPDGILKMLGDEKSRLKDAQNSAASLMIRALQQGQYV >RHN62140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41058063:41062343:1 gene:gene24679 transcript:rna24679 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PIN1 MITWHDLYTVLTAVVPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNNPYAMNYRFIAADTLQKIIMLLALTIWTNFTANGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGEYSGTLMVQVVVLQCIIWYTLLLFLFEYRGAKLLIMEQFPETAASIISFKVDSDVVSLDGRDFLETDAEVGDDGKLHVHVRKSNASRRSFMMTPRPSNLTGAEIYSLSSSRNPTPRGSNFNHTDFYSMMGYAPRHSNFGANDVYSVQSNSRGPTPRPSNFEENPVPTATSAQTVNSPKFGFYPAQNVPAAYPAPNPEFSSGLSKSVSKNSQQHLSQQQTQQTVQVQPQTVTSNGSAAAAKTSHDAKELHMFVWSSSASPVSEASGLQVFGSGAADYGASDQSGRSEQGAKEIRMLVSDDHPPNGVNITNKGMQETELGGEELKFPVKEEELLVEEEVGKVEPAALNKLGGSSSTAELHPTATVKHMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVAFRWHVHMPKIIEKSISILSDAGLGMAMFSLGLFMALQPKMIACGNSVASFAMAVRFLTGPAVMAAASIAVGLRGNLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTGVIFGMLIALPITLVYYILLGL >RHN57010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35719543:35720247:1 gene:gene32493 transcript:rna32493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEGSYSDQYWFWTKRRQVQAPIESWEEKAFAEDAARNILNGSMWPPRFYSCNFCKREFRSAQALGGHMNIHRRDRARLKQNLSPQKNNDLINSSLGNHYFSAKMSSKIDCNYHQLKPCSRRRSLSPSRIVSTIVNAREDNFLDCNDCVETSLSLGMFGQKSSTVLPCEEKGINCKRLKTNNISSLPSVFLKTCSNDHHRFLAFQQPSEIVLGLDHGMEDLDLELRLGKQQKIIK >RHN74804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36777743:36789020:1 gene:gene10924 transcript:rna10924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDVIFSVAAKIAEYMFVPIGRQFGYILYYKGNLERMRTEVKKLEGSKDSMQHTVDKARRNGEEIENIVQNWLNKVDNTLAKAKKLIESEGHAKAQCSMRHCPNLCTRHQLSRKNKKMSQEISEVLAEGKFYKISYRAASRVTIKPFSYEALDSNTSMLSEIMLALKNPNIFIIGVYGMGGIGKTTLVKELAWQAENDGSYSAVVMATISGSPDVEKIQGQIADALDMTFNKETKEGRARQLLERITKEKNILIVLDDIWGRLDLEEVGIPFGDDHKGCKLVVTSRDLNVLNREIGTQKEFRLEVLLEEDSWKLFEKMAGDVVQDFNIKPIAVEVAICCAGLPLLIVAVAKALRKKKFYDWKSTLNELNRFDKEGLHKKIYSALELSYNCLESDELKSLFLFIGSFGLDHLHTAELFLCYWGSGLYRNSPTLTDARTRYYKLLNDLKASSLLIESELERVRIHDVVKDVAKSIASRTCPTYGVKRFTLIKQWPVIDQIQKCHQIILPWSNIYNLPEKLECPELKLLLLQNIGDYLKVPDDFFSGMRELKVVNLYGMMLTPFPPKSLCLLTKIISLELSGCVLEDISIVAELKSLEILSLERSDFNELPKEIGQLNNLRMLNLTNCSRLRFIPANLISSLTCLEELYMGNCFIQWDVKESKDQSRNASLEELRNLSQLTTLDIMIQDASVLPKDLQVFAKLERYNIFVGDMWRWSLEWSGGASESSRILKLRDSRSSSIFLYRGFNFLLNSAEDMCLAKMQCVRNFLYELNMEGFPQLKHLHIQDSSELMYIINSKSVYSYPAFPNLETLALQNLFNLEEICRGPIPIQSFTKLKSFEVKGCNKLKNLLWYSLVINLPQLLKIKISDCEMITEIIAEQKSEADKEIDKIMFPKLGSLELENLPSLISFCSMPLIADKCLKKFGEYNDGTQCIPVALVDEKVGMPHLELLKLSNINSRKLWDDKLPGHSCMQNLKSLTLDRCCNISYAFSSSVAGELVNLKHLAISNCQMLEEIFVSDGMLDNPPLSQKPFYNDEVIFPNLETLVISHMEHLKSVWHNQLAPKSLCKLKQLKIEFCNELSNVIPSYVLNKLQNLEIVTISNCPTLEVVFETQGLKANSGRQTRLEMQLRTLTLKNLPMLKQIWSGNPNDSFTFENLCILKVTECRSLNHVFPFSVAKELQHLQELYIEECGVEIIVAHDEMVDRVPILIFPELTSLSFQDLTELRSFYYGFHTLDCPVLRDLDVLHCDKLELFKPKTLYYRDSVPVDTLPLLSIEKIFTTARELILNCKDVTMLCNGQLNDELIYTVKALRLRCFHDTTEKFPSGFLQRFIHLEYLKVSCSSFSEIFLSGSICTEHSETTMKLRRLVLAGLKNLEFICKEKSEVQPVLQNIEILEVYICSRLKNIFPSGMLFENLEQLEVGDCAGLENIMKSSTATSLQKLRKLWIYNCEKIEEIVATDDENDAFEINFMKLEHLQLSNLPMLRSFCMGRHGFKFPQLQNLFVIDCPMMETFSHGALNAPKLIEVHVKEQDEWHWNGDLNSTIRKFVAKTDSKDD >RHN52673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37601011:37602784:-1 gene:gene37371 transcript:rna37371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Homeobox domain-containing protein MCYGPDENSLVANYKMVLERFPLSLPKKKWSDKERENLSKGIKQQFQETLLQISVDRMSSECLPGDANDMDNLIESVKGLEITPARIREFLPKVNWDRLASMYATGRTGAECESRISSWALQTSMTITITDSLSFLVFHKSSRST >RHN60935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31685159:31685407:-1 gene:gene23332 transcript:rna23332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative riboflavin synthase MGTVKQLGVATDGGFDLKVEAKTVLDDISLRDSIVVNCTCLTVTEFDPKASDFTVGLAQETLRKTAHEDFSFRFLLYDSDEF >RHN42242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36630463:36638693:1 gene:gene48658 transcript:rna48658 gene_biotype:protein_coding transcript_biotype:protein_coding MPYAVLVGALNDRLLLASPTEINPRQKKGVEIKSCLVGLLEPILIGFATMQLSFEQKLDLSEILYQITSRFDSLRITPRSLDILAKGSPVCGDLAVSLSQSGPQFTQVMRGVYAVKALRFSTALSVLKDEFLRSRDYPRCPPTSHLFHRFRQLAYACIRFGQFDSAKETFETIADYEGMLDLFICHLNPSAMRRLAQKLEDEGLDSELRRYCERILRIRSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTTVKDIPQWELAAEVTPYMKTDDGTVPSIIVDHIGVYLGSIKGRGNIVEVREDSLVKAFMPAGNDNKVNGLELSSVKSISNQPNVVGNPKGDSSMGLESLNKQLANSSADEQAKAEEEFKKSMYGAADGSSSDEEGASKIKRIHIKIRDKPISSSTVDVNKIKEATKQFKLGEGLPPPMRTRSNSGSQDLGQILSLPPATTGIPTATVSTPVDLFGTDASTQPEMISQPTTGAVGGGVAIGPIPEDFFQNTISSVHVAASLPPAGTFLSKFTPGIQTSNTTPNQASATEAGFGLQGGVSNQAIQQPVVPMESIGLPDGGVPPQSMPQAVVTPQPQLQPAQPQISSQPLDLSVLGVPNSADSGKLPQSGSAPVSVHPGQVPRGAPASVCFKTGLAHLELNHLSDALSCFDESFLALAKEQSRGSDIKAQATICAQYKIAVTLLREIGRLQRVHGPSAISAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMEVQNYAYSKQMLELLLSKAPSNKQEEFRSLVDLCVQRGLTNKSIDPLEDPSQFCSATLSRLSTIGYDVCDLCGAKFSAVTAPGCIVCGMGSIKRSDAIAASVPSPFG >RHN75692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44347027:44351485:1 gene:gene11930 transcript:rna11930 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSVASSICTLLPFSKPHNSVPLFSSQTKKRCRIIISSVTEDRQAASVVSKDKKVLEDSSSPSKDITEVATDTDILTGRAINAAIVLGFGAFAVTKLLTIDHDYWHGWTLYEILKYVPEHNWIAYEQSLKANPVLAKMAISGVVYSIGDWIAQCYEGKPIFEFDRARLFRSGLVGFTLHGSLSHYYYQLCEALFPFQQWWVVPAKVAFDQTVWSAIWNTIYFVVLGLLRFDSLDNIYGELKSTFLPMLTAGWKLWPFAHLITYGVVPVEQRLLWVDCIELIWVTILSTYSNEKSESRKSEEVSETAGSSTPSQNSEE >RHN82778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56299951:56324386:1 gene:gene6964 transcript:rna6964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MSSRPGPPSSSETQGPPLQRRITRTQTAGNLGEAIFDSEVVPSSLVEIAPILRVANEVEKTHPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRIKKSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTANVLFEVLKAVNMTQSMEVDREILETQDKVAEKTEILVPYNILPLDPDSANQAIMRFPEIQAAVFALRNTRGLAWPKDYKKKKDEDILDWLGAMFGFQKHNVANQREHLILLLANVHIRQFPKPDQQPKLDERALTEVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENIKPAYGGEEEAFLRKVVTPIYNVIAKEAERSKRGRSKHSQWRNYDDLNEYFWSADCFRLGWPMRADADFFCLPVEHLHFDKLKDNKADNRDRWVGKGNFVEIRSFWHIFRSFDRMWSFFILSLQAMIIVAWNGPGDPTAIFNGDVFKKALSVFITAAILKFGQAILDVILNWKAQRSMSMHAKLRYILKVVSGAAWVIVLSVTYAYTWDNPPGFAQSIQSWFGSNSHSPSMFILAVVVYLSPNMLAAILFLFPLIRRFLERSNYRIVMLMMWWSQPRLYVGRGMHESTFSLFKYTVFWVLLIITKLAFSYYIEIKPLVGPSKDIMNVHISHFQWHEFFPRARKNIGVVIALWAPIILVYFMDTQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNACLIPEEKCEPRKKGLKATLSRRFDQIPSNKGKEAARFAQLWNQIITSFREEDLISNREMDLLLVPYWADPELDLIQWPPFLLASKIPIALDMAKDSNGKDRELRKRIEFDNYMSCAVRECYASFKSIIRYLVQGDREKQVIEYILSEVDKHIEAGDLISEFKLSALPSLYGQFVALIKYLLDNKHEDRDQVVILFQDMLEVVTRDIMMEDHLLSLVDSIHGGSGQEGMLLLEQQHQLFASEGAIRFPIEPVTEAWTEKIKRLYLLLTTKESAMDVPSNLEAKRRISFFSNSLFMDMPTAPKVRNMLSFSVLTPYYTEEVLFSLRELESPNEDGVSILFYLQKIFPDEWNNFLQRVNCSNEEELKEYDELEEELRRWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDEDLMEGYKAIENSDDNSRGERSLWTQCQAVADMKFSYVVSCQQYGIDKRSGAARAQDILRLMARYPSLRVAYIDEVEEPSKERPKRISKVYYSCLVKAMPKSSSPSETEPEQCLDQVIYKIKLPGPAILGEGKPENQNHAIMFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKHDGVRYPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLRVRFHYGHPDVFDRIFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDVYRLGHRFDFFRMLSCYFTTIGFYFSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQKAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLIVYQIFGNGYRSGLSYLLITTPMWFMVGTWLYAPFLFNPSGFEWQKIVDDWTDWNKWISIRGGIGVPPEKSWESWWEEEQEHLKYSGMRGIIAEILLSLRFFIYQYGLVYHLNFTKSTKSVLVYGISWLVIFLILVILKTVSVGRRKFSADFQLVFRLMKGLVFVTFVSILVTMIALAHMTLQDIVVCILAFMPTGWGMLQIAQALKPLVRRGGFWESVKTLARGYEVIMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKGRSSRNKE >RHN56911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34696703:34704096:-1 gene:gene32376 transcript:rna32376 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEDSDILFSNGDNVGNGNASSQLDFPGPKVKEEIYENSVDDLDHVVLKERQRMLLARRFPFPGLPSPAFEANGGCLSKNIMEQTVEKVNGEFFPVNGKITVARDQCHDTPEGVNTSLTELPPEATSGSSLSTEDASPKSRGPVILACPQGHDRIVKSEEMIMQLDSHGEQGVMPRDNNGPSSSACPTSVKIKDEPWDNSEIHNVNEDVMGSISIKLPVVKSEQEIHSDFNDEQVENMCLTDRLNFLMSRKDTDLNIPMSSYSSLKKTMPSSSVSSSNFSESAEPSRIKCARKRKKTATNSVQTALEEDAPGLLEVLVDKGVLVDEIKLYGERENDEALDESFCEDSFSELEAVITKIFSQRQSLIKFPVIRAGKGSRVSYCLACLISLVEQTRYLKLQKWPVEWGWCRDLQSFIFVFPRHNRIVLERPEYGYATYFFELVASLPVEWQIKRLVIAMKLTTCSRISIIENKELSVGEDLAEGEAKVLTEYGWTPNTGLGTMLNYRDRVVHDRKNVDTSEWRTKIGKLLIDGYVGGAIVMSSIPKRVADYRCDQIPNTDSIIPR >RHN59199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8397161:8398125:1 gene:gene21197 transcript:rna21197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTLSSVFKTRLITLIGFLPSCKIRIYKMVECMEEKKKKTRPYLPHELIIQILIWLLVKSLIRFKCICKLWFSLISDPNFANSHFQLTTTTHTPRIMCISPLSHEIRSIGFEAFLNDDDPASLNINFSLRGPYFPVEIRGSCRGFILLYCHPIIYIWNPSTGFKKQIPVSPFRSKLAAYCRIDIHGFGYDQSRDDYLFFSFRDNTWKETESTPFPYAVIPSRRKGLLFNGVVHWLALRRNLGWIVILTFDLMEKKLFEMPLPNNVDHRALVHSGLWVFGEFLSLWAMNRGVKWAGSARAREVRL >RHN44484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5250784:5254747:-1 gene:gene38608 transcript:rna38608 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLISAICVIVLFSYAAAYDPLDPNGNITIKWDVVSWTADGYVAAVTMSNFQMYRHIMNPGWTLGWSWAKKEVIWSMVGSQTTEQGDCSKFKGNVPHCCKKTPTVVDLLPGVPYNQQFSNCCKGGVVAAWGQDPSSAVSAFQVSVGQAGTSNKTVKLPKNFTLLAPGPGYTCGPAKIVPSTTFLTTDKRRKTQALMTWNVTCTYSQFLARKNPSCCVSLSSFYNSTITPCPSCACGCQNKKNCVKGRSKFLDMVGLHTPKRDNEPLLQCTHHMCPIRVHWHVKTNYKDYWRVKIAVTNFNYRLNYSLWTLAVQHPNLNNVTQVFSFDYKPLLAYQSINDTGMFYGTKFFNDLLMEAGPSGNVQSEVLLQKNKKTFTLNQGWAFPRRVYFNGEECMMPSPDTYPYLPNSSPVNVLNFPTFIISSLLLLALW >RHN48814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50473856:50476203:1 gene:gene43568 transcript:rna43568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGNNCVGLRNTSDEIFSTSCCCSWSYPIYQTQISVSPNINASQTVQQSPPHVDIKDLKSIESRVTAKSAGLREESILLSNNGSFKEYYELGDELGEGEFGTTSLCLEKSTGKTYACKVIPKVKLLEDDDVEDVRREIKIMHHLVGSLNVICIKGVYEDSDVVYIVMELCKGGELFDRIVKRGYYAEQKAAKLARTIVSVVETCHSRGVMHCDLKPENFLFVDGHEDSTLKAIDFGLSSFFKPGEKFSDAVGSPYYMAPEVIRECYGPEADVWSAGVIIYFFLCGAPPFDGELEQEIFDKVLHGEIDFSSDPWPSISESAKDLVKKMLDRDPKTRITAHEALSHPWVQVDEVAPDKPLDSATLSCLKQFSAMIKMEHSLISINMEMATSLKTSFNKFDGQIDYNEFVAMMQRGNADLENNSVKCSTSFNIGLGKDKRHCQYVRKTDTISSSGVKCSANFNIEFFFLWKIIYNLEFCFSLKKA >RHN49367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54312232:54317888:1 gene:gene44189 transcript:rna44189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzyl alcohol O-benzoyltransferase MLTQHYIYKTDWIRFRTYIYLYYLLLLHLKLQVTRLKYGGFIVGVNWNHAIADATGLKQFMNVWAEMARGAHQPSIQPVWRREILMARDPPRVTCNHHEYEQILSSNINKEEDVAATIVHHSFFFTLTRIAEIRRLIPFHLRQCSTFDLITACFWCCRTKALQLEPDEEVRMMCIVNARSRFRADHSPLVGYYGNCFAFPAAVTTAGKLCGNPLGYGVELIRKAKAQVTEEYMHSLADLMVIKERCLFTTIRSCVVSDLTRAKYAEVNFGWGEAVYGGVVNCVAGPLPRATFIIPHKNAEGEEGLILLIFLTSEVMKRFAEELDKMFGNQNQPTTIGPSFFSVVRIIFKNSIYNSLKRNTTPINSSIFVYHMTSSSPLLLTVRRCQPELVPPAAPTPREVKLLSDIDDQECLRFNMPIIFIYRHEPSMVKKDPVKVLKCALSKTLVYYYPLAGRIREGARDKLMVDCTGGEGVMFIEAEADVTLNEFGDALHPPFPCFQELIYDVPSTKQIIDHPILLIQITRLKCGGFIVGVNWNHAIGDATGLKQFMNVWAEMARGAHQPSIQPVWRREILMARDPPRITCNHREYEQILTSNINKEEDAATTIVHQSFFFTLSHIAAIRHLIPFHLRQCSTFDLITACFWCCRTKALQLEPDEEVRMMCIVNARSRFRADHSPLVGYYGNCFAFPAAVTTAGKLCGNPLGYAVELIRKAKAQVTEEYMHSLADLMVIKERCLFTTVRSCVVSDLTRAKYAEVNFGWGEAVYGGVVKGGAGPLPGATYIIPHKNAEGEEGLILLIFLTSEVMKRFAEELDKMFGNQNQPTTIGPSFVISTL >RHN46301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30514438:30516300:-1 gene:gene40781 transcript:rna40781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLHSNVLFVKSLRISKPISDSRAVLFLLFKKLLKLILLVCLKIQIFALFMLRELLLCLRIFNLQEEFVVNVGAEFAKVLTWFGVWLYETTWQK >RHN69056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40656512:40660042:1 gene:gene17484 transcript:rna17484 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAGLGTKLWSFISFLPFFFMLFILGIIKGALIGPIAFAIMVVGNSAVIIGLWTAHVFWTYYCVARTKRFGLVFKIAALICLPVPLLLLPVVGIVGSFLGGIGYGFFAPLLATFEVVGENVQDKFYHCFIDGCWSTIQTSCTVVQDVTDFCFHSYFSYMDELRENLNPQEKPFDIKLSLLPCCLLVILVGVPFDVVLITSIAIWKSPYMLFRGWKRLLEDLVGRRGPFLETECVPFAGLAIILWPLAVLGAVLAAIIVSFFLSLYGGVVVHQEDSLKMGFAYIVSVVSLFDEYVNDLLYLREGSCLPRPIYRKNVKHAVERKKLEGIDHNLKNRRDSSQNSKHTLQQSRSMKWKIQQYKPVQVMVWDWFFKSCEVNGRIVLRDGLISVKEIEECIFKGNCKKLSIKLPAWSLLQCLLTSAKSNSDGLVISDDIELTRMNGPKDRVFEWFIGPLLIMKEQLKNLELEESEETCLKELVMRSKNDIPEDWDSTGFPSKDNVRRAQLQAIIRRLQGIGSSMSRMPTFRRKFRNLVKILYIEALQASASAKEGNNIDEP >RHN62927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46779310:46781667:-1 gene:gene25565 transcript:rna25565 gene_biotype:protein_coding transcript_biotype:protein_coding MFIGSTLQFLKENFYSAIQPNYQNLCTVLQADPSTQVLSSIELETYRYHKSEIEKILSLFELSKSQITADIKNRTIKAHRYLLSLPRTSEQPNNQQSPHVQLKQQFFGPSNSTLSHPVIFKMKLSPFTAIIRNKETSFNNKFLGRTICIFKITELQCQLGVHNVLLCISILGTDNAVQQQTNVAFKESCASINAPRISATEQFGASTSTEKISPLIKENNNQNQVSQKPTLGSEEQNPAIQRMLNVLTSISPEALSQGVGGIKEVVRLNDEIPGAELLNQMPEMVDELGLPTFTIPEGVDLSLKSWKMPHSFVATTYDIPMHDAFNQFADSAEADLNSSTMKGKCPQTVKNQNILAEIKDINNQLFDCEVVIAEQENVESAVGLLLKIMYNAVTMNQDLVSHLTYDKKSLIKPLWLLIPPSYPSSSLVILDELPLEVSEDLRALFERAKAKLRFNLESMNETWLIKDITRAWESCVKDAILDYEQTFDAFDWESC >RHN81813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49096909:49100049:-1 gene:gene5903 transcript:rna5903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine-nucleoside phosphorylase MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYQGNNDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGYDYEDEEEEVQEEEA >RHN65812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5576524:5580986:-1 gene:gene13702 transcript:rna13702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MSMRELVGGAFLSSFFQVALEKLSSNDFIDYFRRSKLDVNLLEKLLITLNSINHVLEEAEMKQYQSMYVKKWLDDLKHYAYEVDQLLDEIATDAPLKKLKAESQPSTSKVFDFFSSFTNPFESRIKELLEKLEFLAKQKDMLGLKHEAFASSEGGVSWKPLDRFPTTALVDESSIYGRDGDKEELIDFLLSDINSGNHVPIISIVGLGGMGKTTLAQLAYNDHRMQEHFELKAWVYVSETFDVVGLTKAIMSSFHSSTDAEEFNLLQYQLRQRLTGKKYLLVLDDVWNGSVECWERLLLPLCHGSTGSKIIVTTRNKEVASIMKSTKELNLEKLKESECWSMFVRHAFYGRNASEYPNLESIGKKIIGKCGGLPLAVKTLGNLLRRKFSQRDWVKILETDMWRLSEGESNINSVLRLSYHCLPSILKRCFSYCSIFPKGYSFGKGELVQLWAADGLLQCCGIDKSEQDFGNELFVDLVSISFFQQSTDGSTKFVMHDLVNDLAKSMVGEFCLAIQGDKEKDVTERTRHISCSQFQRKDANKMTQHIYKTKGLRSLLVYLNSDVFHQNISNAIQQDLFSKLKCLRMLSLNGCILPKLDDEVSNLKLLRYLDLSYTRIESLPDSICNLYNLQTLLLKNCPLTELPSDFYKLSNLHHLDLERTHIKMMPKDIGRLTHLQTLTKFVVVKEHGYDIKELTELNQLQGKLCISGLENVIIPADALEAKLKDKKHLEELHIIYSDNATREINNLIIEREMTVLEALEPNSNLNMLTIKHYRGTSFPNWLGGSHLFNLESLDLVGCEFCSHLPPFELFPYLKKLYISGCHGIEIINSSNDPFKFLEFLYFENMSNWKKWLCVECFPLLKQLSIRNCPKLQKGLPKNLPSLQQLSIFDCQELEASIPEASNIDDLRLVRCKNILINNLPSKLTRVTLTGTQLIVSSLEKLLFNNAFLESLFVGDIDCAKLEWSCLDLPCYNSLRTLFIGGCWHSSIPFSLHLFTNLKYLSLYDCPQLESFPREGLPSSLISLEITKCPKLIASRGEWGLFQLNSLKSFKVSDDFENVESFPEENLLPPTLNYFQLGKCSKLRIINFKGLLHLESLKSLSIRHCPSLERLPEEGLPNSLSTLEIRNCQLLEQKYQKEGGECWHTIRHIPIVIILDD >RHN66206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9712012:9712629:-1 gene:gene14147 transcript:rna14147 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFLFTMAFSTAPYFLPFFLYTPPIRSFNTFVEAMEEINRELRLYTNRLNPRIQVGWSRILDCIPFNTR >RHN45806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25795073:25796302:1 gene:gene40214 transcript:rna40214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDSLQSNGGVLTSVLFDELITEILSRLPVKTLMQFKCVCKSWKTLISHDSSFTKLHLHRSRCNTHLALLSDQDISDTKWDFSVVPIPVSRILEIPLRNISIPKDPSYYLFTNMDCCFIVGSCNGLICLNGDSSPTEPLNCWLRFWNPATKTLSEKLGYPTNFFKFITFGYDILNDTYKVVAFSANKVNIFSMSDNAWRDIPTFPIVPFGVESEHLECHPFLNCGVYVRGTINWLAIRNMTDQYEWRDITIDQFVIVSLDLATETYRQLLPPSGFVEVPPIQPFVTVLMDSLCFSHYFKGTHFVLWKMMEFGVQESWTQFLKISFQDLQIDYGSSDWERFEEQMFLLPLCVSESNDTLIMACKQEGYDFDEQHAILYNWRDKRVKHTTSVNNKIWWFYTKDYVESLVSSS >RHN56985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35451744:35455063:1 gene:gene32458 transcript:rna32458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-YABBY family MEEGNELVMEMVPYENENEIISSMEEGKELEMELEMVPYYTLTESFYRKLKELLDPSGFNLIYDVRKTSLDLYLFYLEVTKRGGYHQVDQEKKWGEVVSALKLEGNNATLCDQLEKLYKELLYKFETLYFYRSPATGSNTGPVERNQNSTTSLSQLMDDQDYLKARKISEHYSSQITGIGYQEFQVVQQAPSKNKEKKKRRGAPIGQSGYNIFLKQECARLKANHPDVGGRKIIDMAIDAWNKLSDNEKRPYEEASMKIKEEVKEAPTNNKEKKKHRGVPRGQKSAYQIFLKHECARLKADHQFQGDRKLKAIDAWKMMSPLEKLPYEEESMKIKEEIKAAMIQKSTEDLNRDEERPIVCGDYYSVTSQPLSNDSFGNNAAVDLTEKVSEDPFFPGDLNDHHLLDFPSGEPK >RHN46975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36166865:36168208:-1 gene:gene41519 transcript:rna41519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVRQFCFPSATPPNFIPTRKRERVMFGLPSLSDDLIAEVFSFLPVKSLVRFKCVNKYWKTRISDNTFVKLHLNRSATRNPLFTLVTSHITNDCTDFDGGYGMDCSVIPYSFNRLIQNSSFTLSVDPYYHLSYQGCSSIVGNCNGLILLAGGDDCQVVNFCLWNPATRVTSQNFGDFCRSPRGHPFPDDLDLYSFTFGCDISTGTYKIVASYYNLDGQHTSRILSIGDNVWRQIQSFPVVPLHFYLGGKAVHDSVYLSGTLNWLAIRNEFDYDIKNLRVEQFVIVSFDLGTETFSQYRLPSDFDEMPPMMPIVSVLGGFLCCSYFYKETDFLIWQMKELGVEDSWTQFLKINLQNLPRNYDYFSDEDSSDDEIKYQFELVPMLLYEDDDTLVLRSSQGSEAILYNWRENRAERTKLTTSSTIINDNGTSNSILWYSAKVYVESLVSIF >RHN57372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38472012:38472617:1 gene:gene32907 transcript:rna32907 gene_biotype:protein_coding transcript_biotype:protein_coding MNWLDEINEEIENNVPSIANEREQWKKHSIYKVPSQLTELNEKAYVPRAISFGPYHYGKEHLKPMEEHKHRALLHFLKRCGESFKSIFQKLDKVAQELRDSYKSHDSIWINDTSKFIQMMILDGCFILEFLRVNVDSNTPTDYDENDPVFGEKGKFYLASYIKRDMLMLENQIPMMVLHKLIQLQIDGDEEVIFMTTFSSV >RHN65695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4453118:4453624:1 gene:gene13567 transcript:rna13567 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCSSQSQWIGVNSGGDDDKDEVGMIRGLFEIGTRKKMEGGVYWSF >RHN43549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46758122:46768987:-1 gene:gene50150 transcript:rna50150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoamylase MACIPHILSPLSHSTTLANLINITNFEIHNRTSIIISPNSRPKKKKKKIFAIGNRVGVDTETAVIEIEKRQLQLSKGHPSPYGATPQEDGVNFAINSLNSLSATLCFFTLSDFKNNKVTEYITLDPLVNKTGCVWHVFLKGDFKDMLYAYKFDGKFSPQQGHYYDSSRILIDPYAKAVISRGEFGEVGPDGNCWPQMAGMVPFDNEEFDWEGDLPLKYPQKDLIIYEMHVRGFTKHESSKAEFPGTYLGVVEKLDHLKELGVNCIELMPCHEFNELEYYSYNAIQGDYRVNFWGYSTINYFSPMIRYSSAGIQNCGRDGINEMKLLIKEAHKRGIEVIMDVVFNHTAEGNEKGPIISFRGVDNSVYYMVAPKGEFYNYSGCGNTFNCNHPVVRKFIVDCLRYWVTEMHVDGFRFDLASIMTRSSSLWNGVNVFGAPIEGDFLTTGTPLSSPPLIDMISIDPILRGVKLIAEAWDAGGLYQVGTFPHWGIWSEWNGKYRDTVRQFIKGTDGFAGAFAECICGSPNLYQGGRKPWNSINLVCAHDGFTLADLVTYNNKHNLPNGEDNNDGENHNSSWNCGEEGEFVSASVKKMRKRQMRNFFLSLMVSQGVPMIFMGDEYGHTKGGNNNTYCHDNYLNYFRWDKKEESSSDFFRFCRLLTKFRQECESLGLDDFPTSERLQWHGHFPVTPDWSESSRFVAFTLMDLVKGEVYVAFNTSHLPFTITLPERPGYRWEPLVDTSKKAPYDFLTPDLPGRDIAIQQYAQFLDANMYPMLSYSSIILLRTPDVNA >RHN49207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53285856:53287653:-1 gene:gene44013 transcript:rna44013 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVIFLPFCNLPHRQCNLHERLFFFHFVKVIFLPFEDDHLITSTKTKIPLLVGEEPLTSLWGLTPAVLILKPMYILVILGCYIFKGTLEYK >RHN53109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42058477:42059166:1 gene:gene37874 transcript:rna37874 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLLNITKIDRLNITNTDQFIHELPITLQLFPLRFFFQAALIFGSVLVFFFFNKLNMI >RHN52255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33106317:33106796:1 gene:gene36908 transcript:rna36908 gene_biotype:protein_coding transcript_biotype:protein_coding MENSVCLLHQGILVFRDIIRHAFHIQLLLCRLHCRRNFQYKIAPQVHLSTS >RHN74429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33153135:33153475:-1 gene:gene10502 transcript:rna10502 gene_biotype:protein_coding transcript_biotype:protein_coding MNNILMYQLNYSQNNIADCPSVLLVPLFHVTQCVSQPRKCKTNLNM >RHN42428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38296826:38298157:1 gene:gene48868 transcript:rna48868 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAASSAAKAVAAEYQYPWREKLAKYKDEFAKGVWGYWELGAWKPLSISARHRARIRKEVLLAGEDWTFDPERKEMKTKRKGHKVDRIAAEKRANTARLMEKMPDMLLAYKKKKWAKKMKEEEKGKF >RHN80201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36074010:36077345:-1 gene:gene4099 transcript:rna4099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trans-2,3-dihydro-3-hydroxyanthranilate isomerase MHQYIIHRGFDQFYLQHLFPHHQMAKKHVKYYVVDAFTDSAFKGNPAAVCLLEEDKDDEWLQSVAAEFNISETCYLTSIHGTSIPRFGLRWFTPVVEVNLCGHATLAAAHTLFSSGLVDKNVIEFVTLSGVLTVRKIPSMDVTGVPNLLNSEAAAGFYIEMDFPAYPVAEFNSDDTSLISEATNGASIIDIKKTGEDLLVVVASGKNVTEIQPQLDAIAKLPGRGLIVTGIAPPESGFDFYSRFFAPKFGINEDPVCGSAHCGLASYWSKKLEKCDLKAYQASTRGGVLNIHIDEQKQRVFLRGKAITVMEGCVLV >RHN63571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52302084:52302923:-1 gene:gene26289 transcript:rna26289 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPITNNNMDYSIGKSKNEESLCEKSMKVVVNVIRLSSFSIAQRTLGVGASTTRKSRKDKDLSDSEKEPMKEKLVSNKQFPASSRRSQQPQSRANPTYVIKSVGSNRSTEYLIHKERLHDVNPNKELCVDGLASDYISKIRNKLGRSL >RHN63536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52014285:52016488:1 gene:gene26243 transcript:rna26243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MEVKRYLLECIPFAAMVIVECLDVGLTTLSKEAMSTKGMNHFIFVLYSNALATFILLPSYFLINRTTRPPLSFSLLAKFFFLGLVGITIMQNCVFTGISYSSPTLGSAMSNLTPAITFVLAVIFRMEKLDAGSSISQIKIVGTVVSISGALIVTFYKGAPISSIQTQPSTSQPFWSLLAETSNWVIGGLFLATASLSLAIWNIAQAEILRGYPSQLTIVAFYCLFGTIQCALLSLIVVKDPNAWNLSLDIELIAIIYSAIFGSAVTFSVLTWCIDRKGPVFVTMFKPVGIAIAAFMSVAFLGETLHVGSVIGALVIAIGFYTVMWAQSKEKNVKGLEVDRLPSSSSAPLLEST >RHN41312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29043558:29045905:-1 gene:gene47620 transcript:rna47620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MNYWIWDMSFMLGLCSATSVRVSNELGAAHPRLARFSVIVVNGTSLLISIVFSALILIFRVSLSKLFTNDSTLIEAVSHLIPLLAISVLLNGIQPILSGVAIGSGWQDLVAYVNLAAYYLVGLPIGCVLGFKTSLGVAGMWWGMIVGILLQTLILIILTVRTDWDKEVEKAIARVNSEAGKLDLVDNI >RHN46669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33927433:33928257:1 gene:gene41188 transcript:rna41188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MASSMLVKVTCLAMICLVLGIPLANAAASCPEIEQTLTPCLEYVTHPGSPPPPEPCCNAVKAIHGQTHTPQDRRDCCSCVKSMIGDIPGLNLPAAASMAKDCGVDLGYEISPDMDCSKVN >RHN77978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11015551:11024460:-1 gene:gene1491 transcript:rna1491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MPSLNSAAAAVTLAMLIVTFRYSVYGDEHQESCSRWCGVHNIRSPFRLKDSPKKCGDKRYILSCEDNNQLILYYEFGKNHGKFYVQSINYNNYTIRLLDVNFGYSNFTLPPHSLGLYNFTYTYSISLPYEMYVYNILLAKPVLYMRCPNHVQYSSGIYFASPACMNISTYEQPGNSFYVNYIGENETFSDSGLADGCGIVLMYLTSLDIQNNNNHNKNNNISCTDIRREIFYGFELSWLNSFCKDGWYADLDDNNTYVCVEDLHLNFGEYSALQKVAWNLVYISILIALLVGFKFVLGAPCIIALLIYKWRRKHFSMYDGVEDFLRSDNNIMPIRYSYKDIKKITHQFKTKLGNGGYGSVFKGQLQSGRLVAVKLLDKAKSNGQDFINEVVTIGRIHHVNVAHLIGFCVEGSKRVLIYEFMPNGSLEKYIFSHTKENYSLSCEQLYSISLGVARGIEYLHNGCNMKILHFDIKPHNILLDENFNPKVSDFGLARLSPTDKSIVSLTAARGTIGYMAPELFYRNVGTISHKADVYSFGMLLMEMASRRKNLNALADQSSEIYFPFWIYDRLHDGREVTIENDTDQEMKLAKKMMIVALWCIQTKPEDRPSMEKVLEMLEEEDGDLQIPNKPYFCPQDPPTADVGDDNCSNSWTSYGTSVSYPKGPT >RHN60709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29738552:29740164:-1 gene:gene23064 transcript:rna23064 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKCKTCSYFIFWNKKLSCFFFWKTRNLDCYVYCGTMNWFFVEVTGFCYDFVFP >RHN45613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23691401:23692737:-1 gene:gene39991 transcript:rna39991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MKKVVLKVDFYNDRIKQKVMKTASSLSGVESVSIDWKEKKLTISGDIDPIKGVCKLRKLCQTEIVSIGPLKEEKKGSTNTNEVIPLQYFETYPFYYQMTPSQYFQDYYYGSVW >RHN65482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2565593:2567072:-1 gene:gene13325 transcript:rna13325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation HMG family MFEVFGDQEKIASSPHLAPKSVDQEEVVDQYIQKSKSKEHQAKKIKVEKDHDMLENPPSSFSLLWDKFGKNFKEVDRNSGVNKMAIKAWNSMSNEDKQHYLDKAAKRKAKHEKLKKKG >RHN76481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50709064:50714496:1 gene:gene12814 transcript:rna12814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyl-CoA carboxylase MASSHCSLGTQNVKVLNLHFGQERAEFSQHFGTRSWISRKSLQYARLVASKQTVRSLTPSNDQSAEIQSVSRSEDGSEESKSSGLTNQLFPNFNDVEFLLTNLCDTASIGELELKLDGFHLRVVRDLTEKSKTLPPSIPAPVSINTPAEAPKPNGSVSTLTTLAISKPAPSSLSIEGFLEKAADEGLVIIHSPTVGIFRRSRTIKGKRAPPSCQEMQNVEEGKVICYIEQLGGQLPVESDVSGEVIKILREDGDPVGYGDTLIAILPSFPGIKKLQY >RHN38787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1966382:1971772:-1 gene:gene44754 transcript:rna44754 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRTAIDSSFWDLNISSPQNLDGWAKFVPGDPIPLDASVSSRLYRHQQLPHITPHFPFGIIPSSVPSPKKEHGSFSLQSLLLDFTGPRWWLAATGQFRPRKMIVDIKNEICNAAEFNLSTAKSVAKHFIDKSLFSYGLNSQFALSPSTSVLFGLEGHGEKEKHRKKVVFFQELSDHDLTVEAAWPQLFVDHKGRYWDVPESISVDLASLVARRGLRYRFGMHKNNGSPQATNATDSDTPLSLLPGLCAKASVTYDKIKYLWRNTETEEEYKDLFPHDQGLMVPHEAVSGIIGSSCASWIWNGKNLIDTGEDPAVSKRRKRSRFNAEIFGSVCYTFQQGRFSKKFGDLTRVDARLDISSASGLAKKILNGFKSSSADIIEQPSASPRLNLIFQQQVAGPVVFRADSRISIDSLSRKHGISIEDFICSLNFYMDPNTSDMESGKIVAWYSPKRNEGMVELRLYEF >RHN81253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44362772:44363275:-1 gene:gene5269 transcript:rna5269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNNTSINSENPSENSNALAYYYIGLSLAFILLMMFMSLFSCYRQNRLLSRGSNNNNHNRTVIWTERDTAVINIEDAILNSYPVLLYSQVKFHHKADSTSLICSICLGDYKDSEWLRFLPDCGHFFHKDCIATWFRLNLSCPLCRNSPLPTALPTLLAEVTLLATTHD >RHN43369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45213938:45217388:-1 gene:gene49930 transcript:rna49930 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVNNRNDGRDSNNTLDTINAAAFAIASSHDRLQPSTATNQKKKWGNWLNITGCFGYQKNNRKRIGHAVLVPETTPTGADAAANAVSSTAQAPPSITLPFIAPPSSPASFFQSEPPSTAQSPVGILSKTSVSASMYSPGGPNSIFAIGPYAHETQLVSPPVFSASSTAPFTPPPESVHLTTPSSPEVPFAQLFDSNSRNSETYQRLQISHYDFQNYQFQPGSPVGPLISPRSAISGTSSPLPDEFKKLDTAKLLHLDKLSIYGKQKSSQSSGSITPDAVKATTTQAGFFPNHWVSDIKISPCPSNNHRNETSVNHRVSFELSAQKASSSVENKPPASSQWTKVLSKFKNDAAAAAKTTDKEENHSIENECDDKQVVTETLIDTTKQRKAAEATVDEKDHQSLTLSSSSTKEFNFANAEGGDSPAPNIVADWWANEKVAGNENAASKDWSFFPIIQPHVS >RHN50391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6740114:6746629:1 gene:gene34669 transcript:rna34669 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPRRPPRTIIDPVPKFRQVGFFTPSAPPDRSQSGPPDPTHSPPLPETSAAASLSPVMIPPPRHLSDNLLIHRSAAMVPSPRRAESISVGGNGSYSDAAPVSPAPSSSYSSRLGGERGFFDGKEKIVASSFPRGGFDLTALKGNVVVKESALTTVSVVNDSLVIHEKEKPHKGGGSAGEMKDQSNSSKQQKPKLTKAERRELQEAQRAAKAAAKGEGNKASATATSANARPAKAVKPAQKSDNASVAATEKKGGDFPHEKDRKKDVPQPRLQYDDKSRVEKAKRRSVVKQTEARNRVELFRHLPQYEHGSQLPDLEAKFFDLYPMHPAVYKVGLQYISGDISGGNDRCIAMLQAFQEAIKDYKVPPEKSLGRDLTAKIGSYVSFLIECRPLSISMGNAIRFLKSQIAKLPLTLSESEAKTSLQADIERFISEKIVLANKVIVKHAVTKIRDGDVLLTYGSSLAVEMILLHAHELGKQFRVVIVDSRPKLRGQQLLRRLVEKGLNCTYTHINGVSYIMHEVSRVFLGAESILSNGTVYSRVGTASVAMVAHAARVPVIVCCEAYKFHERVQLDSICSNELGDPEVISNVPGRMDVNHLNGWADIENLQLLNLIYDAMPSDYVSMIVTDYGMVPPTSVPVIVREYGREQVWI >RHN43758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48257453:48258621:-1 gene:gene50380 transcript:rna50380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MTTQCSHSFLLLSILFFAATFSNLRSTTATGAEPPTTTNDDAEFIRSSCNATLYPDICYTSLSRYANAVQQNPGQLARIAIAVSFSKVHRTASYLSNLTREADYSGSSRATLALHDCFSNLDDAVDEIRGSLKQMRQIGAAGTGAGAGADSFLFQMSNVQTWMSAALTDEETCTDGFQDVEDCPVKADVQNRVSKVKKLTSNALALVNGYAQKGMP >RHN56730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33262237:33274557:-1 gene:gene32170 transcript:rna32170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polynucleotide adenylyltransferase MGDLHLNGVVFAEDRPYSSSPPSPPLPVFNPDPSSVTDEASSAAEQTTAEILRRIQPTLAADRRRREVVDYVQRLIRYGARCEVFPYGSVPLKTYLPDGDIDLTALSPQNIEDGLVSDVHAVLRGEENNDAAEYEVKDVRFIDAEVKLVKCLVQNIVVDISFNQLGGLSTLCFLEKVDRLVAKDHIFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHRFHVSLDGPLAVLYRFLDYFSKFDWDNYCVSLKGPVAKSSPPDVVAEALENGGNTLLTDEFIRSCVESFSVPPRGLDLNLRAFPHKHLNIIDPLKENNNLGRSVNKGNFYRIRSAFKYGARKLGWILMLPEDRIADELNRFFANTLDRHGSNQGNEDNSFLCLSTGSKDMITGNQHNYEIRSERERYVVKDISSLEGSSFDSSGDGNTVAIYKLGEDSKHVATSGVLGIASTNGFSHCSNGKAESRSCSETDVNSIFDDEKEKHGMVSNSPRSHTDEKNMASNGSTVLRDAANNLENGFFHSDRYNNSVSGGTEASKSLLDLAGDYDSHIANLHYGHMCNGYPVSPVVVPSPPRSPKFHNRNSWETVRQCLQMNHSIHPQTNSNGVVGPLYLVNHPTIPMASFGAEEKRKPRGTGAYFPNMTSRPFRDNRPMPGRGRGLAPGTHGHLQRYNHSNGFALASQEVNLSVEGSFEPALEVYPGLSNGRPRSSETYFSQPSTWGARHANGFPHSSDKHESGSGSPQLRGSPRTEVSNHPDQGISTSGVSVPNTEIATEEKSNSLSVADPKRIEVQGYHLKNEDDFPPLSN >RHN66813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19201942:19212709:-1 gene:gene14905 transcript:rna14905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MGIGNGDNFIVSVTNEEVVTAVLPIKEHCLPLSNLDLLLPALDVGVFFCYKNPMLLSTSTTLLTFDSMVVSLKKALAKVLVSYYAFAGEVVSNSVGEPEILCNNRGVDFVEAVADVELQCLNFYNPDESVEGKFVPKKKHGVLAIQATSLNCGGIVVACTFDHRIADAYSTNMFLVSWAEMAHPTKSKSITMTNEPCFRRSLLNPRHPGSIHPSLDDMYIPISELSPPSATTAKSLLSRIYFVTSEELYRLQSLADWTNNGTTKPTKLESFSAYLWKLVANSATRGGSKMGIAKMGIVVDGRRRLGNDSSDGDGREAMMTHYFGNVLSIPFGGKSVEELIDKPLGWVVDKVREFVSVATTEEHFLGLIDWVEAHRPVPGLTKIYCGDPNEGPAFVVSSGQRFPEDKVDFGWGKVVFASYHFPWGGETGYVMPMPSPLRNGDWIVYMHLSKEQLEIIESEADHVFKPLTWDYLNQ >RHN53813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4335776:4336118:1 gene:gene28763 transcript:rna28763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MIDALLCEFEIKLSDDMIETIIQKTLLDADPNQDGKIDKFEWKNFVSQNPSLLKIMTLPYLRYLDNYFLKFIHSNILNYV >RHN67600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28532993:28534309:-1 gene:gene15805 transcript:rna15805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MNPLMMAAILGRKKSSKKVTSHTHAAKKQCGICFELKTDYEIFSIRSTILKRRKCKHFFCVECICKYVEVEINENPLKVMCPSPNCCVKYNPKHFNHILPKKVFDKWEYLISEFSIPSEKKTYCPFENCSVLLDKEDLIEKDVDKCSSKCPSCHRRFCAKCKVPWHGGMSCERFQAIKRSNPNDLDTIFLELAKSEMWQRCPHCSMFVKRVHGCSYIQCRCGCKFCYDCGKKRPFAYVHICKDPNVCSTYLSSTIDWLLLIGFFGSLSEVN >RHN57507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39621900:39624677:1 gene:gene33056 transcript:rna33056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative urease accessory protein UreF MIYLKKTKTQIRVRRRKLNNSSCSCSTNFFSSTLTCTFSNLTFPVNFGRNIISLIMQTNEEREKPVFENSFLQWSQWQLLDSILPTGGFAHSFGLEAAVQSRLVSDSNELKTFLIHVLENTGSLFLPFVYLSCMSPNMETWHKLDRILDATLTNEVGRKASISQGSALMRVASAVFAEIPSLKTMRDSSMKLGTVSFHHAPIFGLTCGALGFDSTSSQRAFMFITMRDVVSAATRLNLIGPLGAALLQHQVAPIAEVILEKWMNRDVEEACQTMPLLDTVQGCHGYLFSRLFSS >RHN42914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41909391:41910889:-1 gene:gene49420 transcript:rna49420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclase-associated protein CAP/septum formation inhibitor MinC MEDEMEKVTSSSSNNEEAEAEAALQKKHLSMLDRLSKRHPTKSTTTSTEPPTESTSSFLSRFSQLKSSIESHLSESQFISSSDPSHLKSHFDKISQSISDLEKLVAQSSYFLPSYDVRSSLKTVSDFKRTLDNLSSELIPKKKFSFKNKATKVVAESKQSITPVLDSVQSSFTVRDSPGFRNKTGEVLIGEFKESEFGEFTVSDLDSCEVRIIGCVRALFVHRLKNCRVYVGPVTGSILIEEVEGCVFVIASHQIRIHGAKRSDFYLRVRSRPIVEDCIGVRFAPYCLSYRGIEGDLHGAGLDVETGNWANVDDFRWLRAVQSPNWSILPENERAEIVDISNSECRKEEI >RHN68298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34393141:34395631:1 gene:gene16632 transcript:rna16632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MHDFACYTKFRYLVLEKCGQGSTDDELSPTCVSSLLGIQIEGVAAGLWHTVCTSADGDVYAFGGNQFGQLGTGSDQAETLPRLLDCPSLENVNVKTISCGARHTALVTDNGKVFSWGWNKYGQLGLGDVIDRNIPSEVAIEGCIAKNVACGWWHTLLLAESPT >RHN56095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27650862:27651578:-1 gene:gene31431 transcript:rna31431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MLITISNRAQSSALLSDTGELHSEAFPTKSIFTIPTKIWQKCVGASVKIPKLHSKVYYFPQGHLKHVSPHTIITLLHCYPPSISCIISAVDLLVDPHTDEVFAKLLLTPVMDGHGHEQEAPPEVPAEDDDGYNVVSFVKILTQSDCNSGCGFIVPLPCVDLILPKLSLDDPMPSQKLSVTDIQGRIWQYTHIYRGKSKRHLFSRGWTSFVNNKKLVAGDSFVFIKNSAWWLMLNWHHH >RHN66549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13658162:13658723:-1 gene:gene14555 transcript:rna14555 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHTGGFFGIPGDDYDYKLGIDYELSCDPACWSYSEILGILKEKGYPEVLLMYYKADEIVKELKNDEGAMEMVIESGVDGKWFTFYWFLVVGFHLQHVRHFQGIAKRICTYAKGLRTDTWELVRSYGVAANCVTMVLPLLNNTSCRHPSPCINDLTLSSTISP >RHN54377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9112188:9120148:1 gene:gene29397 transcript:rna29397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aconitate hydratase MATQNPFNNILKTLEKPGGGGEFGKYYSLPALNDSRIDALPYSIRILLESAIRNCDEFQVKSDDVEKIIDWKNTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNRLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNKERFGFLKWGSNAFNNMLVVPPGSGIVHQVNLEYLGRVVFNTNGVLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLLGKLRSGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMSELPLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVSMIESYLRANKMFVDYNEPQVERVYSSYLELNLEDVEPCVSGPKRPHDRVTLKEMKADWHACLNNKVGFKGFAVPKESQTKFAEFKFHETPAKLRHGDVVIAAITSCTNTSNPSVMLGAALVAKKACDLGLQVKPWIKTSLAPGSGVVTKYLQKSGLQPYLNQLGFNIVGYGCTTCIGNSGDINEAVASAITENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVNIDFDTEPIGIAKDGKQIFFRDIWPSSEEIADVVQSSVLPDMFRETYNAITKGNPMWNSLSVPSGNLYAWDSTSTYIHEPPYFKGMSMSPPGSHGVKNAYCLLNFGDSITTDHISPAGSIHKDSPAARYLTERGVDRRDFNSYGSRRGNDEVMARGTFANIRIVNKFLNGEVGPKTIHVPSGEKLSVFDAANKYKSEGHDTIILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKSGEDADTLGLTGHERYTIDLPSSVNEIRPGQDITVVTDNGKTFSCTLRFDTEVELAYFNHGGILQYAIRNLINAKH >RHN73318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15335999:15336517:1 gene:gene9119 transcript:rna9119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MMTTVYRTLELDIISAKDLKDVNLFSQMSVYAIVSILGDPLNPQITTTHIHRHAGRNPTWNIPVKFAVNESLAYYNRLSLEVKLISYRKFLPCSTIGKVRIPLKGLLDNPANAGFQLSYQVRKKRSRKSKGTLNLSYKFGDRFYAQVTTNVIKNDGLFIGMALCLAMFIILA >RHN61279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34430918:34438883:1 gene:gene23722 transcript:rna23722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative coatomer/calthrin adaptor appendage subdomain, coatomer gamma subunit MAQPLIKKDDDRDDEDNYSPFLGIEKGAVLQEARVFNDPQLDARRCSQVITKLLYLLNQGETFTKVEATEVFFSVTKLFQSRDLGLRRMVYLIIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGSVRSPLAQCLLIRYTSQVIRESGNITQSGERPFYDFLESCLRHKSEMVIFEAAKAITDLNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFDYKKAIVDSIVILIRDIPDAKESGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTLDPSKYIRYIYNRVHLENATVRASAVSTLAKFGASVDGLKPRIFVLLRRCLFDSDDEVRDRATLYLNTLGGDTEIDNDAKDFLFGSLDIPLVNLETSLKKYEPSEEAFDINLVPKEVKSQPLAEKKAPGKKPTGGLGAPPSGPPSTVDSYEKQLLSIPEFANFGKPFKSSAPVELTEAETEYAVNVVKHIFDTHVVFQYNCTNTIPEQLLEDVIVVVDASEAEEFSQVISKPLRSLPYDSPGQIFVAFEKPEGLPTSGKFSNILRFIVKEVDPTSGEAEDDGVEDEYQLEDLEVVAADYILKVGVSNFRNAWESMDPDSERVDEYGLGPREGLSEAVNTVITLLGMQPCEGTEVVPPNSRSHTCLLSGVFIGGVKVLVRLSFGLDGAKDVAMKLAVRSDDVTVSDAIHEIVASG >RHN42163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35983041:35985400:1 gene:gene48568 transcript:rna48568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TMEM220 protein MATSSSRLFNLCSLLMSTLFAYSASVQLNDPDWYFWFPLYSCACLINLNSCIMKIKLNKPIATITIWIGILLCSKVVVEDYVYEIAGFWSLDLSERVVREKVGSIFVIISIFFQMEAASDVQKDISNVVKYGMLVLVVFSYLLPFVFFVVLKGEMKL >RHN54113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6999875:7001270:1 gene:gene29100 transcript:rna29100 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSSSDSTRVATAKLILQDGRLQEFTSPVKVSYLLQQYPSSFICNADEMDFDDVVTAVDENQTLQPGQLYFALPLSWLRQPLQAQEMAALAVKASSALMKSGDKCGCRRKQILFSVESDSKRVSPATGGTVQRSRRGRSARGGSAGVEKFTALLSSIPE >RHN40514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17062384:17063991:1 gene:gene46672 transcript:rna46672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MFPLRSCGFFWRRGRAGHVQPGECYHLYPKCVYEAFSEYQLPEILRTPLNSLCLQIKSLQVESIGKFLSSALEAPDPRAVQNAIEFLTTIGALDEDENLTNLGKVLSILPVDPKLGKMLIMGAIFRCFDPVLTIVSVLSVRDPFLMLQDKSEVSRPIILILSFW >RHN71409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58795769:58798721:-1 gene:gene20089 transcript:rna20089 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKTKKIIPLSLVLPPSTTYVDLCKNGRVTDTIGDNDVSFGDQNFFISNSKICHMCTKQHFESTLECQVRRALPCFIEPTQHLGLLPTSFHFDLNHQKHQYQPELLNHSHFSLYIGSLGLLPTSFHFDLNHQKHQDQPELLNHSHFSLYIGSLIERKLFTPSKFTPETTGPSL >RHN81085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43195932:43197949:-1 gene:gene5082 transcript:rna5082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MATTTNFFILGILFISVIGASGRSLLDNGSAGGIYDVTKFGAVADGKTDNVEKIRAAWQAACKTSTVPATVLIPAGTFVTGQTLFAGPCTSPKPITVEIVGKAQATTDLSEYYSPEWFQFENIDGLVLKGSGVFDGQGSISWPLNDCKQNKGNCASLPSSLKFDKIKNAIVQDVTSLNSMQFHFHLHGCSNISFTNLHITAPGNSPNTDGMHISSSDFITVTNSVIATGDDCISVGHSTSNITISGITCGPGHGISVGSLGKRPEEKTVNGVSVKNCTFIGSTNGARIKTWIGTAPAEAKNIVYEDLIMKDVQNPIVIDQSYGKKERVPSTSVWKISDAHFRRIKGTTVSNIPVSLQCSSKNPCENIEVADVELTYVGPQKSIPFANSCINAKAIFGGKLNPAACVS >RHN42987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42386561:42388573:1 gene:gene49503 transcript:rna49503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MMSSSPNPAKKPKLEIITTNPNWLELPKDLTSNILKRLGAVEILTNARNVCPYWWNICKDPFMWREIQMGTFHLYFQYANADAPDLDYLVKLCQYAVDLSSGHLEKIDIYRFGSDHLLQYIADRASNLRHIQLASCMRVSDEGWCEAAKKFPLLEEIDISHGFQTKISLEVIGQNCPLLKSLVYNGMSYGGRSKCDEAFIIAKTMPGLRHLDIHKNPLTDDGLLAILDGCPLLESLNIAGCYNLDFDGSLWERLHNHIKDLHLREYYPGPYYHSFEPVCVYADSSGISYYEIVEKFVDPEFKDEDSDA >RHN49082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52308488:52310569:-1 gene:gene43871 transcript:rna43871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MNGKVIISAVSLILVVGVAIGVVCAVHKNGEDPEVKTQQRSLQVMCQNADDQKLCHETLSSVRGADAADPKAYIAAAVKAATDNVIKAFNMSDRLTTEYGKEDGTKMALNDCKDLMQFALDSLDLSTKCVHDSNIQAVHDQTADMRNWLSAVISYRQACMEGFDDENDGEKKIKEQLDVQSLDSVQKVTAVALDIVTGLSDILQQFNLKFDIKPASRRLLNSEVTVDDQGYPSWISASDRKLLAKMKGNGWRANVGANAVVAKDGSGQFKTIQAAIAAYPKGNKGRYTIYVKAGVYDEYITIPKDAVNILMYGDGPGKTIVTGRKNGAAGVKTMQTATFANTALGFIGKAMTFENTAGPAGHQAVAFRNQGDMSALVGCHILGYQDTLYVQTNRQFYRNCVISGTVDFIFGTSATLIQDSTIIVRMPSPNQFNTITADGSYVNKLNTGIVIQGCNIVPEAALFPQRFTIKSYLGRPWKVLAKTVVMESTIGDFIHPDGWTPWQGEHFENTCYYAEYANTGPGANIARRIKWKGYHGAISRAEATKFTAGLWLQAGPKSAAEWLTGLNVPNYLGFKA >RHN59573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12138607:12142502:1 gene:gene21621 transcript:rna21621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MAESNEVLLEPQLALVDGVVDCKGQQAVRSKTGYWRSAWFIIGVEVAERVSYYGIQGNLISYLTGPLKQSTATAAENVNIWAGVASLLPLFGAFVADSFLGRYRTIILASLIYILGLGLLTLSAMLPSLTSCSPESQVILFFISLYLVAIGQGGHKPCVQAFGADQFDEKHPKEHRARSSFFNWWYFTMIAGCTSILTILNYIQDNISWVLGFGIPCVVMIIALIVFWLGTRTYRFSIKDNDKSPFLRIGRVFVTAIRNWRKTLSTTDFEEECDGLLLRQSSEQFNFLNKALLTPKGSKEDETCSLSEVEEAKAVLRLVPIWATTLVYGIIFAQVFTFFTKQGSSMQRTIFPGFDIPPASLQSINGLAILLFSPIYDRIFVPLARAITGKPSGITMLQRIGTGIFISIITVTFAAFVEMKRLKIAQEYGIVDDPNATVPMSIWWLVPQYFLFGVSEVFTMVGLQEFFYDQVPNELRSMGLALYLSIVGVGSFLSGFLISLIEHFSGKDGHETWFCDNINKAHFDYFYWLLAGLSLMGFTLFVYFAKSYIYNHKGSVTQG >RHN63294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50142413:50144054:1 gene:gene25979 transcript:rna25979 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKKKIRTHLKQQKKLRLQVIVNPSKKTKKQKQAIVCETKESESYEESLPEGNIDKAFKQQRENPSRKTKSSHKKKYKRNRNYLLLPTLGQKRKQKCKKLQGILDLLREKCKKITEVSITLEPKGKAQVAAIKLSNKVRKSKEGKNECEIDESTKTKQLLLEDNAVNTTDPNTNKKNEHVCDEDIELMKVKPDGETSINSLKLQLAQQLELQDLSTVRYFMAYSHRGDHFVNILLQTNLFATKVVDNSLELNARYTSSENVPLSDHILCIELSVFYHHYYYN >RHN68362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34866390:34871740:1 gene:gene16703 transcript:rna16703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transport protein particle (TRAPP) component MIGVGKVKQYGNVLDKPLNKGKTEVSLSAFAFLFSELVQYNQTQVDNIGELERRLEDAGYAVGARVLELLCHRDKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNKFISIPKDMGTFNCGAFVAGIVRGVLDGAGFPAVVTAHFVPMEGQQRPRTTILIKFAEEVLLREARLG >RHN38496.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:74110:74346:1 gene:gene50603 transcript:rna50603 gene_biotype:protein_coding transcript_biotype:protein_coding MASGATCVQRLDSSRDFAIHTKYRISLRSSSMQEPRYPLPRVILYNVSKHNTHENRLRCHAGVLRAKFKFLDAFSAGV >RHN73972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22743268:22746617:1 gene:gene9884 transcript:rna9884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MHRNQSYAFVVVSLLSTCFMLLCSSSHSSFGCLEQERQALLALKGSFNDTSLRLSSWEGNECCKWKGISCSNITGHVIKIDLRNPCYPQRGGAYQSNCSFSKNKLEAPEIHSSLSSFIYLSYLDLSGNNLSSSPIPTFLHFMNQLEFLSISDSYLSGIIPNNLRNLTKLYFLDLSFNSYLHSDDVNWVSKLSLLQNLYLSDVFLGKAQNLFKVLTMLPSLIELELMNCSITKMHSHDQQLVSFTNFSSIVSLNLADNRLDGPDLNAFRNMTSLETIDLSNNSFSSVPIWLSNCAKLDSLYLGSNALNGSVPLALRNLTSLTSLDLSQNKIESVPLWLGGLESLLFLNISWNHVNHIEGSIPTMLGNMCQLLSLDLSGNRLQGDALIGNLQSARCNGSGLEELDMTNNNFNDQLPTWLGQLENMVALTLHSSFFHGPIPNILGKLSNLKYLTLGNNYLNGTIPNSVGKLGNLIHLDISNNHLFGGLPCSITALVKLEYLILNNNNLTGYLPNCIGQFISLNTLIISSNHFYGVIPRSLEQLVSLENLDVSENSLNGTIPQNIGRLSNLQTLYLSQNKLQGEFPDSFGQLLNLRNLDMSLNNMEGMFSEIKFPKSLAYVNLTKNHITGSLPENIAHRLPNLTHLLLGNNLINDSIPNSICKINSLYNLDLSVNKLIGNIPDCWNSTQRLNQINLSSNKLSGVIPSSFGQLSTLLWLHLNNNNLHGEFPSFLRNLKQLLILDIGENQISGTIPSWIGDIFSLMQILRLRQNKFQGNIPSHLCKLSALQILDLSNNMLMGSIPHCVGNFTAMIQGWKPSVSLAPSESTYIEWYEQDVSQVIKGREDHYTRNLKFVANVDLSNNSLSGPIPKEITLLTALRGLNLSHNHLSGEIPTAIGDMKSLESLDLSQGQLSGSIPHTMSSLTFLSVLNLSYNNLSGPIPQGNQFLTFNDPSIYVGNKYLCGAPLLNRCHVDNRDESGDDDGKHDRAEKLWFYFVVAIGFATGFWVFIGVFLLKKGRRDAYFNFIDRVVRRIKKRCMGNRID >RHN82015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50584930:50586505:1 gene:gene6131 transcript:rna6131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor OFP family MPRLFSKQLHLCFFKLKYPTILSQPHPQHTSTPSPPCPFHFNTTFSHHHEEEEEEDYFYDTTELPPPDFSSVFASQRFFFSSPGSSNSITESPSNDTLPCNNSLIPRGGSVKRVPKYSVNPYVDFLRSMQEMIQSQQQVVDVTNDWDYLHELLLCYLALNPTHTHKYIVQAFTHLLVDILSSSSSLSFSSSSSPPSPPKLNNQRHIATVVSPGGWCKNIKCQGFK >RHN66984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22423538:22423843:-1 gene:gene15111 transcript:rna15111 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFYHVVSLLDYFSSYSLSKKHPKALALILLATLWKIWEKSLHQVKNDFCSNSSSYMIHIIELLIIVMKWIEVIIKFYNAGI >RHN47868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43154273:43158168:1 gene:gene42516 transcript:rna42516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative receptor protein-tyrosine kinase RLK-Pelle-LRR-XI-1 family MEILCLLHIVLGWYLSNVEFVGAELQDQAIIHAINQELKVPEWGDANISDYCTWQGVSCGNHSMVEKLNLAHKNLRGNVTLMSELKSLKLLDLSNNNFGGLIPPDFGSLSELEVLDLSSNKFEGSIPSQFGGLRSLKSLNLSNNLLVGELPIELHGLKKLQELQLSSNQLSGVIPSWVGNLTNLRVFSAYENRLDGRVPDNLGLVPELQILNLHSNQLEGSIPSSIFTSGKLEVLVLTQNNFSGDLPGEIGNCHALSSIRIGNNHLVGNIPNTIGNLSSLTYFEADNNHLSGELVSEFAQCSNLTLLNLASNGFSGTIPQEFGQLMNLQELILSGNSLFGDIPKPILSCKSLNKLDISNNRINGTIPNEICNISRLQYLLLNLNSIRGEIPHEIGNCAKLLELQLGSNYLTGAIPPEISHIRNLQIALNLSFNHLHGPLPPELGKLDKLVSLDVSNNRLSGNIPTELKGMLSLIEVNFSNNLFGGPVPTFVPFQKSPSSSFLGNKGLCGEPLNFSCGDIYDDRSSYHHKVSYRIILAVIGSGLTVFISVIVVVMLFMIRERQEKAAIEAAGIVDDPTNDKPTIIAGTVFVDNLQQAVDLDAVVNATLKDSNKLSSGTFSSVYKATMPSGVVLSVRRLKSVDKTIIHHQNKMIRELERLSKVCHENLVRPIGYVIYEDVALLLHNYFPNGTLYQLLHESTRQPEYQPDWPARLSIAIGVAEGLAFLHHVAIIHLDISSGNVLLDANFKPLVGEIEISKLLDPTRGTGSISAVAGSFGYIPPEYAYTMQVTAPGNVYSYGVVLLEILTTRLPVEEDFGEGVDLVKWVHSAPVRGETPEQILDARLSTVSFGWRKEMLAALKVALLCTDSTPAKRPKMKNVVEMLREIK >RHN53597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2767864:2769435:-1 gene:gene28521 transcript:rna28521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exostosin MVMSLSFLGRISALIFLLFLIYMWSSLSTIITVNTIHVCCSTRKFSNSFYCLSSPTHTNTSFEISNYSINNNTISTSIITTIENIDDGNNASQELGTFPITTPYDEEVAYAVKIIEEQLKVQRSWRSENKNNATCDDGQGIYVYDLPSRFNKDLIGQCNEMFPWQDFCRYTSNEGFGEPRSKLGKGWYNTHQYSLEQIFHSRVLKHPCRVYNENDAKLFYVPFYGGLDVLRWHFKNVSNDVKDSLGLELVKWLEKQVTWKRNLGKDHVFVLGKISWDFRRTSDSPWGTRLLKLDEFQNPIKLLIERQPWHLNDIGVPHPTFFHPKSDNDIIDWQLKIIRSNRKNLVSFAGAARDDADDHIRSILINQCSSKSEGKCKFLNCSSVKCSEPESIMELFVESEFCLQPPGDSPTRKSVFDSLISGCIPVLFDPFTAYYQYAWHLPEDSDKYSVFLDKKEVREMNVSVMERLGNISLRDRENMRRYIVYELLPGLVYGDHNAEFDKFQDAFAITMNNLIKRVSRFKD >RHN75217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40240262:40247713:1 gene:gene11390 transcript:rna11390 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSTLNSAFSGLGGYSSLCLSMFQGFLTQPLVDHFSTLELKKELSFERKSTKKKKKTEKKKCKFVNSVEFTRV >RHN72638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9298249:9300056:-1 gene:gene8381 transcript:rna8381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MQPTFTSLILSILFFYLSAASMVNALHLRYFIFSGRIIALALKKKVHVGIVFACVFFKQLAGNYIITLEDIRDADPIMYSSCKQILEMDADYIDSDALGLTFSIEVEELGHREVIKLCPGGESLVVDSKNREKYVHLLIQNRFVTSISKQVSHFAQGFADIISCSRLEFFQFLDHEDFDWKLHGSENDINVEDWKAHTKYLGYKKNDRQISWFWKIVGKMSAEQKKVLLFFWTSVKHLPVEGFHGLSSTLLISKSREPGNHLPSSHTCFYKLCFPPYSSMAIMQDRLGIITQEHISCSFGTR >RHN50113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4163481:4164974:-1 gene:gene34368 transcript:rna34368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKRCENLKSKLPDCIVSYIFSKLSMKDLVKTSTLSKQWLHEWGFRTDLNFDLQNMFHYNTIQELPKNFPLLQSQFATILDQFMMHYQGAMIHSIRVNFPLGDQHRDVIDKLISKGIAKGAKRIELLFSNETNYTTDFIFEGEPYKFPFTLLSDTYSLTHLHLEKCLLVAPMDFSGFKNLRTLVLHIIDVSQDMFRGLFSNCIHLVDFTLDNCNFISDIQITSSTLFHLNIVNCGISIQERKKIDISAPNLSSFQYSSCIDCQVHPIKIEAHMLSKFSFTGGEIFRIFKPVGFSGLKNVTTIVLDGLLECLCEFIVPKLFSKCCQLEDVTFKNCRIMYDLTITSRKLRHLKIIDCGYKHLTPDEITVVALNLSSFEYSGYTRELHVKAPRLLKVFWNATKEERYPHHLFGAIPRSRHVQNLAMIMMPSQVSQSKPNYDVYLYVCCQPLIVARNILSQNRHSGILHCGTAAIRKYRHVWIGLFELIYWHKFYETVRENL >RHN77887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10235330:10238829:1 gene:gene1390 transcript:rna1390 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRRYHYQPTISFPVSDDRIQQKTHTKNYNNFHHHHHDQHVEDESGMCSPPLWTTKSKSNNYRSLSPESKTQAIERGQRELMEMVKNMPESSYELTLKDLVEEHHVEENNKVKERNLSNKNTRKREGIRASRNMDKKNIDSGGLYLKMVFPISLGSSKKHDKKKELLVSNKSSSNSKVSPRASVSDGSVTKDWWKKKLGSSGSECGGDQSDHSGVSSMNGSIKRYGSSTTSSCSSRSNSRHEMSTSRCWPFIRRSQILSEK >RHN58430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1650203:1669786:1 gene:gene20340 transcript:rna20340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-transporting ATPase MASNGNGNGHFSVTIGTGHNDDDDDNNNHHPSDNNNRRNDNDNNGDNDEDDEQIDPDDPFDIAQTKNASHETLRRWRQAALVLNASRRFRYTLDFKGEEEKQQKKSLIRAHAQVIRAALLFRLAGERELVISPAATPSPQHSVGDFGVGLEQLASMSKDQNISALQQYGGVKGLSSLLKASPDKGISGDDADLLKRKNAFGTNTYPRKKGRSFWRFLWEAWQDLTLIILIVAAVVSLVLGIKTEGWSDGWYDGGSIAFAVLLVIVVTAVSDYRQSLQFQNLNAEKQNIQLEVMRSGRTIKISIFDIVVGDVIPLKIGDQVPADGVLITGHSLEIDESSMTGESKIVHKDQKAPFFMSGCKVADGVGVMMVTAVGINTEWGLLMASISEDTGEETPLQVRLNGVATFVGIVGLSVAVLVLAVLLGRYFSGHTYDAKGNPQFVAGKTEISIVVDGVIKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGKSKLNPPDDSSKFHPEALSLINESIAQNTTGNVFVSKDGGELEVSGSPTEKAILSWAVKLGMNFDVIRSNSTVLHVFPFNSEKKRGGVALKLVDSGVHIHWKGAAEIVLGACTQYLDSNSHLQSIEQEKDFLKEAIDDMAARSLRCVAIAYRSYELDKIPSNEEDLAQWSLPEDELVLLAIVGIKDPCRPGVKDAVRICTDAGVKVRMVTGDNLQTAKAIALECGILASNEEAVDPCIIEGKVFRELSEKEREQVAKKITVMGRSSPNDKLLLVQALRKGGDVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRSPVGRREPLITNIMWRNLIVQALYQVSVLLFLNFCGESILPKQDTKAHDYQVKNTLIFNAFVMCQIFNEFNARKPDEMNVFPGVTKNRLFMGIIGITFILQIVIIEFLGKFASTVRLDWKLWLVSLIIGLVSWPLAIAGKFIPVPKTPLSRTFMKPIRRLRRSRSSQ >RHN46698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34159960:34166978:-1 gene:gene41217 transcript:rna41217 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFLAINPLSISATESPLFPFLNSHPTSSSTRTQFSSISFSPFSPKLSKTSGNNSVSSALSSRSNGKQGPPSRGHSFYDELQFEDSKENNFGLELEGLSNETDGSIPFFEIDENDDKGSGNSGTELGEDELIRVQGDGDEDRDGVDLRINDKVEKFGGNLRLRRGKQVIRRSNLLAKQVISIQSALSLGFVSQLWVDTTSWMVLFVEVRSNLLSGDSEKFFLEDISQVGDVVLVPDESVIDNEYNMIGLETLVGYRVVTPSLRNIGKVRGYNFSINSGAVEELEIDSFGLSIIPSSLVSTYSLMVEDVLEVVSDAVVVHEAAALRIQRLSKGFLGNQNVGISADDVEDYEYEQSATYGRVSRRRKGFGRKKPNPREWDNNEDNWELPMDYL >RHN47208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37925622:37926537:1 gene:gene41774 transcript:rna41774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MLNSDTQATAFDWEKRVNVVKGVANALSYLHHDCSPPIVHRDISSKNVLLNLDYEAQVSDFGTAKFLKPGLLSWTQFAGTFGYAAPELAQTMEVNEKCDVYSFGVLALEIIVGKHPGDLISLFLSQSTRLMANNMLLIDVLDQRPQHVMKPVDEEVILIARLAFACLNQNPRSRPTMDQVSKMLAIGKSPLVGMQIHMIRLGQLN >RHN66853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20267716:20269946:-1 gene:gene14962 transcript:rna14962 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATAVKPSSLLLVSFPRRSLLLSVGNHKPQSPVLLLKRTKSYLILRWRKLTVFGNE >RHN49684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:279987:284187:-1 gene:gene33888 transcript:rna33888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronokinase MEGGGDDDHAAAAAVVIEHKAYARVGLLGNPSDVYYGNTIAFSISNFFATVKLIPSIELIIQPHPTHDLVNFSSNHQLVDRINAEGYYGGVRLLMAIYKVFYNYCKDNNIHLHHTNFTLSYDTNIPRQSGLSGSSGIVCAALNCFLDFYKVRHLIKVEVRPNLILAAEKELGIVAGLQDRVAQVYGGLVYMDFNKENMDKLGHGIYIPMDLSLLPPLYLIYAANPSDSGKVHSKVRQRWLDGDEFIVTSMLEVACIAKEGKTALEEKNYSKLAALMNRNFDLRRLMFGDDALGDVNIKMVEVARKVGAASKFTGSGGAVVAYCPEGTSQAKLLEDECHKAGFVLIPLEPFPSRLNEADLKILKM >RHN43199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43957454:43960980:-1 gene:gene49739 transcript:rna49739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative succinate-semialdehyde dehydrogenase (acetylating) MASAIVRRNIIRSNNLKRLSCWRLNNNKQLLNNVEDACTIYTEAVGGVGLPSYMRGAVFREPNKPLTIEEFHIPRPKAGELLIKTKGCGVCHSDLHVMKGEIPFSSPCVVGHEITGEVVEHGQHTDSKTIERLPIGSRVVGAFIMPCGNCSYCSKGHDDLCEAFFAYNRAKGTLYDGETRLFLRGSGNPIYMYSMGGLAEYCVVPANALAVLPNSMPYTESAILGCAVFTAYGAMAHAAEVRPGDTVAVIGTGGVGSSCLQIARAFGASDIIAVDVQDEKLEKAKTLGATHTINSAKEDPIEKILEITGGKGVDVAVEALGRPQTFAQCTQSVKDGGKAVMIGLAQAGSLGEVDINRLVRRKIKVIGSYGGRARQDLPKLIRLAETGIFDLGHAVTRKYTFEESGKAFQDLNEGKIVGRAVIEIV >RHN82809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56521480:56527336:-1 gene:gene6997 transcript:rna6997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Extensin domain-containing protein MTARGSDPIRGRFRPHMAMALAIVLISTTVVSVAADGYPYSSPPPPTYEYKSPPPPSPSPPPPYIYKSPPPPPYEHKAPPYEYKSPPPPSPSPPPPYEYKSPPPPSSSPPPPYVYKSPPPPPYEHKAPPYEYKSPPPPSPSPPPPYVYKSPPPPPYEHKAPPYEYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPYEHKAPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPVPHPPYYYNSPPPPVKSPPPPTPYYYQSPPPPKYTPPPYYYNSPPPPVVYPPPYHHPLIVKVVGKVYSYKCYNWEYPEKSHDKKHLKGAVVEVKCKAGRNIIKAYGKTKSNGKYAITIPNFNYVKYGSVVCKAKLYAPPKDSPFNIPTKLNEGTDLKVKSKDKYEVVLKAKPFAYASKKHYEECEKPKPSPTPYYYKSPPPPSPVYIYKSPPPPSPTYKYTSPPPPVHQYSPPYYYKSPPPPSPVYKPPYYYKSPPPPTPIYKYNSPPPPSPTYVYKSPPPPSPVYKPPYYYKSPPPPSPVYQPPYYYKSPPPPSPVYYYKSPPPPSPVYKPPYYYKSPPPPTPVYKYNSPPPPSPTYVYKSPPPPSPVYKPPYYYKSPPPPSPVYKPPYYYKSPPPPTPVYYYKSPPPPTPVYKYNSPPPPSPTYVYKSPPPPSPVYKPPYYYKSPPPPSPVYYYKSPPPPSPVYKPPYYYNSPPPPTPVYKYNSPPPPSPTYVYKSPPPPSPVYKPPYYYKSPPPPTPVYKYNSPPPPSPAYVYKSPPPPTPVYKYKSPPPPVHYYSPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYHSPPPPKELPNPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYQSPPPPSPSPPPPYYYKSPPPPTPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYHSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYQSPPPPSPTPHTPYHYKSPPPPTASPPPPYHYVSPPPPTSSPPPYHYTSPPPPSPAPAPTYIYKSPPPPMKSPPPPVYIYASPPPPIYK >RHN72090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4909673:4912255:1 gene:gene7763 transcript:rna7763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MPVFKTPFNGYSVKFSPFYENRIAVATAQNFGILGNGRLHVLELSSNPALPITEIAAYDTADGIYDVTWSESHDSITVAAVADGSVKLYDLALPPTSNPIRSFHEHTREVHSVDYNPVRRDSFLSAAWDDTVKLWTVDRPTSVRTFKEHAYCVYSSVWNPRHADVFASASGDCTVRIWDVREPGSTMILPAHEHEILSCDWNKYDECIIATGSVDKSVKVWDVRSYRVPVAVLNGHGYAVRKVKFSPHVRNLLVSCSYDMTVCVWDFMVEDALVSRYDHHTEFAVGVDMSVLVEGLLASTGWDELVYVWQHGTDPRAS >RHN44848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9084292:9084468:-1 gene:gene39014 transcript:rna39014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MILLFLSTVLKVLRDSESSVKNAIVIVPAYLNDSHLKAIKEAGAIANLNVIRTLFLSN >RHN38646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:978233:995159:1 gene:gene44604 transcript:rna44604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine--tRNA ligase MMGAISIMALPLVISVFKPLSTTTPLHSTLLRRRCFTTLSTTTSSSHSTSTSTSTSLSQHSSSSSSPTHSNNSAPHNHSSLTFQQAIQRLQEYWASVGCSIMQCSNTEVGAGTMNPLTYLRVLGPEPWNVAYVEPSIRPDDSRYGENPNRLQRHTQFQVILKPDPGNSQDLFIRSLSALGIDVTAHDIRFVEDNWESPVLGAWGLGWEIWMDGMEITQFTYFQQAGSLPLSPVSVEITYGLERILMLLQGVDHFKKIKYSDGITYGELFLENEKEMSAYYLEHAGVDHLQKHFDFFEEESCRLLSSGLAIPAYDQLLKTSHAFNILDARGFVGVTERARYFGRMRSLARQCAQLWLKTRETLGFPLGLISEPDQSVIPKDVLEAAREKVHDNERTFVLEIGTEEMPPQDVVDASKQLKDLILQLLERQRLKHGEVQVFFTARRLVVSVENLFTKQTEQEVEVRGPPVSKAFDSDGNPTKAAEGFSRKNSVPLNLIYRKVDGKTEYVYARIKESSRHALEVLSEDLPATIAKISFPKTMRWNSQVMFSRPIRWILALHGDVVVPFMFAGVTSGNLSCGLRNTTSAVIQIENAESYSLTMKNTGVNVEFEDRKKKIVEQSNMLAESVNGEILIPKGLLDEVVNLVEAPIPVLGKFKETFLDLPKDLLTMVMQKHQKYFAVCDANGQLLPYFIAVANGAIDEATVRKGNEAVLRARYEDAKFFYELDTRKRFSEFREQLKNILFHEKLGTMLDKMTRVENMVTKLSCILDINEDMQQIIREAASLAMSDLSTSVVTEFTALSGVMGRHYALRDGYSEQTAEALYEITLPRFSGDILPKSDAGIVLAISDRLDSLVGLFSAGCQPSSTNDPFGLRRISYGLVQLLVENNKNLDFKEALELAADVQPIKVNPQVIDEVLQFVTRRLEQFLVDKGVSAEIVRSILAERANFPGLAAKSAYKMEELSKGELFPKVVEAYSRPTRIVRGKEDELDGEYQVDEAAFEINEERVLWNTFLSVKKSINPGLDIDDFVEISSQLIQPLEDFFNNVFVMVDDAKIRKNRLALLKEIADLPKGIADLTLLPGF >RHN49518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55325966:55330277:-1 gene:gene44359 transcript:rna44359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain, eukaryotic translation initiation factor 3 subunit C MASRFWTQGNSDSDDDEPTDIDEPSSDTEPVDEPTNNTANRYIAGIHPDDSDDDDGQKRVVKSAKDKRFDEMASTVDQIKNAIKINDWVSLQETFDKINKQLDKVMRVIESQKIPNLYIKALVMLEDFLAQASSNKDAKKKMSPTNAKAFNSMKQKLKKNNKQYEDLIIKFRETPQSEEEKFEDDEDSDQYGSDDDEIIEPDQLKKPEPVSDSETSELGNDRPGDDDDAPWDQKLRKKDRLLEKMFMKKPSEITWDTVNNKFKEILEARGRKGTGRFEQVEQFTFLTKVAKTPAQKLQILFSVVSAQFDVNPGLSGHMPINVWKKCVQNMLVILDILVQHPNIKVDDSVELDENESKKGDDYDGPIHVWGNLVAFLEKIDAEFFKSLQCIDPHTREYVERLRDEPLFVVLAQNVQEYLESIGDFKASSKVALKRVELIYYKPHEVYEATRKLAELTVDGDNGEEVSEESKGFVDTRIIAPFVVTPELVARKPTFPENSRTLMDVLVSLIYKYGDERTKARAMLCDIYHHALLDEFAVARDLLLMSHLQENVHHMDISTQILFNRAMSQLGLCAFRVGLVSEAHGCLSELYSGGRVKELLAQGVSQNRYHEKTPEQERLERRRQMPYHMHINLELLESVHLTSAMLLEVPNMAANVHDAKRKIISKNFRRLLEVSEKQTFTGPPETVRDHVMAATRVLINGDFQKAFDIIASLEVWKFVKNRDTVLEMLKDKIKEEALRTYLFTFSSSYDSLSVDQLTNFFDLSLPRAHSIVSRMMINEELHASWDQPTGCIIFRNVELSRVQALAFQLTEKLSILAESNERASEARLGGGGLDLPPRRRDGQDYAAAAAGGGGGTSSGGRWQDLSYSQTRQGSGRAGYGGRALSFNQAGGSGGYSRGRGMGGGGYQNSSRTQGGSALRGPHGDVSTRMVSLRGVRA >RHN50082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3918729:3920292:-1 gene:gene34334 transcript:rna34334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MLGMKLGWDLETGLERFITSWKSVDDPAKGEFTTRVDLRGYPQVIMFNGSDIIFRSGPWNGHSLAGSPGPNSVLSQFFVFNEKQVYYEYQLLDRSIFSVLKLMPYGPQNLFWTSQSSIRQVLSTSLDECQIYAFCGANSVCTIDGNNHSNCECMKGYAPKFPEEWNLAFWSNGCIQKKNSSYIDGFLKYTLMKVPDTSSSWFSKTLNLEECRKWCLRNSSCVAYANIDIRNGGSGCLIWFNNLIDVRKFSQWGQDLYVRIPPSELDQLAEDGHRTNKNKIVGITLGVIIFGLITFLSIWIMKNPGVARKVCSKIFNTKQRKEDLDLTTFDLSVLVKATENFSSNNKLGEGGFGPVYKGTMIDGQEIAVKRLSKKSGQGLQEFKNEAALIAKLQHRNLVKLLGCCIEGGETMLIYEYMPNKSLDYFVFG >RHN79119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23445612:23453692:-1 gene:gene2835 transcript:rna2835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MEKMALTVQNSLLFSSTTFPKKNSSSPFFFNKRNKLFGLPLSSSKPTLRIASRNNKAIKCSISEVTEPKTEEKKKLMRRADIRNIAIVAHVDHGKTTLVDAMLKQTKVFRDNQTVQERIMDSNDLERERGITILSKNTSVTYKDAKINIIDTPGHSDFGGEVERILNMVEGILLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRSSARPDFVVNSTFELFIELNATDEQCDFQVIYASGIKGKAGLSPEDLADDLGPLFESIMRCIPGPRIDKDGSLQMLVTSTEYEGHKGRIAIGRLEAGVLEKGMEVKVCTSEDSCRYARVSELYVYEKFFRVPAERVEAGDICAVCGISDVQIGETIADKLTGKALPSIKVEEPTVKMSFSINTSPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFIVSGRGTLHITILIENMRREGYEFMVGPPKVINKKVDDKVLEPYEIATVEVPEEHMGSVVELLGQRRGQMFDMQGVGSEGTNLLKYKIPTRGLLGLRNAILTASRGTAILNTIFDSYGPWAGDMSTRDLGSLVAFENGTVTSYAVASSQERGQMFVGPGAEVYKGQIIGIHQRPGDLSLNVCKKKAATNIRSNKEQSVILDTPLDYSLDDCIEYIQEDELVEVTPQSIRMLKNPKFAKKGR >RHN57482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39450685:39451275:1 gene:gene33029 transcript:rna33029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MASTHNSDSLVAYPQFKSLGLSNNFRLQDENLILYASIFPSLQFLNLNRCSRITDQSVAQILKRCRKIRHLNLTNCKSFKSLQINFEVPNLEVLDLTHTRVDDDTLYVISKTCRGLLKLSLQLCTNVTEKGVMHVVKNCTKLREINLDDCSGVHANVVASMVFLSPSLRKIAAPPDFPTTDRNRTLFLRHGCLLEL >RHN48019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44336680:44337150:-1 gene:gene42687 transcript:rna42687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSSTSKKITLKSSDNETFEVPEAVALESQTIKHMIEDDCTDNGIPVPNVTGQILAKVIEYCKKHVDAVSSDEKPSEDDLKNWDAEFVKVDQAMLFGLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >RHN58206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44572352:44573818:1 gene:gene33824 transcript:rna33824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosylcobalamin/alpha-ribazole phosphatase MVLKNRYWIVRHGKSIPNERGLIVSSMENGILPEFQLAPEGVNQAQLAGYSFQKELESNNIPLANVRICYSPFSRTTHTANVVATALNIPFHASHCKVIQDLRERYFGPSFELLSHDKYDHIWEIDEKDPLVGPEGGESVKDVASRLAKTMAIIEQEFEGCAILVVSHGDPLQILQTILHAAKKHKEPTSNDLASILEAVQVAPILSKHRQYALNTGELRRVI >RHN78019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11296616:11299347:1 gene:gene1533 transcript:rna1533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynein ATPase MEEAEKELERRSKFLNSLIQNKKKKSTEQPEQKQEKEQEHDYKNNIKLNNNSIHVKACDMSLPLQKHAFQFARDHLDSMPTKKPDSKHLALSLKKEFDSCYGPAWHCIVGQLLHHWTINNFHSYKLCIL >RHN63956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55339371:55341821:1 gene:gene26721 transcript:rna26721 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSLNNAHTPQGTRQLERKRNRSGDVIGGGKSLSSTGDEGGPNAACAVCAAFFIVIEKFLEWLEK >RHN54409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9336320:9339336:1 gene:gene29441 transcript:rna29441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative retrotransposon gag domain, aspartic peptidase domain-containing protein MPVGFPYGLPPFFTPVATASTSGTANNVLIPATNAASIIATLPQTTAAVTEPLVHTLPQGININTQHRSIPVTKTMEEMMEELAKELRHEIQANRGNADSVKTQDLCLVSKVDVPKKFKIPDFDRYNGLTCPQNHIIKYVRKMGNYKDNDSLMIHCFQDSLMEDAAEWYTSLSKNDIHTFDELATAFKSHYGFNTRLRPNREFLRSLSQKKEESFREYAQRWRGAAARITPALDEEEMTQTFLKTLKKDYVERMIIAAPNNFSEMVTMGTRLEEVVRDGIIVFEKAESSVSASKRYGNGHHKKKETEVGMVSAGAGQSMATVAPINAAQMPPSYPYMPYSQHPFFPPFYHQYPLPPGQPQVPVNAIAQQMKQQMPVQQQQQNQQARPTFPPIPMLYAELLPTLLQRGHCTTRQGKPPPDPLPPRFRSDLKCDFHQGALGHDVEGCYALKYIVKKLIDQGKLTFENNVPHVLDNPLPNHAAVNMIEVCEEAPRLDVRNVATPLVPLHIKLCKASLFNHDHAKCLGCLRDPLGCYTVQEDIQSLMNDNLLTVSDVCVIVPVFHDPPVKSTPLKKNAEPLVIRLPGPIPYVSDKAVPYKYNATMIENGVEVPLASFATVSNIAEGTSAALRSGKVRPPLFQKKVATPTIPPVEEATPTVVSPIATDVNQSGKAIEDSNLDEILRIIKRSDYKIVDQLLQTPSKISVLSLLLSSEAHRNTLLKVLEQAYVDHEVTVDRFGGIVGNITACNNLWFSEEELPEVGKSHNLALHISVNCKSDMISNVLVDTGSSLNVMPKTTLDQLSYRGTPLRRSTFLVKAFDGSRKNVLGEIDLPITIGPENFLITFQVMDINASYSCLLGRPWIHDAGAVTSTLHQKLKFVRKGKLVTIHGEEAYLVSQLSSFSCIEAGSAEGTAFQGLTIEGAEPKKAGAAMASLKDAQKVIQEGQTAGWGKVVQLCENKRKEGLGFSPSSRVSSGVFHSAGFACICYTRRHCE >RHN81887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49579991:49582142:1 gene:gene5983 transcript:rna5983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MWFLAISWLFAPFLFNPSGFDWQKTVEDWTDWKRWMGNQGGIGIPSDQSWESWWDEENEHLKYSNVRGKILEIVFACRFFIYQYGIIYHLNIAHRSKNILVFALSWAVLVIVLIVLKMLSMGKRRFGTNFQLKFRILKALLFLGFLSVMIVLFVVCALTVSDLFASVLAFMPSGWAIILIAQTCRGLLKWAKLWASVRELSRAYEYVMGLIIFMPAAVLSWFPFVSEFQTRLLFNQACSRGLQISRILAGKKDTNKTE >RHN61693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37576266:37578979:1 gene:gene24182 transcript:rna24182 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVMIVCCIVGFLGLLSAATSFAAEATRIKGYQIQFINPNQCIYPRSPALPLGLTAALSLMISQIVINVSTGCICCRKSSRIPDSNWTVALACFVLSWFTYVIAFLLLLTGAALNDQRGEESVYFGTYYCYVVKPGVFAGGAILSLASVVFGIVYYITLMEGKNARTPFGDSSYPNQGTIAMGQPQIPTQTSQDPVFVHEDTYIRRQFT >RHN41815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33256990:33257499:1 gene:gene48177 transcript:rna48177 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLTSCVTPEPPTSTVNNVDDYKYNYLTEALTSYPNCYTTEWDELFKNIKAPLCDDIFSYFFNPTKPLLPLDTPIPYQPQNIINVGDNEDSYKLVYDESNKQFIVVIISTPPTDSSVKQRKRVRRKNAQGVTNESDVAAPSSLETSQAANAAPNKKKNLPKSSSRKSQ >RHN56844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34149932:34157990:1 gene:gene32303 transcript:rna32303 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase MATATETNLANLKSAVTGLDQISENEKTGFINLVSRYLSGEAQHVEWSKIQTPTDEVVVPYDTLAPTPAGSSDIKNLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRDGLTFLDLIVVQIENLNSKYGSNVPLLLMNSFNTHDDTQKIIEKYQNHNIEIHTFNQSQYPRLVVDDFSPLPSKGNTGKDGWYPPGHGDVFPSLSNSGKLDALLSQGKEYVFVANSDNLGAIVDLKILNHLIEHKNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVGEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDKAIGINVPRSRFLPVKATSDLLLVQSDLYTLEDGSVIRNTARANPENPSIELGPEFKKVSNFLSRFKSIPSIVELDSLKVAGDVSFGASVILKGKVSIVAKSDVKLEIPDGAVIENKEINGPEDL >RHN77885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10228783:10230238:1 gene:gene1388 transcript:rna1388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trans-zeatin O-beta-D-glucosyltransferase MASNGQSNSKNQVVVVVVPFPAQGHLNQLLHFSRLILSHNIPVHYVGTSIHNRQATIRGQGWDPNIHFHHFKVPHFVSPPPILNSETKFPSHMLPSFEASLHLREPVAELMQSLSSVFKRVVVIHDTYMASVVQDAKNIANVENYMFVSTCAFTAFTSIWERMGKTPIESSHIIDVPSMEGCFTNQFVDFMIAQMEFCEFSNGSIYNTNRVIEGPYLELIEKITCNKKHWAVGPFNPLTIDQEKKDSKGRHSCMEWLDKQETNSVIYVSFGTTTTLTDEEIEQIATGLKQSKIKFIWVLRDADKGDIFDKNEGKRGELSKGFEERVEGMGFVVRDWAPQLEILSHPSTGGFMSHCGWNSTLETISMGVPIAAWPMHSDQPRNCVLITQVLKIGLVVKDWAKRHKLVTASNIENVVRRLMETTEGDEMRERAIKLKNVVGTSMDEGGVSRIEMDSFIAHITR >RHN63302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50221010:50224495:-1 gene:gene25988 transcript:rna25988 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVASIEVGIQFGWAIHFDTLIPYIQEDLRVPHKWAANICVLGQILGLVVQPFIDYYSDRCRSSFGRCCPFILGGVIAVVITALLIAFATELGHLFGDTLESETKPHTIVILVLSLSMFDVVQVVGNILRYLMSSRFFGILLNDFYWTLRTAVYEEFCLDLKESTFISILFLIVLSIVALIYVEDVPLTEVQPDWVAWFPFSLFNIDWMGHEVYCGNPFLDERYYKGVRAELKGLMLKSIVLALMSFAVKPLRCYIGGPRRLWGVGNVIFVICLSMTEVIAKVAEHERHTHTKSSIHLFSTNDRYTEVPASDLRGDSPSSGIIAACYVFYTVIGVPLAVPLKVSIVAWSLLKDRLPT >RHN68903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39485744:39490233:-1 gene:gene17319 transcript:rna17319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAMQLSIGSSSSSFARVVTPKEFDVFISFRGEDTRRNFTSHLYEALSKKVITFIDDNELEKGDEISSALIKAIEKSSASIVIFSKDYASSKWCLNELVKILECKKDNGQIVIPVFYEIDPSHVRNQKGSYMLAFEKHEQDLKQSKDKLQKWKDALTEAANLAGWYSQNYKNDSIFIKYIIEDVLKKLNLRHPFEVNGHLFGIEEKYEEVKSLLKIGSNDVRGLGLWGMGGIGKTTLAKHLYSKLCSQFDHHCLLENVSEESTRCGLKGVRNQLFSKLLELRPDAPNLETTISMRRLVCKKSLIVLDDVATLEQAENLNIVNNCLGPGSRVIVTTRDKQVCSQFNKCAIYEVKRLNKDESLEVFCLEAFREKYPKIGYGDLSKRAIGYCGGNPLGLKVLGTNFRTKSKEVWESELEKLKKIPNRRIHDVLKLSFDGLDCTQQDIFLDIVCFFFLGKYIDRDFLTTLSDASNFFAESGIEVLSNKALIVFRICNLIDMHDLLVEMGREIVKQQSPKNPGSRSRLWDPMEVCDTLKYKKGTEVVEVIIFDISEIRDLYLTSDSFKSMTNLRCLHIFNKMQLPDEGKHYNVHFLQGLEWLSDKLRHLYWVGFPLESLPSTFSAEWLVRLEMRGSKLKKLWDGIQKLGNLKSIDLCYSKDLIEMPDLSRAPKLSLVSLDFCESLSKLHPSILTAPKLEALLLRGCKNIESLKTNISSKSLRRLDLTDCSSLVEFSMMSEKMEELSLIQTFKLECWSFMFCKSSGQIRPSCLSLSRCKKLNIIGSKLSNDLMDLELVGCPQINTSNLSLILDELRCLRELNLSSCSNLEALPENIQNNSKLAVLNLDECRKLKSLPKLPASLTELRAINCTDLDIDSIQRPMLENILHKLHTIDNEGDRILDTNFGFTFLPGDHVPDKFGFLTRESSIVIPLDPKCKLSALIFCIILSGRYGDYYESVCCDCFQNGKIIFNWDQVVSAEMLTEDHVLLSSFTEIWCFERLDWTMNESEGDHCSISCEFMCRANEAEEWSTDGIKGCGVLPVYSLESESVELQPIVQVSDGLQHREIGAEVGNDCGFFLV >RHN65875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6139527:6143169:1 gene:gene13767 transcript:rna13767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MENHNKMLMLMVSTFSFCLMPTFSKQNTFTTIAPNQFMQFGDTLVSGTGRFEAGFFYFGDPQRQYFGIWYKNISPRTIVWVANRNTPVRNSTAMLKLNDQGNLVILDGSKGVIWNSNSSGIVAVKSVIVQLLDSGNLVGKDANSSQNFLWESFDYPGNTFLAGMKLKSNLVTGPYRYLTSWRSSEDPADGEFSVRIDTHGFPQHQIAKGTTTIFRGGSWNGYLFTGATWQRNYNILNYSFVLTDKEVTFQYETLNSLIITRVVLNPYGTSQRLQWSDQTQNWEIITNAPADQCDDYALCGINSNCNINNFPICECLEGFMPKFQPKWKSLNWSGGCLRRTKLNCHTGDGFLKYTSMKLPDTSTSWYDKSLSLEECKTLCLKNCTCTAYANLDIRDGGSGCLLWFNNIVDMRKHPDIGQDIYIRLASSELGYIKKLFHRKHKKEKADGDLATIFDFSTITNATNHFSNKNKLGEGGFGPVYKGLMVDGQEIAVKRLCNTSGQGVEEFKNEVKLMATLQHRNLVKLLGCSIQQDEKLLIYEFMPNRSLDYFIFDTTRSKLLDWTKRLEIIDGIARGLLYLHQDSTLRIIHRDLKTSNILLDIDMIPKISDFGLARSFTGDQAEAKTNRVMGTYGYMPPEYAVHGSFSIKSDVFSFGVVVLEIISGRKNRGFSDPLHNLNLLGHAWRLWIEERPLEFIANILYDDEAICSKIIRFLHVGLLCVQQKPENRPNMSSAVFMLKGENLLPKPSKPGFYAGKDDTNSIGSLSINEASITVVEAR >RHN78869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19442729:19446278:1 gene:gene2533 transcript:rna2533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MFLLCGCFHLLMKMQSSKSFGRKREEWSYTKNLSSSDKISSDTVLKRRKVNKALTIFRDLSHKLYCEYKSNQPVKLKAKLRALAEATRFMKAKRQWENTGKRLGHVDGIEVGDQFQYRAELIVIGLHQQHCCGIDYLGKGENSLATSIVVTHRYANVMKSSGCLLYEGHGGNPNIRDSVAPHDQKLARGNLALWNSMNAGSPVRVILQVSEKCEVMEELSNNVSYSYIYDGLYFVDNVTRVRGQFGKLVFKFVLKKMSNQPASVSPGDVMESKTFVKRQKSKGLVLSKEVVRINDISEGKEKLPIRVVTPVSYVEIPKPFGYLVNMTYPKMNRSMLGGGCNCANACVDCLECVCIAKNGGTLAYDGNRKLVSPMKSSFIYECGSSCLCSSSCINRVSQSGIHFQLEIFKTKSKGWGVRTRSFIPSGSFVCELIGEVVHLNNQKVGSNLHVDDYIINIGASGKGCIDATRHGNIGRFINHSCSPNLCVKDVMYDHSDKSLPHKMLFATKDIPAGRELSYDYNCCKVNFKVLSKICYCGSLECNGQIYIEGQRYDGRLMKNLDFKNQQLGCI >RHN45125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11986113:11986922:-1 gene:gene39335 transcript:rna39335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSSKINNQPQVIFFIMSCLFSHTISDPRISEAGLYCGKDKAPQKSNFIPSFIKEMENLSQLVTDHNWGTQSVNIAEFVPIYGFAQCFQDLSHTDCLLCYAASRTMLPRCLPSLSARIYLDGCFLRYDNYSFYSEETDPLRDKVICTSSERLEVQMERSVERVVDVVAKDAGDGGGFAVGEVEGVYALAQCWKTLGIDGCRDCLSNAVKKVRGCLPNSEGRVLNAGCYLRYSTHKFFKDGGGTNGGNGYKLCSFLISNMVTFLIIVSNCN >RHN80341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37318967:37319365:-1 gene:gene4255 transcript:rna4255 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHTGITPLLRGSITQRVGAVLNPPARRQLQVFLPAADFVVVFVAVVVFRAIFMLQMAAAPVIDHEFIDVVVVMRWWFSVGEEVVMCGV >RHN64230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57448642:57449319:1 gene:gene27020 transcript:rna27020 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHTGNRRASATQHATPHRRPHVATAVCATPTNVLSPLQLFFFVFFHLNLPTRSLFHLITTIAAVFFHYHQHRPPFFYLIFTDLNDFFHICMILVCPTPVPCFLVCFDFSISGDQSTLEISSTPRYGGSGGESEKKERVKLEQFELGAEGLVGGGGGGMEGWMAVERKGREICSDFNFFLCFVMSWHFFLFMFLLLNLPPKVVQEWYVHLRGSTYQNSFLSNET >RHN58015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43119505:43122634:1 gene:gene33620 transcript:rna33620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MVQIILESQVQDGVQPASSRVQEEFSRAFPIANVHRLMKKALPRHAKITDESKEIMVKYAAEFISFVTAEANHYCKLDCRTTITAEDLLATMQKLGFDDYAQYSFRYIQLFRHGARVGQYAPPPPPPPPPPQDFQAHNFPLDPNSVLTDPTEMGAILGDDDDEDRELGGGGGDGNSSDGSFDVMAFLNNDD >RHN55616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20082524:20084086:-1 gene:gene30819 transcript:rna30819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 7-O-beta-glucosyltransferase MLVTKYFIFSFFFSLHLQPKMTQELAMDSTSLSNLHILCIPFMAPGHILPMVDMAKLLARHNVKVTIITTPLNAIQFKTSINKEIESGSPIQLLEVNFPNAGAGIPKGCESLETLPSMDLKGNFLIAVNLLQKPIEELIQKLEPFPSCIISDKHIPSLADTANKFKIPRIIFDGTNCLNLLCNHNIHASKVYETLYDSDQFVIPGLPHRIAMKKSQLPVIFKPGPNQLLNRLRQRIRDSEVEAYGIVVNSFEELEDGYVEEYQNVTGHKVWCVGPVSLSNKDDIEKAQRGSKNFIDANEYVNWLNSWPKNSVIYVCLGSLNRVTPKQLMEIGLGLEATNRPFIWVVRKAYKWGEMEKWLLEDGFEERVKGRGILIRGWAPQVLILSHKAIGAFLTHCGWNSTLEAICAGVPLITFPMFSDQFYNEKLVVQVIETGVRVGVENAVHFGDEDEFGDGFQVSRENVREAIEKVMGEGEGKNERRERAKKYADMGKKAIEQGGSSYLNMLKLIEDIMHVKPFEF >RHN47898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43401767:43404691:-1 gene:gene42552 transcript:rna42552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MKKIISPLSSEYCMTVTTPLLAFEKEAECSSGGVTQNRVIEYAETDEYSIDDSPIEQVRLTVPITDDPSQPALTFRTWVLGLASCVLLAFMNQFLGYKTNPLKITSVSAQIITLPLGKLMAATLPIKTIQVPFTSLTFSLNPGSFSVKEHVLISIFATSGSSGVYAINIITIVKGFYHRNIHPIAAYLLALSTQILGYGWAGIFRRFLVDSPYMWWPEILVQVSLFRAFHEKEKRPKGGTSRLQFFFLIGSGMKGLGIGSFGLDWNTVAGFLGSPLAVPGFAIINIMAGFVLYMYVLIPIAYWNNVYDAKKFPLISSHTFDSTGATYNITRILNTKTFDIDMESYNNYSKIYLSVTFAFQYGLSFAALTATISHVVLFHGEMIVQMWKKTTTSLKNQLGDVHTRIMKKNYEQVPEWWFVAILILMVMMALLACEGFGKQLQLPWWGILLSLSIALVFTLPIGVIEATTSARTGLNVITELVIGFTYPGKPLANVAFKTYGHTSMVQALGFLGDFKLGHYMKIPPKSMFIVQLVGTVVSLSVHFGTAWWLLTSIENICDESLLPKGSPWTCPGDDAFYNASIIWGVVGPKRMFTNDGVYPGMNWFFLIGLIAPVPVWLLSIKFPNHKWIKLINIPIIIVGASTIPPRRSVNYITWGIVGMFFNFYVYRKFRVWWARHTYILSAGLDAGVAFMGLVLYFSLQSYGIFGPTWWGLEADHCPLARCPTAPGVYAEGCPVP >RHN79234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26307343:26307646:-1 gene:gene2988 transcript:rna2988 gene_biotype:protein_coding transcript_biotype:protein_coding MIFCCLEKKLTVVNELFVSCFIWILSDKTFNFMDIKIDNNTRK >RHN71750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2416429:2420247:-1 gene:gene7384 transcript:rna7384 gene_biotype:protein_coding transcript_biotype:protein_coding MSENRFLPIIEEEEIEIDDDFYEKIEAPKFVDLTKPDKRRPDDDRHWFCARFGCDQKHEEEFDSEAIYKNFVLRVMAARSPNVRLRKALNRREREASSNLKCPNTAPAKPRMSRMDFISSLSHKVTENKVKVVKPLSKVAATPKAKVKQSPSVSKALTTPRNQKKVSNLEQFRSVQNKKSLTVAVSKPKSRVVAKALVFNSPKKVVGTKSSVELKTSMKALCSAMKKLEFNGVKKNGEGCNNSLPVGSSRKVQFKGREVKSRVFDSLYSSNRNEPENNTVKCLKEKKAKAMEKNHVPVPHESDSSELETEEKSRSDSLERGESSVLTLSEASRDDITSLSTSKEEEKSSVLTLSQASGDDVTSLSSSNEEEKSSVLTRSEVSRDDITSLSSSNNEEKKTIEESENEEKRITVSHKRRIPEAKKRKAVGSSMSSDDKENEIQLNENDDKENSSAPCENIRRDVSTINDGSKKNILESKQEDGKIHKKSTSTTTGSQVVKYRKLKPTNPKPFKFRTDERGILKEAKLEKKITSPLKEITAKDGNAIKKHKNKNETCTAQSDQDYYSSCSENSNQTTQQNQTGNIHSDNNYNSKVQLILSAKTPNRNPGSKLQKHIDLDENFKRKSKMMQRNVVMPRSVLSKKKEKVVLGTACKLGVITEKRSDTLKPKDTTKPRKNDASCSQGRRTLTVPKEPKFHSLHVPKSCTTRKPT >RHN75965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46626319:46626845:-1 gene:gene12230 transcript:rna12230 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPPPLSTPLLGPAPPISGFLDSCLWFLCCCGLFSCCFPPLYEPGPPPL >RHN44957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10272571:10273239:-1 gene:gene39145 transcript:rna39145 gene_biotype:protein_coding transcript_biotype:protein_coding MILNLYVQSYHNVNVNLENNGRNNLSSISNVTYHTTEILFSPKNVNCQLPLEILQQTCFSLVNSFNKKGVGLLLHDPEIERIACANRKVVRQLMFLEEKGDLRAGNSLCFDG >RHN66016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7680964:7685224:-1 gene:gene13925 transcript:rna13925 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWRVAQRLISIAAFCLFTSFILFQLIFVNIDKLLFDLRHILCLSILLGYFQKYVPKKYEALIIFFLIV >RHN44832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8988373:8989992:-1 gene:gene38998 transcript:rna38998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MDRLLWPFKVISGANNKPTIIVKYMGKEKRFVAEEISSVILSKMREIAEAFLESPVKNAVITVPAYFNDSQRRATKDAGEIAGLNVMRIINEPTAAALAYGLQKRANFVDKRNIFIFDLGGGTFDVSILTVKDNNYEVKATAGDTHLGGEDFDNRMLTHFVKKFKKKNNEDISRNPKALRRLRTACERAKRTLSYDTEATIELDAIYKGIDFSSSITRAKFEEMNMNLFEKCMETVNSCLADAKMEKNSVDDVVLVGGSSRIPKVRQLLQEFFQGKELCNSINPDEAVAYGAAIQAVLHSESVKSVPNLVLRDVTPLSLGVSIRGDIMSVVIPRNTSIPVKRTNEYVTTEDDQSTVLIKVYEGERLKDSDNNLLGLFSLSLPLAPRGHPHKVCFTIDADGILNVSAVEETSGNKNEITITNEKGRLSRGQIERMIQEAENFKAQDMKFKKKVEARNALDDYLYKVRKVMKNDSTSSKLTPVDKEKINSAMTKGKSLIGDSQQEDTFVFVDFLKELESIFESAMNKINKGDSDEKSDSDS >RHN82565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54800611:54801148:1 gene:gene6738 transcript:rna6738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MMEKENVKPSPYTYKILIDVKGMSNDIDGISQIVRTMKAEGVEPDHLTWAVLARHYASAGLIEKTEAILKVTICGLKKIEEAEAVFGMMSIKWKLIAQNYLVLLKIYTRHKMLDKGKDLIKKMEDSGCIIGPIT >RHN53223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:205877:207366:1 gene:gene28111 transcript:rna28111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gallate 1-beta-glucosyltransferase MNEIKGKMGCDNDIVEHVFLVSFPAQGHVNPLLRLGKLLASKGLAVTFCTLESWGKEMRKARDLEEEKEVVIGKGFIRFEWFEDGWDEDEPKRLDLDVYLPQLEMVGKQVLPTIISQQNPPVSCLINNPFIPWVSDVAQSLSLPSALLWIQSCASFSTYYHYYHKLVSFPSQSHPHIHVQLPCMPLLNYDQVPSFLYPTTPYPFLRRAILGQFQNLHKPFCILMDTFFELECDNLQYMSSKTNCPIIKSPDDDCIEWLSSKPTSSVVYISFGSIVYLKQEQIDEIAYGLLQSGVSFLWVMKPPHKDSGKQPHMLPDGILEKTKSRGKVVRWSPQEQVLAHRSIACFVTHCGWNSSMEAIASGVPVVAFPQWGDQGEAANELINRDEVNKCLLEATVGTKAKEIKQNVLSLKAAAEAAIRDGGSSHRNIESFVDEIRKRSIEMRSKSMRLTSIKLVNG >RHN41350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29349547:29350842:1 gene:gene47663 transcript:rna47663 gene_biotype:protein_coding transcript_biotype:protein_coding MCICRCLHPKLKSKSSVIPWIEIKCPMQTNGIDCGYFVMRFMKEIILANQDMILENVCITLEITNGDYKCKTYSKDKLVEVEEDWATFMVEYLRDYIAQRLTL >RHN46125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28967390:28970685:1 gene:gene40568 transcript:rna40568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cycloartenol synthase MWCHSRLVYLPMSYLYGRRFVGPFSSIVLSLRRELYTIPYHLVDWDSAKHQCAKEDLYHPCPTIQNILWAFLDNVGEPLLMHWPYSKLRNKALDHVMKHIHYEDQNTNYICLGPVNKVNNNNYHVLAVLNMVCCWVENPDSEAFKYHLSRIKDYLWLAEDGMKMQGYNGSQCWDVALSVQAILATKLDDEYGSMLKKANNFIKSSQIAMDMSGNSSWCYRHINKGGWPFSTQDHGWPVSDCTAEGLKAAILLSNLPFESVGKTVEIEQLCDAVNLILSLQNRNGGFASYELTRSYAWMEKINPSETFEDITIDYQYVECTSAVIQGLALFTQRYPHHRRMEIETCIAKAAKYIESIQSDDGSWYGSWGICFTYGTWFGIKGLIAAGRRYKDNKSIRMACEFLLSKQHKLSGGWGESYLSAQNKVYTNLEGNKSHVVNTAWAMMGLIEGGQAEVDPSPLHRAAKMLINSQMENGEFPQQEIMGIFNKNCTITCSSYRSMFPIWALGEYRCHVLLCPDKESKQTS >RHN67589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28429880:28430468:-1 gene:gene15792 transcript:rna15792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MTITMIMNYLTIFYTHLKWVLDILTYYPFYKLHDSNFPIIGEMYDIYNYEHTNEDVECVVCLCKIEEGDEISVLRCDHMYHKHCLDKWFSFKNHTCPLCRESLRPERAITEHGAEILSFDFCAIRSDRDRDDWWLR >RHN78106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11949125:11951717:1 gene:gene1625 transcript:rna1625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller MRKSSTVHLTEVMKPQIWEKFPEDLFENVLARLPIVTIIRFRAVCQQWNNLISSQSFSQFCAQVSQENPCFYTTFGDYDYEAMYDPYMKRWYYPNTLEFSAFPVSSAGGLVYFQYECFNTNLCVCNPMTKSFKKLPNGWIKRWCHLGMTMNGSADYRVLKLGCRKYEIYDSVTKCWSHLGKIPECIKGPGCIISTSISIDNTLYFMHKHQNIVSYDTSTGVWTQHLIQAPLHSSGLTLAVSDGKIMLVGILEENDATFVCIWEALKMTFTLKEVDRKSLLKFHRRPVGLTCVGNKGLLLCCLKSCNMYRMIIYNIATREWLTVQLPFRRKVFKQHLVRATAFQPCLTAMP >RHN57237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37481536:37483304:1 gene:gene32750 transcript:rna32750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MAKRKLELEEQVDRISSLHNEVLANILSFLPTKEAIATSVLSRRWVSLWTLTNSLHFPENCPTRNNFVQIVKSVLAQRKPSCMKRVSFSIHNNCYIPHLVSSIVCMASKQKVEEIDLSLYSLKVYLPCEIFACETLVVLKLVGRFYLNLPSHLHLPLLKILHLCISCIVEDKALMKLFYGCPVLEELYYEDVEFKCSSLFGICVPTLKRLHVRSFDEKIHINTPLLEYLVLEETKASNYEVENLNKLKEARIGIYFDHENKEVKQNISNLLNGIHKTRFLCLDMDSTEVLTYACLEFPTFNNLGYLQLYLKTFNSHFLVKLLLEKCPNLDVLEIIKVDDLCDNEIKWAEPTIVPSCLSSHLTTFIFRDYEGTDEEFELIRYILKSGKVLERTTIYFGSSWEPSEARDAVSELCSLPKASKDNRIMCFEVALMVNFC >RHN45618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23786631:23789032:-1 gene:gene39996 transcript:rna39996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MANNNEGCAIGIDLGTTYSCVAVWHEEHGRVEIIHNDQGNKITPSFVAFAKDERLIGDAAKNQAAINPENTVFDAKRLVGRKFSDPVVQKDIMSWPFKVIADMNDKPMISLKYKGREKHFCAEEISSMVLVKMREIAEAYLESPVKNVVITVPAYFNDSQRKATIDAGAIAGLDVLKITNEPIAAAIAYGLDKRTKCVKARNIFVFDLGGGTFDVSLVTIKDKVFEVKATGGDTHLGGEDFDNRMVYYFVEEIKRTKKLDIIGNPKALRRLRTACEKAKRTLSFASVTNVEVDALFKGVNFSSSITRAKFEEINMDLFYECMEIVEKCLSDGKIDKSRIDDVVLVGGSSRIPKVQQLLQDFFKGKELCKSINPDEAVAYGAAVQAALLSGSVKNVPNLVLIDVAPLSLDNQKRVVIGVYEGERPRATDNHLLGSFTLSGLPPAAARGHPFEVCFDIDQNGILTVSAMDKSTGSKNEITITNDKGRFSAEQIKRLIKEAEAYRIDDEKFLRKAKVMNALDNCVYKMRNVLKKKEINLMLSSKENIKMYAAITNATKLLNEKYRQNEIDVLQHHLNELESMYEHIIGKSWYC >RHN74416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32970636:32973847:-1 gene:gene10478 transcript:rna10478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein MIVKVYASCIRCFSIYNDQLVTHLPHTAFNLLSKVSSIMGNSSSSSNQKKPLPIDTTFKLPANIPSWPQGGGFGNGIIDLGELKVSQISTFNKIWTTLEGGQGDLGATFFEPTGIPQGFFTLGHYSQPNNKPLFGWVLVAKDESNGALKKPIDYTLVWSSKSEKIKQDKDGYIWLPIAPNGYSPLGHIVTTTPEKPSLDRIQCVRSDLTDQCEINSWIWGKDKKIDEKGFNVHYVRPINRGIEAPSVLVGTFLAHVGEITNTPLPISCLKNINFMNFSSMPNLPQIKALAQAYSPFMYLHPNEKFQPCSIKWYFTNGALLYKKGEESNPMEIDPLGSNLPQGGSNDGAYWLDLPKDKDNRERVKKGDFKNCQGYFHVKPIFGGTFTDLAMWIFYPFNGPGTLKVGLIDNISLGKIGEHIGDWEHVTLRVSNFNGELKRVYFSQHSNGQWVDSSEVEFQSGNKPVAYSSLNGHAIYPKAGLVLQGTSDIGIKNETKKSDLVIDFGVNFEIVSGEYLGNQIVEPGWVNFFRQWGPKITYNLGDELEKLEKVVPGLKLPNELIGEEGPTGPKQKRNWVGDEI >RHN81729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48515270:48520977:-1 gene:gene5814 transcript:rna5814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MNSTNMLKRDITQLNIKLSELQALLNEKETQLRQFRSMEGSISSSSNLREESTHVSKDSSEIDQDLVVGEPGNVQSEFISSKSGDDSTFILNDYLEHGRAGNTTLKENDGELSSEEDFVEVKVEHQETFLGHTSPISRCRFSASGNNIASASLDGTARIWTYDASTPASRNATIYCGTEILSLDWECKSDRLLLIGTSDACIKAWNVDAKRVVCDLNTTEAFPSVLDIKCSPVEPIFVSAAASGGSGSNYFDNLGFASLTVWNMKTWKAMTVLPLGEDPPAITSLCFNHNGKILAASAVDGMIHMFDMSAGLQITGWPAHDSSISSILFGPDETSIFSLGSDGKIFEWSLQNQGQILWSRDCSRYCYPSSNYYRHDMALDANGRRLLVTSSSDRAPIYQVQGHLNGWRTLSHGAPITAVDWHPTLPIFLTGSADNSVRVTSLLS >RHN43610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47207125:47208045:1 gene:gene50216 transcript:rna50216 gene_biotype:protein_coding transcript_biotype:protein_coding MEENEEERGRMVVSHVCSFIKGKMVISCVIWLVIHVLFQSDFAENSNEPYLQGRNTYLNLNQYVLITTLVYIYTL >RHN61143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33419778:33429340:1 gene:gene23576 transcript:rna23576 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSDVCPTEDAIQVFLQHLVDPLLPQKASVRDNPTTSQQQVIAKQVHSVVLLYNYYHRKQHPELEYLPFNEFCKLIVVLRPPLLAYMKFMQKINEVELIDVEKQLSLTEKGIMDACDICNCLDASKNVPNIEGWPISKVSVLLIDSKKENCFLLFGSITEEVWSVVEKSIDTSSQNSDVPSETKNTYKRRRVIRKPAKDEVKVDEDRLLQVGYSAVKEATGINNTDIMLLGSYTIYSQSKEKEASRFYIMQCSQSINQEVIKVPLKDVIESLQGPLVKRSSSSWTITPVVVYFHVLPYSEVISQGISRNKFSYSLQDLRIVEKNIMVDSPELTESYISKDLDSGIYSKPSEGDIEMHEQKENNGSCTLRPCDSIMETHEMDVNKSSIYPSQNKEKCQKITNAMQFGEHQEKNNPSVQFKSNGSANAIQAMKIDSTRMLNGKGGVKLCANKPNTSYEKDTTGACTPSSNHSNSDKEKPQSLQDSKKRLRTAISSLIRKRNELALQQRKIDGEIAIVDEQIQRMLTDGNDDFVSMIECIMEGCNDVSVTNQEGIGGQQSLPLKRKDLSEARSSCKDLDGVCHENNWVLPTYRISQPNGGFQANVTVNGDKFKCSDEGNLCSTPLEARESAAAKMLNKFKSMGKLTK >RHN72459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7858739:7865607:1 gene:gene8172 transcript:rna8172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleophile aminohydrolase MLGIFSSSVVSPPEELVAAGSRTPSPKTTANLLLKRFVERKASAVSLQVGEDVQLAYTHHEESPWQPRSFAVKDEIFCLFEGSLDNLGSLRQQYGLSKSANEVVLMIEAYKALRDRAPYPANHVVGHLSGSFAFIVFDKSTSTLFVASDQSGKVPLYWGITADGYVAFADDADLLKGACGKSLASFPQGCFYSTAVGGLRCYENPKNKITAVPANEEEIWGATFKVEGPAVLAATE >RHN42502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38890513:38890976:-1 gene:gene48949 transcript:rna48949 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVYDIYGIDSSLIEHGKMPLLVDLKTVPTSQNFDYEIILMNCVVDVELEEKTFAFFEQRSVSELGLFFDKLADVVSRMGRPVSNAAKIMKKWAMRSHKLRDSFRAFVLLAVLLDFCVTEPYFLW >RHN44518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5611657:5615101:1 gene:gene38647 transcript:rna38647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MKVLQLPQLVRHGPFQNYPCRYSSHVSFVSLNASGYPTKDIKSNNNDDLIQSLCRGGNLKQAVQLLCCEPNPTKKTFELLINSCIEQNSLSDGVDVHHRLVGSGLDQDPYLATKLINMYCDLGSVDHACKVFDETREKTIFVWNAIFRALAMASRGEDLLVLYGQMNWIGIPSNRFTYTYVLKACVVSELSICPLRKGKEIHAHILRHGYEGHVHVMTTLLDVYARFGYVSYASSVFGAMPDKNIVSWSAMIACYAKNEMPMKALELFQIMMLEACDTVPNPITMVSVLQACASLAALEHGKLVHAYVLRRGLDSTLPVLNTLITMYGRCGEISTGQRVFDYMKKRDVISWNSLISIYGLVEEAKILFESMLNKYRIHPRMEHYACMVDILGRANRLDEAIELIQNMDFKPGPTVWGSLLGSCRIHCNVELAERASAMLFELEPKNAGNYVLLSHIYAKSRMWNDVRRVRKQLESRGLQKIPSCSWIEVKRKIYSLVSIEEYNPQIEELCAFLITLLTEIKNQGYVPQTNVVTYDLDEEEKERIVLGHSGKLAVAFGLINTSKGEIIRISNNLRLCEDCHAFMKFVSKFTNREILLRDVNRFHCFKDGVCSCGDYW >RHN58745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4441171:4447521:-1 gene:gene20685 transcript:rna20685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MQHNIFATMRSLKIMDGCKGGSQVYHHRSSGGGSGGIGEKLLQQLHDHIKSQTFRTKSVHNFHNFPTPNQTPSEVVAEGSLLPYGLPMTELLEPKIEPVLRPVDFVERLAGLHNKIENCLDVERSEVYLEHCSIFKGLSDVKLFRRSLRSARQHAVDVHSKIVLASWLRYDRREDELIGSSSMDCCGRNIECPKATLVANGYDPELVYDPCSCLRDCDEEEEDFMMFDDQQCSTPDEDDGGWDISFCIGDDEIRCGRFNMASLSRPFKTMLYGGFIESRRGTINFSRNGFSVEAMKAAEVFSRTKSLTTIEPNVVLELLSLANRFCCEEMKCACDTYLASLVSDMEDALLLIEYGLEETAYLLVAACLQVVLRELPASLQCSGFAKLFCSPEGRDRLAAAGHASFVLYYFLSQVAMEEEMRSNITVMLVERLVECAKDGWEKQLAFHQFGVVMFERKEYKDAQHWFEVAVEAGHVYSLVGVARAKYRRGHTYAAYKIMNSLINDHKPVGWMYQERSLYCFGKEKMMDLISATELDPTLSFPYKYRAVSLLEESRIGPAIAEINKLIGFKLSPDCLELRAWFLIAMEEYEGALRDVRAILTLDPNYMMFYGNMHGNHLVELLSPVVQQCNLADCWMQLYDRWSSVDDIGSLAVVHQMLENDPGKSLLRFRQSLLLLRLNCQKAAMRSLRLARNHSTSDHERLVYEGWILYDTGHREAALEKAEESISIQRSFEAYFLKAYALADTNLDSESSEYVIHLLEEALRCPSDGLRKGQALNNLGSVYVDCDKLDLAADCYMNALNIKHTRAHQGLARVYHLKRQSKDAYDEMTKLIEKAWNNASAYEKRSEYCGRDMAKSDLSMSTHLDPLRTYPYRYRAAVLMDDHKEAEAIEELSRAIEFKPDLQLLNLRAAFYHSINDFASSIRDCEAALCLDPGNAETLETYNKAQEDIKKQK >RHN77764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9278473:9282758:-1 gene:gene1251 transcript:rna1251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK family MKLNTKLTQLCTILLLTIFMVTIVFASNESFPSSLPGCKNTCGDVKIPYPFGISNSLIPNHGPCFLDPFYNLTCENDTKLLWSDIQVSNISVIEGQLELLFFVSSNCGNGNGSYNQSFLDIFDGRFSLSTRENKFLTVGCNSIGFLRSTYEKETFYTGCLTICDGTRNRIENGACSGIGCCQVDIPPMMRNITIQSSNYLDLNESLGCSNSFVVKNGFYNFSVSDLDNFPSEKLPLILDWSVGSKHCNASKIEDGYACKNNSDCYDEDIDFGYRCKCKDGYGGNPYHPDGCTDIDECKTGNNTCISEKHCRNTDGSHECFCPDGQSGNGTLAGGCHKQDVITKVAIGASAGIIVLFVAISSLYLTYQKRKLIKLKQKFFQKNGGSILVQQLSTREDTSQAAQIFTEEELKKATKKYDESLIIGRGGFGTVYKGVLPDNKIVAVKKSKIIDANQIEQFINEVVVLTQINHRNVVKLLGCCLETEVPSLVYEFVSNGTLFDFIQSTKDKTNNPTWKTRLRIAAETAGALSYLHSAASIPIIHRDVKSTNILLDDNYTAKVSDFGASRLVPLDQTEIATMVQGTLGYLDPEYMQTHQLTEKSDVYSFGVVLAELLTGDKPLSFNRQEETISLAMHFLSCLKQDKLFDAIQVGILDDENKKEIKEVAILAARCLRLRGDERPSMREVAMELDGIRLMEKHPWNDTEQNFEESQHLLHEASCSIYNETGDSYNPGYSGYDSLKDQPLIVLDSGR >RHN65563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3241411:3244894:-1 gene:gene13411 transcript:rna13411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAETTVSFVLEKLCNLAEKEATLLIGVHGDFQEIKHELESIKAFLKDADTRAADEGEGGSSEGVKTWVKQLREASFHIEDVIDCYSMYLVQRENYSGCIAPIKKVAQVIKTMKQRHKIASDMKNIKTSVGEIKERSVRYKFVVDNGPGSSRGTTGNESFGDLRMASHFIEENQVVGFESPRDELVSCLVEGTNELMLISVVGMGGLGKTTLSKHVFDNKLVKKHFDCRCFITVSQSYDMKELLIDMVKKFCKDSNEPTPKGLQEMDDITLIAQVREYLESKRYLVFFDDVWKEIFCDEIVNAMSNNKKGSRIIVTTRTMQVAEYFRKSFHVYIHKLQHLSSMKAWELFCKKAFRSEAGNLCPKDLEKMSEEIVQKCGGLPLAIVAIGGLLSTKSKTIFEWEKVRKYLRIELENNTHLTGLMRILSLSYDDLPYHLKSCMLYFGIYPEDYVIKRKRITRQWMAEGFVKNEHTRPLEEVAEEYMTKLINRSLVQVSKDGFDGKVKSCHVHDLMREVIIRKVKDSSFCHLMHEGHEQTMDGTVRRFSIAANPNNVLTSTGNSGIRAVFVFDKGELDEHFMDGLVSKFKLLKVLDFEKSRLNYVPDNLGNLFHLTYLNLSHTKVKVIPRSIGKLLNLETLDLRQTQVRELPKEMKKLTKLRLLPVYYRKYEGHYSMLNFTDGVQMQKGIGCLISLQKLYFLEADHGGIDLIEELKKLKQLRKLGIRRVRSEYGNALCNTIQQMNHLESLNITAIAEDEILDLDFISTPPNLKVLNLKGRLTKLPNWIPKLQYLVKLRLGLSNFEHDPLDSLKNLPNLLRLNLWDDAFSGDNLHFEVGGFLKLKELDLTRLNKLSSITIEKGALLGLDHFRFNNNPQLKVVPQDLKHLENLQFLGFADMPPELVESIDPRKGGEFHSVIKHIPLVLIRQKVGSRFHDYELYPIPTLSNV >RHN76860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1865212:1866022:1 gene:gene243 transcript:rna243 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSIWLSSIVLDFLIATILLSSSSPLKTVPKPPLPMIKLSSKLLVAFLSSFSLKM >RHN61792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38395033:38397385:-1 gene:gene24288 transcript:rna24288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein NUCLEAR FUSION DEFECTIVE 6, chloroplastic/mitochondrial MASTAARSIFRSSSATRSAFRVASEAKPARSPFRMASNKPVSPSQSMFRSPVELSFCVESMLPYHTVTASALMTSMLSLSSRNYAWLSEGS >RHN71979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4132554:4133101:-1 gene:gene7644 transcript:rna7644 gene_biotype:protein_coding transcript_biotype:protein_coding MSMENTTWEQKLQALTHILTNPTTTPTLHSQFFIATQIPCYLEWDYPPILCSNPSLLKKWEFSFFLKRVITMGIPKTSWRSKCPFQQPPPLILAEGVEEAQWGVEQRKSYVRKRLARKPLGTNVNPLVPILLPNILLLSLMIWNPFSYLD >RHN78953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20240433:20241205:-1 gene:gene2622 transcript:rna2622 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDNNSCSEDEAHMDSDLDSYSDKLSDIFETDSDTEDLVKEEKPLYLDEFNNFPEQSDGDTDDFEEHLQQISYNSKNMEKDADLPKFDEVDQIFLRAASFLKKKRK >RHN52731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38226680:38227192:1 gene:gene37433 transcript:rna37433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine permease, plant MHFNLAASILYGLVLSLTQLAFKKVVKRENFRSVMDMIIYQQLVATCVTLIGLFASGEWKDIKMEMEEYEMGKASYVLVLTFIAITWQIFNIGGVGLLFEVSSLFSNAISFLGMLIVHILGAVFFQDQMHGIKAISMVLAAWGFISYMYQQYFDERNTPHIGKDSSTLEG >RHN49465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54988683:54993830:1 gene:gene44301 transcript:rna44301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MDSNDNQLSSFFHHHNQQQQQQQQQQHHQQNSTTVTTATASPTNGLLPNTDGSHILYPHSVASSAVSSQLEPAKRKRGRPRKYGTPEQALAAKKASTSSFSPTPPTLDTTTNNKNTHSFSPSSSSFTTKKSHSLSLGNAGQGFSAHVIAVAAGEDVGQKIMQFMQQHRGEICIMSASGSISNASLRQPASSGGNIMYEGRFDIISLTGSYVRNETGGRSGGLSVCLSNSDGQIIGGGVGGPLKAAGPVQVIVGTFFIDNKKDTSAGGKGDPSAGKLPSPVGEPASSLGFRQTVDSSSGNPIRGNDEHQAMGGSHYMIQQLGLHVTPPRTTEWGTHPDSRHAGYDLSGRTGHGSHQSPENGGYDQIPD >RHN42793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41034695:41037472:1 gene:gene49281 transcript:rna49281 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTFSIIFLCFFLINLPPSTFAIWLTLPTTGTKCVSEEIQNNVVVLADYVVVPDDHTRSPTLAVKVTSPYGNNLHHKENTTHGSFAFTTQETGNYLACFWVDGGNQGGHEVSVNLDWKIGIAAKDWDSVAKKEKIEGVELELRKLEGAVEAIHENLLYLKGREAEMRIVSERTNGRVAWFSIMSLGICIGVSALQLWHLKRFFQKKKLI >RHN60753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30158162:30164055:-1 gene:gene23110 transcript:rna23110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-LKB family MFDKALSYIKAILCCNCFGFINRRNPQRGTPAIYNSTLSQGLLLDSDFDDEDSFFNDEITNYSSGEDNEEQIRPKRSEDILDFRVENGMICRQFPVKETHKVVRTEDEDGNKMINEYVREYKIGSGSYGKVALYRSSVDGKHYAIKAFHKSHLMKLRVGPSETAMTDVLREVFIMKMLQHPNIVNLIEVIDDPESDNFYMVLEYVEDKWVCEASGRACALREETARRYLRDIVCGLMYLHAHNIVHGDIKPDNLLITRHGTVKIGDFSVSQACEDDNDMLRRSPGTPVFTAPECILGVTYSGKAADTWAVGVTLYCMILGEYPFLGDTLQDTYDRIVNDPIVLPKNLNPRLKNLIEGLLSKDPKLRMTLADVAADSWVIGNDGPIPQYLCWCKRKNLLKEDNDESNQHASLTYTD >RHN51881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27567002:27572618:1 gene:gene36439 transcript:rna36439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MIAVSISIAVYKRSTVPVFKSHGLAQASISDCISETFSAIRTVRSFSGEKRQMSMFAKQVLSFQSSGIKLGTFKSINESLTRVAVYISLTALYCLGGSKVKAGQLSVGTMASFIGYTFTLTFAVQGLVNTFGDLRGTFAAVERINSVLSGVQVDDALAYGLERELKQKAVNDENYKLFFSNSSVETNQNNNLHYMSALKTSSNVFSLAWSGDICLEDVYFSYPLRPDVEILSGLNLRLKCGTVTALVGASGAGKSTIVQLLSRFYEPTRGRITVGGEDVRTFDKSEWARVVSIVNQEPVLFSVSVGENISYGLPDDDVSKDDVIKAAKAANAHDFIISLPQGYDTLVGERGGLLSGGQRQRVAIARALLKNAPVLILDEATSALDTVSERLVQEALNHLMKGRTTLVIAHRLSTVQNAHQIALCSEGRIAELGTHFELLAKKGQYASLHGAG >RHN69816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46485626:46490954:1 gene:gene18333 transcript:rna18333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-galactosidase MGFQKHNKSVLVHLFILFIYVSSVFVSARVVQPLLQNYGKPIFRSNFHSIYDTSNYGVFHLSNGLALTPQMGWNSWNFFACNINETVIKETADALVSTGLAKLGYVYVNIDDCWSSVTRNLKGDLVPDRKTFPSGIKALADYVHGKGLKLGIYSDAGAFTCQVRPGSIFHENDDADLFASWGVDYLKYDNCYNLGIPPKKRYPPMRDALNETGRKIFYSICEWGVDDPALWAGTVGNSWRTTDDINDTWASMTTIADLNDRWAAYAGPGGWNDPDMLEVGNGGMTYQEYRGHFSIWALAKAPLLIGCDVRNMTAETFEILSNKEVIAINQDSLGVQGRKVQAAGIDGCSQVWAGPLSGNRLTVALWNRCSKVATITASWEALGLESGIHVSVRDLWQHKVLNGDAVSSFSARVDSHACELYIFTPSTTSYSAL >RHN62062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40406438:40411616:1 gene:gene24592 transcript:rna24592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative splicing factor, SPF45 MLGGLYGDLPPPSSAEEDKPTTNVWSSSTKMAPATLRKPSSSLYTPPHTLLRSQNKPKIVNSTKTILSPAPQPILASPLDDVVVQPALVGVQSTVMEEYDPARPNDYEEYRREKKRKAREAEMMRELERRREEEEEREKERERERERERERDRDRERDQGDSRLNISGEEAWRRRVGMSGGGGGSGGRGVPRSPSPPGSVDGFSIGKSETVGLGVGAGGQMTAAQRMMAKMGWKQGQGLGKQEQGITTPLMAKKTDRRAGVIVNASDSKSDKKVKSVNINGVPTRVLLLRNMVGPGEVDDELEDEVGSECAKYGTVTRVLIFEITEPNFPTDEAVRIFVQFERSEETTKALVDLDGRYFGGRTVRATFYDEDKFSKNELAPMPGEIPGFT >RHN63137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48764938:48767145:1 gene:gene25801 transcript:rna25801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain, purine permease, plant MEIIQDLHPQSTTTTTEEKNEEPQHQRLRDFKWWFRVSLYIVFLLVGQSTANLLGRLYYDKGGNSKWMATFVQSAGFPILLPLYFIFTPHAKSTNINANIDSSKKTKPKLSTIVSLYIFFGLLLAGDNLMYSNGLLYLSLSTYSLLCATQLAFNAVFSYFLNSQKFTAFIFNSVVLLTISSALLAINNDDSEDSTNLPKDKRVLGFVFTLGASVTFSLYLSLVQLSFDKVIKSETFSVILDMQFYPSFVATCACVVGLFASGEWKSLNKEMKEYELGKVSYIMTLFWTAVTWQVSSIGMLGLVLDVSSLFSNVIGTVVLPVGPILAVIFFHDKINGVKFIALMLAIWGFLSYIYQHYLDDKKAKANESHLPQVSRGEVEIC >RHN64585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60213671:60214729:-1 gene:gene27413 transcript:rna27413 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLRTQLSFSLRIPSSSSSSKHLTPHLLSFPSRSSSSIKTMSTTHSKSQTIEHIVLFKVKQDTEPSKITSMINNLSSLVSLDQVLHLTVGPLLRNRSTSLTFTHMLHTRYSSKQDLEAYSAHPSHVSVVKGNVLPIIDDIMAVDWIAEDLNGEDLVPKEGSAMRVMFLKLKENVVNDKVLQVIKEIPENFQQIKQISYGENFSPARAKGYSIASLAVFPGEKEMEEHDSNHELMKFQKDKVRDQIESVVVVDYVVPPPPPPQSASL >RHN57850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42148274:42148618:1 gene:gene33439 transcript:rna33439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MATRYLHFTTLVLAVSILVVGISGQFECGGDLNGIVYHCKPFVLKDGPTLPPSDLCCNALNGVDVSCYCQYVTPRLMQNISIDKALNVARNCELQDIPTGKCGSKLIFLKSLIE >RHN51580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20170792:20176655:1 gene:gene36044 transcript:rna36044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGS domain, HSP20-like chaperone, acetyltransferase A, auxiliary subunit MASDLEEKAKEAFVEDHFELAVELLSQAITLDPLKPEFYADRAQAHIKLNNFTDAVADANKAIELNPSLSKAYLRKGNACMKLEEYQTAKTALETGASLAADKSRFVKLIEECDELIAEESDTIPTQEKSTTQDPTSKDVQPEDLSEEPIVVVAKPKYRHEFYQKPEEVVVTIFAKGVSKESITVDFGEQILSVNIDVPGEDAYVFQNRLFGKIVPSRCRYEVLTTKIEIRLAKAESIPWKTLEFNKETTIAPKAIASVTATQRPTYPSSKPKRTDWDKLEAQVKKEEKDENLDGDAALNKFFREIYQDADEDTRRAMKKSFVESNGTVLSTNWKEVGTKKVEGSPPDGMELKKWEY >RHN54922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13564772:13567823:1 gene:gene30021 transcript:rna30021 gene_biotype:protein_coding transcript_biotype:protein_coding MSINITKRSMYLKYILQKHRGTKTKHKDEDKDDEKKEKKDKEKKKEKKDKTDVEEKEHKKDKDKKKKLYKKITFYFTMTYFKKRAEPPISRDAITCYSTRGNLRVEKLKNIFPTYSSFSIFVIDL >RHN55992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26564189:26568080:-1 gene:gene31302 transcript:rna31302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MTCIAPGVSLRLEGVDGEFDIICRSLGLSGPEDFSIPAAAWEAMKARSSSELNSGSSGIKGVSPSMLKPPPGVVVSAVDDMCSKWDILRDLAPEGERKEGEEEEEKEKIDEIEEKWEVGEVAKKRGETSSTCSSWDLLRNSISSSTCSTWDLLMDLSSEGEVGEVANQRGDTRSNSIFSNVRLKSLITPGSWQKGELLGRGSFGTVYEGISEDGFFFAVKQVSLLDHGSQGKRSVVQLEHEIALLSQFEHENIVRYIGTEMDESNLYIFIEFVTKGSLLSLYRRYKLRDSQVSAYTRQILHGLKYLHDRNVVHRDIKCANILVDANGSVKVADFGLAKAIKLNDVKSCQGTPFWMAPEVVRGKVKGYGLPADIWSLGCTVLEMLTGQVPYSPMERISAMFRIGKGELPPVPDTLSRDARDFILQCLKVNPDDRPTAAQLLDHKFVQRSFSQSSGSASPHIPRRS >RHN66899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21118622:21120689:-1 gene:gene15015 transcript:rna15015 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNQLEGSTSEKPVAEPLCIISATENEPPIQVIDHKQKGVEITVEEVTALTNTKTLKSSTYSKSLGSSSQDQSIQEGSTSGTKNDQDIQLVDLKQKSVRLSIEDIDNAASQETTQTNNNQVSLSPSIKEQFPMDDEIISKSKPSPSIIYPIASQFPLIPSKDFDLYLHMESLYRQFQEVSKGRSNGNENQNAQITKEFSAWIEAEAASRHKLTSSQLEVSASERLAGATLSTISETIDERPIQLVAPKQKGNEISFEEGTTSTCDKTITSATHLVSSSPTYSMYHGLVQYGLIYFI >RHN67770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30119124:30120153:1 gene:gene15998 transcript:rna15998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQIFKFVYVMIIFIYLFLVLTNVDAGIRCHDVSECPKGLYCNVGSHMECVKHQCKCIKNFEPIDLA >RHN68701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37905527:37905943:1 gene:gene17086 transcript:rna17086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative psoralen synthase MNYMKCVVKESMRLHPPAPLLIPRETLSDVRVKGFDIPSKTRVSVNAWTIQRDPEFWNKPDEFIPERFEEQPEIDLQYIPFGAGRRGCPGISFGLGSTELLLANLLYMQDIDMSEIHGLTVSKKVPLHLEPTLHSFHE >RHN56727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33237717:33239347:1 gene:gene32167 transcript:rna32167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor OFP family MIPNAWFYKLKDMSKSSRKRNGSHHVMKNKVISSPTTSQRSHSSYSHYFSNEPKRNGNCRVSSSTNDIIIDMNNESFQGNSKNLDGFDAFSQLGLAPILTKQVKFEEKIAKVTESRSSTESDERKIDHSFSTKVTQRRRRKSQIARKTSPNSQGIKLRVNSPKIVSKKIQACARKSISKNDAGFVDGFAVVKSSIDPISDFKESMVEMIVENNIRESKDLEDLLACYLSLNSSEYHDVIVKAFEQIWYDMAQLRM >RHN73445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16482997:16490884:-1 gene:gene9259 transcript:rna9259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxysterol-binding protein MEIANNIVLTKPFSLRNDSDSDSEENYSAPNLLQRILSLLKNVRPGSDLTLFQLPPLFNFPKSQLQCYGESVYSTSSDLLSKCNTGQCKIERLISVVAWSISTTRPPLFGVAPYNPILGETHHVSKGNLNVLLEQVLHHPSVSALHATDETENIEIIWCQYPAAKFNGTSVEAQVHGKRKLRLLNHGETYEMNSPNLFIRILPVTGVDWIGNVNIRCIETGLVAELSYISQSFFGFGGNRRLIKGKIIDSLTSKVLFRVNGHWDSTVTLKDTSNGEERVIYDAKEVISRLQAPTVKDAESVWPTESALIWSSLSQAILSKDWEKAREAKKIVEQKQREVSRERESKGETWVPKHFILSQSKEGSWDCLPIQKWVPPSPIVTL >RHN48548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48362790:48364857:-1 gene:gene43278 transcript:rna43278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AQP1 MPIRNIAVGTPQEATHPDTLKAGLAEFISTFIFVFAGSGSGIAYNKLTNDGAATPAGLISASIAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLLRGIVYIIAQLLGSIVASALLVFVTASSVPAFGLSEGVGVGPALVLEIVMTFGLVYTVYATAVDPKKGNIGIIAPIAIGFIVGANILVGGAFTGASMNPAVSFGPAVVSWSWSNHWVYWAGPLIGGGIAGLVYEVLFINSTHEQLPTTDY >RHN51180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14846957:14847384:-1 gene:gene35566 transcript:rna35566 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSYFLFLQKITCFKPYLENAEIRPRLRLSYDGELLMT >RHN73197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14270212:14276137:-1 gene:gene8986 transcript:rna8986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 peptidase MGMTTSNRKRSEECMSVLTNHTTISISQPSSKRPKFSYQSTRPVSTSNAIVSRLSRYPDTKPQFLREVHAPCRPRKFNLSRKLSNFGDFIDMGNFLQRNYEKVKRSALGKCRLVTQKEKVVIDLDSDGEDSDDSGVVEVVEIRDTEMKDVEIGVQRRLTDSALTNAVVNVEDHDLSSVHVYKKLLQGVQRRTDTIQSLNFQIELNEKRRDIFQLLRPKKELIEEVPFEPFVPLTDDEEIEVSCAFSSNRRKVLVAHESSNIEVSGEKMRCLLPGAWLNDEVINLYLELLKERERREPKKFLKCHFFSTFFYKKLISGRDRYDYKSVRRWTTQRKLGYSLFDCDKIFVPIHKEIHWCLAVINKRDAKFQYLDSLKGMDRRVLEVLARYYVDEVKDKTGKDIDVSTWEKEYVEDLPEQENGFDCGVFMIKYADFYSRGLGLCFNQEHMPYFRRRTAKEVLRLRAD >RHN79326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27626802:27628567:-1 gene:gene3103 transcript:rna3103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MRGRSYSYSPSPPRRYGGRRRSPSPRGRYGGRYRGGRDRDLPTSLLVRNLAKDCRPEDLHDPFGQFGPVKDVYLPRDYYTGVEDKNEVIRVLC >RHN64078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56401336:56407187:-1 gene:gene26858 transcript:rna26858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-KNOX family MAFHEHLQQEIAFQRFTEEEDEERASWLNNNNNNARQQNFMQMEPERREKSMDRNHRNDNNCETEELREYKAEILGHPLYDQLLSAHVSCLRIATPVDQLPRIDAQLQQAQRVLQKYSSVGIGNMDPKELDHFMTHYVLLLCAFKEQLQQHVRVHAMEAVMACWDLEQSLQSLTGVSSGEGTGATMSDDEDEQAESNARLYNEGSSLDGVDTLGFGPLVPTETERSLMERVRLELKHELKQGYKEKIVDVREEILRKRRAGKLPGDTTSLLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHANSPSSSSSKTKRKSAGDSSNQSFM >RHN47081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36966936:36969642:-1 gene:gene41636 transcript:rna41636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MKTIAKMVLPNVTTPFSSFSIHKTLRTQTTPPTNYLKPSLSNSITNMSSFPKNTNRKLPILLFDIMDTIVRDPFYKDIPEFFGMSFNELIDCKHPTSWIEFEKGLIDEAELERKFFKDGRDFDLEGLKTCMRNGYSYIEGVEQLLLALKKNNFEMHAFTNYPIWYQLIEDKLKLSKYLSWTFCSCTLGKRKPDTEFYKEVVRHLEVDPEYCIFIDDRPKNVQAAAEVGIKGVHFKNVDLLREELSLLGVDISTDEDQ >RHN45827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26062057:26065938:-1 gene:gene40246 transcript:rna40246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LIM family MLQIRLSKNPSSDGSAGVKQSPVETVTVACPDHLVLADLPVAKGIGTATASSVVRNVGRRSRRQLGERVHFCVRCDFPIAIYGRLSPCDHAFCLDCARSDSSCYLCEGRIQKIQTIKVMEGILICAAPHCLKSFLKRVDFESHIHEFHADLLRPNADKEDGNESEAQSVRQPTASDSTARGPLRQVFSPGSNSHDLEDRTRRQPPRDQAPSRPAMQQKPPFFGQQHHPSDSISGSVGGMQQGFHQQSFDMQQHPPQEPVQFTDRQQSVGPDNSFPEYPTMHPAQPTNVPPHPNSMLNPPMPFGYPPFLQDRAQPFYAAPYDMPRQDSSSDIGGDPNSLMGYPQGVPNGPNFQGNYPQPWNAAGVPFEQAQASGMAVDPRDSKGILTPQPMALPPPPPPPPAHMLKPNYYPGDHGHDGQSYGWQHDNRDSFNGQG >RHN63033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47808947:47809291:1 gene:gene25683 transcript:rna25683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MRLQSVVFLLLGFLATMSVRNQAIAGGWEPIKNINDPHVIDIANYAVTEHDKQAGLNLKLEKVISGETKVVDGIIYCLNITASDGSASNKYNLAVLEKLEQHFRNLTSFVPLQN >RHN48089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44896961:44898033:-1 gene:gene42764 transcript:rna42764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor OFP family MPTTLRTRRRNNLKRLNYLCFSTFIQPQSPAPTSSLLQEIDHNRPSFSTSSTMIKNFNTLYHHHTTEPEPQLADIATAFASNRFFFSSPGRSNSIVESTPSSFSSSSSLLVSTVPAPANDSNKKKTVFNGSVAVPTYSPDPYMDFRRSMQEMVEAQPELMKDVKSNWETLHELLLSYLAINPNNTHKFILDAFSDLIVTLMSFSSSSSS >RHN60371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25925089:25930580:-1 gene:gene22676 transcript:rna22676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MSGRDKDKGKAHVVDHGKPKKKKNGRIDVSSDPTRQWVRPTGITFRNIDERTGTPIPTGTPIPTATTPPPYPTPFPTIPPAPFPPILPASFPAATTSQPPSAPFSHILPASFPAATTSHATTSQPPIYSSPGFAMPGFTPPSTHSPNYNTTRSNVRQGFNTSVPSQSSTELMQDLMQTGNFLALLADQRPPQQQQQQQQSNQNEEVPSGADQRRRQRRQITEDWEYVDNLVVVTPTPETLEPSHGVSEHIRMAIQTFYPKDRRWAVYSDLTTDDRNDIFKYFSDFCRWEPHHHTLVERNFHRVAARRLSDLLYDERQELKKRKGNYRTQWIGEKSWELLLKYWNEDPHFKNRSKANKVNRASIVGGQLHAQGSVSTATYARDLRNRLGRVPYPEEIHDVTRFSQNLGRYVDARASNTQAAYRTNAAEFLKENLEIPSYPEIPFPIKLQLWAKAAEEGRPDGGKERKGRMYGLGPLAGNVVHGDLFYVPPLIIYSGSWLKNKATSNI >RHN43874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49133257:49145864:1 gene:gene50508 transcript:rna50508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MPFPMMRIRMRIPSAGIFRLAVRHFLTTTTTTTTNLRIRLSSSSPAALNHHRHRTFALPFFHQQTSTYGRFAFKDVSSDESDLEFASSRPQQQQLGDSTLENIDSWRWKLTMLLRNKDQQEVVSNEKKDRRDFLQLETLATRMGLYSRQYARVVVFSKAPLPNYRPDLDDKRPLREVTLPFGVHREVDTHLLAHLSHKATKRVGSFDDSLHRSRDDGSIPADEGIYGHPEPMSHNSVAKEKILQRRSLQLHHQQQDWQESPEGQKMLEFRRSLPAFKEKDAFLKVVSENQVIVVSGETGCGKTTQLPQYILESEIEAARGSLCNIICTQPRRISAISVSERVAAERGEKLGESVGYKVRLEGMRGRDTRLLFCTTGVLLRRLLVDRSLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPDLRLILMSATLNAELFSSYFDGAPTIHIPGFTFPVRAQFLEDILERTGYRLTPYNQIDDYGQEKTWKMQKQAQSFKKRKSQIASAVEDALEVADFKGYSLRTKESMSCWNPDSIGFNLIEHVLCHIVKNERPGAALVFMTGWDDINSLKDKLHAHPLLGDQSRVLLLACHGSMSSSEQKLIFENPGGGVRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPSWISKAAARQRKGRAGRVQSGECYHLYPRCVYDAFADYQLPELLRTPLQSLCLQIKSLQLGSISEFLSSALQPPEPLSVQNAVDYLKIIGALDENENLTVLGCKLSMLPVEPKLGKMLILGAIFNCLDPILTVVAGLSVRDPFVVPADKKDLAESAKAQIAARGYSDHLALVRAYDGWKDAEAQQAGYEFCWRNFLSSQTLRAIDSLRKQFFHLLKDIGLVGNNSETNNKWSNEEHLLRAVICAGLFPGISSVVNKEKSISLKTMEDGQVLLYANSVNGSVAKIPYPWIVFNEKIKVNTVFLRDSTGISDSMLLLFGGNISKGGLDGHLKMLGGYLEFFMKPELAKTYSTLKRELEELVHKKLADPMFDIHSHNELLSAVRLLVSEDNCDGRFVYGHQVLPQLKKETKSKSGDGAGGDNSKNQLQTFLSRAGHQLPTYKTQELRNNQFRSTVIFNGLDFVGQPCNSKKLAEKSAAAEAILWLKGDNTHSSGDIDHASVLLKKRNKKSKKKSFSDAKWS >RHN69416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43271892:43272719:-1 gene:gene17885 transcript:rna17885 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGARSAEIFVMQKRLKEKMKIMEEERVRKGEVSGDNQNRKVQASSNSSTIGKNKVHPGVAPNSA >RHN41501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30551886:30555092:1 gene:gene47833 transcript:rna47833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MNNIWDDNSSVMEAFMTTSDISSFWLPTPHSATSTTAAPVPPPPQQSLFNQETLQHRLQALIEGAKESWTYAIFWQSSYDYTMATPLLGWGDGYYKGEDDKVKLKRVTPPEEQAHRRKILRELNTLISGGSSVSDDAVEEDVTDTEWFFLTSMTQSFVNGTGSLSQAYFNSTPVWITGAERLSGSPCERAREARVHGFQTLVCIPTSSSGVVELASTEMIPYNADLMEKIRVLFNFNNPETGSWPLNSITTSENDPSSVWLNDLSASAAIEIRESTVNTAAVPAMNATIPANATVGKTLPFETNGSTSTLTETTAVNFAQRQNQNNQNHSFFLKELNFSGSMKPESGEILSFGESKKSSYITGNGTFFSGQSQFVAGEENRKRKSPISRSSIDDGMLSFTSGVVLPSSNMKSSSRGGGGDSDHSDLDVSAVKEGESSRVVEPGKRPKKRGRKPANGREEPLNHVEAERQRREKLNQKFYALRAVVPNVSKMDKASLLGDAISYINELKSKLQGLESSKGELEKQLGATKKELELVASKNQSQNPIPLDKEKEKTTSSTSSSKLIDLDIDVKIMGWDAMIRIQCSKKNHPAAKLMAALKELDLDVNHASVSVVNDLMIQQASVNMGSRFYTQEQLLSLLSSKIGDAQGD >RHN70076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48506390:48514350:-1 gene:gene18619 transcript:rna18619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-mannosidase MDTSSVCLLLRFLLLFVVCITSSESNYIRYNTNSTIVPGKLNVHLVPHSHDDVGWLKTIDQYYVGSNNTIQGACVQNVLDSLIPALLADKNRKFIYVEQAFFQRWWREQSGEVQKIVKQLVNSGQLEFINGGMCMHDEAAAHYIDMIDQTTLGHRFIKEVFGITPRIGWQIDPFGHSAVQAYLLGAEVGFDSLFFARIDYQDRAKRKDEKSLEVVWQGSKSFGSSAQIFSGAFPENYEPPGNFYYEVNDDSEDSPTVQDDINLFDYNVPQRVNEFVAAAMSQANITRTNHIMWTMGTDFKYQYAHTWYRQLDKFIHYVNQDGRVHALYSTPSIYTDAKHAANEAWPIKTDDYFPYADRLNGYWTGYFTSRPALKGYVRFTSGYYLAARQLEYFKGKSALGPNTDSLADALAIAQHHDAVSGTEKQHVANDYAKRLAIGYTEAEKSVSASLAFLTEAATKTGHRTPQIQFQQCPLLNISYCPASEVDFSHGKDLVVVVYNPLGWKRDDVIRIPVANENVVVRDSSGKEIQSQLLPIPDAFLGLRNYQAAAYLGVSPSVNPKYWLAFSAFVPPLGFSTYYVSKSKQAAATSDRDIAHRSGNESDAFEVGLGNLKLVYSRKEGKLTQYINRKRKVKESLEQTHKYYASYGNDFTINAQASGAYIFRPNGSSIPINSNEKSPLTVLRGPIVHEVHQKINSWIYQTTRLFKGKEHAEVEFIVGPIPIDDGVGKEIATEIKTNLTSRKTFYTDSNGRDFIERVRDYRKDWNLEVNQPVAGNYYPINLGIYLRDKSREFSVLVDRSVGGSSIVDGQLELMVHRRLLVDDSRGVAEALNETVCVSDKCTGLTVIGKYYFRIDPVGEGARWRRTFGQEIYSPFLLAFTETEGNWGDSHVTTFSGIDSSYSLPENVAIVTLQDLGDGKVLLRLAHLYEIGEDMNLSVKSSVELKKVFHNKQITKITEASLSANQGRAEMERKRLVWQVKGSSREPQVSRGQPVDPEKLIVELAPMEIRTFIINFKH >RHN74948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38047499:38049782:1 gene:gene11086 transcript:rna11086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bulb-type lectin domain-containing protein MSIFLNPKTSFLTLLFFSFSTLIAHAIVPQNETFKFVNSGDLGDFIVEYGGDYRMISIFNAPFQVGFYNTTPNAFTLALRIGLQRSEQLFRWVWEANRGNPVGENGTFSLGADGNLVLANADGRIVWQTNTSNKGVVAFRLLSNGNMVLIDAKGKFVWQSFDHPTDTLLVDQYLKPNGPSKLVSRLSEKENVDGPYSLVLEPKGLALYYKSTNSPRPIKYWFSSSWFSFEKGSLENVTLKSDPESFEYGFDFFVANSTTSGSSIIGRPVNNSTLTYLRLGIDGNIKFHTYFLDVRSGVWKVTYTLFDEDEDEGECQLPERCGKFGLCEDNQCVGCPLENGIFGWSNKCNAKPLGGVCKASEFHYYKIEGVEHYMSKYTTGDSVSEDACGNKCTKDCKCVGYFYHKDNSRCWKAYDLQTLTKVENTTHVGFIKVPNK >RHN81289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44591711:44594015:1 gene:gene5305 transcript:rna5305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP-dependent synthetase/ligase, EF-Hand 1, calcium-binding, AMP-binding enzyme MSGEEIWSSAEEESGESLSPFDNRSGYNPRTGIYHSLVKLETKHEIPTKLDLNTATLVLSQFSQGDLADARIAFIDLNTDHSVTYGEIRRSVYSLATALFHGLEIRKGDVVFLLSPNSILYSTICLAVLSVGAILTTANPLNTKSEIAKQVHDSGAKLAISAPEELHKLVPTGVPTILTSGTSDGKFLSVEELIEGCYDSHELPHVPVEQSDTAAILYSSGTTGVSKGVVLTHANLITIMKLLCWSADVSTAQDDVFLAFIPMFHVYGLMFFGFGLLCVGVTTVLMQKYDFQAMLVAIEKHKINNIPAVPPVIHSLVKHASKDGCDLSSLRRVGSGAAPLSKEMSLEFRKLFPWVELRAGYGLTESCGGATFFGSDKDAKAHPEACGKLIPTFCAKVVDIETGKPLPPLKEGELWLKSGTIMKEYLGNIEATTATIDSEGWLRTGDLGYIDENGIVYIVERIKELIKHKGYQVAPAELESVLLSHPLIVDAAVIPVEDEETGQIPMAYVVRAAGSQLTEDQVIQFVAGQVAPYKKVRRVSFIDGIPRSAAGKILRKDLVLQSKYQLVSKL >RHN62554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44325271:44327367:1 gene:gene25145 transcript:rna25145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MFANMFNFCQLKLFNSDQNQRSSLDWGKRFEIICGIARGVLYLHQDSRLKIIHRDLKASNVLLDAEMNPKISDFGMARIFGEDEIQARTKRVVGTYGYMSPEYAMEGRYSTKSDVFSYGVLLLEIIAGKRNTHCEIGRDSPNLIGHVWTVWTEERALDIVDEALNQSYPPAIVLRCIQIGLLCVQENAMNRPSMLEVVFMLANDTPLCAPQKPAFLFNDDKDLQESSTSGGGSSINETFLEVFEKQVSVVTLCGYMSPEYAMEGRYSTKSDVFSYGVLLLEIIAGQRNTHCETGRASPNLIGHVWTQWTEGRALDIVDPELNQFYPPSIVMRCIQIGLLCVQENAINRPSMLEVVFMLANATISAR >RHN40201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14162520:14167395:1 gene:gene46323 transcript:rna46323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MASSSSSSTSQWVYDVFLSFRGEDTRRNFVAHLNAALSNAEINTYIDDRIQKGTDLEPELFRAIEDSRISIVVFSENYVHSSWCLKELEQIMKCRVNCGQIVEPVFYHVEPSVLRHQAGDFGKALEETAKRSSSEGEKMNTVLSTWQIALTEVANISGWDTKNFKDDVELISQIVKDIKRKLKNRLLNITKFPVGLDTHVQQIIAFIKNQSSKVCLIGIWGMGGSGKTTTATAFYNQFHGKFVVHRFIENIREVCEKEGRGNIHLKQQLLLDNMKTIEKRFMREKALVVLDDVSALEQVNALCGKHKCFGTGSVLIVTSRDVRILKLLEVDHVYSMTEMDEYESLELFNLHAFRKSSAKEDFNQLSRSIIDYCGGLPLALEEIGSYLFDRTKQQWKSTLSNLRRIPNDKVQKKLKISYDGLDCDSERGIFLDICCFFIGKKRAYVSEILDGCGLNADMGITILIERSLLKVEKNDKLGMHGLLRDMGREIVCKRSEEELGKRSRLWSDEDVHDVLNQNCGTKFVEGLVLKSQSTENVSFNADSFKKMNNLRLLQLDHVDLTGDFYQENLAVFELKHSNIKLVWNETKLMNKLKILNLSHSKHLTSTPDFSKLPNLEKLIMKNCPNLSKLHHSIGDLKNILLLNLKDCTSLASLPEVIYQLKSLKTLIFSGCSKIDKLEEDIVQMESLTTLIAKDTGVKEMPYSILGLKGIAYISLCGCEGLSFEVLPSVIWSCVPPTMNSSPRISPFDNQYDVDFTESETSQISNLPLRPLLIGIGSCHIVIDILSRSISQGLTSNDSGHFSLPVGDNYPSWLAYRCDGPSTQFHVPENIDCHLKGIILCVVYSSAPENMGAECLISVLIVNYTKFTIQIYKRGTLRSFNDEDWKNVTSNLGPGDKVEIFVLFGCGLIVKETAVYLLYGQSITTEFEQSIFMEVEPSTNMEMEPSAELNVQPSPKVSMQPSPNVKVEALITMEIEQSITVEVESLTNMEMKTSEEVNLQQSPKVDAEASITMEIEQSITMEVESSTIVEMVPLAEVNVRPPPEVDMQPSPKPNNSSFTRLAKRIGACLCFNHQS >RHN48440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47485102:47485623:-1 gene:gene43156 transcript:rna43156 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDATPLKYLQKSMIKTRLKILEALLSPKSNIKEATLEG >RHN60312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25101963:25103311:-1 gene:gene22609 transcript:rna22609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MAANNHLYHISLALLLCLGLFAIQVTSRTLQDDMYERHRQWMSQYGKVYKDSQEREKRFKIFTENVNYIEAFNKGDNNKLYTLGVNQFADLTNDEFTSSRNKFKGHMCSSITRTSTFKYENASAIPSSVDWRKKGAVTPVKNQGQCGCCWAFSAVAATEGIHKLSTGKLISLSEQELVDCDTKGVDQGCEGGLMDDAFKFIIQNHGLNTEANYPYQGVDGTCNANKGSINAVTITGYEDVPTNNEQALQKAVANQPISVAIDASGSDFQFYKSGVFTGSCGTELDHGVTAVGYGVSNDGTKYWLVKNSWGTEWGEEGYIMMQRGVDAAEGLCGIAMQASYPTA >RHN40498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16960096:16960979:1 gene:gene46654 transcript:rna46654 gene_biotype:protein_coding transcript_biotype:protein_coding MKINNNMASSSTKVSMKLLIDTKNQKVLFAEASKAVVDFLFNLLCLPIGTVVKLLSANGMVGSLGNLYESVENLNQNCMLPEQTKDVLLNPRAQSSSTEIAGFLTQNNANDDTGTKLYMCPNRCFHVTYDKTTRCPSHHLTYMTYEVAVVGNRVAENKVSNIKGGFVKDVITFMVMDDLVIQPMSTISSITLLNKFNVKEIGTLQEKVVEMGMDEGIKLLKASLQSKMVLTSVFLKKRN >RHN45445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20915044:20919454:1 gene:gene39786 transcript:rna39786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MGRLGWTVDGRAWEWTRRLFVWEEECVRECCILLNNFVLQDNVNDKWRWLLDPVNGYSVKVFYRYITSTGHISDRSLVDDVWHKHIPSKVSLFVWRLLRNRLPTKDNLVHRGVLLATNAACVCGCVDSESTTHLFLHCNVFCSLWSLVRNWLGIPSMSSGELRTHFIQFAKMVGMPRVSHLYFRLIFG >RHN50012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3148707:3150267:-1 gene:gene34259 transcript:rna34259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone H1-like nucleoprotein HC2 MGKIMAGSSTKIAFIFLVTFAITIPCLEAGLVLDDFLKAQAEAARKLALSAYIPLPHAHHYHAHPHFDSAETPSVQKPSMQQPSVQKPSMQTPSVQKPLMQQPAVQKPSMKKPLVQKPSMQQPSMKKPSLQKPSMQTPLVQKPLMQQPSVQKPSMQKPSVQKPTMQKPSVQKPAMQKPSVHKPSVQKPSMQTPLVQKPLMQKPSVQKPSMHKPLVQKPSMHKPSMQRKAKIPRKLITGEFTTEPEVL >RHN51951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29045816:29049528:-1 gene:gene36529 transcript:rna36529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MAYSRILFFSILIRFLCFATIKAQDSICSSNGTTTNSTYQINTRTLLSSLSSKATGNTEFYNTTVTTGDHSNSVYGLFMCRGDVTFQICDECIVNATQKLSLDCPLSKQAVIYYENCMVRYSSESFFSTVDTHHSLFMSSILNVSNTESFMPLLFSTMDKTAEEAAGPLTTDNNKKFATKEARISESQTLYCLAQCTPDLSPGDCRTCLNSAIEKLPSCCDGKVGGRVLFPSCNVRYELYPFYRSINAPSPNELVPQTNHSNQDSRFSQDPIYLSYNCSRNNSTITNNNFKLLLSYLSSNATNGEKFYTVKVDEMVYGLFLCRGDLPVRLCGQCVKNATDQIYSKCLSSPKGIIWYSHCLLHYSDRKFFSNVETSPMYSDINITKDSITNQNLFTSTLSNQLSQLANDTGDSGERYKTNSLKLNDVQTLYTLGQCTQDLSSQDCTSCLNDVINTAIPWSNLGSVGGRIIYPSCNLRFELFQFYMDGDEAIPPGSPPLSGNEDKQKIVFIVVPTIISVMLFSIGYYWLKKRGRKSQRTILRENFGEESATLEPLQFDWVVIEAATNNFSTHNYIGKGGFGEVYKGILLDGREVAIKRLSKSSNQGVEEFKNEVLLIAKLQHRNLVAFIGFCLEEKEKILIYEYVPNKSLDFFLFDSQQQKLLTWVERFNIIGGIVRGLLYLHEHSRLKVIHRDLKPSNILLDENMISKISDFGLARIVEISQDEGSTDRIVGTFGYMSPEYVMFGQFSEKSDIYSFGVMLLEIIAGKKNKSSFTPHHVADGLLNHVWRLWMEQTPLSILDPNIKEDYSTNEVIKCIQIGLLCVQHDPDARPSIVTVASYLSSYAVELPTPPEPAFFLHGRTYSNVLAQESSSTQSTNSSALFSNNQMSASTFIPR >RHN52362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34319774:34323928:1 gene:gene37027 transcript:rna37027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAMQSTSSSVSYDFKYQVFLSFRGADTRYEFTGNLYKALTDKGIHTFFDDRELQRGDKIEQSLNNAIEESRIFIPVFSANYASSSFCLDELVHIIRVYKEKGRLVLPVFYGVDPGDIRHQRGSYAIHLTKHEKRFGNNKENMEKLLQWKKALKQAADLSGFHFSLGNGYEYKRIGEIIRNVTNQINRVSLHVAKYPVGLQSRVQQVKSLLDNESDDVVHMVGLYGIGGLGKSTLAKATFNSIADKFEVFCFLENVRENSAKHGLENLQEQLLLKTIGEEIKLGGVSQGIQIIKDRLRRKKVLLILDDIDKLEQLDALAGGFDWFGNGSRVIITTRDKQLLTNHEIELMYEVEGLYGTEALELLRWMAFKNNKVPSSYEHILNRAVSYASGLPLVLEIVGSNLFGKSIQIWKGALDGYERIPDKKIQEILRVSYDALEEEQQSVFLDIACCFKEHSWEEFEDILRTHYGHCIKHHVQVLAEKSLIVISRSKWGYIYVTLHDLIKDMGKEVVRQQSSKEPGERSRLWCHNDIIHVLQGNTGTSKVEMLYMNFPSKKTVIDWNGKAFMKMTNLKTLIIKKGHFSKGPEYLPSSLRVLKWDRYPSDSLSSSILNKKFENMKVFSLDKCQHLTHIPDVSCLPILEKFSFKKCRNLITIDISIGYLDKLEILNAENCSKLESFPPLRLPSLKDLKLSGCKSLKSFPKLLCEMTKIKGICLYDTSIGELPSSFRNLNELHYLQIFGDGKLKISSNIFAMPNKINSISASGCNLLLPKDNDKMNSEMFSNVKCLRLSNNLSDGCLPIFLKWCVNVTSLDLSGNKFKIIPECLSELHLIVDLSLDFCEYLEEIRGIPPNLYNFSAIGCESLSLSSIRMLLSQKRHEAGRCTKICLLNKSEGIPDRFEHQSRGDTISFWFRKKIPSIRSIILLRDNPLENPRVKVFVNGYYDNLIANHFNSFIFLVNLRLNHTYLFDLKLEEIVELSSRFMFELDEALSKNEWIHIELQIGRCLSFIDSPEIGIHVLKEKRSMEEDVIFTNPYSRKRKLDMYLNPYSRKRKLDEYLNNSPSPQYYIVIGEYVDPSGLEQMASLLARLLTVPFVPLYFSFCLLVFSFLIQKCYL >RHN67776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30164271:30166091:1 gene:gene16007 transcript:rna16007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, HIT-type MEEDGSNSNRRTSGRTRKVASKMVAALASSDNRTQAALARLDALENDNAGFEVVDPNLDDDEASLDDDDQVYMQRKQSKGTKRKTRQAKALEARKAPRTFLELLHDANLESLPPHVPTYWKAAVGPPSSTARRHFCTVCGFSANYTCVRCGVRFCSNRCQNVHNDTRCLKFVA >RHN74552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34664856:34665359:-1 gene:gene10640 transcript:rna10640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-heme dioxygenase domain, isopenicillin N synthase MAQISNTSNSLYNFIVRDGNGIKGLVDSGLLEVPKIYIQPINERINKLETKPCDMPPIDLSKLNGKEHEKVVNEIVRAAETLGFFQVVNHCVPLELLESVKDSAHAFFNMPPEKKVVYRQNVSTSLKMRYQTSFAPEIENVLEWKDYINMVYSSDEDALQYWPNECK >RHN76490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50748768:50750376:1 gene:gene12828 transcript:rna12828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAT/LH2 domain-containing protein MKTLTLIFTFSIIVAFSHAAPTLVTLPQPDQMNQSSTLISHTLQQINETRLISSMCKYLITIKTSCNSPAYTTDQISLLFGDDLGSKLYVKRLDDPGAFKRCTTVSFDVMGECTSQICELYLFRKGRDGWKPETVVVYDYNYPPVIFNYNVCLTKGRGIGYNYCGQGSNQISSIPKIKACSLN >RHN48680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49430297:49434399:1 gene:gene43422 transcript:rna43422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SOUL heme-binding protein MLIPLCNPSLSIQSAPISVKPNKTIISITNSASSNNRVSTQRRTISAFEARISLIFALASQSFSLSQRLVADVATETAKYLFPKRFESRTLEEALMTVPDLETVNFKVLTIRDQYEIREIEPYFVAETTMPGKSGFDFRGSSQSFNVLAEYLFGKNTKKEKMEMTTPVFTTKKQSDGVKMDMTTPVLTTKTVDKDEWKMSFVMPSKYGANLPLPKDSSVAIKEVARKTVAVVSFSGFVNDEEVKRRELKLREALKNDGQFKIKEGTSIEIAQYNPPFALPFQRRNEIALEVEWKNK >RHN74691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35896974:35898401:-1 gene:gene10798 transcript:rna10798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin, Late nodulin MAEFINFVSVIILLISLFFVIVNGEFENYCTKDSDCESYCPNPKYGKCLDNKCICQLIWMGCHGKKNGFW >RHN77540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7565863:7566540:-1 gene:gene1005 transcript:rna1005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MMKEKCWININFKAVKFHINYCSVTMKHYISFAEVYNLSTKLWTPTQHPHPLTIITRQRPSKYNTLVNGVYHWITENTYYYPNDYAANILCFDFHSNKFHTLRGPIFSRSFQWDNVAEIKGSLAYVVQNHVNAPVVLHIWVMDQSGWRKMYNIGPVFSMFRMFGLWKNSNQLLGGKFGKPLTSYDHQGNSLCQFQIDVDDTEHFWIHEYVPSIAPLSTKIARTPN >RHN45596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23485033:23487087:-1 gene:gene39972 transcript:rna39972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MEFNPNCIENVPYMYVVFPQNHYMKPEISTMVSLPPLNEANHHFVLASIGHSSTGPFLMIPTPSFKPTHTNETIEGVVNNSDSMAFVTNNGKMEAVHGYLNTNKDFWNCYQNNSLQSGETSESQLQEMMFKLKEHLYSVDRAQNNLMDVDQGLVLSDKNQQKSDHIKNNEILTKTSNTIKGKWTADEDRYKNHSKSWPKKWSYIAEFLDGKTGKQCWERWTNHLQSDIRKGPWNEEEDKILIEAHKEVGNKWAEISKRLPGRTENSIKNHWNTTKRSLKHKMKENRSRNGSKGKLLQNYIREVTVAQEFEKEMMDNVNEDCNNDFNYEDWTTQEDDVGGYVDGNEMKYGYGIMDYEVGI >RHN76211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48571953:48576898:-1 gene:gene12502 transcript:rna12502 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVPGVLLKLLQHMNTDVKVGGEHRSSLLQVVSIVPALASGGELFPNQGFYLKVSDSSHATYVSLPDEHDDLILSDKIQLGQFVFVDRFEASSPVPVIRGVKPVPGRHPCVGTPEDIVATHSLSFLDNNNDVNDKNKNKSNKDKNNVVCSENPRSMFGFKEKFDRGRLSLGGGSFKEESLGKKNGIFGGGSKTQAQKAKPALKIDVKKETLPQSLTRLRSVGSKSIPSSPSSVYSLPNSFEKFANGVKQQRAKVGGKGVEKVEAGKGGKKIVMGNPIRNLVQGFDFGAKALRKSWEGNMEVKTKDSSKIKGVSKAEVHSSTPRRSIPSAKLPSREVKAPVKPSKEEQKPQMSIKKVTANRTSEEHEKSSKQRTSIGKKSAEVSNNGLPGNMVKVSLGSRKVTDASVQWTSLPSSISKLGKEVMKHRDSAQLAAIEAMQEAAAAESLLQCLSMYSELTNSAKEHNPQPAVEQFLTLHASLNSTRTIAESLSKPIPDGSSPDHEKSKVEEALKLKTDRQKHAASWVHAALATNLSSFAVFTKESQQSKLPASSNSQNQKTSVGIQPALVLHNSSEDSSSKVRVKTRPTVSSKLVSQGIIPRKSTDGSANGHKQLMQPPPEWVRGSGLDEVVDLADMLELQSRDWFLGFVERFLDSDDDTTLSDNGQIAGMLTQLKSVNDWLDEIGVSKDEGELCQISAETINRLRKKIYEYLLTHVESAAAALSGGSQSLPQIQTTQVKSKR >RHN59408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10366994:10367977:-1 gene:gene21434 transcript:rna21434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MANMKIACVVLMMCMIVAPMADAAISCGTVTSALGPCIGYLKGGPGPSPACCGGVKRLNGAAATTPDRQAACNCLKQAAGAISGLNTAAASALPGKCGVNIPYKISTSTNCATIRA >RHN59020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6733594:6737402:-1 gene:gene21001 transcript:rna21001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PRONE domain-containing protein MEGVDLIEKNEVNNDEGNKECQNGSNDVILVDLDEEHGLESNSSSSVMESSMELPIEEVSSCDCTSRDGSEVEEEKDSSSENFEKQVSVLPMPVLEIEMMKERFAKLLLGEDMSGSGNGVPTALAISNAITNLCATVFGQLWRLEPLSPEKKAMWQREMEWLLCVSDHIVEFKPTWQTFPDGSRFEVMTCRPRSDLYINLPALRKLDNMLLEILDSFVNTEFRYVDQGVMARNEDGSSSFQRQEEKWWLPVPQVPPCGLHENSRKQLQHKRDCANQISKAAMAINNITLAEMQVPDAYLESLPKTARGSLGDVIYKFITSEIFSPESLLASLEISSEHQAIKIANRVEASIYIWHKKTNSKPANRATRSSSRSSWGMFKDLIVEGDKSEMLIERGETLLLSLKQHFPFLPQTSLDVSKIQCNKDVGKSILESYSRVLESLASNIVARIDDVLYVDNLTKHSDKFSSLSKVGVITTKSISVPYSKIVTNFFSIDRKEDSACPIEKLVPKLKTFDEVPELETDMESSDCIEDIQLNLMDQAWIE >RHN82824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56629939:56634366:-1 gene:gene7014 transcript:rna7014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoglucan, water dikinase MLAKITKNPGEYSETFVEQFKIFHRELKDFFNAGSLAEQLESIYESMDEYGMSALNSFFECKKNMDGAVESTASKEQGINPLFKTMESLNALRDIIVKGLESGLRNDAPDSAIAMRQKWRLCEIGLEDYSFVLLSRFLAYLFLIYTLNNHQIFGF >RHN73676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18744585:18749513:1 gene:gene9522 transcript:rna9522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galacturan 1,4-alpha-galacturonidase MVFSSPHKSTSFFFVFLIIAALGSVFLVWQRNVINGFLVLGEELNWEAPKLRPVVFNLTDFGGVGDGVTLNTKAFERAVSVISKFRNQGGAQLNVPPGFWLTSPFNLTSRMTLFLARDAVILAVQDEKYWPLMPALPSYGYGREHPGPRYSSLIHGQNLKDVVITGHNGTINGQGQTWWTKHLHKLLNYTRGPLVQIMYSSDIVISNITLRDSPFWTLHPYDCKNVTIKNVTILAPVYHAPNTDGIDPDSCEDMLIEDCYISVGDDAIAIKSGWDQYGIAYAKPSKNIIIRNLVVRSNVSAGISIGSEMSGGVSNVTIENILVWESRRAIRIKTAPGRGGYVRQITYRNITFHNVRVGIVIKTDYNEHPDDGYDPTALPILRDISFTNIRGEGVRVPVRITGSEEIPVRNVTFHDMNVGITYKKKHIFQCAFVEGRVIGTIFPKPCRNFDQYNEQGELVKQAVSQNVTDIDYEI >RHN55065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14842830:14844840:1 gene:gene30191 transcript:rna30191 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSPATLQPNPQTNSEPSPPNPILNPPDPIPQSSQSQSQSSTPSPVPIVTNLNPNPQSLPPPPTLYAPPQIPGVLPPSAPSFRPLGAQLPQFTPLPNPPGGYQNPNQPPGVSGSVQVPVPMPQMQPMMSYQIPGSNPAMRPFAPMPNGYAMHPQGTINPAVSCSIR >RHN66446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12429225:12429467:-1 gene:gene14436 transcript:rna14436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSISMADRIITLHDSILCHIVSFLPTKHAAATSILSKRWKSLWLLVLTLLEDRISTLPNSVLCHILSFLPTKHAAATSIL >RHN79514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29773796:29774362:-1 gene:gene3314 transcript:rna3314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MAPSTYLSFLLTISMMFISHAISPTPSPSPSPSPSPSPSPSPKLYQNVCKEPGQKDFEQRCLKLIESYPQITLIEDYLTFCRSFLKIVAIDKAIKSQQVVREITEKYPSSDPIRECFDDYSTVVVEVKGALAEDPELIGLAVKYAGDAVDMCERSLANEKIVNISSIATLNHEMELYTDIVVIAGGHL >RHN54358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8983307:8988416:1 gene:gene29377 transcript:rna29377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MASWDHMGDFANIAQLTGVDAVKLIGMIVKAASTARMHKKNCRQFAMHLKLIGNLLEQLKISELKRYPETREPLEQLEDALRRSYMLVHSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLRLVPLITLVDNARVRERLEVIEHDQREYTLDDEDQQAHTVILKPDPDKEDTAVLKKTLSCSYPNCTFPEAIKKEKEKLNLELQRSQANLDMNQCEVIQRLLEVTKVAEYSLPDKCSPEKSHKKENYSYSDSNDDKVHSSDEKYHTKVDTLSQSRYSVSQKDVMSTGGSYQQEDWHTDLLACCSEPSLCMKTFFYPCGTFSKIATVVKNRPMSSAEACNELMAYSLILSCCCYTCCIRRKLRKMLNITGGFVDDFLSHLMCCCCALVQEWREVEIRGVSGADKTKTSPPPIQYMES >RHN70736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53635883:53637957:1 gene:gene19350 transcript:rna19350 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIKHMALAVSLLGFVSFILGVIAENKKPASGTPIAVKDGVTCKFPSDPTVALGYLSVAFLIASTVVGYLSLFYPYQGKSVPQGVLFKHTTFLVFFNIALFSSGLAATLLLWPVITEQIHLSRKVHLDANYACPTAKTGLIGGGAFLSLDSCLFWLVALMLADNAREDHFEENKTFEDASVAI >RHN44057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1356430:1358519:-1 gene:gene38109 transcript:rna38109 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTLASSTLQIRRYEYSGDTNIVILVIVVGICTFPFYAINMDAMSLFTDSSFLFLANLDLYYIDVLYQFE >RHN62583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44497960:44503987:1 gene:gene25175 transcript:rna25175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MVNNATAGNIEGIDSSMIEFTKDDIEALLNEKMKKGTPFDNKKKMEQMVDLIKRLKLCVQWCANKIETLHSEVESAVEKCSATEIEMKNKTEELQSDLNSANEAYRRLETEKSIIVESLNDTRDFNKELQDRLKSLKDSQNEAIKHKEMFREELKKITDDRDRLQGKLNEVMLEREKYIKFKYETYSKLDKLASKTEALEETCSSQKEKINILEQKLHAEREKLEMADLSAMETRATFEEQKKFIRKLQDQLADKEFQVVEGEKLRKKLHNTVLELKGNIRVFCRVRPLLQDRSETKMVVTYPTSIEMLGRGIELEQNGQKQLFTFDKVFNDDASQHDVFTEISQLVQSALDGYKVCIFAYGQTGSGKTHTMMGRPDSPDLKGMIPLSLEQIFQTSQSLKHQGWNYKMQASVLEIYNETIKDLLLSNRSSGIDQTRAENSVHGKQYTIRHDKNGNTHVLDLTIVDVCSADEISSLLQKAAQIRSMGRTQMNEQSSRSHFVVTLRICGVNENTEQQVQGVLNLIDLAGSERLSRSGATGERLKETQAINQSLSCLSNVIFALAKKEEHVPFRDSKLTHLLQPCLEGDSKTLMFVNISPDQSSIDESLCSLRFASRVNAVKLGIHNVRPRHPLNV >RHN40429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16213641:16219514:-1 gene:gene46578 transcript:rna46578 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor/ chromatin remodeling BED-type(Zn) family MNQEVNNIQSPSARTVASGGVNEQGEHSGAAASTQTMNNEAGAAPSTQVAGPELTNTQPLKKRKANANGPRQTAACWEHFIRLPDSEVDKPTAACKHCHQRYLCDPKTHGTKNLNTHITKCLILQCFLKNGPNQTILSYPAVEGSSLVPVSSRFNQLACRKSLAVYIVLDEKPFRTVEGEGFKHYSNTMQPLFIIPSRRTIARDCFQLYLDEKLKLKAFFKSDCNRVALTTDCWTSLQNLNYLTLTAHFVDNDWKYQKRIISFAVIPNHKGETVGRKIEEVLRDWGIRCVSSVTVDNASANDVAVTYLKKKIKTMNGLMGDGSYFHMRCCSHILNLVVLDGLKEKHTSITRVRDAVRFVKSSPQRAAKFKECIEFAGITSKKLVSLDVSTRWNATLFMLSSAVKFQVAFEKLEDEDSSYREYFGPAGPPTSDDWENARAFCVFLEMFYEATKLFSTSQHVSIHTAFHQISSIYVELKRATMDLNSIFASVGMEMMAKYNKYWENVANMNNLLYFGTIFDPRYKLRYIEWVFNNEMYYDRPVFAKELVKSIRENLDKLYFWYKKAHDQTVNNAQPLASCRETITHVETNTAVAARRPSGMARANAFDQHLEEQNSIDLQNELDGYINSKCVKRTDNFDILVWWKNNSSQYPILSTMAKDILAIPVSTVASESAFSTGGRVIETYRTSLKEEMAEALICTQNWLKPSFTYFKDLQLMEETELSEDIIAEFQKISVGEGSANAAAAGCSSSQPPGCV >RHN43246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44298198:44298548:1 gene:gene49791 transcript:rna49791 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDTNATSIIDFNEIETLLYNGKSIHTVALDNEQAEKVPIIEVEAEGLNLDSFPQKDVNIFIVVLMIQSNRHAWLIIRLHEI >RHN82827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56635405:56635958:-1 gene:gene7017 transcript:rna7017 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDYKGLRCTVGPEYSLLEERERGRGPGLGFKEGWVGEGYWTRGEILLRCMIKIVEGFFKEKGHVGFLKCKVDAGFSTSVSTGLGMYCCDWNKAFVGAKD >RHN66702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16814730:16818833:1 gene:gene14758 transcript:rna14758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAETAVLFALGELFQFLKKETNLLRGVHTDFTDIKDELESIQIFLKDADRKAADEADTNDGIRTWVKHMREASFRIEDVIDEYLRLIHRANPPGCGSLVCKIVSLIKTLISQHQIASEIQDIKLSIRGIKDRSERYNFQILHEPGSSSVSSSTGEAENGRWRDPRLSALFIEETEVVGFEGPREELYGWLLESPAERTVISVVGMGGIGKTTLAKLVFDSQKVTTQFDCRACIAVSQTYTVRGLLINMMEQFCRETEDPLPQMLHKMDDKSLIIEVRQYLQHKRYLIFFDDVWQEDFSDQVEFAMPNNNKGSRIIITTRMMLVAEFFKKSFIVHVHKLQLLPPNKVWELFCKKVFRFEPGGHCPLELEAVSKEIVKKCKQLPLAIVAIGGLLSTKSKTMVEWQKVSQNLSLELERNAHLTSLTKILSLSYDGLPYYLKPCILYFGIYPEDYSINHKRLTRQWIAEGFVKYDERQTPEQVADEYLSELIHRSLVQVSNVGFEGKVQTCQVHDLLREVIIRKMKDLTFCHCVHENSESIVVVKTRRLSITTSPSNVLKSTDNSHFRAIHVFEKGGSLEHFMGKLCSQSKILKVLDIQGTSLNHIPKNLGNLFHLRYINLRNTKVEALPKSVGELQNLETLDLRETLVHELPIEINKLTRLRHLLAFHRNYEDKYSILGFTTGVLMEKGIKNLTSLQNICYVELDHGGVDLIEEMKILRQLRKLGLRHVRREHSHALSAALVEMQHLESLNITAIAEDEIIDLNFVSSPPKLQRLHLKARLERLPDWIPKLEFLVKIRLGLSKLKDDPMQSLKNLPNLLKLSLWDNAYDGEVLHFQSGGFKKLMTLNLSRLSKVNSIVIDHGCLLSLEHLRLEIIPQLKEVPSGIKPMHNLKDIYITDMPAEFAKSIDPDEGQYYWIIKHVPIVFIRHWIGPNLLDYEIHTIHSYSGESQNI >RHN63610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52682055:52684192:-1 gene:gene26334 transcript:rna26334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Porin domain-containing protein MSKSPGVYSNLGDNAKDVLYKDYVNQSPIHFHYQFMDWNAGFSCKVQEILPGFRTVFKCTVPDSGKVELQYLNRFTGITGCIGLLGNEEGAYDPVVKLSGLLGTSILSLGANVALHIPTRSITKLTAGFGLNTAFLEASLTLHDSFDTLKATIYHEVNPLTQTAIATQVKHSLSLKETGVTIGAQHAFFPETLLKARFDSSGKVGALIQQGFWQRFFVTMASEIDFGATDDKIPKVGVSMALRP >RHN68486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35913089:35914277:-1 gene:gene16848 transcript:rna16848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hypoxia induced protein MEAIQSWVSKNKLASIGTLWASGIGASLVAYSRVKSPMKPSLRLIHARMHAQALTLAVLSGAAAYHYYENRDDVHQITVVDSSAPNVTELVEWEIHSPF >RHN53180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42561483:42561698:-1 gene:gene37956 transcript:rna37956 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVKSAYGNVGFSTGYSCELQLHPETNCENKWIGFVGKWSDEGKIILIFVMLFGRLKKFNMDGGKAWKLH >RHN53665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3212677:3215168:1 gene:gene28596 transcript:rna28596 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ANS MGTVAQRVESLALSGISSIPKEYVRPKEELANIGNIFDEEKKEGPQVPTIDLKEINSSDEIVRGKCREKLKKAAEEWGVMHLVNHGISDDLINRLKKAGETFFELPVEEKEKYANDQSSGKIQGYGSKLANNASGQLEWEDYFFHCIFPEDKRDLSIWPKTPADYTKVTSEYAKELRVLASKIMEVLSLELGLEGGRLEKEAGGMEELLLQMKINYYPICPQPELALGVEAHTDVSSLTFLLHNMVPGLQLFYEGKWVTAKCVPDSILMHIGDTIEILSNGKYKSILHRGLVNKEKVRISWAVFCEPPKEKIILKPLPELVTEKEPARFPPRTFAQHIHHKLFRKDEEEKKDDPKK >RHN56926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34862099:34862989:1 gene:gene32395 transcript:rna32395 gene_biotype:protein_coding transcript_biotype:protein_coding MKELQSKEKQLEGRLNEHESKEKEFEGCVKEMEYKAKHFESMVEELKSKLRSLKEQLQELDSKEKQLDGQVKELESKKNHFERQVKEFESKESQLVGQLKEFKLKKKEFEGQLKELESKDNELVGKVKMFESKEKEFECQMMDLLSKQKHVENQMKELESKEEKFKGQVKEFQSKEEEFEEQVKDIKLKEEELESKEKHFARQVEDFKSKQNQFQVRWNELESKEYKFDEKVKELSLKVKQCEGQGKDPMSKRSKFDGQLKEPEFRKKCIDKEKESGKCSSSSSLLKEFYYKCSSQ >RHN59511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11560438:11565995:1 gene:gene21552 transcript:rna21552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MMGGSYVEDDWELASSGSASEVQISANEARTVVLVGRTGNGKSATGNSILGKKVFKSRASSSGVTSSCEMQTAELSDGQIVNVIDTPGLFEVSAGSEFIGKEIVKCIDFAKDGIHAILVVLSVRSRFSEEEENALRSLQTLFGSKIVDYMIVVFTGGDELEDDEDTLDDYLGRECPESLKQILSLCGNRCVLFDNKTKDEKKRSGQVQQLLSFVNLIVLQNGGQPYTDELFAELKKGAMKLHREQRKVDSLEGYSEGQISELKKHMQQTYEEQLKHITEMIESKLKEATTRLEKQLAEEQAARLRAEDSAKLAQKKSDDEIRKLRKHLEKAHEELRKRGDKGGCAIL >RHN59194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8354119:8354508:1 gene:gene21192 transcript:rna21192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribosyltransferase MDTKLSSAESVHHDELDGEFDTFPTSRSHDAVRMRYDRLRTVAGRIQAIVGDIAIQGERFVSLLSWRDTRGTTLFMLFSLCAAVIFYATPFRVVVLVTGLYNLRHPKFRNKLPSVSSNKRLPVRTDSLP >RHN80523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38796065:38807047:-1 gene:gene4460 transcript:rna4460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator FYR family MRSLMGTELMRICVKEDDDFPSVPPGFESYTSFALKRVEENEKNNDKNPTSSSTTTSASESQSTQVGNGVQLSDSAKVSRSLRRRRWINHGKCESSSEEDADCERHDQNFSSRPCLPKGVLRGCPDCRNCQKVIARWRPEVARRPQIEDAPVFYPTEEEFQDTLKYISKIRSRAEPYGICRIVPPRSWKPPCPLKEKSIWEGSKFATRVQRIDKLQNRNSGTKKSRIQNNMKRKRRRCTRMGVDNGTGTEPNAGFCEVETFGFEPGPEFTLETFKRYADEFKAEYFKNDNLSHPSANTTILNGTSEPSVENIEGEYWRMVESPTEEIEVLYGADLETGSFGSGFPSKSSQVSVSYEQYIKSGWNLNNFARLPGSLLSYETSDISGVVVPWLYIGMCFSSFCWHVEDHHLYSLNYMHLGAQKMWYGVPAKDACKLEEAMRKQLPELFEEQPDLLHKLVTQLSPSILKSKGVPVYRCVQNPGDFILTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGHIAIELYREQGRKTSVSHDKLLLGAAREAVRAQWEITLLKKNTSDNLKWKDVCGKDGLLAKAFKARVEMERVRREFLCSSSKALKMESSFDATSERECSFCFFDLHLSAAACHCSTDRYACLDHAKQLCSCSWSSKFFLFRYDISELNILVEALEGKLSAVYRWAKLDLGLALTSYISADKKTVCQELKLHSSDSSYSSRSNVNNEAALTDVPIVDQANSANSKDQSYLKQKNSFKPTCEMANRKIFAIKGESIICRSKPSVPVCEFNQEDSSYALSPPLAQHGDEKSTHSRPKDIILLSDDEDDEMKMSDSNRRKEVPHMLAGSRNKASLCSDIEDKSLTIPVTDASVTGEKDAIAVLREDSGSNSTQLLQVKQECHEQRRPIIPSTPVDLSFHIGLTGAESARNIPASTRVDASGHSLERLEVCPSNPQPSSTIKAKNEDNHEKFDGCSTSNVADSARAVNGNISCGPNNSRQKGPRIAKVVRRINCNVEPLEFGVVLSGKSWCSIQAIFPKGFRSRVRYINILDPCSTCYYISEILDAGRGSPLFMVSLENNPREVFIHMSATKCWDMVRERVNLEIAKQFKLGRKGLPPLHPAGSLDGFEMFGFSSPEIVKAIEALDRSRVCHEYWDFRRPQGQLSQAGQTTVNGGGGSDQGVPMNQHTPTGAVAVLKSLFKKANVEELNSLYNILTDNKPAAEQIPIAKILYEEIHKTQPP >RHN46057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28125550:28126298:-1 gene:gene40497 transcript:rna40497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoenolpyruvate carboxylase MLIEDLVFLLYCIFTLKSHRTVSELTSIRQTDELRRQKPLQLMKLELSLWKVAPHYLRRVSNALKKHTGKPLPLTCTPIKFRIWIGGDRDGNPNETAKVSFFNIHTSAHYQRFFFYHVTTIHCLLKVTQIRYAHEDLIASILVQFNIC >RHN49832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1554307:1554652:-1 gene:gene34056 transcript:rna34056 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPNYIINHFDRHLFIVPMRTADNSGKKLAFKQVTEWPVSNIMQKS >RHN60335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25402131:25405628:-1 gene:gene22635 transcript:rna22635 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYLYTGYSLSSSHLNLQRSKIWENPKKFPQLSSHLIIRNSFHNNVIDPVVIKLRERRPSISFHSHITVPPEKIGETVSSGFRTFF >RHN73361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15666864:15667139:-1 gene:gene9163 transcript:rna9163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MLPAQINMRNSEGLTAQEVFSKEHQKLRENAESWMKKTAESCMLISAVIATGVFAAATTVPGGIDDTGKPNYLKKPSFLVFVLKQLITILV >RHN81954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50102490:50103825:1 gene:gene6060 transcript:rna6060 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIFPSILLNPLPSFNMTVTKEQFNLFYNVDRQLFTRLVVGLGREAFQSINVMAFLMWIEWISKDGNLVANILSHWSDIMLNNLADEVVVILNFLESSHCPNVYVHESNLPLIQHILRRNVTLKFFHEKRLEVINDITKFINDVCVRAFTDIIEQLNYHRAMKEQELYLANIHGAGVIPTHLHPEEFGVPQVNELGSSFNNAHENYDVSLLIKLDISEILNNLNLNDIFGVDTRIVAHVGNDGEKRRETRQLVDDRTIFMTFSKDCPVYENELREFFTRKFGNIIDNLIMQEANSPEQSMYARLVVRREAVDMVDRFLDDNPRMKFSINGKSVWVRKYIRKLPLAQPLQPAAPSRNFP >RHN38709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1419061:1420049:1 gene:gene44670 transcript:rna44670 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKGMEDEVIPLLEEYKPVTNTSDCEKGMKDGFVEMKESDAVHTTSIVAKSRRKAGIVTKSRRKAAIIAWRRNKTSIVAVVSTILCLLLAIFPILKISSDGRNFMAKYENIIMVILVPLVFFIQLRQLYISSIPPVDNRIFGILFILLLSTAISVIEVGFFSWTAASIILIASAIVFAPLVRDNWEFISTEDIVFSKGIQKFISVICFLSIVYTIKSIAYYVYV >RHN69428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43326807:43336779:1 gene:gene17898 transcript:rna17898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-fatty-acid--CoA ligase MNAYIAGLIVPLVILLFRNSNNRKRRGLPADVGGEPGFTVRNHRVTSPLTSLWEDVTTLAELFELTCRKNHDRLLLGTRELISREMESSSDGRKFEKLHLGEYQWVTYGKVFESVCSFASGLVKIGHVREERVAIYADTREEWFIALQACFRRNVTVVTIYASLGEEALCHSLNETEVTTVICGRKELKSLVNIAGQLDSVKRVICVDDDVPSDAASAQHGWTITSFSDVERLGRENPVEADLPLSADVAVIMYTSGSTGLPKGVMMTHGNILATVSAVVKIVPELGTKDVYLAYLPMAHILELIAEVCVSKFVCCCWRLYRIRNSINSNRYIKQGKKGTKGDATALMPTVLVAVPAILDRVRDGVFKKVNAKGGLSKALFDLAYARRMQAINGSWFGAWGLEKALWNLLVFKKVQAILGGRIRFILCGGAPLSGDSQRFINICLGAPIGQAYGLTETCAGGTFSDFDDTSVGRVGPPKPSTYVKLIDWPEGGYSTTDSPMPRGEIVIGGPSVTLGYFKNEEKTRESYKVDERGMRWFYTGDVGRFHADGCLEIIDRKKDIVKLQHGEYVSLGKVEAVLLGSPFVDNIMLHADSFQSYCVGLVAVSHPALDEWASKQGIAYSDLSELCSKEETVKEVHASLVKEAKKGRLEKFEIPAKLKLLSDPWTPETGLVTAALKIKRDIIRKTFQEELSKLYAK >RHN67467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27496456:27498455:-1 gene:gene15660 transcript:rna15660 gene_biotype:protein_coding transcript_biotype:protein_coding MHFEASQVHFSYQYLLEDSHEWPLSSQICRTCPLHAEVLAYV >RHN68286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34274491:34277847:1 gene:gene16620 transcript:rna16620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAAAAVETPSSRGGQRWSLTGMTALVTGGTRGIGHAIVNDLVAFGAAVHTCSRTESELSKCLQEWQSKGFSVTGSVCDVSSRSQRESLVQEVASTFNGKLNIFVNNVGSNFRKPTIEYTAEEYSELMTINLDSSFHLCQLSHPLLKESGNGSIVFISSVAGVVSLGTGSVYAASKAAINQLTKNLACEWAIDNIRSNCVVPWATRTPLVEHLFQNQKFVDDILSRTPLKRIAEPEEVSSLVTFLCLPAASYITGQVICVDGGLTVFGFQPSMRIT >RHN76019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47027933:47030981:1 gene:gene12290 transcript:rna12290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MVGTVRSMNQSRACHVRFYDASKYDSKHDQLSLDVKKFESNNSSCKVKQNKVKVNLNLNVSEKREDDSKNDQLSLDVKNFYLKDGVSTDEKVAKIFTFDELAAATKSFRVDCFVGEGGFGKVYKGYIKKINQFVAIKQLDPNGLQGTREFAVEVLTLSLAEHPNLVKLLGFCAEGEQRLLVYEYMPLGSLENHLHDLPPGKKPLDWNTRMRIAAGVAKGLEYLHDEMKPPVIYRDLKCSNILLGDDYHPKLSDFGLAKVGPIGDMTHVSTRVMGTYGYCAPDYAMTGQLTSKSDIYSLGVALLELITGRKAFDPSKPAKEQNLVAWAYPLFKEQRKFSKMVDPLLEGQYPARGLYQALAVAAMCVEEQSSMRPVIADVVAALDFIASHKYDPQVHPIQSSR >RHN68593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36886775:36889790:1 gene:gene16963 transcript:rna16963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pollen allergen Ole e 1 family MASRGAFLLFLCVLPAMVMAIRPAKNPFCLKGRVVCDPCRAGYETSAITHIAGADVMLVCKQRITNEVVFTKPGKTDATGEYTIYVDQDRADDVCDVQLINSPERSCSEKAPGRDQARVILTRYNGISSNDRFANAMLYMAEEVASGCAEVLKQMQELDEEN >RHN39820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10822058:10824770:1 gene:gene45890 transcript:rna45890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MSFESLFLLLLVFISSLQLSSHHANAYPLSTHSRWIIDDTTGERVKLVCGNWAGHLQPMIPEGLDRRPLKELVGELVKNNFNCVRLTYAIYMWTRYQNGIVNDTFNDLDVPEIVEGIANNNPSVLKMTHIEAFDAVVKELGDQNVRVLLDNHVSEPKWCCHDDDENGFFHDRHFDPQEWIHGLTLAAKHFEGHHPVVAMSLRNELHGPRQNLKDWYKYMSQGAFAIHKSNPNVLVLISGLNYDTELQFLRNKPMDIDLGKKMVFETHLYSWSGIGTLKLKEIWSKQPLNRICAESIIGLDQRAGFLTMGQKAVPLIFTEFGFDQTGSTVEDNRFLTCLQTYLVGRDLDWGLWAFHGGYYVREDKVQLDETFGVVDATWHKLRYPNFTDKFQLLQRKNQDPTSKRSKAYIMYHPLTGKCVQVNENNKLEIGSCENQKRWTYKGSQIFLKESQKCLTASGEGLPVSVSDDCESKNSSWKTASPSKLHLATVNQDGKQQLCLQKDTNSSAVVTSKCICIHDDSLCLDDPQSQWFQLVATNV >RHN61685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37530779:37533518:1 gene:gene24173 transcript:rna24173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MNSSEGKVVCVTGASGYIASWLVKFLLQRGYTVRATVRDPSNPNKVDHLLKLDGAKERLHLFKADLLEEGSFDHVIQGCHGVFHTASPAHFVVDDPQTQLIDPAVKGSLNVLRSCAKSPSVKRVVFTSSIATALYNGTPRTPDTVVDETWFSNLDLLREQKMWYQFAKVSAEEAATKFLTENDIDYVVMNPAVTIGPLLQPELNGSSSLIFDLIKGSQTFLNATFGWINVKDVANAHIHAYEDASTSGRYCLAERVAHISELAIILRDMYPTLQIPDKCAGDKPLMQTFQISKEKAKTLGIEFIPLEVSLREIVESFKEKEFANF >RHN72899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11634142:11636994:1 gene:gene8665 transcript:rna8665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MEKKISRPEFEQALKSIKSFPRDYECSSHMQGNLVMWGGVLGQTSTQNYDAQKITMSQVVDAAMDELVRLVRVDEPFWVKPSNTQDGYTLHRENYEQVFPKNNHFKGAYVCEESSKYSGLVKISGIELVGMFLDLVKWTNLFPTIVTKAETIKVFEIGSRGSRDGALLLMNEEMHILSPLVRPREFNIIRYCKKVDPGVWVITDVSFDSSRPNTAPLSRGWKHPSGCIIREMPHGGCLVTWVEHVEVEDKIHTHYVYRDLVGEYNLYGAESWIKELQRMCERSLGSNVEAIPVEETIGVIQTLEGRNSVIKLADRMVKMFCECLTMPGQVELNHLTLDSIGGVRVSIRATTDDDASQPNGTVVTAATTLWLPLPAQKVFEFLKDPTKRSQWNGLSCGNPMHEIAHISNGPYHGNCISVIKSFIPTQRQMVILQESFTSSVGSYVIYAPIDRKTMDVALRGEDSKELPILPYGLIVCSKNQANLNAPFGASKSIEDGSLITLAAQTYAIGQVLNVDSLNDINSQLASTILNVKDALMSSI >RHN75303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41100191:41101132:1 gene:gene11483 transcript:rna11483 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFCANLSSTTNNPWMRLDPVPAGSEDIRVMIGNNMAGIASLVFCTTLWLNVSPNRLFNFLRHEKSRSKWDKLSENLAIQEFACMLTGKHPENRVSLLSASTSEDKTEIFYLQESYADITASYVIYAPLDEPALTSLATGSSNPDNVVAYPSGFAIIPGGLPRDGDKGKGNANSTANNESLLTMSFHIIDNASNVASIAPESVQTIYNIITETVAAIKDAVSYHSLLNNWDQDEVANSLAALVV >RHN57912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42533241:42536683:1 gene:gene33503 transcript:rna33503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MATTFLSLYPYNPFNILPFPSSNHASASCSSSSSSFLKRRKFTVLSAHSNPKILKTNRKSTYGKFLSPYDSDDEIEEMDFEDDEDEDEDEDEDDDDDDDDDDEDDDDDGFAEPTDLNAKDKRLKSKTVPDRQQEKEKEKGVRSLNNGQSKRLPKSQRIASLQENNSAKFRRNSMEKKYPELSEEILLDEKWLPLLDYLSTFGIKESQFIQIYERHMSSFQINVCSAQERIDYLMSLGVKHKDIRRILLRQPQILEYTVENNLKTHVAFLMGLGVPSTKIGQIIASTPSLFSYSVEKSLKPTVRYLIEEVGIKEKDLGKVIQLSPQILVQRIDISWNTRLMFLNKELDAPKESIVKMVTKHPQLLHYSIDDGLLPRINFLRSIGMKNADILKILTSLTQVLSLSLEANLKPKYLYLVNELHNEVQTLTKYPMYLSLSLDQRIRPRHKFLVSLKKAPKGPFPLGSLVPTDESFCQRWAGTTLDEYAAFRQRLLLKKLAEKYDRKM >RHN56153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28208137:28209213:-1 gene:gene31510 transcript:rna31510 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFHCKLWPFLALLFLLDIIIDFGYPYSSCLVLLFMMVKLC >RHN58884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5705386:5708739:-1 gene:gene20839 transcript:rna20839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MRAHLTFLLFLIPFSLINSSSNIFLVNGYCQGHERSLLLHLKNSLIFNPAKSSKLVNWNQNDDDCCQWNGVTCIEGHVTALDLSHESISGGLNASSSLFSLQYLQSLNLALNDFHSMMPQELHQLQNLRYLNFSNAGFQGQIPTEIFHLKRLVTLDLSSSFTSHHVLKLENPNIGMFMKNFTDITKLYLDGVAISASGEEWGRSLYPLGGLRVLSMSSCNLSGPIDSSLARLQSLSVLKLSHNNLSSIVPDSFANFSNLTTLQISSCGLNGFFPKDIFQIHTLKVLDISYNQNLNGSLPDFSTLASLKYLNLADTNFSGPLPNTISNLKHLSTIDLSHCQFNGTLPSSMSKLTQLVYLDLSFNNFTGLLPSLSMSKNLRYISLLRNYLSGNLPSNHFEGLINLVSINLGFNSFNGSVPSSVLKLPCLRELKLPYNKLSGILGEFHNASSPLLEMIDLSNNYLQGPIPLSIFNLQTLRFIQLSSNKFNGTVKLDVIRKLSNLTVLGLSYNNLLVDVNFKYDHNMSSFPKMRILDLESCKLLQIPSFLKNQSTILSIHMADNNIEGPIPKWIWQLESLVSLNLSHNYFTGLEESFSNFSSNLNTVDLSYNNLQGPIPLVPKYAAYLDYSSNNFSSIIPPDIGNHLPYMTFMFLSNNKFQGQIHDSFCNATSLRLLDLSHNNFLGKIPKCFEALSSNLRVLNFGGNKLRGQIPSSMFPNLCALRFVDLNDNLLGGPIPKSLINCKELQVLNLGKNALTGRFPCFLSKIPTLRIMVLRSNKLHGSIRCPNSTGYWKMLHIVDLARNNFSGMISSALLNSWQAMMRDEDVLGPEFGSLFFEVYDNYHQMGFKDVVRMMEKFCAKQVAQLLLNMSHSDLYQVFSDRTAEHVDLGRYQESIIIVNKGHQMKLVKVQTAFTYVDMSSNYLEGQIPDELMQFKALMALNLSHNALTGHIPSSVENLKHLECMDLSNNSLNGEIPQGLSSLSFLAYMNLSFNHLVGRIPLGTQIQSFDVDSFKGNEGLCGPPLTTNCDDGGVQGLPPPASELSPCHNDSSIDWNFLSVELGFIFGLGIFILPLVCLMKWRLWYSNHADEMLHRFIPQLDFVYEQHEGKRCRSLRWRY >RHN79064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22039279:22041185:1 gene:gene2760 transcript:rna2760 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLPLLLQDLNASNCISLETVSNSGITMLQDSFGKLNKRTLLTQIHKEEQMSIRHRDYLGRFEFHNCIKLDQIARMTVTEEALIRIQLAAYLSSKIQVFSDPYCQVDDKVSKNFDHEDFLYVSRPFYSILLGNKVPDWFLHKETNSLFITIEFSERWNLLCRCRGFAFCLVLGASESNKNIHRTGLIAGCRYDFGGEYKGTSILKSSYSDAKSDQVWLWYDQILEVADFPGTIPWKVYFEFFVRSGCSGSIVKQCGIQPLYAPFDIMQSSHEEVNREGKTPYHELEYKKDPRNYWLLRHYAHPPDGLGFPSKQQRISGCHDGHFMEPIPSTYCAENVVDIHMEHNHAEVFVNFGLIRSGYHQPRDLQCLAQMLLDNYCYYG >RHN58835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5314681:5316800:-1 gene:gene20789 transcript:rna20789 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIIIHLKSLSKKTHVILLSSPPVNEAQIHETFSNIGTTKEDK >RHN59931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14932661:14933014:1 gene:gene22102 transcript:rna22102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSKLGFLCSECDTTFPSPQTLAVHVIEEHHPYLILESSKQGPPLNNQPQPENAQPQLQNDEHQPQNAPVPPQNAPAPPQNVEHQPQNASPPHVQPFDLNQPPNVRVFDLNLPYISDE >RHN74244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31036482:31037244:1 gene:gene10276 transcript:rna10276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MAYQNYFTFFILFFFFYVLITKTFAIQNPVVFDIRNDLPSNILGDLAVGCNQSDHMSHINVGDHYNRTFQVGQSWECDASWSRYFTFGWEVYKENRDEGHSTIYWSVRQDGFYHSLDASHWKWLAQWYTE >RHN75776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44969507:44973059:1 gene:gene12024 transcript:rna12024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MVELLFIMLLFLFLSLISPTLSLNQEGLFLLQAKLHLSDPSNTLSNWNPNDSSPCNWTGILCNNLTNSVTSINLPNSDLSGSFPVSLCRLPHLSHLSLPNNNLNSTLPTTISTCTTLRHLDLSLNLFAGNIPHTLSDLPLQELNLSFNNFSGNIPQTFSNFQQLQTISLVNNLFTGTIPSSLSNVSSLKHLHLAYNNFLSGTIPSSLGNLTNLETLWLAGCNLVGPIPNSFRKLVHLNNLDLSRNMLNGAIPELVIASLTSIVQLELYTNSFSGELPRVGISNLTRLERFDASDNELTGTIPDELCRLKNLGSLGLYYNRLEGSLPESLASSESLYELLLFNNTLSGKLPSGLGSNSRLQLIDVSFNHFSGEIPAGLCRQGRLEELLLIHNLFSGEIPAGLGNCLSLTRVRLGNNNLSGVVPSGFWGLPHVYLLELVENSLSGPISNAISGASNLSILLISGNRFNGSIPDSIGSLSNLGEFVASSNSLTGPIPTGMVKLSQLNRLVLRDNQFSGEIPHGIGDWKKLNDLDLANNRFVGNIPSELGTLPALNFLDLSGNLLSGEIPMELQNLKLDFFNLSKNQLSGEIPPLYASENYRESFTGNTGLCGDISGLCPNLGEKSKNRSYVWVFRFIFVLTGAVLIVGLTWFYFKFRNFKKMKKGFSMSKWRSFHKLGFSEFEIVKLMSEDNVIGSGSSGKVYKVVLSNGEAVAVKKLWGAATKMESGNVKDREKDEFEVEVETLGKIRHKNIVRLWCCYSSGDSKLLVYEYMPNGSLDDLLHSSKKNLLDWPTRLKIAVDAAEGLSYLHHDCVVPIVHRDVKSSNILLDGEFGAKIADFGVAKFVRSVSKGTEEPMSMIAGSCGYIAPEYGYTLRVNEKSDIYSFGVVILELVTGKHPIDQEYGEKDLVKWVSSKLNEDGQDQVIDLNLDSKYKEEISKVLKVGLLCTSSLPINRPSMRRVVNMLQEVTAVAKFRSGKFSPYYQEVVSNNDHLEA >RHN77832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9741581:9743255:1 gene:gene1325 transcript:rna1325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MEYSILCKTTVHESVTKMKYTKPKKHTNNNNKKSIQIPSVIRVTYTDPDATDSSSDEESNFIIPRRRIKRYVNQMEIETVASTTVSGNKRKSFRRMKPSHRPAKVSAITGYERKYRGVRMRPWGKWAAEIRDPGSKVRLWLGTFSTAEEAAMVYDAAAIKFRGKDAVLNFAPPLPKNVVKAEVVKEKMKVSAVKTEVSGSGEDSGDEFINLSSPTSVLRFRNEEIGEPVKIVEPVNENEDEPVEVEPFGECEGETRFFDETNDIFRQDMDEVFNFPTTCDYSLMFDEDPMLFFDETTTVLVDECRLSDHVEFDKTHLPSSSSSLCQQQQEDDFLEDILLDLEPLVML >RHN49062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52159478:52161122:1 gene:gene43849 transcript:rna43849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MMKQRLEGKVAIITGAASGIGEETVKLFAENGAFVIAVDIQDELGHKVADSIGSDKVTYHHCDVRDEKQVEETIHFTLEKHGCIDILFSNAGIIGSLSGILDLDLNEFEKTMATNVVGAAATIKHAARAMIAKKIRGSIICTTSVAASIGGTGPNGYTTSKHALLGLVKSACGELGGYGIRVNSISPFGVATPLSCIAYNLEPHEVESSSSSHANLKGIVLKAKHVAEAALFLASDEAVYISGHNLVVDGGFSVVRNTPSAMPDV >RHN72627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9247028:9250225:-1 gene:gene8370 transcript:rna8370 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rhoGDI2 MGLDDDNVKSDKEEEKSSCVVEGSDNEGAGGGNDSRYMSESSVAATEEEDDDEDRKIELGPQCTLKEQLEKDKDDESLRRWKEQLLGSVDINAVGETLEPEVKILSLAIKAADRPDIVLPIPEDGNPKGLWFTLKEGSKYRLMFTFQVNHNIVSGLKYTNTVWKTGIKVDSSKEMIGTFSPQTETYTHEMPEETTPSGMFARGAYSARSKFVDDDNKCYLEINYTFDIRKDWQ >RHN38985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3302881:3309893:-1 gene:gene44968 transcript:rna44968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MSSSSSRSRPQWIHDVFINFRGKDTRKTFVSHLYAALTDAGINTFLDDENLKKGEELGPELVRAIQGSQIAIVVFSKNYVNSSWCLNELEQIMKCKADNGQVVMPVFNGITPSNIRQHSPVILVDELDQIIFGKKRALRDVSYLTGWDMSNYSNQSKVVKEIVSQVLKNLDKKYLPLPNFQVGLKPRAEKPIRFLRQNTRKVCLVGIWGMGGIGKSTIAKVIYNDLCYEFEDQSFVANIREVWEKDRGRIDLQEQLLSDILKTRKIKVLSVEQGKAMIKQRLRSKRILAVLDDVSELEQFNALCEGNSVGPGSVIIITTRDLRVLNILEVDFIYEAEGLNASESLELFCGHAFRKVIPTEDFLILSRYVVAYCGGIPLALEVLGSYLLKRRKQEWQSVLSKLEKIPNDQIHEKLKISFNGLSDRMEKDIFLDVCCFFIGKDRAYVTKILNGCGLHADIGITVLIERSLIKVEKNKKLGMHDLLRDMGREIVRESSPEEPEKRTRLWCHEDVVNVLEDHTGTKAIEGLVMKLPKTNRVCFDTIAFEKMKRLRLLQLDNVQVIGDYKCFSKHLRWLSWQGFPLKYTPENFYQKNVVAMDLKHSNLTQVWKKPQLIEGLKILNLSHSKYLKRTPDFSKLPNLEKLIMKDCQSLLEVHPSIGDLKNLLLLNLKDCTSLSNLPREIYQLRTVETLILSGCSKIDKLEEDIVQMESLTTLMAANTGVKQPPFSIVRSKSIGYISLCGYEGLSHHVFPSLIRSWMSPTMNSVAHISPFGGMSKSLASLDIESNNLALVYQSQILSSCSKLRSVSVQCDSEIQLKQEFRRFLDDLYDAGLTELGISHASHISDHSLRSLLIGMGNCHIVINILGKSLSQGLTTNSRDNFLPGDNYPSWLAYRGEGPSVLFQVPDDTNYCMKGMTLCVLYSTTPENLATEGLTSVLIINYTKLTIQIYRRDTVMSFNDEDWQDVVSKLGVGDNVEIFVSIGHGWTVKKMTVYLIYDQSNAMEIESSNAMEVAPSSDAKMEPLHEVELKPSPNVKMDPSAEEEVQPSLDVKMEPSVVVKNEPLLKTSRKNFTRLAKRVGECLCLNHN >RHN73523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17348605:17352981:-1 gene:gene9346 transcript:rna9346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative feruloyl esterase MSLASQNPSFEHYQRVIIPNKSGEKLVGILHESSGTTTNDIVILCHGFRCSKDINLILNLAAALEKEQISSFRFDFSGNGESEGSFEYGNYWKEVDDLHAVAQHFRESNRVIRAIVGHSKGGDVVLLYASKYHEIKTVVNLSGRYDLKAGIEERLGKDYLERIRKDGFFDVKRSSGKLDYRVTEESLMDRLGTNMHEACLQIDKDCRILTIHGSSDEIIPVQDAHEFAKIIPNHKLHIIEGADHAYNNHQDELSSVFMSFIKETIDHSKSTAS >RHN82010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50562027:50562779:-1 gene:gene6126 transcript:rna6126 gene_biotype:protein_coding transcript_biotype:protein_coding MPIQPTISEWGVLLGAFRMHGKTKMGKITAEKLFELDDEYFGNHVV >RHN56610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32256595:32257471:1 gene:gene32040 transcript:rna32040 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPATVRNDPAGVRGAGCVSGSVFQKMGWRRKDKAAATMVVVRGEIERMKEKAVESRGWSGGRRQWRRDGRCGGGENVCCRH >RHN50269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5662181:5666219:1 gene:gene34541 transcript:rna34541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MKHSNMSCKDVGDEVRKETLEVHTTLLEIHNNGGKRKGNEVVVGSSRDSFKRRRVSSPGSVVSCKNKKTSREEACQAIARFFYNNVIRLDAVKSDEFITMCDMVSKHGVGFKPPSFDEIKGKYLTDEVEFTMEALAEHRAVWKITGCTIMVDDWYDEKEFRDILNFFVNSPKGTFFLKSVESADISSPEELFKMMDDIVEEVGEENVVQIVTDSATYYEKAGEMLMKKRTRLYWTPCATRCIEMILKEYEQIPIYKETTEKCRRIVMFIYSSDSLISLLQHFAKGVNLFGMETVICVLYCLSLCCLHENREALIRMFTSKRWKSNEFAETKNGKFVEDLVLDKEFWKDVMICYKGANPLVQVLRLVSSIDEPAMGFIYEAMEKAKELIQRLSKSGIESFKPLQEIIDKGWDKQLQSPLYAAGYFLNPQFHYSPGFRDDIKVKLGLHDCITRMVADPEERAKIEIQLEDFDKQANVFGQPIPVVTADEETPPVWWASIIDGQPELQKFAIRVLCLACSSYGGERNKSAFEMVHAKRSTNEREDQKTDNNVLFVMANSKWTEKKRSSIQLNLDDNGDVDALDVGDLDLIIPCLKPQDDVGIDGLHDENANGDEDENEDGDEMGDSN >RHN78561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16257258:16257766:-1 gene:gene2179 transcript:rna2179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MKLVTVYIIIILLACAYPTAMSRKLSTKSSSSVNKAFQQWIHEYGRTYSNTTEMNKRRVIFKEELKYVKKFNKAGDEGYTIGLNQYSDWTDEEYFGSQLPKYLPTIETEEIQNIPFVKYVRAVSFVG >RHN71489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:503899:504687:1 gene:gene7096 transcript:rna7096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase C1A, papain MFSPQCLIDGLPFHVDDILWQPLIDLSKPDPSNPETPKRQHYVQSTFFALKFIQTRGIAWDVDCPYLGYFDENRKFEFLSAAKLNLEDIFTISKNRWSVLYLRQILESRGCLLGTFRCDEGLLSYKFVYSLTKTKGTISRHCVLIVGVGKNEQGEQYLEIQTSYGSSWGNKGFGFISFETLCIQGIKRVHM >RHN77783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9405762:9406709:-1 gene:gene1274 transcript:rna1274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MSRGKSFTCYEESISLLSFLQIVMVVTVLLLHHHHQTCDAITNTNNKTYCPPSSCGKISNIKHPFRLKNDPATCGDPKYELSCENNITALTLFSGKYYVQEINYINYTIRLVDPGIEEGDYSSIPRYSLTSSNLTIFQYYDYNDADPYQIDTYFKHGYIIYLKCSKQVNDDTEYVDTAPCISSDSKSYLYAFASDFCVEYYEDDYGYEECYYWKHFSVGRLKDYCQVKLVAMSSYFPNVRVREGVPDRSLSYQEIHGMLLYGFQLSWMSSACRELCGEKNECKLNYTTGAFECSDNNYCEYPLGPEVNKRCGKKY >RHN80777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40787778:40800629:1 gene:gene4743 transcript:rna4743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MAPTRKSRSVNKRFKNSNDISPEKDGVGSSKNKQRKKKLSDKLGSQWSKGELERFYEAYRKHGKDWKKVAAAVRNRSIEMVEALYNMNRAYLSLPEGTASVVGLIAMMTDHYNVLEESDSERESNDAPGSRKPVKRKREKLQLNVSKDPVQSQSVTSSDGCLSLLKKRRIDGLQPRAVGKRTPRVPVYHSQKKDDRENYVSPNKRSLKSTVDGNDDEVEHVAFALSRASQRGGSPLVSQTPRRRGEQKFSPAQSRDRMRQMSETARAKFHNASVDGEFLEGSLESRGAENGEYVRDTSSLMDMEGTSTAGVPKGGKFYRKKERVENVGNYQLDDGGEACSGTEEGLSFNSLKENNMEVTNEKLEQFSPTSQRKRNKKLLFGGNSVNRDVFGDEIHALDALQTLADLSLMMPSSEVESESCVQLKGERMMVDKDDKSALPESTSTSHKRNKVKIRAVPGPDTSTFKKSKLKDIANDTNALSESKDQLPFADKTWKRKPKSTVSKAVDDEKKTVIKGKFTDQVFASPKQIKTVKPSEVLLRADQKGFAVSTSEIPLLSEVSSPTKKSRRKMIFQRPSMRKEKSYENVLKSQPNKHSTQKEKLSSCLSSYLVRRWFTSEWFYSALDYPWFAKREFVEYLNHVGLGNIPRLTRVEWSVIKSSLGKPRRFSEHFLHEERQKLEQYRESVRKHYSELRNGIRDGLPTDLARPLYVGQRVIAIHPKTREIHDGSVLTVDHDKCRIQFDRPQLGVEFITDIDCMPLNPLDNMPEALRRQIGARKASFTTIEPHINGNSSFGGCEMHASPVKVDANHVTSQANIGNLCAQAASAQPCKVMQHQSKEADIHALSELKRALDKKDTLLAELRNANNGILENQNGIECLKDSEGFKKHYATVLVELKEASGQVSDTMLQLRQRNTYTETSLPPWMKPKANFEGHDDLPNMLDSSMTQESRSTVIEIIKGSRLQAHAMLDAAFQAWSQATKEGKDAITKIGQALDSIDYQQLSSKYRSPVIRSQDQVNGSYYHANQSTCRASEPLLNDASGLKLHKDSDEVEIEIPFELITSCVATLTMIQSCTERQYPPADVAQILDSAVTSLQPCDTRNLPIYREIQMCMGRIKTQILALIPT >RHN41499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30520418:30526456:-1 gene:gene47831 transcript:rna47831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MASDSFTDKNAVFRKLKTKSENKSCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWTPEQLKMMSFGGNSRAQVFFRQHGWNGDGKVEAKYTSRAAELYKQLLSKEVAKSMSEEAALSAPPAASSQSAQGTNGLPDVKTNEVPIEKTVEKTVEKPEKTESSSSPRAYTAVSNNLKKPIGAKKTGKSGGLGARKLTRKPSESLYEQKPEELPAPVSSSTITKNNLPSGPPLTSRFEYTEDVQSSELNSGGSNVTGHVSVPKSSSSFFSDFGMDSGFQKKSGPSSSKVQIQESDEARKKFSNAKSISSSQFFGDQNKANADAQATLSKFSGSSAISSADLFGDSSDNVDLAASDLINRISFQAQQDISSLKNIAGETGKKLTSLASSLMTDLQDRIL >RHN78724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17819863:17821020:1 gene:gene2370 transcript:rna2370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MALVPNRKKVSCTSSYIHDDIAFGILSKLPIKSLKRFTCVRKSWSLLFQSPNFIQKFRNNLVTKSHSPYDDDHDDDVCFLFIWVVFPSLFYSISGEKFENEVKLDLPPQFDNTFHLVLGSSINGILCVYDHVDHSNVALWNPTTGENKVIPPRLSECLPNFVDVFHLRAFGYDHVTDDYKVIQHVSFHPILDGRVREEDLPMTPDPFWEIYSLRSNSWRRLVVDMPVLNSNTTNVYLNGMCHWFGLSDGKTFSVVSYNLSNEMFFTTPVDCHARSFSNLMVLNGYIALTTKCYDDKFFNISVLGEIGVKESWTKLFEFGSMPWIDLSLDVWMKGKIFLSQINGKVACFDLTTEEVIEEIDFKGDSKNCLIVPYKKNFGTIGEVDN >RHN56608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32195596:32199729:-1 gene:gene32038 transcript:rna32038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MAKYWFLNVLIIVSYLCNLVVEGYPIEDLVVSLPGQPKVEFSQYAGYVDIDVKHGRSLFYYFVEADHKPQNKPLTLWLNGGPGCSSIGGGAFTELGPFFPAGDGRGLRTNSMSWNRASNLLFIESPAGVGWSYSNTTSDYNIGDASTANDMLSFFLKWFEKFPTYKSRALFLTGESYAGHYIPQLANAILDYNAHSTGYKFNLKGVAIGNPLLNLDRDAQATYDYFWSHGMISDEIGLAITKDCDFDDYTFASPHNVSASCNTAINDANEVVGDYINNYDVILDVCYPSIVEQELRLKKMATKISVGVDVCMSYERKFYFNLPEVQKALHANRTNLPYSWSMCSGVLNYSDTDPNINMLPILKRIVQNHIPVWIFSGDQDSVVPLLGSRTLIRELADDLKFKVTVPYGAWFHKGQVGGWATEYGNLLTFATVRGAAHMVPYAQPSRALHLFSNFVNGRRLPNTTRPSIEG >RHN41959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34347465:34354561:-1 gene:gene48339 transcript:rna48339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 26S proteasome regulatory complex, non-ATPase subcomplex, subunit s5a MVLEATLIIMDNSEHMRNGDYSPSRFQAQSDTVSLICGAKTQSNPENTVGVMTMAGKGVRVLSTPTSDLGKILGCMHGLEIGGEINLAAAIQVAQLALKHRQNKKQQQRIVVFCGSPVKHEKKMLEMIGRKLKKNSVALDIVNFGEEDEGKTEKLEALLTAVNNNDSSHMVHVPPGPNALSDVLISTPIFTGDGEGGSGFAAAAAAASAGGVSGYDFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEDASKQEKGGEQQATSQDATMTERTSTEAEPMDDENALLQQALAMSMDDPAVNHDGRDADMSEAATDDPELARALQLSVSDTTTDEVTRLLADQSFVSHVLATLPGVDPNDPSVKDLLASMQNQSNAHKKNEEEGPNEE >RHN68605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37021740:37026110:-1 gene:gene16977 transcript:rna16977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 43kDa postsynaptic protein MEGKINKNKVEKVVLGCTFMGKIFKFKTNKLRNSSSVHSLPIKTVNNTQQKESKGSPNHESKVPTETQARKSITFSHTPTDSARSSIQQKEPNGNSLGLARISTSSTTTTIRPRDSETKSPSKDLSTPKLTGNLLMNSSYSSPRTSVTRNNKELNSVSCSVNNSVMGNIMRKSGDGVSQFRSPRSNRILDPEVLKSMGNEAYKQGRFSEALALYERAIAIDSNKATYHCNKSAALIGLGRFQHAIVECEEAIRIDPSYPRAHSRLATIYFRLGEAEKALNCNKETPYFDSELDFQAQALQVHFNKCSEARKVKDWKVILKETQSAISLGVDSAPKVYALQTEALLKLLRQQEAYTIYEKMPKFDLDWCNKLFGPVSSAYLLMIGAQVYLAAGRFEDAVTASQKAAKLDPSNTDVNVVVRRARAATSARLSGNLLFKASKFTEACAVYNEGLEYDPFNSVLLCNRAACRSKLGQFEKAIEDCNVALTVQPNYSKAMLRRADCNAKLERWEAAIQDYEMLIREKPGDEEVARALFEIQLKLKMLRGEDVKDLKFGSNLVCISSNDRFRHYVTSPGMSVVLFCNKATHKQVVLVLEQTCKRFPSVNFLKVEIEDHPYLVKSESVNSVPAFKIYKNGSRVKEIPGKNHEMLERSVKLYSS >RHN42029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34872684:34884944:1 gene:gene48416 transcript:rna48416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-epi-6-deoxocathasterone 23-monooxygenase MGWIIGLWFLMGTFLLCWWFLFMKKKKNKVKMNKKKGKVPRGNKGWPLLGETLDFIACGYTSNPVSFMEKRKSLYGDVFKTSILGTGVIVSTDPDVNKVILQNQGSIFIPAYPKSIRELMGEHSILQMNGNMHRKLHALLGGFLRSPQFKARITRDIEHSVKQCLASWTHQPIYVQDQVKKITFTILVKVLMSIDPGEDLYNLKREFEEFIKGLICLPIKLPGTRLYKSLKAKERMMKIVQRIIEERNDNKDCVANDVVDVLLQDKDESNTIPNIWLKNMSENIIEMMIPGEETLPTAMTMAVKFLSDSPLALSKLVEENIELKKSKNCSDDYAWSDYLSLQFTQNVINETLRMANIVNAIWRKAIKDVDIKGYLIPKDWCVVASLTSVHLDGTNYEKPLEFDPWRWEKIEAGTRNNCFTPFGGGQRLCPGIELSRLELSIFLHHLVTTYRWVAEKDEIIYFPTVKMKKKLPIIVTTINT >RHN63208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49373068:49377962:1 gene:gene25884 transcript:rna25884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPPDE putative peptidase domain-containing protein MPSFPRSSSLERVPDSEKKSNTMVYLNVYDLTPANNYLYMLGVGIFHSGIEVHGMEYGFGAHEYSSSGVFEVEPRSCPGFIFRRSLLLGTTDMSYSQFRSFIERVSAKYHGDTYHLIAKNCNHFTDEVCQQLTGKPIPAWVNRLARVGSFCNCLLPESLQVEAVRHEPDHLVHSDEEESESDSHSESDDSEEEGSKHHLLNSHNGDVSFIKERPMDVE >RHN79598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30431029:30432221:-1 gene:gene3411 transcript:rna3411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MSPFYNPSLSKLDLIRNVAFRSNTRLNRFSHSLSLLSNENYKSIILPNNGDYLMRIFIGTPPIEKLAIFDPGSDLTWVRYNVLLVSIVLHKTPHYMIEPNLPLIQISHVTHNLAHYSLKNNNFVENHKNVFTHTIMSIFGCGYYNIFTADNSGKAAGLVGLGAGPFSLVSQLGHSIGRKFSYCLVPFGSNSTSKLKFGNQSTITGNEVVSTPLIIKSLEQTFYYLNLEGITIGRQKTIQTGQIDGNIIIDSGTALMYLEQPFFDDFLASVKEVIDIEEVEDIPSPFHYCFEDPNSSFPSIVLHFTGANVPLQPKNILYLEENNLVCLAVVPSNIAGISILGNLAQIDFQVEYDLEGKKLSFSPSDCTKN >RHN63303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50227051:50242733:-1 gene:gene25989 transcript:rna25989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sec1-like protein MVRCRLRTSSSIGPLRFSEISSNLSTRHALSFLQLPLIIIIFSLIQLLILISYRNLNLFLSLNKPFLFHSFSSFFIASEKLGFRSSPIFFYFSSPIEINGFATMSMSLSDDSSSSYGGEYKNLKQISRERLLHEMLRSAKTGDSKSTWKVLIMDKLTVKIMSHSCKMADITDEGVSLVEDIYKRRQPLPTMDAIYFIQPTRENVIMFLSDMSGRKPLYRKAFVFFSSPIARELVMEIKKDTLVLPRIGALREMNLEYFAIDSQGFITNNERALEELFGDEENNRKAVACLNVMATRIASVFASLREFPFVRFRAARSLDANTMTTFHDLIPTKLAAGVWDCLMKYKKSVPNFPQTETCELLIIDRTIDQIAPVIHEWTYDAMCRDLLNMEGNKYVHEIPGRNGGPPERKEVLLEDHDPIWLELRHAHIADASERLHEKMTNFISKNKAAQIQHGSRGSGEMSTRDLQKMVQALPQYSEQIDKLSLHVEIAGKVNSIIRETGLRELGQLEQDLVFGDAGMKDVIKFLTTKEDTSRENKLRLLMILAAIYPEKFEGEKGLNLMKVAKLTNDDAIAINNLRVLGGEPDAKKTSTSGFGLKFDMHKKKRAVRKNRAEEETWQLSRFYPIIEELIEKVSKNELSKEDYPCLNDPSPSFHGTPYAGSVTQNPPAHSIRSRRTPTWARPRGSDDGYSSDSVLKHSSSDFKKMGQRIFIFIVGGATRSELRICHKLTGKLKREVILGSSSIDDPAQFITKLKMLTTAQELSLDDLQI >RHN70652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53009643:53010805:1 gene:gene19261 transcript:rna19261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MGEPKDETYEEELLDYEEEEDKAPDTNGAKVNGEATKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEGKFSNLYLLYMYSV >RHN72724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10200208:10204275:1 gene:gene8474 transcript:rna8474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small monomeric GTPase MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVSTEEGEQFAKENGLIFMEASAKTAQNVEEAFIKTAGTIYKKIQDGVFDVSNESYGIKVGYGGIPGPSGGRDGPSAAGGGCCS >RHN75652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44105906:44107406:1 gene:gene11886 transcript:rna11886 gene_biotype:protein_coding transcript_biotype:protein_coding MNRATATSKAWMVAASVGVVEALKDQAGICRWNYALRQAQQHLKNRVRSISQAKNFSSSSFLANKLKDEKKAKQAEESLRTVMYLSCWGPN >RHN81189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43931532:43934991:-1 gene:gene5196 transcript:rna5196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal transduction histidine kinase, phosphotransfer (Hpt) domain-containing protein MDHLHRKLRDHEAAMFQQGYLDDQFSQLQKLQDDTSPDFVIEVMTMFFDDSEKLLNNMSRALEQVPVNFKQIDAHAHQQKGSSASVGAARVKNVCGTFRNFCEAQNLEGCVRCLQQLQQEYSLLKNNLKYLFKLQQEIKTAGRSIHTRG >RHN66154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9144980:9155312:1 gene:gene14081 transcript:rna14081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperone DnaJ MVRSNGVKLLLRSLSPNLLRNKSVYEGVLQRGYRTLNSGLCNRSSKVTGNYFHNVGDGVKLKNWVLLGAANTYLGATRSIHGSAPLAKDFYDVLGISKNASSSEIKKAYYGLAKKLHPDTNKDDPEAEKKFQEVSRAYEVLKDEEKRQEYDQVGHEGFVNRENGSDGGFGPFGDGGFPFNPDIIHDIFNRNIGGQDVKTFVELSFMEAVRGCAKTITFQTDMICNTCGGSGVPPGTRPETCKRCKGSGVTSVQAGIFRMETTCGACRGSGKIVKSFCKSCKGAKVNRATKSVKLDIMAGIDNNETIKVYRSGGADPEGDRPGDLYVTIKVREDPVFRREGSDIHVDTVLSITQAILGGTIQVPTLTGDVVLKVRPGTQHGQKVVLKQKGIKTKKYNSLGNQYVHFNVSIPVNLTDRQRELIEEFQKEEQYESSDKGKAASASG >RHN70290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50289218:50291188:1 gene:gene18855 transcript:rna18855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein disulfide-isomerase MSLVVIQQQNILHTKSFLSVRLDLYLNKQPQSRISNLVFSLVSNHSHRKLYLGGTKMVPINKIRHSFYPVECQQGLPDEDEDDDDDDDDVCPVECVREFKTDDEFLKILDKSKGTGSLVVVDFFRTSCGSCKYIEQGFAKLCKKSGSHDVPVIFLKHNVIDEYDEESEVAERLRIRAVPLFHFYKDGKLLEAFPTRDKERILAAILKYSSLEAEDILS >RHN71392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58688538:58689223:-1 gene:gene20070 transcript:rna20070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RPA-interacting protein MEEIGTSTTAEKKAMLRPSLKSDSEFNNYQLWKQKLRENCFKRVRQDRSRLLWKCRLSSSDDESSRLLHNQDELDIVFRDIVSDELNKINNDDNDLLWNDDLKAAHEGDCQDILLEMQNLFYQDLDSHIDTWEDEVDHYLARAVYDHMHLNPDNNTNNKASIYLSI >RHN60898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31469702:31472805:1 gene:gene23291 transcript:rna23291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L25/L23 MAPKGDVSKKTDPKAQALKAAKAVKSGTAIKKAKKIRTTVTFHRPKTQTKDRNPKYPRISATPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDLRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >RHN67158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24455053:24456555:1 gene:gene15307 transcript:rna15307 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRIFSSQTYLNVASFILLKPYSFVATLNDFVLSDSFPLLISVFFSRFE >RHN50033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3334819:3337872:-1 gene:gene34281 transcript:rna34281 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MSSRRSRQQSSSSRISDDQIIELVSKLRQLVPEIRHRRSDKVSASKVLQETCNYIRNLHREVDDLSERLSQLLVTIDADSPEANIIRSLINQ >RHN49528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55412526:55412909:1 gene:gene44369 transcript:rna44369 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSPEDVGRGKDALVHPIWDCGSPLYDSHELVSIVYTIERQMMLWPSYDGLKRPIITQFMDTEKETSIRHVSKCSSVVTSSSETFTTNMWLKKLTSQGIKKKHRKMKGRFFRLVCG >RHN46316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30665007:30668382:-1 gene:gene40796 transcript:rna40796 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKKGGYFFHFRAQLKIQHKTHSLSQTLQTVLQLKPLFINPTTHQPFHLLLSSSQITFTNHLSSPHSP >RHN66261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10157953:10159032:1 gene:gene14209 transcript:rna14209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAEVDWSDLPKELLNMISQRIDIEIDLIRFRSVCSNWRRSSSVSDHHLSLTINFPLLKSLSDSTITSFCCLSKRSIFLIKQQPPPQQQTLIRPWLVRITQNSSGKTKLNCPLTHISHLSSSTSFHLPRLIDFNKLSVLHLGTDFITDEFTSNSVLLPQKVISIGKHSLVLGILKNCTPQLMLFRCGNEPWEWIYDISTTSGDICVFKGQCYAVDKFGRTVMIGPDSTVQLVAEHVVHGGDRKLLVESDGELLLVDIYESLHFNIKVFRFHEKEKKWVNLMNLGDRVLFFGKGTSFSASASDLCVSKGNCIIFIDDAITNYWMQGGNGVYHLDQGRVSSVSHYHEYFNLFSPPPDWILKS >RHN67152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24411134:24415068:1 gene:gene15301 transcript:rna15301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MEEEVICNDAIGNSRVVEVPHSSSSRVMSFNGKRLIMDEVDFFAEKKMSPVNDLTLHQMEHHHVDTSLDLLTKISPSNKLDDREYSNARNENEYAVVVAKLHETNAENQRLRELIDRLRIDHNALEEHIMQLKQKQNKHETNDEATEEKNGMNMILRPFLNMGEPSQERKNMVDLMECDKKSTQKLCNNGVIQEKTEREEDHHENSPSNKALSNQVPRLNSFNGVDDQASESMIKKARVSVRARSEANMISDGCQWRKYGQKMAKGNPCPRAYYRCTMGTACPVRKQVQRCAEDRSVLVTTYEGQHNHALPPTAKAMASTTTSAASMLLSGPMTSVDGLINPTILESGSLPCSHTMATLSASAPFPTITLDLTEEAINNSSQKQLQQGQFNLLHPFLAQKFMSGSNIFGMENASFVDTVSAATAAMTSDPKFTSALVAAITSIVGSNSNPNNNGTTNGTSGDQNCNKS >RHN42289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37144675:37150300:-1 gene:gene48713 transcript:rna48713 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGVPADAVMAVVTALDFTETSNWWRDINNSPLWQDRIFHLLAILYGIVAAIALVQLVRIQLRVPEYGWTTQKVFHFLNFFVNGVRCFVFVFRRDVQKLQPEIVQHILLDMPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPSFYTINAVVYVIQIALWLILWWKPVRMLVILSKMFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFSCFLIRCVMMCFNAFDKAADLDVLDHPILNLIYYLLVEILPSSLVLFILRKLPPKRGITQYHPIR >RHN56377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30443278:30445549:1 gene:gene31767 transcript:rna31767 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFSIILTFIALIFIFPILTTAENEESSVLRLPSQNVCSVTTPSSCPAKCFRTDPVCGADGVTYWCGCAEAACAGAKVAKLGFCEVGNGGSATFPGQALLLVHIVWLIVLGFSVLFGFF >RHN58367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1173131:1174147:-1 gene:gene20273 transcript:rna20273 gene_biotype:protein_coding transcript_biotype:protein_coding MKILPQQQLNGFVNMDQLLTLLFNDLTNEGLVKKSNKHKVVFLGDQELEGVHQFQSFIDQYNMDYISLNDMEKQSSILDGTVDFVFTSNFPASSQFIDRTLKTNGIAAVVILNAAAFHKPSNYKVAYMRRFQKVVMAMKKITTSPVKLGSQRKLLGYATEAKRAALQKLEDVLLEPPRAASGKSRVYLKRIKYLPDLMGDTLESYPRRVFIDVGLPQKDGGSGTDWFLKNYPTRNKNFEMYKIETVVESSPTAQVEMSDWLMKNVKDEEYVVMKAEAEVVEEMMRSKSIMLVDELFLECKPQGLNLKRGTRGKRAYWECLALYGKLRDEGVAVHQWWG >RHN54344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8862930:8869193:1 gene:gene29361 transcript:rna29361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome subunit Rpn10 protein MVLEATMICIDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGVLIMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQHQRIIVFAGSHVKHEKKMLEMIGRKLKKNSVALDVVNFGEEDEAKTEKLEALVAAVNNNDTSHIVHVPAGPNALSDVLISTPIFTGDGEGGSGFAAAAAAAAAGGGTGYDFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEDAAKQEKGGSQDATMTEGASASTSEAENKTTDLMDDENALLQQALAMSMDDPAVGHDVRDTDMSEASTDDPELALALQLSVADSTGDQASQSDVTRLLADQSRVSDILASLPGVDINDPSIKDLLASIPNQSEQQKNDDKPSNEEKK >RHN71965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3998522:4000357:1 gene:gene7627 transcript:rna7627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L15e MGRPEMKAQWAKSKSYINLFLPLRNPKTLFGFLLQPASQPASVRQTEKMGAYKYISELWRKKQSDVMRFMQRVRCWEYRQQSSIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVSKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWVNEDSTFKYFEVILVDVAHSAIRNDPRINWLTNPVHKHRELRGLTSAGKENRGLSGKGHRYHKARPSRRANWKRNNTLSLRRYR >RHN58049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43356896:43360320:-1 gene:gene33655 transcript:rna33655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein Hsp90 family MAEQETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFEGLTDKSKLDSQPELFIHIIPDKTNNTLTIIDSGIGMTKADLVNNLGTIARSGTKEFMEAIAAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDTTGEALGRGTKITLILKEDQLEYLEERRLKDLVKKHSEFISYPISLWVEKTIEKEISDDEDEEEKKEEEGKVEEVDEEKEKEEKKKKKIKEVSNEWSLVNKQKPIWMRKPEEITKDEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTKKKPNNIKLYVRRVFIMDNCEELMPEYLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCLELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNKTKLADLLRYHSTKSGDDMTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLRKKGYEVIYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKKMDEQKEKFDNLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADRNDKSVKDLVLLLFETALLTSGFSLDEPNTFGNRIHRMLKLGLSIDEDAAEADADMPPLEEADADAEGSKMEEVD >RHN58559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2789884:2792568:-1 gene:gene20481 transcript:rna20481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RanBP2-type MSRPGDWNCRSCQHMNFQRRESCQRCGDSKYGERVDYGSVFGGIRGGSSFGLSGSDVRLGDWYCGAGNCGAHNFASRLSCFKCGAFKDDLAGGGYNNSDILLSRGFGGSTRPGWKSGDWICNRLGCNEHNFASRMECFKCSAPRDTY >RHN47872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43193397:43197435:-1 gene:gene42521 transcript:rna42521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small-subunit processome, Utp14 protein MTEKKRKQMNDTNLRSKKSKTNPRSPSSLNKQIQTNVHFDEATTDVVYEYEEQDAEEESMKNKRYDPVSVKDRIPSHFKDENVQSDNEFENDDDCYIGTKRDANAEGRHVRMIEGITGMPTETFQGNAENKKLMMSDAFDPSCEVVVCGDGLITIEDLLNPNFVDHNKLKIRVQSIEKNGRTMHTPLPEADQAKVERKVAYVISKKYVTKYQPFIQTNRDATTISFYEKVDIGFSTIGAIASEFRPRSKFEREVAALVLEAHRDDGSRLNKVSKEDRKERQNRAKLRSLLFCNEKKAKRIKKIKSGTFHRHLKKDRLKSESSRIEMDSKVAKKYDMKKYFKRAEERMTLRHTHHNRWAKNVKQHGLNHKYEETRAAMDENCRKHKDLTRKMHSMKGSSSSNDSEDDDENSAGSDLDSKILGKLMKVLGEKDEITESRLSSLGFMRRGLKKSREAVVEECEDLLKNLEDFGSSEDRKAASTSGRRVFGKAKAHVRLNIKHTEGNDADTDSEGKMVGGIPTSTSKPSYELPSQEELIRQTFAGDDVEAEFQKDKQKILDEENPEPEKPILLGWGQWTDTQQKKGLPYGQLKQNKDALRKRDETLKKRNDAQLKNVIISEKSSKKTEKLYTKVLPYPHTSKEAFEQNMRMPMGPECNPTTATGLLNQPEVSFTKQFLLLT >RHN39037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3667892:3669805:-1 gene:gene45028 transcript:rna45028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MLYLHIETMSFFASNVYIVFMFLNLILLLLSTCEVEAEVCRKKSITWLGLCINNLSCSTTCVSEHALYGACQGDYVHCNCYFTC >RHN75474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42546562:42547834:-1 gene:gene11690 transcript:rna11690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(A)-specific ribonuclease MTKVKEEDASNKPIKIRQVWAENLEREFDLIRDFVHMFPYVSMDTEFPGVVVAPNFDPNIPYHLRHMDPSEQYSFLKANVDNLNLIQLGLTLTDANGNLPGDVAYSYIWEFNFKDFDVDRDLQNPDSIELLRRQGIDFKRNLIYGVDSLEFAKLFRLKSGLVNSGVSWVTFHSSYDFGYLVKILTQNYLPSRLEEFLSILTQIFGQNVYDMKYMIKFCNLYGGLERVATKLKVSRAVGNSHQAASDSLLTWQAFKKMKDIYFVNNGITMHAGVLFGLEVTV >RHN76198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48475423:48475602:1 gene:gene12486 transcript:rna12486 gene_biotype:protein_coding transcript_biotype:protein_coding MTELALIGSVMVELALFMAELAFQWRKKLMNWCVSILDFFNNWIQLNLDFFFREIKFRF >RHN72837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11150166:11160653:1 gene:gene8598 transcript:rna8598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MEDSEGVLNFDFEGGLDAQPTAATAITSTAAVSTPIVQSDSYLPPSNGAAAAAPSVAEHTAGNNPGRRSFRQTVCRHWLRSLCMKGEACGFLHQYDKARMPICRFFRLYGECREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHAKSPGPPPPIEDVLQKIQHLYSYNYNNSHKFSQQRGSNYNQQVEKSQFPQGINSANQGAVGKPLVAESGNGQQQQPVQQSQQQVSQNQSQNLANGQPNQANRTSTPLPQGISRYFIVKSCNRENLELSVQQGVWATQRSNESKLNEAFDSVENVILIFSVNRTRHFQGCAKMTSRIGGSVAGGNWKYAHGTAHYGRNFSVKWLKLCELSFHKTRHLRNPYNENLPVKISRDCQELEPSIGEQLASLLYTEPDSELMAISIAAESKREEEKAKGVNPDNGGENPDIVPFEDNEEEEEEESDEEEESFGQAVGPAVQGRGRGRGGMMWPPHMHLGRGARPIHGMQNFNPMMGDGLSYGPAGPDGFGMPDLFGMGPRGFGPYGPRFGGDFGGPPAGMMFRGRPSNPGMFPGGGFGMMMNPGRGPFMGGMGVPGPNAPRGGRPVNMPPMFPPPPPPPQNINRTGKRDQRPSDRNDRYGSGPEQGKSQDMLSQSGGPGDDMQHQQGYNKSQQDDRNDDSESEDEAPRRSRHGEGKKRKGES >RHN80288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36863592:36863955:1 gene:gene4193 transcript:rna4193 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPLEFSIGLLSLIFLQFASFTIHSRGLAIHYVLFYISFVEVIPLSDHAVRLAG >RHN48890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50942265:50945004:-1 gene:gene43656 transcript:rna43656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-pyruvate monooxygenase MEKENERVIVVGAGPSGLSVAASLRNQSIPYIILERENCFASLWKKYSYDRLHLHLKKQFCELPHKPFPPSFPSYIPKDKFLQYLEDYVSHFKINPLYHRTVENAEYDEGSEKWKVKAKNKESGEVEEYEGRFLVVASGETSDPFVPEIDGLKSFTGKVIHSTGFKNGKEFKDEHVLVVGSGNSGMEIALDLVNHGAKTSIVVRSKVHILSRGMVNLGLFLMKYLSMEMVDSVMVMLSKMVYGDVTNYGVGRPSEGPFYMKVKYGKYPIIDVGTFHKIKSRELKVLPAEIECVSGKNVLFKNGQLHSFDSIIFCTGFKRSTHKWLKGDDYLLNDDGIPKPSYPIHWKGKNGLYCAGLSRRGFYGAAADAKNVANDVRSIMQNL >RHN67282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25488983:25493428:1 gene:gene15461 transcript:rna15461 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLETISSALNLIDSKKQNLKIAFENLQSKSSSLPLSWLQLDSHFTSLQQSLTHRFQFLQSLEQQSQSRTLISNSNAETSKKTNFSTNPKDPISHRKELRVLCEKIDGIGLRNYIDKNYKDKIRVEAELLEEFRSAPDAGEMVLQSLEGFYRVSGNFNDRVLRKMGRICVMLLKVLSVAGVNVSGKAREKALKLAIDWKVRLLGDHGNILGALGFLYLVYGFGIVSEFRGYVLVEFAARAAINGEFMQLCRDIGFTDKVPEIVQKLVEKGKHVLAVKFVFEFSLADKIPPVPILKAAVDESRKLARRRSEEGKRRMEITDRELRVLKRVIEIIEIHKLESEYPRDSLEQRIEQLKGQDPNMKDRTPASILNQHTLQRRQQKRRMKKQQQQNGNKVPRTSTSVGPAAVLKNDTNNDNSTMRQYQQPLVNPSGLFPEHPNPYKIPQATSSGMVASIPTIPSYTGPSTGPYGFAGIPMGPGGNLILGGSHLNSSEPRVPSAFYGSNNFTYGGINRQHHYQAPYYPQ >RHN60704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29717235:29718938:1 gene:gene23059 transcript:rna23059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbonic anhydrase MAIADDESEFNYDEDSDQGPHNRGDIKPEWFRCKNGTMQSPIDLLNHRVQIVSNLGGLQINYTPSNATLKNRGHDIRLELIANSSYLQINGTQYVLKQLHWHSPSEHTIDGKRLDLELHLVHETPSGETAVIGILYKTGLPDLFLSLVKFVSKEQIELLQVAVHNDSDSNARPLQPLNNRLVQLNKLKEYPMHL >RHN64661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60812010:60812800:1 gene:gene27499 transcript:rna27499 gene_biotype:protein_coding transcript_biotype:protein_coding MSSICNHSTRFVYYKPISDVSSDLSIEIGASCFALHKVERLRTTKQSMPFFGVYSSLVDQNNFFVREHLHN >RHN75726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44584877:44585485:1 gene:gene11966 transcript:rna11966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BAH domain-containing protein MAASSTSHCNCVGDYVLLRPTEGNRPTVARVEKFEQDNMDRKRVHVRRFYRPEETVGGRQCFHRNKELFLSDHYDVHSVDTIEGKCFVHAFGKRLAYETANDYFCRFPQ >RHN53712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3536361:3538853:-1 gene:gene28649 transcript:rna28649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronate 4-epimerase MGGIGILPSKPEKYHYQPYNNINNNNILRIRSSKFTLCSSIFVFLAFIIFFFILSPSTSSLPTKNSWGGPEWEKRVTKSTRHNSPSGSPLTVLVTGASGFVGMHVSLALKRRGDGVLGIDNFNRYYDINLKRTRAKVLSRAGVFVVEGDINDVHLLRKLFDVVAFTHVMHLAAQAGVRYAMRNPNSYVHSNIAGFVNLLEVSKSANPQPAIVYASSSSVYGLNSKTPFSEKDRTDQPASLYAATKKAGEEFAHTYNHIYGLSVTGLRFFTVYGPWGRPDMAVYLFTKDILKGKQITVFESPDGGSVTRDFTYIGDIVKGCLGALDTAKKSTGSGGKKKGNAQYRIFNLGNTSPVPVSELVNILEKLLKVNVKRKVVPMPINGDVRFTHANISRAQRELGYMPTTDLEAGLKKFVRWYLDFHSPLKNKNVW >RHN59407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10353785:10355149:-1 gene:gene21433 transcript:rna21433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein MASMKVACVLLMMCIIVAPMAEAAITCGTVTGSLAPCIGYLKGGSGPSAACCGGVKRLNSAATTTPDRQAACNCLKSAAGAISGLNPNIAAGLPGKCGVNIPYKISTSTNCATIRA >RHN53546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2439723:2440137:1 gene:gene28464 transcript:rna28464 gene_biotype:protein_coding transcript_biotype:protein_coding MHILIVMFIPRIVFQYVPNYFQYFNLVFFLRFLNLYDMKLKIDYRYM >RHN56194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28618507:28620554:1 gene:gene31556 transcript:rna31556 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKDPFDIVPCPCLYLLIDPCRCTFLDLLFMSSVLACSSRS >RHN70234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49923665:49925179:1 gene:gene18795 transcript:rna18795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MRLQASSDVHENEMLNQFAQWILSIGDGKVGDDTDGEAVVEIPSDLLVDHSGDPIGDIVAATYPGVVENLVDATFFQDRAILAPTLELVEKVNDYVMAMIPGDEKEYLSCDSVCKCDDDIGVDHRWITTDFLNDIKCSGMPNHRLCLKVGVPVMLLRNVDQASGLCNGTRLIIVSLGKNVICARVIGGTHAGEVSYIPRMNLIPSGANVSITFERCQFPLVLSFAMTINKSQGQTLTSVGLYLPRPVFTHGQLYVAVSRVKSRSGLKILITDENGSPSSSTVNVVYQEVFQKI >RHN66690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16590238:16590673:1 gene:gene14740 transcript:rna14740 gene_biotype:protein_coding transcript_biotype:protein_coding MLKELSRLQPRDQLRGGRFGDGSGRGGVSRFSVCGRSGRFSSDRFSNSGEVVEILRWEEMIKIHWIWRIQVQMSLMCPQSINIICFRCSIFFKKRGTIMVLIFKCFFFMYEKANLCMTDVRFDFLGMNLYI >RHN67591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28438813:28440873:1 gene:gene15794 transcript:rna15794 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTGASQILNPTQAYEEEKYYHVVEEHYEQPQQLKEPHNEEEPEHHGAPKAPY >RHN72462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7876743:7880922:1 gene:gene8175 transcript:rna8175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MSSTKNKNSSNQHVVEQDMVLFETLRKVKRLRLFEPSLVVVGFFLVLVFTTCCFFYLDFREVDGKFGIISGQFKRFTWSKEQEHSRVEFLDEKGDACDLFEGNWVWDERYPLYQSKDCKFLDLGFRCSENGRPDLFYTKWRWQPNDCNLPRFNATMMLEKLRNKRIVFAGDSIGRNQWESLLCMLSSEVPNKESIYEVNGSPITKHKGFLVFKFKDFNCTIEYYRAPFLVLQSRPPKGSAKKIRTTIKLDQMDWNSWKWRDADVLVLNTGHWWNNEKTIKWGCYFQEGKEVKLEMKVEDAYKRSIHTTLNWIQDTINPNKTQVFFRTYAPVHFRGGDWKKDGNCHLETLPELGSSMVPKDNWSQFKIANSAILKHTNTSQVLKLKVLNVTRMTGQRKDGHSSKYYLGPNENPHRQDCSHWCLPGVPDTWNELLYALFLKYETSHNWNMQHTTH >RHN42461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38546861:38548962:1 gene:gene48905 transcript:rna48905 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTNFFSHKKSKATRRMSSRQRPLHACGVSIIAIGDIAIGKTQNINGPLGSTLRNMSKLAKFVTPLIYAIQYQWLTILAFIDDRILAAENITEKLFPPSRYAFDKIDEIVLMILSSPDKIDGALNKYVPAIIHHVPLLEWTLKIVMSKLNCLDSNWGNENSSLNEKTIGVDRNCCNESELESGASEEYLNLPMDPSSVESFPPIPEAEPKGVVKTVSCSHNLKGSYKEALLESSEKKMDNDECQEKEIKSDECQEKAIKSDECQEKEIKSDEKSIEKECEIVEKVEKSETVPYDPLMELFESAWLMNPGSFWDKAEKERD >RHN66968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22279152:22279830:-1 gene:gene15095 transcript:rna15095 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVKSNFEDVDLGDSQETTQSNFEDVDLEDSHETTQTNNNQVSLNDDASKSEPSSSTTSPIASQIPSMPSKDFDLNLHMESLYRQFQEDSKGHGYGNENQNARTTKEFAAWVEAEAASWHALTSSKVNTTMFIHKCTS >RHN63257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49726370:49727006:-1 gene:gene25936 transcript:rna25936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative meiosis arrest female protein MFPLFLKNLSFLPSCLSRKVFTRIQVGQFSSSSSFPEVSVWWHLDSCVVPSGISYSKVAPSTTVALRANGIMGNTYGDFNSSEVDKEALNSTNFSLHGSITDSSSVKHKLNTVGKNNRYKHFLMDLNDWVSANPPPVHLFLIFASEEFSSSGILHRLRMCNYNILLACPGMPHVALCHAPTIMWD >RHN51057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13455434:13456614:1 gene:gene35427 transcript:rna35427 gene_biotype:protein_coding transcript_biotype:protein_coding MRFATKYKGSSILNLHATQVHSMENSTLDKELSLFQKHVTDRFNELSLVSNDNLLSLSWVSKLLDTFLCCQEEFKMILHNHRSMVCKPPLDRLVNDFYERSVKALEVCNAIRDGVEQIRQWEKSLEIVLCALDHKRIIGEGQFRRAKKALVDLEIGMIDASSKDSNNVSSFGNRNRSFGRNNVNGDKGNWSAAKQLQAIGTHLCFPKSNELVATNGLASTIYTMSSILLFTMWSLVAAFPCQDRELNLNFSVPRQLQWAAPVISLHVRMLGKSKKREMKSCCGLLREIQEIEKCARGMNELADSVEFPLSEEKEEELRVKVADVVIVCESLKDGLDPFVIQVREVFHRIVRGRMEGLDCLCLRVIKTLDLDAIILME >RHN67335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26147371:26149476:-1 gene:gene15515 transcript:rna15515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDLQTIYTLTLFSIFVLSIIVTLKLRKKITKIDSIANIPPGPWKLPIIGNIHNLIGSPPHRKLRELSTKYGALMHLQLGEVLFTIVSSAEYAKEIMKTHDVIFASRPLTLTSEIMFYGSTDIAFSPYGDYWRQLRKICTVELLSIKRVQSLWPIREQEIKNLVSRIASDEGRVVNLSQQVMSMMFSITSRAAFGKKYKEQDEFISAVRYMLQIAGGFYIGDLFPSAKWLQNFTGRRPKLEKLHQKVDRILEMIINDHKEKNSGDKEGLVGGEDLTDILLKFEDGSDNNLDFCLTKNNIKAIIFDIFTAGSDTAATTINWAMAEMMKDQRVLKKAQAEVRVLLYKRGKFDETLISELKYLKVIIKEVLRMHPPGPLLVPRVCGQACEIDGYHIPIKSRVIINAWAIGRDPKYWTDPDKFYPERFIDSSLDFKGTNFEYIPFGAGRRICPGINYGMANVELTLAFLLSHFDWKLPGGMKCEDLDMTELFGASVIRKDDMYLIPTNYFTEIVLKNERFLWN >RHN81688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48121936:48128375:-1 gene:gene5763 transcript:rna5763 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLLLLLLLLLLLFYFFFFFVFSLSLLLLLLLTVGRDGRGVWFSLLCSALMDGERLLWMLKKYASAAKLERKVVMSRDMVLFITWTCTVISLSDSSIY >RHN55112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15231261:15232564:-1 gene:gene30241 transcript:rna30241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MPSNTERIRHLSFYQGNSFGEVDSIRLHSIKSLKTCTVARFDGDKLLPHLLKFHSLRALDLKYIKEVPSSIGNLKYLRYLNFSSGDFEILPESICQLWNLQILKLDHCYSLQYLPNSLTQLKSLQHISLIGCYISSLPRQIGKLTSLRTLSMYIVGNKRGFLLAELGQLNLKGELHIKHLERVKSVTDAKEANMFSKHLSLLWLSWERTAESQLQENVEQILEVLQPHIHHLQELRVEGYTGVHFPQWMSSPSLKNLHCVYIKDCQSCLHLPQLGKLPYLKELFISNVSRIIYLDEESYDGGAEGGFTELEHLSLEKLPNLIRISREDRENLFPHLSALVVIECPNLLGLPCLPSLNYICIQGKCNQDLLSSIHKHGGLESLCFYDNKELTCFPDGFTTCDFPGIVNIE >RHN76034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47153069:47156658:-1 gene:gene12306 transcript:rna12306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MMLDWKKHGLFVCVLYMLLPLLCFCATFVPSDNYLIDCGSTTTTSVGNRNFSSDSFHKKLLSTQQEILASTSSKSVSDVGDESPLYQTARIFTGSSKYTFPINQKGRHWIRFYFFPFIYDRYNLNAAKFSVATQTFVLLSGFTAQKSPVMKEYSINVNTDTLVITFKPSDDSVAFVNAIEVVSVPDELIADEAPTLSPVSTFSGLGFQALETVWRVNMGGPVVTSGEDPLYRTWISDQKYLLESSLANDVSNLVGVDFADGGPTENIAPRSVYGTVAEMNTNTSGGDANLNFNVTWQFDTEPGFQYLVRTHFCDIVSKGLNTLYFNVYIDSLTVVKDLDLASKSSNVLSVPYYQDSVTPLADGNKLRVSIGPSPLSKDSPNAILNGLEILKMNNSIGSLSADAASGAGGTTSGSSSSKVGVIAGVSVGVVSVLVLAGVCCVLCRKKKRLARQRQSKTWIPLSVNDATSHTMGSKYSNGTTISAASNFEYRVPFAEVQEGTNNFDESWVIGVGGFGKVYKGELRDGRKVAVKRGNPRSQQGIAEFRTEIEMLSQFRHRHLVSLIGYCDENNEMILIYEYMEKGTLKGHLYGLGLPSLSWKERLDICIGSARGLHYLHTGYAKAVIHRDVKSANILLDENLMAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPSLPREMVNLAEWAMKYQKKGQLEQIIDTALQGKIKADSLRKFAETAEKCLADYGVDRPSMGDVLWNLEYALQLQEAVVQGDPEENSTNMIGELSPQVNNFNQDANVSSSASAVPFEASTVDDLSGVSMSRVFSQLVKSEGR >RHN45639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24014386:24015914:1 gene:gene40020 transcript:rna40020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MMPRKFVEKYGEGLSKAIYLKTPNGAKWKLNLVKSDGKIWFEKGWKEFAKHHSLAHGHLLLFKYKRNSHFLVHIFEKSAFEINYPFQRVAAKTNRVSNGQGNKPPNGESRRASQKRKDNSFELHQPCDIGSSSCFRVEKLQKVASLHHTDRESKGKEVITGKRVTALERAQSFKTSNPSFVVVMRASYVKHHFLLNIPRSFGNRHFDLDKKRGDIYFQVLNKGVWPAKYSIKKTRNGLHFELMTTGWKAFAKDNKLKVDDVCKFELISCTILTFIVHIFRETDNDNTNCSTFQSRIN >RHN40597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18022504:18029758:1 gene:gene46763 transcript:rna46763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLLMVVVMDQDCSLKFLLVMIILFLGFIAWLENKVANSNEANKQRDEEVEVSDEILLFEQEDEENKLNEYCVCSFCGKFRNIIKRCSRCKNAIYCSKACHIMHWRFWHKDECVEIVSAEEHEESSFHGTQCFLLEHGNENSKYSFNDDDDEHKPYEGDVYYIESIKETAIGLQHGGCAVCGNPCSQKCSRCKAIKYCSQACQHFDWKLGHKLQCCVKKTSSTQVTTSNQEWPTDENVIMPPNPDEVVDNDHSYDPLCLEFYSEENTNNKALILISQEANNKVQEVEEKMRNLKDELEMIRSENMTLQSKLNYWEIRAKYSSDRLYSFKKENEHQLIILKHENELISNAEKQARQMVTNLSQRLHCLQIAMETGVAERKKQEEFIHMLQNECAKTKREFHEQNKYVERLRQKLDNVPQFPIRMAEETKQKLTIPSKAILADESKAAVVEVYKTISLSRNPTLTSQSCTICLSNEKDLAFGCGHMTCRECGSKIRKCHICRKKITDRIRLFPG >RHN47299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38600629:38606754:1 gene:gene41878 transcript:rna41878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase Clp MEVSLVASTCLPLTFNSNKKTLLNHNNNIFHSQIPFSTKQRTTIVNSSIRPTLSSNWLVSHDLSAKTASPWLPKFEELDTTNMLLRQRIVFLGSQVDDMTADFVISQLLFLDADDPTKDIKLFINSPGGSVTAGMGVYDAMKLCKADVSTVCLGLAASMGAFLLAAGTKGKRYCMPNSRVMIHQPLGSAGGKATEMSIRARELMYHKIKINKILSRITGKPEEQIELDTDRDNFMNPWEAKEYGLIDDVIDDGKPGLVAPITDAAPPPKTMLKNIWEIEGNSKGKKKLPSEETSTAV >RHN79153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24643629:24646146:1 gene:gene2879 transcript:rna2879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator A20-like family MDSHDETGCQTPELPILCVNNCGFFGRAATMNMCSKCYKDTQLMQEQEKLAAASVENLVSGGSMKQVVTDGAVNVQIENVEVKTVSAEISGDSSSSENLETKVKTGPSRCATCRKRVGLTGFTCKCGNLFCAMHRYSDKHDCPFDYQSVGRDAIAKSNPVIKADKLDKF >RHN82139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51498248:51498503:1 gene:gene6265 transcript:rna6265 gene_biotype:protein_coding transcript_biotype:protein_coding MISKDFGVRSTSVVQIRWNAPLISNITTPSSKKTEYMYFWMGLMTA >RHN81758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48700429:48703443:-1 gene:gene5843 transcript:rna5843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein Hsp90 family MAEFEMCDTETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIRLVPDKANKTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTVTRDVNGEQLGRGTKITLFLKEDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDDEPKKEEEGDVEDVDEGKEKDSKKKKKIKEVSHEWQQINKQKPIWLRKPEEITKDEYASFYKSITNDWEEHLAVKHFSVEGQLEFKAIIFVPKRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEYLGFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIEMFNEIAENKEDYNKFYDAFSKNLKLGIHEDSQNRAKLADLLRYHSTKSGDEMTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLEKLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDEESEEEKKKREEKKKSFEDLCRTMKEVLETKLKSANMERIMKAQALRDSSMSGYMSSKKTMEINPDNGIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLDDPNMFAGRIHRMLKLGLSIDEEETGGDEDMPPMEEEVGAEESKMEEVD >RHN46311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30626393:30627479:1 gene:gene40791 transcript:rna40791 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:chitIII-3 MKMALKSTISFTFFSLVILALANDSNAGKISIYWGQNGNEGTLAEACATGNYEYVIIAFLPTFGDGQTPMINLAGHCDPYSNECTGLSSDIKSCQAKGIKVLLSLGGGAGSYSIASTQDAKSVATYLWNNFLGGQSSSRPLGPAVLDGIDFDIEGGSNQHWGDLAKYLKGYNGKKVYITAAPQCPFPDAWIGNALTTGLFDYVWVQFYNNPPCQYNPGEISNLEDAWKQWTSGIPANKIFLGLPASPEAAGSGFIPATDLTSTVLPAIKGSAKYGGVMLWSRYDDVQSGYSSSIKSHV >RHN82528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54481981:54490241:-1 gene:gene6699 transcript:rna6699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MATSSSSASLFGFREEDPNPMNQQQHSLPPSSSTATPAAAPAPKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEPKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQEGPRQPPISLTGAIGSHLYGSSSNNNTMGLSIAQVAAQMPNMQQQDHHSSNSATEILRLGAAAANRSGQFDHHMLPQATFRPNSFFNNNIHEPNQNFVPHDPSQQQGLMMQNSHNSNNSNLFNMPNFLSSNSTNSSNNSFSEHLNVNNEGTNFFNGSGGTSSAPSLFSNVFSQGGNSNINAAAGTAISSMSATALLQKAAQMGATSSNGNGTTATSLLKSFGNNTGASNSTSSGGGDHSRVQMGGGSGNYGFGGGNHEQSNLQDLMNSFAATGNTSIFDQSGGGGGGGVGLGRGGGDSSQLTRDFLGVGHEIVRSMSGVVGGQRDQHAAAFNLAASLEGDQRNNINAAQSFGGGRGGAGGGGGAGANFH >RHN47500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40180154:40181115:-1 gene:gene42111 transcript:rna42111 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BCP1B MASSRVVLILSISMVLLSSVAIAATDYIVGDDKGWTVDFDYTQWAQDKVFRVGDNLVFNYDPSRHNVFKVNGTLFQSCTFPPKNEALSTGKDIIQLKTEGRKWYVCGVADHCSARQMKLVITVLAEGAPAPSPPPSSDAHSVVSSLFGVVMAIMVAIAVIFA >RHN81319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44830556:44832220:-1 gene:gene5337 transcript:rna5337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MELFSLISESLRLAIVSFLPSEEAARRTSILNNWLKIWQSEDHIDFNENFFVDSSSDKIKQAQRKVFLDFITNWIAQFTSHDINKFSLTISNPQTCGETIEGCVAFATQQGVKDLTFDFSDPKWEDNNLDCKHALFQLPTQVYQLGSSLESLKLYSCGFDMQNLHNFVALKDLSLGWIEVEIKTLKKLLSTCRTIDNLSLEKCLNLANFDLGDEPLGLTRLVVNKCDAEYFIFNAPNLKYFKYSGAVFTSDINVRAIEEVYIDFSLESEFHERGNELCQILHDFSAAKILTVCSYLLQIVPSGDESERMQSALNVKHLILKTQMHPYELCGIEFLLNSCPLLEKLTLDISPQVIFEDYGLPYYRDLARFWQTRRVFPQCLQNTLKVVEVIGLRATNEELMTCCFLMQGKVLEQINIKLWNEDGKVEYRHGRAQLLVNAPKRSKNLRISID >RHN79263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26826683:26832331:1 gene:gene3030 transcript:rna3030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MNSAFKTTLTHSNPNRLSFTFRFFHSTPPLERKRRNFWDSRCNHYSRRFRKMQSKQSLLRDINAYAEFMFQDWKEDIGKDDPSSSRDTSWFKKHYSPKNSRRRNNGNQGRYYKQYHEFCEDDIDVEDIFRSTFGGSRVFYWSFINEENPHWGRSGNFSNYGKSWKWKHQSNNRYDSSTESESESESECLRSNSVSDRLALGLSASGPLKLEDVKIAYRACALKWHPDRHQGSSKGIAEEKFKHCSAAYQSLCDKLAVN >RHN53640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3013391:3013843:1 gene:gene28567 transcript:rna28567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MKKKINEIFFPFYLYQYTKELIFLGSILPLYLYLPNGHVYIGNFKGKFFHGKGKYTWSSGTIYEGDWVNEKRTGKGPVINPSRASFEGEFFRNSRHGHGTLTKSNGDVFIGNFENDLFHGKGKYTWSSGTIYEGDWVDGRRTGKGRIIYP >RHN74774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36605058:36613340:1 gene:gene10887 transcript:rna10887 gene_biotype:protein_coding transcript_biotype:protein_coding MDQITTVPEQRGAGGKLRKPLPRKPPSTPYARPSSNRRWISKLVNPAYRIIADGATRFLPSFFSTPDSVENQDKQGTGEQHKKDFLLKTNLHLPPSELSKMASTGGESSKPNSSFDFVLPRRVEKGEQHEKNRLSDIEQLVKGTKFTRDEFNHIVEILNSRAIDVLNVEQGNGHTNLTSRQDDGGVLAARKLPKVFNERKHEESNAAIQGSSTPCMSKGWDEIGASPVEIARAYMGSQASEASPNCKNMVQTVESTILLNDKAGTKPYDPSPSKKSTTCWPGVVVQDAYATPQSQGSKYGFLNHPRTPYSRTLLTKSKSKLIQTQGNYSHISSTPLRQSQTSLYLKEKSEVGASESGYGSVGPIRRTRHKFGVQSTSWRPEYSSMNSSQRGNSGFIECSTPTVATSMDLGGMSSTRKPVGFERSVPTVHMHTSLMAKKILEHIDRNIPTPKEKSAELKLATNWKNAESSENTSTSNVDNGFVKLKDVGPFKYDEFGGMISTLRNEDEGNCNADIQPRESTDKSKDITKEGTLASDLNVHRSIPRLTNDAKATQNFGSSQMFSLKSTDKDDLMSLPSVNQYPSLVNQEKKTVANNAANKPVLAPITIKKPESKWTLASDNSSGFTFPVTATSSVFSEPPTPSIMPLLFSTGNQHQSEETTSTQLSYSFGVKKLNPAVVFSFPSTSNTVDNDAGVIKYNFGSTDNPRLSFSFEKTAVDC >RHN80899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41681358:41698512:-1 gene:gene4880 transcript:rna4880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MERIHVTVRARPLSPEDAKTTVWRISGNSIFIPNHSSKFEFDQVFNENCKTSEVYEAQTKDIVATAVRGFNGTVFAYGQTNSGKTHTMRGSKAEPGVIPRAVHDLFQILEQDVDREFLLRMSYMEIYNEEINDLLAPEHRKLQIHENIERGIYVAGLREEIVTSPEQVLDLMEFGESHRHIGETNMNLYSSRSHTIFRMIIESRDRNEDESTDSSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGVESQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQIHTDETKSSLQFASRALRVTNCAKVNEIMTDAALLKRQKKEIEDLRAKLTGFRSEHLETEILNLRNTLLQTELERERIALELEEEKKTQAEWEKRVHEQAKRIENLSSMVLFSNRDENNKYIKKEKRRDTWCPGNLSQTHLKDVYSSIDTDASPAEPIRPKLEMGPLLPFNELINEDDNVDDSCKKDDNNGDANDNFNLPNPCALLHVTNRRKDPSWKKCLSMEDNKDLQLQAECENFRESEAILVIKKLQEQINILEMEKSSSQQNLDTVFDLATEQNISAREKFDELNEELRKAREAARVASEQLISSKTVGNVDDGNVDFITRVSMGIEDIMSEVQNSKEAVQSAILMVDDATKSFSTLRNIFLDFRTSISQDSVEQKLIFSNHQKLNSCLRQTISDLENEKILLDSQLANIQKLHQESELDAQSSHNSLLERLGQQELENGELISYIQTLEQDISCLTSSSVAKEKETLRRDLEKTKTRLKETEFKLKNAMQEKTKLEGEKACAEREIKRLHGQTSLLERDINKRDSLASRRRDSTVEKSSKMFDPQKPKGPAFSLEEYKKLEVFAFESETRITSLEEEITAALKEKEEMISINEALNSELEDLTEKLSTSTSEIYDLKEEISALKQRLEESDINQEKFKSSIKVLAEEKEELAMQLTDALLEIEEERAIWSAKEKDALLAIEEQARSNNEQHTSLSTELAEVRRELKSCREECKIFRERLTISYENMLGKEKSRENVLDFDHMETNNDTNRQSQEISKPKFELQSIEHKLNGCPENEDGIQREVQALNKGDNLSILRELDTMSEFFIEFQNLKSKLSIVAEERDKLTTRMEDQQKHLVEVEFLLKHCQDELSGAKNHIEELSHKISCIEVKIHADKVTNMNETAKLRMRLRGTQAKLDAFRCRYKGAIDESVSSKIKYREASEKLKDMLASQGLEVLNLKKQLAAKEQ >RHN58784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4864374:4871895:-1 gene:gene20729 transcript:rna20729 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:G5 MAFISSVPTMAAVVTPTPKTLAHCSSLIRRNSSTGINKCWAGSRLSVPIKAAAVISTTYDDSSELSRSSFPEGFVFGTGSSNYQYEGAVSEGGRGKGTWDIASHTPGRVKDGKNADIAIDHYHRYKEDVAIMKYMNTDAYRFSISWPRILPNGKLSGGINQEGIRFYNNLIDELLANGQIPYVTLFHWDLPNILQEEYEGFCSPYIINDFKDFVEICFQEFGDRVKHWVTFNEPFSYCLSTSHRYKATHNQLLSHAAVVELYKTKYQDSQNGVIGIGLNSHWFKPYSTDPLDQQATERALDFMFGWFIQPLTTGEYPANMVSFVKDLPKFTEEQSKSLIGSYDFIGINYYTTMYAANATEALILKTKSKSGGAAGVNSVFKSFNVVLTDENHDGTPVGPRAATWLYVCPKGIQDLLLYTKEKYNNPTIIITENGMNEDNDSTLSLEEALMDTNRIDYYYRHLYYVSSAIRRGVNVQGYFAWSLLDNFEWSDGYTVRFGINFVDYENDLKRHPKLSARWFRKFLEKPQN >RHN59018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6692158:6694870:1 gene:gene20999 transcript:rna20999 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFSRDRHFLENLVLYWHLLLDLVQVLLIVMPTHWIITCTHEP >RHN75408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42068826:42071588:1 gene:gene11606 transcript:rna11606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase transcription factor C2H2 family MRKPEPKAEELKVSEPKKADAKNAAPAKNAATAKHVKVVDPKDEDSDDDDESDDEIGSSDDEMENADSDSEDEDDSDEDDEEETPVKKVDQGKKRPNESASKTPISSKKSKNATPEKTDGKKAGHTATPHPKKGGKTPNSDAKTPKSGGGLSCSSCSKTFNSETGLTQHSKAKHGAK >RHN49638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:56157763:56166951:-1 gene:gene44488 transcript:rna44488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CASTOR MSLNPEISGGRDWLFPSPSASSSKHGRRRFFSNSNCPPPVIRRRRYVRNPTPQIPAAENVKKKKKEINLICLPQFRFQFVLVTLTIAFLLLLLLLQNTHLQTQVNKLQTEVFGLNLRLHSCNHTFNVTPSRPNYSSRNLSLIFSFTLLLIPLIIFNYIHYVSKSADNNTTEQQVSLNKQIAYRLDVFLSVYPYAKPFVLLFSTLLLIFIGGFALFGVTSDDLLHCLWLSWTYVADSGNHATSQGVGPRLVALSISFGGMLVFAMMLGLVSDGISDKFDSLRKGKSEVVEKNHTLILGWSDKLGSLLNQLSIANESLGGGTVVVMAERDKEEMELDIARMEFEFKGTSVICRSGSPLILADLRKVSVSKARAIIVLAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLDDMQFEDVLISFPAAIPCGIKVASYGGKIILNPDDSYVMQEGDEVLVIAEDDDTYAPTSLPNKVWRGSLPKDFVFPRSAERILFCGWRRDMEDMIMVLDASLAHNSELWMFNDVPEKEREKKLTDGGLDINRLENIILVNREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQARRLPYPAMASQAHGGSFSKGSWIGEMKQASDKTVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINSVLEELFAEQGNEMHIRQADLYLHESEKLSFYEIMLRARQRREILIGYRLANAERAVINPPAKSDKWKWSLKDVFVVITEKE >RHN79733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31676008:31682634:1 gene:gene3565 transcript:rna3565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP-dependent synthetase/ligase, AMP-binding enzyme domain-containing protein MSKNCFNLKTMTYSSPRQPINLPTDPNLSLTSFLFQSTSSVADTIALADAETGNSLTFRQLKIHVSALSHSLLHLGIRRGDVVLLLAPNSIRFPVCFLAIVAIGAIATTSSPLYTLSELSRQIHDSKPKLVITVSELFKKIEALTLGLPLILLDDPSKKFGARVSSYEDLIRESYNSSDDIPVNRDVSQSDVAAILYSSGTTGRSKGVMLTHQNLIATAVAGAVDQDQNGEGKNVFVCFVPMHHVMGLVGITYTQLRRGNTVVSFGGRFDLEKTFAAVEKFKVTHLYVAPPVMVELIKRREVVIGYELSSLKYLVGGAAPLGKDLMQECTKILPHVHVIQGYGMTEACGLVSIENPKEGSLISVSGSTGTLIPSVESRIINLATLKPLPPNQLGEIWLRGPTIMQGYFNNPEATKLAINDQGWMITGDLGYFDEKGQLFVVDRIKELIKCSGYQVAPAELEDLLVSHPEISDAGVIPSPDAKVGEVPVAFVVRLPNSSITKEDIQKFVAKQVAPYKRLRRVTFLEKIPKLATGKILRKDLVSFDRQNTSKL >RHN44449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4861261:4866944:1 gene:gene38570 transcript:rna38570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MGNLCSLLKPTQQQKSLSSSSKKQQQRYSAASTSRREKKKLDDAVIREQAIAAALLYKQHQQNQQFDRSSSLRYPNGASKRSNNGSNVLPRSSSSRARSLTDPLLQPHQLLHQGVKVDDLETNHFVLVHGGGFGAWCWYKTIALLEESGFKVAAIDLTGSGVHSFDTNNITSLSQYVKPLTNFLENLPEGQKVILVGHDFGGACISYAMELFPLKISKAVFIAAAMPTNGQSTLDIISQQAGSNDLMPQAQKFLYANGNDHPPTAFDLDKSLLRELLFNLSPTKDVALASVSMRSVPFAPVLEKLSLSDAKYRTVRRFYIKTLEDNAIPTALQENMINASPPEKVFHLKGADHSPFFSKPQALHKLLVEISTIL >RHN46703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34197707:34198512:-1 gene:gene41223 transcript:rna41223 gene_biotype:protein_coding transcript_biotype:protein_coding MACPRLQLEIVSLKSKLEQAINVSMNFANRFAKPSSFKKPSKRRVKRNNRKCKTHEHKIRCNYRREIGHTTPNCHVMKNLVPRGIMKWVPKVSTCVTNPKDPTCVGDLNLT >RHN40568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17649825:17650187:-1 gene:gene46731 transcript:rna46731 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIQGVDQQGIDFPDMRIVGPWSDAVTDLDYNQDPTWYGLGSSNVMVSKEVLNPNIAHDLEILRPYLKGNNACTSVPRVYSDEEERAAAINYLKNRSVAEEEPFIEVSKSKKKKVQKHF >RHN79467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29231681:29232373:-1 gene:gene3261 transcript:rna3261 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLNSKTASMIDELLKIFRLCFREIPSDVRGIVYFLCSFIFAIIFVNCSAFIFFFILSPILPHYHPTIKLNSINVTSLDTHAVNLTGTFDITFDFNTSKCNDRSIVSYHDIEVKVWWNGNKDITLATTRLSPFSQRTNSVTKVGAILKVVDGFNNDGDVVKGIASELACGSVNFGVSLFGLVRFEDDSSESLKYVCNQVVIVFPHGSNNGIWKTLGFPCPKLYRKLVI >RHN70402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51204310:51208355:1 gene:gene18981 transcript:rna18981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MKSMNDSSNSDDRNNNHNNNWLGFSLSPHISTSSSPHHHYQHTQTSSVSNTVPTSFYFSPSHFTNSTICYGVPENGNNFHSPNLTVMPIKSDGSLCIMEALGRSQSQVMVPSSSPKLEDFLGGATMGSDEYGSHESEAMALSLDSIYYNNQQNADPHQANRDHSLDLLSESFRQQTSHPYYAALGFHGLFQAPLEVESKENINHVDVSTSQMPQNWYPASQALEQQMGNHNGGVGVGGSSTVVGSVESGELQSLSLSMSPGSQSSCVTVPRQISPTGTESVTMEAKKRGAAKLGQKQPIHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDQAALKYWGPSTHINFPLENYHTQLEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDVAAIKFRGANAVTNFDISKYDVERIMASNTLLSGQHARRIKDKDPQTEVHEYNHSTNVSSQTNGEAAEAQKDNENNDSKWKMVLQQQQSNSCDQKIIVNSDGSYKNSDYSMSLQDLVGINSVGLDDSTKIGTHFSNPSSLVTSLSSSREASPDKTAPSLLFPKPSMESKIATNVAVSSWFPTQMRPASSINFSHFPVFAAWNET >RHN63752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53765602:53768179:-1 gene:gene26495 transcript:rna26495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoaspartyl peptidase/L-asparaginase MGWAIALHGGAGDIPYSLPLERRQPREEALRHCLQIGVKALKSNMAPLDVVELVVRELENIPHFNAGRGSVLTNKGTVEMEASIMDGNTMKCGAVSGLTTVVNAVSLARLVMDNTPHIYLAFDGAEDFARQQGVETLHTSHFITPENIERLNQAKEANRVQIDYTQPIQNDTTKGEPEIPFANGDSQLGTVGCVAVDGNGNLASATSTGGLVNKMVGRIGDTPLIGAGTYANELCAVSATGKGEAVIRGTVARDVAAMMEFKGLSLKEAADCVVHERTPKGTVGLVAVSAAGEVAMPYNTTGMFRACAAEDGYSEVAIWPDTKIE >RHN51107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14077419:14078138:-1 gene:gene35484 transcript:rna35484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MCNNHTLVQCNEKDRETLLTFKQGVNDTLGRISTWSTEKSCCAWEGVHCDNITGRVTKLNLNSYFHDDHQPIKVLKGKMNLCILELKFLSYLDLSHNEFDVIKISSIQHNITNSSKLVYLDLSAYNFDNILHIDNLHWFSPFSSLKYLRFNGIDLHKETNWLQVVNTLPSQLELRLRGCNLNNFPSLEYLNLSSLVTLDLSENNFTSHIPNEFFNLTKNLTTVDLSHSNIYMVKYLQPC >RHN61398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35402626:35402907:1 gene:gene23862 transcript:rna23862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-induced protein 6B MGFRFAGIIRKASFSANRSASKAVDVPKGYLAVYVGEKQKRYVIPISYLNQPSFQDLLSQFEEEFGYDHPMGGLTIPCTEDVFQHMTSRLNGL >RHN57963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42790214:42794049:1 gene:gene33558 transcript:rna33558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative insulysin MPIYVSDGSAYHFLLLEWCFLSISCDFGVMPIYVSDGSAYRLLLLDWCFLSISCDFGVMPIYVCDGSAYRLLLLDPMEDTVIKSKHDIRDYRVIKLENGLEALVVHDPRITTKDDNKNEGRQVKMCCAAMTIGVGSLHAPKRVQGLPHLLEHMLVEGSQKFSEKKDYLSYISEHGGSTDEFTNTEHCNFSFQVNGKFLKGALRRFAHIFIEPLLSKEILEAEVNAVESEFNERKEEWKLVHDGLLCHTSREGHPYNNVFLCGNRGSLMGEKDDCDDLHKEVLKFHRKEYHAEKMKLVIISGETLDGLQGWIEKLFDSIKKCPAKKVESRKRKRILSKRPVWKSGEQYHIVLETLNTNILVVSWILLSLRNVYEHKPDRYISYFLNQGSLISLLKDKGLAKSLTAEIGDGICHTANIFSIRIGLTNSGILEINKIIGLIYEYLTLLRDSPPEWMFKEIQSVGELAFNFGEENDQREYAVKLSENLLQYPPKHVIYADHLYEKWNEPLIKQVLGYFLPENMRIYVYTGGSEMEGVLFFLLCIIENSIDFVPFACCKIILASIFAH >RHN69655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45271626:45276688:-1 gene:gene18151 transcript:rna18151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative importin-beta domain, importin subunit beta-1 MEVTQALLNAQSIDGTIRKHAEENLQQFQEQNLPGFLVSLSGELASEDKPVDSRKLAGLILKNALDAKDENRKWELVQRWLSLDTAAKAQVKACLLQTLSSLVLDARSTATQVVAKIAGIELPQKQWPELIGSLLSNIHQVPAHVKQATLETLGYLCEEVSHEVVDKDQVDKILTAVVQGMNSSESNDVRLAATGALYNALGFAHANFSNKEECECIMTVVCETTMSPEVKIRQAAFECLVSIAAMYYVKLEPHIQVIYNLTSNAIRSDQEPVALQAIEFWSTICDEETDILENHVGDTSGDSDIHCFYFIKQALPALIPLLLETLLKQEEDQDLDEDTWNIAMAGSTCLGLVALTSRDDIVPLVIPFIEENITKPDWRQREAATNAFGSILEGPSPDKLAPLINHALPFMLNALVKDPSNHVRGTTAWTLGRMFEFLHSSIVGTTIINEENAQQIITILLQSMKDVPNVAEKACGALYFLAQGYEDVGLTSPITPFFKDIVQALLTVTLREDATESRLRASAYETLNEVVRCSTDETAPLVLQLVSVIMMELHKCLEVQNLSSDERDKHSELIGLLCGCLTVIIQKLGSSEPTKYVFLQYADQIMGLFIWVFACRNATAHEEAMLAIGALAYAIGPDFAKYLPEFYKFLEIDLQNFEEYQVCAVTVGVVGDICRALEDKILPCCDGIMTQLLKNLSSDNLHRSVKPPLFSCIGDIALAIGDNFDKYLMYSMNTLQIAAEIAAHTSGFDLEMIDYINSLRNGILEAYSGIFQGFKNSSKTQLLIPYAPHILQFLDSIYMEKDMDDVVMKTAIGVLGDLTDTLGSTVGSLIQQSLSSKEFLNECLTSDDCLIKESAEWAKLAINRVISV >RHN60447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26869120:26873271:-1 gene:gene22759 transcript:rna22759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartate--tRNA ligase MWSLFLKALSLISIRRSPSLRKTKIPLPYYEKLKPKTKKAITFKKPKPLNQSLQWISRTHHCGELSSNDVGKTVRLCGWAELHRSLGGVAFLILRDQTGIIQVKTRLDEFPVAHSANKNLRREYVAAIQGVVRSRSTQSINYEMKTGFIEVAANEVQELNSRNAKLTLIPELNSDDAEGSPNEEIRLRYRCLDLRKQQMNSNILLRHNVVKLIRRYLEDIHGFVEVETPILSRSTPEGAREYLVPSRIQRGTFYALPQSPQIYKQMLMVAGFDKYYQVARCFRDEDLRAVHDRQPEFTQLDMEMAFTPLEDILSLNEELIRKVFLEIKGVELPNPFPRLTYAEAMNRYGSDHPDTRFDLELKDVSDIFSGTSFKIFSDSLECGGVIKVLCVPSGATKDSYILDIYNEAEKYGAKGLTTLWITKNGIGGFSSLVSSMDSATIEELLRRCSAGPSDLILFHAGHHASVNKTLGHLRVYVAHKLGLIDHAKHSILWITDFPMFKWDDSKQRLEAFHHPFTAPNPEDMNNLASARALAYDMVYNGVEAEAKFGYLLEALDMGAPPHGIAFGLDRLVMLLAGANSIRDVIAFPKTTTAQCALTRSPSKVDPQQLRDLSITTQTFNS >RHN60271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24486201:24492437:-1 gene:gene22555 transcript:rna22555 gene_biotype:protein_coding transcript_biotype:protein_coding MEENGHAVNPQQIVEHVWYKRPTLDEGRVSFTWVKLTNDENVTSMFWEHNMFQWIDMRVKLLRSTEDIIKSLIPPEDRH >RHN49205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53282542:53283088:-1 gene:gene44011 transcript:rna44011 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKKDKIFSSNPLKSVSGSQFSPIPKSLLNKMAKINMNQSQTAVPPRSSPHNCSPRTYQQENQVRSIKGVTMFQPTEAMQTQMMEDPKNNKRKCLGNGNGSTSSTAQQIPEHSLADLMNKASQAT >RHN70235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49926087:49927295:1 gene:gene18796 transcript:rna18796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MKREKKEVVIKVVSNGCSNIITLKLNRKLYYIFGVTNEVNIWAEKETNGLIKEILPQGSINNLSRLVFANALYFKGSWNQKIDASTTKDYDFYLLNGSSVKVSFMTSKKKQFIRAFDGFKVLGLPYKQGEDKRRFTMYFFLPNAKDGLPSLVEKVASESDLLQHKLPFDKVEVGDFRIPKFNISFGLETSDMLKELGVVLPFSGEGLTKMVDSNQKLFMSNILHKYFIEVNEEGTEAAAISVLFMQAQCMRRPTRMDFVVDHPFLYLIRDDLAGTIIFVGQVLNPLDG >RHN38639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:939709:943899:1 gene:gene44597 transcript:rna44597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MESGLTITAQKHRKNKSTCEDDLFYKLTESLITHIISFLPTKDAVRTCVLSKQWKHRWTFLTKLSLLDHHDSSPSSNFVSFVTRALLLTRTETISLSLSGQYDLSLLDAWFGIMLLDRTLKNLRIHSHFKLPFSTFASNSLFKITLLLEKLELHPESISRIKVPSKPDIHFGNLKHLKLCKIKFKTDSTISPDHIDLRFPHLTKFEAINCSWFLDSGAVYVHAPLLQSINIKNGSCLLYGEDNSAINFVSSLHLQEFTFAGYCIPQGIIIPFPYYAYATTAIEKGPVYSPNHSIFGLLSQFSNAKQIRFQVFGDLAPPPPPQLCVFSMLTNLEVFFVSVDVLLALLQKSPVLKILTLKGIHEFAEERLNSAVVPKCFASLEDVNLEVDGVQHELFLAKFFMEKDRWTYQFHTPPYTPLMWHGYFGNFTQLSLSHPLLSLRSTFLLLLALPLTHPPPPPATAACLPFAPPLHLMVGRWSSSLFLIDRHHHHLHHRSPP >RHN49225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53404828:53410677:1 gene:gene44034 transcript:rna44034 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLYILLTIMKGPTLCFGNMVEDKILKVGEELWRETLPLRGGSCFYQLQGLKPHMWYEVKISYPASIPASFSLQLKRDKSDVVRNNNRRLLNTEKLIFKTDSNQDEAHLVLVAVEPEGFPAKQNVPERQFIIFNIVCDELLLGIPYKAWWVVALAILCLGIAFVVPSFLPLYLLPKNQVLQPDRVSKTS >RHN74116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28359849:28360982:1 gene:gene10102 transcript:rna10102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation HMG family MLVPFQSFICIVNDKPLMSFVFNIWCCKLTLFLPFLSHSSTFSLPSPFSLSLSLFEVQSPAFHHAESCFSMPNLLITGGSARALVLELSNRRKLTILTSLRGLQVLSSFSCLNLERGSRKRIQATNPLLWLGKLVEKNRMDIKDKAPFIAKAEKLKEEYEKTMRAYNMGITEKNASEEEGSHKSKSEFDVLD >RHN56760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33499411:33502230:-1 gene:gene32202 transcript:rna32202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation efflux protein MEGNSSSRPLLGNQNHNMNSFNSLTTTFLSKLPDKVHSLTLHTESSFDFDPHFSTSTSLSKGEKEYYERQFATLKSFEEVDSIVVSDSIDIEDMEKRAQHELAMKISNYANAVLLALKIYVTIRTGSMAIAASTLDSLLDFMAGGILWFTHMSMKTVNMYKYPIGKLRMQPVGIIIFAAVMATLGFQVLTTAVEQLIQNDPSEKMSYDQLVWLYSIMIFATLVKLALWFYCKNSGNKIVLAYADDHHFDVVTNVVGLIAAVLGDKFYWWIDPIGAILLAIYTISNWSGTVMENAVSLVGQSAPPELLQKLTYLVIMHSQIKRIDTVRAYSFGVLYFVEVDIELPEDLPLKEAHIIGESLQINLEKLPEVERAFVHLDFECEHKPEHSVLSRLPDS >RHN57860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42207535:42213820:-1 gene:gene33449 transcript:rna33449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BAH domain-containing protein MWEDSKTGVKWVKVTKCYFPDDLPGNIGHPCISEVNEVYESNSDRVEMASSIRGPCVVLPYDKFKQENDRRCQFGVEASASVQPIFLCRWFYDEIKKSFQPVIS >RHN54253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8080669:8081388:1 gene:gene29256 transcript:rna29256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MRVTRNTSNKSIIVIEDIDCNKEVLNQSRSEMFSDLGYDETQDLGYAATQGLGYAGIAAPKKNHKDKVDPALLRPGRMDMHIHLSFLKAKAFRILASNYLDIEEHHQPLFEQIEELLEKVDVTPAVVAEQLLRSEDADVALKALLKFLQEIDISGEKN >RHN41714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32270999:32278518:-1 gene:gene48064 transcript:rna48064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UBX domain-containing protein 2/7 MEGMVSPTDQQTLVTSFLEVAQGQTAATARQFLQATSWKLEEALQLFLIGSETGAVPVPAPASFTPPLENADGWIDQSHLSETRTDTANQSGVVNEGDEVRAPLPVIRETLYDNVLLYGGSRLGHLPQEPNSLIAFRNFEQETRRPGVWEPEQGAASTAESSQDTLASLYRPPFHLMFTGSFDKAKSAASMQDKWLMVNIQSTKEFSSHMLNRDTWANEAVSQTIKTNFIFWQVYDDTTEGKKVCTYYRLDSIPVVLIIDPITGQKMRAWGGMVQPDSLLEGLLPFFDAGPKDHHNTLSHKRPRGSSSPPKPKATLDSDANKEEDEEVQRALAASLESVKESSEMAEGDDKEANVAGNVQETALPRPAYPTLPEEPKAERNLLCRVGVRLPDGRRVQRNFLRSEPIQLLWSFIAVQLGEDETKPFKLTHAIPGATKNLDYESNSTFEESGLAYSMISVTWD >RHN62871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46380463:46387097:1 gene:gene25499 transcript:rna25499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MDLSEEVRQAHKREFVDFLDQDIGKSIYMDEIKALINHKRRRLIVNISDLHNFRDLGNRILRNPSEYMQSFCDAVTETVRGSDPKYLKEGEQVLVGFEGPFVSRRVTPRDLLSEFIGSMVCVEGIITKCSLVRPKVVKSVHFCPTTGSFTSRDYRDITSNLGLPTGSVYPTRDESGNLLVTEYGMCKYKDHQTLSMQEVPENSAPGQLPRTVDIIAEDDLVDSCKPGDRVAIVGIYKALPGKSKGSVNGVFRTVLIANNVSLLNKEANAPIYSPEDLKNIKKIAERDDTFDLLGNSLAPSIHGHSWIKKAVILLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPDIDRQISEHVLRMHRFRSAIDGGEAAHDGSARYGREEEADTESSVFVKYNRMLHGKKTDRGRKRDTLTIKFLKKYIHYAKHRIQPDLTDEASEQIAAAYAELRNAKSNAKTGGTLPITARTLETIIRLSTAHAKLKLSRKVSKSDVDAALKILNFAIYHKELTEMDEREEERERELERKRRADGENDGPDRGSKSKRNSTSDAMEVDDTSEAQPAVGLTPERIEAFNSLFGQHMRSNRLDQIPIADIEDVINRGAGSTYSSADVLLLLEKLQEDNRLMIADGIVHMVS >RHN60416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26550667:26554560:1 gene:gene22727 transcript:rna22727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-IX family MVVFQIEVFIFLLFFFMISMSYGETNPNDLKILNDFKKGLKNSELLKWPENGNDPCGSPSWNYVFCSKGRVTQIQAKNLGLKGSLPSNFNQLSELQNLGLQRNNLSGMLPSFSGLSNLQYAFLDYNEFDAIPVDFFNGLDNIQVLSLEENPLNATNGWLFPLDLKNSAQLTNLSLVNCNLVGPLPEFLGTLSSLSNLRLSGNRLSGEIPVSFGQSSIQVLWLNDQQGAGMTGSIDVIASMTYLRQVWLHGNKFSGTIPSNIGNLTALQELNLNGNKLVGLIPYSLANMDLKLLVLNNNMFMGPIPKFKAAKVSYDSNSFCQTKPGLDCASNVNVLLDFLRNLNYPSFLVTQWVGNDPCGEPWFGLSCGNSKVSRINLPGRKLNGTLSPSLAMLDSLLTIRLAGNNISGKVPSNFTELKSLSLLDLSDNNLEPPLPNFRDGVKVIIDGNPLFDNQTRRSPVPVKSPSPVSEPPSPHNVRPYLTPPPSPSPSVVIPPSPRQSSSSNQSRNGESNTQQSNSNKFKTVAIVAGAAVFAFVAALVIYLFICCCAKKKNKKHSLDGSSSIVAQSQDGSDPKVMVKLAVSDSTNGSLSTKTGISSLTNNSGETESYHVFESGNLVISVQVLRRVTNNFASENELGRGGFGTVYKGELEDGTKIAVKRMECGAIGSKGIDEFQAEIAVLSKVRHRHLVSLLGYSIEGNERLLVYEYMPLGALSRHLFHWKSLNLVPLSWSQRLAIALDVARGIEYLHTLARQTFIHRDLKSSNILLGDDTRAKVADFGLVKLAPDGEKSMATRLAGTFGYLAPEYAVMGKITTKVDVFSYGVVLMELLTGLMALDESRPEENRYLAEWFWQIKSNKEKLMAAVDPTLEVNEETFESISIVAELAGHCTAREANHRPDMGHPVKVLSELVEKWRPVDEEFDYAGGIDFGQPLPQMLKIWKEAEGKGTSYTSLENSKGSIPAKPSGFADSFTSADAR >RHN50040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3447958:3453048:-1 gene:gene34288 transcript:rna34288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MARGSISSIFLLYTRKKRTFSTLKPLLFNHTHSSSSNSQFHGHFRHFSTHLIKSRFCPSLCQTLNFYGGRSIPIRPFSTDGEEGCKEADLDTEIEKGAGENDEFELGNEVSDDVYGLSENGVDLDYGNDDCDSEIIDSVECSNSNSSSSGSSSNVDELENKSEVVDFTHVASRDPIELYGELKNVKKGAKLTRDEVEVFQDVFHYFAKSGWASNQALAMYIGLSFYPTAAHKFRNFFMKRCPEDVTKYLISLGPCDEAVKFLFPIFVEFCLENFTDEITRFREMVKSADLTMPHTWFPFARAMKRKIIYHCGPTNSGKTYNALQRFMEAKKGIYCSPLRLLAMEVFDKVNAKGVYCSLLTGQEKKYLPFSNHVACTVEMASTQELYEVAIVDEIQMMADPYRGYAWTRALLGLMADEIHLCGDPSVLDIVRKICQETGDDLYEQHYERFKPLVVEAKTLLGNLENIKSGDCVVAFSRREIFEVKLAIEKHTNHKCCVIYGALPPETRRQQANLFNDQSNEYDVLVASDAVGMGLNLNIRRVIFNNLSKYNGDKILPVPASQVKQIAGRAGRRGCVYPDGLATTLHLEDLDYLIECLKQPFDHVEKVGLFPYYEQVELFAGQLSNMTFSQLLEKFGENCRLDGSYFLCRHDHIKKISNMLERIPGLSLEERFNFCFAPINVRDPKAMYHLLRFATAFGQKVPVNIAMGMPKCSARNDSELLDLESRHQVLSSYMWLSNHFDKEKFPYAEKAQAMAADIAILLAQSLRKADWKPESRGRGKPKAADSEEPQTESRSDTLETEKKNRGYSRPQSLVKVYEQKRQKVLFTL >RHN69941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47475503:47481022:1 gene:gene18474 transcript:rna18474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MKMKSSSDDEHDEEKGKLLEKSKERGSRNGRVVVKVHNQALLSGLAYCLSSCGMILVNKFVLSSYDFNAGISLMVYQNFISVIIVSVLSLLGLVSTEPLTWRLIKVWFPVNVIFVGMLITSMFSLKYINVAMVTVLKNVTNVITAVGEMYLFSKHHEGRVWAALFLMIISAITGGITDLSFNATGYVWQTLNCFLTASYSLTLRRVMDTAKQYTKSGNLNEFTMVLLNNTLSLPLGIFLMLVFNEVDYLLRTPLLRLPSFWLVMTFSGVLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSVAGILLFKVPTSLENSASILFGLLAGVLFARAKIRERSQS >RHN62790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45855244:45857706:1 gene:gene25399 transcript:rna25399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MNSRFTSNTTAKPLVIVIPLEVPEIQATVICSRRHDMQIRIRSGGHDYEGLSYVSEVPFVVIDLINLREVQVDVEKRSAWVQGGATAGELLYKISQKSKTLGFPAGICPTVGVSGLISGGGYGFLMRKYGLSADNILDAHIIDVNGRVLDRESMGEDLFWAIRGGGGASFGVIIAWKVKLVHVPSTVTIFRVSRTLEHNATKLIQKWQLVANKLDEDLNIRVILERVNTSTQTRKLTIKATFESLFLGGVDGLIPLMEEEFPELGLVREDCTEMSWIESVIYHGGFTSDFTSDQPLEVLLNRTHSGVLFFKAKSDYVRDPIPDIGLEGLWPMFYEDEAKSAVLIFTPYGGIMDEISESEIPFPHRAGNIYQIQHLVFWKEEGDKVEKRHINWIRKLYIYMEPFVSKSPRGAYVNYRDLDIGVNNNNGYTSYKKASIWGVKYFKNNFKRLAKVKTKVDPLNFFRSEQSIPSLSRFDNITIRHNTLPCEEGMQLLEFPDALHVII >RHN49428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54742244:54745217:-1 gene:gene44256 transcript:rna44256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative profilin MDWGFVHKTWDKWASTNIGPRLPLKAALLVNFDPTAPSRLLSTLAEQEGIKVNIIELRHFVDFIRNNQLQTESFYIGSHQYLVTTIHENWFSARCINTSKPAGEGAIVMQTAAYILVALYEGSIGPASCAMAAADQLTAQLGRKNL >RHN65679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4313273:4314117:-1 gene:gene13551 transcript:rna13551 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTKVLVTLLCVFFLKIWMPNHHLQTMNPIHNKLLSFILS >RHN62914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46739564:46740439:1 gene:gene25550 transcript:rna25550 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVFIHKMNTVGMIIRYFPFFIFFLSLFLFLSPSLQFSLTVLSSLSSHLPLLCQYTESCKRDEKLNGSLTEDIERISDGIRERRGCRRRWWSLLTTTVVVRTEVGRRWNNRERGHTKSKNEEEGVGGGCC >RHN69246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42070615:42071105:1 gene:gene17688 transcript:rna17688 gene_biotype:protein_coding transcript_biotype:protein_coding MYPNAKQNRPGVLLSNVDVFSYLQMEFCLFISLLYSYILFFLTEVKCKINYLCDENINI >RHN40907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24173320:24173655:1 gene:gene47152 transcript:rna47152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDTLSLAIPKLRKVNLSRHTYLNDQLLFQLFNNWKLLEEVIIFDCTGITDVGIASALRVRPTLRSLSFHKFFKSDNISTLFVLIKSCTSLSDIKMESPTDVTMKTAWSETL >RHN48800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50378692:50379140:1 gene:gene43553 transcript:rna43553 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYATRETSSFDLAAWCASLCFLLLYRANIFYLLPVSTLFIIGA >RHN74017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23527502:23528914:-1 gene:gene9942 transcript:rna9942 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIFKVLFIISNSFSLHEFSNSRGRTLGGIRQKVHAKQV >RHN57308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38027848:38028854:1 gene:gene32832 transcript:rna32832 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPLTTNNRRHFAPPPSQFAPTPPPPLSLLPSQHHHHHPSPHIHSNLRSHKNHCHPLVFFSDPASLFTYHHGSPISPSLAITNVFLTTTTTTSFFSDQLTQPPPCFFHSDLNHHASFSGDTGSS >RHN70479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51709299:51718443:1 gene:gene19060 transcript:rna19060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-NDR family MEDLQEGENGGEEEVLGSSLTMEKVAAAKKFIENHYRAQMKNIQDRKERRWVLERKLATSDVPTEERLNLIKDLERKETEYMRLKRHKICVNDFDLLTIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMLRRGQVEHVRAERNLLAEVASHCIVKLYYSFQDTEYLYLIMEYLPGGDIMTLLMREDTLSENVARFYMAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCITLPTLHENQTMDDETLAEPMDIDSCVPDADNRKSWRSPREQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLRFPEDPHVTHEAKDLIYRLLCDVDHRLGTRGAQEIKAHPWFKGVEWDKLYEMEAAFKPQVNGELDTQNFMKFDEVESPDAARAGSGSSRKMLLTPKDLNFVGYTYKNFDAVKEGLRQSLSESMQDYASKRTTDETGLQMLASSGDPMLP >RHN47456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39898708:39900268:-1 gene:gene42062 transcript:rna42062 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFDGDTLRGCPEIEVEDSHGGGFTAVFFTKGFFVRNPKLQYFGGEVRVFKGLDPERWSLFRALGHAKELDKRVESNGDEGVKLWWKPSNIPLDECLKKLSLDVHALELAKFAVENLEEVDIYVDYNTTTDSGNDEYPKCLEGVELYGVGASKLNDKGKGIAHEVEEAPEYESSDSEFVLNNVHFNDSEKDQMFGEEDGFEGDELIEGFGGVEHNERETVDKDCQDEVNAIIAFSTVDEHENAIENAYMTNGLDTTQPMSSTQIVDNIDNIDKKGNISKIRGKTVKAVGNSMWNKAEAMLNGLKTPPLEDLDKILRETVTCLVKWNKKVSKFNKEKRDNDEDSRPNE >RHN56432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30822133:30824844:-1 gene:gene31833 transcript:rna31833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MMRNYMILMFHALFVLFFIVGFNSAMENDEMKCEEKERNALLKFKEGLQDEYGMLSTWKDDPNEDCCKWKGVRCNNQTGYVQRLDLHGSFTCNLSGEISPSIIQLGNLSQLQHLDLRGNELIGAIPFQLGNLSQLQHLDLGENELIGAIPFQLGNLSQLQHLDLSYNELIGGIPFQLGNLSQLQHLDLGGNELIGAIPFQLGNLSQLQHLDLGENELIGAIPFQLGNLSQLQHLDLSYNELIGGIPFQLGNLSQLQHLDLSRNELIGAIPFQLGNLSQLQHLDLSENELIGAIPFQLGNLSQLQHLDLSYNELIGAIPLQLQNLSLLQELRLSHNEISGLLPDLSALSSLRELRLYNNKLTGEIPTGITLLTKLEYLYLGSNSFKGVLSESHFTNFSKLLGLQLSSNLLTVKVSTDWVPPFQLKYLLLASCNLNSTFPNWLLNQNHLLNLDISNNNIIGKVPNLELEFTKSPKINLSSNQLEGSIPSFLFQAVALHLSNNKFSDLASFVCNNSKPNNLAMLDLSNNQLKGELPDCWNNLTSLQFVELSNNNLSGKIPFSMGALVNMEALILRNNSLSGQFPSSLKNCSNKLALLDLGENMFHGPIPSWIGDSLHQLIILSLRLNDFNESLPSNLCYLRELQVLDLSLNSLSGGIPTCVKNFTSMAQGTMNSTSLTYHSYAINITDNMGMNFIYEFDLFLMWKGVDRLFKNADKFLNSIDLSSNHLIGEIPTEIEYLLGLTSLNLSRNNLSGEIISDIGKFKSLEFLDLSRNHLSGTIPSSLAHIDRLTTLDLSNNQLYGKIPIGTQLQTFSASSFEGNPNLCGEPLDIKCPGEEEPPKHQVPITDAGDYSSIFLEALYMSMGLGFFTTFVGFIGSILFLPSWRETYSKFLNALILKVFMWWKQ >RHN75473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42543534:42546410:1 gene:gene11689 transcript:rna11689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neoxanthin synthase MGTSLMLISPPPPTKTHQLTPSTFPFLKTHQTISLPSSRKTNTMINSTKKFGNFLDLKSEYQPEALDFDLPWHHPSDRSQFDVIIVGAGPAGIRLAEQVSLYGIKVCCVDPNPLSMWPNNYGVWLDEFEGLGLEDCLDKTWPMASIYIDDNKTKYLDRCYGRVSRKKLKEKLVKGCVFNGVRFYKAKVWEIKHHEFESIVVCDDGTKLKGSLVVDASGFGSNFTDYDNHKLRKKNYGCQIAHGVLVEVDGHPFDLDKMVLMDWRDSHLGNEPYLRDGNSKFPTFLYAMPFSSNLIFLEETSLVSRPVLSYMDVKRRMVARLRHLGINVKRVLEDEKCLIPMGGPLPRISQNVMPFGGNSGIVHPSTGYMVARTMALAPIVAASINECLGSTRMIRGKNLYANVWNSMWPIERRFVRECYCFGMETLLKLDLNETRSFFDAFFDLKPYYWQGFLSSKLSLKDFALLSLSLFGHASNSSRFDIVTKCPVPLAQLIGNIALESIG >RHN58202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44522681:44531330:-1 gene:gene33820 transcript:rna33820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-XII-1 family MGCCESSLLRRGRGRGGSENNQKKKEQQQQQPYQLQHLRSSNNLLNNQTQTTETDNKESSSPDPSTFTFSEFSLSDLKAATNNFSSEYIVSESGEKAPNLVYKGRLQNQKRWIAVKKFGKAAWPDPKQFVEEASGVGKLRHPRLANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVALYIAQALHYCTSEGRPLYHDLNAYRVLFDEEGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIYSFGTVLLDLLSGKHIPPSHALDMIQGKNNMLLMDSHLEGKFSTEEATVVVNLASKCLQYEPRERPNTKDLVTTLAPLHTKPDVRSHIMLGIPKQEEAPSTPQRPLSAMGEACSRMDLTAIHQILVTTHYRDDEGTNELSFQEWTQQMRDMLEARKRGDYAFRDKDFKTAIDNYSQFIDVGTMVSPTVFARRSLCYLLCDQPDPALRDAMQAQCVYPDWPTSFYMQSVALAKLNMHKDAADMLNEAAALEEKRQRGARGS >RHN72567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8711512:8711922:1 gene:gene8293 transcript:rna8293 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYYFYMSGFFSFYIPDRYMDNECTGNSAVESLEDHEIVDHVSNDLEPNLENSNSHETNTICPIVDMEFDSIEDVKEFYTSFAKKEGFGVSIRFTKQNICMLVCTNEGKHLVRNENEEGYSLITSVFLLKSCTHG >RHN82234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52182267:52182717:-1 gene:gene6379 transcript:rna6379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S8/S53 domain-containing protein MKNPTQNQQQNTNLVSKSKVESKSKTKRETRHITGLVALIKQKFPNFSPAAIGYALSTTASQNDKSGGPIMAQQPYAFPDLSQTPPTSFNMGSGFVNAAGELNMGLI >RHN57803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41803162:41803692:1 gene:gene33391 transcript:rna33391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prohibitin, Band 7 domain-containing protein MISSKAIASIVSKKARLALGLGAAATTVYSSVLVDQFHGTLPESVGEGTHFLIPWVQKPYILDVRARTHTLSAIFATDDHEPVNLTLRVISRPDVQCLPTIVQNLGSRSVVAKSSPLMLFISHSWYSDRVRDAFVQRAKDVNILIDEVDITRFSCTDNPR >RHN63968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55452736:55454976:1 gene:gene26733 transcript:rna26733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Blue (type 1) copper binding protein MAKNFNVLVFVLLAVAANLFHGSFAQTRHVVGDTTGWTIPTNGASFYTNWASNKTFTVGDTLVFNYASGQHDVAKVTKTAYDSCNGANTLFTLTNSPATVTLNETGQQNFICAVPGHCSAGQKLSINVVKASASPVSAPTPSASPPKATPAPTPVPAKSPAPTKAATPAPAPSTTASPTPAPAPATGRVTYTVGDTIGWIIPSNGTAAYTTWASGKSFKVGDILVFNFQLNAHNVEEVTKEKYDSCNSTSPIATFSNPPVRVTLNKTGTHYYICGVPGHCSAGQKLSINVGSGSSSPATSPSPSASSPSPSTGATPPSASGSPSPGSPVTPSSQSPGGSVSPPPENSGAASLGVAGLFVTVLSIAATFFC >RHN61462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35614297:35615473:-1 gene:gene23933 transcript:rna23933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAMQPPSHGNNVFPFYVNDLYLGGLVELQQLQPPQYYQQQPPQNQQQWQGNVVPIINSHVHASNVLISPALAALFEKQMQETHELINIQNDQIKFMLQQHTEQQVATFRSMEIYSQQILTRKNEEIAKVVKKKLDLENLLRKLEAEKRELKGIALERGAMVLTLHTKLEEEKKRVRMLVENDAESSCGEKEEVRAEKRVRRENNVFCSKCKTNTLGVLFLPCRHLSSCKACNALLQTCPICGMAKKGIIEIQNSI >RHN49877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1893105:1896590:-1 gene:gene34103 transcript:rna34103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase, trichome birefringence-like family MKSSSKPFDHKFHNYGKKESCANLLIKGLPFVLTSLFIATILTFFFLYSPNPLTLLPNQTHDEFIDNHSQIEEHEHANDFIKHHSQNLNLNQEHEDQHVNISKPSPSKPNKEKKTCDLFKGHWIRGLRGSSYYTNSSCPTIPDSKNCFKHGRMDNDFLNWKWKPEQCELPMFDPKIFLNIVRGKKMAFIGDSVARNHMDSLLCLLSQEEIPKDIHKDSEDKFRTYYFPKYDFTLMILWSRFLIVGEERIVNGTDTGIFDLHFDKVDEDWAKVIPELDYAIVSSGHWFFRLMYLHQGGKLLGCVYCSEKNVINRDSDFTLRLAFEATLNYINNCKECRKGKLLTILRTFAPAHFEGGIWNTGGYCNRTSPINKGEVDLNRFEWNLRNVQMEEFMKVKNNNKGNIRFEILDVTNAMMMRPDGHPGQHWGNKWMKGYNDCTHWCLPGAIDVWSELLLAILQREGDQERIMSFGLE >RHN50073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3874075:3880770:1 gene:gene34325 transcript:rna34325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cystathionine gamma-synthase MNAFDISARSSCHNIALATTPFRHLSSSYHLMTLKLQHHVSIGERRSIRVVRITASLWPNTDSTVSQPSPAESNGALEIDSPYSFLKCDGSKTVHAAERLGRGIVTDAITTPVVNTSVYFFKKTADLIDWKEKRQFSYEYGRYGNPTTAVLEEKMSALEGAESTLFLASGMCTSSVMFLALVPAGGHIVTTTDCYRRTRIFIANMLPKMGITATIIDPADVDALEAALENNKVSLFFTETPTNPFQRCVDIKLVSELCHRKGALVCIDGTFSTPINQKALSLGADLVVNSATKYIAGHHDVLAGCISGSEKLISQVRSFHFILGGTLSPNSAYLVIRGMKTLHLRVQHQNSTAMKMAQLLETHPKVTRVYYPGLPSHPEYALAKRQMTGFGGVVCFDIDGDIMRTIKFVDSLKIPYIAPSFGGVESVVNQPAIMSYWDLPRKEREKYRIYDNTVRFCFGVEGYEDLKDDVLQALDAI >RHN45076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11427419:11433037:1 gene:gene39276 transcript:rna39276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQSGESMAKIVKFFYFMIIFISPFVVKTKEDISIWHQKLPGGLCSSNNECPTYGCPQQAVCNFFYPAVLHQGSRIGFCGCF >RHN45899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26605265:26605639:-1 gene:gene40324 transcript:rna40324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNPLSPSQHSTTTSPKFIPNEHIPDLLSLLLVKSLLRFRCLSKSLDSLISDPIFIKLQLTRSSRKADFSIVSTSGRNVLCFTVFHLLQNPPFIFNLPKDPYYQLANKDCLFVVGSCNGLLCLFG >RHN40547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17499897:17500150:-1 gene:gene46708 transcript:rna46708 gene_biotype:protein_coding transcript_biotype:protein_coding MILAGFQDLPDSKQSKCFLSYQCPKNDEACKNSCLAHGFPKGGNCKPNSASCCCNGD >RHN40817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21589690:21589968:1 gene:gene47031 transcript:rna47031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPGIRRSSFSASQSSIKQVEVPKGYLAVYVGEKMRRFMIPISFLNEPLFQELLSQAEEEFGYCHPMGGLTIPCKEDMFLHTASVLNRI >RHN73890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21217975:21218355:1 gene:gene9779 transcript:rna9779 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSDDNFQDLLERYINNAEPVSFNVSNDVDEKSYDCIVNLLLDSNSKRNRRDKIRHPRSDHFLLPVVSCKTTEEMQNVYNAIGPYWRVVMCASEEENPKLFYQMDRYRMGAGIQDHDSDPLWARF >RHN55434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18267084:18267566:1 gene:gene30614 transcript:rna30614 gene_biotype:protein_coding transcript_biotype:protein_coding MDLISQFSSFSTCDFFFSRKNLCGYRHWIRPQADMNGGKVTKFFKEKKCTHPNIFKSDFYLFQCQISCFFSLSLPSSQSRLYFSLPFILSSISINNFKFLENSFFHFKLFDRKSKAKISGNNVQVYFLHHVSDRYDSVLKVKIAFLFSLIVFSLINFIIK >RHN56933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34981261:34982255:-1 gene:gene32403 transcript:rna32403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MEKTRGLRKGAWTYEEDNLLKDCIQKYGEGKWHLVPKRAGLNRCRKSCRLRWVNYLNPAINRESFSHDEIDMILRLHKLLGNRWSLIAARLPGRTANDVKNYWHTNLRKKVIPRKEENEEKEKSKESMIKSHEVIKPRPRTFSTHSLWLKKKRNFVSDGSATKLVISSEDGNVPRECDKTTLPNIEFVKCG >RHN54293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8365750:8369283:1 gene:gene29304 transcript:rna29304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MFSNSLPQLQVSVEYGLYEMMSWRAIRLEVAPKNENWGFNISEREAMLSAGTVDKNVERVYKEEKKRMDVNCLQNHSIQSAYHVAQRFLCLKYSNQVGNTIRPALMNLKECCCLKFISLKFIVVVFERTDTKDYVPELAELIIDRNNNPSLHIDLKGILLGNPETYAED >RHN61263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34293760:34296570:-1 gene:gene23704 transcript:rna23704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain, six-bladed beta-propeller, TolB MATTLALQFLTVVLFFFMIGQDINARDLKVELQDQDQVDSNDKPYMTQYFKHEAKNQDYTTGYKIYSHESNEPYITGYGRHQVKQPDFNGPYITQYSKHEAKNPGYTTGYKTHTHESNEPYITTYGRHESKQPDSNAPYITQYFKHEARNPDYTTGYKTHTHESNGPYITAYGRHEAKQPDSNGPYITQYGKHVAKDADYTTGYKTYTHESNGPYITSYNRHETKQLDSNGPYITQYGKHEDKNPDYTTGYNTHTHESNERYITPYGRHQAKQPNSNRPYITQYGTHEAKNPDYTTRYKTHTHEAKGPYISAYGNHDAKQSESNGPYITQYGKHEAKNQDYTTGYKTHTHESNEPYITAHGRHEVKQPDSNGPYITQYGKHEAKNPDYTTGYKTHTHESNEPYITAYGKHEAKQLETNGPYITQYGKYEAKQQESNGPYIASYGKQDAKQADYLIGYISAGNDPKGPPSTNSKDLEGHPSLNLDRTEAFKVGFFNLDDLYVGNVMTLQFPIQEISRFLSKKEADSIPLAMSQLPSVFQLFSIPEDSPQAKSMLGSLEQCEAETITGETKTCVNSLESMLEFVDTIIGSYAKHNILTTTKPSSTAIPLQNYTILEVSHDIDAPKWVACHPLSYPYAIYFCHYIATGTRVFKVSLVGDANGDKMEVVGICHLDTSDWNPDHIVFRQLRVTAGKNSPMCHFLPVNHLMWVPMQPSKATM >RHN65387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1655752:1658375:-1 gene:gene13219 transcript:rna13219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MAIIGKTLFMLFFLSSIFSIASSRKGPSSSNIDWWCNLTPHPKPCKHYTTQMNNHFKIKHRVEFREMLVQLALKQALTMQKEAQENSQQQQNSFVHKTVHGDCLKLFENTIFHLNRTLEGLNNASKNCSPNDAQTWLTTSLTNIETCKSGALELNAQDFDFIMQTNVTEMIRNILAINMHFLKHSKETEEGSFPNWFSVHERKLLQSKGPVKYNLVVAKDGSGQYKTVQAALNAAAKRKYKTRFVIHVKKGVYRENIEVAVHNDNIMLVGDGMQNTIITSSRSVQGGFTTYSSATAGIDGLHFIARDITFQNTAGPHKGQAVALRSASDLSVFYRCAISGYQDTLMAHAQRQFYRQCFIYGTVDFIFGNAAVVFQNCNIFARKPLDGQANMITAQGRGDPFQNTGISFHNCQIRAASDLKPVVDKYKTFLGRPWQQYSRVMVMKTFMDTLVSPLGWSPWGDTDFAQDTLYYGEYENYGPGSSTANRVKWPGYHVISNPNEASKFTVAGLLAGPTWLATTTVPFTSGL >RHN70316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50583795:50590495:1 gene:gene18886 transcript:rna18886 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLRQALLLRACFHNYKHFTVRTVTARHRLWCSAAAINPPSEKPNDKPNSVSNTLNRRDVFPSSNFRLEDEESDYRKWKDKEDEIFNDIEPIIVLTKEILHSSKYMDGGTLTLEDEKTVVEKLLAYHPHSEDKIGCGLESIMVDRHPQFRHSRCLFVVRTDGGWIDFSYQKCLREYIKKKYPAHAERFIREHLKRGSS >RHN75717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44502719:44505589:-1 gene:gene11956 transcript:rna11956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MAFNQYFEEGMNQIDSLEEKSSQEMWKCASAEAISGSSGFDCNICLECVQDPVVTLCGHLYCWPCIYKWLNFHAENQEKQKEEPQCPVCKSEISKSSLVPLYGRGQTTPPSKGNDHQIGSVIPPRPLGPSWMTNLPRSLDAANVSQHNSRTYHPHYLNHSQRYASPMLNTGGSLPNPLDTSYGVFGEMIYARIFGNQVTNIYTYPNSYNLTGISNPRIRRHLMRADKSLGRICFFLLCCTVLCLLLF >RHN56294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29558862:29559404:1 gene:gene31670 transcript:rna31670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MSTGLCQWRILSGSDIVTKWVVDLNKDACQSLKLNHPETEETGPGLYIKVSWKGYNYDKDIWEPINGLSNCKEMIKDFVTRGFKSSILPLSGHVDVACGGPPC >RHN63971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55511210:55512296:-1 gene:gene26737 transcript:rna26737 gene_biotype:protein_coding transcript_biotype:protein_coding MDELEPVFADLKYLEEYSLAGMVCLLQQREIEFPKRFDLSPPMKCLLKRNVAMFAAGLRANTKQLQEKGKANVPGRSAVSNLDSPVVSGAETTVDLCGHSRVVDNQGAVNSELSKFRDLNLDENLEFVAEDQKDEVIVSIFHQIKDLEKQAKQRKEWALEDTTMKRLSEMENALRKASGQVDRANGAVRRLETENAEIRAEMEASKLSASESVTACLEVAKKEKKYLKKLLAWENRKS >RHN65828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5672882:5674910:1 gene:gene13719 transcript:rna13719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAGIIKVAALSGSLRKASYNTGLIRSAIELSKNVEGVHIEYVNISNLPLLNTDLEKEGTYPVEVESFRKKILGADSFLFASPENNYSVSAPLKNALDWASRSPNVWAGKPAAIVSAGGGHGGAKSQYHLRQIGVFLDLHFINKPEFFLNAFQPPAKFNSDGDLIDEDAKNRLLAILLSLKAFTLQLQGKN >RHN69053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40616313:40618134:1 gene:gene17480 transcript:rna17480 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEFNTGVPAVTPTPTENCRERGKMPNSEPELGSEQGPESKPKPRGSVWDEVYPYGEVPELSELSIYDDDDEPYIYMCEKYVYKNKLRLKLEAADNEHLERSRGLSEYDVLPRPPGTLLCGGTQPLPITDEDRPTLEQFSRLALDYYSEKNDNQAPTFELHEVVKCAYDVGIAAGHMFYITFQAKPKDKDPSDGPAALTTFQAQVWDGYDESREVIKCTIKI >RHN52118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31663809:31664051:-1 gene:gene36746 transcript:rna36746 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVSFASLGRVSLFANIVGCGPLARGLYRHEPWWLCTIGLWAPMSYVSFVLLLGFVRSYIQALQTFIAWSLLELISAWT >RHN62013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40047781:40049643:1 gene:gene24536 transcript:rna24536 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTSIASLKLWSILSESKRIINAHSRHFLALSVIFLLPLSFSLIVSPTIFHLLSTPSNSPTIHILLRQATSLLSLQLRTEEDIRFTPKTTTSLDLPLPLPLLLLPLFFLFFLSLCSLATITHSVFHGFFGRPVKLLSTVTSLLSSFLPLLTTTILSHLILFTISLPIPLLRLSFSFSEIIRTVSAILVLALSLLIFYIRISWTLSSVIAIVENTYGIQPLRRSAHLMNGMKYTGASCFFFFASLEGIMLWSGFLLARVVSDSGSWRDWAFMVQIVLTSAVMTVLMLYNAAANTVLYMYCKALHGELAEEIVEEFAWQYVSLPFDDGKVPHVVSVICDISNVKFVDIIGY >RHN61911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39263599:39265633:-1 gene:gene24424 transcript:rna24424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-40S ribosomal protein S27a MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRILADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLGDYNIQKESTLHLVLRLRGGMQIFVNTLTGKTITLDVESSDTIADVKLTLEEKVGIPLDQVRVVIAGKQLKDSYTLAHYNIQKESTLHMVLQVFGGGAF >RHN50755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10171946:10172269:-1 gene:gene35080 transcript:rna35080 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAQQQMNNGFAYVNALQTTDLQHQQMLQQPNFNVSVEPAYANNPSPSIEDLMNMNNFNPVLAATNNLMNMNNFNPGFDTTKETASSSQSFQPFQNSRFSYHQGDN >RHN82676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55615992:55618529:-1 gene:gene6855 transcript:rna6855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MQFFTWVFNLVLIFSLLSNFFTESASSQETPPICSEEDRASLLSFKASISSDTTDTLSTWVGRDCCDGGWEGVQCHPSTGRVNVLQIQNSNVRDSGTYMKGTLSPALGNLHFLEVLMISGMKHITGPIPSSFSNLTYLTHLVLDDNSLGGCMPPSLGRLSLLQTISLSGNHLKGQIPPTIGNLKNLAQINIARNLLSGPIPLSFKTLRNLNYLDLSYNLLSGSIPDFVGEFQNLTNLDLSYNLLTGKIPISLFSLVNLLDLSLSYNKLTGYIPDQIGGLKSLTTLQLSGNQLTGNVPLSISKLQKLWNLNVSRNGLSGPLPAIPIKGIPALLSIDLSYNNLSLGSVPDWIRSRELKDVRLAGCKLKGDLPQFTRPDSLSSIDLSENCLVDGISNFFTNMSSLQEVKLSNNQLRFDISKIKLPSELSSLDLHGNLLIGSLTTIINSMTSSSLEVIDVSNNYISGHIPEFVEGSSLKVLNLGSNNISGSIPDSISNLIELEMLDISRNHIMGKIPSSLGQLQKLQWLDVSINGITGQIPGSLSQITNLKHASFRANRLCGEIPQTRPFNIFPPVAYAHNLCLCGKPLGPCKG >RHN76768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1147801:1159578:1 gene:gene142 transcript:rna142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cadmium-transporting ATPase MLTILFLKLKFNIISILSLLHFVVEPLFVTTTQHTLSLFLSLSLSLSLSLSLSLSFKQLVYSSSFQTFSVPVRMGLGNLYRRRIRVFTMAIVVYLDYKGVQQREKWLSKSKQATLWAKAHERNAKRILKLIIEMEGLWVKLGQYMSTRADVLPAAYINNLKQLQDSLPPRPLEEVYGTIQKELGKSMDELFSDFVNEPLATASIAQVHRATLLNGRDVVVKVQHDGIKTVILEDLKNAKAIVDWIAWAEPQYNFNPMIDEWCKEAPKELDFNLEAENTRTVAKNLGCRNQHDGNLNPNRVDVLIPEVIQATEKILVLEYMDGIRLNDLESLEAYGVDKQKIVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKESPHRPILLDFGLTKKLSNTLKQALAKMFLSSVEGDHVALLSAFAEMGLKLRLDIPEQAMEVTAIFFRATTPAKESLETLKSLENQRTKNMKVIQEKMNLDKKEMKRFNPVDAFPGDIVIFGRVLNLLRGLSATMNVHIVYMDIMKPFAESVLSGFINRGPSVNDRWVFDSPVHSNVEAKLRQLLIELANNDKILGIQVCAYKDGDVIIDTAAGVLGKYDPRPVKPDSLFPVFSVTKGITAGMIHWLVDNGKLNLEENIASIWPSFGSNGKEVIKVHHVLNHTSGLHNAMADINQENPLIMLDWDECLNRICISAPETEPGKVQIYHYLSFGWLCGGIIEHASGKKFQEILEESIVRPLQIEGELYVGIPPGVESRLAALTVDTDDLSKLSAIGSRPELPSTFQPQQIAQMATSVPPIFNTLNVRRAIIPAANGHVSARALARYYAALADGGKIPSPHSSTSKPLLGSHTHIPKLSSQKAPKKRKCIGRTVTTLPAVNKSYEKVSSKEDSEGTEGRNTSTSSSSSGDTGSSNLDSNPRPHVPGKVYQNPRIVDEFLGAGEYENLSLPGGGFGLGFKRFSSKDGSTIAFGHSGMGGSTGFCDVTNRFSIAVTLNKMSFGGVTGKIVQLVCSELNIPVPDDFLRYAANQSGDANLARPMIN >RHN58400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1441608:1448124:1 gene:gene20307 transcript:rna20307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MAKKSQKNLKNTSTSSSSSTTKRKRKSIPRNSPPQRSSVYRGVTRHRWTGRYEAHLWDKNCWNESQSKKGRQVYLGAYDDEEAAARAYDLAALKYWGQDTILNFQVSNYQEELKGMEGQSKEEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSHYISYLHPKQQDNDDSNNHNKKASNNQELDLNQELGGGVTPASSTFDLILESPKFEEMLENSSSSVEDSYTPPPVKSTIPRRTFPEDIQTIFETQDSSIYTENDDDNIFGELSSIAAPIFHYGLDA >RHN66137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8943395:8943808:1 gene:gene14058 transcript:rna14058 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSLSCVMVILGMESNNSNEDDLKSHAKLKNLFDLNELPESFDSYEIDSESFEKSIDATETGKNSPDVVEIVEESDIESEEEGRKPVIRRMKQTWHEKDAEENTKVMDSRECVSSTSGVKVKGTFKSMLRDVFKYG >RHN51684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22683966:22685495:1 gene:gene36178 transcript:rna36178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLRQIEGKLVNTNVVMYNIIIDSLCKEKLVTEAYELYSQMILKKISPNVVTLSSLIYGFCIVGQLKEAFGLFHEMLLKNINPNIYTFTILIDALCKEGKIKEAKNVIAVMMKEGVEPTVVTYNTLMDGYCLVNEVNKAKNVFNVIGKRRMTPDVHSYTIIINGLWRISHAWELLDQMHDRGQPANIITYNSLLHALCKNHQVDKAIALVKKIKDQGIQPNKHIQYTYRWTMQRRKT >RHN73082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13295221:13301432:1 gene:gene8861 transcript:rna8861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydrolipoyl dehydrogenase MTLSPISLSFSSPTTISGPHHCSLFNTTTTATSLTLRFCSLRREAFAFTSLSHRSPRNHHLRLTHLNAISAAISNNGTPPKSFDYDLLIIGAGVGGHGAALHAVEKGLKTAIVEGDVVGGTCVNRGCVPSKALLAVSGRMRDLRNDHHLKSLGIQVSNAGYDRQAVADHANNLASKIRGNLTNSMKALGVDILTGFGTILGPQKVKIGSSNNVVTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLETVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEISKLAQRVLINPRNIDYHTGVFASKITPARDGKPVMIELIDAKTKEQKETLEVDAALIATGRAPFTQGLGLENIDVATQRGFVPVDERMRVIDANGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKGEKEGFDVSIAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTHIQDIKFAVHAHPTLSEVLDELFKSAKVKAQASDPVKEPVSV >RHN51796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25217905:25221038:-1 gene:gene36319 transcript:rna36319 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAISKGVDDLGNSVGSAFTAPFKSVFGGSCEEICSGPLDVMCFIEHFCISSLVKLLMILVVCYICLLFMYSLFRLGICQCIGRSLCKMGCVTCELCCYTLQSITCNLWHKLTNTKRVYRGRRRRFQHDIELGYTSPSTDHESNNSRDYRSHRFGRNRKSLRLRERSRRNGHSVRLSRMKGKSWRVKSSRKIQLSKVRNRSGKPAIIRRRRLKR >RHN61514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36084368:36085263:-1 gene:gene23987 transcript:rna23987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MKMSKQNVLVMILLISAILPPPIHSLNLKAGNWGETFQNKKQETETNLQFYFHDTLSGKNPSAIKVAEPVDKTKTYLTQFGNIMMADDPLTETSDPKSKLVGRAQGIYASSCQQEIGLLMSLSYSFVDGPYNGSTFALVGKNPAMNPVREMPVVGGTGLFRMARGYAIAKTHWVDITTGDAIVGYNVTLVHY >RHN68026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32226148:32227429:1 gene:gene16310 transcript:rna16310 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQLEACASLKGEQVAARVTPRNADKDEWFVEKVIHFDKELKDFILKLI >RHN63445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51358384:51358637:1 gene:gene26144 transcript:rna26144 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQTVISPSFSPPFLKILHCCNLGMGSIKLGTYIHSFGCCKRIMYHI >RHN69254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42126541:42126982:1 gene:gene17697 transcript:rna17697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosome biogenesis GTPase RsgA MELLIEFSNTSLRAILVLVILQMGKIGLNLCILGSKWYDDQRVAEVSTRSGRRKHTTHHVSPLPLSGGGSLADTPGFNQPSLLKVTKQSIAQTFPEVSISCTNSCNKYLVTNTLFFSG >RHN65748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4948866:4950939:1 gene:gene13631 transcript:rna13631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MNTTNNGDRLNGAAEAGDIDLLYTVIQDDPFILEMIDLIPFVETPLHIAASMGHLQFASEIMKLKPSFAWKLNQQGFSPIHLAMQNNQNSMVTRFVNFNKDLVRVEGRNGITPLHFASQIGEVELLANFLFACPESIEYLTVRFETALHIAVMNEQYEALQVLLGWLKTNKQRGADLLKYKILNQEDENGNTIFHISALYSEPQALQLLIKTFCQKNKFLKKTRINLCAKNLENKTSLDMAVTREIKSILSSVGAKPGLEVTNAPTLAHKLKSNTTLMSSMFLYIVGLRNDISEEQRNTWLIVATLVATATFQSAMSPPGGVYQVNASDSSLNITSTNSTISTGWSLWGNTGKSVLPGAYFDIFVYLNMLSFSLSTITIYILIPTGGRLGTLVFYPVTSFVGCYLFYFIVIAPTVVHIFSVLFLACWLVTLLYVSLIDFGAALRN >RHN67614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28674365:28675111:-1 gene:gene15820 transcript:rna15820 gene_biotype:protein_coding transcript_biotype:protein_coding MWHSQPTSQWGESGLQLIKQSAASTFFMGNITNAASKKTAAKGASLT >RHN46193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29563047:29565817:1 gene:gene40639 transcript:rna40639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEILKFVYTMILFVSIFIIVVNVGVFLYYYLTLQENVFLTPSVVDNICVPL >RHN77957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10832932:10846741:1 gene:gene1468 transcript:rna1468 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGVPARALVIGDVSWKRRKRVKIRECLDELIDMVVVVKGKVCCSD >RHN56045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27145832:27147922:1 gene:gene31368 transcript:rna31368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MGEIIKFVYSRIIFLSLFLLATDTQALIECYTYFDCPKDMCIFPSIVVCVRNQCDCVEMF >RHN79667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31064230:31068557:1 gene:gene3487 transcript:rna3487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 3-dioxygenase MSPGMTIFSEDIKRDDDDIENQFLKGVKQLVDNGLHMVPKKYILPPSERPAKNTEDSNFGKQNLQLPIIDFSDLIGPNRPQVLQSLANACERYGFFQVVNHGISEDVINNMMDVCGNFFDLPFEERGKYMTSDMRAAVRYGTSFSQTKDKVFCWRDFLKLICHPLPDYLPHWPDSPVDLRKVAATYAEETKHLFLRLMEAIVESLGIVESNKEEKDNLIKELEDGSQLMAANFYPPCPQPDLTLGMPPHSDYGFLTLLLQDEVEGLQVQFQEQWFTVQPINNTFVVNVGDHLEIYSNGKYKSVLHRVLVNSSKRRRSIASLHSISFNSTVRPSPTLIDKANPKRYADTDFETFLAYISTNETKRKSFLESRKLTSILLD >RHN50768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10273495:10273842:1 gene:gene35096 transcript:rna35096 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEFCKRPLEILGNETGENFLAVGIINGMRDILICRASDHSLHSPCRTSNVEKETCGLIWS >RHN73093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13393076:13395149:1 gene:gene8873 transcript:rna8873 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRISVRRWIFVFALLYVCLFLNLNNFGEADDDEGDTQIGSGFGGVGGGNGGGGAGFGGGSGVGGGSGGSGVGGAGGIGGGGGGSSGVGGAGGIGGGGGIGDPSQIISKALLCFNDKYIYQSCEESYRLTENGNLDVPSEKTDAFCEGPCMSETNLVLGCIDNIFSHFIFYNRATLEDVKETILAGCGYGPERGNFNVAEHIQAEENQAVKATSHVLMSLVFIIWGMLCWSNI >RHN66567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14112032:14115054:1 gene:gene14580 transcript:rna14580 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDFNFFIFEFLGLDYEGVMKKKKEEDEEMKKKITLSCRCRTRHVYDIGIHLIQEVSVLHRLGSRYVKLEGLKNQFIKR >RHN49524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55372467:55378793:-1 gene:gene44365 transcript:rna44365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-sugar transporter MQWYLVATLLTVLTSSQGILTTLSQTNGSYKYDYATVPFLAEVFKLAVSSLLLWKECQKSPLPKMTTEWKTVSLYPIPSVIYLIHNNVQFATLTFVDTSTYQIMGNLKIVTTGILFRLFLGRRLSNLQWMAIVLLAVGTTTSQVKGCGEASCDSIFSAPIPGYMLGVLSACLSALAGIYTEFLMKKNNDSLYWQNIQLYTFGSIFNLAKLIVDDFRGGFENGPWWHRIFNGYTVTTWLVVLNLGSTGLLVSWLMKHADNIVKVYSTSMAMLLTMTLSTFLFDFKPTLQLFLGIVICMMSLHMYFAPPNMLLDMPLTVKSDEEEKLIEVSVDRRTRS >RHN66104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8560205:8563835:-1 gene:gene14019 transcript:rna14019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MAGGKQKKRSLSESSEPAPTCKMSKRSASAASKNLKEKSFSKSDKSDLIETKKDRFVEEEFLAVRMTAGQEDGRPNRRITDFILHDESAQQLEMREINDLFITGLILPLEASVDKKKEQGVRCEGFGRIESWDISGYEEGAPVIWISTDIADYDCQKSAASYKKYYDQFFEKARACIEVYKKVKKSAGGDPDISLDELLAGLARSMRGVVIMHKP >RHN41061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26546407:26547264:1 gene:gene47337 transcript:rna47337 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEFNGTGIWSVVQGIKVTIIEVVVEKVVRCRHHGMFEVNIGKKSKWVDRIYQTLHARRPSHKTSEMKDEHKVLHKLIFQCFMPWEEGTNKLWDHNHFLHFLINKFKINLPAYIFHYMCESIKEGIKGKKQVPYTRLLSEIFHQGKSIQKLQNFGLASDEELGTYNSKIITRTLLGSMGIIKKKEVITLDEELQVSFNMSAFLDDFPLISKEDCPEVLAQYISQHYLDTSVIISWDEILYNLINLSSQQERGRHPMKRLHVCPKRHPRRLSLLNLLLMKFRRK >RHN50633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8700972:8701502:1 gene:gene34943 transcript:rna34943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MVHHSSILLGLLLCVTSSYSSKIVQVNVICQKAKNPSFCSTLLNSKPGGAKGADLATLAQYTIDVLHVKLTNTVKLINTLISRSGKDVKALTHYKNCLKYYFADGGAIFVLGNIQRVLKEGNYNLMSVGANDIMHDISDCINDPNYHDTSSLPNYGQVALQIDQIIQIIAGFLMSK >RHN78233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12989376:12991206:-1 gene:gene1767 transcript:rna1767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MHQRHMQFENTYFSKSTMSIIQCIGGFVAILIFLYIYYWRRNRDEFAPINWPIIGMLPGILHHLSNFHDHTTLFLKHHGGTFRFEGAWFTNTSFIGTSDPMNVDHIASKNFGNYGRGSNFKEIFDFFGDGILNSSSHIWKQQRTMFHSFLKRKTFKNFFQQTMKKKVENCLLPFLNDVSEIGAQVDLEDALSRFTFDSICTIAFGFDPNCLPNKFNELREIAYQKSLPVIDEVIIYRHFKPRCLWKLQKWLDVGQERKLKEAEKNLDSFLYERITFSKQEQSKCSSSEEMDDFVKALMKEGAGNGGMDEKYLRDNVLTLFLAGNGTISSGLSWFFWLVSTHPIVEAKIIQEIKDNWPTQEENQTPLRDVDLDKLVYLHGALCEAMRLYPPVPFEHICAINSDILPSGERVSPNTRLLYSLYAMGRMEQIWGEDCMEFKPERWVSERGHIIHVPSYKFIAFNTGPRSCMGKDISFIQMKMVAAALLPKFHIKVVAGHPITPKLSFVLHMKHGLKVKVTKRCI >RHN49914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2261499:2261760:1 gene:gene34146 transcript:rna34146 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTQPFIFLRLTHALPLNPINFPPSGHTLQRNLCWILTIFLPIFFNILPL >RHN39062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3850971:3851309:-1 gene:gene45054 transcript:rna45054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MRFQSFVIILVVLFASTTINQAKPIYNNNQHLIKVANFAVTEYNMQNTEAKLVFEKVTNGASDVNKKKGTKYSLTLCANNGSTSNNYAAIVLEKPSDNFILKAFSLIHDHDA >RHN74911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37720289:37722803:1 gene:gene11045 transcript:rna11045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-glutamyl hydrolase MKLILHFFMLKALPKNDAGDHFPLYAICLGFELISVIISEDKNILEEFKAKNQASTLQFVENASIEGTVFERFPPELLKKLSTDCLVMQNHVVTRHIPNKVSSFFEILTTCNDEEDKVYVSTVRSRNYPVTGFQWHPE >RHN62030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40196558:40199902:1 gene:gene24556 transcript:rna24556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphodiesterase I MIPCTILFLYLSLTFTSNLVQSKSSLTVTPTTLSKSGDTVTLRWSGIQSPSELDFVAIYSPPTSSYDNFIGYLFLSKSPTWQSGSGTLSLPLINLRSNYIFRIFHWTQSEINHSRHDHDHNPLPQTGNLLAISEEVSFVSGQGPEQIHLAFADEEDAMRVMYVTRDPKETYVWYGERKCQMGGLAVARVKRYEREHMCDFPANDSVGWRDPGYIHDALITGLKKGRRYYYKVGNKNGGWSATHSFVSRNSDSNETIAFLFGDMGTSTPYNTFLRTQDESISTMKLILRDVEALGNKPAFVSHIGDISYASGYAWLWDNFFAQIESVATKVAYHVCIGNHEYDWPLQPWKPNWTDYGKDGGGECGVPYSLRFNMPGNSSEPTGTIAPATRNLYYSFDMGVVHFVYISTETNFLLGSNQYNFLKHDLESVDRNKTPFVVVQGHRPMYTTINGTKDVLLREQMLEHLEPLLVNNNVSLALWGHVHRYERFCPLNNYTCGNGVGQRARDKGYTVHLVIGMAGQDKQSIWKTRPGHPNDSIFPQPKRSLYRGGEFGYIRLVATKQKLVVSYVGNHDGEVHDTLEIMASGEVFNGNINVDIGSAKPKGLIEEATLSRYVQGGSVLVLGAFMGYILCWVIHARKKPESKSGCTIAKTEKHD >RHN66461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12582134:12582970:1 gene:gene14452 transcript:rna14452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MDSEGKLLMNRYEFRKPLGQENFAKVYKERDLRTGDMVAVKVIDKEKVLRAGMMVQTKWEIATIGRVKHPNVLRLYEVLATKTKIYLILEYAKGGDLFPQILKGNFNYNQATQYFQQLVSALDFCHKKGVYNRDLKPENLLLDENGVLKIADFVFSTFIESHRYNMLQTMCGTLMYVAPYVLRGKGYYGEKSDNLMELYRKIHKGEYKCHPDFQSRYVDYYQRFSIPTLIVEYLTAKIPALKDIVWIWQSEKKHNNS >RHN48088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44893052:44895544:1 gene:gene42763 transcript:rna42763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MNPEPERVYKDLNEESCGVCKDGGELICCDSCPAAFHQSCLNIKELPAGDWHCIHCSCKFCGSYQQGSENPATGCVFHHINCVEANSDEFNRESFCGKRCQQIYERFEMLLWNRHDIGDGFSCTFLRQLHKMDPTTSKMDVALSLMHECFKPIAFDLGGQKIDMFPRILSSHWSQFRSVDYAGFFTVLLEKGNDTICVATLRIHGHQMAEMPFIGTRARFRKQGMCRRLLNAIESALSYMNVEMLVIPSAMQVLQTWTSCFGFEKIDMTTKELLKTKNVVKFYGVEMLQKKIQKLELPEGNLDFNQGMYTFW >RHN73313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15295741:15298974:1 gene:gene9112 transcript:rna9112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L27e MVKFLKPNKAVIVLQGRYAGKKAVIVKNFDDGTRDRAYGHCLVAGIKKYPAKVIKKDSAKKTAKKSRVKAFVKLVNYQHLMPTRYTLDVDLKEVVTTDVLQSKDKKVTALKEAKKRFEERFKTGKNRWFFTKLRF >RHN42677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40221652:40225466:1 gene:gene49155 transcript:rna49155 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMSLVRPLLMRRGFSTSSEKMVASVLFERLPVVIPKIDPNVYAFQEFSFRWRQQYQRRYPDEFLDRSDARGKGDYQIDYVPAPRITEADKQNDRRSLQRALDRRLYLLLFGDAYGAPSGKPVWHFPEKVYESEDTMRKCAESALKSVLGDLSNTYFVGNAPMAHIVVQPKEEQTGSTSFKKFFFKSQVIAKNKFDIGKGEDFVWVTKDELMEYFPEQAEFLNKMIIS >RHN76534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51034477:51047381:-1 gene:gene12877 transcript:rna12877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative JAB1/MPN/MOV34 metalloenzyme domain, ribonuclease H-like domain, PRO8NT MWNNGQIAPPGTTVPSIPPPQASQPSYTVLPPPPPPAVETEADAEARLEEKARKWQQLNSKRYSDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKFRHDKRVYLGALKFIPHAVYKLLENMPMPWEQVRDVRVLYHISGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELDEEEDSAVYTWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLSDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVRLCIYHTPMVMYIKTEDPDLPAFYYDPLIHPITSANKERREKKIYDEEDDDDWVLPDGVEPFLKDTQLYTDTTAAGISLLFAPRPFNMRSGRMRRAEDIPLVSEWYKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWVEAGLQVCRQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQSVWDTSDGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYWDTKVETRHPIRLYSRYIDRVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFVDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIVTEPHHIWPSLSDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQSHEANQVTAVTTRTTNVHGEELIVTTTSPYEQGAFASKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQISGYLYGISPPDNPQVKEIRCIVMPPQWGTHQQVHLPSALPEHDFLNDLEPLGWMHTQPNELPQLAPQDLTSHAKVLENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRINKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMIPDNGPWNYNFMGVRHASGMKYGVKLGTPREYYHEDHRPTHFLEFSNMEEGETITEGDREDTFS >RHN81269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44463743:44464741:-1 gene:gene5285 transcript:rna5285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MELMDALHQDIRDRSEIDRRSRIWVDQMQGIADEIASVIRDYDTKLKHRSILVYTFKYWTRHVISNKINAIRNKIEDASRRRRAYGLGKSIESSSSTVHILRGTTQLSLVAKESDVVGFDDDAQVLMAQLLSDERRRCITWIVGIKGTGKTTLAKLIFQDNTVISHFECRLWVSLPSNSNSNCTAQQLLEEVAKEATKKIKGNSSSDPTVLETLARTKYLIVVDGIKETSQVYLLDALKEAIPDMSTGSRLLLTTRNANAAHHKAGGTITFVHPLQLLDDESSWVLFTRYLKVDSTPELIKIGREIVIKCGGLPSQILKMSRLLSHKEHTTH >RHN40480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16704825:16707442:1 gene:gene46635 transcript:rna46635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipid methyltransferase MEAVKVLSHSKTVPFGFSIVSNNVSLRPFNKQCHAGVVNNSNQLHPRPKRVSFQLNNSYGNPFSSLLKQTTKRADLLPLPKCSISNEASIDSSNPVLKYFKNISFDSIKDAVLQLTPIDIVKLTGIISVITTATKWTINMLLNPFFWMYVSWTWIYWPWLVAIVLAVYGLYCFWKHMLGEANIFEQLVIVTSTFTWLTLVPPAYFNGYLEGWPLVFFFVYHYFFFFNVSVRNQLYGDYYARRHDPKWDVNLPMWSRLLFSAGIMIGHWLAAFEGPELNLAPGGWSNLGIWALIIPTLLFHYNSTLYLAKYSEKVVVPTSVVQFGPYRLLRHPIYSSTTLLFITYCVALRAPLSLLFIVAVCLLYYKQKAAMEEALMVETFGQSYTEYASKVKYKFVPFIY >RHN74646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35445760:35453680:1 gene:gene10750 transcript:rna10750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MLILVGNVQGTSMSSLASPISFGSSSRMSHSVVRRVSLSSSRRNCIGKKRWHCVSLSVCRYSVTTTDFVADQGNSVSSLDSSNNDDSNNKGGGDSGGGVGGSFVLKPPPKPVLKSNNDSILGSSSGLGGSTRNSEGDDGVDERSKVIESLGEVLEKAEKLETSKLGGKRSNGSVNEPARPVMNDKPKDDEPVNSLQKHKAKTLKSIWRKGDSVATVQKVVKEVPKPSVKSSEVGESQVGGGEKVMSQSSDPQPLSRPQPMLQSRPSIAPPPPPPVKKPVILKDDKGQGETPPVKSKERKGPILIDKHASKKPAVDPVIARTVLAPTKPGKAPPQGRYKDDYRKKGASSGEGGPRRRMVVNKDGVPDEDTSERNVSIPGTARKGRKWSKASRRAVRLQAARDAAPVKVEILEVSDNGMLVEELAYNLAITEGDILGSLYSKGVKPDGVQTLDKDMVKMICKDYDVEVIDADPYKVEGLVKRREILEEEDLDKLKDRPPVITIMGHVDHGKTTLLDHIRKTKVAASEAGGITQGIGAYKVQVPVDGKTLPCVFLDTPGHEAFGAMRARGASVTDICIIVVAADDGIRPQTNEAIAHAKAAGVPIIIAINKIDKDGANPDRVMQELSSIGLMPEDWGGDIPMVQISALQGQNVDDLLETVMLVAELQELKANPDRSAKGTVIEAGMDKSKGPFATFIVQNGSLRRGDIVVCGGAFGKVRALFDDGGKRVDVATPSIPVQVIGLNNVPVAGDVFEVVESLDTAREKAESRVMSLRDERISAKAGDGKVTLSSLASAVSSGKLSGLDLHQLNIILKVDLQGSIEAVKQALQVLPQDNVTLKFLMETTGDVSTSDVDLAAASKAIIFGFNVKAPGSVKSYADNKAVEIRLYRVIYELIDDVRKAMEGLLDSVEEQVPIGSAEIRAVFSSGSGRAAGCMVTEGKVTKGCGIRVMRKGKIVHVGILDSLRRVKEIVKEVNAGLECGLALEDYDDWEEGDILEAFNTVEKRRTLEEASASMAAAVEGVGS >RHN60351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25725774:25726084:1 gene:gene22655 transcript:rna22655 gene_biotype:protein_coding transcript_biotype:protein_coding MQPESTLDFDLHYCSTCFRLMLPATPPTVHNTQNLIHTDNGRSYISTVVNLLQQQSARRNKYTSVFFLFGIYGFPRKMAFCECSCSLHCS >RHN46403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31378261:31382277:-1 gene:gene40885 transcript:rna40885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MVMGAFHHFKPISKYNNSQDRSNQTIASDLDGTLLVSRDAFPYYMLMATEAGSFLRGIILLISVPFVYFTYLFVSETIAIKMLIFITFAGLKINDVEMVSRSVLSKFYAEDVRPETWNVFNSFGKRYVVTASPRLMVEPFVKNLLGGDRVIGTELEVTKSGRVTGFVKEPGVLVGELKKDAVVKEFQSNLPDLGLGDSESDHDFMSLCKEGYMVPRIKCDPLPRTKLLSPIIFHEGRFVQRPTPIVALLSFLWLPIGIILSILRVYLNIPLPEKIAWYNYKLLGIKVIVKGTPPPAPKKGQKGVLFVCNHRTILDPVVTAVALGRKISCVTYSISKFSEIISPIKAVALSREREKDAANIRKLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQSVFYGTTVRGHKVLDPYFVFMNPVPTYEITFLNQLPKELTVSGGKSAIEVANYIQRVLAGTLGFECTNLTRKDKYAMLAGTDGRVPSKKEKA >RHN49361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54276062:54276458:1 gene:gene44182 transcript:rna44182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzyl alcohol O-benzoyltransferase MGDAAGLRQFMIAWAEMARGANQPSIQPVWNREILMARDPPYITCNHREYEQILSPNTYIKEEDTTIVVHQSFFFTPAHIAVIRCLVPIHLHHCTTLI >RHN64566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60097959:60098900:1 gene:gene27394 transcript:rna27394 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSMDPPLSSTVPQEDFLMFHQMDRDLYKILVTNLSRDPSESMRLLAMWLWLEKVGFPNVVKNIMSLPIILINEIVDESMICLSCLTNNNNASVIAMSSYEANDIPLLQSLMEKEISLKFFLQNRVEAIRGVEKTRREDCMSALGDIMQQAMMRNIADRMVENNSFLFGSTGPMNLQFGSVGIAGAMVQQQSNNNGGRGGIIPADDRTLFVTFSKGYRVEEWEVKEFFSMAYGDCIETLFMQETQANEQPLFARIVFHKVSTIDMILRGASKVKFSINGKHVWVRKFVPKRANTGRIMIPGETYGFDFGATR >RHN53417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1557665:1559605:1 gene:gene28320 transcript:rna28320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, ARF/SAR type, P-loop containing nucleoside triphosphate hydrolase MGQAFRKLFDTLFGNTEIRVVMVGLDNAGKTTILYKLQIGKVVSTIPTVGFNVEKVGYKNVDFTVWDVGGQGRHNLRPLWKNYFTSIDGLIYVVDCHDRERIYQVKQEFQTTINEPSMLNNIILVFANKQDLKGAMTITEVCEGLGLFDLRNRKWHIQGTCALKGDGLFEGLDWLASTLKEKKAAEYS >RHN79278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26968903:26969696:-1 gene:gene3046 transcript:rna3046 gene_biotype:protein_coding transcript_biotype:protein_coding MMADNVSCIPKWHSRSTMPYETMHFDSHVWEYDFKNPMKPVGDDFEDFLKHTGIHVLTFCGDCGIEENFEVYLLNDHKYTAMFGVKWDKFCKANNFKIGQKIRFKFNMF >RHN78040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11463704:11465296:-1 gene:gene1555 transcript:rna1555 gene_biotype:protein_coding transcript_biotype:protein_coding MKERVEVGSEKMVILECKVTFHKHSLLVLVGVHKVDEGLVVAGE >RHN49012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51753631:51754504:-1 gene:gene43791 transcript:rna43791 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRMSFLKRDGSRPCVRVSRVNCKASEFEIEIAEKRNGNRKESKTAKVSPVTKLTENDNGGVYSLFVKGDGILYWIQFLNPVDYIISIRATNFATSNEHIHYIHTLFEREIENVTKQKK >RHN53267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:512496:514447:-1 gene:gene28158 transcript:rna28158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MPKRRFWDLSSCSSSSNSSIQSYRYDVFISFRGSDTRNTFVDHLYAHLIRKGIFTFKDDAQLNKGHSISTQLLHAIRQSRVSIIIFSKDYASSTWCLDEMATIADCQLNLNHTVFYDVAPSDVRKQKGVYQNVFAVHSKISKHEPHKVDCWKRAMTCLAGSSGWDVRNKPEFEEIEKIVQEVINSLGHKFSGFVDDLIGIQPRVEALERLLKLRSADDGFRVLGIRGMGGIGKTTLVTVLYDKISYQFHACCFIENVSKIYRDGGCVAVQKQILHQTIREKNLEAYSPSEISRIVRNRLHNIKLLVVLDDIDQIEQLQELHINPKLLCGGSRIIITTRDEHILKQYGADVVYEAQLMSDSEALDLLHRKAFKSDNSSSTFSELIPQVLKYAQGLP >RHN61733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37909816:37916014:-1 gene:gene24223 transcript:rna24223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MATGLEGDIASLQPVEDEDRKQDSEKSKDKDEITNTVSLYKLFSFADPLDCLLMLMGTVGAIGNGISLPLMVLIFGTMINAFGESTTSKVVDEVSKVSLKFVYLAAGSFVASCLQVTCWMITGERQSARIRGLYLKTILRQDVSFFDKETNTGEVVGRMAGDTVLIKDAMGEKVGQFIQFVATFIGGFVIAFTKGWLLTVVMLFSIPLLVLSAAVTSKVIAKASSTGQAAYSESASLVEQTIGSIRTVASFTGEKQATTKYNHSLIKVYNTTVQEALASGVGFATIFFVFISSYSLAVWFGGKLIIEKGYTGGDVMTVLFAILTGSMCLGQTSPSLSAFAAGQAAAFKMFETIKRKPEIDAYETTGRKLDDIRGDIELIEVCFSYPTRPDELIFDGFSLSLQSGTTAALVGQSGSGKSTVISLIERFYDPTNGEVLIDGISLKEFNLKWIRQKIGLVSQEPVLFTCSIKKNISYGKDGATVEEIRAAAELANAAKFIDKLPQGLDTMVGEHGIQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQEALERIMINRTTIVVAHRLSTIRNVDTIAVIRQGKIVERGSHVELTKDANGAYSQLIRLQEMKGSEQNVANDSNKSNSIMLSEKRSSEISLSSRFIRQVSSGNSGRHSFSASCGAPTTDGFLETADGGPQASLSTVSSPPEVPLYRLAYFNKPEISVLLMGTIAAVLNGAIMPTFGLLISKMISIFYKPADELRHDSKVWAMVFVAVGVASLLVIPCRYYFFGIAGGKLIQRIRKMCFEKVVYMEVNWFDEVEHSSGALGARLSTDAALVRALVGDALGLLAENIATSITGLVIAFEASWQLAFIVLALAPLLGLDGYVQVKFLKGFSADAKKLYEEASQVANDAVGCIRTVSSFCAEEKVMELYEQKCEGPIKKGIRRGIISGLGFGLSCFLLYAVYACCFYAGARLVEDGKSTFSDVFLVIFALGMAASGVSQLGTLVPDLINAKSATASIFAILDQKSQIDSSDESGMTLEEVKGEIEFNHVSFKYPTRPDVQIFKDLCLNIHSGKTVALVGESGSGKSTVMSLLQRFYDPNLGHITLDGKEIQRLQLKWLRQQMGLVSQEPVLFNDTVRANIAYGKGGDATEAEIVAAAELANAHQFISSLQKGYDTIVGERGIQLSGGQKQRVAIARALVKNPKILLLDEATSALDAESEKVVQDALDCVMVDRTTIIVAHRLSTIKGADLIAVVKNGVISEKGKHEALLHKGGDYASLAALHTSASTS >RHN64199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57208656:57209774:-1 gene:gene26986 transcript:rna26986 gene_biotype:protein_coding transcript_biotype:protein_coding MMEHKNGNETIASHSSLNTETTAPARSTIKKSIGGIGSLIKLLPTGTVFLFQFLNPVVTNSGRCKTSNKYLSSILLVICGFNCFFSTFTDSYTGTDKKRHYGIVTTKGLWPSPPASTSIDLTKYRLKGSDFVHAALSLLIFALLGLLDTNTVHCFYPSFESTQKQLLQVLPPTIGVFVGWMFVMFPQHRHGIGYPVSTDDSHDASKISNESPQNPIHNV >RHN43759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48257746:48258631:1 gene:gene50381 transcript:rna50381 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRANWRMWLRRRGLIIGGNCESIGLSLLLTVFLLFLYFVRL >RHN54664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11126167:11131033:1 gene:gene29738 transcript:rna29738 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:IPD3 MEGRGFSGLYKNSSEELFLKTVMESPIGMPVPTMEMLGFKTVSQSFRTDSEELFKRWLTNDQEGYNSSSMGLNSRLSKRISTEIANMSNQQHIGVASEGRNNDKSCLQNNFLANDVSSDFNFPIRDPVDRELQSSNLFLAKAWFITDQRMTRSRSSELRRRYTEMQNSQAPQGLDSMFMVPEHDTNTIKEELANFNGFDYLSMCELPSQKGTFMSPSNSSSSTFNTHQLVDVDKVSSCVSMLKGTLQRKKLECQVEKEAAEDGLNEIFCIREPLFQSAFNEEESWNQQKLVNVQGDFTDQVNDPGVMQTLEGTTNFVLDGFANQTNQIQGRTASGEPSQSESSAAAPVISSGLDACEGPSNSNQTLGDSSWKQVGESTQNKVRGVREQIMDNLKDDRKRKSLERYGSVTSAVSDGKMDNTKKRRVERSRKMAEAKERNLTPTIPSDMQAILKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEDLQKQNEDLADEKERLLEEIERILSETGKI >RHN71769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2515479:2517982:-1 gene:gene7404 transcript:rna7404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monodehydroascorbate reductase (NADH) MENTEENKSKVVVIDSLQSWEFHVNQASNQNSPIVVHFTASWCMPSVAMIPFFEEFASDYPDFLFLSVDVDEVKEVATKNDIKAMPTFLLLKDGAPSDKIVGANPEEIKKRIEGFVQSTRASIA >RHN80449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38210006:38212024:1 gene:gene4378 transcript:rna4378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone 7-O-methyltransferase MASTNNQLEATELFEGQSLLYMHMFGFLKSMALKWAIQLNIPDIIYNHGKPITLSDLVSTLQVPISKQSLVERLMRFLAHNGIFVIHEKGENDDDDDQAYALTPASKLLSKSCCKDHCLTPMVLMSTDPILMGKFHQLGDWICGEIPTLYEAALGSTVWEFLEGKPEYLSLFNQAMASDSQMVNLALKNCSKVFEGIDSIVDVGGGTGTTARIICEAFPKLKCVVYDLPQVVANLSSACDNLSYVGGDMFKSIPQADAIMLKWILHDWTDEICIKILKTCKESVSSNGKKGKVIIMDIIINEEDDEKDMTETKLCLDLIMMGINGKERTGKEWKHLFVEAGFKDYKIFPLFGFRSLIEAYP >RHN42310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37310186:37316938:1 gene:gene48738 transcript:rna48738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nonaspanin (TM9SF), major facilitator superfamily domain-containing protein MGSSSVIWIHLLISLLAATHVRSDASDHRYSDGDAVPLYANKVGPFHNPSETYRYLDLPFCVTGHEKEKTEALGEVLNGDRLVSAPYELKFKKEKDSTVVCKKTLTREQVNQFREAVKKDYYFQMYYDDLPIWGFIGTVDKEGKADPSEYKYFLYKHIQFDVLYNKDRVIEISARMDPHSVVDLTEDREVDVEFMYTVKWKETDIPFEKRMEKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFMKYAQDEEAADDQEETGWKYIHGDVFRFPKFKSIFAAALGSGTQLFTLTIFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYIQLEGTNWVRNLLLTGCLFCGPLFLMFCFLNTVAIAYSATAALPFGTICVIVLIWTLVTSPLLVLGGIAGKNSKTEFQAPVRTTKYPREIPPLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGSVGFRASLLFVRHIYRSIKCE >RHN63044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47897874:47898113:-1 gene:gene25696 transcript:rna25696 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKNVVAMMVDSVTHFVNTRWALQERRWSNTFHIVMSATVSVTMPFVLCFLSAYNHMQRRGDIVFFASF >RHN67979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31904687:31907020:1 gene:gene16249 transcript:rna16249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bis(5'-adenosyl)-triphosphatase MTLDLTRSTIAQCFIQLIFLLPLSTCVLLCLLHVLICPKREVKRVADLTDDENIELWRIAHKLGRQLESYHNASSLRLAIQDGPQAGQSVPHVHIHILPYWKNGDFENNDEINEKEKELKRKVVVDIERKDRSLEEMAQEANEYRKFVIS >RHN73054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13058436:13065814:-1 gene:gene8831 transcript:rna8831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome B MASTSRGEKTEPNTSSSSSQRRHQLQITTTKEPEQQNNNNNKSMKKAIAQYTEDARLHAVFEQSGDSFDYSQSIRLTTAAHSQSVPEQQITAYLAKIQRGGFIQPFGSMIAVDEPSFRVLAYSENARDMLGITPQSVPSLEDDDESSSSGFNIGTDVRSLFTHSSGVLLEKAFAAREISLMNPIWIHSRSTGKPFYGILHRIDVGVVIDLEPARSEDPALSIAGAVQSQKLAVRAISQLQSLPGGDVKVLCDAVVESVRELTGYDRVMVYKFHEDEHGEVVAESKRIDLEPYIGLHYPATDIPQASRFLFKQNRVRMIVDCNASPVRVFQDEALVQPVCLVGSTLRAPHGCHAQYMANMGSIASLAMAVIINGNDEDGVGIGGTGRNSMRLWGLVVCHHTSARCIPFPLRYACEFLMQAFGLQLNMELQLAAQSLEKRVLRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCNGAALFYQGNYYPLGVTPTESQIRDIIEWLLAFHGDSTGLSTDSLADAGYPGAASLGDAVCGMAVAYITEKDFLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSMQWDNAEMDAIHSLQLILRDSFKEAENNDSKAVVHTHMAELELQGVDELSSVAREMVRLIETATAPIFAVDVNGRINGWNAKVSELTGLLVEDAMGKSLLHDLVYKESQETVDKLLSHALKGEEDKNVEIKMRTFGPGNQNKAVFIVVNACSSKDYTNNIVGVCFVGQDVTGQKVVMDKFINIQGDYKAIVHSPNALIPPIFASDDNTCCLEWNNAMEKLSGWSRTDVIGKLLVGEVFGSFCQLKGSDAMTKFMIVLHNALGGQDTDKFPFSFVDRHGKFVQTFLTANKRVNMDGQIIGAFCFLQIVSPELQQALTVQRQQDSSCFARMKELAYICQEVKNPLSGIRFTNSLLESTCLTDEQKQLLETSVACEKQMLKIIRDIDLDIIDNGSLELEKREFLLENVINAVVSQVMLLLRERNLQLIRDIPEEIKALAVYGDQLRIQQVLADFLMNVVRYAPSPDGWVEIHVFPRIKQISDGLTLLHAEFRMVCPGEGLPPELIQDMFHNSKWVTQEGLGLSMSRKIIKLMNGEVQYVREAERCYFLVVLELPVTRRSLKNVN >RHN65099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64174382:64178961:1 gene:gene27996 transcript:rna27996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-associated protein MLKVREREMVRCEKQYGKEPPVVLSDPMVLEINRLHNQFKEKVKDVATCQSEIKALRSTEALKDKAIEELINEVSKLDERLRVTEDRLKQKNIGIKKLTDKKKSAMAAQYAAEATLRRVHANQNDEDSVPIDNVIAPLQAEIKMYRNEITALQEDNKTLERLKKSKEKALLDAERILQSALEKALIIEEVQNQNFDLKRQIDIYQEETKILEKSHRQKILEVEKLSQTIQELEEVILANGATANVVRDYQRQFSELQEEKRTLERELERVKVSANRIANGKANEWKDENDKVMPVKQWLEERRIIQAEMQRLKDKLAISERTAKAESQMKEKLKVRLKTLEEGLKHSSISKAEKSNIISSPTASGGPKKRSTSQPRASAIGSPLFQQTNIKNNTNTVDGNLKQENPIKMKYTSAENMLKKRIWTSRSKVADGGEKENEIQVNTDMNLNQFNAESEAAEIKTIVDVNEDFQSKKPNYSCSDDLVSGFLYDRLQREVIKLRKSCETKDSRLQAKDEEIKMFMKKVDALTKSMEVECKKMKRDAAAREKEVSTILSSDDNRKNRNEDSSKRVMKKH >RHN74114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28107892:28114196:1 gene:gene10097 transcript:rna10097 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIKKPLFRIAPQAPIKLSNFTTIGQKDLTSNKGHDTAKEKFDAKKVTNEASVTKNHESRQNQDPRGNLITQSKGSLQKKEMFNPKRVTNEALVTKNQGSKQNQDPRGNLMTQTKGFVQNQHPNKQPARKVVLEEVESPMKITYAENDNLGTRENPKKWVGDEDDEAMESQQSATKKTKRIPLCKSTTIVEFLKENNVLSDEEDEEELENEDEDGEYAMEEENEEEDGENNGTIKKKTRGSTQCLKIHARKIQDRQEVILDDIGEPIGPDENVVSDLSMFLGTVARNSAFCPFLYTNFKKVVENHGEAIWNFVQGIFIIPEKGRKGVFS >RHN76901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2227801:2233321:-1 gene:gene290 transcript:rna290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PRP1 splicing factor, tetratricopeptide-like helical domain-containing protein MNFLNSKPPPNYVAGLGRGATGFTTRSDIGPARATTIGNPEYFRGKEPANDEDDEDHTQYDEFEGYNNAGLFNSDDYDFDDKEADEIWDSIDKRMSRSKRNDRVLTEENSKPKFTEQFSGLKRNLYTLSMKDWESLDEFQSGIHSLRNKKKTRFESFVPVPDTLLEKVMKEKQHVSVIGGNDLNAVGEGRGNVLSLKLDRLSDSVSGMTNVDPKGYLSVLCNNVIASDTEVSDFNKARLLLKSVTRTNPKYACGWIAAARLEELAGKVKEARLLIKKGCEECPKNEDVWLEACRLVNPDETKGVIAKGVKFIPNSVKLWMRASDLEDDDVNKSRVLRKGLECVPNSVRLWKAVVELANEEDARLLLHRAVECCPLHAELWLALARLETYDNAKIVLNKALSRLPKEATIWIAMAKLEEANGNTDKVGKRIQKALEEGGVVINRETWMKEAEVAERGGSIETCRAIIKNTIGIGVEEEDRKRTWVADAEECEKRDSIETARAIYDHALNVFLTKKSIWIKAAQLERSHGTRESFDGLLRRAVTYIPQVEVLWLMLAKEKWLAGDVPAARALLQEAYAAIPNSEELWLAAFKLEFENHEPERARMLLAKARDQIGGTERVWMKSAIVERELGNVEEERRMLNEGLKQFPSFYKLWLMLGQLEERLAKTTKRQDQPEKRHSHMMTAKKVYESGQKNCPNSVPLWLSLANLEEEMNGLSKVRVIFTMARKKNPQNPELWLAAIRAELKHGYKKEADTLMAKALQECPNSGILWAASIEMAPHPQRKTKSKDALKKCNSDPHVIAGTAKLLWHHRKVEKARTLLNTAVTLAPDIGDFWVLCYKFELQHGTEENQKDVLKRCVAAEPKHGEKWQAVSKALENAHQPTESILKKVVKYTSEG >RHN80263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36618990:36622847:1 gene:gene4166 transcript:rna4166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MDSDQGKLFIGGISWDTNEEKLKEHFSNYGDVLNTSVMREKNTGKPRGFGFVVFSDPSVLDRVLEDKHVIDGRTVDAKRAFSREDQQISVSSRSGNSNSGMNSGNGGNMRTKKIFVGGLPPTLAEEKFRQYFEAYGHVTDVVVMYDQNTGRPRGFGFITFDTEEAVDRVLHKTFHDLNGKQVEVKRALPKDANPGANSRMGGAGGGSGGMGGYQGYGASGGNQNAYDGRSDSRYMQPQSAAGGFPPYGSSAYSAAAGYGYGSASNGLGYGAAYGSYGGAAAGYGGPAAATYGNPNVPNAAYAGGGPRSSWPAQAPSGYGSMGYGNTAPWGAPSGGAGSGAATAGQSPSAAAGYGNQGYGYGGYGGGYGASDNSYGNPGVYGAVGGRTGSAPNSNASGQGGSELQGSGGSGSYMGSGYGDTNGNSGYGNAAWRSEQAQASGNYGTPQGNGGQAGYGGGYGAAQSRQAQQQ >RHN57939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42655509:42658881:-1 gene:gene33531 transcript:rna33531 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKTEPGSPPDSNSTNPNNLEDKSIHDLVLVLRGTCQWETFDSVEAVLENRYMRLREENQKLQQSFEMERLKLREEFHLERLSRVYAESEFKKREEICEKGKKVKESYEALLKEVKVNRLVDRKTNVELEKKNNELEVEIKNLKEKCVDSSNEVDVIRTKIVELEDEVLELNKIKKKWEEDDIELGELRKMSGELESKVLELTKSKEKWLDDKNALDGLKIKNDELKETAKKNLVMMSDLRHESRKLAEEKREAETLLEALKRKFIRLRERVTTLEEDLILLSGLDASIGGNIEGDPSADPMVPSFEESEQEDEEQDVPMADSFEENEDENAGDDEFINVVVDEAAPLPRNEDDHHTLGVAASTQPPSKGSKDAQGASSSGEECWMVYARKPRKTVEMAGSCGAGGRVKLENEIEIINLDDDDQSMPQGIHEKKASFGITVKIEEPSSSGALTTQQKSKFGNAVDTVKRKFSFSDSETSTSTSSDGSCLDNLTIRSDAKKKKM >RHN64629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60593687:60598721:-1 gene:gene27461 transcript:rna27461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytokinin dehydrogenase MSRYFQLSKALVILLCLNIVVHTKQAQLQPWSPLDAPKEILQMLSRDPVTISLASTDFGHIIHQNPFAIFAPSSINDISKLIKFSNSLPIPFTIAARGQGHSVNGQSMTNDGIVVNMTELNNELRNNGNGIVVFDKYVDVGGGQRWIDVLHASLEKGLTPLSWTDYLYLSVGGTLSNAGISGQTFRFGPQISNVHELDVVTGKGDLVTCSTEKNSELFYAVLGGLGQFGIITRARIALGPAPKRVKWLRLLYNDFSAFSGDQEHLISLNGIDETNAPNYVEGFLLLNQPPLDLSFFPEIDQPRITSLVTQYGIIYIVELAKYYDENSQDHVDQEIEILLQGLKFVPTFKFEKDVSYEEFLDRVHTDELTLRAQGLWNIPHPWLDLFVPGSRMSEFNEGVLKGIILKQNITAGLVIVYPMNRTKWDDKMSAVTPNEEIFYVVDFLRATGFDNLEAYKSQNQQILQFCKDVGIEIKHYLPLNRTHEEWVEQYGLKWNAFEKRKNQFDPNKILSPGQGIFN >RHN68127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33114679:33115611:1 gene:gene16428 transcript:rna16428 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLFQQSQSSWAFHPKAHCCIVSEQQMHHFFYCRQLQLNPLGVHFCHNEPHSASTPQAQRKVPECQIKFQLSRERPERFARNSD >RHN51145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14424679:14425104:-1 gene:gene35530 transcript:rna35530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MVHYLYYCSTKVVLKIHLWFIYSFFIHHHTEHSIPVNVSIFVAELNPFLTWKGVDQVFNNNELLLLKSIDLSSNHFSGEIPPEIANLIQLVSLNLSRNNFTGKIPSNIGKLTSLDFLDLSQNKLRG >RHN67009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22812791:22813589:1 gene:gene15138 transcript:rna15138 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHISQYFLLGILCIALVLASGSKIPSLSECVVPTPCVNPNSCNAECSTSGYQRGVCLYFPPQHSWLCCCV >RHN65706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4621144:4623476:1 gene:gene13579 transcript:rna13579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MAQFHVLAVDDSVIDRMLIERLLKTSSFHVTVVDSGTKALKFLGLVEDEVRNEKPHSIAAETDQVVEVNLIITDYCMPGMTGYDLLRKIKESNSLKDIPVVIMSSENVPSRINRCLEEGAEEFFLKPVQQSDVNKLKPHLLKTKVKNEDDLINNKRRIHNNAIPLKN >RHN78271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13464334:13465257:1 gene:gene1805 transcript:rna1805 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAGGSSSNMDIDTPAYEIKGRTMSIEEWELIIQAENPVDFASLTHHGCDLVKFYKKQKLMSYFSLLNGPTYEVLVRQFWVRASIFDKVAAKQEEAQLILVDPTLEGKTREEMGLLAFIGTEIRSNVMGIPVTINEQVIAQAMRRDAAGTYDGEEIPNPRTSSWKEIVNNTIYGSKDAKPYSTLSMEKKMLLKI >RHN53117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42114394:42119886:-1 gene:gene37885 transcript:rna37885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein Ist1 MLHRSFKPAKCKTALKLAVSRIKLLRNKRQTQINLLKRELAKLLENGQDQTARIRVEHVVREEKTMAAYELVEIYCELIAARLPMIEAQKNCPIDLKEAIATVIFATPRCSDIPELADVKKHMTSKYGKEFTSAALELRPDCGVNRLLVEKLSAKAPDGPTKIKILTAIAEEHNIDWEPKSFGDNDTKASHDLLDGPSTLQKPAYEEPFQAHVPPPVHVEARPPSSHATSQPKPMHDAYTSSYEQSANAAARNANNSTTSGMPITETRSSGGGSQEMDFRDSYSENRSSFPTGRQNWNMEFKDAASAAQAAAESADRATMAARAAAEFSNRENMKRQHSSGSHSSPGRGSRDEAPTDSSGFVNSPIRKSSSGIHNEQIITGEQDNLGGRSNENYSNSHQNVVKDSRPASTIGGSVGDDNPFAHGSPMADTDHHDTFFKQESSNLYAMSMKKQASRAKEDFDTEHADVERNTENSYHFEDASTNRQSGHSSSSHPFIPSNDPDDNLNSYEWTTGNKAAEDLFVTEVSTQEPTSYNHTSVVFDDSESDDGDYKFDDDKKYNSGGSGLLFSSPSSKSQVDPFENTNSWNSGKNTDVKETSSGTQSHFSVSENFMTSEVSFDKDPLPATFDDSDDPGSDSETDLVKSRVSRTFDDGSSVLDQIANHGTLGSSSGKVKNLGTDRNSWSSPSSVGSDYVEEHSVKKVDVTNTSEKSYGYNDLPTSEPSSTARNSNLHLNSKADIHTLQPPNNFDDAETSDKSHIDSGMELSYGTLKGGFRNKGYIRPPYIKNTSDDVSTSLGNISIKNERLPTVRTSTNFDAPVHDKYTTESGGNRNVGSKAHNKSSDSDSYDLVADSQESISIHEPRIKNELSDAKKKSSSRTSIPFFDSDDSESEAVRHKQSSASVARPVSRVSRRTSASPKTGTVLSSDHAPSSEAPVTPGSRLGWKSSRVSYESSENRGGSKPGSAENEASKPISEPNRSLDEEIVTSSSRVQPSSSLPNTGIQDSDPPSKQKADHVHPKLPDYDSFAAHFMSLKKGRP >RHN40642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18758305:18767953:-1 gene:gene46824 transcript:rna46824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 23S rRNA (cytosine(1962)-C(5))-methyltransferase MKQLLTKSLSVCSLPSTLQQIASHQPKGVAKVVLKKGKTQLFKDGNPMVYSGAVDRIIGRPPPKTGDIVLVSDGTEKPIGWGLYNSVSMFSVRLMQLEDEATSDLACALNMEKLLQTRIDAAVELRRRLGLPSVHTNAYRLINSEGDRLSGLIVDVFGDIAVVASSAAWVEKYKSEIETCIRKINYINHINWRPSIDILKEDGVNVSDSTEMLSSTCPERTKIMENGIVYTISLKGQKTGFYADQRESRQFISRVSDGQKVLDLCCYSGGFALNAVRGGALNVTGVDSSLPALELAKENVALNNIDPGRISFLKEDATQFMKGALMRDESWDIVIIDPPKLAPSKKVLHGASGMYRNLNSLAMQLTKRGGLLMTCSCSGAVTQSGIFMRILQGAASMAKRKITVLRDAGAACDHPIDPSYPEGAYLTNILLRVS >RHN72023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4436520:4437433:1 gene:gene7690 transcript:rna7690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MVLLSQSQPELPLNENDSQDMVIYQILNEANALTNTYVPHRNHHHQAMLSCLEPTKTIAKKHYRGVRRRPWGKYAAEIRDSARHGARVWLGTFQTAEEAAMAYDRAAFKMRGSKALLNFPAETVAAASMQIEFSNSKLNLNNSNSNNHHDSESSASS >RHN71414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58826926:58831767:1 gene:gene20094 transcript:rna20094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MKDGWGSWYLHKQITHNYKALLMMMRLALVVTTAVLLVIIGCSFAEQCGKQAGGALCPGGLCCSKFGWCGSTGDYCGDGCQSQCSGSSGDLGSLISRDTFNNMLKHRDDSGCQGKRLYTYDAFISAAKAFPNFANNGDTATKKREIAAFLGQTSHETTGGWATAPDGPYAWGYCFVREQNPSSTYCQPSSEFPCASGKQYYGRGPIQISWNYNYGQCGRAIGVDLLNNPDLVATDPVISFKTALWFWMTPQSPKPSCHDVITGRWSPSSADRAAGRLPGYGTVTNIINGGLECGRGQDGRVQDRIGFYKRYCDILGVGYGDNLDCFSQRPFGSSLSLSSLFLNSIDT >RHN66331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10958025:10958806:1 gene:gene14291 transcript:rna14291 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDAGCFSSGQTGWGLILKNKTQTGIVTHSKCKLESVEVDPCLAEALGVRWALQEAMRLGIQRLMLSLW >RHN61949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39577987:39580621:-1 gene:gene24465 transcript:rna24465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MRAAAVAAPRGFCRFFCTKPTASSPTVPLSSGVTSALKTAEPNEIVFVTGLNKRTTSETLREAFKKFGEVKQVRVVADRVSGYSKGFGYVRYATSEDAAKGIQGMDGKFLEGWIVFAEYARPRINAIASQTHTEPSNSKPDEKRTTPEKNGEMKKETAGEKKNKKKKITAKVVADQPMDKDKDKK >RHN38788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1977009:1977820:1 gene:gene44755 transcript:rna44755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MSLPSFLLLSLTIFLCSFNPFVDANHLIQQTCKNCSKSDPNISYKFCITSLQSDHRTQCAKNLEELGLISIKITRHNVTNTCDYIKELLEKKTRDPFIKECLDDCLDVYSDAITTFREAIRDYKAKRYEECNIKLSSIIDDSTTCEDGFKQKNDVISPLTKRNKNIFQLSAIALSIVNMLNKDKLNGTF >RHN54382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9140124:9140423:1 gene:gene29402 transcript:rna29402 gene_biotype:protein_coding transcript_biotype:protein_coding MDFANDTVEIWVMKEYKVHSSWKKTLVLSIDGISTKLFSPICSTKSGDIIGTEDGWRLVKYNDKGQLIEWHYYSSSTHGTEVALYTESLLSLPGDNEQA >RHN44730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7814840:7820074:1 gene:gene38886 transcript:rna38886 gene_biotype:protein_coding transcript_biotype:protein_coding MNNYREMEYKRETSSVILKLMGLDKVPSQNSPVRNRHKVLSEDYLQKVASIGVRKKRSSVQHHSFGTSSDKKERSNDVLKVVKIIRRDKNHNPSKENLLHQQKTNNRLRIVVHGGDGLFETYRFSKSQFDGEDVTFNSRISVTKANPGKEGNGEIKRNIRCKVGFSYSFARKVPIRKSLGAASIISDNCGTLTTEDLFQKYWGLRKNVSANRSNEKSENQNINQKDCSEDMNLNSSSEKSNSFSSYFSSNETENCTDLHKMKKRCYRNDLSETEPMLSQLSSSDPSPSFIESQILQQTCLMNEDVKNNEDGDISKKITMSLGSSVDFLVLDAKSKVVGCSDNTSTTEQSESKVSVITLGDIDSLSHSSCASKQQETSECQEDSAYSLCTEADTDSLGNFQGAFEPSPISVLDSTFSEDISVISECGGSGVYDSSDVDDEGLELNVSSDEDYGNESVGDFEEKKDITGLSRTEESRDFSYVVEVLTEAGISNANLFKDISTWHSAECPISPSVFETLEKKFGEQQLWKRSERRLLFDRINLGLLEILRPYLYVPMMEKPVSRRMNSEPSQNMIEDEMWGLLVSQEKKAGKESADNMLGGGEIRWIELGEDVEDIVREIVKLLVEELVDDIVGLENF >RHN79896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33322635:33322858:-1 gene:gene3751 transcript:rna3751 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLSRILPRIRWKSIAYGLLFCYTYDSCHGINGALPLRHLVNQPAIHGQLYHVSHTHNLLFIFATLWL >RHN41201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28089866:28090695:-1 gene:gene47494 transcript:rna47494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSNIVQSYLSHDCVSFASNKKKKEKSGRTIPEEIGYLDKLELLLLSNNSLSGSIPSKIFNLSSLTNLEVDQNSLSGTIPSNTGYSLPSLQYLFLDHNNFVGNIPNNIFNSSNLIVFQLYDNAFSGTLPNIAFGNLGFVEFFLIYDNNLTIHDSHQFFTSLTNCRYLKYLDLSGNHIPNLPKSIGNITSEYIRAESCGIGGYIPLEVGNMSKLLFFDLYDNNINGPIPRSVKGLQKLQYLSLSKNGLQGSFIEEFG >RHN47444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39796007:39798469:1 gene:gene42047 transcript:rna42047 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHNQNFTSIDPLFTKNYYLFIGKGYKLSYGAVEFRIWFPMNSYIFSCKDVGTPLLS >RHN75004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38650452:38651717:-1 gene:gene11150 transcript:rna11150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MLFQEEARHERIRISRALFQCKLAEGNPVGPHVLQMIGYIENLERLGYPLGLALATNLILQSLPDSYSQFVMNYILNKMDKSLPVLASMLRTAEQILEKGKGKTILMVQNGKEQKDKGKKKFKPSTSSLKRTSGNLSLQSILEKDKLSIQNFPEWYSYLRIVLKHEKKLYVLEHRLPEAPAAAAPKADKDAYKKHNDDALEIGCFMLATMNSELQKQHENMEAYDMIVNLKMLFQEETRHERFWISRALFQCKLAEGNPVGPHVLQMIGYIENLERLGYPLGLALATNLILQSLPDSYSQFVMNYIMNNMDKSLLVLASMLRTAEQILEKGKGKTILMAQNGKEKKDKGKKKFKPSTSSLKPVGGVRKKGACFCCGQTGHWKRNYERYLELEECKKRKVSDASIKVYLFQKQIYLLLRFEY >RHN53893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5087555:5087882:1 gene:gene28854 transcript:rna28854 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQPLFDGPSFDLGIEEDTENLSLLIVEDTLDKEVESDQDQEVISQIDNEVKCLKTKSSNGMEGESNAREEKHGLDLQG >RHN73949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21994483:22000991:-1 gene:gene9849 transcript:rna9849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MSTVGYRSKDECSVIGEKAEIGFLDFEEERSVCSYVADDGAPVIVSVPFAFKNGKPQSVSVGDTAVELITINNTTEEPVDLWSVHIFASNPPDSFTLSLTEPPPANSNAESFIESFRVEDRMLQPGEILKIWLSCKTKDMGMYSSVVYFDVGDEKIERVVFLLVEDKISKSLASNRPYSRTRKKDKFVVDNFVPGSRPLAKSNRKYVNRLPRYEVPKDIRLLLEGNQVPQVVEDGLTRRSYASYFKTLIIMEEIQLEDDMSTYDMEYITMRRRGNNFLSLEVPGLAERRPSLVHGDSIFARLASEQDEGATRVYQGFVHRVEADEVYLKFDHEFHFYHRDEDLYNVYFTYNRINMRRLYQAVEAAENLGAEFLFPSTSSKRRSIKTTDLVPISGSLNEEQMCSIKMILGCRGAPPYVIHGPPGTGKTRTIVEAILQLYQHHKNTRILVCAPSNSAADHILEKLLAEKDIDFRENEVFRLNATARPYEDVKPEFLRFCFFDEMIFKCPPVNALNHYRIVVSTYMSASLLFAEDVARGHFSHIFLDEAGQASEPETMIPIAHLCRRDTVVVLAGDPLQLGPVIFSKKADEYKLGVSFLERLFKCELYGSGDVNYITKLVRNYRCHPEILYLPSKLFYFGELMACRDPSTFMVTAEFLPNKEFPVLFFGIQGCDEREGNNPSWFNRIEVSKVVETVGKLINGGKIREEDIGIITPYRQQVLKIKQTLENLEMPDVKVGSVEQFQGQEKEVIIVSTVRSTIKHNEFDRIHCLGFLSNHRRFNVAITRAISLLVIIGNPHIICKDDHWSQMLWHCVDNSSYLGCSLPERIEHYDEGVGENSGFGYDEGNTWPSNNIEGGQDFYQSEFPKPGTDETGWSDGWNTFPSNNVESGHDGWNTLPSNNGESGQYDWNTLPSNNAEAGQDSFQSDLPKPVTDEAEWSDGWK >RHN59731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12719418:12719555:-1 gene:gene21836 transcript:rna21836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PsbN METATLIAISISGLIVSFTGYALYTAFGQPSQQLRDPFEEHGDS >RHN62240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41954629:41955357:-1 gene:gene24794 transcript:rna24794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MAFTTNIVHVLTLLLVIISASMFTVTVANKDWPSFGNFNYTDWWSRFGNHHHQINKTEQQPKKIIVGGSQNWHFGYNYSDWAIKNGPFYVNDTLIFKYDAPNATSFPHSVYMFPTWQSFMKCDVKKAKMVANHTQGVGEGFKFVLNKWKPYYFSCGEKNGLHCNVGQMKFAIMPMIRPFWSWP >RHN40162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13784841:13788755:-1 gene:gene46276 transcript:rna46276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isopenicillin N synthase MHFIMRVRASHHIIKPIHITQKTLPSLHSFSRHPSLTMELPVIDLSSYLSAVSNDRDPRLQSLCADVSRTLSETGALLVKDPRCTVEDNDRFIDMMENYFSAPHEFKIRQERPHLHYQVGVTPERVEVPRSLVDEEMQEKVKEMPEEHRPHVPVGADLKWRYFWRIGDRPSNTKFQELNAEPVIPEGFPEWKETMDSWGYKMTAAIEVVAEMAAIGFGLPKDAFTSLMKLGPHLLAPTGSDLEKYGQVGTVFAGYHYDLNFLTIHGRSRFPGLNIWLRNGQKVEVKVPVGCLLIQTGKQIEWLTGGDCIAGMHEVVATNRTIDAINAAKEQKRSLWRVSSTLFAHIASDAVLKPLGRFAESPLAKKYPPMCAGEYVEQELSVINLKGKK >RHN59896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14524675:14526512:1 gene:gene22064 transcript:rna22064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NTF2-like domain-containing protein MFLLWEGWNGAARNNKIRRGFRLIWHAAVWSLWRARNDRIFNNSNCDVAEIVEAIKVFPWRWTLSLFCGITRRFLLFCCLVLFWGVFSGCGVAGDPTLNSYEEDCEFADPAGSFKGLQRFKRNCTNFGSLLEKSTMNLMKWEDFEDKGIGHWRFSCILSFPWKPILSGKSQAYPCISNRLSH >RHN70832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54328098:54330305:-1 gene:gene19458 transcript:rna19458 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFAERQKVDGQCAHNKSQIEDLKQDIANFNKDKQSFSKALAKKDKSLVDVQNHIEQLKASIDRKKDEMGTDLVDHLTPEEKKLMSELNPEIKAFKEKLVSCKNDRIEVIEGKALKTELETNLRTNLKRRKQDLEAVISSADADSMVVDADSMTLEEEYERKHQEEAKELEELLDKKNSYSAKVEEYTRNIKELGPLTSDVFEMYKHRSIKDLKKRLHKCKDNLQQFSHVNKKALDQYINFTEQREELQKRQAELVVGEKVIKELISLLDQRKDESVERTFKGVASHFRRVFSELVKGGNADLVMMMKKKVCGYQITS >RHN51023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13037913:13038167:-1 gene:gene35384 transcript:rna35384 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQGLCLGALFDIAATNGLDMSRRLCIFGFCRSIEMLSDVVEDTVLEHGGEVGAT >RHN82319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52800203:52800661:-1 gene:gene6475 transcript:rna6475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ALIX V-shaped domain-containing protein MIIVCHCSQAQNDDFSVIFNLEDYKASREKSYKQIEAAIAKFREIKDNINEGLKFYVTLQARP >RHN68123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33097123:33101360:1 gene:gene16424 transcript:rna16424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MVMHFLLVLLFAVSTVVADEEPFIGVNIGTDLSDMPHPTQVVALLKAQQIRHIRLYNADQAMLTALSKSGIQVVISVPNEELLAIGQSNSTASNWVSRNVLAYYPATNITAICVGSEVLTTLPNVAKVLVNALNYIHSALVASNLDRQIKVSTPLPSTMILDSFPPSQAFFNTSMNQVLKPMLDFLQSTQSYLMLNVYPYHDYMESNGVIPLDYALFKPIPPNKESIDSNTLLHYSNVFDAVVDAAYFAMSYMNYTNIPVVVTETGWPSKGDSNEPGATLANANTYNSNLIKHVLNKTGTPKLPGIGVSTYIYELYNEDKQPGALSEKNWGLFDSNGVPVYVLQLTGSGAVLANDTKGETYCVAKDGADPKMLQAGIDWACGPGKVDCSPLLQGKPCYDPDNVVAHANYAFDAYYHKMGKSTESCNFNDMATISTSDPSHGSCIFPGSLGFSNAPAPAPSHFSGCTLLRCELRIRSLLIVIGLLISEVVLL >RHN72765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10531731:10533720:-1 gene:gene8519 transcript:rna8519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MIMIMIMAHFSRSSMQRLLYYSTPSFHLRHLSTELPRNIWVTSGSIDPTEKLMPCMIRHTYKSSSTATFHRLSPERLRNVWISGLLDPSKLLLTERRLLYTGEIDKMEDARCEIEKKDNNTESYIKQESWLKLGLGKGVISRTVLNWVFNPKSDSIPADLTFFDWRDNYKMTVIDTPDHVDFTPEVQNALRAFDGAVFVLDSVDGVQSHSIVVNKQMVTYQLPRLVFINNLDQKGANPWEVVNQARLKLQHHIAAVQVPIGLEYNFKGLVDLVQLKSYYFHGPNGSVSETFSFYAGVYSSNYSIVSYCRIMVVIEEVPADMEALVLEKRHELIKTVSDVDDKLAEAFCSGKPISAADLQEAIRRATIARKFIPFFMGSAFKYKVTCTPHPPTHPSRLLFFILTH >RHN51356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16617103:16617453:-1 gene:gene35782 transcript:rna35782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MLGFCANGFCRALVYDFFPHGSLQKCISPQNNKDDFLGWDKLQQIALGIADGIEYLHQGCDQRILHFDINTNNVLLDDNFTPKIIDFGLAKMCSKNQSRLIVFISFFASWWLAFAL >RHN65011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63629790:63633359:-1 gene:gene27901 transcript:rna27901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MLLQSTLENGSYNKLHSYKHIINGFSVHTTPSQAKRLRATPGVKLVEKDRGVKLMTTYTPDFLNLPKGLWAQVGGDKNAGDGIVIGIVDSGINPIHPSFAYQPFTSNISHFSGACETGPHFPPGSCNGKIISAKYFSAGAQASPTFDASVDFLSPFDAGGHGSHVASIAAGNAGVPVVVNGFFYGQASGMAPRARIAVYKAIYPSGGTMADAVAAIEQAVQDGVDIISLSIGPNEPTKDTLTFLNIFDITLLFARKAGVLVVQAAGNNGPSSSTVVSFSPWSVGVAACNTDRHYYSSILLRNGTIVGGVGLTGPSFGNGKVYHTLVLAKDAVKINGTFPRTPEYLEECQHPEALDPSKVFGSIIICTFSEGFLNQTSTLAAIIDTAKALKFEGFIFTANPSYGDYIAEPIPFGIPGILIPSVADSKVIMQYYEEHTKRDERGTVTEFGAAASTGEGRDASFKGRSPVVSRFSSRGPDIIDSKRTLADVLKPDILAPGHQIWAAWSPISAKQPMLTGHNFALLSGTSMAAPHVAGIAALIKQHNPSWTPSMIASAITTTSRKYDKLGDPLMAEGYEANTLHPSTPFEHGAGIVDPSRVNNPGLVLSSDYEDFISFLCSLPNIDTKTITRATGETCNSPFDYPSNLNLPSVTISALKGSIYLKRTVMNVGNSTETYLCGVRAPNRTAVNLYPTFFTITPQGTQDLEIQINVTQPSEDFSFGEIVLAGSMNHIVRITLSVVPVSTQ >RHN67619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28721169:28721501:-1 gene:gene15826 transcript:rna15826 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVDYDGVESREHSFARASNADWVEEDEEELQMFALLRLPTEKRVNLALMRKPSSRNSSMSNKTKGRMEQIDVRKLNRVYREHLVKEALATNEQDNYKLLSAIKERYNR >RHN63259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49759442:49762182:1 gene:gene25938 transcript:rna25938 gene_biotype:protein_coding transcript_biotype:protein_coding MWIGGCCWLACLGLGATAVSAAVVASFCFPFGGEISLSVLLCLHVLSVIVLAVMQEYSWVCYCFDDYIVVVMFGLLFSFIPQHHVILSLAGLLFCLDFLAAFSLGCWKVCLLLSGDPLYTAPYLYFALLTLSAIVFCVLPFRETEIATIFFFLFTI >RHN69636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45112032:45118184:-1 gene:gene18129 transcript:rna18129 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQPSNSNQHLVYTKPLSVQGSSALVPVENGEFTKADMIIRESQKLQDDLRSLGMKIKQHEDKLSLLTTEKSQLDDAILHLQVAIGKSKSSSTAKIGNADDLHITTEEEVNKQILQHEKSAAGILCQVKTHHGAQASLLGLTQDVVGVVAMLGKVEDDNLSRLFSEYLGVETVLAIVCRTYEGVKALELYDKEGYINKSCGLHGLGASIGRPLDGRFLVICLESLRHEYKNHVFVFYLWPYAGKYVVDDSQRKLDILNPRLPNGECPAGFIGFAVNMINIDNRYLFCLTPSGYGLRETLFYNLFSRLQVYKTRAEMIQALPCISDGALSLDGGMIRSCGVFSLGNREAVDVRFPRPERPLGLDQHIEMERQMMNTQWKKDKVFDDLKREKTMLDMAKFSFNKKKSDFLKYLATSSSYATQVQTAPYLHQ >RHN70829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54321264:54321740:1 gene:gene19454 transcript:rna19454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSSYTKKITLNSSDGETFKVSKMVARESQVIKHMIEEDCANEAIPLQDVTANILAMVIEYCKKHVDAAAASSDGKPSEDDLEDWDAEFVKVDKGTLFNLIDAANYLEIKSLLDLTCQTVADMMKGKTPEEIRNTFNIENDFTPQEEEEVRRENQWAFE >RHN54146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7304189:7306008:-1 gene:gene29137 transcript:rna29137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSRRFGESTKSASASNPSCSSGNSSNNDPGDVGDFECNICFDLAQDPVITLCGHLFCWPCLYRWLHHHSHSQECPVCKALVQEEKLVPLYGRGKTQTDPRTKSYPGMEIPRRPSGQRPQTAPNPPPHNNGNFTAGIGLMGGFIPTATARFGNFSFSPGFGGFGGFIPSLMNIHFHGFQDATVYGTTSGYPFGFNGFQGGNARGFNSQATGQVQRQEDNCLKNLLMLIGFLVLLTVIFFM >RHN64816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62146504:62147626:-1 gene:gene27680 transcript:rna27680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MRNLSLLKQEFLRKWIKDLKKYNSQKKNMNLFERKKAIKLSADLAMASTSKDKTTLWRKALIANTSTSIDEQDISTTSSSHKKVIRKNSTNSYSLYRKRKIGRRKINRAKERVEASFIATRLVKKRTRRLKSLLPGGKFMDDACLVDETLDYIESLKAQVEVMRCLVTASELFINPP >RHN38548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:105126:106484:-1 gene:gene44501 transcript:rna44501 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCESPPKAKEKDCVVPVTPEVVKENADFEFQSPLTLTLIRKQLNGTLSINDSHNHSPRTPKDVVFDSFAKDTRDEFRSSAVRRLRFCSSAHNHEYDSLSDQHIFESVYDNLLHFILSKQMEEEEGYLPPISNIQQCDSDDDRCCKSPPSLLRFTGIAHTCPPAPRKLKPATAHQPKIIQLELCKKLEF >RHN68910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39570231:39572526:-1 gene:gene17331 transcript:rna17331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MLTLLSSQITDLPQGRIPVQTYAIEGSDKGFEDAYKMMMDELEDGGKIYLVYPIIELSEQLPQLRAASADLEVISDRFPGYNCGLLHGRMRSEEKEETLGKFRTGELNILLATQVIEVGVDVPDASMMVVMNSERFGMAQLHQLRGQVGHGTRQSKCILISSTASGLNRLKVLEQSSDGFHLANMDLLLRGPGDLLGKKQSGHLPEFPITRLEVDGNILQDADVAALKILSASHDLEQFPALKLELA >RHN77588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7957264:7959386:1 gene:gene1058 transcript:rna1058 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LOG family protein MVERNIDLVYGGGSIGLMGLISQVVFDGGSQIIGVIPKTLVPREIIGESVGEVRAVSDMHQRKAEMARQADAFIALPGYFFLFVALTCFYNNNFPILIGGYDTLEELLEVITSPGLN >RHN81060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42997498:43001927:1 gene:gene5054 transcript:rna5054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRTPCCAKVGLKRGRWTAEEDEILSKYIQANGEGSWRSLPKNAGLLRCGKSCRLRWINYLRTDLKRGNISAQEEDTIVKLHTTYGNRWSMIASHLPGRTDNEIKNHWNSHLSRKIYSFSKISTTIEPKIMDIVRPPKRKCGKTSRWAMKKNKSYKKQTIINTKENYIEPEVQIPRTPSLDCEVTEKIIDDVEVKTTHDEKETTYELCDDILGLSELLDDINEDSGAMCISTHVKTMENCLLETSGSVSNLSQEREMMGDGGEENERTTNDDGDCLKYNLVSCEDPESSVNQTSNNGESGEWSLNMGLDLDDKWNWESVMEFSFDDDNSNREHKENLLNWLCEDDDWEGDSKRLGEIDSQKQNDLIAWFLS >RHN61534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36216061:36220188:1 gene:gene24007 transcript:rna24007 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMSTHQCGWPLRIQDHPDKGSINKAQFSGYHSPSKGLDFLNVAQHKCCNTARKCRPIFASTASDNMDPYDSDDSDKNKSQNNDEIKGKNDQVNSDRLRESLEKIVGTDDSRFSGFDLATLIRNKYGKSYDVQLIKKEFMGRNFLAMNVMWKYMEQRSFPLTEEEYILRLDDVANSLKCWGAVSHIRNSLAKSKERPRIGKAVSIFIDMDESGARANEWIYK >RHN40665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19120968:19121462:-1 gene:gene46851 transcript:rna46851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNRSLRHAGGFMIPCSNIDNVLVLDDSFLTNSLLSSSSRTRLVNHRPNVSAASLNHINGGGMMLDDHILLFDHSSLGRNNMGHHFPFELSHATHQNSTRQHHETTTTWSSEAESMCCICLNELSNGSSVVQMPQHCCSHVFHKDCIRKWIGVRSTCPLCRRNVY >RHN39806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10668957:10669442:-1 gene:gene45874 transcript:rna45874 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAVRARNHFRRSSSTPEVVLNVLNYNVQLCTTMSVENNHTLVPFVFSLLFGFLQLKYSQNPTIFQIHPKTIFVSIASSLAYCFLFWIRVKFAIHRITTLLEVFGSLSFISMVLMLLPNHTYWGEPLKYIAYTIWLLSHVVAFIIKTLRARRVMPPPLPY >RHN69610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44908472:44914109:-1 gene:gene18101 transcript:rna18101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphomevalonate kinase MAVVVASAPGKVLMTGGYLVLERPNAGLVLSTNARFYAIVKPIYPQTKPDSWAWAWSDVRLTSPQLSREAFYKLALKNLTIQTVSSSETRNPFVEYAVQYSVAAAYATADQNKKDLLHKLLLQGLDITILGSNDFYSYRNEIERHGLPLTSESLATLPPFASISFNTDDANGGNCKPEVAKTGLGSSAAMTTAVVAALLHYLGVVKISSSKDRQERKDIADLDMVHKIAQTAHCIAQGKVGSGFDVSSAVYGSHRYVRFSPEVISSTQVAATVVPLPEVISDILKGNWDHDRTEFSLPPLMTLVLGEPGTGGSSTPSMVGSVKKWQKSDPQKSLETWRRLSEANSALEIQLNLLRKLAKEQWDAYKSVIDNCSILRSDKWIEQASDSNKEAVIKALLGSKEAMVGIRYHMRLMGEAAGVPIEPESQTHLLDATMNLEGVLLAGVPGAGGYDAVFAVTLGDSNSNVTKTWSSLNVLAMLVKEDPCGVSLESADPRTNEITSAVSSIHID >RHN75458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42454946:42458392:1 gene:gene11672 transcript:rna11672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L1 MSKLQSEAVREAITGIMADSKEKNRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLESMDVEALKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRLLGPGLNKAGKFPTLVSHQESLEAKVNETKAMVKFQLKKVLCMGVAVGNVSMDEKQIFQNVQLSVNFLVSLLKKNWQNVRCLYLKSSMGKSYRVF >RHN65199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:200593:206812:1 gene:gene13017 transcript:rna13017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pheophorbidase MGNRLICMTKKDSKEVGSRSKRMGGGGRSQRKLVTEEELHLQALSMALQQHSQRFEGSMSRRIGSTSSRRRTLPDSVPVNKQDPELLVNIKTKKFVLIHGEGFGAWCWYKTVALLEEVGLQPVALDLTGSGIDLTDSNNVTTLAEYSKPLTVYLENLPEDEKVILVGHSIGGACISYALEHYPHKISKAIFLCATMVTDGKRPFDVFADQLGSAEQFMQESKFLIHGNGKEKPPTGFMFEKEQMKGLYFNQSPTKDVALAMVSMRLSPIGPLMEKLCLSPEKYGTARRFYIQTLDDRALSPDVQEKLVGENPPEGVFKIKGSDHCPFFSKPQSLHKILVEIAQIQ >RHN79225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26233964:26234824:-1 gene:gene2973 transcript:rna2973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKHFFSSASSSSSSSHSHPKQKRSVVYHGAKLHKCDLCGREFTTGNALGGHKAFHNGNNFLLGKINKQKHADGIKIETSHSCVRCSKTFSCVNALNGHMKLHSQYGSTSKKGSKNYDQDQFPPIDLRKYLPPMRYVTKKRSWNYVNDVEADVAEAMLDMSERSPNSLDGNHRVRRRIKLRRNVDKNGKIKEQGGVLVKHCVDETIVRDQKNKVVARLKIPNGLVIQNSQASNQPASNDDNEENNNTGEDDETDKNNNLPHELGLNVVRDFDLNELPVEDDADETAH >RHN59026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6785261:6787008:1 gene:gene21007 transcript:rna21007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MKALIRPGGGDSGPSNSDQVLEANPAHVKGLYGRGMVYMGNGDFEEARADFKMMQLLLF >RHN54225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7930766:7932322:-1 gene:gene29225 transcript:rna29225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MGTLQLLQLNVEQTMSLLERCSNIGELKQIHGQLLKKGTIRHKLTVSRLLTTYASMEFSNLTYARMVFDRISSPNTVMWNTMIRAYSNSNDPEEALLLYHQMLHHSIPHNAYTFPFLLKACSALSALAETHQIHVQIIKRGFGSEVYATNSLLRVYAISGSIKSAHVLFDLLPSRDIVSWNTMIDGYIKCGNVEMAYKIFQAMPEKNVISWTSMIVGFVRTGMHKEALCLLQQMLVAGIKPDKITLSCSLSACAGLGALEQGKWIHTYIGKNKIKIDPVLGCALIDMYVKCGEMKKALLVFSKLEKKCVYTWTAIIGGFAVHGKGSEALDWFTQMQKAGIKPTSFTFTAVLTACSHTGLVEEGKSLFESMSTFYNMKPVMEHYGCMVDLLGRAGFLKEAKEFVESMPIKPNAAIWGSLLNACHLHKHLELGKEIGKFLIELDPEHDGRYIHLASIHAAAGEWDEASQVRSHIKNKGLLNLPGCSTITLNGVAHEFFAGAEPHPHVREMYDMSNLIASR >RHN42205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36366876:36371902:1 gene:gene48619 transcript:rna48619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MGHNIELDAISSPQLQQKNLCCSKFFCWFHLCVSGFLHKKNFGSDSHAVAHDKPKTFYEFKEILFFDKKISGYGVSIFKGPFGVPENPEFTVGLDSQLIKPKMELLRDGRSTLLLTGLGGMGKTTLATKLCLDQQVKGKFKENIIFVTFSKTPMLKIMVQRLFEHGGYPVPEYQSDEEAVNGMGNLLRKIEGSPILLVLDDVWPGSEDLVEKFKFQISDYKILVTSRVAFSRFDKTFIVNPLVHEDSVTLFRHYTQLGKNNSKIPDKDLIQKIVENCKGLPLAIKVIATSLTNRSYDLWEKIVKELSQGRSILDSSTELLTRLRKVLDVLEDNAINKECFMDLALFPEDLRIPVSALIDMWAELYKLDDEGIEAMAIINKLDSMNLAKVSIARKNASDTESYYYNSHFIFLHDLLRELGNYQNNQEPIEQRKRLLIDANENTHDRWLMEKQQGTMTRILSNFFKLCVKPKPQQVPARTVSISTDETCASSDWSQVQPAHVEVLILILQTEQYTLPELKEKMSKLRALIVINHGLRPSVLNNFELISSLSNLKRIRLERISVPSFGTMKNLKKLSLYMCNTRLAFEKGSILISDLFPNLEDLSIDYSKDMVALPNGVCDIASLKKLSITNCHKLSSLPQDIGKLMNLELLSLISCTDLVELPDSIGRLLNLRLLDISNCISLSSLPEDFGNLCNLRNLYMSSCTSCELPFSVVNLANLKVICDEETAASWESFQSMISNLTIEVPQVEVNLNWLHAGRS >RHN63154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48944265:48952842:-1 gene:gene25824 transcript:rna25824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor STY-LRP1 family MATAPSNNSTAKVGTLGLTNSPMSSSAPKSQRGQNKPKCKQCGNVARSRCPYEQCKSCCSKNQNPCPIHVLKASTIPDNTPSSGAAPLDRKSFEPPPSISAGRAASLRQLSNSFAQFNNLHLSLRSKKPLTRKDAAAINEWRFSKLKEYKERNVDAENEAFDRYMQNVDLLEEVLSVKQSDEDVSSASEANQTSTENSEVMIPGLKLQLRSNSTRSDGVRIRIKKTVDDGLKKLKCAVDGDSNNEEIDEVSESSNKRKRTEKLSAISDLLDKINKARSEEDLQSCLEVKLQLFNSDEDSAMVQHTDNEMHQNQTAEGDAVPAKELDYSLPKLVGSSEIDQETLNIIDKHFSSLEYVEQL >RHN57371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38441277:38444445:1 gene:gene32904 transcript:rna32904 gene_biotype:protein_coding transcript_biotype:protein_coding MELEMKWLDQINEEIKNNGPTIAYEKEQWEKPSIYKVPSQVTELNKNAFKPQAISFGPYHYGEENLKLMEKHKHRALLHFLKRCGKPVELLFQRLNQVAKELKDSYKSLDPIWTNNTPKFIEMMILDGCFILEILKVNYESEILVDYDKNDHVFGEHGKLHLTPYIKRDMLILENQIPMTVLHILTKFETNVEEEDHRESLKEKIIKFLDPWPSRIIKNTSLFKTKIIRLGKCTHLLDLYRKSMIQENASHTTPSLRPPMRNLLNCEEPDEDYIIRSARELQEAGVRFKKSDSRSLKDVSFNRGVLRLPAVKLDDSTKYIFLNLIAFERLHVGAGNEVTSFICFMDTIIDTAQDVPFLSRSGILINALGNDKLVAKLFNSLAKEISMDRNGELDMVMTNMKYYCEKPWKSWRASLIQTYFRNPWAMVSLVAAFFLFALTIIQTIYTVGQFYQKDC >RHN57911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42531173:42532506:-1 gene:gene33502 transcript:rna33502 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVCCSLPSLTITKARNIVTRDCCSLTVRKTWDFGVKNARTTTKRRTRIGVVSVSDVTTVLDPAPVEITWQIVVGTIAGITPFVVAGIEFSKRIIAQKRCEMCGGSGLVFRDKNYFRCPECGGFLPWQSWKRFFSS >RHN56459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31019197:31023348:-1 gene:gene31865 transcript:rna31865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MNPLTLVKRIQQINSREAALNISEDASWHTKYKDSAYVFVGGIPFDFTEGDVIAVFAQYGEVVDINLVRDKGTGKSKGFAFIAYEDQRSTNLAVDNLNGAQVSGRIIRVDHVDKYKKMEEEDEEEAKQKREARGVCRAFQRGECTRGAGCKFSHDEQRAANTGWGDNGIAKGDNDKYDGPKKERRYGNNQPDRIPETRDRDSRSRAHGNGMELDNPSKRSDRREEKMLRRQDGDGNFVGRENTSRREEKRPRDYKDDEFEQWPREDRHRREEKRSRNGYDDREPEPRDHKREDRRSIKQDDVKFEPKSRDSDLREDKRPSRRDVDDFGSKSRETQGSREERRSRKHTDDESMPRSREDHDRKQDNRSYHKDADRSESKGRNDSDRREEKRSRR >RHN82058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50967121:50970098:1 gene:gene6175 transcript:rna6175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase, 2-hydroxyisoflavanone dehydratase MASITTDATKHIISEIPTYITVYSDGTVDRPRQAPTVPPNPDHPNSPSKDIIISQNPNISARIYLPKNPTTKLPILVFFHGGGFFFESAFSKLYHEHFNVFVPQANSIVVSVEYRLAPEHPLPACYNDCWNSLQWVASNSAPNPVNPESWLINHGDFNRVFIGGDSAGGNIVHNIAMRAGSEALPNGVKLLGAILQQPYFYSSYPVGLESVKLKSSDKDFHYSVWNFVYPSAPGGIDNPMINPVGIGAPSLDGLGCDRIIICVAGKDGIRERGVWYYELVKKSGWKGKLELFEEEDEDHVYHIFHPESESGQKLIKHLASFLHEK >RHN65655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4154454:4156993:-1 gene:gene13523 transcript:rna13523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MATIVGEAFLTASLKVLLQKIVSGEFADLFRSTKLDVPLLEKLNITLMSLQAVLNDAEEKQITNPAVKQWLDLLRDAVFEADNLLDEINTEALRCKVEAGYETQTATTKVLKKISSRFKMFNRKMNSKLQKLVDRLEHLRNQNLGLKGVSNSVWHRTLTSSVVGDESAIFGRDYDKKKLKEFLLSHDGSDGESKIGVISIVGMGGLGKTTLAKLLYNDREVKEKFEVRGWAHISKDFDVVTVTKTILESVTSKRNDTDALNILQVQLQQSLRIHKLEPLQGDDCWSLLSKYAFPTSNYQQRSNLKTIGREISKKCDGLPLAAIAIGGLLRTKLSQDYWNDVLKSSIWEFTNDEVQPSLLLSYRYLPAPLKGCFAYCSIFSKNSILEKKTVIQLWIAEGLVPQPQTEKSWEKVAEEYFDELVSRCLIRQRSINDLQVNFEMHDLVNDLAMTVSSPYCIRLDEQKPHERVRHLSYNIGEYDSYDKFDHLQGLKSLRTILPLPLHPRFSSYNYVSRKLVYELLPQMKQLHVLSLSNYHNITELPNSIGNLIYLRYLNVSHTSIERLPSETCKLYNLQTLLLSCCYSLTELPKDMGKLVNLRHLDIRGTRLNEIPVQVSKLENLQTLSDFVVSSEDVGLKIADIGKYSHLQGSLCISKLQNLTDPSHAFQTKLMMKKQIDELQLQWSYTTSSQLQSVVLEQLRPSTNLKNLTITGYGGNNFPSWLGGSLFGNMVCLKISHCDNCPRLPPLGQLGNLRKLFIVEMNSVKSIGIELYGSGSPFFNHFLYWRLLNLIRC >RHN63656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52982812:52986454:1 gene:gene26381 transcript:rna26381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MNRALLPKHVAAVVKVQNDPLKALEIFNSSKNEQGFKHTLFTYKSMLQKLGFHGKFNEMENLLSEMRSNLDNTLLEGVYVEAMRFYGRKGKIQEAVDTFERMDLFNCDPSVYSYNAIMNILVEFGYFNQAHKVYMRMKDKKVESDVYTYTIRIKSFCRTGRPYAALRLLRNMPVLGCFSNAVAYCTVVTGFYEFGDNDRARELFDEMLECCLCPDVTTFNKLVHALCKKGFVLESERLFDKVLKRGVCPNLFTFNIFIQGLCKEGSLDRAVRLLGCVSREGLRPDVVTYNTVICGLCRKSRVVEAEECLHKMVNGGFEPNDFTYNSIIDGYCKKGMVVDANRILKDAVFKGFKPDEFTYCSLVNGFCQDGDPDQAMAVFKDGLGKGLRPSIIVYNTLIKGLCQQGLILPALQLMNEMAEKGCKPDIWTYNLIINGLCKMGCLSDANHLIGDAITKGCIPDIFTYNTLVDGYCRQLKLDSAIELVNRMWSQGMTPDVITYNTLLNGLCKTAKSEEVMEIFKAMTEKGCAPNIITYNTIIESLCNSKKVNEAVDLLGEMKSKGLTPDVVSFGTLITGFCKVGDLDGAYGLFRGMEKQYDVSHTTATYNIIISAFSEQLNMKMALRLFSEMKKNGCDPDNYTYRVLIDGFCKTGNVNQGYKFLLENIEKGFIPSLTTFGRVLNCLCVEHKVQEAVGIIHLMVQKDIVPDTVNTIFEADKKVVAAPKIVVEHLLKKGHITYHAYEFLYDGVRDKKILKKKHPNWNSLRREPRSSAADYKTISLENDDS >RHN56506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31419150:31424871:1 gene:gene31914 transcript:rna31914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Type VI secretion system, ATPase ClpV1, P-loop containing nucleoside triphosphate hydrolase MPTPVSSARQFLTDEAARALDDAVAVARRRSHAQTTSLHTISALLSLPSSSLRDAICRARTAVRFPSFSHRLHLRALELSVGVSLDRLPSSKPSPVEEPPVSNSLMAAIKRSQANQRRSPESFHFYNHNGTTPSLLKVELKHFVLSILDDPIVNRVFSEAGFRSCDVKLALLQPPVQSSSRFLSSPPVFLCNLEPGRTGLTPFPLGVDENSRRIAEVIAMKGKKMNPLLMGVYAKDAFRNFVELLQKGLGGGLFPPGMSGLSVVCVEKEIVEFVKDGGSEEKMGLRFKEVGCEVEKCLGAGVVVGFGEIEVLVGDDVDGGCIKFVVSELGRLLEVYGEKVWLMGVAETSEAYSKFLRLFPGVEKDWDLHLVTVTSATPSMEGLYSKSSLMGSFVPFGGFFSTPPESKSPISSANASFTRCDKCNEKYEQEVADAFKVDPATLASNYTTSLPWFKKVVDVDTHGGLDVAKVNEENTSLNDKILGFQKKWNDICQRLHQARSHVPSLEVLRFGSGFNEGSSKDSSLNELQRSSPFSYMPKELHGTFPSKHLSPTPVHTGRVSVNVGTDRVPKVTETQQNDMTTPWLAPSRMANMSVLENKSSSSLIPVTTDLGLGTLYTSTPIAHKPDTSEFQDKIKHFEHFPESTSADSVAVNGNTSHKIARSSFPASNMATKFDSVDFKSLNKLLFEKVGWQNQAICDINRTLSLHKSGEGKSRDLHGRADIWFAFLGPDRIGKKKIASALAETIFGNTESIISLDLGFQDGLYPPNSIFECQKSLCYDLFIRKTVVDYIAGELSKNPHSVVFLENVDKADFLVQSSLLQAIRRGKFPDSRGREISINNAIFLLSSTVCKGNGSSALVEGNLFSEETILEAKRCQMQLLLGDTSEDAKRSFSTNVKIVRRKGFSKPSFMNKRKRADTSDFKEGAASKMQKQVCETSMSCLDLNMPLDEGEEGMDEDNNDHERDFVVENSDSWFSDFCDKMDEKVVFKPFDFDALAEQLLKSISIQFEKAFGSEFQLEVNYEVMAQILAAAWLADKKDAVDNWVESVLGKGFFEAQQKYHPVTKYVVKLVNCESIFVEEPDLGVCLPASINLK >RHN69974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47689204:47692542:-1 gene:gene18510 transcript:rna18510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Snf7 family protein MGNIFVKKPKVTDVDRAILALKTQRRKLAQYQQKLDAVIEAEKQAARDLIREKKKDRALLALKKKKTQEELLKQVDTWLINVEQQLADIELTSKQKAVFESLKAGSKAIEAIQSEINIEDVQKLMDDTAEAKAYQDEINAILGEQLSAEDEEEILAEFENLETQFAVEDLPEAPSTVPDKVDEKLDLPDVPTKAPVTTTSDAEVSIKRKVMEEPLAA >RHN70134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49028111:49030064:-1 gene:gene18688 transcript:rna18688 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFSPCLVHQAWLGTSKYFLYSMLLSLCAHYVWVIFSRLARHWKVEKYVRTTRRQFNVELLCYRITVRVVD >RHN66340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11061517:11071943:-1 gene:gene14300 transcript:rna14300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spermine oxidase MDSTNRSSVIIIGAGISGISAAKVLSENGVEDIVMLEASDRIGGRIRKECFGGVSVELGAGWIAGVGGREANPVWELAVQHNLKTCFSDYSNARFNIYDQSGKLIPSGIADDSYKKAVESAIQKLRDEEVEEDDEEANDDGNKVTKPSLTPKTPVELAIDFILHDFEMAEVEPISTYVDFGEREFLVADERGYDHLLYKMAEGFLFTSEGRILDDRLKLNKVVRELQHSRNGVTVITEDGCVYEANYVILSVSIGVLQSDLLAFNPPLPRWKLEAIEKCDVMVYTKIFLKFPYRFWPCGPEKEFFMYAHEQRGYYTFWQHMDNAYPGSNILVVTLTNGESKRVEAQTNEETLREAMAVLRDMFGPNIPDAIDILVPCWWNNRFQRGSYSNFPIISNGKVFYNIKAPVGRIFFTGEHTSERFNGYVHGGYLAGIDTGKALVEEIRKENERESESQTLLLEPLLALTGSLTKSKPETVSNIHKCDIPTQLYLSGKLGIPEAIL >RHN81457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46065298:46065816:1 gene:gene5504 transcript:rna5504 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVLFETPIFKPYQVLVLMAEIKTQMPIIQNPSKKFQKIMVFH >RHN43049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42819931:42820741:-1 gene:gene49570 transcript:rna49570 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRELEKQDKSTSPWRAEILPGKKRRFYLIKVTWQKPIGKESSSRHGEPNFARRNVTFSAYV >RHN73414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16175055:16178612:1 gene:gene9221 transcript:rna9221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLISKHKIFKTINTNTNHSPIFLLLSLLKQCPSTKTLQQIHTQFTIHSIHKPNHLLSQSISLKDFTYSTLIFSHITPHPNDYAFNIMLRATTTTWHDYPLTLHLYHQMKTLNISPNNFTFPFVFLACANLEEIRMARLAHCEVFKLGLDNDHHTVNSMVTMYFRCGENGVARKVFDEITEKDLVSWNSLLSGYAKLGFAREAVEVFGRLREESGFEPDEMSLVSVLGACGELGDLELGRWVEGFVVERGMKVNSYIGSALISMYSKCGELVSSRRIFDGMPSRDFITWNAAISAYAQNGMADEAISLFHSMKENGVDPNKVTLTAVLSACASIGALDLGKQMDEYATHRGLQHDIFVATALIDMYAKCGSLESAQRVFNDMPRKNDASWNAMISALASHGKAKEALSLFERMSDEGGSARPNDITFVSLLSACVHAGLVDEGYRLFDMMSTLFGLVPKIEHYSCMVDLLSRAGHLYEAWDVIEKMPEKPDNVTLGALHSACQRKKNVDIGERVIQMLLELDPSNSGNYIISSKIYENLNMWDDAARMRALMRENGVTKTPGCSWIEVGNQLREFLSGDGLTLDSIDVRNIIDLLYEELKKEGYVPKIVD >RHN80877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41492962:41495350:-1 gene:gene4853 transcript:rna4853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MPMACSRKLLSSTNFRPIPFSVQNSLRCIQNDTPFNPKDLTGLTTDLIKSYFDKGSFEEAHTLFDEMPHRDVIAWTSMITGYTSCNHHSRAWNVFTNMLRDGVKPNAFTVSAVLKACKSLKALLCGKLVHGLAIKIGTQGSSIYVDNALMDMYATCCDSMDNARLVFEDIGTKNAVSWTTLITGYTHRRDAFGGLRVFRQMFMEEGELSPFSFSIAVSACASIGCRCASEAKQLFGEMTQKDTITWNTLIAGFETLDSYESLCIFSQMVSEGFSPNCFTFTSVIAACANLAILYCGQQLHGGIIHRGLDNNLELSNALIDMYAKCGNVADSHKIFSGMRHTNLVSWTSMMIGYGAHGHGKEAVDLFNEMVGSGIKPDKIVFMAVLSACSHAGLVDEGLRYFRLMTSYYNVAPDRDIYACVVDLLSRAGRVKEAYELIENMPFKPDESIWVALLGACKKYKQPSIQKLAALKVLEMKPNKAGTYVLLSNFSAAEGNWADFASLRKLMRSTKSKKEVGRSWIELKNQVCSFIVGDIFDSSNKEVCEVLELLIRHMKDAGYVLDLDCSAHDLEDET >RHN62284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42315679:42315896:-1 gene:gene24842 transcript:rna24842 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSFPWISITWHSCFVSWSSTFFCCIFVFRCIFFFPWVGCLLGIVFLATSTSIKILRLSS >RHN61892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39169420:39170158:-1 gene:gene24404 transcript:rna24404 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGFNPTRQREDRSSDINSFLGPFQSVWEGRVILIVRRERTVKLTRSHPLLQWDSHYGSSFYLTNLFGFPLPSPY >RHN75286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40926392:40927073:-1 gene:gene11462 transcript:rna11462 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFDHSDLYSAVTVERLFWLCFVLRIRVDFELDLVCLPLKHMDAILVWIGCWLLGLPLIV >RHN78107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11966092:11969476:1 gene:gene1628 transcript:rna1628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MMKTPNLNLEAENSLEVSSQVGSNIYLQESSHDLTKDSATTSSCLTKPDINPGSISLDLTLNFDPSDEDLKETSDTNSEVLGADQAHNTVSAPTIHRVFSCNYCRRKFFSSQALGGHQNAHKRERTLAKRAMRVGMFTERYTSLASLPLHGSSSFHPLGIQAHAAMHQNHMPSSSSMLMRAHDMRPTAKFEKDCFGAAPMFMHNDDVGIFWPGSFRQINQSVHNSNLNTSFVATEPPQQTSTTSPDLTLRL >RHN61563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36396724:36403065:-1 gene:gene24039 transcript:rna24039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein disulfide-isomerase MKPPIPLSTTMIAITLATATLSLSIIPTSSWQILSSHNFTSQIQLHQHILLLLTLPWSGESRSLMNDISLAISNKPQEFQNLKLMFMYINNEKTLTDSIGVSVDGMVNVVYFHHSVGYKYSGRLSARNVLNSVHRYVIVAPEEVPFKVIDSGKDFATFVDSADVSIVLVDFCGWTQKLLAKSKKFNGTQNGTIGLHHVMGFSGENDRILASKGKTNQKVAEEGMCKAEHNINKGFCEVPSLGEFTSVNDGRLEGFKDQNSHNLNPCSFEEFERFHSFYEKFMNAVKEFFLPSERHRFGLVSDRAMLSSLGVADSGSWFAVRYLAGCSSCSHILKEEADLNYVLQRNNYFVKELEGNDHNQEATISANKPSVLLFVDRSSDSSETRGKSMEALKALRVLAQHYHANQIDTKNNDNHKKVSIRNYRGTKSTPDLLKSNSVMKAQKIKLNKKISSITIINEGKQVGVDNVASDLQVSSLNELLSYIVQQKKDGKLSSLAKDLGFQLLSGDIDISSANTQQQLHSEVQSNQISAETSQEDHTGSTAMTEGYPYKSAIEPGKNPKLVVLSSQHEVKKSSIVTSEETKAVKSEESIIDHGLPSAKIIQSEIDSSTDGSSDGNNNGKQDYFLGFNGSFFYSDGNYQLLERLTGTSRIPSLVIVDPFWQQHYVYPEEKSFNYASMYGFLSEFLNRTLIPYQWSEHVLQGQREAMRPPFVNLDFHEVDSIPRITAQAFSEFVIGFNHSNKENTSNAWNKDVLVLFNNSWCAFCQRMELIVREVYRAIKGHVDTLKGGSDNEDFDYLMMKIPTIYLLDCTLNDCHLVLKSVDQRDVYPALVLFPAEKKEPLLYEGDMAVVDVMKFVAEHGNNFNHLIRDRVLWLSETVIRNQNLRGTLQTDVHEESLHTRNKYDGALGQDKIPNQVVESNMINLPVSNGWQETLPHVVVGSVLIATEKLLGVDPFDGSKILIVAADPATGFQGLIINKHLKWTNLEEDLEKLKEAPLSLGGPVVKTGMPLLSLTRTVSGYNLPEILPGIYFLDYVVTTSIIQKLKYAKEPVDSYWFFFGYSNWEWNQLYHEMAEGAWNLSEDGARHLQWP >RHN46366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31022289:31024385:1 gene:gene40848 transcript:rna40848 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVPSIRINTNKSYVLKERTMTVPLDRLDVQVESSIDFGSLKRNGMDIENHFTAQHMIQYVSMLNGPTYMNLVKNFWVRAEVFDRKAAEEEESEMVKKNPKLKGKSRIEMGLKPFEGTEIRSAVMGMEISITTDIIARVCRCENSGLYQVEAVKSQWEDKVNEVLFQGNAKGKSSELSPVHKVFKKILSECIFQKGGGTDAPSLDHKVVLYCLATYQRINLPKYILHHMCWALKESQKNGRRQIPFGRLLSEIFVQGKLLKYLRETGVSSDEELGTCVGKIINGRTLRSMKLIDDVVTSNRDLTIETVQSDLMMDFPSISKEDNPEVLYQFIKAHFELTGKIISVASIPEKIGGAPLKVKGKRTRKEKKVEVAAPKPKKSKASVSASEEVLASEEDVQKQKVKKSDAREAALQTISNKRTRDLKASEGSTEDQVDQPEEEPSAKKAKNKPSVMPMFVPTAEQWLYARNYTATEMAKKKELRQQKKREEQLKAAGYKLALEKAAEFAALAAEVEKETVQEGVKLLSQALKDKQASEATSSEPASKASEAAHPEAHSSGTSLKADINTQIPDLPSSPSSSSTESDDQSLSQHIEKLLNRKPTKLTTYGTIDYEQTQIEFSKQRIKICEKFNLPPDHFFQPQIPEAVSIQFPETNPQNNQSPQKASEVASEATTSETPQHQESSTLHNLEKHLGGEM >RHN68559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36545839:36557411:1 gene:gene16927 transcript:rna16927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MLSFICIFLLPAPMTGTGERCHRRNNMTEQKSSACPAAAPAGISTADLEIDYFSQARKVLSERSPFDVIEEPSTSAAVEVTLPSGLASLLNRNGDNKKRQKKLNSGGGGDKKKKKSSRANEKRGFNVWVETEEYFRDLNLNDIDTLLQAYTTTYSLVSNECFKIPHLDNGSRFSVVSSENEKKIFPLLNVVSNENENADEKNDVEEVNNENENGCLVIELSDVVELERALPNNDDKNYDDSENSVGLEWFLGSRDKVFLASERPSKKRKLLGGDAGLEKVKMNSPRDGDQPYCHYCGRGDSDTDSNRLVVCASCKVAVHRKCYGVQDDVDDSWLCSWCSKQKGDVDDSVNPCVLCSKKGGALKPVYSAVDGVGSSPFVHLYCCLWMPEVYIEDLKKMEPVMNVGGIKENRRKLMCNICKLRCGACVQCTHGSCRTPFHPLCAREARHRMEVWAKYGNDNIELRAFCSKHSDLQENRSILPLGGSIPVGSEFSEANDLPVKSEHSIKIGFGNGVLESDGNSDKLNHNDEPPNGGLSVGTISAQNMLVCGAAQPHNMGVAGRTNEKVDSSNSPSFALVLRKLIEKGKVDVKDVALETGISPDTLTANINEAHMAHDVQHKIVNWLKAHVYTGAFQKSAIVSMDESGASAGSDTSPLSDSGLLDPVAVNVKSVPPRRRTINNIRILKDNKVICSSEGVTTSDGGGSIEKSLVCQPECENPGSSNKASVPDATDMNLTKSEDIFHEVQGNADDLYKSSLSVCVSEQNSTACLQNASMLSDPHIPAHSASEPPLPGFIKLEAISSYAHPYINKKLLQIRSGLPSENLMGLSGCRNSFVESSGANNCPSSENQQLICTDVSKPDPVKMEQLVRDEQMQLTEFYSEDELECDLIYFQHRLLQEAVAKKRLAENLVYNVAKSLPQEIDKTHQQRWDAVIASQYLRDLREAKKQGRKEKKHKEAQAVLAAATAAAASSTRVSSFRKDTIDESMQPENSLKLDALCGRTGPCSQPMPRAKETLSRVAVTRASSEKYSDFSLPRSDISKEQRKSCDICRRFENVLNPILVCSGCKVAVHSVCYRSVKETTGPWYCELCEDLLSRSSGPSAINSWEKPYFVAECALCGGTTGAFRKSSDGQWVHAFCAEWFFESTFRRGQIDAIEGMETVPKGVDICCICHRKHGVCMKCCYGHCLTTFHPSCARSAGLFIIMRTAGGKMQHKAYCEKHSSEQRAKAETQKHGVEELKSIKPIRVELERLRLLCERIVKREKIKRELVLCSHDILAFKRDHVARSVLVRSPFVLPDGSSESATTSLKATTEGYRSCSEARQRSDDVTVDSSVSAKHRVRVSVSIDTDPKLDDDCSTSQSHYNHKIPEKMQFSGKQIPRRASATSRNISEEDAWRSKSRKLQTNESFGKELVMTSDEASMKNSRLPKGYAYVPADCLSNDKQSNEDVYASGPGERDG >RHN39584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8422966:8430517:1 gene:gene45623 transcript:rna45623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MTHVTADEEEAPLISLVRSIPHIWTSLEHLFYINLWSNRLSGEVPVDLFDLKQLEMINLGENQFSGTIPMNMSRYLEVVILRANQFEGNIPPQLFELPLLFHLDLAHNKLSGSMPACKYNLTHMINYDRYYYAMVYSATNDAIELFTKGQEYYLYDVSPNRRTIDLSANNLSGEVPLELFHLVQVQTLNLSHNNLIGTIPKMIGGMKYMESLDLSNNKFCGEIPQSMALLNFLEVLNLSCNNFDGKIPIGTQLQSFNASSYIGNPKLCGAPLSSCTTKEENPKTAMPSTENEDDDSIRESLYLGMGVGFAVGFWGICGSLFLIRKWRHAYYRFIDGMGDKLYGILMVKLIRFRRN >RHN74874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37353284:37357581:1 gene:gene11003 transcript:rna11003 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKNLQLAAVVKLSFGYLYFDNDFFTFPCLFCGFSFLVPF >RHN53325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:969117:974211:-1 gene:gene28221 transcript:rna28221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Tesmin family MNMNINMDTPERNQINTTLSKFEDSPVFNYINNLSPIKPVKSAHITQTFNSLSFSSPPSVFASPHVTSLKESRFLRRHNQPEESKAKDSYEDVNKLYSNDGAIEEPTLSRHNSRELDESAEQLISVGDVSIELSNEETKFTDELPQSLQYNCGSPGYDPTLCGDEDNTLLELSGEAVSDDAYPQGGCVTDSVEAELHFQGTSQIDRKGESSDCDWDGLIPNSANMLIEAEAFKGMMQKSSGSPIRLSDFVPLLQQCTRNDDQKIYMVDSVASSSQHEIENHCSELVAATDTDHTQDNLANGSSMTGNSNEKMDNELVSVTHRGIRRRCLDFEMASVRRKIADDNSNTNSSTQQSETMNAANENQLLPAKRNANSQRCILPGIGLHLNALASVKDHKGKEIENLTSGRQLSLTSSTSLLLSACQEHQHLSVVSVSISSERELVPSDNGVQPTEDCPQPIAYMATEDFNQNSPKKKRRKSEPVGEIEGCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQECFNKPIHEDTVLQTRKQIESRNPLAFAPKVIRSADSVPETGIDPNKTPASARHKRGCNCKKSNCLKKYCECYQGGVGCSISCRCEGCKNAFGRKDGSASIGIEGETEEETETSDKGAEEKAIQKTEIQNIEDHPDSAGVSTPLRLSRSLLPMPFSSKGKPPRSFVTTLTGSGYLTSQKLAKPNSLWSQSKTFQTVPDDEMPEILRGGDSSPIACIKTSSPNGKRISSPNCEMGSSPSRRGGRKLILQSIPSFPSLTHHP >RHN75125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39567911:39573662:1 gene:gene11284 transcript:rna11284 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNVNGNGAPAKIATSSEKDDGEPPSKRDSRKTRENSDIDTETDRKHISSKKKSRESSESDSDKRRRRKRKSRRKYSSESDSESDSGSDSESESDKSERSGSESEYSGSESEGERKERKRKEKRRRREREEEKRRRREKEKKRRKKEKEEEERRKKEKRKMKKKEKKERGKKGAVTNLWGKYGIIRETDMWTKRPEFTAWLAEVKLVNMEHLSNWEEKQMFKEFMEDHNTATFPSKKYYSLDAYYRRQMEKEMKKGYKKIQPKERTVFNDEEQRRQEMLQAREKQKEEQVIALKREMESGMAQAMKEQAQLREEMAYQYKLGNFEAAAAIQRRLDPDAAV >RHN39748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10234498:10237796:1 gene:gene45809 transcript:rna45809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MKESIKCEEIGLIGELIQGEELAKKLYDQIFSPSSPSSSTSSSSSSSTSTSSHQVLIDKLILSIETAITMAKGNEGKIKTNNVNMMDSHCSNGSPKSEVQDSKFKHKHVSKKRKTVPKWTEQVKVYSGTAAEGSVEDGHSWRKYGQKDILGAKFPRGYYRCTHRHAQGCLATKQVQKSDEDEMICEITYKGRHTCTQSNKALPSKTKLKFGQNKSQLNQKIQPQEEKIQSPLENNFSIDDKEDIFQSFNFSSPSIGSENEDNNIFLETMIENNFMENEDNNIFSDSNIFCLSSLLDLDSTGLGPSESNITEIISGPNSIANSPMDFDLYNDINFDMDDFSINTQELCY >RHN67118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24044574:24047959:1 gene:gene15264 transcript:rna15264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MMGIARKRKVSLFDVVDDSTAKTVKTNGGLIGNNRWNGKPYSPSYFEILEKRKTLPVWHQKDDFLQFFKDNQILILVGETGSGKTTQLSFCNLILLTMRRRCHGTEAPDFFMHIPQFVLEAVDLETPDKHKKMMIACTQPRRVAAMSVSRRVAEEMDVSIGEEVGYSIRFEDCSSAKTVLKFLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFKSYFLGAPLMKVRGRLHPVKIFYTKEPETDYLEAAIWTAVQIHTLEPAGDVLVFLTGEEEIEDACRKISNEVAIRGDTVGPVKVVPLYSTLPPAMQHRIFEPAPPPVREGGLPGRKILVSTNIAETSLTINGIVYVVDPGLAKQKVYNPRARVESLLVSPISKASAVQRSGRAGRTQPGKCFRLYTERSFNNDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGAMDDEGNLTKLGKIMSEFPLDPQMSKMLVVSPEFNCSNEILSISAMLSVPNCFIRPKEAQIAADEAKARFIHVDGDHLTLLNVYHAYKQNNEDASWCYDNFINYRVLKSADNVRQQLVRIMARFNLKLCSTDFNSRHYYVNIRKTMLAGYFMQVAHFDQQRGRYLTVKDKQEVYLHPSNCLDHKPEWVIYNEYVLTSRHFIRTVMDIRGEWLVDIAPHYHDL >RHN40156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13721115:13721402:1 gene:gene46270 transcript:rna46270 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVFLFLFNCSGPQTESESSTVDIIFRCLYPSESSLHHKLMRDKLLLIRALTLWLTFLWIFFQVSIASPLLLMLSYDCKVLLFQNQNLTLRVRI >RHN65930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6623484:6628508:1 gene:gene13828 transcript:rna13828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal RNA-processing protein MADVTQVVEHGSELGKRKFGRNQGRKKSSKKPKVMKPPHGQKKVKINQQMKKLYNKRAREYNSDDDEDETTAPATGSTRHVASMKNRGVTSFTKNKHEEEDMESEDLSEDEGAAEGQRTQKKHVTDKKVNFAEDEEEEEDEIQPGITKFMEGCKAFKMAFKSIIKKSVSDDLLGPVLSDHKNLVIEKLAEEETERKIKGETKKEKLMLAEKGHVTPANYLDAHEKFLISVATKGVVKLFNAVNKAQVAQKGLDPSKNRDAKVIKKRTKEAFFSALGKPAIGTSAKANASTNKGEDEQPSWAPLRDNYMLTSSRIKDWDKNAPGKNESDDIEKISQDSSSDED >RHN43494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46294456:46295909:1 gene:gene50082 transcript:rna50082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MGCANSKRIEATAPAVYQPPPTSFAVFDINAIEEPWLKHLNNTNNTISQEEKPALPPPILHILDATEKSPQSWDEVSKTLQQLKPVVQQLPQPTPPSPPSSPPSQQPQPPRKVASFHTLEELDAKSSPKKKNESQSPKPPQPQAQPTKNKVVDVGSVRTLKPSLSSSKLKDNIFIKRDILEKQKEEKESNFERIERLRRDPLSRYPEKCPPNGNDAVVIYTTSLRGVRKTFDNCNQARDLLENHRVVFDERDVALHGEFLKEVKELLLTEEELGVGVVLPRVFVKGRYLGGLDELMELNETGRLGRILNATRVERGVGRQGCVGCGGVRFVPCWDCGGSCKLVTSDAGGEIRCPKCNENGLVHCPTCL >RHN44650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7263775:7269878:-1 gene:gene38794 transcript:rna38794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MTQAVQVLNRHGTDLVGEGRGSDSECLAGFECFLNIVRALGMGSCISEVGDGAGGSQSLLTYSQELNVDGGKRRRLKGSSTMDYKVPGRMFLNGSSEIASMYCKQGKKGINQDAMLVWENFCSKEGTVFCGVFDGHGPYGHRVAKKVRDSFPLKLSAQWDLHRKNQDGFNDQNGAATSHNSEEQIKLIDENCNHELDGTDTILALRESFLKASKIMDKELKMHRDIDCFCSGTTAVTLIKQGLDLVVGNVGDSRAVLGTRDHEDSLIAVQLTVDLKPNLPKEEERIRHRKGRVFSLKNEPDVARVWLPNSDFPGLAMARAFGDFCLKDVGLISVPDVSYHRLTEKDEFVVLATDGIWDVLSNEEVVEIVASAPRSTAARLLVESAVLSWKAKFPFCKIDDCAAVCLFLNSNTELNAEHTTDKLTPDASTHPVVDQSSLPSENGIGVEAEKLL >RHN60343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25604981:25606939:-1 gene:gene22646 transcript:rna22646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S2P endopeptidase MVIYLSPSPPSHSTPIIRLSNSKRPISDSSISLKTHFSKSLFSSSFTSSNVKLTTKKQFLHNKRNRVGFRTCRAIGGFDYGSFEGPQSVLEAAAVLTAIIVVHESGHFLAASLQGIHVSKFAVGFGPILAKFNAKNVEYSIRAFPLGGFVGFPDNDPDSDIPVDDVNLLKNRPILDRIIVVSAGVIANVIFAIVIIFVQILAVGLPVQEIFPGVNVPEVRPFSAASRDGLLSGDVILEVNGNQFLKPGPNSVSEVVDVIKSSPKKYVLLKVKRGGENFEIRVTPDENFDGTGKIGVQLAPNIKLSKVRPKNVVEAVTFTAKEFWGLSSNVFDGLKQTFLNFSQTASKVSGPVAIIAVGAEVARSNIDGLYQFAAILNINLAIINLLPLPALDGGTLALILVEAARGGRKLPMEVEQRIMSSGIMLVLLLGLYLIIRDTLNLDFFKEIL >RHN65055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63885334:63888459:-1 gene:gene27948 transcript:rna27948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, galactose oxidase, beta-propeller MADLPTEVTTEILSRVPAKPLLRLRSTCKWWRNLIDSTDFIFLHLSKSRDSVIILRQHSRLYELDLNSMDRVKELDHPLMCYSNRIKVLGSCNGLLCICNIADDIAFWNPTIRKHRIIPSEPLIRKETNENNTITTLLAAHVYGFGYDSATDDYKLVSISYFVDLHNRSFDSHVKIYTMRTDVWKTLPSMPYALCCARTMGVFVSGALHWVVTRDLEPESRDLIVAFDLRFEVFREVALPGTVDGKFDMDVALLRGMLCIIENRGSDGFDVWVMREYGSHDSWCKMFTVGQPRDVKLMKSLKPLGYSRNGDKVLFEQDSKKLCWYNLASKDVSWVRISGIPNSIEGTVCVGSLVKPSLMMNRSVQSKKQKLVEEKNKKKRDDFLSKGFKLTL >RHN73654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18620562:18624205:1 gene:gene9495 transcript:rna9495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase transcription factor WD40-like family MASNITNPPSTLGKYCVTLTSSLVLASLVALTVQVFYFSPIDPVILEIPSSASSPSSTKNNQLQNVIKLGEGFLKQPEDVCVDKNGVLYTATRDGWIKRMVRNENWENWKHIDSSSLLGITTSKDGGLIVCDASEGLLKVTEDGFSVILSQVNGSQLMFADDVIEASDGNIYFSVGSNKFGLHDWYLDLLEARPHGQLLKYNPTLNETVIVIDNLTFANGVALSKDEDYVVVCETWKFRCVRHWLKGINNGKTDIFIENLPGGPDNINLAPDGSFWIALVQLTSKRLGFVHTSIVCKHLLASFPRLINLINSATKSAMVLNVGTEGNIIRKFGDNEGKVISFVTSAVEFEDHLYLGSLNSDFVGKLPLPSAE >RHN46857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35352491:35358337:1 gene:gene41392 transcript:rna41392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSMMDHSNDFLSLIDIDTSLKIFKCLDDPADLVRVCCVSRSWRHFVVTNGLSKQLCLRMFPQLSRVASVVELNQNGVNGQTDVGSSQSISPALQKDHRVYSYFANSCLSPVAVDCIAKAIGASSTDNFPQESIDHTLDERDNVAGRFSYWSSSGQSNPNVPETLTYHLTSQICVITEINIQPFQAHFQMGSPIYSAKSVRFKMGHLKASLDDLAGETIPKKRDNLADESEKYVWTYTSPEFPMAQENRLQKFTLPEPVLCIGGILQIELLERVQRQEMDGLLYICVAHVQALGIQLSPAFTVDVLGPSGMFVLKRNHRPNCQPTVTSENESEAIITDRHFRQIVTILRAQVMGVEAMDGWDEDEYEIDDDFDEDNPL >RHN56681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32786895:32787345:-1 gene:gene32118 transcript:rna32118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MFETLCDLIPEFESLFPSSLLNEAIKVKNGLGEVSRDIFMEIGNLVFLTLDAGLDSWVNGGIHPMLCEATGYIVMVFWSKHNLEKFLREYPLVVGDGEGTSSVFYSQVELVMKQFERNLEAKS >RHN38388.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000030.1:20825:21335:1 gene:gene50797 transcript:rna50797 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKAKKAMHKSLKRINAKPSHSQPAADFLPLDCGPGRKLPELKPWKILPCFIHWSHSTRVL >RHN47637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41179367:41181357:1 gene:gene42259 transcript:rna42259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MQLFCFSPESYLILIISFLFLYLYFHFYTTSKNHIKYGFKIYPLLGALPEFILNRHRFLEWTTQVLRNCPTNTAVFVRPGKIHGIITANPENIQHILKTKFENYPKGERFINLLQDFLGRGIFNSDGDLWKLQRKTASYEFNTKSLRNFIVQNVTVETETRLIPILSKATEKNEIIDLQDVLERFAFDNVCKLAFNVDPGCLGGNGTTGAAAFMAAFEDAALLSSGRFMTLFPFLWKMKKIFNVGSEWRLKESITTVHEFADEIIRSRMEAKESPTKGEDLLSRFIGTEEASPEFLRDIVISFILAGRDTTSSALSWFFWILSSRNDVKEKIIEEIETIRLKSGCATTMFGYEELKEMHYLHAAITEAMRLYPPVPVDTKACLNDDVLPDGTRIMKGWFISYHTYAMGRMESVWGKDCNEFKPERWLENDKDGASVCRSESPFRFPVFHAGPRMCLGKEMAYIQMKSIAASVMERFEIVALEKDTCPEHVLSLTLRMKNGLPVNVSLR >RHN57685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41009846:41014122:1 gene:gene33264 transcript:rna33264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-V family MSSKNSLDVELSKKTSFLGLKRWVLIGIGVGAFIVLILGILSIWVMFRRKSRSRRSLDKSQIPDVSKDINVDKVAVQSSHVQPGNVFAPNVDNSDKISIHMRTGKFSDPDSISQCSSVYHHERGLSSLSWEDGSSGNFKKQSTLSYGGGPTTASPLIGLPEFSHLGWGHWFTLRDLEQATNRFSTENILGEGGYGVVYKGRLINGTEVAVKKLLNNLGQAEREFRVEVEAIGHVRHKHLVRLLGYCVEGVHRLLVYEYVNNGNLEQWLHGDKYQLGTLTWEARMKVILGTAKALAYLHEAIEPKVIHRDIKSSNILIDTEFNAKVSDFGLAKLLESGESYITTRVMGTFGYVAPEYANSGLLNEKSDIYSFGVLLLEAVTGRDPVDYARPSNEVNLVEWLKMMVGARRAEEVVDSRLEVKPSARALKRSLLVALRCIDPDAEKRPKMSQVVRMLEADEYPFREDRRNRKSSTTSLEIETVKDISGPSDAAKAEHSKSNVPETTLRID >RHN44250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2920126:2921162:1 gene:gene38346 transcript:rna38346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-farnesene synthase MDIHPLKLDDFYWIVNELFSSIHYHQNLNLSILSFLILNSYNNNNNNNNIVQYIFVQKEEFVVQLNKWVIEVKRHFVQELDILQKLEFVDWIQKLGLANHFEREIDEFLETIFVSVQNFNKISVQQNMHVSALCFKLLRQHGYNVFPSDILSNFLDVMDNKFSSNAKDIIELLEASHLGLEDEIILDDAKIFAKNWLKDAFISYSINIKVVERVVHALELPSHWRVPWFDLKWHVKQYQANKDMDHVLLELTKLNFNITQAKLQKEVKELSRYIFLARERNKLFLEEIIFVVAKKKSFV >RHN59320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9528610:9533723:-1 gene:gene21330 transcript:rna21330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spindle and kinetochore-associated protein MNSNSKNAGSSLDSLISSFNARILQLQELTIARNMYPASSIADLSAVDAAVSAMELQVQSIKDRFRDEIEAIPKTKKLLDASLQQQKKLQNMFHHIPSQMPDRTTLSNSEISRSLFAEFSRQDSESYEALKHVEPVALPKEKKGRGYAPTWYVTGSEMDSLSSYMRGRLTLEKVNAAITDMASYAEANAQLIVAPKKKLAENLWEKALEIRDIGGTEGIKGKHFFLETDIKGPSLKLDNTGKAILTVLRHLGRINETRVGHHRVFILQKPH >RHN41610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31470493:31471230:-1 gene:gene47952 transcript:rna47952 gene_biotype:protein_coding transcript_biotype:protein_coding MENEQITSKEMKVEIQSRSEVMSSTIGVEVQGGSNMGQGGLMRQPSMTKTNCLCSPTTHPGSFRCRLHRSPSLQRTKSIEPESIPDQTSTIGHGSIVGGDKDRIH >RHN61209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33875252:33877423:1 gene:gene23648 transcript:rna23648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:hpl1 MASSSETSSTNLPLKPIPGSYGLPIIGPLHDRHDYFYNQGRDKYFQTRIEKYNSTVLKLNMPPGGFIAPDPKVIALLDGASFPILFDNAKVEKRDVLDGTFMPSTDFFGGYRTCAFQDTAEPSHSLLKRFIFHILSSKHDTFIPLFQTNLTEHFTDLEKELAGKHQKASFNTSIGGITFNFLFKLITDKNPSETKIGDSGPTLVQTWLAAQLAPLATAGLPKIFNYLEDVLIRTIPIPAWTVKSSYNKLYEGLMEAGTTVLDEAEKMGIKREEACHNLVFTLGFNAFGGLTNQFPILIKWVGLAGADLHKKLADEIRAIVREEGGVNLYALDKMTLTKSTVYEALRIEPAVPYQYAKAREDLVVQSHDASFEIKKGEMIFGYQPFATKDAKIFDKPEDFIAERFIGDGEKLLKHVFWSNGRETDEATPDNKICPAKNLVVLLCRLYLVEFFLNYDTFTFDFKPSVLGPTITIKSLVKASSTV >RHN72543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8499285:8500661:-1 gene:gene8269 transcript:rna8269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MSMDWSRGRIIGRGSTATVYVADRCHSGGEVFAVKSTELHRSELLKKEQQILTKLKCPQIVSYQGCEVTFENGVQLFNLFMEYAPKGTLSDAVRNGNGMEEAMVGFYARQILLGLNYLHLNGIVHCDLKGQNVLVTEQGAKISDFGCARRVEEELVISGTPAFMAPEVARGEEQGFASDVWALGCTLLEMITGKMPWQGFSDPAAVLYRIGFSGDVPEIPDYVSEQGKDFLRKCLKRDPNERWSVVELLGHEFVGKFKDSVFDSETPTTVLEGGFWDWDSLETTQDVVPTLECSCSSDSSSLRNRIQSLCSDEAFWESDDDDEWVTVRSNGEEVNALSFEEIEDYESDIVCELDDHEISLVIFYEPKIVVELSRWNEIFDYLCFRSCNCGFCVRDFLSVYQCIKIVLYVTIDSKNTQVFIIPCFLLFLFFFNFSLDR >RHN54634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10918588:10920820:-1 gene:gene29703 transcript:rna29703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxymethylglutaryl-CoA reductase (NADPH) MDVQQKISKNEKKNQRQQQDSQSQQPSSSLYLTNAVFFGLFFSAAYFLLNRWREKIRTSTPLHILTVSEIVAVVSLIASFVYLIAFFGTAFIIHYDDDEDEVVDNSAKPTGGVVPKKLPMLPPPKISDQKVMSMEDEEVVGAVVSGSIPSYSLESKLGDCRRAAKIRNQAVERVTGRSLEGLPMKGFDYDSILGQCCEMPIGFVQIPVGVAGPLLLDGNEYTVPMATTEGCLVASTNRGCKAIYVSGGASAVVLRDGMTRAPVVRFNSAKRASQLKFFLEDPQNFDSLSHTFNKSSRFARLQSIKATMAGKNLYTRFTCSTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVIGISGNFCSDKKAAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTSVESLVELNMLKNLTGSAMAGALGGFNAHASNIVSAIYLATGQDPAQNVESSHCMTMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKETPGANSRQLATIVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSNKDVTKVAS >RHN48151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45426638:45427525:-1 gene:gene42838 transcript:rna42838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II PsbW, class 2 MATIIAGTSTSSIIRTSFVHKQPLGVSSHTVVGLPSIAKVGRVRCSIEGKPDVQGSSSNIGMGASLIAAISAATLSSPAAMALVDERMSTEGTGLPFGLSNNLLGWLLFGVFGLIWALYFIYASSLDEDEDSGLSL >RHN75951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46439843:46449501:1 gene:gene12215 transcript:rna12215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SIT4 phosphatase-associated protein family MFWKLASLSASSPVEAILDKDNFTLEELLDEEEVIQECKALNSRLINFLRDPAQVEQLLRYIIEEPPQDAESKRTFKFPFIACEIFTCEIDVILKTLVDEEELMNLLFSFLEPNRSHSALLAGYFSKVVVCLMIRKTVSLMNYVQAHQNVFRQLVDLIGITSIMEVLVRLVGADDHVYPNFMDVMQWLAESNLLEMIVDKLSPSCPAEVNANAAETLCTITRNASSTLAIKLSSPSSVLKILGYALEDSQSKSSLVNSLSVCISLLDPKRSSVSSPLFHSFRTQHMYEPHIPVNPETIGAMLPKLSELLMLLNVSSDEKVLPTTYGELRPPLGKHRLKIVEFMAVLLKTGNEAAEKEMVNSGTIQRVIDLFFEYPYNNSLHHHVESIVLSCLESKTVSVVDHLLRDCDLIGRVIQADKQSVLSSDRNLPTVPAAGKQAPRAGNIGHITRIVNKIIHLAHSRSHVLTCLQENSEWSEWQATVLQDRNVVENVNRWACGRPTALQDRMRDSDDDDIHDRDYDVAALANNLSQAFRYKIYGNEDNEEERGNHDRDDEDVYFDDDSAQVVISSLRLGDDQGSLFTNSNWFAFQDDRMGDANGGTTSSEMMDEINLNGAANGGNNSDDEVVVGEDEELDESKNTMNDTSSSSTNFFSGLPGSNSGNGGALNFESENASAANDMGFFRFEASDKEESFGDRPLPDWVGWGEPSDMQVTGASMNPFVDHDESCGNLSTKPQIGSPNSSSPKAESVLSNGSPTSKDLIDGVGDSTQRSAAVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRNVSPKAAEKENAEDSNPGMKEFNDANYWRVDQEVAALE >RHN78300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13823000:13827512:1 gene:gene1837 transcript:rna1837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEILISVVAKIAEYTVVPIGRQASYLIFYKGNFKTLKDHVEDLEAARERMIHSVERERGNGRDIEKDVLNWLEKVNEVIEKANGLQNDPRRPNVRCSTWLFPNLILRHQLSRKATKIAKDVVQVQGKGIFDQVGYLPPPDVLPSSSPRDGENYDTRESLKDDIVKALADLNSHNIGVYGLGGVGKTTLVEKVALIAKKNKMFDKVVTTHVSENPDFKTIQGEIADSLGLQFVEETVLGRANRLRQRIKMEKNILVILDDIWSILDLKKVGIPFGNKHNGCKLLMTSRNQDVLLKMDVPMEFTFKLELMNENETWSLFQFMAGDVVEDRNLKDVAVQVAKKCEGLPLMVVTVARAMKNKRDVQSWKDALRKLQSTDHTEMDAITYSALELSYNSLESDEMKDLFLLFALLLGNDIEYFLKVAMGLDILKHINAIDDARNRLYTIIKSLKATCLLLEVKTGGRIQMHDFVRDFAISIARRDKHVFLRKQFDEEWTTKDFFKRCTQIILDGCCIHELPQMIDCPNIKLFYLGSMNQSLEIPDTFFEGMRSLRVLDLTHLNLSSLPTSFRLLTDLQTLCLDFCILENMDAIEALQNLEILRLCKSSMIKLPREIGKLTQLRMLDLSHSGIEVVPPNIISSLSKLEELYMGNTSINWEDVNSKVQNENASIAELRKLPHLTALELQVRETWMLPRDLQLVFEKLERYKIAIGDVWEWSDIEDGTLKTLMLKLGTNIHLEHGIKALIKCVENLYLDDVDGIQNVLPNLNREGFTLLKHLHVQNNTNLNHIVDNKERNQIHASFPILETLVLLNLRNLEHICHGQPSVASFGSLSVIKVKNCVQLNL >RHN45011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10731351:10735346:1 gene:gene39204 transcript:rna39204 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRMSNNNGCSWLSSLVRYYSRVRPPRPVSVDKVIRVSNNIARLDVPKDGPKPRQLLSLPPFPSHPLPGKNSTSAPGERDRVTAVNWIKYYFKGVPSSVIESHFREGLVQMEDLIAGDSFIQNKELLKPMRKIKPNDVMKPGSRVHVPVSIAETRISRRYDAIPSGTLYPNADEIKYLQRLVMYKDFAIIVLNKPPKLPVKGNLPVHNSMDALAAAALSYDYDEGPKLCYRNGWCLPRI >RHN76052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47324469:47324872:-1 gene:gene12326 transcript:rna12326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein METEFCEFSLELEDMFKIFEHIRGFNVTICYIEPHTGQKFRSLVSVHRYLNGGETKDYLSTERMISENKNTVSSISCLRQFLVCLFSQ >RHN75171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39958402:39959382:1 gene:gene11338 transcript:rna11338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQILMFIYVLIIFLSLFLVVTNARPCNNVDDCRKHMCTPYGQLVRCINSTCECVLD >RHN60984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32049170:32052238:-1 gene:gene23390 transcript:rna23390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MDPNFPPASDFTNGYYHFGEERSILVSEFDPNQYDSYLDADPNQYDSFLEADPNQYDSFLGADPLDFAATTFPIEGCMASATDPSLEDTDFSDTGKFINQILMEENVDQRPFYDPLSLQMTEKSFYDALLHTNKPLSPNQHPLDIHNSDGTTSSNSNSNSSVDDSRESKPLSPDTPVSDHVNHVFQFNSPAVSQPHSTGVSNGLRDLDSSITQMAQNIFSDADSVSLFNKSLEEANKFLPPQPQLFTGFESSNLDIAREEENPFVLKSRKNHKREESFSQEQEEEAWRSSKQSAISAVDEDELSEMFDKVLLNVGHMPLCNEHDCLQNGQVKTEELPPSNGAKTRPKKQGKKNETIDLRNLLLMCSQSVYANDNRNANELLKQIRQHSSPSGDGPQRLAHYFANGLEARIVGDGTRAQTFYSSPSTKRISTAEFLKAYQVHLSTSPFKKFAYFFANKMIMKASANAETLHIIDFGILYGFQWPILIKFLSDREGGPPNLKITGIEFPLPGFRPMEKIEETGRRLADYCKRFHVPFEFNAIPSRYWETIQVEDLKIKSNEVVVVNSLMRFKNLLDESIEVNSPRNAVLHLIRKINPAIFVQSIVNGSYNSPFFATRFREALFHFSALYDMFDTVIPRENKYRMLMERESIGREAMNVVACEGLERVERPETYKQWQVRNTRAGFKQLPLNSELMDKFRTKLQQCYHKDFVFDVDNDWMLQGWKGRILYASTCWVPA >RHN68775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38441558:38443226:1 gene:gene17170 transcript:rna17170 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTFVQISLIRFLRGGVGNVWGLVSNIQTTSLKLGFVFFPTIQENFSYPRR >RHN56811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33923802:33925976:-1 gene:gene32265 transcript:rna32265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casparian strip membrane protein MDKGIGVELATRSSIEMGNSVVDEEFEGKEASLLRIVETFLRLFPICLCVTALIIMLKNSEENEYGSVAYSDLGAFRLYLVHANGICAGYSLFSAVIVAVPRPSTMPKAWAFFLLDQVQTYIILAAGAVLTEVLYLAEYGVPTAGWSSACGSFGSFCHKIKASLVITFIAVVCYILLSLISSYRLFSKYDAPAEFNNNSNKDIGIAAFNG >RHN80803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40961342:40963448:-1 gene:gene4770 transcript:rna4770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translationally controlled tumor protein MLVYQDLLTGDELLSDSFPYKEIENGMLWEVEGKWVVQGAVDVNIGANPSAEGGDEDDGVDDQAVKVVDIVDTFRLQEQPTFDKKQFVTYMKRYIKLLTSKLEAEKQELFKKHIEAATKFLLPKLKDLQFFVGESMHDDGSLVFAYYKDGATDPTFLYFAYGLKEIKC >RHN51655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21669901:21670881:1 gene:gene36137 transcript:rna36137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MCCFFLQISNPKKLMAFTTSILAEKKNKILFILGATGTGKTKLSINLGTRYPAEIINSDKIQVYKGLHIVTNKVPESERCSIPHHLLGIIDDPEYDFTMNDFCKNVLESIDLIIGNGRLPIIVGGSNSYIKKLVEEPTIAFLSKYDCFFIWVDVSLPTLFQYVGKRVDEMVESGMVDEIREYYAPGADNSKGIRRAIGVPELDSFFQIEKKNDIDDAQKEKILAEAIRKTKQNTCILVQNQLLKIKNMAQILGSMVYKIDSTEVFEALLKGEDYKHLHQENVVKPSIEIVKRFLEETPVGFEYEKYSNENGKHALNGVSNIRAKII >RHN69295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42397896:42402358:-1 gene:gene17743 transcript:rna17743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-B-type family MDNGCFSSPRRDFPAGLRVLVVDDDPTWLKILEKMLKKCNYEVTTCCLARHALSLLRERKDGYDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKRIHEVREFENFESFESMHLMRNGSEMSEEGNLFALEDMTSTKKRKDVDSRHDDKEFLDSSSSKKARVVWSVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLSRENVASHLQKYRLYLSRLQKDNEQKSSSSGMKHSDLPSKDVGNFGFPNSANKQQNDASIDKYNYSEGSLQHQNIETKSHEGNPKGIVPHSTAAEKARALTGNITDATDTALNKPFAPLESERKRGAFDCNMSTPYSWTEIPKTQLKKEQKSVVHLEDKFNLLPLNGKQHNIQVDQSQSIASISSTPSITEQDVAACVETKPTFSDYKKDYTSSVSSIRSSVDTFPFQPGSLMMNDQPTQPISTTNFGLKTQTSNLSCISDLESYQRNLLLGGEAASAPLDEDLYFYWHNMNFGQQNIGMSEYYDPGLLIEVPNHLYDSADYSVIDQGLFIA >RHN53983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5958771:5961296:1 gene:gene28958 transcript:rna28958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative retrieval of early ER protein Rer1 MEPAAPAVIDAGTGIATEEVSPAETAILQLKQAIWQQYQHVLDKTTPHVLPRWIGFSVVAFIYILRVYLLEGFYIVTYGLGIYILNLLIGFLSPQVDPAIADAADGPTLPIRASDEFRPFVRRLPEFKFWYSITVAFCIAIVMTFSSAFDIPVFWPILLFYWVVLFSLTMRRQISHMIKYRYVPFNFGKQHYQRKRASEEESTSLPVD >RHN74565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34777371:34778844:1 gene:gene10654 transcript:rna10654 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKTCLEKACNRYSWTNAICQYSMFNTLEFVICYQLTCLQKITDINNKSPGYRWYIDPLVLVKNLKATNNTVLEKHCEKTNIRMFGGSHC >RHN60031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17180448:17182270:-1 gene:gene22225 transcript:rna22225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MNTLVSKSILSTPSSSCRSSGSSCSSNESNESYNLLELRRLNYEPPPPCKSVSHRSLAILSEHVGSVSCLALCGEFILSASQGKDIIVWQQPDLRLFAKFGQGDGSVKALATFGNNVFTAHQDSKIRVWKVSRSSENVFKLVDTLPTTKDYLGKFMKQSNYVQTRRHHKRLWIEHADSISCLVVHNGLIYSGSWDKTLKVWSVSDLKCLESIKAHDDAINGLVACKGIVYSASADGKIKAWGKEGKNLHTLKGVLEGHRDVSFNSVVVSDDGKWVYGGGSDGYVMCYERNFENWKMVCETKAHEMAVLCMCLIEGFLCTGSADKSIGIWKREAFGKICKVGVIIGHEGPVKCLQASSSNRIGGGFLLYSGSLDRSVRVWWVPKYDETKVEDKNSTTLRSTLNDSIHHNILATC >RHN47168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37622797:37624888:-1 gene:gene41733 transcript:rna41733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MENKIISTKSVHCLVLPFPAHGHTNPMLEFSKRLQQREGVKVTLVTTISNYNNIPKLPPNSITVETISDGFDKGGVAEAKDFIIYLNKFWQVGPQSLAHLINNLNARNDHVDCLIYDSFMPWCLDVAKKFGIVGASFLTQNLAMNSIYYHVHLGKLKPPFAEQEISLPALPQLQHRDMPCFYFTYEEDPTFLDLVVAQFSNIHKADWILCNSFFELEKEVAVWTMKTWSNFRTIGPCLPNTFLDKQIKDDEDYGVAQLKSDECLDWLNNKPKRSVVYVSFGSMARVKEEQIKEVAYCLKDCGSYFLWIVRTSEETKLPKDFEKKNQRMA >RHN73662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18664670:18664925:1 gene:gene9508 transcript:rna9508 gene_biotype:protein_coding transcript_biotype:protein_coding MSENRIRPGRYKRKAKAKILQYSENDSSIFKIFQDQEGVP >RHN78480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15478364:15480436:-1 gene:gene2050 transcript:rna2050 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQDTRSRVWAFDLKLETKHEQPRSGSYELKHKKGLKFQKRLFMGLK >RHN75984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46752224:46752721:-1 gene:gene12252 transcript:rna12252 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWWLRQMVERSSCSCWVWSLRRNLRWKMMTSELRDDDDDGVVGVWVVRLERGVVDFGVEALMAILVLHIGK >RHN59346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9738993:9745012:-1 gene:gene21362 transcript:rna21362 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLQALKIVNSNILAADVFLVKLPESRYHHFALRSPVELKRRPHICRGAELKRSIEKGS >RHN54381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9136521:9138648:-1 gene:gene29401 transcript:rna29401 gene_biotype:protein_coding transcript_biotype:protein_coding MASIYTCSECNTNLNLNTSYTYPPDFYFEAGNKNSISFSTIDATKFKFEKEDKIRPFFETINYWGIQRKRTKIKCNNCNHLVGYVYDDGPPLINTPGQFHMGPSQVIPRAPRYRFKSKSLRITSQT >RHN40183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13948542:13950041:-1 gene:gene46299 transcript:rna46299 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIISRRLRLTSSILKPSHFQSPSSSTNPQFPIFEPLSHNPSSSFSTFPHFFSQSTKPTLSSHKVSNFSNPFAQFTKPRFFSTNDPSSPSSSSDSEKEKEKDSSPYPSENPNFKHQEIEGPTVERDLSPLANETREVLERMMKNIYGLSRVVALMGLVQLGVGGWITYVTRSSPITEVSVQSFLAFAFPFCLAFMLRQSLKPMHFFKKMEEQGRLQILTLTLQVAKQLNVLFVRVRGVSFLCMIGLSAGLVFAVVSR >RHN64921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62988033:62991860:-1 gene:gene27800 transcript:rna27800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase MMIINFWEKIKMLRRLFLFSFLLHSTLAQKPAVPHHHPIAPHQPVVPNPIPVKKWSTLSGNEPLVIARGGFSGLFPEGTEKAIELSQDISIFLCNLQLTNDGGAFCVTGATLDNSTTIEMFDPKQKTYNINGRDVQGHFSTDYSGVQIENDVKMTQAIFSRPDFFDGVFPVANVDALLSTKTPPKFWLNVQNAGFYTQYGKKAVEVVLEILRALPVDFVSSPDIGFLKSIARQPNKATKVVFQLLNAMDVEPQTKQPYGIIIKDLVAIKSFASGIMVPKEFILPVKPDKYLGLPTTLVADAHKLGLEVYASGFANDLFSSYSYNYDPTAEYLQFIDSRDSVDGVVTDFPTTASNAIGCFAHNNTLPKKGPTLIISSNGSSGVYPGSTDLAYQQAITDGADIIDCSVQMTKDGIAFCANTIDLLEISTALPKFMARSSSVPELQPKNGIFSFDLTWTEIQTLKPQMVNPFGNEFQRNPANKNNGKFVTLPEFLDLAKTKAVFGILIHIQNAAYLASKKGLDIVGAVTAALSNATFDKQATQQVFIQSDDSSVLSKFKDIPSYKRVLLIENIIDDIPVQTVEEIKKYAEVVNIPKLDVIKGSNSLLIGLTNVVKELKGANLTVFVHILKNEYLSLAFDFWSDPNVEIATYMELVDGIVTEFPATAYRFMKSPCSDPNHGLAILPAHPGDLLTMAPDLLKPKDEPPRTLQVADVVDPPLPPVINLAKAEPPAAPTPPPPASGVRAYGANSVISLVAVLVVEMFYAGH >RHN43204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44002861:44009426:-1 gene:gene49744 transcript:rna49744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protoporphyrin ferrochelatase MNSPIRAPSTSSCSYIRPHSCRTCASRNFKLPLLLPQAICTAQKLHRCSGGHTEASSNVNPLKTCIVGKFSPGWSEAQPLVSKQSLNRHLLPVEALVTSTTQDVSDTPLIGDDKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFSFLQKPLAQFVSVLRAPKSKEGYASIGGGSPLRRMTDAQAEELRKSLFEKNVPANVYVGMRYWHPFTEEAIELIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKAMANLIEKELKGFDLPEKVMIFFSAHGVPVAYVEEAGDPYKAEMEECVDLIMEELEKRKITNAYTLAYQSRVGPVEWLKPYTDETIIELGKKGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIENWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAAYDSQRRELPPPILVWEWGWTKSAETWNGRAAMIAVLLLLFLEVTTGEGFLHQWGILPLFR >RHN59599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12370643:12371209:1 gene:gene21650 transcript:rna21650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MKSFCPKFSFLLLITLILTSFLYSEAQKCRPNGRIRGKKAPSGQCNKENDSDCCVQGKMYTTYECSPSVSTHTKAYLTLNSFQKGGDGGGPSACDNQYHSDDTPVVALSTGWFNDKSRCLNKITISANGRSVVATVVDECDSTMGCDEEHDYQPPCPNNIVDASKAVWKALGVPQDQWGGLDITWSDA >RHN60110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20497237:20498992:1 gene:gene22332 transcript:rna22332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MNVRRELVYKVIKLYNISYMHKKEIMAKVLKLVNVMIIFLALVLVAMNVNADVINCTQDSDCHSIGCLSHLKPKCTMLGFFFNAFVGICECDQVM >RHN53556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2496982:2497389:-1 gene:gene28475 transcript:rna28475 gene_biotype:protein_coding transcript_biotype:protein_coding MIADVIIQAVERKLSRGKSKQPCNASSSASEPSETGDVWST >RHN55766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23464916:23465386:-1 gene:gene31014 transcript:rna31014 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSDFQSLPALHCLEAPLDPNPISEIDKIVNDLGLQYKTFFVEFHAHEGALMLPKIFSSDFGEQIGSFARLFDPNDNQFEVQVERSMVACF >RHN64447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59166142:59171882:1 gene:gene27266 transcript:rna27266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MELTPRGRNQGHVVTFDRDADSFVEEDKELQSKWAAIEKLPTFKRIKTSFVDEITQEENGSRWQRSSSKRVVDVTKLGAVDKRLFIDKLIKHIENDNLNLLQKLRERMERVDVKLPSVEVRYKNLNVEAECEVVQGKPLPTLWNSFSSLFSVMKSIACNSQETKMGILKDVSGIIKPSRLTLLLGPPSCGKTTLLMALAGKLEQSLEVSGEICYNGHKLDEFVPQKTSAYISQYDLHIPEMTVRETIDFSARCQGVGSRADIMTEITRKEKEQGIFPDPDIDTYMKAISVEGQSENLQTEYVLKILGLDICADTLVGDALDRAFQMIVGPIKALFMDEISTGLDSSTTFQIVTCLQQLVHITDATAVLSLLQPAPETFELFDDLILMAEGKIVYHGPCSQALQFFKDCGFWCPERKGVADFLQEVTSKKDQRQYWYRTDIPYSYVSVDEFSQIFKTSYWGRMLDDELSQPYDKSQSHKSSLSYSKYSLGKLDLFKACMKREILLMKRNSFIYIFKTVQLTITAIITMTVFLRTQLDIDLLGSNYLLGSLYYTLVRLMTNGVAELIMTITRLPVVYKQKAFYLYPAWAYCLPAAILKIPFSVLDSLVWTSMTYYVIGYSPEITRFLRQFLLLIALHMSSTSMCRSLAAIFKTDVAATTVGSLVLVLMFLFGGFILPRPSLPKWLRWGFWLSPMSYGEIGITLNEFLAPRWQKIQEGNITIGREILKSRGLDFNANFFWISIGALLGFAVVFDILFILALTYLKEPKQSRALVSKKRLPQLKGGEKSNEMELKNKSVAVDINHTSKEAQTGKMVLPFLPLSIAFKDVQYFVDTPPEMKKHGSNEKLQLLCDITGAFRPGILTALMGVSGAGKTTLMDVLSGRKTGGIIEGDIRIGGYPKVQKTFERVSGYCEQNDIHSPYITVEESVRYSAWLRLPREIDSATKGKFVEEVLETIELDDIKDSLVGIAGQSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAVVMRAVKNVVTTGRTTVCTIHQPSIDIFETFDEVFKSAFGRYICEITSVDILLWITYR >RHN63195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49257296:49259122:-1 gene:gene25871 transcript:rna25871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylic ester hydrolase MMINSTLPSHNLNMFQTRQQSTFTCRSSSSINNQLPPRKPLSSTKLHLSNLDKFLQKQSPTQQQPPIQTNDPTTTIVEKKTKNILENLDLSNLWSSDKKTIDDQMSPHHLKNLHRLLFKTAEYSPRNTLGSHWREYHGCNDWKGMLDPLDENLRREVVRYGEFVQDAYHSFHSNPAMSGQDDPQTRHVSLTDKSYKVTKSLYATSSIGLPKWVDDVAPDLGWMTQRSSWIGYVAVCNDKREISRLGRRDIVISLRGTATCLEWAENMRAQLIETEEQHGKKAKVECGFLSLYKTKGTHVPSLGESVIEEVKRLMEVYEGETLSITVTGHSLGAALALLVADDISTCNPNVPPVAVFSFGGPKVGNKAYGNKITSQNVKVLRIVNSQDVITRVPGMLLSEEFEQKLRSFNFGGVVDMLDEKTPLAYTHVGSELRVDTKMSPFLKPDADMACCHDLEAYLHLVDGFLASNCPFRANAKRSLARLMQDQSSNVKKLYTSKAKSMSVNIERQRSFSVSGCLPSPS >RHN40292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14980284:14982407:-1 gene:gene46431 transcript:rna46431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MKSMLMIETSNKHLIESVCAIIVKGDWNNLLKPKTASTLTSTTIHQVILHLKQHRYEPFFIFHFFKWAQSIPHYTHSLHSSWSMIHMLTKHRHFKTAQQVLDKMAQREILSSPSVLTSLVRIHDDPEVNSHVLSWIVIHYAKSKMTHDAVQVFEQMSLCNLKPHLHACTVLMNSLLKDGITSMVWKVYKRMVQDGVVPNIYVYNCLIHACSKSRDVERAEFILNEMEVKGVVPDIFTYNTLIALYCKKGLHYEALSVQDKMEREGINLDIVSYNSLIYGFCKEGKMREAMRMFGEIKDAIPNHVTYTTLIDGYCKANEFEEALRLREMMVAKGLYPGVVTYNSILRKLCSDGRIRDANKLLHEMSERKVQADSVTCNTLINAYCKIGDLNSALKFKTKMLEAGLTPNSFTYKALIHGFCKTSELESAKELLFGMLDAGFSPNYRTYSWIVDSYCKKDNTDAVLALPDEFLSKGFCLNISLYRALIRRLCKIERIECAEKLLYHMEGKGISGDSVIYTSLAFSYWKSGNTNAASDMLEEMARRRLMITVKIYRCFSALDASQNKVSQMFWDHVVERGLMSRNTMYKIQQMLM >RHN67079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23600212:23600627:1 gene:gene15219 transcript:rna15219 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLKNQSSTKYATDDDDWYFQASEGDCTSKSNGAPTKMTTEVKIKITKKQLEELLSKVDMRELRVEQVLAQLMNHSNGYQSLQRSWRPALQSIPEVD >RHN74709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36068931:36071045:1 gene:gene10817 transcript:rna10817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKFHYARNIQQRIINLTQNQTNIAKGSKFITECNVKISENGRNGNVNAAETIFNRMSQKNIVTWTAMLTVYAQNGQITTARKLFDEMPERTTATYNAMISGYIRNGCNVTKAYELFTSFHDRNEVSYAAMIMGLVKARKFDLAEKLYREAPHEFRDPVCSNALINGYLKIGEMNEALRVFENVGVSKRDVVSWSAVVGGLCRDGRIDNARMLFDRMPERNVVSWSAMIDGYMEKGLFENGFGLFLDMRREGVVEVNSTTMTIMIKGCGNCGRVKEGIQIHGLVSRLGFEFGSVLSNTIITMYSLFGYTDMAKKVFSGMGNKDLVTWNSLISGYIYNNEVDAAYEVFERMPEKDLISWTAMIRGFATDGRIGKAVELFDTLKEKDDFVWTVLISGFVSNEEYEEALHWFVRMSREQCRPNPLTISSVLSASASLVALNEGLQIHSHVLKMNLEYDLSIQNSLISFYAKCGNVTDAYKIFVDVVEPNVVSYNSVINGFAQNGFGEEALSMYKRMQNESLEPNRVTFLAVLSACTHAGLIEEGWNLFNTMKSRYGIEPEADHYACMVDLLGRAGLLDEAIHFVRSMPLEPHSGVWGALLAASCAHQRIDLAKLAAQHITELEPANATPYVVLSNTYSASGQKFEGDLVRKTKNLKGIKKSPGCSWITIKDKVHLFLAGDQSHVNIEEIKGIILTIDKGMQWLYYCEH >RHN46563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32804745:32807258:1 gene:gene41061 transcript:rna41061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde reductase MAQTVKPHEPKTKSFDLLSGHSIPAIGLGTWKSGSQAINSVFTAITEAGYRHIDTAAQYGVQEEVGHALQSAMQAGVERKDLFITSKIWCTDLTPERVRPALNNTLQELQLDYLDLYLVHWPFLLKDGASRPPKAGEVSEFDMEGVWREMEKLVKENLVRDIGICNFTLTKLDKLVNIAQVMPSVCQMEMHPGWRNDKMLEACKKNGIHVTAYSPLGSQDGGRDLIHDQTVDRIAKKLNKSPGQVLVKWAMQRGTSVIPKSTNPNRIKENVVVFNWELPDNDFNKLSKIPDQVAHKHWSK >RHN58810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5089020:5091275:1 gene:gene20759 transcript:rna20759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rhodanese-like domain-containing protein MATHLKYNLFNTSTLQKHKTQKQNNSFTTITQKTSRFHVINATSNNMSARQLIESGTIRTILPKDASTVMNSEGFVLLDVRPNWEREKAHVKGSLHVPMFVEDKDNGPLTLLKKWVHFGYIGAWTGQYLTTFNSEFLSQVENVVPGKGTKVLVACGEGLRSMTATSKLYNGGYRNLGWLVGGFSRSKDDDFSDVEGKEKLKYATIGGASYYFLQLLILLQTVSK >RHN64340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58331548:58340595:-1 gene:gene27148 transcript:rna27148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative JAB1/MPN/MOV34 metalloenzyme domain, USP8 dimerization domain-containing protein MTIDLDSMAPKVDVDNRLPLRYYYRIADSLLKQARVYREENNVVDLYIMLLRFISLVSETIPYHRDYQATLANERAAYKKRSRLVLDELESLKPEFKRRLDKLNESRIQAPLPEENGFDMALQSSANSSLEWPAVNKRYNSSMDFKQSTGLGSQSSWKPNNTLSYNSMPIDKQFQKLSLNVPLPNKETLSRHSFLGPNGLRGQWLGPSAEIKVQYPSSNDLTHAKDSSTVKYDLAGIKDGDQGPLTSTMDSILSLDDGRWLHPTAESCSPVVTEPREDFLQSLNIKQPLPPPVLAQVHPERACIPHSKVADPRPGPAKSSHDSGHGPTTFQHLHIPVKMMEDFLRLASVNTRKNLETCGVLAGSLKNRVFQITTLIIPKQESTSDSCQTLNEEEIFEVQDSLSLFSLGWIHTHPSQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDTESPHGIFHLSDPGGVSVIRNCQERGFHPHEEPSDGSPIYEHCSHVYMNANMKFDVLDLRER >RHN63118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48595413:48601983:1 gene:gene25777 transcript:rna25777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGAGVSRLCSCFEPVQHRNKKTENQDVTIFTDEPLDETLGHSFCYVRSSARFLSPTHSDRFLSPESTSLRFSPTHESVLRTRPDFRETAFKAISGASVSANSSVPKAVIQLEEESSATVGGGCGGDFVTGKGNIVNGFESTSSFSALPLQPVPRGGEVYEGSGYFLSGPIESAHSGPINAGAGGDSGGVGGGRDVPFSAPLGGMYGRKKGVSGFRKAFQRKVSSEKKRPWVVPVLNFVGRKEVPAKEKAVEVKNETNVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGHMYRNVHNELQGLFWELDEPMESHNPVEVEKEGENSGSGLVNMVQQTEIGPAVGTESKRRRLWEFLAEDPEDGLDLSGSDRFAFSVDDALSVTNANAGSAGSRRWLLLSRLKNGLSKHKEGDGLPKHKEGNGRKLLPWNLGNQEEKEEKSEVENKPSASDTGSGGGRRQKLGPVDHDLVLNAMSRALEATELAYLDMTEKLLDTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAHYEPKEDQGTSNVESASKGDVRVGSSSESIVEESFALDGTQTETTIKVGNEGSAQEMRLAALQLSTDHSTSIKEEIIRIKNEHPDDPRCIVNDRVKGRLKVTRAFGAGSLKRPKLNDAVLEMFRNEFIGTAPYISCFPSVRHHRLCPRDQFLILSSDGLYQYLNNEEVVSQVESFMEKFPDGDPAQHLIEELLLRAAKKAGMDFHELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKYL >RHN57844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42119114:42119935:1 gene:gene33433 transcript:rna33433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MDFANVFVLESLRMNYSRATINLMELKRFGCNILHGVDALSMYHYQHPLLLDKLFDRIVFNFPKDKYTPYKIQHHQKLVLGFLQNATEMLSSNGEVHVTLKIVNPFNKWDIVKLAEKAGLILVEKVPFKMREYPCYANKIGSGSNWNQKFDVGSCNTFKFSKGRDVVESFVGLSDILVDPNSLHLKDASDCELKSLNEDHMAYVSTSTYLVQNMIQDTIHSSFNFNTQKKSIAMCTIL >RHN66361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11419995:11422935:1 gene:gene14328 transcript:rna14328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MAIIGKTWFVLFFLSSIFSIVSSRKGPSSSNIDWWCNLTPHPKPCKHYTTQMNNHFKIKHRIEFREMLVQLALNQALTMQKEAHENSQQQNSSVHKTVHGDCLKLYENTIFHLNRTLEGLNNASKNCSPNDAQTWLTTSLTNIETCKSGALELNAQDFNFIMQANVTEMIRNILAINMHFLNHKTETEIEEGSLPNWFSVHERKLLQSKSPMKFNLVVAKDGSGQYKTVQAALNAAAKRKYKTRYVIHVKKGVYKENIEVAVHNDNIMLVGDGMQNTIITSSRSVQGGFTTYSSATAGIDGLHFIARDITFQNTAGPHKGQAVALRSASDLSVFYRCTISGYQDTLMAHAQRQFYRQCFIYGTVDFIFGNAAVVFQNCNIFARKPLDGQANMITAQGRGDPFQNTGISFHNCQIRAASDLKPVVDKYKTFLGRPWQQYSRVMVMKTFMDTLVSPLGWSPWGDTDFAQDTLYYGEYENYGPGSSTTNRVNWPGYHVITNPKEASKFTVAGLLAGPTWLAKTTVPFTSGL >RHN57613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40523302:40526958:-1 gene:gene33189 transcript:rna33189 gene_biotype:protein_coding transcript_biotype:protein_coding MSENFTAEKLLNTLVETLEKQKSGSFHVEVKANSMTSQFNKLFGREKPVHHILGGGKSADVLLWRDKKISAAVLTAATTIWLLFEWLNYNFLSLLCLALVLVMSVQFLWTNASGVFSSDRKPSKAPRLVLPKDFFVNIATAVGAEVNRGLRFLQNVSCGGNLKQFVIVVVCLWAGAVIGNWFNFFTVVYIGFVAAHTLPVLYEKYDDQIDNFVYKVLDQMQNQYRKVDSGLLSKIPKGKKLE >RHN80809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40997454:41000623:1 gene:gene4776 transcript:rna4776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative folate receptor MVFFGIWRHSELGPNKMEYAFLLLLLLFHIFLPHSYGKPNGVCVSQGGRYPPFKSEGNPPKRGPKDLTLCRVFRKKTCCDVTHTHPALLSVRKLSSGGEASSECLHLWELLECAICDPSVGTQPGPPLICASLCERIYEACSNAYFSMDVKSQVLAPCGVNDFVCGRAAEWVSNGTDLCLAAGFRVKSSDIVHVASEETFCYGDKASLGSVADSWKASQFESTKKGENSVIFDDFQQWTRDMPFKERVSWAIGGMVLTAGLVFISKRKSNSQRQKLAAIKRTARKLGSRMADQQPSNAPEIRKRIS >RHN38661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1102825:1106243:-1 gene:gene44620 transcript:rna44620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A(2) MAAFLLLMFVFASQVIGGFNTKLPPPAYGSTITILNIDGGGIKGILPTVVLEHLEKALQNVTKDENAALADYFDVISGASTGGLIAAMLAAPHPNDPSRPALYTPEILKFYLDFGPSIFNQTSARWWFNLSERPKYDGKFLHDKAREILQETRLHDTLTNVVIPTYDIKKVKPIVFSSFKVEKVPDLDAKLSDIAIGTSAAPTLLPPYGFKNGDIEFNLVDGALVASSPALLAVSEVIKLLEEKNSDFISVNENQPTKILLLSLGCGRDGEDKGVNATYATSFRALIEWPQLILPAIAGAVGDINEYHLESIFPSHRSSDNYYLRIEEYNLDPSIGGDDTTKENLDKLVKAGEDLLEETVKVMDVTSFVPYEKPTEGTNAQALERLAETLYKEKQLRLKTKSMEKIKSMEKMGRPFVEAVVSGMK >RHN40186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14011312:14011647:1 gene:gene46303 transcript:rna46303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSNGSLEKMLHNNEGTENHYLSFSQRVDIAYALDYLHNDVEQALGHCDVKPSNVLILEMLTGKRPTDIMFSDGLNLHNYCKMKIPEGILAIVDQRLLMPFTEDQTWIIRLL >RHN60224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23869648:23871898:-1 gene:gene22488 transcript:rna22488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MMQLHAMETRSAKRKKIEQEQENNAKSKPLIDRISDLPDDIIHQILLLLPIKCVAQMSVLSKRWKFLWTSFPDLDFTTLDPFPLISTKNHKKIDISRHPLSSSKLDFITQVLSIRDVKHKDIRVLCFRASLSFSRLNNLVRSAIRHNVRELDIEVHTEVDTEDFFNFPRCVIGSESLRVLKLKSGFRLPPSSVMRNGFQSLHTLSLSLVILYNQPSLSDLFSESSFPRLKNLHLDMCFGLTHLRIGCRALEDLNLERCYELQGLEICGGKIERMRVISCFDAYCDNNTWVRLNVPKLENLIWKFNAVTDVTVFENSNLLNEASIGFFMLREGKVNMGRFESANNFFSGLSHAHSLTLNTQTIEILSKYKFIIQPFYNLKSLELHTSLNKSNVQALACLFRSCPTLHTFNLEIINDYKIERKLWNRDLWDMTSTEEEQYWESQIPSLKSFLQHLKVVKIKGFLDCANEVTLVKFLLKHGKGLEEMRLCTGHSNRRDTLRRQKIKSQMMGFSWASSNAKVEFQ >RHN69227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41943477:41943932:-1 gene:gene17668 transcript:rna17668 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIREQPGTAILVPLPLDIKWPLESSESIVTILLKFFGVLSIKSLVWGSLLLGMSGHAKFEVFFGKANGPIACLPSAE >RHN82509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54351159:54358093:-1 gene:gene6680 transcript:rna6680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferredoxin [2Fe-2S], plant, Beta-grasp domain-containing protein MACFKVNPRGIWGAFEPSLWKTIVNEVTFVLCPTGQSKGHFHSLSLSQTLLGSTSFSLKLSGMKMSAVNMSAVRLTTASLYGTAPSRTSCALRKSPSSLRSVKNVSKTFGLKSSSFRVSAMAVYKVKLIGPDGTENEFDAPDDSYILDSAEDAGVELPYSCRAGACSTCAGQVVTGSVDQSDQSFLDEQQIEKGYLLTCVSYPKSDTVIYTHKEEELY >RHN80866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41420017:41420562:-1 gene:gene4841 transcript:rna4841 gene_biotype:protein_coding transcript_biotype:protein_coding MALTFLKLSWSEFDLLLGQNKEEIFTFLIDLLRIFCFSVWVPGFAI >RHN57100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36458235:36459090:1 gene:gene32598 transcript:rna32598 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVWKWLRSLWVWKEEMLVECRNYGSDVWRWIPWLLDIHLEGYTDFSLRGCPVFMYPSLYYGGRKFLWRLPCSSLTNSFAYQIKSVS >RHN56604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32185055:32185339:1 gene:gene32034 transcript:rna32034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol-forming fatty acyl-CoA reductase MQIPADLVINCVITTIVVHLDQAPKDFIYHISSSLRNPFKVLDFINIIYDYFVKNPCTNENGKPIVISKRLFPTSLSGFNVYLTIRYVIPLKVC >RHN46774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34771731:34773114:1 gene:gene41300 transcript:rna41300 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLYWISTFVLILTLLVLLGYQLILLVDLEFDYINPYDSTSRVNYVILPEFLIMAIFCFLNLIAGHWFIFLIALPCLYYNVSMSLFVLPHSGYSSTVA >RHN67102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23913515:23915082:1 gene:gene15247 transcript:rna15247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MFSTTITNGRIPSKPHFPQHFQIRCGIREIRDRINSVKTTQKITEAMKLVAAARVRRAQEAVINSRPFSEAFAETLHSINQSLQNDDVVVPLTAVRPVKTVALIVITGDRGLCGGFNNSVAKKAEARVMELKNLGINCVVISVGKKGSSYFNRSGFVEVDRFIDNVGFPTTKDAQIIADDVFSLFVTEEVDKVELVYTKFVSLVRFNPVIQTLLPLSKKGEVFDVNGNSVDVLEDEFFRLTSKDGKLALKRDVKKKKMKDGFVPVMEFEQDPAQILDAMMPLYLNSQVLKALQESLASELAARMGAMSNATDNAVELTKELSVAYNRERQAKITGEILEIVAGAEALRPID >RHN45019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10875000:10875598:-1 gene:gene39212 transcript:rna39212 gene_biotype:protein_coding transcript_biotype:protein_coding MRILRQKEKRSELMVFFYVFSSTLRTIFKINHQKGIENLRNLCLIPKLFI >RHN39617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8728034:8728300:1 gene:gene45657 transcript:rna45657 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTNFNSLASFLFQLQLIPSLLIDMKNTHCEAAVVVFIPKTRVVMNWTLRRRLKKQRETAERDGDGVDYHKRWCWSYLWIWDQWLEL >RHN79100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22686404:22690180:1 gene:gene2805 transcript:rna2805 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQDTKSRVWAFDLKLETKHELPRSGSYELKHKKGLKFQNRLFMGLK >RHN71134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56728292:56728711:-1 gene:gene19783 transcript:rna19783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MKAPITTNLGSIATPYDYGAGEITTTEPFQPGLVYETSTIDYLNYLCYIGLNTTTVKIISKTAPDSFNCPKDSTIDHVSNINYPSIAISNFIGKETKNVSRIVTKVGEEDEIVYMAIVDAPNGVKIQLIPEKLEFTKNI >RHN79963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33941081:33947098:-1 gene:gene3827 transcript:rna3827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-ketoacyl-[acyl-carrier-protein] synthase I MAMRGTSRRVLSSVYRSISSSSTVDKAFPPPPLVSSRRVVVTGLGMVTPLGCGVDKTWNQLIDGKCGVRALSLEDLKMNAFDTETQLATFHQLTSKVAALVPAGTNPGEFNHEIWLNSKEHRSMTRFIAYALCAADEALKDSNWFPTEQEHKERTGVSIGGGTGSVSDMLDSAQLICEKRLRRLSPFFIPRILINMASGHVSMKYGFQGPNHAAVTACATGSHSIGDAMRMIQFGDADVMVTGGTESSIDALSIAGFCKSRALSTKYNSSPQEASRPFDSGRDGFVIGEGSGVLVLEELEHAKNRGAKIYAEIRGYGMSGDAYHITQPPSDGRGAILAMTRALKQSGFHPSDVDYINAHATSTPLGDAVEANAIKTIFSEHASSSSLAFSSTKGAIGHLLGAAGAVEAIFSVLAIRHGIAPLTLNLTRPDSVFGDGFMPLTASKEMPIRVAMSNSFGFGGTNASLLFAYSGSD >RHN46169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29350645:29352669:-1 gene:gene40612 transcript:rna40612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MLFFLVTLIASEENSFIYKYNGFHSSHLYLDGIAKVNSNGLLRLTNDTERAKGYAFYPNPIVFKNTSNESVYSFSTTFVFAIKSRYTATCGHGLVFVVSPTKGLPNSLPSKYLGLFDTFNTGNISNHVFAVEFDTVQDFEFDDINDLKSVNSISAGYYDDYDRFKDLSLSSGYPMQVWIDYDGVKKKIEVTLAPISVGFNNKPTRHLLSLTKDLSPILNNSMYVGLSSSTRLILASHYVLGWSFKVNGQAQNLEISELHEPIVFADKKRVMYYLKLKKFDEVLEDWKHEYGPRRFKFKDLYSATKGFREKGLLGVGGFGRVYKGVIPSFKLELNWSERFRIIKGVASGVVYLHEKWEKVVIHRDIKASNVLLDSEFNADPHKTHLAGTIGYLAHEHIRTGKATKFSDMFSFGAFLLEVACGRGPIANVGENECVILVDSVFECWKRGDILEAKDVNLGTDYVSEEVELVLKLGLLCSHSEPLARPGMRQVVQYLERDIPLPDLSLPSLSSSGLTFGNKYFFEDFPLSYPSSMDKKMSHTSVSLADSLLSGGC >RHN79940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33701348:33706064:1 gene:gene3798 transcript:rna3798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetraspanin/Peripherin MRLHCCHFSLAFLLKFLNFLQAFVGLSILLYSIWMLNQWDHFTPQPPLPHLSLSLPRSFSPQFTSDINLPAPWFIYAFMCVGILVCCIAFFGCIAAEIINGCCLCFYTVLITVLVLLEAALVAFIAIDRQWQEDLPVDPTGQLASIRSFIEDNQDISRWVGIAVLVVQALSLLVALILRATVSTRRADFDDEEEYDVRGRSREPLLNHQSGQTSGISKGDIRGNLSDVWSSRMREKYGLNNGDKHSYEA >RHN80117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35254071:35257965:-1 gene:gene4004 transcript:rna4004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MEVVAGMKKEEKMVFDETELRLGLGLPGKTTEVVRKRGFSETESESETNTVDLKLNLSTKEGATDPQFKPKEKALLLSDSGAKPPAKAQVVGWPPVRSFRKNMFAAQKSNEGSEESEKKNSNNNPISFVKVSMDGAPYLRKVDLKMYKSYPELSDALAKMFNSFTTGNCESQGIKDFMNESNKLMDLLNSSDYVPTYEDKDGDWMLVGDVPWEMFIDSCKRLRIMKGKEAIGLAPRAMEKCKNRS >RHN77001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3110015:3111975:-1 gene:gene408 transcript:rna408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative folate-biopterin transporter, major facilitator superfamily domain-containing protein MSTQQDSTTTITKTNNKNPLLSILTEPIEWLTMLTNNLNPTFIAGIFLVYGIGQGFTGSLFKLVTDYYWKDVQKLQPSTVQLYVGIYFIPWILKPIWGILTDAFPILGYRRRPYFVVAGVVGTVSATIVAVGGEVPGLAPDLQSLCGFCSGSGALLGYLASGFFVHHLGPQGSLGLMAVFPALTIVLGFVIYEKRTTGLHNEKKKGVVENVGTTIRSMYKTIKYPQAWKPSLYMFLSLALNVTTHEGHFYWYTDSKVGPAFSQVPLIFWEIDSLQSVVIKQSRLIY >RHN39637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8937781:8940069:1 gene:gene45679 transcript:rna45679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MTNHISQCIVFSCITFLHLLFITIAQSDNYIIHMDKAAMPKVFTTHHTWYLSTLSSALENPQLTTSSNNLNSLFSSKLIYTYTHVMNGFSANLSPKEHESLKTSPGYISSIKDSHMKLDTTHSPQFLGLNPNKGAWHDSNFGNDVIVGLIDTGIWPESESFKDNLMSEIPSKWNGQCENSILFNSSLCNKKLIGAKFFNKGLQSKYPNITLGLNSTRDSHGHGTHTSSTAAGNRVDDASFFGYAPGTASGIASNSRVAMYKAIWDVGVLSSDVIAAIDAAISDGVDVLSLSFGINDVPLYDDPVAIATFAAMEKGVFVSTSAGNNGPALETLHNGTPWVITVAAGTMDREFQGTLTLGNGNTITGLSRYIGTFSSNNVPIVFMGLCDNVKELKKVKNKIVVCEDKDGASLFYQVDNLDEAKVLGAVFITNVTNIYFSENSFASIIVDPINGEVVKAYIKSYNSKNSTSKASMSFMKTVFGIKPAPSVSSYSSRGPSNSCPYILKPDITAPGTNILAAWPTNVPVMGLGTHKIFNKFNVISGTSMACPHVAGVAALLKGAHGDWSPAAIRSAIMTTSDIIDNTKEHIKDIGNDNKAATPFALGAGHVNPNRALDPGLIYDVGVQDYVNLLCALSYSQKNITAITRSSSNDCSEPSLDLNYPSFIAFFDNGNSSSRTTQEFHRTITNVGEGETVYVSSITQVEGFHVSVIPNKLVFNEKNEKLSYKLRIEVARTTKMKKVAFGYLTWMDTKHVVRSPIVVAILG >RHN79388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28305890:28313102:-1 gene:gene3174 transcript:rna3174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MEKKRKIVQYRERLDKTLASPDLTNVEILKKLVKSQLLPSSELEDEEYKEKFVEHKTAEISNFLDMLRSTSDEHGRSNTSQNDWKLKQDGEEFRVMYREGPEGTPFHTMLVEGFVDGPVDVCLCISWQTSLYKKWWPQSTIPTFKILSCECLQKVQIGEQISLVRMKVSWPLSSREAVVHYYLFEYFQDDLIVVLTNSVPESKSINNEKIPEEKDVVRVDLVGGFALQKVTSERSYFRTIANMDIKVDFVPPSLINFISRQLIGNGFRLYQKVVASVMSSDKEEFSKALGDPLYARIREALYSTTTSASEVANSGELQQVAKIHPAEYLVESKPGGEKDASEEDKISQCANNDMSPTMDATELENSKAFGEIVELDKEDIIQSVEDDEKVSVIPNKEVGTIAQKGKSIFIRSDVKQAIETLDKAISMIREYRLHSEMASTSFANEESPCMEEIDRVDSYSTKIVKPSDKNGVPNKDILKGTSQEAPSTNSDIQNLRYSGIDPNLKEINPNKVIPTLSEQGLAGPTEASQVGSYSLDSGAIMDQTTCQNKQPNTDLVQDMSSDDKVKSRRLKKTNNNVTRGMSSNVPKKLSGYKKYMCFGFLH >RHN77031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3389568:3390180:-1 gene:gene438 transcript:rna438 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTCFLFEPYKDAKVELLGPKLVFIALNLGGMLLGVWKLNSLGLLPTHTSDWVSSLAPAQVGFSF >RHN78178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12491554:12503342:-1 gene:gene1706 transcript:rna1706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAD/NAD(P)-binding domain-containing protein MIWRRTLTTSTVATSALKQKKKWDAIVIGGGHNGLISAAYLARAGLSVAVLERRHIIGGAAVTEEIIAGFKFSRCSYLLSLLRPQIIRELELKRHGLKLLKPMASSFTPSLDGRYLLLGQSDEMDHSEISKFSKRDADAYFRYEAQLQKFSKLLDFVLDSPTPEILHGSASTVDLLRHKLQRSKFWAQCLGHTLSLGQKGMVDLMELLLSPTSKVLDKWFESNLLKGTLAGDAIIGSMMNINTPGSGYVLLHHIMGETDGDRNIWSHVEGGMGAVSLAICRAAKEAGVHIETDKEVLKVMVAESGRANGVLLADGTEVHSSVVLSNATPYKTFMELVPRDFLPVEFLCAIKHSDYSSGTTKINVAVDKLPQFPCFKINNTEVGPQHTATIHIGFESMEEIISACQDACNGIPSQRPVMEMTIPSSLDDTISPPGKHVISLFTQYTPYKPSNGSWDNAAYRESYAQRCFNLIEEHAPGFCSSVIGYDMLTPPDLEREIGLTGGNIFHGAMGLDSLFVMRPVKGWSNHKTPIKDLYLCGSGSHPGGGVMGAPGRNAARIVLQEFKNRY >RHN70559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52291253:52294624:1 gene:gene19152 transcript:rna19152 gene_biotype:protein_coding transcript_biotype:protein_coding MTCDFCEGKLCIYSLQEFLQINFFKKLLLKDGLHEMRDHMILPVDNPLGSQMRWLGLT >RHN67092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23765991:23767948:-1 gene:gene15235 transcript:rna15235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eukaryotic translation initiation factor SUI1 MVELDIQIPTAFDPFADAIESDAPGGKEYVHIRIQQRNGKKSLTTVQGLKKDYSYEKILKDLKKEFCCNGNVVQDKELGKIIQLQGDQRKKVSQFLVQAGLVRKDQIKIHGF >RHN56444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30916294:30923827:1 gene:gene31849 transcript:rna31849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, peptidase, FtsH MSALDYLSPSTHATIFLHSHHFRNARRFVIPNSPSIRVLRDSIFLNNFGKFELWKGLNTKLSNFDGLRTAASSGGQESDSGEKSEEGKGVEVEPGSGGSNRRKEKQGKGGWWRWKWQPMLNAQEVGVLLLQLGIVIFVMRLLRPGIPLPGSESRSSTVFVSVPYSDFLSKINGDQVLKVEVDGVHIMFKLKTDLEGGEVAGSDGSSGRLQQESEALVKSVAPTKRIVYTTTRPSDIRTPYEKMLENEVEFRSPDRRSGGFFNSALIAMFYVALLAGLIHRFPVSFSQNTPGQFRSRKSGMSAGTKSSEKGETITFADVAGVDEAKEELEEIVEFLRNPDRYARLGARPPRGVLLVGLPGTGKTLLAKAVAGEADVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIVGNDEREQTLNQLLTEMDGFDSNSAVIVLAATNRADVLDPALRRPGRFDRIVMVETPDRIGRESILKVHVSKKELPLAKDVYIGDIASMTTGFTGADLANLVNEAALLAGRKNKVVVEKIDFIEAVERSIAGIEKKTAKLQGSEKGVVARHEAGHAVVGTAVASLLSGQPRVQKLSILPRSGGALGFTYIPPTNEDRYLLFIDELRGRLVTLLGGRAAEEVVYCGRVSTGALDDIRRATDMAYKAIAEYGLSQTIGPVSISTLSNGGTDESGRSVPWGRDQGQLVDLVQKEVKALLQSALEVALSIVRANPTVVEGLGAQLEEEEKVEGEELQKWLRLVVAPTELAIFMEGKQQTLLPLQTGS >RHN58978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6360348:6363356:1 gene:gene20952 transcript:rna20952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MRISFLLCYYCIYITHASAICLEDQQSLLLQFKKNLTFHPEGSTKLILWNKTTACCNWSGVTCDNEGHVIGLDLSDEDIHGGFNDSSSLFNLLHLKKLNLAYNNFNSLIPSGFSKLEKLTYLNLSKASFVGQIPIEISQLTRLVTLDLSFDVVRTKPNIPNLQKFIQNLTNIRQLYLDGITITSQRHKWSNALIPLRDLQELSMSNCDLSGSLDSSLSRLQNLSVIILYRNNFSSSLPETFANFKNLTTLNLRKCGLIGTFPQKIFQIRTLSVIDLSGNPNLQVFFPDYSLSESLHSIILRNTSFSGPLPHNIGNMTNLLELDLSYCQLYGTLPNSLSNLTQLIWLDLSHNDLSGVIPSYLFTLPSLEEIYLASNQFSKFDEFINVSSNVMEFLDLSSNNLSGPFPTSIFQLRSLSFLYLSSNRLNGSLQLDELLKLSNLLGLDLSYNNISINENDANADQTAFPNFELLYLSSCNLKTFPRFLRNQSTLLSLDLSHNQIQGAVPNWIWKLQSLQQLNISHNFLTELEGSLQNLTSIWVLDLHNNQIQGTIPVFPEFIQYLDYSTNKFSVIPHDIGNYLSSILYLSLSNNNLHGTIPHSLFKASNLQVLDISFNNISGTIPPCLITMTSTLQALNLRNNNLNSSIPDMFPTSCVASSLNFHGNLLHGPIPKSLSHCSSLKLLDIGSNQIVGGFPCFVKNIPTLSVLVLRNNKLHGSIECSHHSLENKPWKMIQIVDIAFNNFNGKLQEKYFATWEKMKNDENNVLSDFIHTGERTDYTYYQDSVTISTKGQVMQLLKILTIFTAIDFSSNHFEGPIPHVLMEFKAIHFLNFSNNGFYGEIPSTIANLKQLESLDLSNNSLVGEIPVQLASLSFLSYLNLSLNHLVGKIPTGTQLQSFEASSFRGNDGLYGPPLNATLYCKKQDELHPQPACERFACSIERNFLSVELGFIFGLGIIVGPLLFWKKWRVSYWKLVDKILCLIFRRMHFEYVTDRGQTYRILRW >RHN81412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45692899:45696530:1 gene:gene5450 transcript:rna5450 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:lar MAPSSSPTTPISKGRVLIVGATGFMGKFVTEASISTAHPTYLLIRPGPLISSKAATIKTFQEKGAIVIYGVVNNKEFVEMILKKYEIDTVISAIGAESLLDQLTLVEAMKSIKTIKRFLPSEFGHDVDRADPVEPGLAMYKQKRLVRRVIEESGVPYTYICCNSIASWPYYDNCHPSQLPPPLDQLHIYGHGNVKAYFVDGYDIGKFTMKVVDDERTINKSVHFRPSTNCYSMNELASLWENKIARKIPRAIVSEDDLLGIAAENCIPESVVASITHDIFINGCQVNFKIDGIHDVEISTLYPGESFRSLEDCFESFVAMAADKIHKGENGVTGGTKALVEPVPITASC >RHN55503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19089607:19089861:-1 gene:gene30694 transcript:rna30694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I MRMQLSYLVYLFLNSKVFSAHLGQLSIIFLWLSGIYFYGACFSNYEAWLNDPTHIQLSVQMVWPIVGQEILNGDVGGNNMVPIP >RHN80481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38487226:38488949:-1 gene:gene4414 transcript:rna4414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MATRRYAFGRMDEANHPDSIRATVAEFFSTCIFVFAGEGSALALRKIYKDAGASSGELLVLAIAHAFSLFAAISSSMHVSGGHINPAVTFGALLGGRISVLRALYYWVAQLLGSFVAALLLRLVTNNMRPQAFNVAFGVGAGNALVLEIAMTFGLMYVVYATAIDPKRGTIGTIAPLAIALVVGANILAGGPFDGACMNPARAFGPALVGWRWHYHWIFWVGPFLGAAIAALLYEYIMVPVEPPHTNPIHQPLAPEDY >RHN68700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37904384:37905476:1 gene:gene17085 transcript:rna17085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (+)-menthofuran synthase MKRMQSFQSIREEVAEIVNTLKEACASKESSIVNLSEMLIAASNNIVSRCVLGQKYDTPVGSGSFGELGRKMMKQLAAFSVGDFFPSLGWIDILTGQISEFKATFCALDSFFDQVIADHKRMKKSEDGQSDKKDFVEILLQVQDGAKYDFPLTNDNIKAILMDMFAGGGDTSSTLLEWTFAELMRHKNIMKKSKKK >RHN39063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3854789:3855331:-1 gene:gene45055 transcript:rna45055 gene_biotype:protein_coding transcript_biotype:protein_coding MCDWLQILRVCIYCTKKLGKVLLLEKSVPACVDSFWIATSCSWMVWFEKGDYCLLLFYRELMNHLSFCVGKQFIRHSRVSQSPC >RHN70188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49492155:49495273:-1 gene:gene18744 transcript:rna18744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein Networked (NET), actin-binding (NAB) MGQNNLKIMLFSNSMKNNKYLMSNKYLTDMEEIVAEALKIIDDNGDSFAQRAEMYYRKRPELINFVEEAFRAYRALAEKYDHLSKELQSANRTIATVFPEQVHYRIDEDEDEESVPETNSLPPNPNNQTEKQCNIPKPPSIPKKVFRSQSMLLSRKGTHRRSVSSEKSVSNPTIQCSGLSKVEALAEIDKLQKEILALQTEKEFVRSLYEHSYEKYWEIEDKVTGMQKRVCSLQDEFSISTVIEDNDARALMAATALNSCKETLNKLKEVQSRSSEEAREAYQKVKEVHYKFENLRGNFVSKQTNQGDEKTETKSKDEEEIASFEKDMLEHDIGMLQEKIKEKLEEDSGNSLTMTEIAEMIDELVGKVVSLETAVTSQNGLVKRLRSEADELQTNIQSLEEDKEMLIEDSENSNKKMKELEEELKRIKTLNKSVEMQDNSLKTHFHEANFNLEHLSGKLKNVKLDEEAENLVLNKNTSFSNGKPSEDFEKPVDELSNNNLAIVNDAKEDRAENIGEVENEDDKSRLKNNIDFRTEGVQDLTQQDKDDFSETIRNVKVESLDLETKEEKDQTKLNQMFVNGSDEREKIMLEEYTSVLKNYNDVTGKLNNVENKNRNSIFELALKVRELKNVVATKDEEINILQNKLTYSETNPDESPRTTLTEEAPLENAVQGDNREGTEIPASDIASTSLEDQHQHVENTGNIDMSSIGKTRFFVVRERQIDKDHSLSLLEKKFRFEIDGLLEENLEFWLRFSTSVHQIQKFQQSLQDLKVELRKIKQNNNLSDNKIASKAVQSEIKPIFRHLREIRTELSLWLEHNEVLQDDLQARHPSMCSLQDEIASAGNPDSSSKRVELSEHQAAKFQGEVLNMKQEINKVSSELQEGLSYVKGLKNEVEKILEELSQAMGDNNHDKNSTSRARMPLRSFLFGIKLKKQRQSMFACVNPALQRQYSDLAEANDAPI >RHN57234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37469973:37470288:1 gene:gene32746 transcript:rna32746 gene_biotype:protein_coding transcript_biotype:protein_coding MFEYVYVKVSLMSVKKMFKIFIITFSSPNMHLMWCSWRNQVVEKVMYVQQLMHFVLFVSMYFF >RHN40096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13227306:13242033:1 gene:gene46197 transcript:rna46197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGRLYEFASSSILETIERYRSHTRINNTPTTSESVENTQQLKEEAENMMKKIDLLETSKRKLLGEGLGSCSIDELQKIEQQLEKSINKIRVKKTKVFREQIDQLKEKASSLYI >RHN82633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55299733:55300580:-1 gene:gene6808 transcript:rna6808 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNRARVMQFKPWKQAIIMINMLTRHLLHLHTFLKLILTNSTLIQLRAQQRIINSYRWKILNSLFRCRRCSVTVRIILCELLNQLLEASTEEVIAKVRGNTKPRFGFRRIIDLELNVCTVGVKAVEMILKESEWVETVGIGSGPGNGSRIKE >RHN44394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4188481:4191521:-1 gene:gene38511 transcript:rna38511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MSSETVVLKVKMSCSGCSGAVNRVLEKMEGVESFDIDMKEQKVTVKGNVKPQDVFDTVSKTGKKTEFWVEPENNPTETATEAEPENKPSEAVTIDPVEPDNKPSETATVVSIEPENKPSETATVAA >RHN76333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49410427:49415475:-1 gene:gene12635 transcript:rna12635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-phosphofructokinase MVDSSTSHVEANTHVSDFLLEDVPHLSDYIPDLPTYPDPLQDNPSYSVVKQYYVHPDDTVAQQIVVHKNSPRGTLFRRAGPAQKVYFDSEEVYACVVTCGGLCPGLNTVIRELVCGLYHMYGVQKVLGIGGGYRGFYSRNTIPLTPKIVNDIHKRGGTVLGTSYGGHDTSKIVDSIQDRGINQVYILGGFGTQNEAAMIFEEIRRRDLKVAVVGIPKTIDNDIPVIDKSIGFDTAVEEAQRAINSAHVEAESAENGIGVVKLMGRCSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLLEFIEKRLREQGHMVIVIAEGAGQELIPRNDTSNKNKPDASPDDLVQDVGLWLSQKIKDHFERSKKIINLKYIDPTYMIRAIPSNASDNVFCTLLAQSAVHGAMAGYTGFTVGPVNGRNCYIPFHLINEGQKRVVITDRMWARLLSSTHQPSFVNPQHITEEAKVE >RHN59367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9897091:9900435:-1 gene:gene21393 transcript:rna21393 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGRGKTNTSVPEFDAEKFLSAECESRRGLLARRNIIHERVLSIPEDDMPQVPNQIEKRGWEYLVTYPENKEAYAELVVEFYCNAYCPQKDKQKEKSFVRGKQIPFDADTINSLLKSKLLRTKDQWPTFKQNVNTVSLLRELCKDETEWILDSTGKPKKFPSSSLVPLPKIWAAYIGTNLVPCSNVSDIKVEKAALISAIMTGKVIDVGKIIQTQIQIIANSATNALEFPRLITLLCEKAKVDMNLSLL >RHN79424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28708878:28713557:1 gene:gene3214 transcript:rna3214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MKKEKGKSISVASPVCSEESDDKQQFPSVKVWLVDNADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEANLFKLMERRGYGEEYVNRYKMMTRFHHQRVPLVILVCGTACVGKSTVATQLAQRLNLPNVLQTDMVYELLRTSTDVPLASTPVWARDFNSSEELITEFCRECRVVRKGLGGDLKKAMKDGKPIIIEGIHLDPSIYLVDDENKTPSSAYARNKEIDPVSAQLDGNTAINTQNINVGSGDETKSESKILSSNEGVNDETVDAVSSSMPSLSLNGNITEHKVCHEDVSLAELALDKIIVSKEKSGPKPIIVPIVLKMAEFDHKALLEEWISSHTFIEKCPDKDLDKLIANLKTIQDYLCSFTSQGLAVVIVSAKTFPQTLDWLHGYILQVLIAKN >RHN70538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52146879:52151567:1 gene:gene19127 transcript:rna19127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase response regulator and transcription factor RR-A-type family MEKECVEDIMEIEVLPSMWPEDIGADVGKQFNIEKPGNDQDVLEEVSIIDEVTTIADFKRLIELTNFTEKGSSQLAYLMKHWEYKQASVARLLREELDNLNKQRQDVELRKLEILEEHRFEDERYGGDKRPVSILDDIYDIWQQDVPCRKSNVVVQNKRVEIDAEYDTVVYWKQRAMHLEKLLEASIQREETLEQKLKESIKNIERQSSPVEELSQILKRADNFLHFILQNAPVVIGHQDKELRYRFIYNHFPSLHEEDIIGKTDVEIFTGSGVKENQDFKREVLEKGLPAKREITFETELFGSKTFLIYVEPVFSKAGETIGINYMGMDVTDQVRKRERMAKIREEIAVQKAKETELNKTIHITEETMRAKQVLATMSHEIRSPLSGVVSMAEILSTTKLDKEQRQLLDVMLSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLQKILTIEGHVADDVPIEVIGDVLRIRQILTNLTSNAIKFTNEGKVGINLYVVQEPSFTKSGSVQKISSGYSTISVNVVKEERYGVNDECISLVKSECSMNGDTEDQHHSNETTVWIGCDVYDTGIGIPENAIHSLFKRYMQVSVDHARKYGGTGLGLAICKQLVELMGGRLTVSSKEQNGSTFTFILPYKVSIACDSSDYSDEFSDMEHNDATSDDTTTEGFFQFQPPNLGHIVSSGGANRTHNKLLSHKFCGFSESSFSFPSTSNDNISKGTCSVDDSSSLVDDSDMSESASSSSHKHHLYNAHAWFQNGIADSSQHMVVNSTTQCASSNSKSEVTKSISKPKILLVEDNKINIMVTQSMMKQLGHNIDVVNNGAEAVRAVQRCTYDLVLMDVCMPIMDGLQATKLIRSFEETGNWDAAKNAGIEQSLPNRDYEYSAPSKKRVPIVAMTANALSESAEECFANGMDSFVSKPASLRKLKECIEQYLR >RHN39900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11542666:11544925:-1 gene:gene45983 transcript:rna45983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 3-beta-dioxygenase MSNSYPYVDLPIIDISEPLKPSSLTTLSKACKDWGFFHIINHDISKDLCCQLHLLSKYIFNLPSDTKLKLGPFSSIKSYTPHFIASPFFESLRVDGPNFYASAKNSEDVFFEKQNSKFSETLENYCSKMADLSERILKLVLMSLGEGFEKLFYDSEFKKCQGYLRINNYTSPEILDLEDQVEGLGMHTDMSCITILYQDEIGGLQVKTHEGKWIDISPSEGSLVVNIGDMMQAWSNDKLRSSEHRVVLKQPVDRFSLAFFWCFEDEKVILAPNEVVGDGNKRMYDPFVCSDYLKFRENNQRGRFEKVGYTVRDFAGIKSQM >RHN77039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3447361:3447892:-1 gene:gene446 transcript:rna446 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLVGWVLARFRNLIPRNHNDECDLALAPLVDQWKPPSGFSDPGHHSDANDSLEHSHVIWRPYERRRDMAPFQDIFWYSGWIMAGRDRMVRHLPERVLRQYMYVQTGPRAPTDIGPLVPEEVAMTFMEFSLHVLSQQERVI >RHN51735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23852202:23852678:1 gene:gene36245 transcript:rna36245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MCCFPTLTICVCCPYTQMKWVMNEVLRLYPTAPNVQRQAREDIQVDNLTIPNGTNMWIDVVAMHHDSSLWGENVNEFRPERFMDDVNGGCNQNMGYLPFGFGGRMCVGRNLSFMEYKIVLTLLLSRFSFKISPNYIHSPSIMLSLRPAYGLPLMVQPL >RHN47251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38303897:38308070:-1 gene:gene41826 transcript:rna41826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MKTLPQQSVLYYFSILIIVTVTITNGEKDADYMSQLMKALTPTPKGWSGNIHYCKWNGIRCDQSNQVVTAIKLPSSSLTGIIPENFNSLNNLTDIDLHNNSLNGPLPDLAFLNVLQTVNLGYNNFTSIPDFCFGTLLDLTTLNLSNNLNLKPWLFPEELSVSSLIHTLDLEATNLIASLPSDMFKWFPRLHTVFLSHNNLSGTLPPSLGESSIRYLRLNNQGAFSGFTGTIDVISSMRFLSQAWLNNNMFAGPIPNMSSSTNLFDLQLHSNQLVGLVPHSLCTLSSLKNISLDINFLQGPIPVFHEGVNASWEGNKFCRNDVGPCDPQVTILLEILGAVGYPAFVSNSEGNKNDACSGDEYLKCSKGKIVAFYLDGIQAGGTISPAFSGLSSLVNLTLRSNNLTGSIPHSLTTLSQLQLLDVSDNNLTGQVPKFSSNVNLITTGNPLLGKNISQQLGGGENTTASRDGGSSKTTIAPAWIVGASLLSAGFVILIVILCKRERYLILVKRWILRKTTKSIDNNVEDFIQSYNLSVPIKQYRYAEVKKMTNSFRDKLGQGGYGVVYKANLPDGRQVAVKIINESKGNGEDFINEVASISRTSHVNIVSLLGFCYENKRALIYEFLPKGSLDKFILKSGFHDAICSLDWKTLYQIAIGIARGLEYLHQGCISRILHLDIKPQNILLDENFCPKISDFGLAKVCQRNDSIVSLLGTRGTIGYIAPEVFSRTYGGVSHKSDVYSYGMLILEMVGGRKNYDTGGSCTSEMCFPDWIYKDLEQANNLANCLANSKEENDMVRMITMVSLWCIQTNPADRPSMSKVLEMLQGPLQSVPYPPKPFLYSPALSSLQTSYLSSSNLLETNSAS >RHN44148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1993258:1994623:-1 gene:gene38225 transcript:rna38225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTETLKFVYVLILFISIFLVIIVCESSFFPSSPVCKTDKDCPQLRGYTARCRKTQCLLIPRG >RHN57229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37437152:37437709:-1 gene:gene32739 transcript:rna32739 gene_biotype:protein_coding transcript_biotype:protein_coding MINDGINHLSIDKSFRIKQDDKFFLRLKSKEASSANASSRVMYYGERSVAIPFVWEAQPGTPKHPLSETSIPPLTPPPSYYSKKSNTNKKRNSKINIFSCILLRLVGSRKTTHASSTSSGSSSSASSSSSSYSYSRRDNNQGSFSSSCSSTVVGSFLKHNGSNRDRGCYPIGKTKKKEIVSCGEG >RHN44857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9113637:9115673:-1 gene:gene39023 transcript:rna39023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MGGTGKTTLAKEVGKELKQSKQFTQIIDTTVSFSPDIKKIQDDIAGSLRLKFDDCNDSDRPKKLWSRLTNGEKILLILDDVWGDIDFNEIGIPYGDNHKGCRILVTTRNLLVCNRLGCRKTIQLDLLSEEDAWIMFKRHAGLHEISTKNLLDKGRKIANECKRLPIAITAIASSLKGIERPEEWEWALKFLQKHMPMHNVDDDLVKIYKCLKFSYDNMKDEKAKRLFLLCSVFQEDEKIPIERLTRLAIEGGLFGDDYANYEDARSQVVISKNKLLDSCLLLEAKKTRVQMHDMVRDAAQWIASKEIQTMKLYDKNQKAMVERETNIKYLLCEGKLKDVFSFMLDGSKLEILIVTAHKDENCHDLKIEVPNSFFENSTGLRVFYLIYDKYSSPSLSLPHSIQSLKNIRSLVFANVILGDISILGNLQSLETLDLDHCKIDELPHEITKLEKLRLLHFKRCKIVRNDPFEVIEGCSSLEELYFRDSFNDFCREITFPKLQRFHIDEYSSSEDDFSLKCVSFIYKDEVFLSQITLKYCMQAAEVLRLRRIEGGWRNIIPEIVPIDHGMNDLVELHLRCISQLQCLLDTKHIDSHVSIVFSKLVVLVLKGMDNLEELCNGPLSFDSLKSLEKLYIKDCKHLQSLFKCNLNLFNLKSVSLKRCPMLISLFRQLLEAYCCWRH >RHN62959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47044043:47050177:-1 gene:gene25600 transcript:rna25600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative filament-like plant protein MDQKSWPWRKKSSEKTILTTENGEVHTLLADKEELEKDFKELENKLASALSECNSKDELAKKQTKIAQEAVAGLEKAKVEVLSVKQGLDEALRDRLVYEERVAHLDGALKECMQQLRFVREEQGQRIHDAVMKASKEFEKECMVWEDQLSVTSKRLAKVETENSHLNTSIFQREKLIEDLKRQLTQAEADHSALMVRLESTEKDNASLTYEVRVLEKELEIRNEEREFGRRTADVSHKHHLENVKKIAKLESECQRLRLLVRKRLPGPGSLAKMKNEAEMLGRDTLEIRRNKLNSTSSMVESSLDSSPGTPRRISTLTEQLYAVEEENKALKDSLSRKMNELQFSRVMLSRTASKLLQLESHNEESSKGQVAVEQLRSNLCEFSLASTSDIGSDDKVSCADSSASALNSESEHFRSGKQKESWSCRSIGASDINLMDDFVEMEKLAVVSVEKDPEISGASLKEVAEISGFSETRKKETTLGHILDFSTSNEKTCALDELKDNIPSWLQDVVKMILEQNHVTQKNPDDVLENIRVALRNLSNPDPRVFGLKEVSGHIEGSDPSNNSLVVVPSSDVNITDFSPIKRTKQQAHEDPSKSIGKIIELIERINLPAEDCDNSDPLCTSDETVPSVSNSGTPTGYMVRVFQWKTSELSNILQQFLHVCYDLLNGKVDHEKFAEELTTALDWIMNHCFSLQDVSCMKDAIKKQFDWDETRSESEAEFGMIGHFVGEDKLHHPAEQFPCFPQETTSNGHDLQSQSREMYSDEEEEIKNIKGKLITAESQKETLEGRLQSATDRIESLTDQLRESEKTIDNSRLELQSLKESHGILEDQIKNHTIMKSDLDAQHKEAELKEVGLKVLELEVELENKNHSCEELETRCLELQLQLESMSKEGSNHDINQKDKPLRTDLEITAASEKLAECQETILNLGKQLRSLALPKDASLFDNVIAAQPISTTSTTTITTTKTNPIPAPLKVMKKNRSLLDQMLSEDDTKSKVSKVSDRDSDLTTIPGIIQPLEKILSLNEFKAPDDSVTANDLAIVTTKKPAVVPTKKPGSGSLWKKLLWKKKKSTNTKTSLPLNT >RHN57505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39600074:39603334:1 gene:gene33054 transcript:rna33054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLPLKHVVTKHKAKPKLKHKSLSSTYSSSISLSSIFTNTLHSSKPKPKPKPKPSFPIPDKQITATTFKTWFQTSYLSNDPLLLRIHQFLSSKNDFSALFTSLNIPLTTPLVLRVLHHVSYNNDIQSCLKFFDWAGRQPRYTHTRATFTAIFRMLTTRAHLMPMLFEILERFEKHELFYHNARFYDTLVVGYAIAGKPEIALHVFGRMRFQGLDLDAFAYHVLLNSLAENEYFNSFDVILNQIRIRGYATRVTDTIVVKRLCEQGRFDEAEEYVNGMLGSGKKLRDFEVSMLVGLLCERKKFERAVKLVKEFGNTGLVPLEHAYGVCIKGLVKGGRLDDALEFFRQTRDTEGSVPHLYRYNMLICRLLRENRLREVYDLLMDMYESSIPPDQITMNVVLCFFCKIGMVNVALQLYESRSQFGLNPNTIAYKYLILNLCWDGSVKEAYSVFKRFIGNDKLFPDRETFTTLANALCRECKVDEMKELMDLAKEREFTLSPVTNAKFISALCQAGRLEDGYDEHGKLENATAKLYYDKMIEGFIKSNKGEIAARLLVEMKEKNLRLTRFSCRAVICRLLDMDNPITRVTKLLDSLTQGKPDTKIFNFFIVGAGHANNTDLAREVYELMPRNNIVPTLLSQRLVLNSYLRNGKIIDALNFFNSLRRLGVVSKKLYCSMVIGLCKSNKVDIAHDFLFEMLNAGVNPDIECFESLVWKLCSLRRYHKAINLVQVYMKGGRRLTSFLGNTLLWHSSLSPDVYGILVHLRGAEEGENSPISTLSFVIGAFSGCLSVNRSIEELEKLIAMCFPLDTHTYNQLLRRVASYDMNQACELFNRMCQRGCKPNGWTYDFMVRGFLNHGRNDEAKQWVEEMHQKGFDLTDSTRINVRKMILSNEE >RHN38749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1750983:1751264:1 gene:gene44714 transcript:rna44714 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoporin protein Ndc1-Nup MFKLLALYTKRSVFAPPKGSTAAVTNPSDLLLSALEESNPTSLLRYHAYLDLCMVSENNVDAWRRAAFFEETGETYKG >RHN61796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38435736:38438445:1 gene:gene24292 transcript:rna24292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperone DnaJ MGVDYYKILQVDKNANDDELKKAYRKLAMKWHPDKNPTNKKDAEAKFKQISEAYEVLADPEKKAIYDQYGEEGLKGQVPPPDAGSGGGTSFYSTGDMPGSFRFNPRNADDIFAEFFGFSSPFGGMGGRGGGGGMRSRFSGGMFGDDMFGSFGEGGGIHMSQAAPRKAPAIENKLSCTLEEIYRGTTKKMKISREIADVSGKTMPVEEILTITVKPGWKKGTKITFPEKGNEQPNVTAADLIFVIDERPHSVFSREGNDLIVTQKISLAEALTGYTVHLTTLDGRNLSIPINNVIHPNYEEVVPKEGMPLPKDPTKKGNLRIKFNIKFPTRLTDEQKAGVRKLLASSA >RHN82370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53250315:53258262:1 gene:gene6533 transcript:rna6533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rossmann-like alpha/beta/alpha sandwich protein MTEACIRTAVEAIHSSPYQTVLYLAGGASQILGLLLSVPGASNTVLEAVVPYSRMSLIQLLAKIPLHFCSQQTADDMALLAYNRALKLSSPGSPVIGVGFTGSLASGRPKHGEHRFYMSTRTADRLWISKVTLTKGLRTREEEDRVSSHLLLKAIANACKVPSNDIPELSESDVSDECVKQFNEDQELEQLINGQICFKIYPFRSEIPAERKIILPGSFNPLHDGHIKLMEVATRICGDGYPCFELSAVNADKPPLSVSQIKDRVNQFEKVGQTVIISNQPYFYKKAELFPGSAFVIGADTAVRLINPKYYDGDYNKMLKILGGCKETGCTFLVAGRNVDGAFKVLDDLNVPEELKDMFISIPAEQFRMDISSTEIRKKSGT >RHN63326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50413807:50415956:1 gene:gene26013 transcript:rna26013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MAAIYQYCSLFITLTLMLTIIGKPALVMGTFQPSPWTLAHATFYGDETASATMGGACGYGNLFVNGYGTDTAALSSTLFNNGYACGTCYQIKCVQSSACNTNVPYTTVTATNICPPNWSQASDNGGWCNPPRSHFDMSKPAFMKIAQWKAGIVPVMYRRVPCVRSEGFRFSFQGNGYWLLVYVMNVGGGGDIANMWVKGSRTGWISMSHNWGASYQAFATLSGQTLSFKITSYTTKETIIAWNVAPSNWGAGLTYSTHVNFH >RHN65900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6327245:6327490:1 gene:gene13794 transcript:rna13794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I13, potato inhibitor I MFGVAGTYNQRLRRNNPTKTSWPELVGVTVEEAKRKIKEEMSEVKIEVVSPGSCVTFDLRYDRVRLYVDEFNNVFSTPKIG >RHN80148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35580312:35582927:-1 gene:gene4038 transcript:rna4038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MNYMYSVKEEHLEPTIPPPQPNEGLNEIRPQPFLTKTFDVVDDQSTNHIVSWNRSGTSFVVWDTHAFSNLLLPRYFKHNNFSSFVRQLNTYGFRKIDSDRWEFANEGFLRGQRHLLRNIRRKKGPSQPIEVGCVGLDAEIDRLRQEKHMLMTELVSLRKQQYNTTLYLLEMEHRLEGIKINQKKMMSFLARAMKNPVFIHQLLQQKEKKKELEEAVTVTKKRRLVEQGTRLGIGQSSSVKVEPLELCDYEFGVSELEMLALEMQVFGRGEMNKEEVHEPEALESQEKMETVLDDEGFWEDLMFSMKFEGRFDIPTSEDNNENEDDSSEPLSQEFRVNSFHSVH >RHN71729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2277082:2280033:-1 gene:gene7360 transcript:rna7360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEFHLSSIKDAFDRVAKKQKTCSSKSQETVDQVGREIEQALATLQSPQDPLTLADQKSILTELKSKLNAIGSLQHLEGPTKELNSSIAKYQKLTEKLLNPDISKAYRNVEFDSHIINQIIASHFYRQGLFDLGDSIINEAEESNATAIRSNFLEMHHVIEAMRVRNLQPALTWVSANREKLVQIGSNLELKIHTLQYVEVVQNGTQADALKYSRTCLAPFAKLYKDEFHKLMGCLMYVGRLQNSPYAELLSPVHWEMTTEELARQFCYLMGQSYENPLNVVFAAGIEGLPTLLKLVNVMAAKKQEWQEMKQLPVPVELGKEFQFHSIFVCPVSRDQGSEENPPMLLPCLHVLCKQSIMKLSKNSTRTFKCPYCPAEATVAHCRQVFF >RHN80352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37370693:37372086:1 gene:gene4267 transcript:rna4267 gene_biotype:protein_coding transcript_biotype:protein_coding MMINQSHNPHNSRRMLPPGNTRKRKHSEADKLDKPIPSNRLLAGYLAHEFLTKGTLLGQKFDQRNQNRTETDSDFVVGLTEQQRQSQLYSRAEASDDALTRAEGRKEHETYGKVASILKTNGTHIKGIVNPTQLSRWIHKS >RHN80249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36537240:36537545:1 gene:gene4151 transcript:rna4151 gene_biotype:protein_coding transcript_biotype:protein_coding MYMEGGFPHLIFSEHDQKEVYIAKLRKILDSTYDKVLDCVSASLKQGKRNGREIADDDLPPVRKMNVSTSFTFCSNSCRVMETEFTLKNHIPFNRTEKNRR >RHN62842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46151803:46152163:-1 gene:gene25462 transcript:rna25462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MWFVPRDFELSVAILLLLFGTPCLSSFEKTENKIKSAVFLSPKFELGPGSVINRFYYYIDFPSDHIALKSFNAEVVDEDGNPIPLHETYLHHWLVE >RHN81460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46106552:46107249:-1 gene:gene5507 transcript:rna5507 gene_biotype:protein_coding transcript_biotype:protein_coding MSIINVISKFMLLLLLLLNPHSNITKRCNYAPFYVDAARPLEQNVPKYINLKPEKGNGEGRVFQGRNVEDCLPKGFRRSSAPSRYINYQPLGNSCSSTKTVVNGP >RHN44439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4751204:4753380:1 gene:gene38560 transcript:rna38560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TCP family MNKNSKEDFPLKQEVHQNSNDHEKEKANSPSSSSLASSQWPRLKDPRIVRVSRAFGGKDRHSKVCTIRGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAAKQDIDQLPPLPNFSPVGTNFNFGFPSSNELNNQQLFNFNRNNIHWEGSTSSQNSTWKSNPKEVSRELVNDKPNYLMNSTQEEENINKQGSNIEVPTATVFPNNNNMLQRPNHPSFLGLLNNMPLGTNYQWGGTSNDAENSSQLGNNGFITSLPPMLSLSTGNSSQMMLGTTQSYFSSNVNAMEMDHHHQREIHNHHYHQMLSSSNSSQNPMTNSLNNPSFSLAKFLQNSRENSSNKEQEFTTK >RHN76189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48394758:48400172:1 gene:gene12475 transcript:rna12475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MALNMKLFRAFLILTLFNLFTTLPSAEVVSPILDVSSLNRTSFPTNFIFGTASSAYQYEGAAKEGGRGASIWDTYTHKYPEKISDRSNGDVAVDQYYRYKEDVGIMKNMNLDAYRFSISWSRILPSLQPFVTLFHWDLPQALENEYGGFLSPLIVNDFQDYAELCFKEFGDRVKYWITFNEPSSFSVSSYAIGIFPPGRCSKWLSSNCTDGDSGKEPYIVSHHQLLAHAVAADVYKKKYQESQKGVIGITLVSSWFIPHSDNKFDQKAAERGLDFMFGWYMEPLTKGEYPQSMRSLVGKRLPNFSKKQARLLKGSFDFLGLNYYTSMYATNAPQLGNGRPNYFTDSNANFTTERNGIPIGPRAASSWLYVYPKGIQELLLYVKKVYNNPLIYITENGVDEFNDPTLSLEEALMDTSRIDYFHRHLYYIRCAIKDGVNIKGYFAWSFLDNFEWASGYAMRFGMNFVDYKNGLKRHQKLSAMWFTNFLKKC >RHN54480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9926468:9933241:-1 gene:gene29530 transcript:rna29530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane transport protein MGFLQLLEVASMPVIQVLLISALGAFMATQYFNNLLSPDFRKSLNKVVFIVFTPSLVFASFAKSVSLEDMISWWFMPVNVGLTFLIGGILGWILVKLLRPNLKVEGLIIASCSSGNMGNLPIVIIPAICNEKGGPFGARDVCHSNALSYASFSMALGGIFIWTYTYQTIKSRSLKFKALEAAEILKAPNKDLDGNADTPLLKGKDNENTAIEVSPSSYIEDSESQIIDEQDQSIVLKKEKQSFFNRMIEVLSHLLAELMSPPAIATFFGFLFGAVAWLRNLIIGDNAPFSVIQDTLELLGNGTIPCITLLLGGNLTAGLKSSSVKPLTLISIIITRLFVLPVIGLFIVKAVASFGILPVDPLFQYTLVMQYAMPPAMNISTMAQLFDVGNEECSVILLWTYSAAAIALTSWSTFLLWLLS >RHN52758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38503011:38506265:1 gene:gene37462 transcript:rna37462 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIFILGYIEKERSLISLEVTASGCSCVHNLVIVAFASLNTTVSLFVQVTYPLRAITETNLSNIVGIKWTVSSPKEF >RHN60648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29075929:29079224:-1 gene:gene22997 transcript:rna22997 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMKKYRQVSPDRAKVWTEKAPKYQHNLKVPVIYYLCRNRQLEHPHFMEVPLSSPDGLYLRDVIDKLNALRGRGMASLYSWSSKRSYKNGFVWHDLCEDDLIQPAHGNEYVLKGSELFDESNSDRFSPINDVKIQSVKMLPGPSSCRSHDEASSSSSMNGKETRYSQDDEQSHEQHTGSSDVSPESRAEKTGPLSLALTEYKIYKADGLADASTQTEDSINRSKTRKSCTRGVSTDDGSLISECHEICQTEVPQVKHNPETCKDTISPSPTTSSPLSFGGKMETLESLIRADASKANSFRILEEEDIPMPTNTRLKASNLLMQLISCGSISVKNHSFGLIPSYKPRFSHSKFPSPLFSTSFVLGEYDCLVENPKLMNLRLEDKEYFSGSLIETKLKEGDARNVLKRSSSYNNERTNKEQKPKENEEEPSSGHSKCIPRSIKASLTKHPRGESMRSPISEGPRTSSDRIDGSCISAISSNSSSQRITEPSSGKKQPKRVDSFRENEVIKIEESWLQELGL >RHN48754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49982062:49983004:-1 gene:gene43504 transcript:rna43504 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTTAAAAVNSPLRRHNSISAITPTKPPIRTSSLDLELLLLKSPSSFTSYTSLRDMLPSPHAVNSPTASSATMNSGYEISIRNRLVKQAARSYLQPMCSSVGNSSAPNFLRRFWHRLSSANHLTDCFISMVSGFTRIFYQILHTFRGQVRT >RHN56342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30117065:30122798:1 gene:gene31727 transcript:rna31727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cadmium-transporting ATPase MAVSGLRSSCGLPLVHHHNHLRFSSSFTNLNLHSKSKRFTLFARYAQTQDLFSSRRFQDSIEKLPKLVEDIVQTSVDTGPRGVLRLAQGVQAFLGVGQEWLTDVSKSTNSSAGLPTELQLGLLSPLYLRRLFERLGATYIKLGQFIASAPTLFPAEYVQEFQNCFDRAPPVPFEVIQSILSKELGRPIESVYEYVDPTPLASASIAQVHGARLKGSQEDVVIKVLKPGIEDILVADLNFVYVVARILEFLNPEISRTSLVGIVKDIRESMLEEVDFYKEAANIEAFRRYLEATGLTRQATAPKVYQNCSTRQVLTMQRLYGVPLTDLDSIRSLVTSPEASLITALNVWFGSLLACESFHADVHAGNLWLLRDGRIGFLDFGIVGRISPKTWAAMEVFLGSIAIEDYDSMASSLIQMGATNNDVNAKAFARDLEKVFSSMKELDTEIVVATRSGTARNPAAISANVVVDERQMNALFLDVVQVSESYGLKFPREFALLLKQLLYFDRYTRLLAPNLNMLQDQRISIASNRRSNYT >RHN71696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1990968:1995198:-1 gene:gene7322 transcript:rna7322 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSHTDLDYAKAELEKLRAECRVKTQQIESLKNDRARETTNLAEKHARELDLKSEEIYELKRINEDLESSLREKEKYIVHLNSENNKIEARFAERVFKLEGSNSELVLTLDEITARNSCLEKNVCESSEEVSRLKSSLLAAEKKCIEAEERAKQAKTMKLKEDVIMQLEEENVTVQDKIKWRNEQFKHLEEAYQHLKDQFQLSKEEWEKERSLLVGEISSLQMSLNSQTRTLEGLQSRFEMCNHALACEESKRKLLEAEISEFKTSFEDVYGQCEEKKFEIEELTVRRNDEIAELRNSLAEKEILVKELERKIVLLEQDNQEVGDLLKEFREAQIRGAGGNSMTSKLRNKLRKLEEVHKNCSSVLKSKESQWDCQVAKMEADVIGYQSALTNKEQEIRELQIELENCYCAIEENHIELLIFKSVLAVADAYSKSFGTETGKAVCVEENGDTILNFSEQLRLKDNSLKTMAQKQFLLEEEFEHQKKCLEESSAGQLILKEQLLQMENTLKHERKVSFEALEMLKHEMASKNDELSRLDCEARHWKSTVETLRVSYQEIQGTCKEMETSLLSRDANEQALKLENKNLLCIVKDQERDTEDLQLQIALLESCNAEKAKEAERFKQEKDELVQTMMEKDCCIKDLEKDIAVASLKQESIKKELEDAVLAQLDAQKALQQEEDLLWKIKDEKDETIKHFQELAKASEQDFLEALCFSFSIQVEKLVEVSMVTEALKNAEYLTKLEIEEKSTRIIKSELEIKSLLENLAQTEESYCHLKHEAKQFQLSLEAMELETKKLTNEKQKMEQMIAEIKFENGNLLLDITKLSTEREDMLAHIEYIYGKIGDLSSEDMQFNTSIDEENETAMDSVVCDKLHGSAQDSANGLLFPCTNKKIEENFDGRLPLREVNSLHM >RHN68520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36172394:36174942:-1 gene:gene16883 transcript:rna16883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSLSPPRERTNNQRRNFQLYYCFQCNRTVRVAPYNSSDLICPRCFGQFICEINIPRPRLVVDFTAHDPSPEARLLEALSIMLDPPIRRFNPETQTRRPRRATQEVPVRRRTGDHHPIQTQTEPEPGIQHRPRTWVILQPVDPSSNSDSNTFQPVIYPGGRQGPIPRGVDSRDYFFGPGFNELIDQITENDRQGPPPVPERGINAIPTVKIESKNLKENSHCPVCQEEFEIGGEARELPCKHIYHSDCIVPWLRLHNSCPICRQEIPVSSDDDEDECGDEGGGGGGRLQRCLRWTRISSLWPFHGRYRRVHPQRDNGSGSGSASRIRDSNPRRQSCCIL >RHN70709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53364107:53370476:1 gene:gene19320 transcript:rna19320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription elongation factor S-II, central domain-containing protein MVGMSNNLVSESVTSSQMAQLEPIMNKADGSMGLLRPVSTDSMSQNQGASNGEPVSQGLPLSNRLSGQVGGGDMGMHRVIMQNKQSMQMGAAPNNLGAHQVSAAPKRKATMELPSGSFITPSKREKPMAQRPWMPQGSNSSTKIAPRMQSSSNLSRVNHSAASGKRKTQADNTSGKPATPRSSNSKNQNAQLKESSKVQTESSESVRSKMRESLAAALALVSQQDKPLVSNDDKPNNAANSSQCAGSASASADTAPEQKQEICQPVNSSLAGADSVDHVMGEEHLDSTSTEGFSEKPKDYQAGFTNVSNNEDMLSSDKQDFQSNYTLTTDDVPFSDSFFVKDELLQGNGLSWVLSDMDHMVVIDDQSESQTTIEKKLEPEETGGVCREVVPLPELLASRIEAELFKLFGGVNKKYKEKGRSLLFNLKDRNNPELRERVMFGKILPEQLCSMTAEELASKELSEWRIAKAEEFDKIKVLPDSDVDIRRLVRKTHKGEFQVEVEHEDNVPVEEVSGGKNSVVRRQPVKKDVEGTSPSKPDVVKSDVNTDNEKSSLQTDNQFSITISSNDGTDPMEGLMTDDDALKDPNFLPPIVSLDEFMESLNSEPPFENLPVESGKAPISEEDVYGVGSKSKSSDLTPSEQDDVSASKSDKLQSTDAEEEKKVNADAGSISSDAKHGESRSDTKPTDGHSKEMSPDGKKSTSNDAELRASQFHAEERHGKDKVSKTTVPIKGECLWEGMLQPNISTTDSVISIFKSGEKTSTKDWPGFLEIKGRVRLDAFEKFLRELPQSRSRAIMVSHFISKGITPEEQATLREVADSYILDERVGFAEPVHGVELYFCPPHKKTVEMLSKILPKEQIEAVNSIDNGLIGIIVWRKTNITTSISPTAQSHHKHSSKRQILSRRQQDTNVNANSTHNAVPSMGFKTTVSEPPSGDDDDVPPGFGPPARVEDDLPEYNFSGSSNPSSHLVQKHMGPSMVTSHSVVQTPSRPAQQMRELVHKYGQNKTTVTSVNWQDKFGGSIQPWNDDDDDIPEWQPQINNQNQFPPQQTMNNFHLRPHVVNQSYAGLPQQSIMPTQYLQPPMNVTHVQQNFGPQWVPSIQGNNTQPSAAPPYGTPTQGTTPWSQHVSRSRRL >RHN58368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1178750:1179359:-1 gene:gene20274 transcript:rna20274 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKALELQLPPPSPNERQSPNKSLLRSPNMSRENERQSPVPSPNKSRGFEFYMSLMSPKRTRGSENHSPSTSEKVRGNEDHSPQYSEKLRKSDSQPYHSDSQNESSQLYLKRGRSEGNIHNVDKSQLH >RHN44714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7646463:7650447:1 gene:gene38865 transcript:rna38865 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSTTVAAEPFQGSPASALPWIDSKSPTTQMDTIGTTVDLVVPVNLSADAFTGGGGWEDVVGLEDIQRRHLQRLHAKGVLWKPPATEDSSPSDLRSVVFRLSHGGEVESDGNCLFTASRKAMEMEDVDAKELRRRTVKRFLEDYGSVSFEEGEAIDDAIRHMYSPDLMFGWGIHVVQEVKLLAKKEDRFSLDSAIDELVHLGMQREMAAESIYKERCIPVNDGQTWAKYMLISGSPDDEYDIITLQYTEEGLLSVDENREGRAAAFGDDIAIECLSTEFKREIYVVQAHGSDAMVDEENCVFFLPHRPRSEIAELPFFLFMKGTGWCGAGADHYEPLIAHPSAVVSQEKVAVVL >RHN68954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39833566:39834254:1 gene:gene17377 transcript:rna17377 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYFSSLSTVTISNSSFHFLKFSCSFHRSISISPPFSLSISIPFFSDFNSISFSISNNNSSYQFLKLQNKRKR >RHN41075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26745057:26748538:-1 gene:gene47356 transcript:rna47356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MAFFPANFMLQTSHQDEHHQPPPSLNSIITSCAPQDYHGGGVSFLGKRSMSFSGIELGEEANVEEELSDDGSQLGEKKRRLNMEQVKTLEKSFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDVLKRQYDTIKADNDALQAQNQKLQTEILALKNREPTESINLNKETEGSSSNRSENSSDIKLDISTRTQAIDSPLSTQQTSINLFPSSSRPAGVPHQLFQTNSRQDIQCQKIDHMVKEESLSNMFCAMDDQSGLWPWLEQQHFN >RHN81266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44448126:44455328:1 gene:gene5282 transcript:rna5282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAD-binding domain, FAD/NAD(P)-binding domain-containing protein MEIVVEEDIVIVGAGIAGLTTSLALHRLGVESLVLESSDSLRVGGFALTLWENAWKALDVVGVGNILRHQHLQLHRLVTTTLITGQQTSTTSFKDRKLAKHGPYEVRCVRRQLLLEALASELPSGTIRYLSKVVAIQESGFFKILHLADGTTIKTKVLIGCDGVNSLVAKWLGFKEASYTGRYAARGYSECETNHELEPMFMQYFGKGFRAGALPCNEKGVYWFFTWTPTSQEKELLQDPAKLKEYVLKKLEKMPSDVRSFIENTEIDAFHSAPLRYRNPWELIMGNISKGNVCVVGDALHSMAPDLAQGGCSALEDGVVLARCLAEIFSKRLKEEDEYKRIEEGLKKYAKERRWRSIDLIGRSYVVGSIQQGGSKLVNFFRDKILATFLAHQLLKKSDFDCGKLREP >RHN47396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39428887:39432712:-1 gene:gene41989 transcript:rna41989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metallo-beta-lactamase MSFPTAASPPAASEAGTLHHDDGDSYNVNDDDASFDAIRLDDEGFPSQQLPIESPSPNGSEKSSFAADFYRCGSDCSSLLTPERDSLSSGKKLKQANLFQIWGFKRNVEFESPNQGGYCDVVGEGSVSSEKKSVKRGNWGSILRDTGKVVENSKSTGKRKSFHGEKRVTRSCPFYKKMPGTNFTVDAFRYGCVEECSAYFLSHFHADHYGGLSKKWSHGPIYCSPLTGRLVQMCLYVNPSYICPLEFDTEYVIDGIKVTLIDANHCPGAALIHFELPNGQCYLHTGDFRACKLMQDYHLFVNKRVNVLYLDTTYCNPKYKFPSKDDVLNYVVKITNNHLKKYPRTLVVVGAYSIGKECVYLAISKALGVKIHVNASRRRILLAYDCPDYSDRLCTNGNNTLLHVLPMSSLRIETLKEYLKTYKEQFTSVLAFRPTGWTFSEKIGNDLALIKPVSNGNITTYGVPYSEHSSFTELRDFVQFLRPDKIIPTVNVGNAANREKMQSYFRDWLKG >RHN74735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36244060:36245403:-1 gene:gene10843 transcript:rna10843 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETFPYQNGVVAKKSKENDRRRRRGKAKKNNKASEQPASNIGEDSDNAKENTDPKQVFEQVEIEYVPEKVDLYEGMDEEFRKIFEKFSFTDVAASEETDKKDVAEETAATKKKANSDSDYEDEENDNEQKEKGVSNKKKKVKQFLACVFHFAFYYKFVVVFLWSNLL >RHN59214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8478547:8481742:1 gene:gene21213 transcript:rna21213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MEKAGVRPNQVEFLSILSACSHRGLVEEGLEFFGKMVNDYGLVADVKHHDCVIDMLGRVGRLEEAEKVALQVPREVANDVIWRTLLGACSVHDNVEVMNINVSCKGHSPPFQRSIGRSSLFCIRSSELAQVIKLNVHDLVLHCSR >RHN63336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50482990:50483757:1 gene:gene26023 transcript:rna26023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WD40/YVTN repeat-like-containing domain-containing protein MDENTRGGKEGSNNGGNIKKRNKNIIKNLQDRELSCKHHRAFVTATTPHRFQNMRFSHELDTHHSKHKSPPHILPFLMGRTKIVQITAAKNIVFVLAESGLCAAFSSETDERICFMNLHPNDLIRRLFYNKNNDSLITASSRSRMPEEYRSTRIEDIRRAKPDAGFDLFQSESFVVKFDDVNAKVLTYSAQDSIFKVFDLKNYTFLYLFSFSNVDWINISSGIMLFIFKRTSDHIPVKIISIEDGKVLKQFNCLH >RHN57527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39794573:39795555:1 gene:gene33084 transcript:rna33084 gene_biotype:protein_coding transcript_biotype:protein_coding MCELRVSICIRFHNRLSFYELFFLGFAGLPLGLIVNGPGPADYGVGNIMGQGRWFATIDNLKHRQTCGRVTCCIVVPFGPM >RHN65613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3788756:3789241:1 gene:gene13472 transcript:rna13472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MEAKKRNCCSPLRLLTMKVFDEVNAKGESYDMTIVDEIQMMADSYSGYACTRVLIGLKDDELHLCGDPSVLHIV >RHN48650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49217566:49222348:-1 gene:gene43389 transcript:rna43389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-RSK-2 family MGSFSGTCEIVEVREDRSIGKGVIEICQSKSQNKMSEKKNKKPLALNLGYKDKIDDEINKLFESIALKSSSWDLGISQDGTSYKLKNTLKKPMTMGVLRSPQVGTSEAVNLKQALRDLCISKASEVASMKRLSKSIASPRISEVERIQTLYSSVVDEASHSGPHIAESKGRRMEISLVPEKSQSLSFNKTSQSHQTTQITSSSQAINSAWEIAVATNQLHSGTSSSRSDAACLSSRVGSQSQRVVPVQPEKQTPASSPSLSNTAESKLELSVNASSPKKLGNKVAVSNSGRKGRLQTSSSSSTSVNGSRVNKQPHHTSRTIKMVIKNKSPSKKKVKEDSGSASCDPTSNEVSKSVPGTAQLVCERCRCALEDTKEEKNQEVMALDSTSPGNGVNFSNVHSSSYKPYSASNSGNIGKAVAKVEKIQKNTKLKEQLEFSQSSKSSQGEYSSSTSTSDESNMSGSSCGSRPHMSKDVRWEAIRHAQMQHGVLGLRHFNLLKKLGCGDIGTVYLAELIGTSCLFAIKVMDIEFLARRKKMPRAQTEREILRMLDHPFLPTLYVQFTSDNLSCLVMEYCPGGDLHVLRQKQLGRCFSELAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCAVSPTLLKSSSNIDPAKVSGLGAQSSCIEPLCIQPSCQVSCFSPRFLPAAAKARKLKVDPLAHIRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKEEGHGAAVDWWTFGVFLYELLYGRTPFKGSNNEETLANVVLQSLGFPDDPFVSFEAKDLIRGLLVKEPENRLGSQKGAAEIKQHPFFEGLNWALIRCTIPPELPEFCDFGVLDMASHGKGAKYLECNVGQHVEFELF >RHN76320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49348966:49349917:-1 gene:gene12622 transcript:rna12622 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLRLGCKIHKNFKPEHCISLKSSIEGQREIRLRRISSLR >RHN72726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10205401:10206730:1 gene:gene8476 transcript:rna8476 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGDKSFGDIFTRMWKRLSMKQLCAQAVLNTKTPRISRRHMSQFSSLSGIVC >RHN71974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4102883:4105215:1 gene:gene7639 transcript:rna7639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MASSNVVSQEDGTKPAKRKPTFTKVDQMKPGTNGHTLIAKVLTSETVLQKGGGTRPSSSSRGIVRPTLISECLIGDETGTIIFTARNEQVELMKAGNTVIIRNSKIDMFKGSMRLAVDKWGRIEVTDPAEFVVKEDNNLSLIEYELVNAVEE >RHN61694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37588781:37592213:-1 gene:gene24183 transcript:rna24183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycylpeptide N-tetradecanoyltransferase MFREIFVFFFQIFFMENVFLFFQKAFEMVDSNPSSGSPEETQNPNPDGNAPAESDLALDNLAQKVQESLSLDQRHKFWETQPVGQFKDIGNPTLPEGPIEPPTPLSEVKQEPYNLPNLYEWVTCDIHDEQMCDEIYTLLANNYVEDDENMFRFNYSKEFLRWALQPPGYFTAWHIGVRVKTSKKMVAFITGIPARIRVRDEVVNMAEINFLCVHKKLRTKRLAPVMIKEVTRRVHLENIWQAAYTAGVILPTPVATCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPESTVTPGFRKMEIHDVPAVTRLIRNYLSQFIVAPDFDENDVEHWLLPRENVVDSYLVESPETHEVTDFCSFYTLPSSILGNPNYSTLKAAYSFYNVSTATPLLQLMNDALIVAKQKDYDVFNALDVMQNESFLKELKFGPGDGKLHYYLYNYRVRHALKSSELGLVLL >RHN72975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12295862:12301400:1 gene:gene8744 transcript:rna8744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-arabinose 4-epimerase MLNFSRARSQPRAARSMSLGGMDYVDPKKKGNLLGKVFLVAALTALCILVIKRSPSFTTPSPFSVHEPGVTHVLVTGGAGYIGSHATLRLLKESYRVTIVDNLSRGNLGAVRVLQSLFPQPGRLQFIHADLGDAKSVDKIFSENKFDAVMHFAAVAYVGESTLYPLKYYHNITSNTLLVLESMAKYNVKTFIYSSTCATYGEPEKMPITEETEQKPINPYGKAKKMAEDIILDFSKNSKMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIMPGLKVTGTDYNTPDGTCIRDYIDVTDLVDAHVKALEKAKPGKVGIYNVGTGKGRSVKEFVSACKKATGVDIKVDFLPRRPGDYAEVYSDPTKIRRELNWTAQYTDLEKSIQVAWKWQKTRPNGYGSS >RHN39821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10825178:10828195:1 gene:gene45891 transcript:rna45891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MGTTDTTKPNLDSLLNERKAFDETKAGVKGLVDGGLNKIPTLFHHQPDKYELAYNTSHVIPIIDLADIDNKDPSIHQKIVDKVKEACETWGFFQVVNHGIPLSVLEELKDGVKRFYEQDTEVKKDLYTRDRHKSFIYNSNFDIYSSPALNWRDTFTCYLAPDTPKPEDIPVVCRDILLEYGKHMRNLGTLLFELCSEALGLNPNHLKDIDCAEGLLALGHYYPPCPEPELTVGTTKHSDNDFLTVLLQDHIGGLQVLYQDKWIDITPVPGALIVNVGDLLQLITNDKFKSVEHRVLANNVGPRISVACFFCTGHRSSSKLYGPIKELLSEDNPPIYRETSVADYVAYFEAKGLDGTSALTHYKI >RHN64676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60918069:60929933:1 gene:gene27517 transcript:rna27517 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSFWRPRDRFSLDQLRYLTDQLTKVQVVSDVNKDFVIEALRSIAELMTYGDQNDPSYFEFFMEKQVMGEFVRILKLSKTISVPLQLLQTVSILVQNLRNEHAIYYLFSNEHVNYLITYSFDFKNEELLSYYISFLRAISGKLNKNTVSLLVKIRGDEVVSFPLYVEAIRFAFHEENMVRIAVRAVTLNVYHVGDDSVNRYISSAPHKDYFSKLISFFRKQSMDLNKLVSHTLINPGPDSTSTIIAAIDEIEDNLYYFSDIVSAGIPDVGSLITDSILMVLIFPLLLPSLRIAIDNDMQSGVVTSLYLLCCILRIIKIKDLANTIAAALFCPLEAFTKSSGGFHPEGVLMQNDCSCPNLSLRDVLLAYVTKGDDVQVLGSLSMLATLLQTKELDESMLDGLGILPQRKQHKKLLLQALVGESSGEEELFSPKSSLTRDGAGSDIDAYHKKIKDQYGISFLSSDVGISPHVNRFQVIDALVSLFCRSSISAETLWDGGWLLRQLLPYSESEFNSHHLEVLKVSYKNCASDLVEEVKGIWSDFLISVICDEWRKCKRAMESSSPPKEPSCVLFLPHPHKFSLEDNTSTGSSFDAGERMQELVKVFVLLHQLQLFTLGRASPEQPSIDPPGDLPANCRAQISGIDVSGPKAGTEISLVNAVPCRVAFKSGKEHHLYFQAISSGISGWLVLAEEQPSQMSHGIVRVVAPLAGCNPRIDDTHSTWLHLRIRSSSLPFLNPPKSNDYGRMKTKGLVDGRWTLAFRDEESCNSALAMVVEEVNFLSNEVHGRLKPLLNLETAIDLSSSHLCVLEDSSIRMLPKSL >RHN69463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43605556:43611115:-1 gene:gene17939 transcript:rna17939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA polymerase III, subunit gamma/ tau, P-loop containing nucleoside triphosphate hydrolase MAPIIQSTQPWVEKYRPKQVKDVAHQEEVVRVLTNTLETGSCPHMLFYGPPGTGKTTTALAIAHQLFGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGTNKPKNGYPCPPYKIIVLDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLTEEIMSSRIVYICKEEGIYLDAEGLSTLSNISQGDLRRAITYLQSAARLFGSSISSKDLISVSGIVPAEVVEALLKACRSGNFDLANKEVNNFIAEGYPVSQMLTQLFEAIVEENDISDEQKARISKKLGEADKCLVDGADEYLQLLDVVSNTIQALCNMPEGFA >RHN48917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51115791:51120434:-1 gene:gene43685 transcript:rna43685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin MDRKVIDFDQGWAYMENGIKKLKRILEGLPETQFTSEEYMMLYTTIYNMCTQKPPLDYSQQLYDKYKEVFDEYIRSTVLSAVRDKHDEFMLRELVQRWLNHKVLVRWLSRFFHYLDRYFVARRSLPPLNAVGLSAFRDLVYMEVRVNAMKAVIVLIDKEREGEQIDRSLLKNVLDIFVEIGMGEMAFYESDFEAHMLEDTADYYKSKATIWIESDSCPDYMLKAEDCLRRERDRVSHYLHSTTEQKLVEKVQHELLVNRANQLLEKEHSGCRALLRDDKVDDLSRMYRLYHKIPKGLDPVANVFKQHITDEGIALVQLAEESASNQKTTSSSGFQDQESPYQKIH >RHN59118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7645743:7647838:-1 gene:gene21108 transcript:rna21108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Metallothionein, family 15, plant MSGCKCGSSCNCGDNCKCNSRSSGLGYLEGETTETVIMGVAPAKIHFEGAEMGVAAEEGGCKCGDSCTCDPCNCK >RHN61072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32717066:32717826:1 gene:gene23486 transcript:rna23486 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPLCLSYCYFNFCYYQFFRKKHIPYFHETSNRNSSRGTKFIFPQKRSQVHIL >RHN76379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49794366:49794509:1 gene:gene12696 transcript:rna12696 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S11 MQRAVVIIKGPGLGRDAALRAIARSGILLRFIRDVTPIPHNGCRATL >RHN40385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15865560:15868846:1 gene:gene46533 transcript:rna46533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-rRNA-processing protein TSR2 MSGAQVDVCIWDYQQGGKNSHLKAKKLIDEIRYWFTQSNEPLHIDDLKTLIYEGMVAAFDLKICDGSIGDVCETVHDYAMLEGRQINASNRDLSYSPTQSKLAMIMSLPAQEAATYSAQAFSEVKAILEEVEEATEEG >RHN71838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2934440:2934987:-1 gene:gene7478 transcript:rna7478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MNMHGSIGFCKNGKWLGTAFQFDVDAIGLGGVDSCFSKAALFPHALLKNVIVQMQFSVEQGLVPPEGFRPWALAGADGNMDMGPLLSDPKDCELMIMMVGLPASGKTTWAEKLMKDHPEKRYVLLGTNLLLEQMKVRGLLQKNNYNERFRRLID >RHN43253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44361527:44364488:-1 gene:gene49798 transcript:rna49798 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLPSRVLPNPDRRSEYVGSCLDIGIQFSEPYLLEVETASIFSSSVAGVHATDFSAV >RHN72538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8464311:8465585:-1 gene:gene8264 transcript:rna8264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative petal formation-expressed MALLQTSVPISCSLSSSSKKVINASIHLPKLTNISLSKIQTRKQVDDQELKVIKQYKNTPLLENNNITQILQDQQQKHSTNSNATVQLYAVLEAVSDRIEMHHNIGEQRNNWNNLLLNSINMITLTATTMSGVAAVTSGEGAPLMAMKLSSALLFSAATGLLLIMNKIQPSQLTEEQRNATRLFKQLQSHIKTTIAIGNPTEEDVKDAMEKVLAIDKAYPLPLLGAMLEKYPSKFEPANWWPISKKVKTQSKKMGKMNNGWSEELEMEMQEVAEVIKRKDSEDYDRLGNIALKVNKSLAIAGPLLTGIAAIGSTFVGNSSLAAFVPLLTGSLASAVNTFEHGGQVGMVFEMYRASAGFFNLLETSIESTLGEKDLEKRENGELFELKMALRLGRSVTELRELASKSASCRMESIEIDEFASKLF >RHN53340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1067775:1071399:1 gene:gene28238 transcript:rna28238 gene_biotype:protein_coding transcript_biotype:protein_coding MRILMLMFLYVFFSYFSAGTMQSQCYGPTPMSERTCNAIAHVTINNYKHKSRHHFPLIVTIYKLLTSI >RHN82576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54896810:54900593:-1 gene:gene6749 transcript:rna6749 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEGFVEADNAEAIITRIEHKTRKIETLLKQHKPVEALKTALEGTYAMTSDERCKSAHWIVVHRAIMAIKDVDGMLSSLEPEYYDILMKYLYRGLSTGDRPTCDQCLRIHEKLTERAGHGCILRFLTDTVNTV >RHN39679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9523424:9528759:1 gene:gene45726 transcript:rna45726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MMVVDFLSSGPTSTAISQIIETIGEFLCSANDVLVNKDSFKELSSYLERIAPILKELRNEKVSDSEAFNRAIDIMNRETKAAKLLAQECGKKSRVYLLMNCRSIVNRLENHTKELSKALGLLPLSASGLSAGILEEIKKVCDNMEKAGFKAAVAEEEILEKIESGIRENSFDRKHANNLINLIAKAVGITNEKSTMKAELEEFKKEIENARVDKKKAEAMQMDQIIALLERADAASSPNERKLKYFAKRQSLGTRILEPLQSFYCSITHDVMVEPVETSSDQTFERSAIEKWFEEGNKLCPLTLIPLDTSVLRPNKTLKQSIEEWKDRNTMITIATLKEKIHQFGDDDEVISCLKTLEDLCEQREQHREWMILEDYIQVLIQILGSRNRDIRNRALVILCVLAKDNEEAKERIVTVDNAIESIVHSLGRRQEERKLAVALLLELSKYDLAREHIGKVQGCILLLVTMSNGDDNQAARDATEVLDNLSYSDQNVILMAKANYFKHLLQRLSTGADDVKMIMAKTLAEMELTDHNKESLFVGGVLAPLLHLFLHNDLQVKTVATKALKNLSSLNRNGLEMIRQGAVRPLLDLLYHHSIHTSSLWEDVAAIIMQLAASTISQDIQTPVLLLDSDDDVFNLFPLISVTQPGVQQNIIQTFYALCQSPSSSNIKTKLNECSAIPELVRLCESENLNLRASAIKLFSCLVESCDESIIVEHVDQKCINTLLQILQSSSDDEEILSAMGIICHLPEIDQITQWILDAGVLPIIYKYVQDGRDRDLQRSNLVEKAVGALRRFTVPTHLEWQKIVAETGIITVLVQLLESGSTLTKQSAALCLAEFSKSSVSLSRPIPKQKGLLCCFSAPSEIGCKVHGGVCTVKSSFCLLAAEAIGPLTRNLGESDYGVCEASLDALLTLIEGEKLESGGKVLAKANAIPLIIKFLSSTSLGLQEKSLHALERIFQLAEFKQLYGASAQMPLVDLTQRSNGRVRSMSARVLAHLNVLHDQSSYF >RHN60337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25552893:25553297:1 gene:gene22638 transcript:rna22638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAGEGGKGLVDAKTIAANKDKDKKKSTSRSSRAGIQFHVAVVYLASILEYLTTEVLEPAENATNDLKLKRITPRHLQLAIRGDEELDTLVKGTIAGGGVIPNIHKSLINKTIKE >RHN61434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35491708:35491986:1 gene:gene23903 transcript:rna23903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLNSILRGSVTARQATSKSVEVRKGYVAVYVGEKLVRFVVPVSYLNQPSFQDLLSQSEEEFGYDHPMGGLTIPCTEDVFQHIISSLNGL >RHN77927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10579850:10580924:1 gene:gene1434 transcript:rna1434 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVYNKFIGKLCCKRTILHSKGCSCVDNDSLYSYIKHTLLNGWKTRIILGKVFTKEDETNPLSRVMLIMVCTEGSQTRHGHNMCPKILIKCLLVGMHLIDDLFDLFNQR >RHN71307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58116673:58117284:1 gene:gene19974 transcript:rna19974 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSILLVVLAVALFLNGYSAAAEGEGRANQLVKDEAGILNSAAAGGDGRVNQPVKDIEADQHDDDDDDHKRINKLVIYYCFNINKLCGKYNSYCSRYTKICNNIGRDSIVAKSGNPNAEILP >RHN45328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16696449:16696787:1 gene:gene39601 transcript:rna39601 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGSRRQSCSSLFFNVIVKSLLLLGGNLDLKHMKRDIDIDKDSKNECHLSVLYCLTEWDLVQSSVCFCFIWLLCICISCFLRLSFYGCGDLEGCGDVLDLDLRKMKRCIC >RHN55843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24669836:24673714:1 gene:gene31113 transcript:rna31113 gene_biotype:protein_coding transcript_biotype:protein_coding MNERKQNRGCSLFDWSMHNNRRDRDSYFFECCFFLQLETLKSMIGMI >RHN76140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47963120:47967252:-1 gene:gene12421 transcript:rna12421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rab5-interacting protein family MAGHSGSGSSDKKSSNGVNELLTFNAENMQSNMKIIYYSRTFLSIIGGVVAGILGFTGLKGFVFYALLMAFTSLGLVAKAKFSIHTYFDSWNRVLIDGFLGGLMSFVLFWTFAYDIAHIF >RHN70257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50047239:50047587:-1 gene:gene18818 transcript:rna18818 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKIIEIEFDIISCLKFSINYESFSLMKSTLHYNCSIKRSQELT >RHN50765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10263787:10264349:-1 gene:gene35091 transcript:rna35091 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIKNIQGSRDINKSPKNYYNLDQSRVKDIYFDKSQKAYSLFIKDL >RHN52587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36836309:36839143:1 gene:gene37281 transcript:rna37281 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLLESKLSLLDEGCNALLKLFDYLIIFIELGYIYIIYVILNNIG >RHN40528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17216028:17216312:1 gene:gene46688 transcript:rna46688 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYVTLIFSLYCFDHYSKFRLIMVLHVEDPLQGDFPEVIEEYLEHGIMKCIAFNRRGTLLAGFLPMF >RHN45222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13185346:13185784:1 gene:gene39456 transcript:rna39456 gene_biotype:protein_coding transcript_biotype:protein_coding MENFQAEPSNELVSDAPEGFDNGSGSGSDIGNISSGDEDVGESNNEGFPCNHDVATAVDCMVDVRKINLKEMSCEEIMRYHFLDRESKC >RHN78872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19460354:19461441:1 gene:gene2537 transcript:rna2537 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKTRAVVALEQAVILEDLCFPVCLGTFWCGTGFVSAVFGAGEFGGGPCACLLSRFHQVLVAACAGLLEPLLGGQCGRVFVGVLLLCLVCWSSLVFLAWGLCILAW >RHN80294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36937553:36937786:1 gene:gene4200 transcript:rna4200 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSAILNFPNEYNISAGAGATSYSNVFEFEYLDLDSLLEVVIDHAKKKNRDPENPIYQLVINIIFNATYVTYYTN >RHN48283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46297682:46299048:-1 gene:gene42984 transcript:rna42984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MMSSPSLKKRRMKKSSLPVDRISDLPDSILFEILYFLPTKLVVTTSVLSKRWKHVWLSVLALDFDSGGIETSDLFLDGVHSTMYRRDITLPIHSFRFKSRDFCIQNDINQFVYLVLQRGIQNLCLDLYKYCRFTIELPATILSCRTLKVLKLRNLTVGDISQVDIYLPSLKTLHLHKIDFKCYEHLKNLLLGCPVLEDLETTKSCFVANFLSRLSADFIALPSLIKARICELHIPFSMVCKAEILRVERVWMLPCYMQFPMFESLTHLELSFNSEVYNPKWKWLMRMLKLSPKLQNLIIKDNEDLEEKIDECWKDPPNIPECLSSQLKTCRIRVFKGTQYDLQFAIYIMENSKVLETMRINSIRSLDINEKYQLLAKLSSSTRGSTTCKLLFN >RHN67603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28564337:28569492:1 gene:gene15808 transcript:rna15808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MSSDFKLVIATDHDSEEPELGNTIGSNISLDEKGKAVHTTFNGIRDQGNFSPKNYVTNAERRALYDRFLKGNKATAGDNGSVHVDEEERHQLHGSAKNKPVPLISGTAYCISSCSMIMLNKIVLSSYNFNAGISLMFYQNLISTLVVVLLALCGRVSVEKLNWRLVRVWLPVNVIFIAMLVSGMYSLKYINIAMVTILKNVTNIITAVGELYLFRKRQSSKVWTAMFVMIISAVSGGITDLSFDAVGYAWQIMNCVLTASYSLTLRWVMDEAKKSTKSGSLNEVSMVLLNNLLSLPFAIIMIFIFGEWDYVIHADVVKLPAFWAVATASGLIGLSISFTSMWFLHQTSPTTYSLVGSLNKIPISIAGIIVFNVPLSISNLFSILFGLFAGILFARAKMS >RHN66710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16955668:16963265:-1 gene:gene14766 transcript:rna14766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle transport protein, Got1/SFT2 MVSFEMNDRKKIGLGLTGFGIFFSFLGIIFFFDKGLLAMGNILFVSGVSLTIGLKSTMQFFMKRSNFKGTVSFGIGFLILILGWPILGMIVESYGFLVLFSGFWPTLSVFLQKIPVLGWFVQQPYIRSLFERYRGRRVPV >RHN59259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8868791:8870126:1 gene:gene21263 transcript:rna21263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, non-heme dioxygenase domain-containing protein MEVKTSNLTHETDDSTYDRNAEVKAFDDSKLGVRGLMERGVTKIPRMFYSGEANIIENSINNSMLSVPIIDLKDIHIYPSRRVEVINQIRTACKEWGFFKVINHGIPINVLDETIDGIRRFHEQDPEVRKQFYNRDMEKKIVYLSTISLYRDKFANWRDSVGCFMTPNPPKYEELPEVFRDIIIEYSKKITTLGGTILELFSETLGLDLSYLKERNYLDGLFIQGHYYPPCPEPELTMGTSEHTDPSFMTIVLQEQLGGLQVLRDNQWFDVAPAHGGLVVNIGDLLQVSLFKGRLYDFFFLTEKVRRLI >RHN55145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15511860:15513500:-1 gene:gene30280 transcript:rna30280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MVFKGPNFLRWHFVLAIVITIFFTSKFSFAKQSKLMGLKMNMIDRCWRPNPEWRKHRQQLATCSVGYAGKMTNNIGKGLTHYKVTDPNDDPIKPQPGTLRYGASVIQGKVWITFKKDMNIKLIKPLLISSFTTIDGRGVNVHIADNACLMISKATDIIIHSIRIHHCKAQTPGMVMGPNGKVIHLGQVDGDAIRLVTASKIWIDHNTLYDCEDGLLDVTRGSTNVTVSNNWFREQDKVMLLGHDDGYLRDINMKVTVVYNHFGPNCNQRMPRIRHGYAHVANNLYLGWMQYAIGGSMGPSLKSESNLFIAPKVGSKEVTWRKIDHTNEDKWEFHSVKDAFENGAAFAVTKGSRVPKPNYSKEQIFQVVDVKSIRSLTRSSGAFQCSKTSIC >RHN70616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52751582:52752304:1 gene:gene19219 transcript:rna19219 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHHHRHFFSAILLILFLASFTVVFILLPSNLMSFINKFESSFSASLHHLQTKVSGENDYLLAVEFADGRSNGTVTLPKEVKKVKSREKKREEGLAGARSAMRKAALGNRRSNLTRSSTPYNDDGYIPTGAVYHNSRLFYQYISSSFIFFLVFINFFLSSFKIEFLYLFFLSSSLVARIQLSQGE >RHN80446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38192052:38194836:-1 gene:gene4375 transcript:rna4375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyllase MEVTSAVHSVGFLKQLERFNSTNNGPLFLSTKTTTPKNTLLHCYLHPNQLSTKNNGGRNSKSVIINSTSSSAASIETSGSLSEVEKIKQKCLKWKWKGEYSINYFVSSDSAKSNNPPLLLVHGFGASIPHWRRNIKTLSENYTVYAIDLLGFGASDKPPGFSYTMETWAELILDFLDEVVQKPTVLIGNSVGSLACVIAAASGIIRPDSSETLVRGIVLLNCSGGMNNKAIVDDWRIKLLLPLLWLIDFLLNQKGIASAIFERVKQRENLRNILSSVYGNKESVDDELVEIIREPANAEGALDAFVSIVTGPPGPNPVQLVPKITLPILLLWGDEDPFTPIDGPVGKYFSSLPSQQENVQLFMLEGVGHCPHDDRPELVHEKLLPWLATL >RHN64717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61216987:61224409:-1 gene:gene27566 transcript:rna27566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-exporting ATPase MAESKSISLEQIKNETVDLERIPVEEVFEQLKCTKEGLSSEEGANRLQIFGPNKLEEKKDSKILKFLGFMWNPLSWVMEAAALMAIGLANGNGKPPDWQDFVGIICLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQEAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTRNPGDEVYSGSTCKQGELEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMLAEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFEKGVDKEHVMLLAARASRVENQDAIDAAIVGTLADPKEARAGVREIHFLPFNPVDKRTALTYIDGNGNWHRASKGAPEQIMDLCKLREDTKRNIHAIIDKFAERGLRSLAVARQEVPEKTKESPGAPWQFVGLLSLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSATLLGQDKDANIAALPVEELIEKADGFAGVFPEHKYEIVRKLQERKHICGMTGDGVNDAPALKRADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVVPSPLPDSWKLKEIFATGIVLGGYLALMTVIFFWAMKENDFFPDKFGVRKLNHDEMMSALYLQVSIVSQALIFVTRSRGWSFLERPGALLVIAFFIAQLIATIIAVYANWGFAKVQGIGWGWAGVIWLYSIVFYIPLDVMKFAIRYILSGKAWNNLLDNKTAFTTKKDYGKEEREAQWAHAQRTLHGLQPPESSGIFNEKSSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >RHN68902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39472840:39473242:-1 gene:gene17318 transcript:rna17318 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTASNSVYCPNFGLYKRGDVGRIVSKKQEDVWAVRRSIGTYIIDGKYFKPLDLAEYS >RHN43127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43408196:43412716:-1 gene:gene49655 transcript:rna49655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MKGGNSYSFRGRHVSVAVALVAIVLFSTLLWTWETNPIASTLRSAHEWYHIPSEFPAEVPIDSVGTTKPKKAVEKSLTPPTENETKLQVGVEGAAAVDSHPKSPESPFKKKVSPESPFQKKESSESSPRNEACDYAKGKWVADSRRPLYSGFGCKQWLSSMWSCRMTQRPNFSFEGYRWQPKNCDMQEFDRSKFLRKMKDKTIAFIGDSLGRQQFQSLMCMATGGEESPEVQNVGWEYDLVKHRGAIRPDGWAYRFTKTNTTILYYWSASLCDLVPLNITDKHTDVAMHLDRPPAFMRQYLHRFDVLVLNTGHHWNRGKLNANKWIMHVNGTPNEDKKIAEILNAKNLAIYSVVKWLDLQLVSHPRLKAFFRTLSPRHFFNGDWNTGGSCDNTIPLSNGSEVSLEGSSDPVIAGALNSTKIKILDITPLSQLRDEAHMSRYTIRGTLNSSDCLHWCLPGIPDTWNELLVAQI >RHN55913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25386625:25387268:1 gene:gene31198 transcript:rna31198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MVWIPPSAKWRTLRKACATKIFSSQQLDSTKFHRKRKVQDLINYVHKCCEKGEAFDFGEVSFATVMNSISETFISMDFITSVRTMMTRNQGSLRKL >RHN62800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45932084:45932371:1 gene:gene25409 transcript:rna25409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA polymerase delta, subunit 4 MSSSGNVKEKESKNMSSSSSVTGNVNGGVTDEQNNSESVLRQFDLNMDYGPSIGLTRLERWERAKKWDMNPPQEIKELILKSGNDQQEGLWNKRV >RHN65245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:532894:537552:1 gene:gene13065 transcript:rna13065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MADVYLPDGCWEFVLRSLKEDGDYNRRYIKFFDFDDENDYTDVSVEGNYKNNNCLKSLSAVSKQLLSITNNLRFSLTVRIQTLPFLPRLLRRFTNLTYLDLKRFSKHGDLDALLRQIACFPLKKLTTLNISDQLHFPSKGLRDFSKRITTLTSLISYGIITLKTSHLFLIANCFPLLEELDLSGPCFCSDLIDGDGIKALSDSLFQLRKIDLSLHSHLDDQSLFHLFKNCKLLQEVIIFNCDRITKQGIASALRERPTLTSISFSDDFPNDQTFTSCFIDSLLCLMSLTCLELSRFNVSDNLLSSVAIQGLPLRRLVLRSCTGYGYDGIFSLLSKCKWIQHLNLQDALFLNDQHVVDLSLFLGHLLSINLSKCSMLTHLSLFALVKNCPSLSEIKMNYTSIGKQCVENSNSLLDFVVNPQLKSLYLAHNSCLRDENLIMFASIFPNLQLIDLSYCDNISDKSICQVLKRWSKIRHLNLAHCSRVKLYGMNIRVLKLEVLSLIDTRVDDEALHVISKSCCGLLQLLLQNCEGITETGVKHVVKNCTRLREINLRGCNKVHYNVVAPMVFSRPSLRKIVAPIVAPPRYLSSAKRRELFLRHGCIID >RHN65430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2199127:2199825:1 gene:gene13268 transcript:rna13268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MNQKFKKPYYAAKDNLIAIMNESFPELGLQDKDCIEMSWIQSVLYIAGFNKDDPIELLLNRIVTYKSPFIAKSDYVKEPIPEAGLEGIWRMLLKEDTSALLIMEPYGGKMSEISESEIPFPHRKGNLFNIQYFVKWEVNSIEESNKHIKWMRMLYGYMTPYVSKSPRAAYYNYRDLDLGSNKHDNTSYSEASVWGIKYFKGNFKRLAQIKTKFDPQNFFRNEQSIPLLNSQP >RHN73279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15083139:15087273:1 gene:gene9077 transcript:rna9077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MSKKLWDEKVDTFKKWGWSDENIVEAFRSQPNLMLVSIDKINLVMSFWVNQLDWNSLELAKFPNMFCYSLHKRIIPRASVWQFLLIKGLRQKNASLVTPFTCSENSFLNKFVFSFKEESDYLLKLHEEKMKFANTKNIGMPSTKCVNSHPICLLGRSERKEAICLESGGH >RHN47174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37666856:37670049:1 gene:gene41739 transcript:rna41739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MASTILVSLISIVLFCITVAQGRKTLHTTNEISASSLVTDDDICKSMVETQGYTCEEHKVTTEDGYILSLLRLSAGRSSEKANKPPVLIQHGLFCDAIIWLFNTPEESLGFILADSGFDVWLVNGRGTKYSTTHTSLSPTDTAYWNWSWDELANYDLPASVQYVYNHTGQKMHYVGHSQGTLMAFAALSQGNLVNMLRSTALLSPIAHMNLIPSKFTKLAADLFLADDAYWLGLREFLPNVDVGSKFLDGICKTLNLNCANLMSFFTGPNCCINASRIDIYLDHEPQPTSTKNLIHFSQMIRTGKIAKYDYVDQAQNVQHYGQRVPPTYDLTKIPNDFPLFLGYGGQDMLSDVQDVKVLLNDLQDHDANKLVAAFNQDYAHADFVMAVSAKQVVYDPMIAFFNAH >RHN43110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43289889:43293446:-1 gene:gene49635 transcript:rna49635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MDLLLVPYSLGPDLKIIQWPPFLLASKIPVALDMATQFRGRDSDLWKRICADEYMKCAVIECYESFKQILHDLVIGETEKRYIVLVIISIIVKEVESNMTKNTLTINFRMGFLPSLCKKFVELVELLKNADPTKGGIVVVLLQDMLEVVTDMMVNEISELAELHQISKDTGKQVFAGTEAMPAIAFPPVVTAHWEEQLRRLYLLLTVKESAIEVPTNSEVRRRIAFFTNSLFMDMPRAPCVRKMLSFSVLTPYYSEETVYSKNDIEVENEDGVSIIYYLQKIFPVILPDEWNNFMERLDCKKDSEIWEKDENILQLRHWASLRGQTLCRTVRGMMYYRRALKLQAFLDMASDKEILDGYKAITLPSEEDKKSHRSLYANLEAMADMKFTYVATCQNYGNQKRSGDRRATDILNLMVNNPSLRVAYIDEVEEREGGQVQKVYYSVLIKAVDKRDQEIFRIKLPGPAKLGEGKPENQNHAIIFTRGEALQTIDMNQVKYIKRSLIKLTMDPLKKKG >RHN59824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13647194:13653722:-1 gene:gene21983 transcript:rna21983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MARGKVQLRRIENPVHRQVTFCKRRAGLLKKAKELSVLCDAEIGLVIFSAHGKLYELATKGTMQGLIEKYLKFTRGTQLEAVTEARPLVLDAKEETNVLKQEIDTLQKGITYLFGGGLGTMAMDELQVLEKNLEIWMYHVRSMKMNIMSQEIQTLRDKEGTLKAANKYLHDLVLENTSVTNFVPFATDTSFPLIIQDGIFQL >RHN48209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45797005:45797958:-1 gene:gene42899 transcript:rna42899 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNTTTTMASLSITLLPLLFCLLLSPSQSAQASPHLPGFLYTRNRGTCTPQFWSGRKETWPRMVPETSTVSNVFGWRVYKRYRSDLTLLEATTRNDEVDNPFGALLKEGTTALINSYARQGFPYKPWQVKTLVMQGFVSEVAAASQAKHFSLANHACS >RHN78883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19546065:19546639:1 gene:gene2549 transcript:rna2549 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNNRGKAKNRGKEKNRGKTNNHGKAKMKESCAKKMDDRNVHHAFMPEYVYLWFMVYCIYCKMAEKVCFFLLFFFVGKK >RHN40044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12667546:12668382:-1 gene:gene46136 transcript:rna46136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSHPIIDNNAVDRVIFREYIGVKPYPKPFKFPDIDNYKIAEFHIILAFAHETYNEDGKGTGSFSADWDLDICGIQSVKDIKQKCPNVKLVFSIGGRGTKYPFSPIEKNYWCDNAVDSLKTIIKQYNDIFAGIDINYEHINTNDENDFSNYVGDVINRLKNEVGIDVVSIAPSHANDNYYKLLYSAHADDINWVDYQFYMQPIPTENEFLSLFLSLAREYALEKLLVGASTDPRDGGNVPLDVFVQTCTNLIKHKSLSGIFIWNANDYEKIALDILTNN >RHN79302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27353178:27360034:-1 gene:gene3077 transcript:rna3077 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRNGNLHFDPTLNPGVGSARCPRCLSLLNPNSERGEWTITPVLHDATAIVGSGVGGMLSAVHGLNNGLPYLQNRLKGPKWLPFVVGIPPLLIFAGASAAFGGYVLPKFAQLTVTSYYASSSASHYGISLLTRHIEENYKSNPPHLKRL >RHN69705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45679180:45681807:1 gene:gene18211 transcript:rna18211 gene_biotype:protein_coding transcript_biotype:protein_coding MHYYSSLFLVLITQEPSIFCFIQQTFNFVNKNLNHCEMELFS >RHN65711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4666282:4667366:-1 gene:gene13584 transcript:rna13584 gene_biotype:protein_coding transcript_biotype:protein_coding MASFYLSLLLLFLAALILVSQGLADLLVPIYKPPIYTFPNYKPPINKPPIINLPPIYTYIRPPQLPPPPPIVYFFEPPRRPPPPPVYFFGRKSPPKYRSSFRNSKVDKKPKPKYRSSFRNRPIDKKPPSKYRSSFRYRPIDKEPLYGGQPPPTVYEPPSK >RHN65855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5848746:5849675:-1 gene:gene13747 transcript:rna13747 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDEESVTTKYTSVKHDYDTADKKTDSGKAPKFNGDPEEFSWWKTNMYSFIMGLDEELWDILEDGVDDLDLDEEGAAIDRRIHTPAQKKLYKKHHKIRGIIVASIPRTEYMKMSDKSTVKAMFASLCANFEGSKKVKEAKALMLVHQYELFRMKDDESIEEMYSRFQTLVSGLQILKKSYVSSDHVSKILRSLPSRWRPKVTAIEEAKDLNTLSVEDLVSSLKVHEMSLNEHETSKKSKSVALPSKGKTSKSSKAYKASESEEESPDGDSDEDQSVKMAMLSNKLEYLARKQKKSLSKRGSVIPCFWT >RHN50957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12257046:12264163:-1 gene:gene35313 transcript:rna35313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MEDYWSIICSENGGNLFGYDFKLLTDPSSCINHFLLIFFDFLMVIMLTIIMFQNSSSRPFWSLVRYSNLQLVSSITNGSLGFLHLCLGIRDLEQKIRKNHNAFPINWWLLELFHGSTWLFVSLTISLRIKQLPRAWLLLFSIVMFIVACILCVLSMLYAIGSRELSLKAALDVISFPGATLLLLCTYKACKREDGDADRETTERLYTPLNSQFNDISQCHVTPFAKAGFFSKISFWWLNPLMKRGQQKTLQDDDIPKLKESERAENCYFAYEASRHSSVLWTIILCHRRDILITGFFALLKVLAISCGPLLLNAFILVSEGNESFKYEGYALVILLFFIKIIESLSQRQWYFQCRLVGMKVRSLLTANIYKKILRLSNSARLIHSSGEIMNYITVDAYRIGEFPFWFHQTWTTILQLCIALVILYRAIGLATIASMVVIVLTVLCNTPIAKLQNKFQSELMVAQDERLKASSEALVNMKVLKLYAWENHFKNAIEKLRNAELKLISSVQLSRAYLLFLFWSSPVLVSAASFLACYFLKIPLHASNVFTFVATLGLVQVPITGIPDVITVIIQAKVAFARICNFLEAPELKSESFNNIICNDNLRGSVLIKSADFSWEGNASKPTLRNINLDVRRGQKVAICGEVGSGKSTVLATILGEVPNTKGTIDIYGKFAYVSQTAWIQTGTIRENILFGSELDDQRYQETLQRSSLVKDLELLPYGDLTEIGERGVNLSGGQKQRIQLARALYENADIYLLDDPFSAVDAHTAKSLFNEYIMEGLKGKTVLLVTHQVDFLPAFDFVLLMSEGVILQEGPYQQLLTTSQEFQDLVNAHKVTDGSNQLANATFSQASIKITQALVENKGKEANGNQLIKQEEREKGDKGLKPYLQYLNQMKGYIFFFVASLGHLIFLVCQILQNSWMAANVDNPRVSTLQLILVYFLLGASSAFFMLTRSLFVVALGLQSSKFLFLQLMNSLFRAPMSFYDATPLGRILSRVSSDLSIMDLDIPFSLTFAVGTTMNFYSSLAVLGVATWQVLIVAIPMVYVTVRLQRYYFTAAKEVMRISGTTKSFLANHVAETVAGAVTIRAFEEEDRFFQKNLDLIDINASAFFHNFASNEWLIQRLETIGAGVLASAALCMVILPSGTFTSGFIGMALSYGLALNSYLVNSIQCQCTLANQIISVERLDQYMHIQSEAKEIVEGNRPPLNWPIAGKVEINDLKIRYRPDGPLVLHGITCTFEAGHKIGIVGRTGSGKSTLISALFRLVEPTGGNIMVDGIDISSIGLHDLRSHFGIIPQDPTLFNGTVRYNLDPLSQHSDQEIWEVLGKCQLREVVQERDEGLNSSVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNSTDLILQKTIRTEFADSTVITVAHRIPTVMDCTMVLSISDGKLAEYDEPTNLMKREESLFRKLVKEYWSHFQSPESH >RHN46028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27890395:27895383:-1 gene:gene40465 transcript:rna40465 gene_biotype:protein_coding transcript_biotype:protein_coding MFANCFVRNFSSVPICCQNQTLAPTHHQFRSPNSLLKLKKQTLLPNTQFKISRSQKPRSNFVVFAEQSNLSKVLQNAWRVGKDGIDAGTNLVPNSVPRPIARISVTFVALSALLFVFKSLLSTAFFILATIGLAYFAYLAFNKDQGPPSGNGGTTTKPMDDPLEEANRIMDKYK >RHN58471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1978199:1979961:-1 gene:gene20388 transcript:rna20388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEESWENFHLDTELDCGDDYFIGDDFLQDILLQTPPQSLMYSESENNSVTVNVNGGVEFVGNMIKSNSSNSIVSQQHQPQEQEQEHGLKSKKVPRRSSSPKTYILSFDNSTMIPATPNYKNKRSHESNQKSEMKINQQNGVKRGRSSSQCIDHIMAERKRRQELSEKFIALSATIPGLSKTDKASILREAIDYVKQLKERVDELEKQDKNVGVTPVMVLRKPYSCGNNNYNEDTNSSETSCDGDCKNNILPEIEAKVIGKEVLIEIHCEKQNGIELKLFNHIENLQLFVTGSSVLPFGKSAISITIIAQMGGGYKVTVNDLVKSIRKVLLK >RHN49372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54352270:54353209:-1 gene:gene44194 transcript:rna44194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rubredoxin-type MAASTFHLSTPFSQIHPLKPKLHYTNPSLFLLSKTHHSNKLKPLHPRKFTLNSVDISNEEDTTSESEKPDSEKRRLEEKFAVLNTGIYECRSCGYKYDEGVGDPSYPIPPGYQFEKLPDDWRCPTCGAAQSFFESKSVEIAGFAQNQQYGLGGNSLTGGQKTVLIYGTLLFFFALFLSGYFIQ >RHN49280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53774144:53780682:1 gene:gene44094 transcript:rna44094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperonin Cpn60/TCP-1 family, chaperone tailless complex polypeptide 1 (TCP-1) MTIGNIFKDEASEEKGERARMSSFVGAMAIADLVKTTLGPKGMDKILQSTGRGREVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVAAKIHPMTIIAGFRMAAECARSALVEKVVDNKGDAEKFRSDLMNIARTTLSSKILSQDKEHFAKLAVDAVMRLKGSTNLESVQIIKKPGGSLIDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEGAEKEKMKEKVNKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGQCELIEEIMIGEDKLIKFSGVAMGQACTIVLRGASHHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAKEIDALARKTPGKKSLAMEAFSRALLAIPTTIADNAGLDSAELISQLRAEHQNEGCTAGIDVISGSVGDMVERGICEAFKVKQAVLLSATEAAEMILRVDDIITCAPRRREDRM >RHN71917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3563706:3564533:1 gene:gene7568 transcript:rna7568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyltransferase, DXD sugar-binding, alpha 1,4-glycosyltransferase MTWISPLKAFGDRELLSVESLFKSHPKACLVIVSKSMDSDKGTQILRPFVKNGFRVIAIEPDFNYIFKNTHAESWFNRLIQGNVNPGEISLGQNLSNLLRLSLLYKFGGIYIDADIIIMKSFSKFRNTIGAQNIDVKTKKWSRLNNAVLIFDKKHPLLLKFIEEFALTFDGNKWGHNGPYLISRVVSRVSGREGYNFSVVPPSAFYPVDWRGIKSLFRGPGDEIHSKWLVKKMVQIRKESYAVHLWNRQSGKLEVVKGSIIDSIISSCCIFCNTY >RHN58270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:465631:466907:-1 gene:gene20162 transcript:rna20162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MAIWRAAGMTYTTYSNLTANIIRNCLKEPHKTQVLSLSSENVQYLLPRWIDSTSGKLTIHTDIPEASTRFRKPPRAHGHA >RHN68742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38203150:38210715:-1 gene:gene17130 transcript:rna17130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WTAP/Mum2 family protein MASPTRFDDDFDFGGEIGGRHSGTKRPSPDYDDEDYDNDPFAPKKAKSKVEETASGVTTGMILSLRESLQSCKDRLATCQSELEAAKSEIHSWHSSIQNEPVVCAGATPEPKMLMNYLQALKSSEESLREQLEKAKKKESAFIKTFAKREQEIAELKSAVRDLKVQLKPPSMQARRLLLDPAVHEEFTRLKNLVEEKDKKIKDLQDNITAITFTSQSKMGKMLMAKCRTLQEENEEIGNQASEGKIHELTMKLALQKSQNTQLRSQFEGLQKHMEGLTNDVERSNETVLMLQEKLDEKGQEIQRLKHELQQKNLAEDGRSDAPHSKSDSDKTVAEEDKTVAEEDKTTTEEDRTATEEDKTATEEDKAVTEEAEN >RHN75933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46251012:46255024:1 gene:gene12195 transcript:rna12195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase MARCH MSSNQKQHHHELSSCKRTLSLPVHKENLLMEIPCKSSEESCSSSSNDFVAIKMPLTPSPPSTQRRVNFLVTSRSVDAPIDNNINLGISTTRAKSSSNRSILPKLNFRYNRTSSSDIEKAVIGAPAPESSFVGPHEKPSISGSVSLSKIFNPKINRTSSLPVEEIGRVNTEFAFGGCLGASPYRSQGTIARTRSEPVDSQEKITRKMDKFFRVVPSTPGVKEVKEWLKTSTAKDTENDGDDGEDIAEEEAVCRICLIELCEGGETLKMECCCKGELALAHQECAIKWFSIRGNKTCDVCKEEVQNLPVTLLRIQSTQTQNPGARPHQEDDFRVWQELPVLVIVSMLAYFCFLEQLLVGKMGTKAIFISLPFSCVLGLVSAMTSTTMVRSKFIWLYASAQFLLVVLFAHVFYPLFGNLQVGKQAVLAILLASFAGFGVMMSGSSVIAEILKWRRRWLAYSEQQRRDSQVMTQEGLYPQGVITPQSSLPNHNQTTVQLQNQQNSSL >RHN71388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58652427:58679995:1 gene:gene20066 transcript:rna20066 gene_biotype:protein_coding transcript_biotype:protein_coding MELAQLEALCERLYNSQDSVERAHAENTLKCFSMNTDYISQCQYILDHALTPYALMLASSSLLKQVTDHSLDLKLRLDIWTYLINYLATRGPELQPFVTASLIQLLCRVTKFGWFDDDRFRDLVKESTNFLSQATPGHYAIGLKILNQLISEMNQANAGLPATKHRRVACSFRDQSLFQIFQISLTSLGQLKNDAISQLQELALSLSLKCLSFDFVGTSVDESSDEFGTVQIPSPWKSVLEDSSTLQLFFDYYAITKAPLSKEALECLVRLASVRRSLFTNDAARSKFLAHLMTGTKAILQTGQGLADHDNYHEFCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLKSLQSWQWASNSVYYLLGLWSRLVSSVPYLKGDAPSLLDEYVPKITESFITSRFNSVQAGLPDDLENPLDNAELLQDQLDCFPYLCRFQYESSSLFIINITEPVLQIYTERARLQVSDSNDLSVIEDKLAWIVHIVAAILKIKQCTGCSVESQEVLDAEISARVLQLINVTDSGVHSQRYCEISKQRLDRAILTFFQHFRKSYVGDQAIHSSKLYARLSELLGLHDHLLLLNVIVGKIATNLKCYAESEEVIDHTLSLFLELASGYMTGKLLMKLDTVKFIIANHTREHFPFLEAKKCSRSRTTFYYTIGWLIFMEDSSVKFKSSMDPLQQVFLSLESTPDSVFRTDAVKYALVGLMRDLRGIAMATNSRRTYGFLFDWLYPAHMPLLLKGISHWTDNPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILTLPNAADVYTYKYKGIWICLTILSRALAGNYVNFGVFELYGDRALSDALDAALKMTLSIPMSDILAYRKLTRAYFAFLEVLFNSHITFILSLDTNTFMHIVASLESGLKGLDTSISSQCASAVDNLAALYFNNITMGEAPNLPASVNLARHIAECPTLFPEILKTLFEILLFEDCGNQWSLSRPMLSLILINEKTFSDLKAQILSSQPVDHHQRLSLCFDKLMADVTLSIDSKNRDKFTQNLTIFRNDFRAK >RHN67983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31929092:31929934:1 gene:gene16255 transcript:rna16255 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYLKALQKGWFGEFLSCSGSVQRELFHSGSFQRELFPSDSVCTLFRFGGSWF >RHN44040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1211919:1214000:-1 gene:gene38088 transcript:rna38088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC-2 type transporter, P-loop containing nucleoside triphosphate hydrolase MDEPTSGLDAMAAAIVMRTVRSTVDTGRTVVCTIHQPSIDIFESFDELLLLKQGGQEIYVGPLGHNSSNLNTYFEGIQGVTKIKDGYNPATWMLEVTASSKEVELGIDFAEVYKNSQLYRRNKALIKELSTPASGSRDLYFTSQYSRSFWTQCMACLWKQHWSYWRNPVYTAIRFLYTIAVAILLGTMFWNVGSNIEKEQDLFNAMGSMYCAVLIIGIKNNSAVQPMVAVERTVFYRERAAGMYSDFPYAFGQASISYFVRLLQDPPKSHFVHFYVVIELPHVFVQSVIYGFIVYAMIGFEWTLVKVLCCLFFMYFTFLYFTFHGMMLVAMTPNNHISTIVSSAFYSVWNIFSGFIVPRPSIPVWWRWYSWANPVA >RHN70670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53102328:53110530:-1 gene:gene19279 transcript:rna19279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MKAMTKKKNPLVFMDVSIDGDPVKRMVFELFHDVAPKTAENFRALCTGERGISSNTGKLLHYKGSFFHQIMKGSIVKGGDFVNRNGTGGESIYGSNFPDESPRLKHDGPGLLSMAIADRDKLGSHFIITLKADHHLDRKYVVFGRLVEGLKVLKKIEDVGDDEGHPTVTVKIINCGEYNEDGKKVNKSKTGKDGACEGNNLETRRKGKLKRSSKDRRKRKRYHSSESESSSDSDMESSETDSDSDSDMSSSSNISSSSDDRRRKRKRSKKDKYKRGKRRDKRRDKRRRRRDKRSKRRSKRESGSDSDSESSSSSDDESLDTQQQELKRKDRYQKKAELKSPSVVEKDAPTIHHRKEEAGMPASNVKTVKENGERHSNGTGAAYRSDRSEERQPDVMDVHLGKSRSRSTSPKRPMSKSMSISPRRSRSKSRSITPKRRLRKSPSVSRSPPRLSRRSLSSSPVRSMDRSPVRSIGRSPRRSISRSPVRGRKGRKVDRSPVITRSLKSVSKSPVRSRRSRSSPRVSSRKSVSRSPVRSPARSLSRSPVRVSRKSISHSPVRAPARSLSRSPARVSRKNINRSPLRKSSSRSPIKSPARSLSRSPVRVSRKSISRSPVRAPARSLSRSPVRVSRKSISRSPVRVPARSLSRSPVRVSRKSISCSPVRSPARSLSRSSGRVPSKRSISRSPVRAPSRNNRRSYSRSPSPVRRRRTPRGGSLSRSASPDASPKRIRRGRGFSERYSYARRYRTPSRSPVRSYRYNGRIDRDRYTSYRRYSPRRFRSPPRGRTPPRYRRRSRSPSVSPSPRYRARRYSRSRSPVRIRSPVRSTSPDRSRPSPRVERHSSYSRSRSPVKSRSSVESPSPRKASRDRSASSSRSPDGKRGLVSYGDGSPDSGQR >RHN72380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7195349:7197114:1 gene:gene8085 transcript:rna8085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BSD domain-containing protein MNFFKTVFSDEPESPPSHNSDSDQPDPDHHDTPTETTAWSFGGLIQTLASKSESVLENYRRDLEEFSSGLKIETSVIREAASRAVKDLPASLDVGASVAQESLETVGQAIDDIGSTVWKSTAQIISHVRALQSDVNTYLDEPEDLVNFNEWKLGFVLDDKVDEIENLIKENDVVDEIYVKIVPSKIDDEIFWSRYFYKLHKLKQAEDARAKFVKRAISGDEEEDLSWDFDDDDNNDDGYEPKGSISGVSKQEDNSAEVAATTVDANVEIVKNLKIENDEKGVAAAESITDRGDDKLEKENYVDNVVSSVSATVSDQDDKLDIKNVASEVKADNDNSGESCKDSDISIVSSQPSMPEEEDISWDEIEDVETNDEKRGDAGASESKIDLQKRLSAATADEDEDLSWDIEEDDEAVKS >RHN77206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5007421:5014638:-1 gene:gene632 transcript:rna632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MEKICVAVRVRPLVSSDSVNGSFWKVHDNRISLHKIHGTPLSNSSYAFDHVFDESCSNSSVYEILTKDIILAALNGFNGTAFAYGQTSSGKTFTMNGCETDPGVIPRAVKDIFSKIETMTEREFLIRVSYMEIYNEEINDLLVVENQKLQIHESLERGVFVAGLREEIVNNAEQVLDLLKVGEVNRHFGETNMNVRSSRSHTIFRMVIESKGKDSDSSDDSSISDIVRVSVLNLVDLAGSERIAKTGADGVRLKEGKYINKSLMILGNVINKLSEGSKNRGHIPYRDSKLTRILQPALGGNAKTSIICTVAPEEDHIEETKGTLQFASRAKRITNCVQVNEILTDAALLKRQQLEIEELRKKLQGSHAGVLEQEVLKLRNDLLKYEMERGKLEMELEEERKSRNQWISEQQMKIENSSTTSFSVSDCGTNDNQGPRYLRRGLIEEYSDINSTSQGDIFKSPCLKTASAFVVKRSRNSTLPDSSPSLPEAFSNVADEDMWLKMNNGYVADLDSLQITPTRNIQSFPLSDTTPGCISQTAKYEREVQDLRRQLELANEKINELERKNSEEVPSSKQLLGENPDNQQETPLIQELPMRLSEYVENYKDSFEEVLSVMQRFALDGKVSTDKMLSTMSEIGANLFATLESHFSTENYAAIREQQKEFHERMNNLITSLELSESSITGNQKRTALCSCEHKGSGLGGETESAYSKDALNERCESLERELQLLQIERDSLLEKFSESSDKLAIVSSQKENALKDLNIEVQRRKNLEGEVKQFTAAFVCRQKSLTSLRGDLKTKIEKWRTQTPISVPKSFDGQD >RHN64219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57361650:57364083:-1 gene:gene27009 transcript:rna27009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casparian strip membrane protein MASTGDPEYKSSSTPAPASSVGVDHSKFDLILRFLLFAASVASVVVMVTGNQTVYLPVPRPAKFRYSPAFVYFVAAFSVAGLYSIITTFISLSAIRKPNLKTKLLLHLIFWDAVMLGILASATGTAGSVAYLGLKGNKHTDWHKICHIYDKFCRHIGASVGVGLFGSIVTLLLIWISAHSIHSRVPK >RHN55149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15554440:15556165:1 gene:gene30284 transcript:rna30284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Myosin heavy-chain] kinase transcription factor WD40-like family MVLLQCPCCCYTQNQQQKQKTQPHSHDHIHSESSTSSSLSSQPSLPSVPSLTSQQSQATYHKLLTTINGHSSPISSLALHSKFLFSGSSNSEIRRFDKDPFALQGSNNINNLVAISNGSKSTIKSMIVVNDMLFSAHQDHKIRVWKIETTMTSNSTNQDQRLFKCIATLPTFNDRFSKLFSSKNYVEVRRHKKYTWVNHIDAVSSLAVSKDGLFLYSASWDRTFKIWRVSDFKCLESVKSAHEDAINAIVVSSSGVVYTGSADRKIKIWREKNHEEDEKMKSKKKKHFLVGTLEKHKSAVNALALNKDGSVLYSGACDRSILVWEKSNSIIRNCSGLDQDPDDENMVLVGALRGHTKAILCLVVMDDLVCSGSADNSVRLWKRGIDEKSYTCLAVLQGHRKAVKCLAIADDSKSGKNGGVDDDGSSYLVYSGSLDCDIKVWQIRVPLI >RHN59274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8970693:8980041:-1 gene:gene21278 transcript:rna21278 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCP1 MLLFLSTMAIPTISRVNFFPKTSLFTSLRRIHLPHKHSTRSFSSVHSRTPKRDGDIVVLGIETSCDDTAAAIVRSDGEILSQVISSQADLLVKYGGVAPKMAEEAHSQVIDQVVQDALDKAYMTEKDLTAVAVTIGPGLSLCLRVGVQKARRIAGGFNLPIIGVHHMEAHALVARLIEKDLQFPFMALLISGGHNLLILARDLGQYIQLGTTIDDAIGEAYDKSAKWLGLDIRRSGGPAIEELAREGNDKSVSFSVPMRQHKDCNFSYAGLKTQVRLAIESKKIDATIPISSASYEDRLSRADIAASFQRVAVLHLEERCERAIQWALKMEPSIRHLVVSGGVASNQYVRARLDTVVKKNGLQLVCPPPRLCTDNGVMVAWTGIEHFRVGRYDPPPPAEEPEDFLYDVRPRWPLGEEYAEGSNFSRSLRTARIHPSLTSLIQASMQQ >RHN57329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38144290:38145741:-1 gene:gene32855 transcript:rna32855 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPLAATCKGLSLFLLNVNKSFGECSITRFTMSLLPYEAAICNTVFFVGVSISNKLEEPNKFVEPPYTK >RHN61137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33365568:33366782:1 gene:gene23570 transcript:rna23570 gene_biotype:protein_coding transcript_biotype:protein_coding MIKALAFFLLLLQLTSFSAFAEDPVDALNDIFGLLDPFNAFSKESETLHKPSHAHSLHHESLAPSPHHHLHSPSHSHIPHHQSPAPSRHHHHHSPSPAPSRHHQSPPPSSHHKPHAPSPHQHSPSPAPSPHHQSLAPSPHHHHHSPSPSPSPHHHNRSPSPSSSPRHQSPAPSPHHQSPAPSPRHQSTIVPAKSPNHHNPPTHSPSPLSPTPVPRSVMVIRGVVYVKSCKYSGLDTLKEAKPLLGAVVKLQCNNTKYKLDETDKDGHFSLVGPKIITIYTAKQCNVVLVSAPHGLKPSKLHDGISGAILRPKRRFVSKGVPFILFATQPLAFEPNCPR >RHN61058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32601781:32603343:-1 gene:gene23470 transcript:rna23470 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVMEMVETCKYKEAVVKAKEVAVTYRHMVEEVMEKVEVEICSSMEEVVKLKEVEVTYGHRVEEVKEMVGEEICKHMEEGVKEKEEEGTYRRMEGVVKGMVVVVTCRYMEEVVKEMLEEVTYKRMEVVGMETVEVVTCKHMVGEEREMEEVVTYIHMVEGVKVMVGVEICRHMVVVEMGMVEVVTCRHMEEEVKEMEVVATYKYRVGEEKVMVGVGTCKHMEEVVRGMEEVVTYIHMVEGVKVMVGVEICRHMEVVEMGMVEVVTCRHMVEGGMEMGVVVIYIHMVGEGRVMVEEETCIYMVEGVMGMVVVGTCKYMEVVEMGMVVVVTCRHMEEEVKGMEVVVTCKHKVGEVRVMVEEETCRHMEVVVMERVVVDSYRHMVGEVKEMEEVVTCRHMEEEVKGKEVVVTCKHRAGEVRVLVEEETCRHMEVVVMERVVVDSYRHMVGEVKVMEEVVTCRHMEEEEREMEVVGTCTHTEEVVREMVEVEICSNKVGICGHMEVVAMEKVEVGICSNMEVI >RHN39847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11043724:11049007:-1 gene:gene45923 transcript:rna45923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NF-kappa-B-activating protein/UPF0396 MARLSSTIETPYQRHRHNDRRRTPDSDVSNDRPRYRRSPSYDSYDDRHTDRHRRRSISPENRNPRHHDNGNSNGNHLPKKFGHRNNGSYLDRNDSRRNESESDEELKGLSYEEYRRLKRQKMRKSLKHCIWNVTPSPPRRENDDLEDYGKPEEISEIIDVKKDKEIKQKAKSESESEESKESDSELRKKRRKSYKKSRESDSESESESEVEDRKRRKSRKYSESDSDTNSEEEDRKRRRRRKSSRRRRKSSRKKRRCSDSDESETDDESGYDDSGRKRKRSKRSRKSKKKSSEPVSEGSEEIELGSDSAVAKINEEIDDVDEEKMAEMNAEALKLKELFESQKKPALDDDEPPVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEISKFEELGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREHKVMADLNRLVQRHIGQDVGPIHDPFGAKDGSD >RHN46228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29828690:29830459:1 gene:gene40682 transcript:rna40682 gene_biotype:protein_coding transcript_biotype:protein_coding MFQTFIKLTLNIKTPNFSIASSYQLTMAATTTQTEEHVSLKLLLSEKGDKVLFAEAGKDFVDVLCSFLTMPLGTIARLVEKESSIGPVTVGCLNSLYRSVVDLDEDCMSSHTIKQMLLKPTNFAEDYCNTLELNIEDTPPSKYFMCNNYKSSYCTHDFASSMNKDIKNCYCGCSISFLVFPEQFDQGFVNDVATFVITDDLIIMPNSIDYASFGLLQEFGIENTSSVKEMILKVTIEKVLDLLKCSLLSKSTLTDFFLGKKPSLEVSRFFSYDVEIGGNIQINLKLVIRKSDGKILCAQGEQDFADLLLSFLTIPLGGISRILGEKIYLGSINRFYKSIADLNENKYFISEDAKNRIVDPCIFPLLKLSKQIFPILERRVHEYYWYYSGSSLFVQFSKPGEDKSYAGKFKRINFSNMASHEGYVKGPAMYVATDDLAIAPLSPISALGLLNRLKTPLKDLKEKHVTIGVKESLNILKAALTSTSALTNGLAHLLTEVKEEK >RHN38800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2114743:2121729:-1 gene:gene44768 transcript:rna44768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde oxygenase (deformylating) MASKPGILTDWPWTPLGRFKWVILTPFIAKSTYNFIVNSPEEKDLSNFLIFPYMMVRMLHDQVWISLSRHRTAKGKNRIVDKAIEFEQVDRESNWDDQILFNALIFYIGQWLVPESQKLPIWRTSGVVMTILLHSGPVEFLYYWLHRALHHHFLYSRYHSHHHSSIVTEPITSVVHPFAEHIAYFLLFAIPLYTTAITNTASIASFAGYLAYIDFMNNLGHCNFEFIPKKVFSIFPFLKYTMYTPSFHSLHHTQFRTNYSLFMPIYDYIYGTVDKASDTLYENSLKKEEGTPDVVHLTHLTTPESIYQLRLGFSSLASSPQSSEWYLYFMWPFTFWSVLVTWFYGKTFVLERNSFNMLNLQSWVIPRFHVQYLFKWQRETLNKLIEEAILEAELSKVKVLSLGLSNQGDLLNRYGELYIKRYPQLKMKIVDGSSLVVAIVLNSIPKEENQVFLCGRLDKVSYAIVNALCERGTKVTTMYRDDHENLQLRLSSKSQKNLVFPGSNSAKIWLVGDQCEEVEQKKAPKGSLFVPFSQFPPKKFRKDCFYLSTPAMITPPNLANVHSCENWLPRRVMSAWRIAGILHALEGWDVHECGEVMFSIDKIWQASLQHGFRPLKISHPID >RHN39541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8052586:8055584:1 gene:gene45572 transcript:rna45572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein/NADH dehydrogenase MALRGVWQLKKLVVSFSDWGGSSRGIRAFMESHLPAFKEANPQLEVDTEMIRGQHPHLKAFYKNHNDRVVCVKNMDPEEILLHATRLRNALGRKVIKLRTRHVTKHPSVQGTWTTALKY >RHN75076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39195445:39197953:1 gene:gene11228 transcript:rna11228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MQTYLNVVGLSGALETLCGQGFGAKEYHKLGIYLQSSCIISFIFSIIISIIWFYTEPILVLLHQSQDIARTAALYMKFLIPGLFAYSILQNLLRFLQTQSVVIPLVILSAIPALVHGGIAYGFVQWTSLNFKGGPVATSISLWISMIMLGSYVMYAKKFENTWRGFSMQSFYYLFPNMKLALTSAVMVCLEHWAFEVLVFLAGLMPDSQITTSLIAICANTEFIAYMITYGLSAAASTRISNELGAGHPERAKHAMRVTLKLSVVLGFCFALMIVFGHDIWIRMFSSSPTIKHKFASISPFLATSILLDSVQGVLSGVVRGCGWQHLVVYVNLATFYLIGLPISCLLGFKTNLEYKGLWIGLICGLACQTGTLLLLTRYAKWTKLNLSGDKGKDQPVVVLTTECMPIETAPLDAV >RHN47931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43648041:43649450:-1 gene:gene42588 transcript:rna42588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MVTMFGGASIVLCFFSFFLLLSPIAFSEKCNPQDKRVLLRIKKELNNPYLLASWDPQTDCCGWYCVKCDLITHRITALIMQSSVPDTNLSGTIPPSVGDLPYLENLEFHKLPRLKGPIQPTIAKLTKLKYLFIEYTNVSGPIPPFLAQLKNLQLLHLSTNNLSGPIPSSLSQLPNLESLHLDRNKLTGPIPESFGSFKKPGPDIILSHNQLSGPIPASLGQIDPERIDLSRNKLEGDASVLFGSQKRTQILDVSRNLLSFDFSKVDFPKQSLIWLDINHNKIYGKIPVTLTKVENLQQFNVSYNKLSGEIPQGGTGRLQDRFDVYAYLHNKGLCGPPLPKCK >RHN72321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6755086:6755782:1 gene:gene8019 transcript:rna8019 gene_biotype:protein_coding transcript_biotype:protein_coding MSISQFDDLSMSPLFVFSAKEKVFTFVHPKHGLVYKYIINFPQGWYSNSEICCSKDGWILNNFQVFFNPFTKELLRHRLGFREIRNTRCFGIIRGRRSWKELEEPQAPCNKYFNNFLVECDGNLLAVFEISLGKGVKVFELNESTMTWIKVESLENHMLFCWQNILFSCGKHSWNGK >RHN57348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38285558:38289027:1 gene:gene32876 transcript:rna32876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nitrate-transporting ATPase MVLVASNGEKKGTEDNAAVDFRGHPADKTKSGGWLAAGLILGTELAERICVMGISMNLVTYLVGDLHLHSASSATIVTNFMGTLNLLGLLGGFLADAKLGRYLTVAISATIATVGVCMLTLATTIPSMTPPPCSEVRRQHHQCIQASGKQLSLLFAALYTIALGGGGIKSNVSGFGSDQFDTNDPKEEKNMIFFFNRFYFFISIGSLFSVVVLVYVQDNIGRGWGYGISAGTMLVAVGILLCGTPLYRFKKPQGSPLTIIWRVLFLAWKKRTLPIPSDPTLLNGYLEAKVTYTDKFRSLDKAAILDETKSKDGNNENPWLVSTMTQVEEVKMVIKLLPIWSTCILFWTVYSQMNTFTIEQATFMNRKVGSLEIPAGSLSAFLFITILLFTSLNEKITVPLARKVTHNAQGLTSLQRVGIGLIFSIVAMVVSAIVEKERRDNAVKKQTVISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLCTLSMGYFVSSLLVSIVDKVSKKRWLKSNLDKGKLDYFYWLLAILGVLNFVLFLVLSMRHQYKVQHNNIESNDNVEKELVLVNEVKVGIDGKEEV >RHN77111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4186437:4187407:-1 gene:gene533 transcript:rna533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MYHLMVNFDLCGNFGNVRLEGNGRVEFDLCSLHQDYYFVGKYGSHRENFIPDVKFRGICSNVRFDYGRFYGLKSFYYAKNWRILWAWMSKSFTKLDDIQKGWAGVQ >RHN61340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34915785:34921410:-1 gene:gene23795 transcript:rna23795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MASDSTPMDSNNGPSSPDNSNSSPIANTYSSPGRRRRRQSITPSGTPQPNRSRFASSDATPTPSRHRRGGGIPSGRRGPATPTSTSEDFPMSSEGGDGYDMDDAGPTYVWGTNISVEDVNDAIQRFLKHFREKSASQGGDDDLDMDLDIEGKYEKLIKQVIELEGESIDVDARDVFDHDHELYSKMVRYPLEVLAIFDMVLMNMVTRMNPMFEKHVQTRIFNLKSSTSMRNLNPSDIERMVSMKGMIIRCSSIIPEIREAIFRCLVCGFCSDPVPVERGRIAEPTVCLREECQSRNSMTLVHNRCKFSDKQIVRLQETPDEIPEGGTPHTVSLLLHDKLVDTGKPGDRVEVTGIYRAMSVRVGPTQRTVKSLFKTYIDCLHIKKTSKSRMLVEDAMEVDNGQGRNPEEVLFDEEKVAKLKELSKQPDIYERLTKSLAPNIWELDDVKKGLLCQLFGGNALKLASGASFRGDINILLVGDPGTSKSQLLQYIHKLSPRGIYTSGRGSSAVGLTAYVAKDPETGETVLESGALVLSDRGICCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPSGSRYNPRLSVIDNIHLPPTLLSRFDLIYLMLDKADEQTDRRLAKHIVSLHYKDYENIEQDVLDISTLTDYVSYARKHIHPQLSDEAADELITGYVKIRGRGKFSGSSKKVITATPRQIESLLRLSEALARIRFSESVEKHDVVEAFRLLEVAMQQSAMDTRTGTIDMDLITTGVSASERMRRESLIQDTRNMIMEKMQIGGRSMRLLEILEELKNQSPGSEIHLNDLRSAVATLASEGFVSMAGDSVKRT >RHN54074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6743842:6749091:-1 gene:gene29055 transcript:rna29055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative powdery mildew resistance protein, RPW8 MADALSGVVVGKIVNQAYETIKNIQEFVPTLERSIEISNALEPLAEQIKVFNDVLDRPREEIERLEKHIREGKELVQKSKKLSLNLWKCLLFPGQRAKLQKQNEDFLRYLSLVQVENKRDLMEVLTHVKDNHVNQIRGLCGAPQEPEFIGMVKQFNELKVELMKDGASVLVLTGLGGSGKTTLAKKLCWEQEIKGKFGGNIFFVTVSETPNLKNIVKTLFEQCGRPVPDFTNEEDAINQLGHLLSQFERSQILLVLDDVWPGSESLVEKFTFKLPDYKILVTSRVGFRRFGTPCQLNPLDEDPAASLFRHYAQLHHIISYMPDGDLVDEIVKACKGSPLVLKVIAGSLRNQPFEKWLDMKERLNSQSIFESNSTDLLCRLQQSLDMLEDINEKECFLDMGLFPEDQRIPVTVLIDMWAELYNLDEGGIKAMTIIHDLITRNFINVIATRQVATETDMYYNNHYVMLHDLLRELAIRQSKGESFEQRKRLIIDLNGDTRPDWLIGLNQQGIIGQKQRKVDARILSISTVENFSSDWCDMQPDEAEVLVLNLRSDQYSLPDFTDKMRKLKVLIVTNYGFNHSELTEFELLGSLSNLKRIRLEKVSVPCLCILTNLRKLSLHMCNTRDSFENCSIQISDAMPNLVELSIDYCNDLIKLPDELCNITTLKKLSITNCHKLSLMPRDIGKLENLEVLRLCSCSDLEEMPESVAGLNKLCCLDISDCVTLSKLSNDIGDLKKLEKFYMKGCSNLNDLPYSVFNFGNVKHEIHVICDEEGAALWEQYPNIPNLKIDMPKVESNLNWLHGTRS >RHN82131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51467174:51468891:1 gene:gene6257 transcript:rna6257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MVSDDGEYADADEDEITIVESFQFNFDIVRAATNDFSNSNKLGQGGFGVVYRGKLPDGQMIAVKRLLKDSSQGDVEFKNEVLLVAKLQHRNLVRLLGFSLEGSERLLIYEFVTNKSLDYFIFDPTRKAQLNWQKRYDIIRGIVRGLLYLHEDSRLRIIHRDIKASNILLDDEMNPKISDFGLARLFVIDQSEGNTDQIVGTYGYMAPEYAMHGQFSVKSDVFSFGVLVLEIISGHKNSTNIGHGNDVEYLLSFAWRSWREGKAQNMIDAALNNISANEIMRCIHIGLLCVQENVVDRPTMATVALMLNSYSLTLSIPSKPAYFYGSGTRSLQDMELWAGNIGSTTSGESVNHASNTDPYPR >RHN63162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48983322:48989301:-1 gene:gene25832 transcript:rna25832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target SNARE coiled-coil domain-containing protein MDQLSAISEDLAEIDGRVADNFRALSNGFQKLEKIKDASRKSRQLEELTEKMRECKGLIKEFDKEVKALEASFDRETTKFLNEKKQSMIKELNSYVALKKQYASNIENKRIELFEGPNEGDTEENVLLASAMSNEQLMDHGNRMMDDTDQAIERGKRIVQDTVNIGTETAAALKAQTEQMSRVVNELDSIHFSIKKASKLVKEIGRQVATDKCIMALLFLIVIGVIAIIIVKLVHPENKDIRDIPGLAPPVVTNNRRLLWNHS >RHN61583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36601082:36606706:1 gene:gene24061 transcript:rna24061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Brix domain-containing protein MRKNQKGFRRPVIIKKNNKQPEAQPSIDPITGKKIPKSFVFSRGKLPVPLKQLQMDLRKLMLPYTALSLKEKKRNNLRDFLNVAGPMGVTHFLILSKTKTSPYLRVATTPQGPTLTFKIQEYALAADIAQSQLHPRCPKDLFKNSALIVLSGFVSGDLPLRLTTNMFQNIFPTIDVKTVKLSSCQRIVLLNYNKETKLIDFRHYSIRLQPIGVSKRIRKLVQSHQVPDLRNLQDVSDFVTKAGYGSESEADDEAATVTLSSNLGRVNRASTKSAVRLQEIGPRMTLQLVRIEKGLCSGEVLFDEFATGKPGDKGKTDDKIDNDDEDLEGSEDDDDQDGEEDPNDDEDGEELD >RHN46670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33930414:33930905:1 gene:gene41189 transcript:rna41189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MHHNTIITSTLIHTLEVHSLFKNFQNNHGYLNVCQGYLLDCDMLGSGHIHDQCCPFMSSGATHYSTIPCLGYLRNPGPSVPAPYCNGIKGLNNQVKTTHDRQSVCRCLKSTALSLSGLNLPAALLLFLESVVSTCPIKLVPPLTATRMYMFEHWCKVGRKLED >RHN79696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31334834:31337381:1 gene:gene3520 transcript:rna3520 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSELRKAVEEVELVDGHAHNIVSLQSNLPFIHAFSEAQGDALASSQHSLSFKRNLRDLAELYGCELSLQGVEEHRKVSGLELSCSTCFKAARISAILMDDGFELDKMHDIEWHKSFVPLVGRILRIEKVAEEILDQDLPDGSCWTLDSFTKAFVSKLKSYPSHVLLYLSLYTHNKSFYLYKNIQPHTYVP >RHN76263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48977336:48978116:-1 gene:gene12558 transcript:rna12558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MASSSSSHVPPVKYDVFISFRGTDIRHGFLSHLRKELRQKQVDAYVDDRLEGGDEISKALVKAIEGSLMSLIIFSKDYASSKWCLEELVKIVECMARNKQVVIPVFYNVNPTDVRHQKGTYGDSLAKHEKNKGSLAKVRNWGSALTIAANLSGFHSSKYG >RHN59578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12198815:12202389:-1 gene:gene21626 transcript:rna21626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, leucine-rich repeat domain, L MGGIGKTTIAKAIYKEICRNFRDRSFLANIRERWEHIDGKVSLQEQLLLDILKTTTEMPNIDSGKNTIKDMLCNKRVLLVLDDVDSLDQLNALCGSREWFGSGTRIIITTKDKRILGANGVDKVYMMETMDDSESIELFSWHAFKQDRPRDDFLKISKEIVHYSGGLPLALTVLGSYLFGNGIADWMCVLEKIKIIPKDEVQRKLKVSYDGLNDDTEKDIFLDIACFFIGMDRNDVTQILNGCGLFANIGISVLVERSLLTVDDKNTLGMHDLLRDMGREIIREKSPRDPEDRSRLWFQEDVLNVLFEKTGTKAVEGLALKLPITSSKCFSAESFEKMERLRLLQLAGVQLDGDFEYLSRKLRWLCWKGFPLPFIPSNFYQRNLVSIELENSKMIFVWKETQRLAKLKILNLSHSHYLMHTPDFSYLPNLEKLVLKDCPMLTEVSHTIGDLGEILLIDLEDCVSLRSLPRSIYKLKSLKTLILSGCIMIDKLEEDIEQMESLTTLLADNTALTRVPFSIVRSKSIVYISLCGHEGFSRDVFPSIIKSWMSPTNNLPSYFQTSTIMSSHVPLDVPRSSSQELSSISKYLPSIRSLWVKCSSDLQLFWMPYMPQILKNWNQLQLKYLEIPPNLFSFQWE >RHN73538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17480776:17481540:1 gene:gene9362 transcript:rna9362 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MLCCRKSTVVVSEKKAIERKPLLKLKVILKRLKHIYLSKKALSSAGNKSGRLATERKIGTFIHDSCNGVLIEGNCETNFVMQVDACPHVQFVSIEDIPETIVKKEKELEMQQREDLSSKPENIREKIVEGRISKRLGELALLEQPFIKDDSVSVKYLVRQSIAAIGEFKVRRFVRFTPGETVQKETAISA >RHN74200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30092059:30092866:-1 gene:gene10216 transcript:rna10216 gene_biotype:protein_coding transcript_biotype:protein_coding MHESELSFLKKNFNRSRSEFEVAVLNEVFSSSLCFFRLKASIILQIYT >RHN61310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34706094:34709111:-1 gene:gene23760 transcript:rna23760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MAAELPPEILTEIFSRLPVISLLRFRSTSKSLKFLIDSYKFINLHLRNSPNRSLILRFKFDIYQLEINDDFSNPGVLVLLIPHNHPFTANSEHNNTLTLIGSCNGLLAMSHGVMAFTHPNAPNEIAIWNPYTGKYRIIPFLPLPIPNILQSDNPNRGCLCVHGFGFDSLSGDYKLLRISYLLDLQNPFYDPHVRLFSLKTNSWKIIPNFPYALYYTRTMGVFVENSSSLHWVASRKIQPFQSDLILAFNLSLETFNEVPLPDEIGEQVNSKSFKIRVADLGGCLCMTVDYKDTKIDVWVMKEYGCRDSYSIDGKKVLLRVDVVNLFWYDLESNQVSHFQEDLDLDDAMICVGSLVPPPCEDPTSEKRDDFLSREFKLRL >RHN63077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48181906:48188759:-1 gene:gene25734 transcript:rna25734 gene_biotype:protein_coding transcript_biotype:protein_coding MEISTPSSAKPLSITPSSRVLRSPLSDEQIWKRLREAGFDEESIKHKDKAALVAYIAKLEAEIYDHQHHMGLLILERKELVSKYEQVKTMVESSELVHNRDLSTNKSALAESRKREESLKKTIGVKDACIGSLEKALHEMRTECAETKVAAESKLAEAHQLTDEAEKKFTEAEAKVRAAESLQADANRYKSVAERKLRDVEAREDILRRQIISFKSDCDEKDKEMNLERQSLSERQKVLQQEQERLLQSQSSLNQREDHLFSRSQELDRLQKELEDTKFKVEKEHEALHDKKTSLQLLEATLTQREEALTKSKTELNKKEQELLEFEVKLSNRESDETHKAIADREATMRAKKHDLEVELQMQRKSVENEIETKRRAWELKEVDLKQREDQILEREHELEVISRSLSEKEKDLAEQSTALKDKDQSLKASEKEFELNTTLLQKEKDDIEQAKKDLQVSLASLENEKRQVDNAKQRLEVIQSETGDLSIFEVKLKEEIDLVRSQNLELLAQADKLKAEKAKFEVEWELLDEKKEELRKEAEFIENERKAVSTFVKNERDKLREEKENLRKQYTHDLGLLASERENFMKKMAHEHAEWFGKMQQERADFQRDIEMQKRELNNLIEKRREEVESYLKERETTFEEEKNRELQHIDALKEKAAKELEQVSLEMKRLQTERTEINLDRDLRNKEWAELTNCIKELEVQRDKLQKQRELLHADRINIYSKTEELKKLEDSKVVSDDLAIVEMLKSDMEYNQQKISSRKNLKHQTLTQGVRLSSCKDVDVDNIDNGFDTPFVQKSSGVSPASAARFSWIKRCTELIFRNSPDTLTKKGNLAVASDTNNGSNGQKHLENDNPLGNFSNGRQMGYSFGEPKVIVEVPSLVGNASRTSELKSVTKDVNGKPAFSEELQVGRGKRGRENLITKVADPLVDLAQNKKPRAEERMAKNPLDQATTYCVHSTQSDISEIQQVSGASNHKKGNTEEARVVMVDKVIHVSEVTSEKVDTLIIPNQDNLHRETNDQSNSKTRSEEILPCGSSVLENTEGIRQENTEPVSDYWTLDVGIGSWFRYYIYIRSV >RHN42075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35233758:35234383:-1 gene:gene48466 transcript:rna48466 gene_biotype:protein_coding transcript_biotype:protein_coding MINFVKQILLMLYNKGEDCIRTPNCLEYGLISHYDSKCSNKFINLFTYSGGYGFI >RHN45530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22369360:22370012:-1 gene:gene39890 transcript:rna39890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQTGESMTKIIKFVYFMTIFISPFVVASLHEISGYVLGLPAGYCTSNHHCPVYNCTHPKQPWCKLVRLQLLFHGSLIGLCDCI >RHN48959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51364757:51365768:1 gene:gene43735 transcript:rna43735 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLQFSIGSSLLACLSSHVMMSSKTFSMLSSGSPDVKPCHIPGYNLIVLSLLLALSYNALLTSGSVTVSVSPCNTKNGKLTLYKVSSRFMLVLNISSAVFNLGLSWYINLFFLICNHCQRRSCIK >RHN72522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8381122:8382930:1 gene:gene8248 transcript:rna8248 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTAANAKYFHLALLVFSTLCVFMNGICHGRNIGGSFVDPNRWRSGDDNDDDYCLYRSWRCGGKGGTTKGEGNNRGGKNGMGIGFGMGMGFGFGMGANGVDVTGQGDTNNP >RHN64040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56109063:56112519:-1 gene:gene26817 transcript:rna26817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MGYQKKLLGMFFWMYLVSFSFSFIGVNCIGLGNDSAVKGVVVIHGKAFIGRIDNDFVCATLDWWPPQKCDWGRCSWGHASLLNLDLNNKILLNAIKAFSPLKIRLGGTLQDMVIYGTEDNKQSCTPFALSSKEKIDFGFTKGCLPMQRWDELNSFFQKAGVKVIFGLNALAGRSFESGSAVGPWNYTNAESFIRYTVAKKYTIHGWEFGNELCGGGIGTSVAADQYASDVIVLRKIIQDVYRGVKPKPLIIAPGGFFDENWFKEFLNKSDESTNVVTHHIYNLGPGGDPHIVERILDPSSLNGVAGIFSSLKNVLQSSRTSAQAWVGEAGGASGSGHHLVSDAFVNSFWYLDQLGMSATYGTRTYCRQTLIGGNYGLLNTTTFMPNPDYYSALLWHRLMGGRVLSTTFYGTKKIRTYAHCAKESKGITILFLNLDNSTTVQARVALNFAKKPSPHNVGESQRREYHLTAKDGNLHSQTMVLNGNILSGNSAGDIPSLNPIYVDSSKPITVRPLSIVFAHIPDAAVKACS >RHN43358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45149223:45152479:-1 gene:gene49918 transcript:rna49918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GMP synthase (glutamine-hydrolyzing) MAIDPKQVKSDLVLILDFGSQYTHLITRRIRSLSVFSLCLNGTSSLQSITELNPSLVILSGGPHSVHTPDSPSFPDGFLQWAQSNAVPVLGICYGLQLLVSRLGGEVRVGNTQEYGRMEIKVENASALFPVEKVGHSQLVWMSHGDEAVTLPSGFNVVARSQQGAVAAIQNPSAKFYGLQYHPEVTHTPEGMETLKHFLFDVCGISAGWKMEDVLEEEIKVINNTVGPDEHVICALSGGVDSTVAATIVHKAIGDRLHCVFVDNGLLRYKEQERVMETFKKDLHLPVECVDAADQFLTKLKGVTDPEVKRKIIGKEFICIFDAFAQKLEKELGSRPSYLVQGTLYPDVIESCPPPGSGKTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRQLGRIMDVPEGFLKRHPFPGPGLAVRVLGDVTEGNALDVLRQVDEIFIQSIKDAGLYDVIWQAFAVFLPVRSVGVQGDQRTHSHVVALRAVTSQDGMTADWYYFEHKFLDDVSRKICNGVRGVNRVVQDITSKPPSTIEWE >RHN50048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3528943:3530912:-1 gene:gene34296 transcript:rna34296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-methylene-furan-3-one reductase MASTHSIPSHTKAWVYSQYGIIEDVLKFDPNVPTPHPKEDQVLIKVVAAALNPVEIKRALGQLKDIDSPLPTVPGYDVAGVVVSVGEKVNKFKVGDEVYGDINETALNNPKTVGSLSEYTVAEEKVLAHKPSNLSFVEAASLPLAIITAYQGLERVEFSSGKSLLVLGGAGGVGSLVIQLAKHVFEASRIAATASTTKLEFLRKLGADLAIDYTKENYEEITEKFDVVYDAVGDSERAVKAANEGGKVVTILPPGTPPAIPFLLTSDGAVLEKLQPYLENGRVRPILDPKSPFPPGTPLFCISWFFKYN >RHN75958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46538789:46545462:1 gene:gene12222 transcript:rna12222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator MED7 family MATATYPPPPPYYRLYKDYVQDPESAPEPPPPIEGTYICFGGSYTTSDVLPSLEEQGVRQLYSKGPNIDFKKELRSLNGELQLHILELADILIERPSQYARRVEEISTVFKNLHHLLNSLRPHQARATLIHILELQIERRKQAAEDINRRREEARRILNESLATLDGH >RHN80238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36385878:36392639:1 gene:gene4139 transcript:rna4139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-isopropylmalate dehydratase MAFSSSSFISYKKEHLGYFVFPSSFPCSFITNMKCKKTISKNIVSLVSPVKNKRNPSATGSSKTPMTTTEKILARASEKPEVKPGENAWVNVDVLMINDITCPGVSGIFKREFGSTAKVWDPEKIIVIPDHYIFTNDERAHRNVDTAREFCMEQDIKYFYDIQDRSNFRANSDYKGVCHVALAQEGHCRPGEVLLGTDSHTTSAGAFGQFATGVGNTDAAFILGTGKILLKVPPTMRFVIDGEMPNYLLAKDLILNIIGEISMSGATYKTMEFVGTTIETLTMEERMTLCNMVVEAGGKNGIVAADRTTYKYLEDKTSTPYEPVFSDEKARQPHSPDNRALARECNNVKIDRVYIGSCTGGKTGDFMAAAKVSLAAGKTVKVPTFLVPATQKVWMDIYTLEVPGSGGKTCSKIFEDAGCDPPASPSCAACMGGPKDTYGRINEPKVCVSTTNRNFPGRMGHKEGQVYLASPYTAAASALTGFVTDPRNFLQ >RHN60895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31426068:31429122:-1 gene:gene23288 transcript:rna23288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-S seed storage protein, plant MDIDLSPQVAKKVYGGDGGSYYAWSPSELPMLREGNIGAAKLALQKNGFAVPRYSDSSKVAYVLQGSGVAGIVLPESEEKVVAIKEGDALALPFGVVTWWYNKDDTELVVLFLGDTSKAHKAGEFTDFFLTGPNGIFTGFSTEFVGRAWDLDENNVKTLVGKQSAKGIVKLDGKISLPQPKEEHKKGMALNCLEAPLDVDIKNGGRVVVLNTKNLPLVGEVGLGADLVRIDGRSMCSPGFSCDSALQVTYIVRGSGRVQVVGVDGKRVLETTLKAGDLFIVPRFFVVSKIANNDGMEWFSIITTPNPVFTHMAGSSSVWKALSPTVLQAAFNVDPEVEKLFRSKRTADAIFFPPPN >RHN73435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16397928:16398356:1 gene:gene9248 transcript:rna9248 gene_biotype:protein_coding transcript_biotype:protein_coding MLFISLFMLFFFSIPNLWEHSAINTSWRKMLKNCVGSCSRMDCNTHCIENGAISSSCYYYNKCTCFFDTTSSTTTISEVPRCSFGYGICEDDCDSNCCSSRCARKYSFFNKYITGTCAQYVGNDLQYLNDRYCICEYDDEEY >RHN39576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8385049:8385393:-1 gene:gene45614 transcript:rna45614 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVAQIEGRVRYSAFKKTVKVMITPTDSLDNLKAQLNTYFEHLGENQYTRHLFGQMPCIDLGEDRDEYAWKTASYMPLLIRDDGDVGFMFRNMVEDNILYMYVRSICNCIECK >RHN60695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29608707:29615581:-1 gene:gene23049 transcript:rna23049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arsenite-transporting ATPase MAALLGHILKTQKNSSLLRNITSSSLHQTPFILPPLSQARRIGNVAESVCGFDEMVRDKERRYYMLGGKGGVGKTSCAASLAIKFANHGHPTMVVSTDPAHSLSDSFAQDLTGGKLVPVEGVNSPLYALEINPDKSMEEFRTAGQKLGGGGAKSLMQSMGLGVVADQLGDLNLEELLHTPPPGTDEIIAIAKVMQFLESEEYSMFSRIVFDTAPTGHTLRLLSLPDFLDGSIGKLMKMKMKLGSVFKSLLGKEQPQNNPLDKLEKLKERVAKIRDLFHNSDTTEFIIVTIPTVMAISESSRLHASLKNESVPVKRLIVNQVLPPTTGCKFCSMKLKDQMRAIETIHNDSELGGLRLCQAPLVDMEIRGVPALTFMGDKLWR >RHN56528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31638648:31639734:-1 gene:gene31939 transcript:rna31939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MLYCRWMSKEGIRGDILETIIWPEQGRIRIPKQYYKDNKKEFNLKAPGMLRNDEEITITTHFGKDDVGVYMTPGVRVAKVFGCEVPTRVRLDYDGAKNFFRLTPLGNILVPNVFPTRVKEEPIQIVSDNEMEEEEDEDEDETVEEDETEEEEEAEHEEEEPENLNFYHFEKTVTKALASIKKLQVLVLKKRYLRMPMEDVETRKTYQCWVRTAPRKNHEKSLGGGWFQFVVDRGLKVNDKLLFQLEDPPKKMYVRHIRHQ >RHN62069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40465689:40469390:-1 gene:gene24600 transcript:rna24600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MKESGGNKKQGAASPCAACKLLRRRCAQDCLFAPYFPADEPHKFANVHKVFGASNVNKMLQDLPEHQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDVLQTQLAVAQAEAVHLRVRQTASSFWNSAGHSPTSPTYSGSPSSKINESQQAKNIFDMDMVVDQAAYGDSMW >RHN59421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10561959:10567369:-1 gene:gene21450 transcript:rna21450 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA-box-binding protein MADQGLEGSQPVDLAKHPSGIVPTLQNIVSTVNLDTKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFNAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKKPKIVLLIFVSGKIVLTGAKRRLI >RHN60726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29924876:29928575:1 gene:gene23082 transcript:rna23082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MKNSWFFDKNFNGVSDEILGDVVEFFDFPLEDVETDGVEQDWNDQFKHLEEPSLGVFSIPSFELHGETQYEKPKLVNNFSAAELSHIDRRNVVNSSLKDRRGLLGKTAGPAYGKTIPIQNVSFNGTNLRGCRTYSPVSVFESSSYSSVESYNFELPVIPAKRPRGKRRRLSSFDRLFSIPFIPAFQKQQSDKQRAGKSLCRAQKRPRKDDTSQLSDSIETKRSSLHESIAPRKCTHCEVTETPQWREGPKGPKTLCNACGVRYRSGRLFPEYRPAASPTFEASVHSNSHKKVLEIRKKVNQETVKGSSMLYLSSNLSGIL >RHN55984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26452400:26453168:-1 gene:gene31289 transcript:rna31289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif 2, nucleotide-binding alpha-beta plait domain-containing protein MTMQQRIYDLNPNAEPFYPQNLTVPTPKTQSRMVYDRKRTQIQQKTSKSTYHRVETSIPFPKTIEEAEALHITTVMIRNIPNQFRFDNLLKILDDHCFEINKNADPEDWSKYNIVYLPMDYMKHALERRMSNLGYAFVNFTTPAAAFKFYKQFNGFAWNVRQNRKICEINAAQHQVSLFINFRLNSSFRPLTFMLLRFWPLK >RHN72008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4351102:4353189:1 gene:gene7675 transcript:rna7675 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTSTTTTLFTPFLSHRHTTKPFLFSPPLHLPNTTPSIFILSCSPPKTIPVTEQQFLQAISDSSDQKQLPCVRTFENDLSQLTLVGAVDFRQAVTAAAADGGEVADEHVQAGMDAMVVETVFPASSSDHGTVSTRLFLPARKVKEKAAKLRKSFSEDIFSNTTSRNVLTMTFRQVVLEQVWNFDLTVFQPGEERKMDDLENPREVSLTLIRSVLFMHFI >RHN72314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6707077:6707607:1 gene:gene8012 transcript:rna8012 gene_biotype:protein_coding transcript_biotype:protein_coding MHDAQKKKKVNNPNLSQENSIGLLCTLFSFSSANFFSSSVSAAPSALIFSSFFIDAWSSFFSTSAEGSSTTSSSFFPSSFIFSIASPSLTSLAASSLFSSTPASLTLSISFCSSAAELVLSTLLFMSLVSVSFSLKVTLDFLRWRMVVLSEATSCLDFPQPILLIYLIKYKYSTFV >RHN69766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46122069:46122833:-1 gene:gene18279 transcript:rna18279 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEQIFVPVQHQFFWFDSSKVQLLFVDSRADFLGQFLGCWFRVFRYIGDLCLFSLSASLLRFLYTLDVNKICLF >RHN78081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11750571:11751198:1 gene:gene1596 transcript:rna1596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator Znf-B family MIIQYFTPFSTFTNFGAFKFILVARLVFHSIAWRLKNMVKRSLPNGKEDWLDALLMDRFGNCKNHKEKFNEKNVFCLDCGISFCRYDKESHSLHRKVQIYRYCYVDVAKYTDLLKYFDCSYIQVLFSLLFRYCLLLPLVVLRES >RHN76290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49166121:49170195:-1 gene:gene12589 transcript:rna12589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase D MDKLIHGTIEATIFNATPYSPSFPFNCLFVNGKPAYVTIKIDNKKVAKTTQESERVWNQTFQIQCAHLADSTITITLKTTCTILGKFHIKAQQLKEDSLINGSFPLLMENGKPNQELKLRFILWFKPANLEPSWTKLLSSEEFQGLRDATFPQRSNCHVKLYHDAHHSPTFQPPFDNCGVPRKLWEDVYKAIEGAKYLVYIAGWSFNPKMVLVRDPQTEIPHAREIKLGELLKKKAEEGVAVRVMIWDDETSFPFIKNKGVMNTHDEEAFAYFKHTKVVCRRCPRLHDKFPTIFAHHQKTITVDTKAPNSVNDREIMSFLGGLDLCDGRYDTEKHSLFETLTQESHYHDFYQTNIAGASLNKGGPREPWHDAHACVTGEAAWDVLTNFEQRWTKQCDSSLLVPANTLENLIPISSTSTSMERNWKVQVYRSIDHVSASQLFRKLTVESSIHEAYVEAIRRADRFVYIENQYFIGGCHLWQKDKNSGCTNLIPIEIALKVVNKIKARERFAVYIVIPMWPEGVPESEPVQDILHWTRETMTMMYKLIGEAIIESGEPGHPRDYLNFFCLANREKKENEEYLPPHSPLPDTQYWSAQKNRRFMVYVHSKLMIVDDLYILIGSANVNQRSMDGQRDTEIAIGGYQSHQDGVDHPISKGDIHEYRMSMWYEHTGSAEKLFLEPESLKCVQRMCSIGDKMWKIYSSEEIVDMEGVHLVTYPMIVTQNGSVEDLTNGEDHFPDTKALVKGKRSKLLPSVITT >RHN39701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9704284:9706891:1 gene:gene45750 transcript:rna45750 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEVRTVWHRTFNHYFVKEDAKRTPKIACCQSSCATSKLDDLGYVSPDESAHNVVAIMKKTRMEGMSEANSNNSKVCSETVTKHETMEVDSVGYVVPEQTKDFSLDSDYSWIEDDGAQPWWKTTDRNELAFLVLQKSLNYVENCNLPPPREKYLGEQSYAGISDYNIKTCFDCEAKSSIFSNFAAEAKEGLDSRLMHRKMETPSNEGHLSFSSDKSSNTTMQEGDIEQAFEGDQSKNQLMEALCHSQTRAREAEELAKQAYADKERIIALFFIQASQLYAYKQWCRLLHLETLKHKPVSTFIPETPPWMSFEGKKPTTRKRKFVNHKQEKLGKLKSDLSTTYDAAFSIGLSLVGAGLLLGWTVGWMLPRL >RHN38420.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000023.1:17022:20385:-1 gene:gene50761 transcript:rna50761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterol 3-beta-glucosyltransferase MGPTSDFPHPLSRVRQPIGYRLSYQIVDALIWLGIRDLINEFRKKKLKLRAVTYLRGSYTFPPDMPYGYIWSPHLVPKPKDWGPNIDIVGFCFLDLASNYEPPKSLVDWLEEGENPIYVGFGSLPLQEPEKMTRIIVQALEQTGQRGIINKGWGGLGNCDDFSFLVAELNTSKSVYLLDNCPHDWLFPRCAAVVHHGGAGTTAAGLRAECPTTVVPFFGDQPFWGERVHARGVGPAPIRVEEFTLERLVDAIRFMLNPEVKKRAVELANAMKNEDGVAGAVNAFYKHYPREKPDTEAEPRPVPSVHKHLSIRGCFGCYHSSA >RHN78274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13480044:13481084:1 gene:gene1808 transcript:rna1808 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARSMEINFNFPQLKIMVLCLLTNLKSFYQGKNTLECPSLKTLNLYRCEALKMFSFNNSDLQQPYSVDENKDMVFEQALFCIEKLSPNLEELVVNGTDMLGILNGYCQENIFHKVKLLRLQCFDETPTIFLNDFHTIFLSLETFQVRNSSFATLFPTKGTTDHLSMQLSKQIRKLWLFELEKLKHVWQDVLK >RHN54982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14204511:14209833:1 gene:gene30097 transcript:rna30097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative primary-amine oxidase MATAQEKTTPDPSQDPTRNTDSFPPKTTPTTKGIPVMMRAQTCHPLDPLSAAEISVAVATVRAAGATPEVRDSMRFVEVVLVEPVKQVVALADAYFFPPFQPSLLPRTKGGGPLIPTKLPTRKARLVVYNKKSNETSVWIVELREVHAATRGGPHRGKVISSQVVPNVQPPMDAMEYAECEAVVKDFPPFREAMKRRGIEDMDLVMVDAWCVGYHSEADAPNRRLAKPLIFCRSESDCPMENGYARPVEGIYVLVDMQNMVVLEFEDRKLIPLPPTDPLRNYTSGETRGGVDRSDVKPLQIIQPEGPSFRVNGHFIQWQKWNFRIGFTPREGLVIYSVAYIDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEVDVKVEDPGKNNVHNNAFYAEERLLKSELEAMRDCNPLSARHWIVRNTRTVNRTGQLTGYRLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYVHNEMHPGGEFPNQNPRVGDGLATWVKQNRSLEEADIVLWYVFGVTHIPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPSAGDLDDKENGMPAKPIQNELIAKL >RHN75232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40414556:40418225:1 gene:gene11405 transcript:rna11405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MTTSMKLHHIVISICALLCLAFAGEVHGHGSLPQKYWEAVWPNTPIPIAMQDLLKPEHEGDEIEDLPMEFEKQQYPEDLFFQNELYPGNTMNLQFDKSPFAQPAGLIKYLGANDDIKNTEKEAYNVDELCVKKRATIGEQKHCAKSLGSLIEFAISKLGNNIQALSSSLIDNQEQYTVESVQNLGDKGVMCHRLNFQKVVYYCHRIRATTAFMVPLVAGDGTKTQAIAVCHADTSGMNQQMLHDALKLDSADIKYPVCHFLGNKAIMWVPNFLGNVDV >RHN59803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13420167:13429604:-1 gene:gene21959 transcript:rna21959 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-MAST family MSSNLPPPENDPPPATSSPVSAKSRTLQKFPPIVNRRTATLSSNNVDDECKEENNKNKNHDNEEESEEEEEEEEDVLVTESEFERAECSYSSSILQASSLGLNQIRTRFSSPLRHSSSAGAPSFPIKDVVNNVAKFRSRVSHPKDLGGKVHWNQSKSLKARSQLLILEGNHAAYAKDFQSPRYQEILRLTSGKKRKNRPDIKSFSHELNSKGVRPFPVWKHRAFGQEIMVEIRAKFEKLKEEVDSDLGGFAGDLVGTLEKIPGSHPEWKEGLEDLLVVARQCAKMTAAEFWINCETIVQKLDDKRQDIPVGILKQAHTRLLFILSRCTRLVQFQKESVKEQDHILGLHQLSDLGVYSEQIMKAEESCGFPPSDHEMAEKLIKKSHGKEQDKPITKQSQADQHASVVIDNVEVTTASTDSTPGSSYKMASWRKLPSAAEKNRVGQDAVKDENAENWDTLSCHPDQHSQPSSRTRRPSWGYWGDQQNLLHDDSMICRICEVEIPILHVEEHSRICTIADKCDLKGLNVNERLERVAETIEMLLDSLTPTSSLHEEFNELSLERNNMSSRCSEDMLDLAPDNTFVADDLNLSREISCEAHSLKPDHGAKISSPESLTPRSPLITPRTSQIEMLLSVSGRRPISELESYDQINKLVEIARAVANANSCDESAFQYIVDCVEDLRCVIQNRKEDALIVDTFGRRIEKLLQEKYLTLCEQIHDERAESSNSMADEESSVDDDTIRSLRASPINGFSKDRTSIEDFEIIKPISRGAFGRVFLAQKRSTGDLFAIKVLKKADMIRKNAVEGILAERDILISVRNPFVVRFYYSFTCKENLYLVMEYLNGGDLYSMLRNLGCLDEDMARVYIAEVVLALEYLHSQSIIHRDLKPDNLLIGQDGHIKLTDFGLSKVGLINSTEDLSAPASFTNGFLVDDEPKPRHVSKREARQQQSIVGTPDYLAPEILLGMGHGTTADWWSVGVILYELLVGIPPFNADHAQQIFDNIINRDIQWPKYPEEISFEAYDLMNKLLIENPVQRLGVTGATEVKRHAFFKDVNWDTLARQKAMFIPSAEAHDTSYFMSRYIWNVEDDEHCAGGSDFYDHSETSSSGSGSDSLDEDGDECASLTEFSNSALGVQYSFSNFSFKNISQLVSINMMHISKETPDDSNPSVS >RHN44170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2189871:2190881:-1 gene:gene38259 transcript:rna38259 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAPNNFAEMVTMSMHLEEGVREGRLVKENVPTDRSEDEDQEVNMVKRHP >RHN71355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58476392:58478030:-1 gene:gene20028 transcript:rna20028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amidophosphoribosyltransferase MLKNVQPFVAGYRFGSVGVAHNGNFVNYRSLRNELEESARIVEACEKVKGAYSIVFVTEDKLFVVRDPFGFRPLVMGRRSNSSVVFASETCALDLIEATYEREVYPGEIVVVDKSGVQSHCLVSRPEPKQCIFEHIYFLLPNFVVFGKSVYESRRWFVVPDFGVVAALGYAAKAGVPFQQGLIRPHYVGRTFIEPSQKIRDFGVKLKLSPVRVVLEEKRVVVVDDSIVRGTTSSKIARLLKEADAKEVHMRIACPPIIGSCYYGVDTPSSEELISNRMSVAGIKEFIRCDSLAFLPMDSLKKLLGDDSSNFCYACFTGNYPVEPTELKSKKRIDGVILWMVD >RHN64127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56805481:56811547:1 gene:gene26913 transcript:rna26913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gliding motility-associated protein GldE MTMVNLLNALTVSRILTRDHLSLANEGIPFGSVTWIAYVGICCFLVCFAGIMSGLTLGLMSLSLVDLEILERSGSPSEKKQAAIILPVVKKQHQLLVTLLLCNAVAMEALPIYLDKLFNQFLAIILSVTFVLFFGEVIPQAICSRYGLAVGANFAWLVRILMVICYPVSYPVGKVLDYLLGHDEALFRRAQLKALVSIHGKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNHKNVIGLLLVKNLLTVRPETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVKAKGKGKETPQIIDEEKFDAKKSAGGESQLTTPLLQKQDVKSENVVVDIDEPSKLPIINKQTGLQRSDGMLNTPSENFEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSMARAPSVRRMTGQKGAGGQNKPGQTPKLSGEENGLNSTR >RHN81508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46586730:46588218:1 gene:gene5565 transcript:rna5565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Transferrin-like domain-containing protein MGSPEAAAPISENGVGGHGNGRANAPNDPATDDGGVRWCAVRDEVKECQSFVGVISQLTGYVWKCIQKEKAQDCLESIKKGEADLVNLEAGLAYIAFINYSMKAIANEIYYDRSKTYEAVAVVNRRVCENNEKISLMDFKGHKSCHGGYSTVAGWNYPINHIKNLFNDEKMNDKEIATSFFSRLCAPSEVEGFDTCNVCGKENETCNETGLYYGHSGAFRCLVEELGDIAFVKGDTALFYSMEGPHNQSWSTKSLRDFMYLCPKGGCREINGYPADCSFGTVSANVIMAHNSMPNKKRMYVLETLTNTSLVDALYSPIDIRNNLFSPR >RHN59544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11843207:11844071:-1 gene:gene21586 transcript:rna21586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MFVIQALTQQKMAVLPTFAMLSHLDLGFVSGEVLMSFLQKTPFLNTLVFQGISKFNQELLNSAVVPNCLASTLKVVKFDNARVSEPELFCAKFFMEHCIVLERMNFSLVNGRKGKSPVIEEFKEKLYLSKKEVSSVILEFD >RHN58648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3631779:3637356:-1 gene:gene20576 transcript:rna20576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase chromatin remodeling SNF2 family MENITKKEEQNPNFSAFPFKPYSIQIDFMNALYQSLNHGGISMLESPTGTGKTMSVICSALQWVLDQRQRDEEEITLGSVSGKNENQGSDDEPDWMRDFVVNNNHQKEEKRKIKKEKFVSVLGKFDNDYEKSNKSGNLKKKIDEVGDNEEEFLLEEYESEDEGSSGASKRKASKSGFSSTSEDESGDDEEEEEEKKLKVYFCSRTHSQLSQFVKELRKTVFADEMGVVSLGSRKNLCINQEVLALGNSSRINERCLELQKKKKNDATKVKNLKAGTGARRTKASSGCPMLRKRRLQHEFRNEVSQQGPLDIEDLANLGRTMGTCPYYGSRSMVRRVDLVVLPYQSLLSKSSREALGLNLKSNIVIIDEAHNLADSLINMYDSKLTLSQLENVHRHIERYFVRFRNLLGPANRRYIQTLMVLIQAFLRVLVNEKDGNLMDSCRDTEQASEERRASDFTMAINDFVFELNIDNINLVKLLTYIKESNIMHKVSGYGEKMATLEKVSALNITGEHGEDGSCQSAFQSLAAMLLSLVNKDSDGRMIISRSSSTSSRKQGQGYIKYVMLSAEKIFSEIVDEAHAVVLVGGTLQPIEETRERLFPWLPPNKLHFFSCGHIVPPESILPIAVSCGPTGRSFDFSYSSRSSADMMQELGLLLCNLVTVVPQGIVVFFPSFDYESRVYENWESSGILERITKRKRVFREPRNNMDVESVLKEYKDTIYTPSSVNSEVNQASHTGAVLLAVVGAKLSEGINLSDGMGRCIIMVGLPYASPSDIELLERIKHIDGFRNSKFLENPGFSASYDVYGGDIQGGFDILRSCSHRGKEYYENLCMKAVNQSIGRAIRHINDYAAILLVDTRYASDSSKRSFTHPTTKLPNWIKDRLVSSSRNYGEVHKLLSQFFKLKKTCCQ >RHN59696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12662678:12663283:1 gene:gene21785 transcript:rna21785 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps4 MSRYRGPRFKKIRRLGALPGLTSKGPTVGSELKNQSRSSKKSQYRIRLEEKQKLRFHYGLTERQLLKYVRIAGKAKGSTGQVLLQLLEMRLDNILFRLGMASTIPQARQLVNHRHVLVNGRIVDIPSYRCKPEDIITAKDEQKSRTLIQNSLESAPREKLPIHLTLDPFQYKGLVNQIIDSKWVGLKINELLVVEYYSRQT >RHN78039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11460846:11463930:1 gene:gene1554 transcript:rna1554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant organelle RNA recognition domain-containing protein MFSKTKINTFFCYYLNNNFNLYNHTRSISSLKVVWRKDPSLDQAIEQDKRFKQCFRVVKEVLNEPGQVIPLRYLEKRRQRMRLKVKIDTFLNQNPFLFDVYYDRIKPKTKPVKFLRVSDHLLQFLEEEKRIYKENEPLIVSKLCKLLMMSKDKVVSADKLLHVKREFGFPNDFLVDLVPRYPEYFRLTGLPGEGKSFLELVNWNPEFAKSVVEKRAEEESSATGIRVRPSFNVKLPPGFVLKKEMREWIRDWMELDYVSPYEDVSHFEQSSREMEKRSVGVFHELLSLSLYKRIPVPILGKFCDEYRFSNAFSSAFTRHSGIFYLSLKGGIETAMLREAYEGDKLIHIDPLLQIKDRFAELLNEGWRLRAEQLKLKQEKIKQDMELVATSY >RHN48457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47623506:47624681:-1 gene:gene43174 transcript:rna43174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MAKLFNFFPLFFLNVFIIVTLFQRISAQPAISPAPSGPLNITKVLEKAGQFTTFIKLLKATQVSDRINSQLNNSNQGLTIFAPTDNAFSSLKSGTLNSISTQNQLQLLQFHILPTLYTISQFQTASNPLHTQAGNSDDGEYPLNVTTSGNQVNVTTGVIDTTVSNTIYSDNQLAVYQVDQVLLPMALFGQGPTAAPAEAPAPTKPEKSVRASDAPKGSSDSPADDSSAVGLNGYIVNGATLFVAVFANVVVSCLWILS >RHN46956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36016125:36016307:-1 gene:gene41498 transcript:rna41498 gene_biotype:protein_coding transcript_biotype:protein_coding MLIICLRRVFIEVKFEFFMLFLSRLVGAMIHLFDWFFFELSLLIFWFSLFWLLLPIGSYV >RHN81028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42728919:42738928:1 gene:gene5022 transcript:rna5022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MSAVSTRDSSQTEENTTRSILSSRMDRPLCKFYGFTQGPGDRNQGNQDMSSATSIKIPRMERLPPYTSWIFLDRNQRMADDQSVVGRRRIYYDQRGSEALICSDSEEELTEPDGEKHEFCDAEDRILCMAFEEHGLNEEVLNVVSKYVGGTSLEIQERYKSIRGSNIGRLDQHPKSSGEHEFPMSMYLEKNLSDALDSLDNLFCRRCLIFDCRLHGCSQPLIYPSEKKTVWSEPVGDRKPCGDQCYLQFKVVKSFSKDSTPGSFRDKKTTIVEETDGILSPSSAEEPGSQSTTLPTRTDCHGYINLNDPDSENLSKRKVTNQSDTAQCDSRSLPDSQDSCKKLKRISDVVTVITDNSQSLHLDGPKAVTNVTELKNSLNSMEEQVDGILGFSDWKPLEKELYLKGVEMFGRNSCLIARNLLSGSKTCMEISSYMHDGGMSMPHRSIISAGSIMDDKGKFDTECTDQDMPSRPRLLRKRGKTRKFKYSWKSAGHPTIWKRIADGKNQSCMQYTPCGCQSMCGKDCSCLNGGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGTLGEPHRRGEGQCGNMRLLLRQQQRIILGKSDVSGWGAFLKNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNEQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIDAGEELFYDYCYGPDQAPPWARKPEGSKRDESAVPQGRAKKHQSH >RHN76803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1380381:1380995:1 gene:gene180 transcript:rna180 gene_biotype:protein_coding transcript_biotype:protein_coding MITEHKMTDTNTTPPYFYYSSILFFFLLQLPGFFFSFSALIPSRTTLRSLTCNNIPLPLLYHSLLCNTLSNNHSSETFRRRHSSDLACGGSESCRCRRRFPHLADVDVRLLTSQCVAQICFLLADLNGGWWSGRRRCVVGGWVVLGGGGGCLLTEREVEEEPIKKCPSFLHVISITPLSFDGNYKIIPGVVFVLVFLCQLSFLF >RHN81684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48096327:48097538:1 gene:gene5759 transcript:rna5759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MEVELGLKITRTKDDTTSISDFQFAKDRAGPIFLSKETDATFTLTAHLKGYKKENIDININKDGTKISVSGEKEVQEMQMIPFKKELKIKGFRKKFKIPNGVVLDKIKAKYYEEEEVLRIVMPKTEIVKGVLSGMGIEEVKEEEGASSIIEEPEQSSREEVKVTDHKSEEENAPVKKRRPRKPWQPCPPLILGGSTLLVSIIFLVMHYIRVRKS >RHN79322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27602673:27603722:-1 gene:gene3099 transcript:rna3099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MTIMGSCFRPKIATAKRFSRFRSTLPLPLPCSKPNNIQKPIFFNYHNAIAAFEGMLIEDPTPPTHEFNHLLSSIAKTFPKTNGVVVDSYTEMLKMGISLDLVSYNILMKCYADAKLLGSPCLFLGKLLRLLDLVKGLCDQGNVQSALMYVKGSFCPHISMLNPIFDALCKNPDTFHQACKLFADFFHIVDLHGDIFTYNALIRGYCVTNQFPKAFSLYKKVLLKEIQPNLYTFGILLDCLCKQGLLNESKILINVMLKRGLQLDLVCYTSLVSGYFVLNDSQNAIKLFHSLPKRGINRDTQIYNTVIFGLCRMKLIKQQDFYKI >RHN82296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52642117:52642593:1 gene:gene6450 transcript:rna6450 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKQKIVRHLPERVLRQYDYVQTVPRPATTILPLAPADVVAAFLEFALHVVSQQDMGEAVPDDEPWKHLDGYMRWFYRVSHPLIVGLAPVPQYVTPRLVYQKVIVEQEWARHPPDPLQVINSMRVKVEQTMEIPEVVSHPLFFSILEGLQTDYSVFD >RHN38675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1220331:1221203:1 gene:gene44635 transcript:rna44635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSETEMVKPYVWLKTCDDSIQVVDREIAMVCPFICQEISEKGTGSSKSSAICLPEKVSLAMLNLILDYCLFHHIQGHSNKERKLYDEEFVRIVADNLFELAYAAYNLQLKPLIDLTCHEIARTVEIKSPKEICDIFHWLDDRAKEEMLKLIINTTCNPSRRLKRLMKNKRKEFERIQKNVEVKREEKHVVDERPIEELVSFINGSNDGETKKKKKKTCKNKKKKNRRKKKDQQKNSSMKEEEGPVVEFDDEIDHDLMTDKEVEEFARRLNCTREERIKEILRDCDNHRDE >RHN55625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20198882:20206847:-1 gene:gene30832 transcript:rna30832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase II-associated protein MDFGKWREFTQDDKSFLGKDLEKDVSSYGPTTGRKKNENGGKNTSKKISSYSDGSVFASMEVDAKPQLVKLDGGFINSATSMELDTSNKDDKKEVFAAERDKIFSDRMTDHSSTSEKNYFMHEQESTSLENEIDSENRARIQQMSTEEIEEAKADIMEKISPALLKVLQKRGKEKLKKPNSLKSEVGAVTESVNQQVQITQGAKHLQTEDDISHTIMAPPSKKQLDDKNVSGKTSTTTSSSSWNAWSNRVEAIRELRFSLAGDVVDTEQEPVYDNIAERDYLRTEGDPGAAGYTIKEALEITRSVIPGQRALGLHLLSSVLDKALCYICKDRTENMTKKGNKVDKSVDWEAVWTYALGPQPELALSLRVRAQKCIKEAASFLTCHVVQSALSCDVNENYFDISENMATYDKDICTAPVFRSRPDISLGFLQGGYWKYSAKPSNIQPFSEDSMDNESDDKHTIQDDVFVAGQDFTAGLVRMGILPRLRYLLETDPTAALEECIVSILIAIVRHSPSCANAVLKCERLIQTIVQRFTVGNFEIRSSMIKSVKLLKVIRESSLMFHLILEKVLARLDRKTCLEFIKNGYFNAMTWNLYQLPLSIDDWLKLGKEKCKLKSALTIEQLRFWRVCIRYGYCVSHFSKIFPALCFWLDLPSFEKLTKNNVLNESTCISREAYLVLESLAERLRNLFSQQCLTNQHPESTDDAEFWSWSYVGPMVDLAIKWIARRSDPEVYKLFEGQEEGVNHFTLGDLSSTPLLWVYAAVTHMLFRVLEKVTLGDAISLQEANGHVPWLPKFVPKIGLELINYWHLGFSVASVTKSGRDSGDESFMKELIHLRQKGDIEMSLASTCCLNGIINVITKIDNLIRSAKTGICNPPVTEQSLSKEGKVLEEGIVSRCLVELRSMLDVFTFSASSGWQRMQSIEIFGRGGPAPGMGVGWGAHGGGFWSKTVLPVKTDARLLVCLLQIFENTSNDAPETEQMTFSMQQVNTALGLCLTAGPADMVVIEKTLDLLFHVSILKYLDLCIQNFLLNRRGKAFGWKYEDDDYMHFSRMLSSHFRSRWLSVRVKSKAVDGSSSSGVKATPKADVRLDTIYEDSDMSSTTSPCCNSLMIEWARQNLPLPVHFYLSPISTIPLTKRAGPQKVGSVHNPHDPANLLEVAKCGLFFVLGIETMSSFIGTGIPSPIQRVSLTWKLHSLSVNFLVGMEILEQDQGRETFEALQDLYGELLDKERFNQNKEAISDDKKHIEFLRFKSDIHESYSTFIEELVEQFSSISYGDLIFGRQVSVYLHCCVESSIRLATWNTLSNARVLELLPPLEKCFSGAEGYLEPAEDNEEILEAYAKSWVSDALDRAEIRGSVSYTMAVHHLSSFIFNACPVDKLLLRNNLVRSLLRDYAGKQQHEVSMF >RHN71839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2946536:2947131:-1 gene:gene7479 transcript:rna7479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEIGFARSIGRKRVVVSEIGSPLETLPQDILLHVLCGVDHDDLKQLFHVSKIIREATLIVEESHFKFSTPKKNNPIGSNDETPKAPMKKPKESKFYSDELRDISLVLFCPKDCIGHEDYE >RHN63042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47884941:47885273:-1 gene:gene25694 transcript:rna25694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-transporting ATPase MESYLNENFGGVKSKNSTDEALEKWRKLCGVVKNPKRRFRFTANISKRYEAAAMRRTNQVSHKLYIYIYIWQILWQKFECTGTPNHQIHIETNYFFEEKELFSIINNYNN >RHN48444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47502788:47504515:-1 gene:gene43160 transcript:rna43160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MGDTKVGEGSETFPEQLLLCPDNNTRLQSLSLSHQKFLNGEVMLIRIASIFRNLHHLDLSRCTGISDQVIGDVLRLCREIRRLDISNTSITSFHIGFVVLKLQQLNISGTAFNDEALMFISDCCPALKVVLLNACNSISDVGVIYLVKSCTKLQKIGLNNCDSLSRFLVDIIITERPTLHGIGTPISFALSDEVKVRYSNLGYRLV >RHN64299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58017534:58018280:1 gene:gene27102 transcript:rna27102 gene_biotype:protein_coding transcript_biotype:protein_coding MAPETNLREIGLEGFDLIEKLYGAPRRAKNNRVFPARQGRWVVQVPNDEMEEPLAMNSNEVAARFSGILVVDYFMGKPQVRCSRPIRT >RHN54516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10175074:10183782:-1 gene:gene29576 transcript:rna29576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MIFDMPPSGAQSVRTNRSSFGSINGSEGTPLHSYATVSNGDGYDSDGSNFAPPTPTTLSTAIPAELAGAAPLIDRFQVEGFLKLMQKQIHSAGKRGFFSKRSVGPQVREKLTIEDMLCFQKDPIPTSLLKLNGDLASRATKLFQMILKYMGVDSSSLSLEDRVELVGKLYKQSLKRSELRDELFVQISKQTRNNPEREYLMKSWELMYLCVSCMPPSKDIGGYLSEYTHNVAHGVAVDSGIRGVALNTLNALKHSLKAGPRHIIPGPAEIEARMTGKKLTTIVFFLDETFEEITYDMSTTVADAVEELAGIIKLPTYSSFGLYECRKVVTSAKSSDSGNEEYIGLDDNKHIGDLLAEFKAVKERSKGEVLHCKLVFKKKLFRESDEAVTDPMFWQLSYVQLQHDYILGNYPIGREDASKLSALQILAEIGFVRRPESCPDWNSFLERFLPRQIAMTRARREWELDILSCYHSLENVTKDDARQQFLHIIRTLPYGFSVFFNVRKIDDPIGLLPGRIILAINKRGVHFFRPIPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKTRSSPTGSLDKEISTEFKSPNLESYEKRVQDLTKAVEDSQRTADQLMEKLHEKQLQEEETLHELEGLKESLETSKNSLAEVTNDRDRLTSLCSEKDNELQAKMIEKRSLEAKIAKLNSLMIEEVTNKDSSGANDRALQKLEDDLRLCRDELMLAEETIKCLTNEKLVLRQKISELEKKNTEEINYLQRKLEQERKALNTQVHGLERKLDVLKQELVMAESTLLAKDTELAVLKNNLKELEDLREMKEDIDRKNEQTASILRMQAAQLADMESLYKEEQVLRKRYFNTIEDMKGKIRVYCRLRPLGEKEIAVKERKVLTYVDEFTVEHPWKDDKAKQHIYDRVFNGNATQEDVFEDTRYLVQSAVDGYNVCVFAYGQTGSGKTFTIYGSENNPGLTPRATTELFRILRRDGNKFSFSLKAYMLELYQDTLVDLLLPKNAKRLKLDIKKDSKGMVMVENATTVSISTMEELNRIIQRGSERRHTAGTQMNEESSRSHLILSIVIESVNLQSQSTARGKLSFVDLAGSERIKKSGSEGSQLKEAQSINKSLSALGDVISALSSGGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPVESSLDETHNSLMYASRVRSIVNDPSKNISSKEIARLKKLVAYWKEQAGRKGEDEDLEEIQDKRPTKERSDGRHSM >RHN70045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48297546:48298853:1 gene:gene18585 transcript:rna18585 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDEKENGILSLFFWVKDKKENAPLVPWFLGLESSHVVYNGSGCVYWI >RHN39406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6852726:6853889:1 gene:gene45427 transcript:rna45427 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSQHPTSSSLSRQGLTCPKCSQLIVINSSVPCMASPVDNWA >RHN42875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41589306:41590230:-1 gene:gene49373 transcript:rna49373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MVLLEDGLSEEVEESFVKLWKSPAPSKVVTFFWLAIIDRIPTRSNLVWRHVLAPSESRECVFCAHGEETSTHLFVHCEVAVLIWRKLMDWLEAPLESVSVDLACGDLVNLEGKEC >RHN79007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20867032:20868375:-1 gene:gene2683 transcript:rna2683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLWGLHKFNGFYAGNHTLLCPSLRKVDVFKCTKLNLFRTHSTRSSNFQDDKHSVLKQQPLFIAEEVIPNLEFLRMEQADADMLLQTQNTSALFCKMTWIGFNCYDTDDASFPYWFLENVHTLESLVVEWSCFKKIFQDKGEISEKTHTQIKTLELSELPKLQHICEEGSQIDPVLEFLEYLHVDGCSSLINLMPSSVTLNHLTRLEIIECNGLKYLITTPTARSLDKLTVLKIKDCNSLEEVVNGVENVDIAFISLQILMLECLPSLIKFCSSECFMKFPLLEKVIVGECPRMKIFSARDTSTPILQKVKIAENDSEWHWKGNLNDTIYNIFEDKVCLFIMSFGFLFSLVFFGCIVVDF >RHN63305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50254854:50256254:-1 gene:gene25991 transcript:rna25991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arginine--tRNA ligase MFPIYSDCFLYADLKINRSTNYTFSFDQMLNDKGNTAVYLLYAHARICSIIEKSGKDIEELKKNGSLVLDHKDECTLALHLLQFTEVFVESCSNLLPNKLCEYLYDLAEIFTKKFYSNCQVVGSPEETSRLLLCEATLTVMRQCFYLLGIEPVDKL >RHN59729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12718102:12718479:1 gene:gene21834 transcript:rna21834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP47 reaction center protein MVSDPYGLTGRVQSVNPAWGVDGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVGAG >RHN59651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12588898:12589348:1 gene:gene21722 transcript:rna21722 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps18 MDKSKSKRLFLKSKQSFRRSLSPIQSGDRIDYKNMSLLYRFISRQGKILSRRVNRSTLKQQRLITIAIKQARILSLLPFVSFNSLTLPNGKKKYEKKKYEKKKYEKSESIARTPTTVLKNRKKINK >RHN62664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45059805:45062928:-1 gene:gene25261 transcript:rna25261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-ureidopropionase MEKSQNGEENAQLNLSNDGSICGYDSLHHLLKDNLKPHHFQEVNRLLTGLNCGKVLETITLPESATSLSVKHGFDAQAFSFRADKELLREPRVVRVGLIQNSIAIPTTAHFVDQKKALFEKLRPIIDAAGSSGVNILCLQEAWMMPFAFCTREKKWCEFAEPADGESTKFLQSFAKKYNMVIISPILERDVNHGEVMWNTAVVIGNHGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETEFGKIAINICYGRHHPLNWLAFGLNGAEIVFNPAATVGELSEPMWPIEARNAAIANSYFVASINRVGTETFPNPFTSGDGKPAHADFGHFYGSSYISAPDASCTPSLSRNRDGLLVTDMDLNLCRQYKDKWGFRMTARYELYVETLAQYVKPEFEPQVIRDPLLHKRC >RHN68477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35886154:35886519:1 gene:gene16839 transcript:rna16839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MSPSTGVHIPLLTGSKLAPAPGTIPGAVLNVATSIIGSGIMSIPAILKVLGVFPALALILIVAVLAEVSVDFLMRFTEAGVKTTYASVMREAFGSVGGLITKVIVIINNFGGLILLLIIIG >RHN82060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50973089:50973894:1 gene:gene6177 transcript:rna6177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MRRHRVDAKIGEAVSFVMEVKHVFQNRREKYDEFLKILDDFSAERIDRAAVKEGMTELLKDHKGLISRFNIFLPPGQEISLPLDDDEQRGDGLAFEDEQKHEISLPFDDQQQ >RHN56365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30341474:30342408:-1 gene:gene31751 transcript:rna31751 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNSIDMNAAATGDERDTVIPSSFTNFVRKEDPFFCPWANKTETIEKEEDGSLQKSELTVPVHGIIGSKAKKNIAPETVKQCGQSSGDSNACKNASTGFNKEKEDASLQKTELTITTDKIIDAPVNAKECEPSSATARNLDMGGSGNVLIKVEDLRPKLNDVNFVGKSGKCKEDMSQESEKSKCVPCW >RHN71650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1672507:1676470:1 gene:gene7271 transcript:rna7271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MDSLCCFNSSRKGVGGRSLCGSGKGKSLQCPLKYGFCLVEGTQDHTMEDFHVAKIVQFNGRELGLFAIFDGHSGDTVPAYLQKHLFSNILKEEDFWTDPNSSIIEAYEATDQAILSHSPDLGRGGSTAVTAILVNNQKLWIANVGDSRAVLSRKGVAIQMSIDHEPNTERRIIENKGGFVSNLPGDVARVNGQLAVARAFGDRNLKSHLRSDPDVKPDDIDQDTELLILASDGLWKVMANQEAVDIALKIKDPQKAAKQLIAEALKRESRDDISCIVVRFK >RHN70145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49096015:49096673:-1 gene:gene18699 transcript:rna18699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Blue (type 1) copper binding protein MAFSNALVLCFFLAITMPLPTLATNHIVGDGLGWTVGPDYNTWTSDKTFAVGDSLVFNYVAGHTVDEVKESDYKSCTTGNSISTDSSGATTIPLKEAGTHYFICAIPGHCTFGMKLFVKVKPSSAAPSATPLPSGKGSPSDRTPAATTTTTTPTIQSASSATTISPTVAFFFTVSWILIHHILV >RHN73834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20516344:20517838:1 gene:gene9712 transcript:rna9712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKIMKFVHAMILFLFLFAINVTAFRDPCNFDFDCRNSNCTAPYVATCMYEHCYC >RHN38468.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000011.1:60800:63744:1 gene:gene50703 transcript:rna50703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MDDFSGPDLISDLPQSIIETILIQLPIRDAVRTSILSRKWRYKWSTITQLVFDEKCYPNSTDREVVQKSVVEFITRLLFLHQGPIHKFQIVNTSLQTCPAINQWILFLSRNDLKDLDLELGEGEFFRMPSCFFSCEKLTRLELSRCELYPPASFKGFSYLKCLNLHQVLISPEAIESLISSCPLLENLSLSYFDCLALTVRAPNLKYLCLEGEFKEICLVDTPLLVELSVAMYMTDEHMEQSSTCNFVKFLGGVPNLERLVGLIDFTKYLSIGNDLGHLAIMYNNLETIEMYQVSFEDMKEILVVFRLITSSPNLKELHISGSSNISNAPYAGYAGLDFWEKECPSDSILGQLKLVKLTEMSGMPHEIEFIRFLLGCSPMLETMSIIPAMYDLDCQLKMVVELMKFRRASTRAEIYFIRGD >RHN59593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12321052:12321805:-1 gene:gene21643 transcript:rna21643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADPH:quinone reductase MQYGGGLSEFAVASESLTVARPSEVSTAEASGLPIAGLTARNALTEIGGAKLDGTGEPKNALVTSASGGVGVFAVQLAKLGNNHVTATCGARNIDFVKGLGADEVLDYKTPEGASLKSPSGRKYDAVINFTAGIPWSTFDPNLSENGIVVDLATGLSSMLTFELKKLTFSKKQLVPYFVTVKREGLEHLAQLVKDGKLKTIIDSKFPLNKAEYAWAKSIDGHATGKIIVEQ >RHN77439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6878102:6878854:1 gene:gene891 transcript:rna891 gene_biotype:protein_coding transcript_biotype:protein_coding MINSVFLLLVVLLLVYSGVTVSLRLNILFKYQEKVNWIKRKSKLLEALLVDHDLLKKEQKELASQIRSFWGIEDLSLIYLRLNKEEESWLNSTRSVVNEAEGCCSDYDKQSPRSIWGLSIFGSMTPKGMHSPKNRLCRKQADRTF >RHN68291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34344500:34345579:-1 gene:gene16625 transcript:rna16625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, WD40/YVTN repeat-like-containing domain-containing protein MKKVAESCQLPWDLLDIISRMLDFDDLFEFGSVSKNWREFYKIYWRNFIASQEPLLIQRSSSFKQSFSFISLPHHKVFHSKMINNLFPLAYQGSSSGYLIMTQPDNSFILINPFRRRKMIINNSAFKVVFSCFACRVLLAFSRCSKEFFLVVLCRDSDNLYVYQSRNLGWVTYSTPEKVIDFVVLHNTIYVVTDKANIGILSLNSANINVLQLKSTPDVISTSYSHVRLVSCDGHLLVLNFISKETFSVYKIDLSTMDYIKLETLGDIALFYAPRKKYYAMSNPHMWGYENNYVYVIDLACDKYRVYKGDDNKMPKLVLSEVPSERNLSPGVSHSKQPYLNRCFRHLHYEVDYSLDVII >RHN56071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27383267:27386573:1 gene:gene31397 transcript:rna31397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MSNVAYSNPDAKQRNLAEFHPNVWGEYFIQYASESMELDQNIAAQIVTLKDEVRNMLLSKTEMPLAKLTLIDSICRLGVSYHFENEIDEVLQHIHNSCVENGEIILKDSLYSLAVLFRVLRQQGFYVSPNVFTKFKDEEGNFNKGLTTDVEGMLSLYEASHMIIHGEEILEEALAFTSTHLESIATQMSHFLAAQVKYALRQALHKNLPRLEARRYISIYEQNPSHDEILLTLAKLDFNLLQSLHQKEFGNICKWWKELDFSSKLPYARHRIVECCFWILTAYFEPQYSLARKLATKVIAMLSIIDDTYDAYGTIDELELFTKAIERWDISILDDLPDYMKLIYGTLWNIFEEIEQEMRKEGKLYTMKYFIKELKVAVQGFITEARWLNEKYIPTIEEYMLKSTKSCAYTLLILTSYIGMGENTTENIFNWVTNDPKIVSAAANLCRLMDEIVSSEFEHKREHVCSLLDCYMKQYDMSREAAIQECHKGIAIAWKDINEECLRPTKVPMPFLIRALNFSRFMDVNYKDKDNYTHSEGLMKKYVKEVLVESVPI >RHN41765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32742391:32743257:-1 gene:gene48123 transcript:rna48123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 43kDa postsynaptic protein MDSFHCKLYIFTNTNMIVAGIVFEGCGQSGKGLAAAINAILVEPNHVPSKILMGSLMLKSGSKASPVSRSLLSDALRIEPTNRNAWYHLGLTHKEDGRMGDAADCFQAASMLEESDPIESFSCML >RHN41324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29127665:29137542:1 gene:gene47632 transcript:rna47632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAAGNDVIVIGTSRGWVIRHDFGAGDSHVEFDLSAGRPGDQSIHRVFVDPGGCHCIATVVGPGGAETFYTHAKWTKPRVLSKLKGLVVNVVAWNRQQITEVSTKEVILGTDNGQLHELAVDEKDKKEKYIKFLYELTELPEALMGLQMETASVINETRYYVMAVTPTRLYSFTGFGSLETVFAGYLDRAVHFMELPGDIPNSELHFYIKQRRAVHFAWLSGAGIYHGGLNFGGQHSGNGNFIENKALLNYSKLSEGVEAVKPSSIALSEFHFLLLLENKVKVVNRISENIIEELQFDQTSDSAAKGIIGLCSDATAGLFYAYDQNSIFQVSINDEGRDMWKVYLDMNEYAAALANCRDPFQRDQVYLVQAEAAFSSKDYFRASSFYAKINYILSFEEVTLKFISAGEQDALRTFLLRKLDNLEKDDKCQITMISTWATELYLDKINRLLLEDDSSLENSDSEYQSIIKEFRAFLSDSKDVLDEATTMKLLESYGRVEETVYFASLKGQYEIVVHHYIQQGEAKRALEVLQKPSVSVDLQYKFAPDLIALDAYETVESWMTTKNLNPRKLIPAMMRYSSEPHAKNETHEVIKYLEFCVHKLHNEDPGVHNLLLSLYAKQEDDSSLLRFLECKFGKGPENGPEFFYDPKYALRLCLKEKRMRACVHIYSMMSMHEEAVALALQVDPELAMAEADKVEDDEDLRKKLWLMIAKHVVEQEKGTKRENIRMAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNKQIEQLKEEMNDTTHGADNIRNDISALAQRCTVIDRDEECGVCRRKILNAGREFGIGRGYTSVGQMAPFYVFPCGHAFHAQCLIAHVTRCTVETHAEYILDLQKQLTLISSETRRESNGNLASEGSIPSTTSVDKLRSQLDDAIASECPFCGDLMIREISLPFILPDEEQHVLSWDLKPNVGSQRNIPLSV >RHN67324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26029356:26029928:-1 gene:gene15504 transcript:rna15504 gene_biotype:protein_coding transcript_biotype:protein_coding MENQPEIRTGSVKLSGPNDWRSRRNMQNMSISKLISKLRDTFIQRDFDAVEETLVAREAMLKTEIKEKKKKIELFEKKFQMERSDKISVEMELKRVKEERYKNELVKNGGVVKGKLGYRKCIDEVVKKRKIVDFEDENVGEKIRVGEQRMKDGGGGSGMEIQSSCLFIVECYFLILILRLCLGKKIVDND >RHN58160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44195548:44196096:-1 gene:gene33773 transcript:rna33773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MVQMLIARSSGSLRKLIVSGLRTRKSFTFIAKNAGSLRDLRLPRCNMNDYAITRLTRKLSMISFLDLSYCVKIGSNAIKTIGKNCKQLEVFCWNMHPTYTWGKPFEDAKAYAIASTMSKLKRLEIVYHLITNEGVLKIISSCPKLECLDLRGCWGVKLDTMSVKQNFPKLMVLRPQVLGNYI >RHN50858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11207134:11207940:-1 gene:gene35197 transcript:rna35197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MVSGIKWNPVANTSSLDILSAASAMAVGIACNRKTCSGRALWRGYDNKAMSTGVSFITEKPNCFNIIRNENATVAAGVFTSICSGCLLSEAASSSITSSAVHVRGKRVGKSVKARSINKQPLMTVITRDIDQETCSNKSSGEVELTDWTDVEKAAFLHALSSYGKDFAMIAQYVGTKSQYQCRVLFSKTHKHNGMDLIGQRAEIVGLPGDDDVDGGRSDADNACIAETGSVNDSDTSGTKTGVDQLAYDKNAYHDESIPMETRNLQLT >RHN61010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32315294:32317811:-1 gene:gene23419 transcript:rna23419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTKVLNLVYVMIIFIFLFFVVANTETYVLCFYDSDCPQDKCKNPDDVPRCIFPVCHCIKS >RHN80865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41418538:41420005:1 gene:gene4840 transcript:rna4840 gene_biotype:protein_coding transcript_biotype:protein_coding MYDCMIQIGIKLGVSIFSPIQDVANNCDGAQPQIFVKYHEAVFKVKKKKKMSI >RHN58487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2147107:2150765:-1 gene:gene20405 transcript:rna20405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamoyl-CoA reductase MEASGGVNNNKKVCVTGAGGFVASWLVKLLLSKGYFVHGTVREPGSPKYEHLLKLEKASENLTLFKADILDYESVYSAIVGCSAVFHVASPVPSTVVPNPEVEVIEPAVKGTANVLEACLKANVERVVFVSSVAAVAINPNLPKDKAIDESCWSDKDYCKNTKNWYCYAKTEAEEQALHFAKRTGLNVVTICPTLVLGPILQSTTNASSLVLVKLLKEGCDSVENKLRWIVDVRDVVNAILLAYENHEADGRYICTSHAIVTRDLVERLKGIYPNYKYPTNYIEMDDYKMLSSEKLQSLGWKLRPLEETLIDSVESYKEAGLLQSQ >RHN45714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24866255:24867129:1 gene:gene40101 transcript:rna40101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MRIVLTHEKKLYVLDGPIPETPPAGASAALRNAHAKHLNDSVEVSCIMLASMTPELQKQHEGMTAFDMIEHLKTLYEEQARHERFDVSKALFSTKLSEGGPVGPHVLKMIGYSENLARLGFVLEQELVVDLVLQSLPESFNGFVQNFLMNDMDKTLPQLAAMLRTAEKNMKGKGKAAAILMVNNGKFKKHHKKPNKSKGNGKGKAVAKPSTKALKPTGGVAKDGKCFYCNNAGHWKRNCPKYLEDKKNGNVPTTSAGSEKE >RHN41379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29647976:29648938:-1 gene:gene47697 transcript:rna47697 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTGSNNIEILRVQALSILCTPHPFLEFSGFAPIPDKVDSIRSRKQQIKNDTLFDFDGSSPVQDKVDPFCSTKQQIDNDAFLDFDGFSPAEDKVDIYNRSKEQRQDNDEENIEEGEFSFACTKVRGLHIFADEIFENGKIRQIPHTFDQSLFIYPTSNNNVSHLRPPLKKIFIKNSVNRHSMLGGISKESQNESLQNMTMVEIKASNECYEKSNSTGSSNLWKFRQNMNLRSNSDHKDSLVLLNASDPKNSRKPKVENIVNKKRKDEKHKNGLSAYEKLYVSNKTRKDSNKRRSFLPYKRQLLGLFTNMNGLSRNLHPF >RHN58290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:539643:540515:1 gene:gene20188 transcript:rna20188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyphosphate kinase MSILITTVGILVLIYSDSYMSHDQGYLRFFTYLSFFNTSMLGLVTSSNLIQVYIFWELVGMCSYLLIGFWFTRPIAANACQKAFVTNRVGDFGLLLGILGFYWITGSLEFRDLFQIFNNLIYKNEVNLFFVTLCALLLFCGSVAKSAQFPLHVWLPDAMEGPTPISALIHAATMVAAGIFLVARLLPLFIVIPPIMSVIALIGIITVVLGATLAIAQKDIKKNLAYSTMSQLGYMMLALGMGSYQLGVDTVAVFIGTCIQTFDMCLGLESLLCLCSCSSPKCLQHLSVYV >RHN67812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30424519:30427766:-1 gene:gene16048 transcript:rna16048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-glutamyltransferase, Glutathione hydrolase MYIKFMAAAVFAFIFFISTASINGQIKTNNEVIAHHGAVATDVRHCSKIGIDVIREGGHAVDAAVAAAFCLGVASPGSSGIGGGAFMLLRLANGVAKAFDMRETAPLRASKDMYGGNTTLKSTGCLAIAVPGELAGLHMAWEQHGKLPWERLVKPAEMIARNGFRVSKLLRHQMEQSETDIMNDKGLRSVFAPHGKLLKVGELFYNKKLGKTLRVISKYGPKAFYGGPIGLNLVKDVQKAKGILTVEDLKRYTVKPKEPISIDFLGLKLLSMPPPSGGPAMMLLLNILSKYKLPSDLSGALGVHRQIEAMKHVYAMRMNLGDPDFVNITRLISDMLSPKFGRALRRNINDNKTLSTNQYGGKWNQINDHGTTHLSIIDFEKNAVSLTCTINAFFGSKFLSPSTGIILNNEMDDFSMPMKNVSKNVPPPAPANFVAPGKRPLSSISPTIALKDGEVKAIVGASGGANIIPGTAEVLMNHFVKGMKPFSAVVAPRFYHQLIPNWVSYENWTTPYGDHFELPADIREFLRSRGHVLKPFAGGAISQFIVTNVSDHSGINKGIGKIVAISDPRKGGFPAGV >RHN76078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47519297:47521565:-1 gene:gene12354 transcript:rna12354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MPHSSISGSVSSPKVDVVIDMGNPLLNLTVDGFLKIGAVAATRALAEDTYHIVRKGSVSSSDFEKTLKKTFKEGAYWGAIAGVYVGTEYGVQRIRGTRDWKNATFGGAVTGALVSAASNEKADKIVIGAITGAAIATAAEFINGWSF >RHN54951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13870079:13877520:1 gene:gene30062 transcript:rna30062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MAREKIQIKKIENSTARQVTFSKRRRGLIKKAEELSVLCDADVALIIFSSTGKLFEYSNLSMREILERHHLHSKNLAKLEEPSLELQLVENSNCSRLSKEVAQKSHQLRQMRGEDLQGLSLEELQQLEKSLEIGLGRVIETKGEKIMMEINELQTKGRQLMEENNRLKRHVSGMFNGKMFGGVESENMVTEEGQSSESVTNVYNSTGPPQDYESSDTSLKLGLPYAG >RHN46836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35229018:35229512:-1 gene:gene41367 transcript:rna41367 gene_biotype:protein_coding transcript_biotype:protein_coding MIFHYCHVTAIGLLTLYLPDTQMIDPNDELPVTLAFPTAKNVTL >RHN54018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6251521:6252059:-1 gene:gene28993 transcript:rna28993 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNLFLLSNHLTVSINFDLLMILTQTLKCKATTNSLYLSSKAKFHDKIFVRE >RHN54944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13804742:13805569:1 gene:gene30050 transcript:rna30050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MQHVRNYYNGEAQMLRSNFSSSFISQTFKSSFSKQRSKAENKLLAAKKHSEAEKRRRMRINGQYDTLRSILPNLIKKDKASILVETIKQVKDLKKKASKLEDSHGTSKEIKFPSGADKLNLEKCNDDEGLVKATLSCEDRPGLMSSISRALLSMKAKVVKVEMVTVGGRTRSVLWVQGVENESLGMLKSTLKVVMHKPSFKMRQYIQ >RHN59728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12717636:12717842:1 gene:gene21833 transcript:rna21833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP47 reaction center protein MVALYRSYLVLNDPGRLLSVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLE >RHN47310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38724474:38731212:1 gene:gene41889 transcript:rna41889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine aminopeptidase, S33, alpha/Beta hydrolase MVWIDGVGLGLISHQNKLGRIFDLWCLHIPVSDRTPFTDLVKLVEKTVRSEYERSPNRPIYLVGESLGGCLALTVTARNHDIDLVLILANPATSYSGSQMQLLTPLLDALPDSLSPALPNIHSLTAGGPLRIVLDSTVKGLPLLNAARETIEVLADILPKETLLWKLKMLKSASVYANSRLYAIKAQTLILCMFILLGIVHLTSVTIWNQVGNDQLLPSRQEGERLHQLLPNCELRKFDVSGHFLFLEDSIDLVMVIKGTSYYRRGNYHDYASDFIPPTPDEARKVIESYSSVMLSTLEDGKIVKGLAGIPSDGPVLFVGNHILLGLDIAPFLFRFFTDRDIVVRAMAHPLFFRRQKNGRLPEISSFDSFRVIGVFPVAASNLFKLLSSKSHGEEYKLFWPEQSEFVRMAARFGAKIVPFGSVGEDDLGQSFLLYCGHWTRPQYGPLHWVVIDYDDLVKIPYFRSEIESLTNEAVQLRAGVDGEVANQQVYLPGILPKVPGRLYYYFGKPIETEGRKRELKDREKSQELYFEVKAEVERCIAYLKEKRESDPYRSILSRLLYQATHGKKSGNAETLGNAQHNSGRYWIHESRILTLLHQPHFYISFHHSKL >RHN48918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51127157:51127534:-1 gene:gene43686 transcript:rna43686 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSLTVFYFLWCRFKSMDIYLVDLFRVRVDVTLVDLKGQLNQINRRLDHRDTGRVDNVGYRRLLIDSTVRLQFNQMMFKNDDDVRNMFSISDKHSMFLTINLDVSLLGSSGNILTSLIRPDENV >RHN70765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53849220:53857103:-1 gene:gene19381 transcript:rna19381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sarcosine oxidase MESSGSDYDVIIVGAGVMGSSTAYYAAKRGLKTLVLEQFDFLHHRGSSHGESRTIRAAYPQQHYCQMVMKSYQLWEEAQAQAGFNVYYKAHHFDMGSSNDPMILSVVENCRQNNLPCQLLRREQVAEKFSGRIDIPDDWVGLSTEYGGVIKATKAVTMFQSLARSHGAVLNDNVEVVDIKNDGGSVVILTAKGEKFRGKKCVVTVGAWVNKLVKKISGVEIPIQPLETHLCYWRIKEGHQGKFTIGGDFPTFASLGRVLLYGSPSFEFPGLIKVAVHGGNLCDPDKRPWGAGVMMDEMKEWVEGRFCGLVDSSEPVVKQACMYSMTPDEDFVIDFLGGEFEKNVVLGAGFSGHGFKMAPVIGKILTELVVDGGTNEVDLKHFRIGRFKRIWKVKVLVHKNYSAKEIEGNVRGTKESILNS >RHN60816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30604427:30604831:1 gene:gene23201 transcript:rna23201 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRMEMVHVFGNVFFRYILLFVISLQDKKYLQFCQNGLLCVQASNGSKSLSTHEKKAAHGFGKALGNKSTLSPITKIRKYNKNGITLCTSFNITARIYLYGLSITNTTRDIYKGKRKRHQLQVEIDLIKQKPT >RHN40763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20522286:20522881:-1 gene:gene46964 transcript:rna46964 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAKFLPLFRSGSALSPSGPVRFVLHSSGSCCSSQIRFVLFLLLLRFCLVLIRFAWN >RHN61445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35534305:35534577:1 gene:gene23914 transcript:rna23914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPVIIRRASNQASSKGVDVPRGYLAVYVGEEMKRFVIPMSYLNQPSFQELLNQAEEQFEYVHPMGGLTIPCREDVFLDITSRLCRF >RHN80884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41555286:41555496:1 gene:gene4860 transcript:rna4860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol 3-alpha-galactosyltransferase MQREDIKMLVKKWWDVYEDESLDYKQPVNANHLASAILEASDLKVVPAPSAA >RHN56463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31039503:31039805:-1 gene:gene31869 transcript:rna31869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T2 MGSLYFKFILVICIYTTTNALIYDDIIVSHQWSPAVCRESICLRPPTAAFTLHDIWPGAKKGVVSQSNCWRLPQSSPFDKNVVSLFEPFLKEGKGRILKF >RHN79371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28139895:28144613:-1 gene:gene3153 transcript:rna3153 gene_biotype:protein_coding transcript_biotype:protein_coding MESENEVVMEDEKHVIGETTKVEHIHKEVEDNGNAEIEPKNEVSQPKVEIDGNNSAATKNSKSSKEPGVKSGVAPKNTKSTTKVKPNLKGTTSAQTPRQQNLSKSLTFPSKSARLDAMKKSTDGILKKTENKHIQAVTSTLNSRKLTNSKEAKTNNGNSKQRTSLTSINSFKSSEFGRSTPLIAVAKSQTSEASIPVDQNSNPAKSEKPNREDDDVNSTTSSLTPGKKSNGSGFSFRLEERAEKRREFFSKLEEKIQEKEAEISNLQEKSKESQEAEIKKLRKRMTFKAAPMPSFYKEPPPKVELKKIPTTRPKSPKLGRNKGSIANNNSEDKSSSIPHEKQQKNDSTKAKVKGYKDVSSKKPIKKTQAKVQSQEITVTKTEMDSVVSSTNKVSVIDQDAKTSIVEGSEECKDPIVNISEYQNGMEQESQNSVLVLNASTPEIVPYEVAVGV >RHN70606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52691919:52694104:1 gene:gene19207 transcript:rna19207 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSYREKKSCGPVLRSLSPSGRFCSYTQSRTPFSTPSSAFASSTNSTFSSSSAFYIETNDHYNHHHHNYHHNSHHRSASPTRVNLYTTNSRSSGVRFSIDSRSISPNRSISNHVITTKNRPIPAQKKTCMCSPTNHPGSFRCSLHKNNGNNSSNADSYPSTRLNMRRSAMKNSLVRIGGVEGEWVKRALTALIRPSSHQQRRRSAFEPRPSRLSVMSKAEA >RHN78683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17459521:17462461:1 gene:gene2320 transcript:rna2320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MATAAGMASLFSSSINTVKCLRSKNSSLDTSSNRMFVSLISQSSVEPLSIGTTVSHKLWFPRISVAVAQEEAVVAVEDEEKVVEYGSAELIEVLYDRDTGKSRGFAFVTMSCVEDCNAVIQNLDGKEFMGRTLRVNFSDKPKPKEPLYPETEYKLFVGNLAWTVTSESLTQAFQEHGTVVGARVLFDGETGKSRGYGFVSYATKSEMDTALAIMDNVELEGRTLRVSLAQGKRS >RHN79882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33151108:33151605:1 gene:gene3733 transcript:rna3733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MRDERVENIDLANCLILLSHPKETKPKKHFSPVEFECTTCNRKFSSFQALGGHRASHKRPKLDTLKLKLHAQTLGLLNNPKMHGCYICGKEFSLGQALGGHMRRHKISVNEGFSSVKKNKVVGKIPTLKRLNSKRVMCLDLNLTPLQNDLKLLFGNMAPKVDSFF >RHN67709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29544089:29550940:-1 gene:gene15927 transcript:rna15927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MRQHFKVATEKGKDIQSNAEFWEKQVDKLIQENIETKQRYYFGFCPDCIWRYERGEELATKTKVIKSLMEKGEKFENIEITRCLPGVERHSSQYFIPFKSRELKSKELLDALKDDNNYMVGLHGMGGAGKTTLAKEVGKQLRTLEHFKYVIDTTVSFNLEIKKIQNDIAGSLGLEWGEINESDRPKKLWSRLTDGDKILVILDDVWKNLNFDDIGLPSSDNHKGCKVLVTTRELRVCEQMECRKTIQLELLTEEEALIMFEMYADLSHISSKSILGKVREITTLCKRLPIAIAIIARSLKGKKSGEEWDVALNCLKKPVSMGNVEEDLVDVFKCLKFSYDYLNDEKAKGLFLLCSAFPEDKEISVELLTRLGIGVNLFGEGYEKYNDARSQAIVAKNKLLHSCLLLETKKGDVKMHDLVREVAHWIAKEKILVVNLFEKNQKSLVERSKNIKYLFFQGNLMDLCSSWFDGSKLEILIANTSMDDSKDVPTSLFENMAGLRILKLSNTPLSLPKSIQLLINIRSLSVECVILET >RHN39363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6445316:6449145:-1 gene:gene45378 transcript:rna45378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 9S-lipoxygenase MTSLNMVTKLKGTVVLMKKNALNYKVIGGNTVVENEGDTVRPTLLDTSVGFKLISASKADATGKGKVGKETFMDGFVTSIPNLGDIQNAFSIHFEWDPNHMGIPGAFYVKNFTQDEIFLVSLTLEDVESHETTNFICNSWIYNAEKYQTERIFFANKAYLPSQAPQPLVYYIKEELKTLRGDGTGERKVWDRIYDYDVYNDLGQPDESPCLYRPVLGGSAALPYPRRGRTGRKRLEKYPETESRSGYFYIPRDELIIPQKSSDFVVNTLKLISQHETPQLRSLVRLQNDQPEFNSFDEVLSLFAGEHPDFPTPLVIREDRTAWMTDEEFAREIIAGVNPNVIKKVEDTTTINKKHLEPYMQDGVNVEQTIKALRLYVVDYQDAILPYLRKINATGAKAYASTTLLSLQDDGTLKPISIELHVPHPDGDGIVTTSYTPATEGVDASIWRLAKAYAVVNDACYHQLISHWLHTHASVEPFIIATNRHLSVVHPIHKLLLPHYRNTMNINANARDVLIKAGGIIESTYLFGSYSMELSSDVYKDWVFPDQALPNDLIKRGVAVKDPKFPHGVRLLIEDYPYATDGLEIWAAIKSWVEEYVNFYYKLDAAVADDSELQAFWKELVEVGHGDLKDATWWFKMKTRAELIETCTTLIWMASALHAAVNFGQYPYGGYIVNRPTKSRRFMPEKGTPEYDELAQDFEKAYLRTITPLMETRVNMSVMEQLSSHVSDEQYIGHRIEGDLWTYDSEPVEAFKKFGKKLAEIEQKLIERNNDESLRNRNGPVKMPYTVLYPSSEPGLTFRGIPNSVSI >RHN57562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40048257:40048798:1 gene:gene33129 transcript:rna33129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MHTNRLNREEHVYTAFQYFDKDNNGYITIEELEQALHEYNMHDGRYIKEIISEVDADNDGRINYDEFVAMMRKGNPEAHTKKRHDSTLY >RHN67782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30235447:30236547:-1 gene:gene16014 transcript:rna16014 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTMVAITGLTTIAVHYIYDPSRRYKTYMRKTVKDSQKDFDLKILVCIQDEANVHPMINLLQVTNPTNTTPLSVFVLHLMELSGRAASILTKNESTKFKSRSFKENSSQHISNVFNQFLLHNQGCVTLQLFTAIAPYASMHDDICYMAMDTKSNILIVPFHKQWSMNGNIEASNGSVRLVNQKLLNKAPCSTGVLIDRSQMSGKLLVIHEKCFCEIAMLFLGGADDQEAMAYAMRIAEHPNVKLTVIWVRYMMQQKQFNIKNPYIDLMEHVRYTSNLKEKVFFKEEIVEDGAGTTQVIRRMEGRFSLVIVGRHHIANSPCIMGLTEWCELPELGPVGNLLATSDFTFSVLVVQQQPYNNEFWYCR >RHN55925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25594205:25594901:1 gene:gene31219 transcript:rna31219 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSARNQEISNVEDTRKQMKPLEEKSEQDSELVASCDANISRWQQEIKELQSKIEAEEKKKAEIQDATLSSLQSQLEEKAKEAIQHLDNATILEADVEEIVATETLINTRMKDAKIVFD >RHN53521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2236410:2239371:1 gene:gene28436 transcript:rna28436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MSHVTSDSGSKNNKRKLSNIMDDPNNIVADNINKSKEIERRYDDYRRKRKRPCKVAVVPTMESTTGETATASHGFISVIGRRRVMEDAIKVIPRFVAAEQQPCGYDFFAVYDGHGGMTVANACRDRLHLLLAEEVKEGRRNHGLDWCEAMCSCFMKMDSEIGVGGSCGDEVDGNTVGSTAAVVVVGKEEIVVANCGDSRAVLCSGGVAVPLSRDHKPDLPDERERIEAAGGRVIDWNGNRVLGVLATSRSIGDHCMKPFVISQPEINVYGRTKSDEFVVVASDGLWDVVSNNFVCEVVRSCLQGHMRRHNMKEDHNHTIKSYAAEAAAILAELAMAKGSKDNISVIVIQLNTNM >RHN78437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15082402:15084804:-1 gene:gene1997 transcript:rna1997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MAMPEVPKYTHFVLILLLYTICQESLASFNPIDNYLVACGSSHNITFHGRTFTPDIEFEHSNLQIIANKSLSVTSKLNNTLSPLYNSARLFFETSSYTFKIQQQGRHWIRLYFHPLPDSNPNTTFATITVTADSISINITSDKLSLTFVPQNNSLGFINAIEVISIPDDLFPDQIVVTLNPSGFFEHFSKSIVETVSRVSIGGASLSDNLGRTWENDGYYFYHPINSSTSSDLVVSTNPATIKYSIFGPPLVYATARTFKIASQSQRSDLSWHFIVDPNFMYFVRVHFCDIISNSLNNNMAFNLFINEAFAVRNLTLSSKAKGHAVPYYYDFISHASGNTMKVSVSINHDAMNETLNAIMNGVEILKISNNVSGLNEFSSFQSLPSSVPHSPKISLQRSRKLGIWLIIILTGCSVCVLAFLVFGGLSFYYLKACRRKKKSVTNFELPRHFTLLEMQQATNCFDAELIIGKGGFGKVYKGTLENGEVVAIKVANPESRQGLDEFHNEIELLSGLSHSNLVSLVGCCNEDSELILVYNYMANGSLSSHLYGRDFVPLSWKQRLMICLGAAKGLLYLHTGAKESIIHRDIKTTNILLDENLVPKVADFGISKKGPILDKSHVTTNVKGSFGYVDPEYFRTKFLTKKSDVFSFGVVLIEVICGKPALDDALPTQQMNLAMWALSCDKKGTFHEMMDPYLIGKVNMDSLNKVLELAWKCLEERRENRPPMGYVLCQLEEALHLELASHVSNENEDSSIHSSVGSGFTDEIEDVVNHSLLIQQLIC >RHN44874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9332758:9333080:1 gene:gene39041 transcript:rna39041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloramphenicol acetyltransferase-like domain-containing protein MNKLIASHADEKLKNHYESWLRNPSFVRLAKNMPVAVRSGYKINGLITVFVGIEEGIIDLQVCLPREILEGMGNDPNFMDVVSN >RHN78298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13804436:13804768:1 gene:gene1835 transcript:rna1835 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIREVGKQNERNRTCMVEVGVTKTMVMVIKKKFKEGNTNGLEEALKILRLLWNEAMINNRVKLLGRKNMDFLNLLTWILKIYIDNNFEIVNELTPLLKLTIDVVIQTF >RHN48205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45773027:45777647:1 gene:gene42895 transcript:rna42895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Root UVB sensitive family MEKNEENPLFWYETSNSVSCRHQFQPDGQLSVTLVDDFRPLYQKLACSFMNKFFPSGYPYSVNEGYLRYTQFRAVQHVTSAALSVLSTQSLLIAAGLRPTPAQATAVSWILKDGMQHVGKLICSNWGARMDSDPKRWRLLADVLYDVGMGLEVLSPLCPHLFLEMAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAFSTLCNVVGIGVGIRLASTVCSSMQGKLVVCPLLSIIHIYCVSEEMRATPINTLNPRRTAMVVTDFLKAGIVSSPADLRYKEDLLFPVRVKEDAGNVRVGKALHEVIKPSKLTELKQLFPKEKFLLNRGGKCIDMVLKQDASGEDALRGWLVAAFAAQIESSSHELSASVLQEAYEKMNGVFPVFLKELQNKGWHTDRFLDGTGSRFAF >RHN73744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19538637:19539570:-1 gene:gene9604 transcript:rna9604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGNTNSSHNLFITVTVFAVTVTVFFILYFILRRRRFSPSSSSIFRVSPVTTTSSTSSSVVDSLPIFTFSSIKRRSSTVVSGDCAVCLSKFEQNDLLRLLPLCCHAFHTECIDAWLSSNQTCPLCRSSVFASESEIMKIFRSSSTSSGNNSFRLEIGNISNRREATATDNNNNNVAGETDQRTYSVGAFEYFVDEEAEIPVGNTNRRIFSGEKEDAVVLAVEVETPVASQASLIGEGNWLKDYVDGLTRVMSFRGSGRFFTGSGRRNDVVAGVGDFDVEANGNGFGEEISEMFRWISGV >RHN65935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6665004:6666055:1 gene:gene13833 transcript:rna13833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MALSRSFFFLLSLTLISTQITTTNGVLSQQSNIILPSEQPRTEKLTHIHFFYHDIRDNKNPTIVQIIDTPKNVPNGFGSTFMMDDAMTEGPELSSNHIGRAQGLFGLSSLQDLGMFMLTNFVFKEGEYGGSSLSMLGRNPISEQNREMPIVGGTGFFRFARGFAIANSVDSISTPEHFVVEYNITVSHP >RHN48886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50926791:50929402:1 gene:gene43651 transcript:rna43651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative U1 small nuclear ribonucleoprotein C MPRYYCDYCDTYLTHDSPSVRKQHNSGYKHKANVRQYYLQFEEQQTQSLIDQRIKEHLGQAAAFQQVGVAFNPMMAQRPSMPILPPPRLPIPGSVPGFGGQQLFPGMRPMMMPRPGPIAPGGYYSAPGMPPTLPPPGAPLVPGQTNTLPRPPTLPPPPMVPGNTAAPASNGAPPMVSSATYPANSSAPSTGGYESYNANAQPPSTGGYESYNANTQPPEGNN >RHN44873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9329801:9330341:1 gene:gene39040 transcript:rna39040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucoside 6''-O-acyltransferase MLSLTESPFQRPIRFPFTLEQQKDHSDGLSFSSLNEEKHCLSNRLFHFTKEKILQLKSKINEEIGTTKISSLQALLTHIWSYVICFKQFDPQDEVFNRVMIGVGPRLVPPLPEYYFGNAIVSCMVKMKVGELLEEGGLSRHFLEIKAI >RHN54287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8326878:8331278:-1 gene:gene29297 transcript:rna29297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MEQSKPETPATTTETVPATVPATVTVTAAETNQVEVPTKAVSDVNMTERDGGCCTAPKVVLAVIAENSEVMKKKRGRPAKGTPKVAPPPKQIKKEDEDVCFICFDGGSLVLCDRRGCPKAYHPACVKRDEAFFRSKAKWNCGWHICSSCQKASHYMCYTCTYSLCKGCIKNADFVSVRGNKGLCGICKKTIMLIENSAHGNKEMCEVDFDDKSSWEYLFKVYWTLLKENLSLTFDELLQAKNPLSAAAPMVQTSHKLYHLKNEKGSGFENSCVDIESNNLKNKKPKGPSGGDTGMSLPECKRWASKELLEFVSHMKNGDTSILSQFDVQNLLLEYVKKNNLRDPQQKSLIVCDSRLVNLFGKARLGYIEMLMLLEPHFLIKENTPAENNFGAGISDAVANGKEAIDSNNRQSVLVNDKRCTSKKADMPVPQNNQDAYASINAHNINLIYLRRSLMESLTDDSESIHEKVVGSFVRIRISSGDQKQDMYRLVQVVGTSKVAEPYKIGTRTTDIKLEILNLNRKEVISIDEISNQEFSEDECKRLRQSIKYGLSKRLTVGEILNKALTFQEIRVNDLLEAEKLRLNNLRDRASEKGHRKEYPFYHLLLS >RHN62037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40226485:40228927:-1 gene:gene24566 transcript:rna24566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucose 6-dehydrogenase MVKICCIGAGYVGGPTMAVIALKCPKIAVTVVDISAPRINGWNSDHLPIYEPGLEAVVKECRGRNLFFSTEVEKHVAEADIVFVSVNTPTKTHGLGAGKAADLTYWESSARMIADVSKSDKIVVEKSTVPVRTAEAIERILTHNRKKINFTILSNPEFLAEGTAIKDLFSPDRVLIGGRETPEGQKAIETLKDIYANWVPKEKIICTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADISEVSHSIGTDSRIGPKFLNASVGFGGSCFQKDIMNLVYICECNGLHVVANYWKQVIKVNDYQKSRFVNRVVASMFNTVAAKKIAVLGFSFKKDTGDTREAPAIDVCKGLLGDKARLSIYDPQVTEDQIIKDLSMKKFDKEQPAHLQPPSPTSIKQVAVVWDAYTAVKDAHGVCIMTEWDEFKKLDYQKIYDNMQKPAFLFDGRNVMDVGKLRKIGFIVYSIGKPLDPWLKDMPAVA >RHN55460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18579272:18584476:1 gene:gene30642 transcript:rna30642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LysM family MDVNFMANVSDCLDKYRVFGFDELVEATDGFDERFLIQGSVYKGEIDGQVYAIKKMKWNAYEELKILQKVNHGNLVKLEGFCIEPEESNCYLVYEYVENGSLYSWLHEDKNEKLNWVTRLRIAVDIANGLLYIHEHTRPKVVHKDIKSSNILLDSNMRAKIANFGLAKSGINAITMHIVGTQGYISPEYLADGIVSTKMDVFSFGIVLLELISGKEVIDEEGNVLWASAIKTFEVKNEQEKARRLKEWLDRTMLKETCSMESLMGVLHVAIACLNRDPSKRPSIIDIVYSLSKCEEAGFELSDDGFGSERLVAR >RHN56103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27808827:27810565:-1 gene:gene31441 transcript:rna31441 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSISSSGDEEYDSRNHQTLLPSNFFNQQPTLQFGSISHNPQSSLQSFNATSTPISLFDLSPNYLQNLSHSQQNTNPFPNLHPQNSQHGVSSSSLSSQQHINQCLLTTPHQGQAQAFGVHDDNNNARASLSSSLPATTTTTTNNAARNSKKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPAPPFSGSSYSRRLDLLTSSSSLRSNNSSHFDTSSSFYPLRPSPQKLHHHNHPNPLLLSSSSSHNMVDANVNNNYELPSDLGLPYTHHHQHNFMISMQNQQPIHTFNNPSSSQQFHPFSNIGGLGAKSQQQQGSLSVNSLEDLGVNQGQGVNPHLGVNHGHDDDYGCGKVIGSSSSGGGGGGGVGSNCKINFSGSASTTLNHDKTMENNNDNSSNNNNRGEGGGVDSWICSSD >RHN70735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53624170:53627256:-1 gene:gene19348 transcript:rna19348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MMEFARSEKFSNCVVFILFFNALLLCCVSGIGVNWGTQCTHLLSPTTVVKMLKDNGIQKVKLFDADPNILNALKKTGIQVMVGIPNDMLYTLANSLPAAEKWVSKNVSAHVSSGGVDIRYVAVGNEPFLSTYNGTFEATTLPALVNIQAALTKAGLSSRVKVTVPLNADVYESSSEKPSDGDFRSNINNLMLQIVKFLSDNGAPFTVNIYPFISLYLDPSFPSEYAFFNGFQSAIVDNGKTYDNVLDANHDTLVWALQKHGYGNLPIIVGEIGWPTDGDKFANLQNAQRFNQGFMSKYVSGKGTPMRPSPIDAYLFSFIDEDNKSIQPGNFERHWGLFYLDGQPKYQLNLGTGRANGLVGASGVDHLAKKWCVLKPSANLNSDQLAPSVSYACQNADCTSLGYGTSCSNLDVKGNISYAFNSYYQVNDQMDSACKFPGLSMVTDKDPSVGTCKFRIMIQTDSAELNGIVGSTTTVFLALVFSLLCNVKF >RHN66843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19947467:19950989:1 gene:gene14945 transcript:rna14945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b561/ferric reductase transmembrane MKDMMGIQQTKFISFLFQACLVLFLFPLVSSSQEHQEILGANSTNNDNHIKLSPRLQFEITLHGFLLWASMGFLMPIGILAIRLSNREENPRWLRILFYVHTIFQVIAVLLATAGAIMSIKNFNNLFNNNHQRLGVALYGVIWLQVLVGIFRPQRGSKRRSVWFFAHWILGTAVTFLGVLNVYIGLAAYHEKTSKGIRIWNILFTIQISLIVFFYLFQEKWVYIQKQRVNLSNEQSLPNEKEMVLKAGTC >RHN50841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11038820:11045242:1 gene:gene35179 transcript:rna35179 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSLDESFKKSLEEDKKKEVIRIERESVIPILKPKLFIALANLIKHSSDRAEFLKLCKRIEYTIRAWYLLQFEDMMQLYALFDPVSGAHKLEQQGLTCKEIDVLEQNFLTYLFEVMKKSNFKIATDDEINVALSGQYLLNLPITVNESKVDNVLLKKYFEAHPFNNLPDFYDKYIIFRRGIGIDQTTDYFIMEKVDMLIARFWSYLLRTLGLEKLISKRSKRHGKRLDPKKDDETTPEAYQDDVYERIRLENMPLSSGNLLSKTLIQEPTFDRIIVVYRRASSDSKPERGIFVKHFRNIPMADMEIVLPEKKSPGLTPMDWVKFLGSAIVGLVAVFSSLQAAVTADLRVIGAVLSTVVGYCVKTYFTFQQNLAAYQNMITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVMISFFILMEQGKATRQELDHGCEELIREEFNETCNFDVDDAVHKLEKLGIVTKDPIGRYQCVGLKRANEIIGATTEELVIRANQGNITP >RHN41449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30094449:30098016:-1 gene:gene47772 transcript:rna47772 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLNWLGFCQSAFRAGKTESTVIDCLGYTWKLFTDFCHEQLSCVFSGEWQALCSARKLIEGAKIKLGVTGAANNRVVYLCAPPMLVLRTKMPPSASIGENGPSYQFKQYFWKN >RHN55708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21441947:21445495:-1 gene:gene30934 transcript:rna30934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thebaine 6-O-demethylase MDGSSVVLAPSVQELEKQGITKVPKQYLQPNQDSILVSNTTSLPQLPVIDLSKLLCEDAIELENLDHACKDWGFFQLINHGVNPLLVENIKIGVQKFFNLPIEEKKKFWQTTEEMQGFGQVYVALEEEKLRWGDMFFIKTFPLHTRHPHLIPCIPQPFRDNLESYSLEVNKLCVTLIEFMSKALKIKPNELLDIFEEGSQAMRMNYYPPCPQPDQVIGLNPHSDAGTLTILLQVNEMEGLQIKKDGIWIPIRPLSDAFVVNVGDILEVQTNGIYRSIEHRATVNSEKERISVAAFHAPHMGGYIGPTPSLVTPQSPALFKTMPTADFLNGYIASRIKGKSYLDVVRIKNEIHE >RHN57333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38162634:38163254:1 gene:gene32859 transcript:rna32859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, protein accelerated cell death 6 MQNTVLHIAASYGKDDIVNLVIEHAPKLLFTFNKNNDSPLHVAARGGHISTVKTLLASYTNIERRDIKMAWLEYSTNSRNDLEDYDEVLNMEDLLCFVNKENAQGNTMLHEAMFCGEIKRIGGDKIFKVCELYKTIDRFGNSLSDCCYGFALDIANLARKSVIYLAVENKDKDAVKLILENCPKNDAKPEGLSPVVEATPSLSKYK >RHN51854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26750887:26758222:-1 gene:gene36399 transcript:rna36399 gene_biotype:protein_coding transcript_biotype:protein_coding MSRISRRESEVTMQPRKDLCSMKSLLLINFCCAHRGRSRATAATIEA >RHN65526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2909223:2909693:1 gene:gene13373 transcript:rna13373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MDDFCKHVLEALNLIAKNGHLPIIVGGSNSYLKKLIVVPTIAFHSKYDCCFIWVDVSLPILCPYLDKRVDEMVESGMVDEIRDLFIPGADYTKGIRRTIGVLSFILILRLKRKNVLMMLKKKRYKRKLLQKPYKTFSSWLKISSRRSEIWLISLDQ >RHN59742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12736567:12737031:-1 gene:gene21852 transcript:rna21852 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S11 MDISIYFRLIFFKIIKMAKSIPKIGSRKNGRIGSRKHPRKIPKGVIYVQASFNNTIVTVTDVRGRVISWSSAGSCGFKGTRRGTPFAAQTAAANAIRTVVDQGMQRAVVIIKGPGLGRDAALRAIARSGILLRFIRDVTPIPHNGCRAPKKRRV >RHN55405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18002088:18003978:-1 gene:gene30579 transcript:rna30579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MERCKGWDGAHGNGYYNNMKFKPLAEIVAGKWRADLLVRYVHEIGYCQVMAGTGKKLQSSDISLNCTLWEDYAAKFIKFNNENKETGPIIVMLKYGKINEEGWCLIFVISYCVTVAEVEKVNSTKFGWYYFACFKCGKIAKGDNPPYTCESGHNTETEIVRYKLDLNVSYENTKGTFVMWDREATQLLGISAAQLRTNMIQAGITNRLDYPMLLDGIGEKTYVFKVKWQPKWKTTSVVCYRDADALVNLVKAKFPNAKVIPLLNESVGVDKPNDNTSLTEEVTMSAVSLI >RHN53708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3502209:3503041:-1 gene:gene28645 transcript:rna28645 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKDARRVIQEGKTEGWGQLVELPENKRKEGIGFLNSKPGMFDPTRGSFHSAGFIHDSSETNAILDDAPGGVTPVFVTPGGACCNWIAVDIPSVTPRSK >RHN48615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48837732:48838167:-1 gene:gene43354 transcript:rna43354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FCP1 domain, HAD-like domain-containing protein MQFCVFIFECILIIDYYFLNCVKGYKRPFTEEFMKFCLERFEVGLWSSRSERSIDGALECVMGDSKNKLLFVWLHNIYLKSIMSFHAKKCF >RHN55800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23925444:23926491:-1 gene:gene31065 transcript:rna31065 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGEIWWESGGNLAVLGLGSHRPNHVGRGFVGKNLHEARAKSRREGIRRQKSPARARVVEIFVVGQISLGARARAKPRRGAEIPRARLRTRIIKVIPVWIFFSRPGLDLPLPMPSQGKVAFCNSGAKRGFAFSFLRLMTLKIFAINESRVQICQNFSLEVLTMSQTCLNFWGTRFVPWMGAHLKKKLRLCAFRSKNQNKSKRCRFSTKLCRSSRCIFIEVPAKNQLKVRFLGRCFDSSVS >RHN71654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1696021:1698897:1 gene:gene7278 transcript:rna7278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA glycosylase, helix-turn-helix, base-excision DNA repair MQKRVKRKRYRPKVVSQFPKVAKKFSKSSNTPKPVTPKQRKSYVRKSAKTCECQRPLFVDDGNSISLPFLLNFNNTKIEKSGFESSTITNVSAIEYNSLQSYQKMTSLSSLCLVENRRIGGNFPIMCKRKRVKRERINLVKLLNPFAKGSRSKTFIRKRKCWKDFYVEGNSTTISRKMKTIIKKIISSNKKIHRKANKRNVRKKSGELVLYERPLLKVNVKFDEETLRVWNLLVAENKHDEKDEHKRRYWEEIRKAYHEAVESFLTRVHNVQGDRRFLPWKGSVLDSIGGVFLTQNVSDHLSSSAFMSLAARFPVKSVSCEQSNNMIFSDPKSDKKVEEMEAQKANESSKVVNKETQNSSYLIERKSNSSSNKEETHHDTKKSKKQEEKMMILKKKRQKWEALRKIHSRSDRHIDHVDSIDWEAVRNAKVGEVAEAIKMRGQHNIIAKKIQLALNKFLEHHGTTDLEWLKYIPPNEAKEYLLNIFGLGLKSVECLRLLTLQHISFPVDVNVGRIVVRLGWVPLQPLPESIQIHNLEQFPDPIKIQQYLWPRLCKLDHHTL >RHN43256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44382397:44382744:1 gene:gene49801 transcript:rna49801 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQAVFTFLIVLMTIFSFGFGICKYSCILYISQYLRVSTQHTTSLYVLIIGADDEASKTEKDCATVIEQTNCVNIQCGNDCAYFFPPETHGSCITPNHCRCFYKSFYCQPDENV >RHN67705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29529878:29530384:-1 gene:gene15923 transcript:rna15923 gene_biotype:protein_coding transcript_biotype:protein_coding MACSWWDDYEQGYRSWKSVCSDASDDPEVGDYCNSDSGFDFVYDNDSDNDNDSEDYEYDYNVDDGGEVVGYVYEYDTEDDDYDVEEDDDEENDDYVSDYDVDNSGNGGVGYVYEYNNNDGNDYGDDYDDADYYDNYDYDYGYEYDYCNSEYDYGFDYDDYEGCIYDFI >RHN80350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37364187:37366226:1 gene:gene4265 transcript:rna4265 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aconitate hydratase MATLTSEHVFKGILTGLPKPGGGDEYGKFFSLPLLNDSRIERLPYSIRILVESAIRNCDNFKVTQNDVENIIDWENTSQNQTEIPFMPARVLLQDATGVPALVDLASMRDAMKNLGGDPNKISPLVPVELVIDHSVQVDVARSENAVQANVEFEFQRNKERFGFLKWGSSAFDNTLVVPPGSGIVHQVNLEYLGRVVFNNDGILYPDSVIGTDSHTPMIDGLGIAGWGVGGIEAEAAMLGQPMSMILPGVVGFKLIGKLLDGVTATDLVLTVTQMLRKHGVVGKFIEF >RHN62944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46937532:46938870:1 gene:gene25584 transcript:rna25584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MILGSQPHLVVLSSLRFLSSSQSKQQNLHRNQNNNSQMDWVRGKTVGRGSFATVNLAIPKANSYSTPTAVKTSEVSTSSSLKNEKHVLHQLGSCQRIIPCFGDDYTFENGKEYYNLFLEYASAGTLSDQVKLNGGRIPEQHIRRYTRSIVEGLDHIHRNGFVHCDIKLQNILVFNDGEIKIADFGLAKKTGEKQSFECRGTPLFMSPESVNNGEHESPADIWALGCAVVEMVTGKPAWNLEKDSNMWSLLLQIGAGEESPLIPEELSKEGKDFVEKCFVKDRRKRWTAEMLLNHPFVEEVKNVDESSPRNHFDFNDWVSSVNDSAPNSPELEELSPWDVDSSIDSAVDRLGKLLTVEKPVSWSESDSWISVR >RHN57638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40687431:40688331:1 gene:gene33215 transcript:rna33215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MEKVKVGVIIAMKGHPGTGKSTLAKSIASSLKIPLIDKDDIKDCITTPLIQTTPPSLLNELSYSAIFQIASTQLRVGLSLIIDSPLSRRSHLDRLRSLAGEYGARVVIIECRPGDKGLWRRRVEERGGGGGHKPATWEELEKIVEGYGGCDEYDVGGVPKLVMDTTEDVDLEKLCSHALEFIEDHAVKSL >RHN57866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42242643:42249204:-1 gene:gene33455 transcript:rna33455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MSDLDRQIEQLKRCEPLKESEVKSLCLKAIEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPAISTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVTGFNHTNNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRCGNVAAILELDGNLNKQFRVFDAAPQESRGAPAKKPAPDYFL >RHN50916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11785410:11786505:1 gene:gene35269 transcript:rna35269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAKWRVFGSVHNSSICFVDAKRLIGRKFKFCDPIIQSDMKLWPFKVIGDLNDKHMTIVNYNDEEKHFAAEEISSMVLAKMAKIAEAYLGSTVKDVVITVPAYFNDSQRQSIRDAVAIAYGLNMKTLNHGCRHVLIFDLGGGTLDVSILTFENGAINVKAICNCSCSMQKRILYSNTTTTIEIESLNQGIDFYSSISRAKFEELNKNHFDKCMEIVEKCIIDSGMDKSSIHDVVLVGGSSRIVKVQQMVSDFFGGKLLCKSINVDEAVAYGAGVHASILSGEFSEKVQDLLLSEVISLSLGLETKEVCIQHKECHK >RHN73071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13194826:13195457:1 gene:gene8849 transcript:rna8849 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQIHLTTMATGTKISPVPKSMPVQIPVTVTNTAPPIVMVAALLITRVILSMVMAMEGLLMVTLMPPQRTTTISYNICIIILFICYMQYDLVCFTKNNEIGAICSSKSVFMV >RHN53940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5534703:5540280:1 gene:gene28912 transcript:rna28912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MKFMKLGSKPDSFQNDGDNVRYVATELASDIVVNVGDVKFYLHKFPLLSKSLRLQKLVSNTDEENDEIHIHDIPGGPVAFEICAKFCYGMVVTLNAYNVVAARCAAEYLEMYETIEKGNLIYKIEVFLNSSIFRSWKDSIIVLQTTKSLLPWSEELKIVSHCIESIATKASMDPSKVEWSYTYNRKKLPSENGNDAHWNGVRKQLMVPKDWWVEDLCELQLDLYKRVLSTITTNGNVSGAVVGEALSAYTSRRLPGFNKGVIQSGDTTKNRLLLETIVGLLPADMGSGSCAFSLKLLKVAIQLDCEVSVRSELMRRIGQRLDEATVADLLICASAGETTHDVETVQKLVEVFVAHEHQSLMEDELQEIRSPKMVSNTSSKIKVAKLVDSYLAEIARDPNLPLLSFVNIADLVSSFPRPSHDGLYRAIDMYLKEHPGISKSERKRICRLMDCRKLSAEACMHAVQNERLPLRVVVQVLFFEQMRASTTSSSGGTSTPDLPGSIRALHPGGSHGSSRSTTTNTEEEWDAVATAEDIKVLKGELAALKLSSGGSQSSDRNSNNNDGGGIGNAEKVAANKMKGFLMSKKLFSKLWSSKEKNGEITSSDTSESPASTVVEETKSTPSRSRRHSVS >RHN59792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13219678:13223821:1 gene:gene21944 transcript:rna21944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MLETFMKKNPPTFKGRYDPDGAQTWLKEIERIFRVMQCTEDQKVRFGTHQLAEEADDWWVGLLPTLGQEGAVVTWAVFRGEFLRRYFPEDVRGKKEIEFLELKQGNMSVTEYAAKFVELAKFYPHYTAENVEFSRCIKFENGLRIYEEDTKAHYKIVNEGKGKGQQSRPKPYSAPADKGKQKMVDDRRPKKKDATEIVCFNCGGKGHKSNVCPEEIKKCVRCGKKGHIVAECKRTDIMCFNCNGKGHISSQCTQPKRAPTTGRVFVLTGTQTENEDRLIRGTCYINNTPLVAIIDTGATHCFIAFDCVSALGLDLSDMNGEMVVETPAKGSVTTSLVCLKCPLSMFGRDFEMDLVCLPLSGMDVILGMNWLEYNHVFINCFSKSVHFSSLEEESGAEFLSTKQLKQLGRDVEFSIDLVPGTKPVSMEPYRMSASELSELKKQLEDLLEKKFVRPSVSPWGAPVLLVKKKDGSMRLCIDYRQLNKVTIKNRYPLPRIDDLMDQLVGTRVFSKIDLRSGYHQIKVKDEDMQKTAFRTRYGHYEYKVMPFGVTNAPGVFMEYMNRIFHAFLDRFVVVFIDDIFIYSKTEEEHAEHLKIVLQVLKEKKLYAKLSKCEFWLKEVSFLGHVISDDGIAVDPSKVEAVSQWETPKSVTEIRSFLGLAGYYRRFIEGFSKLALPLTQLTCKGKTFVWDVHCENSFGELKKRLTTAPVLILPKSDEPFVVYCDASKLGLGGVLMQEGKVVAYASRQLRVHEKNYPTHDLELAAVVFVLKIWRHYLYGSRFEVFSDHKSLKYFFDQKELNMRQRRWLELLKDYDFGLNYHPGKANVVADALSRKTLHMSAMMKVDVKFVDLLVARDRTEDNDFKIDDQGVLRFRGRICIPDNEEIKKMILEESHRSSLSIHPGATKMYHDLKKIFWWSGLKRDVAQFVYSCLVCQKSKVEHQKPAGMMVPLDVPEWKWDSISMDFVTSLPNTPRGNDAIWVIVDRLTKSAHFLPINISFPVAQLAEIYIKEIVKLHGVPSSIVSDRGPRFTSRFWKSLQEALGSKLRLSSAYHPQTDGQAERTIQSLEDLCS >RHN42609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39667197:39672277:-1 gene:gene49066 transcript:rna49066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pheophorbide a oxygenase MEAFSVSSLHIPIALEPQIPLKKSMFFNSQVHSTLPLIRGNASKFKLFTALSPSPLTESSSSNLEVDDEPEVETGSEKFDWYSQWYPLMPICDLDKRAPHAKKVMGIDVVIWWDRNESAWQVFDDACPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGDCKFIPQAPPEGPPIHTSKKACVAAYPSTVQNDILWFWPNSDPQYKDIITRKTPPFIPEIDDPSFTSLMGNRDIAYGYEVLIENLMDPSHVPYAHYGLMKTPQPKVKADREGGRPLELSIEELDVNGFTANQGWSKSKFMPPSIFYAYTDPNKPASSEETKKSSVQKKFALIFICIPVSPGNSRLIWCFPRNFGLWIDKIVPRWIFHVGQNLILDSDLYLLHVEEKKIMDVGQANWHKACFVPTKADALVIGFRKWLKKYAGGEVEWRGKYNGALPPTPPREQLLDRYWSHTVNCKSCNFAYKSLNVVEVMLQIISVASIGIVATMKQGVVSATTRNSMVLLAVLSFALSRLLAHFIYKNFRYHDYNHAFR >RHN80688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40099844:40103584:1 gene:gene4645 transcript:rna4645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanine deaminase MRVRLSTLKSRIVTPKYFLVIPDVEDRDSKFIIKSVKEAYEAVESGDGYPYGALIVRNDEVIVSCHNMFLRNKEPTAHAEIIAIREACKKLDKVSLAGCELYTSCEPCPMCFGAIHFSKVKRMVYGATAEAARSIGFSNYIGKGTDFHKFEIKKIDGIAAEIAEEVFEKTKDKVKANLKRSR >RHN48859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50733391:50733608:-1 gene:gene43620 transcript:rna43620 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDVFEFFLEIVPYMTFFSPTISLDMWNLWPLMMETLSDWAIDFISTYLLRLTKVHYFSTSFYMLIT >RHN75180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39990024:39993042:1 gene:gene11348 transcript:rna11348 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWTAVARQSMNIGRLSSPKSASLVHRRGMAGSADPHGTPKVNIWQDPLSPSKWKEEHFVIASLTGWTLLFYSGYKFFTGGKKEEKVAEPSKA >RHN68558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36536481:36541009:-1 gene:gene16926 transcript:rna16926 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIELWAIFGPGVAGAVFGAGWWIWLDAVVCSSTTVPFLHYLPGIFASLAGLMFNCVRKEDIDYSPYDEGEWRLKLWLFIAYVVSFVSLAGSAGLLIQDSLDKSSPSVWTGIAGVLQCVFVLISGLIYWTSHPE >RHN68922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39633643:39638391:-1 gene:gene17343 transcript:rna17343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease III MEAQVHKVQEEEEVLFIQTQALKIDKEELSLKQQEKEDTTNNNNNNKDSPPPPPLYEVEAILGYEFKNKHLLEEAFTHSTYGAEDDLSYEQLEYLGDAVLGLLVAKEQFFSYPNLKPNFLTLLR >RHN60811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30551743:30554322:1 gene:gene23193 transcript:rna23193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MNQIPMFGYTLIIFFSLFPVITNGDRIPCVTNGDCPVMRLPLYMRCITYSCELFFDGPNLCAVERI >RHN51421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17508422:17509798:-1 gene:gene35852 transcript:rna35852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSALRLSYFNLKSSLRPCFTFCTVFPKDFEMVKENIIQFWMANGLVTSRGNLQMEHVGNEVWNELNQRSFFQEVKSDFVGNITFKMHDLVHDLAHSIIGEECVASKVSSLADLSIRVHHISCLDSKEKFDCNMIPFKKIESLRTFLEFNEPFKNSYVLPSVTPLRALRISFCHLSALKNLMHLRYLELYMSDIRTLPASVCRLQKLQTLKLEGCDILSSFPKQLTQLHDLRHLVIIACRRLTSTPFRIGELTCLKTLTTFIVGSKTGFGLVELHNLQLGGKLHIKGLQKVSNEEDAKQANLIGKKDLNRLYLSWGDYPNSQVGGLDAERVLEALEPHSGLKSFGVQCYMGTQFPPWMRNTSILNGLVHIILYDCKNCRQLPPFGKLPYLTNLYVSGMRDIKYIDDDFYEPATEKAFTSLKKLVLWDLPNLEKVLEVEGGSEVRYNKCCQTCVAVPSIC >RHN72609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9126911:9130655:1 gene:gene8351 transcript:rna8351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial pyruvate carrier MATSKLQAFWNHPAGPKTIHFWAPTFKWGISIANIADFAKPPEKLSYPQQIAVTATGLIWSRYSTVITPKNWNLFAVNVAMAGTGLYQLSRKLRQDYSSEKAVEKE >RHN61994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39897883:39899245:1 gene:gene24514 transcript:rna24514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MQLAKATLILKRREWSAIAAQLPRRTDNEIKNYWNTHLKKRLTRMGIDPTTHKPKQDHSPGSSTSQYTKDSANLSHMAQWESARLEAEARLVRETNKLKVQRQLEFESSKPQPPARLFLNKITPLIQPSLPPCLDILKAWQSSWSKPTTKDDSNNNNNKMHSMYAMMLSNDDLESPTSTLNFPGTTLLPLPLSVPMTNNNIEPFNQSLLPLTNSTNNDDDDDDDDNEIWKQFNLTKHNTEGGHGHDNNNTMLNFQQDDDIMAAVEAFRASGGFDNNINTPPISTNVLQVLEGGDHQENLDGSMICNVNLEENKHYWNSILGLVNESDDMNSIL >RHN76228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48744040:48744683:-1 gene:gene12521 transcript:rna12521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MTMIGNKSLSMSIAKLAIAFFIMIMVPKSISAQNCGCEEGLCCSQFGYCGNTDPYCGTGCKQGPCYAGRTPPSLPSNDVKFTCQNNTTLIFLLY >RHN74693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35922963:35923621:1 gene:gene10800 transcript:rna10800 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIEIDSVICNHEDLILEAPKLWVLRAYNNVQMLEKKVFVDGCKLLTTRDIIEICSGILGHRGSSMRTIFKNLVTLCLDFELNGNRNVIALSFALKSCPKLMNLQINNQVCL >RHN52712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37991925:37998586:1 gene:gene37411 transcript:rna37411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polynucleotide adenylyltransferase MGIPGMNNQSNGKQWLGITEPISLAGPTEEDVTKTHELEKYLQGAGLYESQQEAVGREEVLGRLDQIVKIWVKTISRAKGFNEQLVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRDEDFFGELQKMLSEMEEVTELHPVPDAHVPVMKFKFNGVSVDLLYARLALWVIPEDLDISQESILQNVDEQTVLSLNGCRVTDQVLRLVPNIQNFRTTLRFMRFWAKRRGVYSNVAGFLGGINLALLVGRICQLYPNALPNMLVSRFFRVYTQWRWPNPVMLCAIEEGSLGLSVWDPRRNPRDRYHLMPIITPAYPCMNSTYNVTTSTLRIMSDEFKRGTEICEAMEASKADWDTLFEPYPFFEAYKNYLQIDITAENPDDLRQWKGWVESRLRQLTLKIEKYTYGMLQCHPYPGEFSDKSRSFHQCYFMGLQRKQGVPVSEGEQFDIRQTVEEFKHNVNAYTAWKPGMDIRVSHVKRRNIPNFIFPGGVRPSVPSRVNGENRRSSKSRVAGQSQSEKSQGGKAVVLGADDERKRKRLEDNNNSRVSKSSASVSPPNRNVPEDRNPFSATSSCSMKFDDSEVNSMGDQKSEKLCLKSVGEIPAGDSVTNGSATDNKQLDAPDTSNTKEEERLAIEHIMAGPYEAHQALTEEPDELEQDMGYRNQVNDNGGSVKSNNFDSSNPNPVVVEDQVISKETVCSTHSFCNGGLEELEPAELTAPLFCGIPAPVPQKKPLIRLNFTSLGKAVNKST >RHN80581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39175174:39177157:1 gene:gene4521 transcript:rna4521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MVMFEELAEGCIATILSRTTPVDAGKLSLVSKTFHSAANSDDVWDRFLPPHSPFIDSIILQSPSLVKVPTKKDLYLALSDRPIIIDHGKKSFQLERKSGKKCYMLAARSLTINWGDSERHWNWISMHDSRFPEVAELRAVLWLEIRGMINTPALSPNTQYAAYLVFKMINARGFQNCPVELSVGVEGGHSITNIVCLDSNVDGRWHNRVLGLQQPSVRSDGWLEIEMGEFFNSGLVDEEVQMSVMDTKGGQGKTGLFLEGIEVRPKEGN >RHN56500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31368417:31375348:-1 gene:gene31908 transcript:rna31908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADALLGVVFENLTALLQNEFSTISGIKSKVQKLSNNLVHIKAVLEDAEKKQFKELSIKLWLQDLKDGVYVLDDILDEYSIKSCRLRGFTSFKPKNIMFRHEIGNRFKEITRRLDDIAESKNKFSLQMGGTLREIPDQVAEGRQTGSIIAEPKVFGREVDKEKIVEFLLTQARDSDFLSVYPIVGLGGVGKTTLVQLVYNDVRVSGNFEKKIWVCVSETFSVKRILCSIIESITLQKCPDFDYAVMEREVQGLLQGKRYLLVLDDVWNQNQQLESGLTREKWNKLKPVLSCGSKGSSILVSTRDEVVATITGTYQTHHRLSSLSDSECWLLFEQYAFGHHKEERADLVAIGKEIVKKCNGLPLAAKSLGSLMNSRKDEKEWLKIKDSELWDLSDENSILPALRLSYFYLPAALKQCFSFCAIFPKDAEILKEELIWLWMANGLISSRGTTEVEDVGIMVWDELYQKSFFQDRKMDEFSGDISFKMHDLVHDLAQSVMGQECMYLENANLTSLSKSTHHISFDNKDSLSFDKDAFKIVESLRTWFEFCSTFSKEKHDYFPTNLSLRVLCITFIREPLLGSLIHLRYLELRSLDIKKLPDSIYNLQKLEILKIKDCRKLSCLPKRLACLQNLRHIVIEVCRSLSLMFPNIGKLTCLRTLSVYIVSLEKGNSLTELRDLNLGGKLHIQGLNNVGRLFEAEAANLMGKKDLHELYLSWKDKQGIPKNPVVSVEQVLEVLQPHSNLNCLKISFYEGLSLPSWIIILSNLVSLKLKRCKKVVRLQLLGILPSLKNLELSYMDNLKYLDDDESEDGMEVRVFPSLEELVLYQLPNIEGLLKVERGEMFPCLSKLDISECRKLGLPCLPSLKSLTVSECNNELLRSISTFRGLTQLFVNGGEGITSFPEGMFKNLTSLQSLRIYNFPKLKELPNETFNPALTLLCICYCNELESLPEQNWEGLQSLRTLHIYSCEGLRCLPEGIRHLTSLELLTIIGCRTLKERCKKRTGEDWDKISHIPKIQF >RHN81689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48128796:48130684:-1 gene:gene5766 transcript:rna5766 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPNGYLQNLLLAEELSNCLGYWLSVINYLNLISTVPFPQRKDRNILYECAICYQQQSYDIAFLFLRQNHSFLFIILYCQSTVLRGSLGATVKLLSCD >RHN75242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40546662:40546961:1 gene:gene11415 transcript:rna11415 gene_biotype:protein_coding transcript_biotype:protein_coding MWSHDSVGKLDIVISITMWSIWCAGNGKIFYDINTPLMASSKINVIMTRDVIQAFKSMHMEITGIQPQFISWNRGHYGSYIFNVDGSAQTHGCQTRESI >RHN53141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42272229:42274105:1 gene:gene37911 transcript:rna37911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MSAFSYYSFLISPDVLGFYGFLSELVYNETIDISKGSLFMLEHVQVMTKLRPFVRTFLKEASEMFEMYIYTMGDRQYSLEMARLLDPQGEYFKDKVISRDDGTQKNVKDLDLVLGTENSIVILDDKEEVWPKYRDNLILMERYHFFNSSCQDFGLQCKSLAALNIDENEIDGALAKILEVLRQINYKFFDELQGDLVDRDVRQVVLSSFRGEVLRGCVIVFSLNFHGDLRILRRIAERLGATCLKKLDPTVTHVIGTDFVTKESRWAVQEKKFLVSRRWLEAANFFLQKQPEENFLVKIH >RHN70181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49426285:49434401:1 gene:gene18736 transcript:rna18736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-CTR1-DRK-2 family MPHRATYFFPRQFPERGLDESSKKLLDQDKDKIVNSIKSPIENDTPTTKSLSSSTPPTPKNDAVFSSGKHSVAGDKLRFRQKQISAFCDWFIDKKRHSGHLTHHFHRRLSTSDDDHDFFHSQPETAVNDTAIDRNFDRQVSLPRLSSDSSYAGSLFSSDIKEETQSSQVSTIPATTARRQKEDDENKDGLVKKCEESYILQLTLAKRLASLASLVSEPVLTPGTENWDAESVSYRLWVSGCLSYTDKISDGFYNILGMNPYLWVMCNDEEEGKKIPTLMALKAVEPSEASMEVVLVDRQEDSRLKLLHDKAQELYRSSENTLVFVEQLGKLVAINMGGIFPVERGDLHKQWKLVSKRLRNFHKCVVLPIGGLSSGLCRHRAILFKRLADFIGLPCRIARGCKYCVADHRSSCLVKIKDDKQISREYVVDLVGEPGIVHGPDSSINGAYVSSIPSPFQISHFKELQSPYMDDEASSQPPICFDQSSFDPETHPYLGCGQIDQQVKETDLLKVQGSFCASIDHTCEGTKPLLTPLGLKENDEECAVLGSILPTIHEDVSKVFPVSEESLHEYPRLSEDAVVLQETSSNEIIVTEGSVVKSTFKQCILSSSCQSELKQVDNRIENQDYLPAGNIPRYVNLEPSLSMDWLEISWNDLRIKERVGAGSFGTVHHAEWHGSDVAVKVLTVQDFHDDQLKEFLREVAIMKRVRHPNVVLFMGAVTTCPNLSIVTEYLPRGSLYHLIHRPASGEILDSRRRLRMALDVAKGINYLHCLKPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKANTFIPSKSVAGTPEWMAPEFLRGEPSNEKADVYSFGVILWELVTMQQPWSGLNPPQVVGAVAFQNRKLAIPSNISPVLSSLMESCWADDPAQRPSFGGIIESLRKLLKSPTEMIKMGDTHNP >RHN77575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7819129:7821863:1 gene:gene1042 transcript:rna1042 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRAWNPYTPMSPPRDNWEDGNPGSWGANPHYQPGSPPSQPYEAPTPGAGWASTSGGNYSEAGTPRDSSAYVFTNSIWTDADCITTNAPSPYLPSTPGQPMTPSSASYLPGTPGGQPMTPGIGGLDVMSPVLGGDNEGPSFMPDILVNVHRAGEESVGVIKEVLPDGSYRVALGSSGNGETISALSNEVEAVVPRKSDKIKIIGGELCGSTGKLIGVDGTDGIVKVDDTLDVKILDLVILVKLAQP >RHN55075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14957220:14960230:-1 gene:gene30203 transcript:rna30203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MDYKKQHSERNVLQGFVFGPRKAGKSALLNSFIGRPYSEAYNETNEDRFAVNIVDISRENKKYLVLREISEGGVTKLLANKKSLASCDIAIFVYDRSDESSWKASYELLLKIVRHGEDTGFQVPCLMVAAKDDKDSFTMVIEEETTVSQDMEVDAPIPISVKLGNFNNVFHRIVSAAEPPHLSIPETEAGKTHKPFHTVIDRSLKFVSAVVAVAFVVVVARKNVAARKNASG >RHN54391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9200320:9204633:1 gene:gene29416 transcript:rna29416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional nuclease domain-containing protein MIRSRFSMQPITGATTTITADHTNAATSRSVPHSLSFHFSPINLPLRSRHSRFHKPRSFLISCNSSLSRSNFSDHHNNNNENDFLEASILLSETIAHYGMWRHRFQSELQRKSPVLPFPENSSRRDSNLFRQGFLQRFQNPTIFLKISCDGDYVLPIVVGKIAIEKLIDAEVEQEIEDWPDQFQFVNNLVERLDHEVIMVRITERVVSTYFARLYLSQPGKSDIISVDLRPSDAINVANKCKAPIYVSKEIVFTDAIRLGYGMGRVHNKKAIYDVLLDSAIDGPDSVAQELSMMHNMHLAIKQERFNDAATWRNKLENLRKSSQEH >RHN60995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32138227:32144351:1 gene:gene23401 transcript:rna23401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, peptidase, FtsH, peptidase M41, FtsH extracellular MIFSRIGRSLSRSSRVKNLLHGETRLGTLYGVSRTNVFVDDVEKGLGFVRGYVSSAIARNNGFGSNLYDFKSIAANRMLHRMFSSESPKKKNYEKFYPKEKKEVPKGEEKKSESKDESKSNTEDGGSFHEAFIKQFQNYLTPLLVVGLFLSSLSLGPRDQQQISFQEFKNKLLEPGLVDHIVVSNKSVAKIYVRNSPLNQADSEVQGTLPAKGSGGQYKYIINIGSVESFEEKLEEAQEALGVDSHNFVPVTYSSEMVWYQELMRFAPTLLLLGTLWFMGRKMQGGFGVGGGSTGKGSRGIFNIGKAHVTKVDKNTKNKVYFKDVAGCEEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRKRGRGGFSGSNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRADILDNALLRPGRFDRTISIDVPDIKGRDQIFQIYLKKIKLDHEPSYYSQRLAALTPGFAGADIANVCNEAALIAARTDESQVTMDHFEAAIDRIIGGLEKKNRVISKRERRTVAYHEAGHAVAGWFLEHCEPLLKVTIVPRGTAALGFAQYVPSENLLRTKEQLLDMTCMTLGGRAAEQVLIGAISTGAQNDLEKVTKMTYAQVAIYGFSEKVGLLSFPQNEDQFGKPYSGDTGNIIDQEVRDWVNHAYERTVQLIEEHKEKLAQIAELLLEKEVLHQEDLVRILGERPFKSAEPTNYDRFKLGFQDEEKAAETTVDEAEEGSGSSPLEPEVVPT >RHN43156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43627744:43632050:-1 gene:gene49689 transcript:rna49689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MKIGKVGLGVMMVVLMMMLNLSTCSAWFGNKRKSGRNSILPGEAMSSRPSLMNHAAGSSIVFPIYGNVYPVGFYNVTLNIGQPPRPYFLDVDTGSELTWLQCDAPCSQCSETPHPLYKPSNDFIPCKDPLCASLQPTDDYTCEDPNQCDYEIKYADQYSTLGVLLNDVYLLNFTNGVQLKVRMALGCGYDQIFSPSTYHPLDGILGLGRGKASLISQLNSQGLVRNVMGHCLSSRGGGYIFFGNVYDSSRMSWTPISSIDSGKHYSAGPAELVFGGRKTGVGSLNIIFDTGSSYTYFNSQAYQAMISLLNKELHRKPIKAAPDDQTLPMCWHGKRPFRSINEVKKYFKPLTLSFTNGGRVKPQFEIPPEAYLIISNMGNVCLGILNGPEVGLGELNLIGDISMLDKVMVFDNEKQLIGWGPADCNSVPKSRDFSL >RHN43519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46468383:46469299:-1 gene:gene50114 transcript:rna50114 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTSSEKQLPKGRVLKEKRAKFYIIRRCIVMLLCWKDKGDKE >RHN50774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10397909:10399770:-1 gene:gene35102 transcript:rna35102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative annexin MTNHNFEHDCKKIHDSLGGLSQLVPSLSCLTILERQQLRETYKTVYGEDLISQLQRYDEDGFSSMKFSTLSLWMLCPHDRDAFVAREALQQDETNFKALVEIFVGRKSSHIVLITQAYHKMFRRQLDQDIMNLDPPHPFQKILTALSASHKAHQADISHHISKCDARRLYETGEGSLGAIDEAVVLEILSKRSIPQLKLTFLSYKHIYGHDYTKSIKRGNYGQFGKSLMVVVNCICNQAYYYAKELYTSIKREKRDIGTLARTLVSRADIDMDEIRRVFKEKYEKELGDFICESIPCGDYRDFLVALATKTCTPSNIYSN >RHN67024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22962757:22963503:-1 gene:gene15155 transcript:rna15155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MDCAGFGDGFLWQPYENSPCIEVYNEKDMWRCGNPCLDKELESFSRCLRVCELVGMGCKEKYFHHRVAMQFGMDQDIPGEVFLCKKDPWMIYNEPITILDLDLLIQLCSRQPNVTSRCYDRIKVEKSDGLTLPDFTSKLEKHQKEASDKEDHIVYELSSSDDEVVGNGKALCSSEFGDFTSSDVLDEDEINSLFCDGNGEKEESVGPSILDIAFDVENRIEKLEREVVKLKQARFGSKVEIVGAKAKP >RHN74168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29752947:29753685:-1 gene:gene10174 transcript:rna10174 gene_biotype:protein_coding transcript_biotype:protein_coding MESFTFFSPFSGSFCSSPVRFPLAPVRPPLLPFRFVLLFSGPVRSGFDCFGSVLACLCLFVICVVI >RHN59157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8017685:8021223:1 gene:gene21149 transcript:rna21149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEGLLFNMIDKLIGKLGSMVVEGWNMRDDLQKLVENMSEIKAVVLDAEEQQGTNNHQVQLWLEKLKDALDDADDLLDDFNTEDLRRQVMTSNKKAKKFHIFFSSSNQLLFSYKMVQKIKELSKRIEALNVAKRVFNFTNRAPEQRVLRERETHSFIREEEVIGRDEEKKKLIELLFNTGNNVKENVSVISIIGIGGLGKTALAQFVYNDKKVKQHFEFKKWVCVSEDFNVKVIAAKIIKSNTTAEIEEVQLELRDKVKGKRYLLVLDDNWNEDRNLWLELMTLLKDGAEGSKIIITARSEMVAKASGSSFTLFLQGLGEKQSWTLFSQLAFENERELENEELVSIGKEIVKKCSGVPLAIRSIGSLMYSMQKEDWSSFKNKDLMQIDEQGDKILQLIKLSYDHLPFHLKKCFAFCSLFPKDYLIDKTKLIRLWIAQGFVQSSDESTSLEDIGDKYFMDLVHKSFFQNITEDNFFYGSVSCQMHDIVHDLASFISRNDYLLVKEKGQHIDRQPRHVSFGFELDSSWQAPTSLLNAHKLKTFLLPLHWIPITYFKGSIELSACNSILASSRRFRVLNLSFMNLTNIPSCIGRMKQLRYLDLSCCFMVEELPRSITELVNLETLLLNRCSKLRELPKDLWKLVSLRHLELDLCHNLTSMPRGIGKMTNLQRLTHFVLDTTSKDSAKTSELGGLHNLRGRLVIKGLEHLRHCPTEAKHMNLIGKSHLHRLTLNWKEDTVGDGNDFEKDDMILHDILHSNIKDLEINGFGGVTLSSSANLCTNLVELYVSKCTRLQYFKLSLLHVKRLQMYKLPCLEYIVNDSNSDNSSSFCASLTDIALFQLNNLKGWCNCSEEEISRGCRHQFQSLERLSIKYCPNLVSIPQHKHVRKVMLSNVTEKILQQAVNHSKVEYLDIGFILNFKSLCGVFQHLSTLSELCIENCEEFDPCNDEDGCYSVKWKELTNLKLLQFYEIPKMKYLPEGLQHITTLQTLRIWNCENLTSIPEWVKSLQVFNIEDCPKVENQ >RHN41345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29319314:29319884:1 gene:gene47655 transcript:rna47655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQTIKNMDLFQMFVYAFFIFLSIAHRPPANTIPCFGTKDKCPFNLYYKVECIDGFCYYPV >RHN56378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30446631:30449153:-1 gene:gene31768 transcript:rna31768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MASISYILLLIFSFFNLVHSASNASSINVTKHFSFHDFSFTNNSRLVHDVKLLGSAKFSDEKGSLQIPNESEETDIRHQAGRGLYSFPIRLLDPITKTPASFQTTFSFQLNNLTTASDISDYGGGSGLTFIIVPDEFTVGRPGPWLGMLNDACESDYKAVAIEFDTRENPEFGDPNDNHVGINLGSIVSTKIINVSDIGVSLKDGFVHHAWIDYDGPQRRIDIRLGLANQDVYPTKPIFSEFMDLSPYLNEYMFVGFSAATGNHTQIHNILSWNFTSTSQAFLRYPSSETCQGKIMLEKTTTETTAAKENSKRNETPRSFLIFMASVVLALVVLIGFYFISKHRKRAAKSNTSIDEEIHRPRPPNKPRRFRFSELSSSTRSFNEIEVLGSDNRGVFYRGKLANGNQVALKRFSAQFLSTHGSDKKRLLKEIKVISHVRHPNLLPIRGWCQDNNEIVAVYDFVPNGSLDKWLFGAGVLPWTRRFKVIKDVADGLSFLHTKQLAHKNLKCSSVFLDVSFRAVLGDFGFVLMGAESKQFESVVCNGADVFEFGVIVLEVIGGRPRVEDTEEGKSEERNLLDFAWNLHEINDKGKLVDRRMGSLINLEQAIRVMEIGLLCTLNENKGRPTMEQVVEFLHNMEKPIPELPKTRPVALFPYNSANTGLCNNYSCTLKL >RHN68925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39656662:39666288:1 gene:gene17346 transcript:rna17346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LysM family MEHQPRFTSFISLPLFSIFLASIPFITESKCTKGCSLALANFYVSQGSNLTYISSIMRSNIQTRPEDIVEYSREIIPSKDSVQAGQRLNVPFPCDCIDGQFLGHKFSYDVETGDTYETVATNNYANLTNVEWLRRFNTYPPNDIPDTGTLNVTVNCSCGDADVGNYALFVTYPLRPGETLVSVANSSKVDSSLLQRYNPGVNFNQGSGIVFVPGKDQNGSFVFLGSSSGLGGGAIGGIAVGIVVVLLLVAAAIYFGYFRKKKIQKEELFSRDSTALFSQDGKDENSHGAANVTQRPGVMTGITVDKSVEFSYDELAAASDNFSMANKIGQGGFGSVYYAELRGEKAAIKKMDMQATKEFLAELKVLTRVHHLNLVRLIGYSIEGSLFLVYEYIENGNLSQHLRGSGRDPLPWATRVQIALDSARGLEYIHEHTVPVYIHRDIKPANILIDKNFRGKVADFGLTKLTEVGSSSLPTGRLVGTFGYMPPEYAQYGDVSPKVDVYAFGVVLYELISAKEAIVKSSESVADSKGLVGLFEGVLSQPDPTEDLRKIVDPRLGDNYPADSVRKMAQLAKACTQENPQLRPSMRSIVVALMTLSSTTDDWDVGSFYENQNLVNLMSGR >RHN46151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29241819:29243687:-1 gene:gene40594 transcript:rna40594 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MSVNIDEAPIFAPSLPVPNVQEMVKINPSQVPKRYVRNQEEMEKVHYTPHLSSEIPVIDLTLLSNGNMEELRKLEIACREWGFFQIVNHGVDKEILQNMKDAADEFFKLPIEEKEKYAMLSNDVHGYGHAYVVSEEQTLDWTDTLFLLIYPTRFRKLQFWPKTPHGFKEIVDTYSSEVKRVGDELLSSLSVIMGLEKHVLAGLHKEVLQGLRVNYYPPCDTPEQVLGLSPHSDSSTITLLMQDDDVPGLEIRHKGNWVPVTPISDALVVNVGDVIEIWSNGRYKSVEHRAMTNKNKRRTSHATFVFPRDDVEVEPFDHMIDAQNPKMYQKVLYGDYLRQSMKRKMEGKTHTDVAKIKA >RHN53213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:142642:145094:-1 gene:gene28100 transcript:rna28100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MMSSGTIAGIQGQPLEVTVVCCSKLKDTEWISRQDPYVCLEYATNKFRTKTCTDGGKNPVFQEKFVFSLIEGLREINVAVWNYNTVTSDDFIGTGKVQLHKVLSQGFDDSAWPLQTKNGRHAGEVKLIMHYANAKVNHQKPAGSSSHIPYMATPPAVPLYNQVPSYYPQQQPHSNYHNPAIPAAAASAYPPPPSYPAASHNPSPYPPPPPSPYPPPHSYPPSSAYPPPSYPPPTGYPPNAGFYPPPY >RHN68501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36015908:36022857:1 gene:gene16863 transcript:rna16863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MEENSVLFQWSVIRSLLCILQWWTFNVTVIIVNKWIFQKLDFKFPLSVSCVHFICSAIGAYIVIKVLKLKPLITVDPEDRWKRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVVLQWLVWRKYFDWRIWASLIPIVGGILLTSVTEMSFNMFGFCAALLGCLATSTKTILAESLLHGYKFDSINTVYYMAPYATMILVLPAMLLEGNGVLEWLNTHPYPWSALIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISYLNAVGCAITLVGCTFYGYVRHLLSQQPPVPGTPRTPRSKMESLPLVNDKLENKF >RHN66062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8167505:8176024:1 gene:gene13974 transcript:rna13974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonoid 3'-monooxygenase MSLWLIAFSSCILSILIYRLVKFATRTSSLPLPPGPKPWPIIGNLPHLGPAPHQSLATLAETYGPLMHLKLGSVDVIVAASASVAEQFLKVHDANFSSRPPNAGAKYIGYNYQDLVFGPYGPRWRLLRKITTVHLFSNQSLDEFKHLRQEEVTRLVNNLASNHSNSKVVNLGQLLNVCTTNALARAVIGRRVFNEGNGDFDPKAGDFKAMVDELMVLGGVFNIGDFIPSLEWLDLQGLQAKMKKLHKRFDAFLTNIIDEHKTSISRSEKHKDLLSTLLSLKEETYEDGNQLTDIEIRGLLMNMFVAGTETTSITIEWAIAELLRNKRIMTQVQQELETVVGRDRNVKEEDLPQLPYLQAVVKETFRLHPSTPLSLPRIASESCEIFGYHIPKDSTLLVNVWAIARDQEIWVDPLKFKPERFLPGGENCDVDVKGNDFEVIPFGAGRRICAGLNLGIRMVQLQIATLAHSFNWELENGINAKDINMDESFGLGIQRAVPLLVHPKPRLLPHVYSSCF >RHN68448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35620823:35628531:-1 gene:gene16807 transcript:rna16807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MAKTITLVLLIFFLSILHFSNAKDEAFDVRKHLSTVSRYGVVKDITDKNFVPSKIPEGCTPIHLNLVARHGTRSPTKKRIRELDNLSAQLEVLVRDAKEQKLSLGRVPSWLNGWKSPWHGRLKGGELIRLGEEELYELGIRIRERFPSLFDEDYHPDIYPIKATQVPRASASAVAFGMGLFSGNGTLGTGKHRAFAVTSESRASDIMLRFHDCCHNYKDFRKKKEPTVDRLKEPILDEITSALVGRHGLNFTRQITSSLWFLCKQEASLLDITDQACSLFSPSEITLLEWTDDLEAFILKGYGKSLNYRMGMPLLEDVVQSMEQAIKAEEEKHVPGSFEKARLRFAHAETVVPFSCLLGLFLEESEFDKIQKEKPLELPPKPPQKRKWRGSTVAPFAGNNMLLLYSCPASGKAKSKHFVQVLHNEHPIPMPGCHGSDFCPFEVFKEKIVAPHQKHDYDTVCNAKLEPKSSRSMIFQIFQWLFPLAKGINTTFS >RHN43913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49420361:49420977:1 gene:gene50548 transcript:rna50548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M41 MTLGGWAAEQVLIGTISTGAQDDLEKVTKMIYAQVAVYGFSEKVGLLSFPPSEDSFETSKPYSSKTSAIIDNEVRDRVNKAYKHTIQLIEEYKGKLAEIAKLLLEKEVLHQDDLLQVLGA >RHN77560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7711627:7714329:1 gene:gene1027 transcript:rna1027 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNQISLIWFEDKLARKTKQNVWVRLFLCAKKYEGEMMT >RHN48005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44196953:44198947:-1 gene:gene42673 transcript:rna42673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MANSKTLLCLTFFTTFLFSSFVNATYYQNISPSYLGFKQEKLTHIHFFLHDIVSGPKPTVVISAESPLNGKSKSPLPFGSIVVLEDPLTVGPDLKSTQIGKAQGFYLTVSQEAVLELELVMGMTFAFTEGKFNGSTLSVLGRNTIGAPIREMPIIGGTGEFRFARGFIQAKTHTVDLHIGDAHVEYNVYVFHYPSTSVSEEVFADGSRFMTESMLGKI >RHN58020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43154784:43156976:1 gene:gene33625 transcript:rna33625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MHPVTLFTLFSTFILTASGAGNIHLDFPYFTTADTATNLTFLGDSSLTTNGVVSLTQPTTFSTGAIIYKHPISLFDISTNTTSSFSTTFSFSITNSNPTSFGDGIAFFLSPNNLSSTSSPSPFGLPTNFIAVEFDTRFNPHFNDPNENHIGFDIDTLNSLKIVDPIFNGIDLKSGNTITSWIDYKTDQNLLSVFLSYSTKKPHDPILSATVDLSEYFCDNEAVYVGFSASAEKSTELHQIERWSFYTVGFEPARPRLRSHNVSDNSVGVSTGNEVKVRGSRSTFSSKNSFSSSSKKKFGFGFAVAGPVFFCVVFTLLGYYSFMKWKGVRKGVSKNFQTGFVACPREFSYRELKSATREFHPSRIVGHGSFGTVYKAFFISSGTIAAVKRSRHSHEGKTEFLSELNIIAGLRHKNLAQLLGWSVEKGELLLFYDFMPNGSLDKMLYKEPERGKLLTWSIRYNIVVGLASVLVYLHQECEQRVVHRDIKTGNVLLDGNFNARLGDFGLAKLMDHDKSPVSTLTAGTMGYLAPEYLQYGKATDKTDVFSFGVVVLEVACGKRPIEREGPKMINLVDWVWGLYSEGKIIEAVDKRLNGEFEEEEMTKLLLLGLSCANPDSAARPTMRRVLQILNNEAMQFAVPKVKPTLTFSSDLPLSIHEIVSDDDLDYEEFNTSQSMCEIKIH >RHN66282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10334547:10344514:-1 gene:gene14232 transcript:rna14232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA-dihydrouridine synthase, aldolase-type TIM barrel MVEDDYLPPWFSVAPMMDWTDNHYRTLARLISKHAWLYTEMLAAETIVYQKGNLDRFLAYPPDQHPIVLQIGGSNLENLAKATKLADAYGYDEINFNCGCPSPRVAGRGCFGARLMLEPKFVAEAVSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSLSPARHFIIHSRKALLKGLSPAENRSIPPLKYEYFYGLLRDFPDLTFTINGGITCIDEINAALKTGAHGVMLGRAAYHNPWHILGNVDRAIYGVPSIGLTRRQVLEKYQVYGDSVLLQYGFRPTVRDIVKPLLGFFHSEPGNGLWKRKADSAVQTCTTVKSFLEETLVAIPDSVLDSSFAKSPPGRGDLFANIDSLLPPPYRTRDEDAVQIL >RHN50403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6832557:6837230:1 gene:gene34681 transcript:rna34681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNAse P, Rpr2/Rpp21 subunit protein MGKKGGAKNLPKSELKPQNRITLREEATGKLKTKPIVNTKSHLRIDHLKNLALWATTDPNIPSLSAFYGRQLAAVSEASGVAPDPSLITCQRCETVLHPGFNSTVRIEKNRSKRRRNKKFGSISQNNVVYNCHFCSHRNLKRGTAKGHVKKICSTKVKSSLESTPATKPIVHESSKLEKKIVIKDEVGKINAFGSEVVAKDATLMDGLQTPQSTSTPTLLEGKKRSRNSFASKNTFETPSMSARVEGAKTQSTSSKRRKKSWTSLKEIAQSKHDNSQVANLTIPFFL >RHN80190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35987041:35995662:-1 gene:gene4088 transcript:rna4088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, EF-hand domain pair MANNCNTTILKEVRIVIVGDKSAGKTTLISAMASDSFPATVPPLLRPTHLPSNSFTDSVPLILIDTPSSVGEQGKVVEELKRADSVIVTYACDNTMSFERVSTHWLPQLQKLEVKAPVLVVGCKLDLRDESRQVSLESLTTGIMNQFREVVTCIECSAATLYQVPEVFYFAQKAALHPVDPLFDYDTNALTDRCVRALRRIFNLFDYNMDGTLTDHEVNEFQIRSFGASLQQPDITQLKTMVLRNVPEGVNSLGLTFPGFIEIHNMFLKKGRTETFWAVLRKFGYGNDLKLRDDFLPVPSKKASDQSVELSGAAIEFLKGVFRLVDTDKDQLLRPAEVDKLFDAAPESPWKDAPYMDAAETTDMGYISLKGFLSRWALMTLLDPRYSLANLICIGYRDNPSAALRLTSRRSEDRKNQKTERNVFQCYVFGSKTAGKSALLRALLGRPFSNDYTPTTVERYAANIIELIAGTKKTLILREIPEDEVSNFLSNKDCLAACDVAAFVHDSSDGYSWKRSIDLLEKVVNQGELTGHRFPSLLIAAKDDLTPFPRAVLDSVKVAQELKIDAPIRLSIKSDDSNNVYSKIINAAEHPHLSIPETEFVRKRKQHQQLLHTFIFALAGAAMALAGFTARRARANRNSS >RHN62706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45287106:45289361:-1 gene:gene25307 transcript:rna25307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphate-induced protein MASNYYFAIVLSAVLLLVLPTLSFGELVQEQPLVLKYHNGQLLKGKLTVNLIWYGTFTPIQRSIIVDFINSLSTTGAALPSASAWWKTTEKYKVGSSALTVGKQFLHPAYTLGKNLKGKDLLALATKFNELSSITVVLTAKDVNVEGFCMSRCGTHGSVRRGSGGARTPYIWVGNAETLCPGQCAWPFHQPIYGPQTPPLVAPNGDVGVDGMVINLATLLAGTVTNPFNNGYFQGPAAAPLEAVTACTGVFGSGAYPGYAGRVLVDGASGSSYNAHGANGRKYLLPAMWDPQTSACKTLV >RHN45391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19223308:19223670:1 gene:gene39701 transcript:rna39701 gene_biotype:protein_coding transcript_biotype:protein_coding MLALNYVVILFALTLSYGLCDAFSVTINIHVDNLSGGIMLTCLSRGGIIGHLDPQKLYHFTYPADQSESCNVNWHGLQAQFIAYDPKNDQGHPIIYWNVEKDGLYQSYENKNFVKKVGWS >RHN68931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39703113:39717297:1 gene:gene17352 transcript:rna17352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAM91 domain-containing protein MQRAPVTVEEQLLLKAIKEECPWENLPKRIQSTLASKEEWHRKIVECCIKKRLQWNSCFARKICKESEYYEDMMRYLRKNLALFPYHLAEYVCRVMRVSPFRYYCDMIFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFPIESWWGVCLVNFTLEEFKKLSEEEMATIDKICKEEATSFILFDPDVVKGLYRRGLIYFDVPVYPEDRFKVSKLEGFVSNREQSYEDPIEELLYAVFVVSNENASVAELATTLQADLSQLQAAASFVCRLGWATKVIDPSSILQDTSIPGSPRSAISDEDVSLAGHGFDNIHTDNDIQGDASGSGNYGPRSAYTRVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGYASISDLCKDLSTLEGAKFEGELQEFANHAFSLRCVLECLQSGGVASDVKVEEGFDKMDIASPSNDEPSSLTAEISLAEKSGDSGITEAETNNDDLLSLNLEKSAEASVSYEAVPSDGTGSIILEGDGNDVQDSSKDDNLQNDEKLIVEGSDVGTELVKRKKKYRVDILRCESLASLSPATLDRLFLRDYDIVVSIVPLPQSSVLPGPSGPVHFGPPSYSFMTPWMKLVLYSTVASGPLSVVLMKGQCLRLLPAPLAGCEKALIWSWDGSTVGGLGGKLEGNLVKGSILLHCLNSLLKHSAVLVLPLSKFDLNESGKLITMDIPLPLMNADGSIDPVGKELGICEEESSNLNSLLTDLANKMELCTVGYIRLLRLFNERASDQFSSEEKYDWVPLSVEFGMPLFSPKLCNNICRRVVSSELLQSGSFDEHHRAMQSLKKKIHDIYAEYQATGPAAKVLYQKEQVKESSRQLMNYASGRWNPLVDPSSPISGTSSEHQRLKLANRERCRTEVLSFDGSILRSYALSPVYEAATRPIEEGTQANTIKAESDENDSKEVILPGVNLLFDGAELHPFDIGACLQARQPISLIAEAAAASASLAIK >RHN71796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2669420:2673350:-1 gene:gene7431 transcript:rna7431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MEKTEFIFLLLATCFHLTTLFPIPSKAEDTITPPQFITGNQTLISPSQNFELGFFTPKNSTYTYLGIWYKQIHIKNIVWVANRDKPLLDHNGTLTFNNDGKLIILNYGGSVLWASNSSGPAKTPVAQLLDTGNFVLKNFEDENSEEILWQSFDYPSNTLLPGMKLGRNFKTGLNIHLTSWKNIDNPSSGEYSYSVDPRGLPQLFLQKGKKKIFRSGPWYVEQYKGDPVLRENPIFKPVFVFDSDEVYYSFETKDDIVSRFVLSESGLIQHFTWNDHRSNWFSEFNVQGDRCDDYGICGAYGTCNIKNSPICKCLNGFEPRNMHDWKMLDWSSGCVRENSKVCRNGDVFKKFIGMKLPDSVEFHVNYSINIDQCEVECSKNCSCVAYAKLDINASGNGCIAWFGDLFDIREDSVNEQDFFVRVSASELDSNVERNKRKKLILLFVSISVASTIITSALWLIIKKWRRNRAKETGIRLSVDTSKSEFELPFFEIAIIEAATRNFSFYNKIGEGGFGPVYKGQLPSGQEIAVKRLSENSGQGLQEFKNEVIFISQLQHRNLVKLLGCCIQGEDKMLVYEYMPNRSLDSLLFDETKRSALSWQKRLDIIDGIARGLVYLHRDSRLRIIHRDLKASNVLLDGEMNPKISDFGMARMFGGDQTEEKTKRVVGTYGYMPPEYAMDGHFSFKSDVYSFGVLLLELLSGKKNRGFFHPDHKLNLLGHAWKLWNEGKVIELMDPLLEDQVSTPESILKCIQIGLLCVQQHPEERPTMSSVVLMLDGESVLLPKPRRPGLYSERCFLETDSSSRGMLNSGSNDITVTTTVVEGRYLGTCLSTTCAIKSLLFNTTIIYIFSICL >RHN58250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:266598:273028:1 gene:gene20141 transcript:rna20141 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase catalytic subunit A MPAVYGGARMTTFEDSEKESEYGYVRKVSGPVVIADGMNGAAMYELVRVGNDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKISADVYIPRGVSVPALDKDTLWEFQPKKIGEGDLLTGGDLYATVFENSLMEHRVALPPDAMGKVTYVAPAGQYSLKDTVLELEFQGVKKKFTMLQSWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDAVIYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITLAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSTALESFYEQFDPDFINIRTKAREVLQREDDLNEIVQLVGKDALAEGDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFYNLANQAVERGAGTDGQKITYTLIKHRLGDLFYRLVSQKFEDPAEGEAALVAKFTQLHEDLTNGFRNLEDEAR >RHN65774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5227354:5232684:1 gene:gene13662 transcript:rna13662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEGFVSETALSTCVKVMLNKIVSSEFMDNYRKTKFDVPLLEKLQTELLNFEVVPNDDAVSVHVWLNRLKNAVSNINYLFGDIKYALRYKVDARYETPTSQFLNNLSFELNRSVINLIKELKGLSSGCVSVSNSSSDWLETSTTSVTVDESCIYGRDNDINKLKHLLLSSDGDDSKIRVIFIVGMAGIGKSALASVLYNDPQVKEKFRVMPKHDFYVFRESKHYHTLWVLENILKSLPSQTVSGDNLNAVYPHFLLVLDDMIDTRSVNWTLLMDIFNAEKTRRTIIITTRDERVPKSMQNFSYVHYMRPLETEDCWSIVARHALGECNNQQRSNLEEIGRKIAKKCDGLPLAAVALGNFLRTKWSPNYWNNVLIRDIWELIRYDVQPSLHSNYDDLSDPLKSCFEYCSIFQKKSILEKNVVVQLWIAAGLVKSSEDQEKVGEEYFDELVSNSLIHRRSIGNEEANFEMQSLLHDFATMVSSSYCAKLGKQHLDERIKNLSYNRGLYDSFNKFDKLYAVKGIRTFLALPLQKQLPFSLLSNKVVHDLLPTMKQLRVLSLSNYSSITEVPNSIENFLYLRYLNLSHTKIERLSSATCKLYNLQFLLLAGCRRLIELPEDMGKLVNLRLLDVSDTALREMPVQIAKLENLHTLSDFVVSKHKDGLKLSELGKFPHLHGKLFISQLQNVNDPFEAYQANMKMKEGIAKLALEWDRGSTFPDSQIQIQSVVLEHLQPSTNLRSLTIKGYGGISFPNWLGDFLFSNMVYLRISNCDDCLWLPPLGQLGNLKKLIIEGMQSVQTIGTEFYGSDGSPSFQPFPSLETLHFENMQEWEEWNLIGGTAKEFPSLKTLSLSACPKLRVGNIPDKFLFLIELELRECPLLMQSMPSSDHVFRNPAFPLNSLQQLTIDVFPSQMSFPTVSLPKTLKSLIISNCESLEFLPHEYLHHYTSLEELKISYSCNSMISFTLGALPVLKSLFIEGCKNLKSILIAEDASEKSLSFLRSIKIWDCNELESFPPGGLHTPNLIYFVAWKCQKLQSLPEAMDTLNDLQEMEIDDLPNLQSFAIDDLPISLRELTVGSVRRILRNTQPTWEHRTCLSVLRINGNTTVSTILVPLLPRSLVTLCISGLNNTSIDGMWLQYPYYLRNLEIVNAPKLKSLPKEGLPSSLLVLSMTFCPLLKVSLQRKRGKEWRKVAHIPSIIIDDELIT >RHN44766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8228247:8228681:-1 gene:gene38927 transcript:rna38927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MQKSKQIFFMARSKQTACKLSCAGARKRVDTNAELKFKLATIGVKKEHRYHLGTIALLKIRRYQKSTKLLIKKLPFQRLVREIVQNIKIDSRFQSRAVFALQVTSETYLVGLFEDAKLCAIHAKRVTIIPEDIRLARRIRGECD >RHN63353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50606511:50607533:1 gene:gene26042 transcript:rna26042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronoxylan 4-O-methyltransferase MPPDILHIRPLVQFSPPHTTQVKENQPSIFHKYQKVTKRMNLTKKKLIPILVLFLSTISIFRILSLNIKTTSTPFSTYNKIIASHTHESSNITTTFKEKEFKVLSNLIALKSPCNLLIFGFQPQFLILSSMNEAGNTIFLDDNHDKTTTRKVTTNFNNTQIYNFNYNVPTKEAYKLLKHARNNPACTPNSRHLQTSKCKLALKNLPAIVYEKNWDVIVVDGPSGLSTESPGRMASIYTASVLARGGNGSDVLVHDVDRMIEKWFSWEFLCDENLLYSKGKLWHFRIRGHLNSTTFCPV >RHN60635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29008151:29011554:1 gene:gene22980 transcript:rna22980 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L9 MASSSTLSSLPLQHSFTSNLNTSSQFSNKTSRFLIFAQKKAKKTRKIILKEDILNVGKKGELLDVKAGFFRNYLFPSGKAQIATADLLKELKIEEERIEAEKQRVKEEALQLAQILESVGAFKVKRKGGKGKQIFGSVTAQDLVDIIKAQLQREVDKRIVELPEIRETGEYIAELKLHPEVTAKVSVNVLAN >RHN69387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43032168:43033270:1 gene:gene17847 transcript:rna17847 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSQLIGKGEIPWKVILESQNMELKKWLKMEFVSGSDCKEGMFTTTEVEVEIKIKVPSVAEMENKNKWRLNNWNECGCKNGHNHQAWCNTEDCDIFALGGALEAF >RHN71384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58630990:58635469:1 gene:gene20062 transcript:rna20062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heparan-alpha-glucosaminide N-acetyltransferase MADPRALLLLLNSETQFPRVASVDVFRGLSVFLMIFVDYGGSIFPIISHAPWNGLHLADFVMPFFLFLVGISLALVYKNKRSRPTQSSTWKPLLRSFQLFILGILLQGGYFHGIHSFTYGVDVQTIRFFGVLQRISIGYIVAALCQICLPTLPSKHTSFFKTYYSHWFVAAILLAIHSGLLYGLHVPDWQFDASLSTSSLPPIQAGNVYTVNCSVRGDLGPACNSAGMIDRYILGLDHLYKKPVFRNLKECNMSSTGQVSDSSPSWCHAPFDPEGILSSITAAVSCIIGLQYGHILANLEDHKGRLNQWLGFSVSFLALGWFLALIGIPLNKSLYTVSYMLLSSAASGLTFMALYILVDVYGYRRLTSVLEWMGKHSLSIFVLVSSNLAVIAIQGFYLTKPEYNIIHWIVARFQHR >RHN43987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:522415:525993:1 gene:gene38031 transcript:rna38031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MTKSVNTKTRVCCSYCEIILVFLVAASFSSPSPESDTIPKEFTLSMEPAGPPNNVNPDNIEVVEATEGNHVNGEVADGKSKGGWTTAYILLANQALATLAFFGVGVNLVLFLTRVLRQDSAEAANNVSLWTGTVYIFSLLGAFLSDSYWGRYLTCAIFQLFFVLGLGLMSLTSWLFLIKPLGCGNEHSVCNEPTPLGIGLFYLSIYLVAFGYGGHQPTLATFGADQFDDKSIQQTNSREAFFSYFYFALNVGSLFSNTILVYYEDTGMWTLGFGVSLASAIIALISFLAGSRKYRYVKAYGNPVIRVIQVFVAATRKWNVEPAKEDQLYEVDGNVSAIKGSRKILHSQDIRFMDKAATRTVKDGNESGNQWRLCTVTQVEEAKCVLRMIPVWLCTIIYSVVFTQMASLFVEQGDVMNNKVGKFHLPAATMSVFDICSVLVCTGLYRQVLVPLAGKLSGNPKGLSELQRMGVGLVIGMLAMVAAGVTEFERLKQVQPGQKQSNLSIFCQIPQYVLVGASEVFMYVGQLEFFNGQAPDGIKSFGSSLCMASISLGNYVSSMMVKLVMIFTARGEEPGWIPNNLNVGHMDRFFYLIAALSALDLVIYVFCARWYKGVTNIEGSDNVGSQEDNV >RHN75092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39288952:39289267:1 gene:gene11247 transcript:rna11247 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIIIQTMEFTPKYLNSLKTIHSLKTFPHPNTLLEFAQHFGLWLDSLNKLLLYNYLSFKECNI >RHN56540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31753639:31756332:-1 gene:gene31956 transcript:rna31956 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPHWMIVSYDWHEHQHGHMELICHVYLLVFNDVFISVDVSLSPDVVVLTRGIIILHGTDVIYLYFPR >RHN76804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1388959:1389273:1 gene:gene181 transcript:rna181 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSARGLVVSVLLLCRLVFVLSTYHSIYGRHSLGGFFFLSYIIGPSIRVVKNGPTYWPMLLGRVGPEKLAQKCTRAFWPIRKLGRTGLAHRALGRPISPYFI >RHN58812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5134396:5137149:-1 gene:gene20762 transcript:rna20762 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVSFHLRGIVVFWHLRFFKNGLNAILTPFFHLLTITLTHSIFHQNFFSNHARNHLYVLLGVA >RHN60133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21502976:21506202:-1 gene:gene22367 transcript:rna22367 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSFNLRQPFHHFFLLQGSLLNYNCTTCFLQLSEVDQIAYLQLDEEQIKSYTLAEIEMLLQFHGKNLMERYPSMPRTDVSINSDGRNRLIYDELRYNKYSLKEEHDRLMSTMTTEQKSIYDTTGLRPINKVFFFV >RHN54628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10877411:10883308:-1 gene:gene29697 transcript:rna29697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SH3 domain, AH domain-containing protein MDAIRKQASRLREQVAKQQQSILRQLGQLSNEPLMADEFELECFHKLQKLYTSTKTAKHFQRHIVRGVEGLISVSSKQMEIVRKLAKDCCKYGNENENQGSTYPLARASLQFGNSYEILENERETLLGILGDQISEPLRAQITGAPLEDARHLTHNYDKLRQEVEGQAAEVLRRRSKLRDSSLSAESSMRLQNAEKKLKEHKSALVALGREATAAMSSVEEQQQHITLQSLSTMVDAERAFHRHALVILDKLHAEMIMIDERQPQDSTSFPLPKEEHNQPANQKANSNGIGYKHNTQTGTYFFAKVIHPFDAQAEGELSLSVDDYVVVRQVAANGWSEGEFNGNAGWFPSAYVLRQDVVPANKIPE >RHN54233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7961855:7964048:1 gene:gene29233 transcript:rna29233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAAEKSKILVLGGTGYIGKFIIEASAKAGHPTFALIRESTVSHPEKSKLIESFKTSGVTLLYGDLGDHESLVKAIKQVDVVISTLGGAQVDDQVKLIAAIKEAGNIKRFLPSEFGIDVDRHHAVEPVASFFGQKAKIRRAIEAEGIPYTYISSNAFAGYFLPTLGQQNVTSPPRDKVVILGDGNVKGVYVTEEDIGTYTIKAVDDPRTLNKVVYFRPPANVLSFNELVSLWENKIKSTLEKIYVPEDQLLKSIQESPFPANLMLALGHSMSVKGDCTNFEIEPSFGVEASEIYPEVKYTTVDNYLNAFV >RHN72207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5799347:5804007:-1 gene:gene7893 transcript:rna7893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Galactose-binding domain-containing protein MACVHDHSCEDHDCSSDWSLYKHIDLEKVSALNEATPGSVKSVFKAWEHRLNTSGEHLESNEGDPELIVFIPFTSDVKIKSISIVGGADGTSPSKMRAFINRDGIDFSDAQSMQAIQEWDLAENLQGVLEYQTRYSKFQGVGNITLHFPDNFGGDTTKIHYIGLKGEATQLKRDVVATIVYELMPNPSDHKTRAESGGGLSHVG >RHN72990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12394675:12398263:-1 gene:gene8759 transcript:rna8759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative complex 1 LYR protein MPTLQTSLPPELANNAIRLYRECLRRAKYIGHRQHNTQLLVDMVRQQFKKNLHETDPDKVQKLKDDAARGLINHILYESEKISGRKFTKTPPKEA >RHN64500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59566906:59568685:1 gene:gene27320 transcript:rna27320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGFYTQQSISNLTISQAIYEAALIIAVLRWIICLVFKIIKHTRTKPVEDQQQYSSCCQMLPLTSFGEIKERHPETEETCAVCLNKLKMEDEVRELMNCDHVFHKECIDKWLEHGHDNENHNQTCPLCRAPLINSYSLSSEISSCGFSPPQPSWAVERLLYLFGDDLLPC >RHN53684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3324800:3325275:1 gene:gene28617 transcript:rna28617 gene_biotype:protein_coding transcript_biotype:protein_coding MARMKHQLKFCAKDEQKERLVCSTKVWQEVCQQEKVSPKSDVLGP >RHN76425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50157925:50159033:-1 gene:gene12748 transcript:rna12748 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSIQFLMLQTHSFEGARTSQNQINNQNGSLLSLRFHRRCRRCLTGCGNRISPSTSTTTVNCA >RHN56165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28433615:28438880:1 gene:gene31523 transcript:rna31523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-deoxy-7-phosphoheptulonate synthase MSLSSTSSTSLIPTKSLLQPTKPNPPSFPIGLKPMPKPKPGSILAVHAAEPAKNPVLTEKPSKPQPTTIPRNASTKWTIDSWKSKKALQLPEYPSQEDLEAVLKTLDAFPPIVFAGEARTLEEHLGEAAMGNAFLLQGGDCAESFKEFNANNIRDTFRIILQMSVVMMFGGQMPVIKVGRMAGQFAKPRSDNFEEKNGVKLPSYRGDNINGDAFDEKSRTPDPQRMIRAYCQAAATLNLLRAFATGGYAAMQRVTQWNLDFTEQSEQGDRYRELANRVDEALGFMAAAGLTVDHPIMRTTDFWTSHECLLLPYEQSLTRLDSTSGLYYDCSAHMIWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPNELVKLIEILNPQNKPGRITIITRMGAENMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTIKAPCGLKTRPFDAIRAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSKIVTFDDLSSRYHTHCDPRLNASQSLELAFIIAERLRKSRIRSQPPLESTGF >RHN40358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15574451:15574858:1 gene:gene46505 transcript:rna46505 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSFALISSLCNASNNVMLKENQTMNIYPVSNSTKVFIALPLNSESLQFDCEPKEVGSFVTQPGKTLEWHLSYNDIVSCSVHWGHLESFFQANYDQFGTIVPDFWIIKIDGFFHTVDHIHWDRRAIWRNKPII >RHN44214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2467802:2471298:-1 gene:gene38304 transcript:rna38304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyvinyl alcohol dehydrogenase (cytochrome) MFRFDCLFLYMSHYFKINLLNKLLANCHIIFMLSFMSPLKFTMTNIVLCLLLFCVHVILIFAASSESDWINHGGDLFNRRYAYKEQKINIETVPKLSLKWKFYANKDITATPTIYNGTIYFPSWNGNIYAIKQVDGSLVWKQNLEKLTGLNTTGFIINANWTLARATPTVAEDLLIVGIYGPAVVIGLERRTGELVWLTHLDNHPAGVVTMSGTYYNGSYYVGTSSLEEGATIKDCCIFRGSLVKLDAQTGDILWKTYMLPDNNGKIGAYAGAAIWGSSPSIDVYRKHVYIATGNLYSAPKNVLECQERQNNQTTPIEQDKCVEPENHSNSILALDLDSGNIKWYKQLGGYDVWFVSCNNASISNCPPQGSIPDADFGEAPMMLTTHVNGTKKDIVVAVQKSGFAWALDRDNGTLLWFTEAGPGGLAGGGTFGAATDEKRIYTNIANSDAKIFKLLPSNMNTTSGGWVAMDASNGTILWSTANPSINSTASGPVSVANEVVFVGSTDRLGHIYAINGRNGHILWFYETGASVYGGMSISNGCVYVGHGYNVSLGFYSNYTGGTSLFAFCV >RHN80556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39050435:39052735:-1 gene:gene4495 transcript:rna4495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MEPNFNVSLPLVFLIITPFLLLPLHAKDETSSTYIVHMDKSLMPQVFTSHHNWYESTLHSTTTQSDDHVHPSKKLVYTYNHAMHGFSAVLSPKELDNLKKSHGFVTAYPDRTATIDTTHTFEFLSLDPSKGLWNASNLGENVIVGVIDSGVWPESESFKDDGMSKNIPTKWKGKCQAGQDFNTSMCNLKLIGARYFNKGVIASKPNVKISMNSARDTQGHGSHTSSTAAGNYVKDASFFGYAKGVARGIAPKARIAMYKVLWDEGRLASDVLAGMDQAIDDNVDVISISLGFNSVPLYEDPVAIASFAAMEKNVVVSSSAGNEGPHLSTLHNGIPWVITVAAGTIDRTFGSLKLGSGETIVGWTLFPATNAIVENLQLVYNKTLSSCDSYSLLSGAATRGIIVCDELESVSVLSQINYVNWAGVVGAVFISEDPKLLETGTVFSPSIVISPKDKKALIKYIKSVKFPTASINFRQTFVGTKPAPAAAYYSSRGPSKSYPRILKPDIMAPGSYVLAAFAPTISSARIGTNIFLSNNYNLLSGTSMSCPHVSGVAALLKAAKPDWSSAAIRSAIVTTANPFDNMQNPIMDNGNPSQFASPLAMGAGQIDPNKALDPGLIYDATPQDYVNLLCDFGYTHSQTLTITRSKKYNCDNPSSDLNYPSFIALYANKTRSIEQKFVRTVTNVGDGAASYNVKVTKPKGCVVTVVPEKLEFSVKNEKQSYSLVVKYKRKNKKELNVLFGDIVWVEQGGGAHNVRSPIVVAPSAFV >RHN69925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47365929:47370802:-1 gene:gene18458 transcript:rna18458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amine N-methyltransferase MENGKVAVVKSQSEARLAILELAHIMSVPTSLVAVLKMKVPEAIWQGGNNTPLSASQILSIVRPNGGGDAENLQRILRLLTTYAIFAEDLSSNGKRKYSLTEVGKALVPDDDGLSYGTYVLQHYQDQYLRAWPLVGEAVEDPTVEPFEKLHGEGAYAYCMKRPDEMSLFYASMSGMSMPHMNEMLEKYDGFKGVESLVDVGGNSGVILNMIMNKYPNILKGINFDLPDMISSAPQLPGITHVGGDALELVPAGDAIFTKWTMLTWTDEECKKVLQNCYKALPVNGKLIVCEPVSPELTDESQRTRALLSGDIFIMTMYKTKGKHRTEEQFKQLGISAGFLRFRAFHIDPYFPVLEFQK >RHN63204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49342312:49344298:1 gene:gene25880 transcript:rna25880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MANFEEASALKRIKMHLLDTQIPLFEFDTKPQLMEHESPKALTSSHHVNQPQRTVEKKPKLSRKPSLEIALPKKTEWIQFGKPDPKPEVVVQKPEVAEKQHYRGVRQRPWGKFAAEIRDPNKRGSRVWLGTFETAIEAAKAYDSAAFRLRGSKAILNFPLEVNAVAASAETTVEGNKKRCREEEEEEEEEVVEVKPVVKKEKITEYDMNCFKEMPLTPSFWTGLWDGDVKGLVSVPPLSPLPSFCLSPLVVV >RHN66362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11438252:11440170:-1 gene:gene14329 transcript:rna14329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MFHMNLIFTGVGILSVPYALASGGWLSLLLLFSIAAGAFYSGILMKRCMEKNSNIKTYPEIGELAFGKIGRLIVSISMYTELYLVSTGFLILEGDNLSNLFPIEEFQVFGLSIRAKQFFVILVALSILPTVWLDDLSLLSYVSASGVFASAIIILSISWTAAFDGVGVHQKGDIVKWNGIPTAVSLYAFCYCAHPVFPTLYTSMKNKHQFSNVLIVCFILTTVMYASMAIIGYLMFGSKVESQVTLNLPLNKISSRIAIYTTLVNPISKFALMATPITNALKDFLPRTYKNNRVTNIVVSTVLVISNVIVALSVPFFGSLMALVGAFLSVTASILLPCFCYLKISGSYRTFGFETVAIVVIILIGIVMGIYGTYTSVVEILQNL >RHN80192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36001768:36006272:1 gene:gene4090 transcript:rna4090 gene_biotype:protein_coding transcript_biotype:protein_coding MATATIVHDDCEVGFEEGMLWLPSYVLDEVCMKNQHQKVLTQQKLHHKSFGESQSQYSKSMSGSLYQKPKLTNRGIGNGMRAIFLESSHGSCGTGVFLPQRADTKFQPRKKPACAPVLLPARVVQALNINVHALGVQISPQQVQKYKPRRGEGHTSKSTEKKNDQKDGSKQCSFISQKQCSQRIFLPKEWTY >RHN68088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32763924:32770087:1 gene:gene16385 transcript:rna16385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MPLEGIFIEPISTSTTPPDLSLQISPPSTSNSSLICNNTTQTSNYEPHNSSRTTLAQAQAHTELSLGRNFSVSGGAQEQPQQHQQPHNPYYQNQQAHFPHFQHHNSTTTTTSTTTNSSSHMNHINYGVSLLDVSSTSSEGLRPIKGIPVYHNRSFPFLPAMEHSREKDPKMCLYHHMPNSTPSPSSSSSPLSPYIAAGGLDPMSFLNSSGSAAAYRAAVATRFNNEPFKSHQYPLHHHHHHHSHYGSVGLGSSEASMMRSRFLPKLPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSGLSDGSGEDDVPQIGSNGGIRQFSDQRGLTDRPIQQDMDYSSTNTLWSNSSSSREPWPQTSPNDIDGFRPTIFQSQPISGGHQIQECDSNQLKNNLSGSNLECKNPSLEFTLGIPDWNGKGQA >RHN51795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25215329:25217571:1 gene:gene36318 transcript:rna36318 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDETQFLWIYISPNYQMFQENRLQVFKLPKPVLCNEHVLLVELHGRAQKQGLLYYIGISHIQAVGLLILAAFKEIRHRSGKYILKYCPSIRVLYSSSSSSSCWMKYGIVVMFIFMMMFLPLAHFMALTDK >RHN77731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9015581:9020531:-1 gene:gene1217 transcript:rna1217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MCRANSFLCFLQIMVVAMLLHHHQTCDATNSNINKTCPPSSCGKISNIKYPFRLKNDPATCGDPRYELSCEKNITMLKLLSGKYHVKSINYNNYTIRLVDPGIKEGDCSSIPRNFLTSSNFTSSYNYTYYEDPYQNFQYRIPSGTLRLFQHVVYMNCSNPILDDPAYVDADSCIKSNSQGGHVYTIAGDLKVENIKHDCHVAAVTAISFLGYDYSSYKRDIFYQKFSYNEIHTMLLGGFEVAWMSGPCEDLCREPPCYLRETTWSLRCSDHGDYCITTMGFHVDCGSPSKLRMFVEGIMYGIARGFMQAFGATIYNGSRGISQSKLGIDIGTTIGKYVLAPYILVRLMLGLVVFFAKLIHKYRIRHTSMYENIEDFLQGNSLMPIRYSYTEIKKMTNGFKDKLGEGGYGKVYKGKLRSGPSVAIKMLGKHKGKGNGQDFINEVATIGRIHHTNVVRLIGFCVEGSKRALVYDFMPNGSLDKYISSREDHISLTYKQIYEISLAVARGIAYLHQGCDMQILHFDIKPHNILLDQDFIAKVSDFGLAKLYPIENSIVTMTAARGTIGYMAPELFYKNIGKVSYKADVYSFGMLLMEIANRRRNLNSNADDSSQIFFPYWIYNELVEEREIEILGEVTDEEKKNVKKMFIVALWCIQLKPNDRPSMDKVIEMLEVDIKDIKIPPKPSPYPTEIIQDNGVSSNESLSDDDVTGSISFLEETMEHPLL >RHN61601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36724927:36729127:-1 gene:gene24081 transcript:rna24081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyl-tRNA hydrolase MEMSWLSAILVGAGYLALGYFIGSHKNFIFSQKFLFSKDKDASLLNDDKKSKQKKNSKPKIKDSLEVEQLADILEDFKMILVVRNDLKMGKGKIAAQCSHATLGLYKKVLYRAPKALNRWEMSAQPKVVVKIESEEDMLALQERAKSLKLPTHITIDAGRTQIAPNSRTVMAILGPVEVVDEVTGGLKLL >RHN47631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41122484:41123790:1 gene:gene42253 transcript:rna42253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TTHA0068-like domain-containing protein MALLPSSSSSSSSSFLKTIYHTSSQFYHPYLIPSSLGFNPVTYPKQHLNFKSNNSKLFVVSYRYFTKEEDEEEEEHNFDEAVTLFNGGEYYKCHDYLESLWHNAEEPSRTLIHGILQCAVGFHHLFNQNHKGAMMELGEGLCKLRKMEFPNGPFLKFEKDISAVLEFIYQTQIELAACSDDICVAMDQSERSYQLMGEYAAGKRVYDLELGRDASVYIVFCPQGSNGATEAPRAKLPRLNATSEHLVAYEYK >RHN59165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8113407:8122974:-1 gene:gene21158 transcript:rna21158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative six-bladed beta-propeller, TolB MSLSLSLRFRRVKQISRLLYYSGDCFKHQCDKGLNSLPFSPKPIFNDGLVRGFHFHQHRFSTSVDVLHKHAPEVDLLSFIKSSLDTLEGTDHYWLNRSVKNEEFFGIHGIHGTFLVLAANNFDCGIMFQKLKAIQERFPHITIMGIKLINSSDRENQIQFLMTENITFPILLSQQTFPQIKEGACYILFRNFKSPKIYNEKDVSPEILCQDILELQMQPSDDSDWLNVVRSTTWRQDHIAKDEYICSPLQNLVLYYPGCVSADESESRLFISDCNHHRIIVCDDDGKIMDCIGSSPGFEDGDFESAKLRRPAGSYYNATEDCLYFLDSENHAIRRADMEARLVETLYPISTDNKGGGIFNWILNKLGLETSVRNMEKSEVLDPKRLYFPWHLLKSDDDDTIYIIDRRFQTLWTMDSGSGKVDKIFEGSPKILEICGQLIRQNLSTFDKIPCDQFQQKTNNVFALDGLPHSDRLSSLTTLQNHMFICDKVRQRILKVNIESGVCLDFQLSNFGLLGFPYWLNSPLETCYAGGNGLSDTAIDHLQQFDLLPGNIDIKLSVDVHADIEVVEPLHESCIWRQARGAAAEITGMDDPRSMDKVGVAQQWYDELDDLASPKADPESEVTEDDLDQNTAMEDDKIRINSCVGTSPGTSEVIIFAVLYCKLRKIPNSNDGNQEKYAARILDFLSSKRSGKRERDSWNAYLLQSKGDLRDLIFTKLVHIRVRINTSDHPKAENDRDFILTDSTIKVNVLLN >RHN56373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30407651:30409387:1 gene:gene31763 transcript:rna31763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MPRVVPWKLLSFIFFVFTLLSFSFSTIFTITNNCPYTIWPGTLAGAGTSALPSTGFQLDSGQAAKLTSVPGWSGRIWARTGCTFDASGIGKCQTGDCGGRLECDGNGAAPPTSLFEITIGQGDQQDYYDVSMVDGYNLPMLVLPRGVYGKSACNATGCVTDINRGCPKELQVVGGDGFQGSVIGCKSACEAFGSDQYCCSGQYANPTTCQPSFYSTIFKKACPRAYSYAFDDGTSTFTCKAYEYDIVFCPTSNKINKPNDAFPPPPPPPLSIGFPYEKVQQDSSSSSIILPFQVTIFLLVATISMLVPKTWALI >RHN65368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1545612:1548700:1 gene:gene13198 transcript:rna13198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSFQPFHNNKNKNPNFTSPPLKKTLLNSKTTESFNHMVLAMQLHSLTDPNSKTLIPNFDLTLLLSDEILLKILSKLPNSQSKSNFLVSKRWLNLQGRLIRSLKIFDLNFVLSGRLIYRFPNLTHVDLVPSTFVVHPQNGVVLITHRVVSMRVDTEWCFGFSEEVKGKNLLPVEAIDKGLKEVAKGCPNLRKLKVTGGSEEGLVSIGEECVTLMELELQKCNDDVLRGVAACKNLQVVKLIGSVDGFYESVVSDIGLTILAQGCKRLVKLELVGCEGSFDGIKAIGACCLMLEELVFVDHRMDDGWLAGVSFCENLKTLRFVSCKVIDGNPGLEEHLGYCVALESLHFQKCQLRDKNAMSAVFSVCRAAKEVVLQDCWGLDDGVFGLAVVCRRVKLFDIEGCSLLSTEGLESVIESWKDLECLRVVSCKNIKDSDISPALATLFPTLKELKWRPDTKHLLPSSLREVNMGKKGGKFFKWTHA >RHN66163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9345626:9347064:-1 gene:gene14091 transcript:rna14091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator A20-like family MAQRTENEETEFKVVSETLQQTTTIINLCIKNCGVVGNPSTNNMCQNCFTASTTILPPSSSRSVRSPKRSRQESSSSSEEEGSTDHDLVDEKTVSEVKRVVSRCSGCRRKVGLAGFRCRCGELFCADHRYSDRHDCGYDYKKVGREEIARENPVIRAAKIVKV >RHN73797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19949829:19950197:1 gene:gene9663 transcript:rna9663 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMLVRTNSPVLSDSRVLTEADNFEKACLAVTSHMYPQFFNHVCSVSELSYFDLSRFPTLFAVAVELEKGGDGSYGNGSAAISGVNRIKVKDLVKLHLTAMAEKRRSIDRSLSRSFFIRGV >RHN71536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:845459:848002:-1 gene:gene7147 transcript:rna7147 gene_biotype:protein_coding transcript_biotype:protein_coding MYCMRQINLKFEGKKNICQKHVLTTKRSERCLSSELVVGVGALILPLLICLSSFMWLHIYIYIYIYIYTYIYIYISIN >RHN48748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49925264:49928630:1 gene:gene43498 transcript:rna43498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(A)-specific ribonuclease MCNRKKTLTESIPLSPRSSNGSILTRSVWSSNLDHEFELIRSLIDQYPLISMDTEFPGVIVRADPGDSPFKNRGACLYAVLKANVDRLNLIQIGLTLSDHKGNLPTLDTENSYIWEFNFKDFDVARDDHAADSVELLRRQGIDFEKNRECGIDSVKFAELMMSSGLVCADSVSWVTFHSAYDFGYLVKLLTQRLLPDDLEEFLRLVKVFFGDKVFDVKHLMRFCTNLHGGLDRVCRSLKVERLIGKSHQAGSDSLLTLHAFQNIRELYFGKADGFVKYAGVLYGLEVR >RHN52050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30997549:30997947:1 gene:gene36666 transcript:rna36666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MIYITMFDCAVNEGLWDNGAACGRRYRIRCISGINKPCKVGSSIDVKVVDKITCTRSSCHQTFHMSTKAFAAISHASLMQISMLNTFSKFIHILIINSFIIFYNIQPHCLINLMLFIQDMIDIYDIPSRGHC >RHN55847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24696598:24703161:1 gene:gene31122 transcript:rna31122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MYCKMNTGFEKMEKNGNLTRRKKGGLATMPFIFANEVTEKLAVVGFNANMISYLTTQLHMPLTKAANTLTNFGGTSSLTPLLGAFISDSFAGKFMTITVASIIYQIGMISLTMSAVLPQLRPPPCKGEEVCQEASSRQLAILYVSLLLSALGSGGIRPCVVAFGADQFDESDPNQKTRTWTYFNWYYFVMGTAILVAVTVLVYIQDNVGWGWGLGIPTLAMFISIIAFIGGYPLYRNLNPEGSPFTRLVKVGVAAFRKRKIPKVPNSTLLYQNDELDASITLGGKLLHSDQLKFLDKAAIVTEEDNTKTPDLWRLSTVHRVEELKSIIRMGPIWASGILLITAYAQQGTFSLQQAKTMNRHLTKSFEIPAGSMSVFTILTMLFTTALYDRVLIRVARRFTGLDRGITFLHRMGIGFVISLFATFVAGFVEMKRKKVAMEHGLIEHSSEIIPISVFWLVPQYSLHGMAEAFMSIGHLEFFYDQAPESMTSTAMAFFWTSISLGNYISTLLVTLVHKFTKGPNGTNWLPDNNLNKGKLEYFYWLITLLQFINLIYYLICAKMYTYKQIQVHHKGENSSEDNNIELASTTV >RHN47107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37183048:37184759:-1 gene:gene41663 transcript:rna41663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxaloacetate decarboxylase MALVTTAEVCDANPQLILSGELRALQPIFQIYGRRQVFSGPIVTLKVFEDNVLVREFLEEKGNGRVLVVDGGASLRCAILGGNPVVQAQNNGWAGIIVNGCIRDVDEINGCDIGHVPITIAGTRIGDGEWLYADTDGILISRTELSV >RHN38480.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000010.1:332112:344789:1 gene:gene50677 transcript:rna50677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloroquine-resistance transporter MTSFCRRSPTAGTVSLYGQVRLLQPTNSTAGIHYGYSPQRQGISVLQLRRRTWIPVVEASGARERSDVSEEDEKKRIVEDNCRKSENQTVKVVVAAAAVGVFGVGNRVLYKLALVPLKQYPFFLAQFSTFVYVIVYFSILYIRYRAGIVTDEMLAVPKTPFLIVGLLEALGAATGMAAGAMLSGASIPILSQTFLVWQILLSTIFLGRRYKVNQLLGCFLVAIGVIITVASGSGAGKSLQEAGIFWSLLMMVSFLFQAADTVLKEVIFSDATQKLKGGSLDLFVVNSFGSAFQALFICLLLPFLSKLWGIPFSQLPNYLKDGAACFLNIGKLSSGCDGAPLLPLLFIIVNMGFNISLLHLLKISSAVVSCLATTVAVPISIYMFTLPLPYLGVASSLPTGFLAGAIILIMGLLIYTWTPPNVSSNASMTAPT >RHN78882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19531067:19540277:1 gene:gene2548 transcript:rna2548 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSIETTHHLTVRTTSLLRSFLFSSPSPNTSSYSSSFTFKTFTTLSKPQSQSHSFLLQQREHASSFITRRFHFASSVKRRVSSSSFPALDWNDAVSCSEVDVDADNVNHDGTIDHDSKPAIPVRAFFFSTSVDLKSLVEQNKPNFVTPSSRMTNYVVLKFGNLGDSKGPGSSFLNGTNGCYMVVFQYGSIVLFNVLEHEVDGYLKIVRKHASGLLPEMRKDEYEVKEKPALSTWMQGGLDYIMLQYLDVDGIRTIGSVLGQSIALDYYGRQVDGMVAEFTDINREMEATGKFKMQRKKLFQLVGKANSNLADVILKLGLFERSDIAWKDAKYGQIWEYLRDEFELTQRFASLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIALIGAEILLSLYDIVQRSAMNL >RHN48364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46999039:47007374:-1 gene:gene43074 transcript:rna43074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain, eukaryotic translation initiation factor 3 subunit A MTSFLKPENALKRAEELINVGQKQDALQTLHDLITSKRYRAWQKTLERIMFKYVELCVDMRKGRFAKDGLIQYRIICQQVNVSSLEEVIKHFMHLSTEKAEQARSQAQALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESLQLYLDTRFEQLKIATELELWQEAFRSVEDIHGLMCMVKKTPKPSLMTVYYVKLTEIFWKSSSHLYHAYAWFKLFLLQKSFNKNLGQKDLQLIASSVVLAALSVPPHDRTHGASHLELEHEKERNLRMANLIGFNLETKPDSREVLSRSSLLAELASKGVMSCVSQEVKDIYYLLENEFLPSDLALKVLPLLNKISKLGGKFTFASSVPEVQFSQYVPALEKLATLRLLQQVSNVYQSMKIENLAGMIPFFDFSVVEKISVDAVKQKFLSMKVDHMKNVVIFCKTSLEADGLRDHLASFAEQLNKARQMICPPDRKQSKLGALLPTLSEVVAKEHKRLLARKSIIEKRKEEQERQLLEKEREEESKRLRLLKIDEEAEQRRLATEIEQRKIQRLQREKEERDREEAEALRLEAEKRLKRKGKKPVIEGGQISRESLMQLTLVEQVRERQEMEKKLQKLAKTMDHLERAKREEAAPLIDAAYQQRLVEERVLHEREQQLEVELSRQRHAGDLNEKERLSRMMGNKEIYQERVVSHRQAEFNRLQRDRLDRISKILLSRKQEREKMRKLKYYLKVEEEKRQKLREEEEAREREEAERKKKEQAERQAKLDEIAEKQKQRLREIEEKAEREKREALLGRPAEPALRPYEPPVRPVDPGTAAPAAAAAAPAPGKYVPKFRRGGGETAGAPPPEADRWGNSGSRPDGDRWDRNSSSFGSGGGSRSSSTWSSSRMRDRT >RHN59923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14822195:14829406:1 gene:gene22093 transcript:rna22093 gene_biotype:protein_coding transcript_biotype:protein_coding MSVISRNIWPVCGSLCCFCPALRERSRHPIKRYKKLLADIFPRTPEEEPNDRKISKLCEYASKNPLRVPKITSYLEQRCYKELRTENYQAVKVVICIYRKLLVSCRDQMPLFASSLLSIIQILLDQSRQDEVQILGCQTLFDFVNNQRDGTYMFNLDSFILKLCHLAQQVGDDGKVEHLRASGLQVLSSMVWFMGEFTHISVEFDNVVSVVLENYGDIKEDSQNGNSTGRYSWRMVVNAKGELNVPMEDATNPGFWSRVCILNMAKLAKEGTTVRRVLESLFRYFDNTNLWSPEHGLALSVLLDMQSIIENAGQNTHLLLSILVKHLDHKNVLKNPNMQLDIVGVITHLAEKTRVQQSVAIIGALSDMMRHLRKSIHCSLDDSNLGTEVIQWNQKYRTEVDECLVQLTIKISDAGPVLDTMAVLLENMSNITVMARTLIAAVYRTSQIVASIPNLSYQNKAFPEALFHQLLLAMVHADHETRVGAHRIFSLVLVPSSVCPQPSSSNPPLAKATDIQRMLSRNVSVFSSSAALFDKLEKKQLSIDEDIPLDGKSNDSSVLNRLKSSYSRTASIRKPALTSTESTKVNNPSMMNRLKSSYSRATSVKRPQVTIIVEENATTQKQVLPIRLSSHQITLLLSSIWVQSIYPLNTPENFEAIAHTYSLVLLVARSKNSSHEALIQSFQLAFSLRSISLNENVKLQASRRRSLFTLATSMIVFTSKAYNILSLISIAKMALTDKTVDPFLQLVNDSKLQSVDDTVRQPSKAYGSKEDDEDALKSLSSIKITESQSNESFATMIVQSLGKPANESSVLKERLLNNFSPDDACPLGVQLSLDTTGYQSGLKDDKHSDMVDVPLFTIDDDIPASGLESQTSTDAQQQPLENLSLITVDDILGSVVETTHHVGRISVSTPSNMPYKEMALHCENLLAGKQQKISTFMGAQSLLANSFRIPLPDYNQEKDESTNSNVQPSLPLLQSGNPFLDSNLGAPSPTTLPESGPMLCATAYQQQAAFFQLPASRPYDNFLKAAGC >RHN57301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37965534:37966130:1 gene:gene32824 transcript:rna32824 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKELHVYHGKNRRMDDPIRNSPQETPTNHFQATPFKRNRPEISSSSTAPPVQYSRRNLPSSSGGVGMDDMWTSFFTEISGSGDDDASLIWHDNFPLGDLIDKHFSVEKYHEKVKELGFEKALQTSLEDCIRMTFLLRVIGKKFSDIEKENKAFVEEIAELKNLMISLKDERDNLKKSLDELSVESSASNVKDNQRV >RHN61387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35343888:35347315:1 gene:gene23850 transcript:rna23850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP synthase, F0 complex, subunit E MAPPPGPYSGTSTLALVARASAFSFGIVYGSIKLKYLKSKAKSHQKAEAKAH >RHN45580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23246956:23248228:1 gene:gene39953 transcript:rna39953 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVGVWRKTHKVFDEMLVRDLFTWSACEGFVYLVCLLGLP >RHN46031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27921366:27924896:-1 gene:gene40468 transcript:rna40468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MECGLDLHAKLVNETEQVGFGNLAEENWLNNTTNDQDGDLLGMNMNDDASMFYADFPPLPDFPCMSSSSSSSSSSTPLKTIACTSTTTTTTSSSSSASSSSAASWAVLKSDVEVDHQGYQKHGHPLDYHHGHPPTTLSSTASMEISQQQQQDLGVSNNDVVVGGGDCMDDVNIMDTFGYMELLEANEFFDPASIFQNEDENPLVDFTQEQQVQVQDGYQQHQQVPMIVHDDRENKPQQVNIEENNDDVFVCDGVNGEESIQGDELGACDGVSDEMSMVFLEWLKSNKDSVSANDLRNVKLKKSTIESAARRLGGGKEGMKQLLKLILEWVQTSHLHNKRLKENANTNTIIPSNIVPQQHHFQDPCQNQNTANTFAPESNACFNQTSWLDQTPLIVPPQQFSQPMVGVGVGFVADPYTNGSVSNNINPYQPAVTNEYHMLESNHSWGASQFNVAPNYNQSFVENNNNVLQPHGMNFGGYGNQYNSYQLFPGPGDRLMRLGPSATKEARKKRMARQRRFMSHHRHHNINHHQNIQGSDPLARLGSGDSCTNVAAGGSHANPANWMYWQTMTGGTAASLAPVVPAEPTQPPVDRDRPTMQTQSSHQGRSASDRRQGWKPEKNLRFLLQKVLKQSDVGSLGRIVLPKKEAETHLPELEARDGISITMEDIGTSRVWNMRYRYWPNNKSRMYLLENTGDFVKANGLQEGDFIVIYSDVKCGKFMIRGVKVRQQGTKPEAKKAGKSQKNQHGNNAANLAGTAVDNNGTPSSPKRKK >RHN72893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11583065:11586442:1 gene:gene8659 transcript:rna8659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyltransferase A, auxiliary subunit MTFERNSPARCYMTPPSSSSSWKSRPVRSPTVPFSERKKSPAASVSKDDLFHVIHKVPSGDSPYVKAKQVQLVDKDPGKAISLFWAAINAGDRVESALKDMALVMKQLNRSDEAIEAIKSFRHLCPSDSQESLDNILVELYKRSGRVDEEIGMLHQKLKQIEDGMTYVGRTTKHARSQGKKIQISAEQEISRILGNLAWAYLQKGDYKTAEEHYRKALSFEVDRNKQCNLAICLMQMNKVTEARFLLQAVTAATKNRKMDDSFVKSYERATQMLQEMESTAPSVDSVKDKGDNKFNETERFSGRNMSSPYSTPNLESSNGKTTGTVKSRTENNRSLTSDAKDSHHSHARRRLYESLDPAKSDPKVPPYTKPKRPSWGFNSHSDSKPSFVSYPNEKAPYIIKPNSTQNGFSPRTATNWRTRTPEGDAAIVKYGPTTTVKQGNKTTVFSSGSIYPLNTEAAMKFTKNDNNNKFTVTNEFAASVDTKDQNQDKKLAKKSWADMVEEEQQSEEYELFYKGYTNFDAQVFQNENENSNIVYQPPSRRSHYETESLNQNLEFMNLKDGYNAAPVNDTWLRNPTVRRSLFTNAEMTNERDVFSGEEKRTRRARLQVFQDITSSS >RHN80250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36542447:36542821:1 gene:gene4152 transcript:rna4152 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLPLPPSFLPHVFFFSSSQSYFLLSETLKHPLFFSIEPSLAFPHLRFTFSSSKMSTGNSSTGYTYGSLLYGEFETSRGMLPMCRCELPMVIYIATHKSESRTSILEVSQLDEKKYMSVVDLG >RHN46697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34157915:34159172:-1 gene:gene41216 transcript:rna41216 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLMQTPTTGTPKVRPRSGRTPLQPINTPADLTIHLSTKPKPKLDQSCFEITPIKKENCPITATVTPPLETSLAEELSAMKKKLERLRADKEKTEKMLKEREALLDAKMKEMEEKSEIQKNLEIQVDRLFRLKELKYRCMRVSPIRTLREKEHEKIINEATPSTSEVKTEETMPESESESESESVKDESEVLQSPGSACSQTNTTKHTKSEN >RHN48818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50489227:50490561:1 gene:gene43573 transcript:rna43573 gene_biotype:protein_coding transcript_biotype:protein_coding MHVNKLSSEQIKWNNTNNGYGIVLLVCKLTIHQVYITSLFFYYNFFFSIFKRLVEKAIS >RHN39747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10230594:10231055:-1 gene:gene45808 transcript:rna45808 gene_biotype:protein_coding transcript_biotype:protein_coding MILLFHIDDMHPVCRKVCLDTFKEHAVHCKELHGFKYKHDFVKNVIFDISKRVRVSVKKDGHVIFLTDSLDRRLKYGLANVMFYGLVGGKHVCVDLIGISPLVRLGVKAFMVGHTFLKVAPIKVVKQEKACSDNQHVFISFAFDIFGFLALEF >RHN68599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36943840:36946670:-1 gene:gene16970 transcript:rna16970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transport and Golgi organization protein MCIALPTKKVSWWEECDIVGGRDEIGGGTWLACSSQGKVAFLTNVLELHTCPEAKTRGDLPLMFLKSSKNPKEFAESLKREAQYYNGFNLVIADINSKSMVYISNRPKGQPITVQEVPPGLHVLSNAKLNSPWHKAQRLQFRFKEHLAKNGEGEIHVKEVIKKLMKDKIKADKSMLPNICSLDWEFNLSSIFVEVETPLGVYGTRSSAALTVRSSGKVSFYEDYLDDDNVWKDHVIDFHIQKKLKLK >RHN68618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37160466:37162665:-1 gene:gene16990 transcript:rna16990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microsomal signal peptidase 12kDa subunit MANDAALRTSILWLAAVILVVGICTYSFKKMFVTYVLGLVGISGVLLPDWDYFNRDVSRWPYPVTVEERSAASLSHGSVFLKFTCSPLRVIVYSVVYGYSIYKWWKYVSG >RHN42977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42319730:42323626:-1 gene:gene49490 transcript:rna49490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acting on peptide bonds (peptidase) METEQQSSMMDATLRFGALTYAAEALVTMLASAIVKATLLAPELYALMLTDMPTTKGDSKTQSQDKQHDAEGEDGNDDEDEEDDDGDGAFGEGEDELSSEDGGGYGNNSNNKSNSKKAPEGGAGGADENGEEEDDEDGDDQDEDDDEDEDDDDEEEGGEEDEEEGVDEEDNEEEEEDEDEEALQPPKKRKK >RHN69414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43264813:43267380:-1 gene:gene17883 transcript:rna17883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADP-retinol dehydrogenase MEKINSNDHEDSKPVVLITGCTGGGIGNALARSFAANSCRVVATSRSRSTMADLDQDPKFFLQELDVQSDESVNRVVNNVLDKFGRIDVLVNNAGVPCVGPLAEIPLSAIQNTFETNVFGSMRMVQAVVPHMATRKRGKIVNIGSVTGLVSGPWNGAYCASKAALHALTDTLRLELGHFGIDVVNVVPGAVKSNIGNSGIAIYNRMPEWKLFKPFEAAIRERALLSQGLRSTPTDEFARHTVAAVLRKKPPAWFSYGRYSTAMAVMYHLPLCVRDFLFKKAMKC >RHN45993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27606141:27615450:-1 gene:gene40425 transcript:rna40425 gene_biotype:protein_coding transcript_biotype:protein_coding MAECVTSPFCVKLHMQQKRPFTHSPFPHQTTNFKTIRRKYFRNECEFFHFLTLQKFKPSVFGVADLKITHRLRAFPLNCIGIGALVDSDGGTASNLVPVANQVLLMGSILLTYMAGVIPVDKSYTRDQKNNSVKNALRDSSDISGSAVQQKDQVESNYVLDVVREKLLNSLNALEKEAYAGDIILQSAKRPLSLKAVAEGPKLRLLWAAFLQVEEEVNNMSSVSSSVGMDDPVKVFSEVIQRSCHSICATWLEKEFFLVKGNTHQEFVSMMLEGVKGDNVIVQNITRSGKKDLYSELLWYLTYGLVRDDCCYDSRIFATHGVSILEDLVMALADGVASLYLEFISVDSDVSSKTNSLEVSFCALSTRELQKLRNEVALNQWLYHNMDTVVSMYEDRFDLCTLESQPIDLPDSSQTDKQSWWKNLTQQRSKTMSHELYCIAINHFSMPIKRTKELRALTGWRYYFSLLLELSDITMPIVRTVINKASEAISFFLVSLIGRSLGLIYTGIRQSLKWK >RHN47997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44150632:44159221:-1 gene:gene42663 transcript:rna42663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative colon cancer-associated Mic1 MSRKATTSKPTIGLRGSDGLSHAYIQYPPLRCNVPESGGLFYDDGNKLLLSPAADQVFSWKVGIFDPLTGPTTDSISEGPIIAIRYSLDTKVIAIQRSGQEIQFWDRETAETFSHKCKPESESILGFFWTDSRQCDIVIVKTNGLDLCAYKSESKSLQLVETKKLNVSWYVYTHESRLVLLASGMQCKTFHGFQISSADIVRLPRFEMVMAKSEANSKPVLAAEDIFIVTVYGRIYCLQVDRVAMLLHSYRLYRDAVIQQGSLPIYSSRIAGSVVDNVLLIHQVDAKVVILYDLFADSRAPISAPLPLLLRGFPRSSSSSQFSGRESESSDGNVASSHEAVTYADSWIFLVPDLVCDVANKLLWKFNLDLEAISASNSDVPSILDFLQRRKLEANKAKQLCLGITQTLILERRPVPVVAKAINVLVSSYSHSIKTCSYLKGLKPEMPLNSGAQNSDADVSTIERDAIGKSIIHESTARVDSETLDSEDESHFTNLEHNSKEAYVGGSVNNENSPSNEAHSSYVMQSSLLSVQEESQLTSAAISPDEMYNFVFSPVDEEMVGDPSYLVAIIIEFLHSANLEKIRVLPNLYVLIIQLLVRNERYAELGLFVVNKILEPSKEVALQLLESGRQNTQTRKLGLDMLRQLGLHNDYVVLLVQDGYYLEALRYARKYKVDTIRPSLFLEAAFVSNDSQHLAAVLRFFTDFLPGFKNTAEHNRYHRILNEMNSSMTA >RHN45209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13033386:13038669:1 gene:gene39439 transcript:rna39439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-dehydrosphinganine reductase MKANEVAQKAFYGIRRGSFIISCNLEGITLSLATSGLSPQRSFLMAFVEVIAAGIMCIAALSPVELVWKYREVAQAKEVSVNGALA >RHN46053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28093358:28094308:1 gene:gene40493 transcript:rna40493 gene_biotype:protein_coding transcript_biotype:protein_coding MMTYRNIQDLKAAGVRFKSSFTRRPKDINFYEGWLTAKLILPEIVVDDTSATTFLNLIAYEMCPDFENDYGICSFAAFIDSLIEDPEDVKVLRSKGILLNSLGSDEELVNFFKIISTDVVPNREIYYEVRRKINEHYSNSYKTWIAMSFHTYFSIAAVIALALTFIQTWFTVYPPNK >RHN80690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40120068:40121195:-1 gene:gene4647 transcript:rna4647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GeBP family MLSPLVSWILSTSSSSSSSSEEEEEESLDINNDDSDNEKFDNDNVEDQMDNVDDDEKDHFLCPYNVDEDQMDNIPIALAVSDASPVVTVAMAAPADDTNAATNTATTSATTTITTRSKRQYNKKYSDGVKQYQRLWTKQDEIELLKGYLDYIKQQGRANTTIQSGVASFYDQVIPKFSVEFNRNQLVEKLRRLKRKHKMTLDKGKEVQISFKIPQEQAIFEISHKIWGNDTDHDVLDVDDSRPVPESPDLIENIKLKAEDVDNCEETDKRAHKRVRLTTDDVNSKNYQSNSDATSLRGFIEETMKSCFSPLLKELLDDVPVEPPGALPMLLSTGEVRDEKWLKRRILELEVYLNRLELLQGQIKARLEELKSSLD >RHN76844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1742491:1748487:-1 gene:gene224 transcript:rna224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MLARKQGTYTILKDDDCIDDDHIVSGDDKSSITTASTSRKPDSHKKRFRKKTEVQDDQEDEVSSRKERERQVKRRITVNEDSGSESEEERLKDQREKDELVQHMRERDAAATRKLTEQKLARMEEDEAIRRSNAAEHDDMRCLRKYSRQEYLKKREEKKLEELRDDIEDEQYLFEGVKLSEVEQREHKKELYELIKKRSEHADNVNEYRMPDAYDQEGDVDQEKRFSVAMQRYRDSNAEEKMNPFSEQEAWEEHQIGKATMKYGSKNKKQASDDYQFVFEDQIDFIKASVMDGDKFDYHEMADSIEKSKAKSASEALAIQIP >RHN54425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9444126:9450194:1 gene:gene29463 transcript:rna29463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-coumarate--CoA ligase MLNVAMLHILSKYQPYRTRTNEDVTMTQDLVECSKGSHIPLTPICFLERAATVYGDKVSIIYNDHVRFSWRETYERCLKLASALVNLGISNGDIVATLAPNTPAHYELHFGVPMAGAIISSLNLKLDATTLALILEQLESCKIIFVDYEFIDSVLKASKIISQRNFKPPLIVLIQDHGQLVKDIPQDTLIYHELLEKGQKDFKISMPINECDPISVNYTSGSTGIPKGAVYSHRSVYLNSLATITRFDVNPMPVFLWTVDMFRCNGWCFIWLMPALGGTNICLRNNFSAKDIIDAIHVHKVTHLCGAPTLLEIIANCEIIRPFSHKVSVTVAGILPSFKILNKVAELGFDVNIGYGMTETLGPVIVRKWKQNFDDDITKLNYVDKKGVIDFMMVEVDVKDPNTMKSVPYDGKTIGEIMFKGNTSMSGYLKSSQVSHEAFRDGWYRTRDLGVRLPNGSFNLKDRAKDIIYSKGEFVSSLEVEAVLLNHPMVLKVAVVGRYDDDECLVESPCAIVKLKDGCNANIEDIIKFCEDQLDAHMVPKSVVFVDLPLNSTGKVQKFVIRENIKSIGWCDSIN >RHN53901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5193615:5194995:-1 gene:gene28866 transcript:rna28866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MLSSLYSSDFTALDKSLTPWDFSNILSPIQPTSPKLTSSSGSGEPNEKPVMDGSNRNMEDRKRRRMISNRESARRSRMRKQRHLENLRNQVNRFRVENRELNNGLQFLLYQCNRVRTENEWLRLERTMLGQKLSNISQNMVFQPFSSAWPCNIVTAE >RHN77133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4325281:4326138:-1 gene:gene557 transcript:rna557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MYTTVIDNMCKDTFVNDAFDLYYEMVASGISPNVVTYTALIDGFCVVGKLEEALGLFKKMISENINPNVYTFNGLVDAFCKEGKIEEAKNVLNTMMEKDLKSDVVAYSSLMNGYCLVNEVFKAQSIFKTMAERELSYDVRSYNIMINEFCKMKMVDEAIKLFEEMPLKQIFPDVVTYHTLIDGLCKSGRLSYAMELVDEMDDRGEPPNIITYCPIVDVLCKNHDGVLSSGKSLPSCGLSFSNKAFSSSSKLSLFLNVIEPKELGTKAIESASLLVLALAFTPAEC >RHN72701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9942205:9944688:1 gene:gene8450 transcript:rna8450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MSEQLGQLKVIVVQGKRLVIRDFKTSDPYVVLKLGNQTAKTKVINSCLNPVWNEELNFTLTEPLGVLNLEVFDKDLLKADDKMGNAFINLQPLVSAARLRDILRVSSGEQTLRKVIPDSENCLVRESSINCVNGEVVQNVWLRLREVESGEIELTLKLTTPVATSK >RHN44039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1207400:1208605:-1 gene:gene38087 transcript:rna38087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MASSRNPLPTLTSPPPSLSFGNSLHEPPLPTLPFDVIPEILCFLPVKFLLRSRCVCKSWNSLVSDPKFAKKHFCMSAARHIYFISYKRSDTEYSFRSYPLNSVFTKRATPQDKFEYFPNNFRGKRRIMHSYGFVGSCNGILCIADDNDKGLVILLNPSIRKFKELPLLETPPSAMFGNFETTLGFGYDSFTENYKVVVVMRYKMRVGSHYRDYVYKTEVKIHTLGTNSWKSIQEFPFGVVPIGQSGKFLSGTINWLTSIDLQRESPRFIVSFDLGKESYQKVLPPDYGGVDVCHFLALGVLRDCLCLASRDDTYSVKDVWVMKEYGNRESWTKLFNVSYRGDPKYSVFGEPIYIFEDNQVLLKFPRYLNLKLIDSRNGTFTSTGFNNTPEICNESLISPCS >RHN53928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5400499:5408068:-1 gene:gene28894 transcript:rna28894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MKGWNQIGVIETIYEEEREFSTTSSSPSLSPSSPPSLYSIVNAWSIDSGCETDVLIRVEGTCFRLHKERFISRSSYLKRHLTSLSDFTLSPPLNITASSFTTVAEFCYNRKIHLTPTNIAAVITTAELLGMKEGDINLRDVAESYFQRIVCMEGLTVLRSCMALFPEAETTASLGSRCLEALIWENDDVDDSVLDFIVEMQLRDFQMVVCSLNGRLVNHDVLYKLVDLYLKENKHGKVTEEQKTEICNSIDCTKLSPRTLVECVQNPIMPLRFIVRAILVEHLTTRRSITEAATTTTTTTTTTNARQHVEVQRTSLREFLQLDTTLRQNAQLKEAMNSTYSRIQSLEKELSCMKKFLQDHQAEDEKEKEKEKEKEQSNSVLNSERSASFHFVPVDENSKIQRGGRGSISSSGFVLDNMIKKNNESVRYKYNVDSSLGSCHEESVTPTKSGTSFRHRFITGLKNTFKISNSSSNLKDHQ >RHN75871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45728132:45734680:1 gene:gene12127 transcript:rna12127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP diphosphatase MVLAGNNKESWFRGQKRKMANKLINQLGLPKSIANIFTARNIITAKDALSLTEFELMELLDVGMSEVTSAMAHISELVCPPCQTALLLMEQRVRNESLAGHLPTQLKGLDEALCGGIPFGVVTELVGPPGIGKTQFCLKLSLLASLPVNYGGLDGRVIYIDVESKFSSKRLIEIGTKSFPEIFHKKGMAQEMAGRILILRPTSLSEFAESLQRIKVSLLQQQVKLLIIDSMAALVLGEHDCGASRQQALGWHVSFIKSLAEFSRIPIVLTNQVRSHVGDESLMYSFQAQSRSTKGDIPVTFDSHLVAALGINWAHAVTIRLVLESKSGKRFIKLAKSPMSPPLAFPFHITSSGIVLLDNDGIEMKGPEISTIHCQGQNALFNLEMAGH >RHN66316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10784853:10790721:1 gene:gene14275 transcript:rna14275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAAVLIGGAFLAATLQTLTDKLASIEFRDYITKTELNESLIDEMETSLLTLEVVLDDAEEKQILKPRIKQWLDRLKDAIYDAEDLFNQISYNALRCKMEKKQAINSEMDQNITDQFRNLLSTTNSNEEINSEMKKIYKRLQTFVQQSTAIGLQHTVSGRVSHRLPSSSVVNESVMVGRKDDKETIMNMLLSQRDTTHNAIGVVAILGMGGLGKTTLAQLVYNDKEVQQHFDMRAWACVSEDFDIMRVTKSLLESVTSTTWDSNNLDVLRVELKKHSREKRFLFVLDDLWNDSYDDWDELVSPFIDGKPGSMVIITTRQEKVAEVAHTFPIHELKLLSNEDCWSLLSKHALRVGEFHRTRNSTFEEIGRKIARKCGGLPIAAKTIGGLLGSKVDIIEWTTILNSNVWNLPNDKILPTLHLSYQCLPSHLKICFAYCSIFPKGHTHDRKKLVLLWMAEGFLDYSHGEKTMEELGDDCFAELLSRSLIQQSNDNGRGEKFFMHDLVNDLATVVSGKSCCRFECGNISENVRHVSYIQEEYDIVTKFKPFHNLKCLRTFLPIHVWRCNNYLSFKVVDDLIPSLKRLRVLSLSKYKNITKLPDTIGKLVQLRYLDLSFTEIESLPDATCNLYNLQTLILSSCEGLTKLPVHIGNLVQLQYLDLSFTEIESLPDATCNLYNLKTLILSSCESLTELPLHIGNLVSLRHLDISETNISKLPMEMLKLTNLQTLTLFLVGKPYVGLSIKELSRFTNLRRKLIIKNLENIVDATEACDANLKSKDQIEELEMIWGKQSEDSQKVKVLLDMLQPPINLKSLNICLYGGTSFSSWLGNSSFCNLVSLVITDCEYCAILPPLGQLPSLKDLEIFGMKMLETIGPEFYYVQIEEGSESFFQPFPSLERIKFNNMPNWNQWLPFEGINFVFPRLRTMELDDCPELKGHLPSDLPCIEEIMIKGCANLLDTPPTLDWLPSVKKININGLGSDASSMMFPFYSLQKLTIDGFSSPMSFPIGSLPNTLKFLIISNCENLEFLPHEYLDNSTYLEELTISYSCNSMISFTLGSLPILKSMFFEGCKNLKSISIAEDASEKSLSFLRSIKIWDCNELESFPSGGLATPNLVYIALWKCEKLHSLPEAMTDLTGLKEMEIDNLPNVQSFVIDDLPSSLQELTVGSVGGIMWKTEPTWEHLTCLSVLRISGNDMVNSLMASLLPASLLRLRVCGLTDTNLDGKWFLHLSSLRNLEIVNAPKLESLPNEGLPTSISVLSLTRCPLLEAGLQSKQGKEWHKILHIPAIIIDDKLIT >RHN67727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29775945:29776397:-1 gene:gene15947 transcript:rna15947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MFGEQVESQVTLNLQAEKWSSKVAIYTTLVNPIAKYALLLTPVINAIKIKVSCNYYNKRFTHMIISTSLLIISLIIAVAIPLFAYLMSLVGALLCISASILVPSICYLKISGAYKRFGYEMVINYSIIVVGVAIAIFGTYRSLVDIIQNL >RHN39542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8056989:8059424:-1 gene:gene45573 transcript:rna45573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MGGLMYLLVLCLGIIMQINHCLSNSMIMKCLPKKHGALFIFGDSLFDNGNNNYINTTIGNQANYPPYGQTFFRYPSGRFSDGRMIPDFVAEYAKLPLLPPYLHPGHPEYIYGVNFASGGSGALSQTSQGSVIDLKTQLSYLKKVKNLFREKLGHEKTKELLSKSVYLFSVGSNDYGSLLDPNSGSLLPVDHQQFVDIVIGNLTNVIKEIYDLGGRKFGLLNLGPFGCYPSIRMLVNNGTEGECIDEISAVARLHNNKLTKMLQKLENQLKGFKYSINDFYSAFSEVMKYPLNYGFKEASVACCGSGCGGNKEYELCDNVNEHVFFDTHHPTEKANQYFAKLIWNGNGSVTWPYNLKQLFEI >RHN78356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14353412:14358649:-1 gene:gene1900 transcript:rna1900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative MULE transposase domain, FHY3/FAR1 family MVISLDRKIGKYKVVDFVAQHNHLLEPPGYFHTPRSHRQISESRACQVVVADESGLKRKDFQEYVYKQDGGIDDVGYLQTRRMRSLMYGEVGALLMHFKRQRENPSFYYDFQMDVEEQITNVFWADAQMINEYGCFGDVVTLDTTYMTNKDYRPLGVFVGLNNHKQMVVFGATLLYDETIPSFQWLFETFLKAMGGEKPKTLLTDQDEAMAKAISVVMPETFHGLCTWRIRENAQTHVNHLYQKSSKFCSDFEACIDLHEEEGEFLNAWNVLLVEHNVSEDSWLRMIFQLKEKWAWAYVRKHFTAGLSMEYGTMNQKPIMSQDTSCLN >RHN59585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12289394:12295967:-1 gene:gene21634 transcript:rna21634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellular retinaldehyde binding/alpha-tocopherol transport, GOLD domain, CRAL/TRIO MAENNPPNPTPPHEETYSSPLTPEPELPVTQKTELTDEPSQQPETVTDTKGNPSQEEDVVAAEDSTEPSKEEQKEPNQNKIPQNLGSFKEESNRVTDLSESERTSLQQFKTLLTDSLKDDQQVSIYGVPLLEDERTDTILLKFLRARDFKPKESHTMLKNTLQWRKSFNIDALLDEDLGDDLDKVVFMHGFSREGHPVCYNVYGEFQNKELYEKTFGSEEKRERFLRWRVQFLEKSIRKLDFSPGGVNTLFQVNDLKNSPGPAKKELRVATKMALELLQDNYPEFVAKQVFINVPWWYLAFYTILNPFLTQRTKSKFVFAGTSKSPDTLFKYITPEQVPVQYGGLSVDFCDCNPDFSINDPTTEIPVKPSTKQTVEIAIYEKCIIVWELRVVGWEVSYSAEFKPDDKDAYGVIIQKATKMTPTDEPVVSNSFKVAELGKLFLTVDNPTVKKKRLLYRFKIKPYSD >RHN72537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8461958:8462326:-1 gene:gene8263 transcript:rna8263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative petal formation-expressed MNTSTKQKYYKINNKSILQILKPQFNSTVLEAVSDRIEMHHNIGERNNWNNLLLNSINMITLTAKTMSGVAAVTSGEVAPLLALKLSSALLFSAANGLLLIMNKIQPSQLTEEQRNATRLFK >RHN48374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47056334:47059874:1 gene:gene43085 transcript:rna43085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MAFCNQKSFQNWKPFIAVVLLQFGYAGMDILSKSALNKGMSCYVLVVYRHAVAFVVIVPFAVILEKKVRPKMTLSIFLKLVALSVLEPVIDQNLYFLGMKYTTATFAVAMFNVLPAITFVVAWILKMEKIKMRSVHSQAKIVGTIATVAGAMVMTLIKGPILNLFGIHESSAQIQHNGGVNLQHAVKGSIMITIGCFSCACFTILQAVTLETYPAELSLTAWICLLGTVEGGIVALIMERGEPSVWSLSWDTKLLAAVYSGIVCSGMAYYIQGVVMRYRGPVFVTTFNPLCMVIVAIMSPFILAEKIYLGRVIGAVVIILGLYLVVWGKSKDYDRPSPIIKDEILPAKQTIENNDKEKFHSHEVITSSNFGAIARDEQV >RHN56259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29336401:29336610:-1 gene:gene31631 transcript:rna31631 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSWLLATFSVIILYGFAHIEAFHQTHSSIFNLNQTYKPSFHFQPSKNWMNGISFNLTNFISTNNNLV >RHN72730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10229362:10230151:1 gene:gene8482 transcript:rna8482 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTENEGDKSSRGNDWEVVSLTTSTYAATPGPREVELKDDDKEDAYAINEAETSNALFMSGHFAFPPSKHENLPLETDYSEIHNESGDKGVAFEETYEEAAKPSGKDEENLTLEGLDVSKGFEEGTTLPDFGLTEKEESIYHSPAKYTSFPSETDIGVVTAYDENVVESETTESAEQETKVSPDDLSLSNNSSKDDKQNPSDLPCGAWWKRGVASIYAHAKEANTLWYVFITRYYSNNTTN >RHN75534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43090995:43096141:1 gene:gene11757 transcript:rna11757 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVLTRADVTASTASSLSPFVPSSNLPLISAFLSFALAQFLKIFTTWYKEKRWDSKRLLDSGGMPSSHSATVSALAVAIGFQEGIGSSVFAIAVILACIVMYDATGVRLHAGRQAELLNQIVCELPPEHPLSNVRPLRDSLGHTPLQVVAGGLLGCIIAFLMRKSS >RHN54764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11981743:11982514:-1 gene:gene29846 transcript:rna29846 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRENPRFFNLVCQLPFYPFGGKHWHVHTAQELDGSHCRIDKLHCRMLKV >RHN42135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35675096:35677608:1 gene:gene48536 transcript:rna48536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MRVPPPAVSSSSSSPQPKLTTTQNIVVNVPTPNPTPTPTTTTLCYEPRSVLELCRSPSPEKKPSQQEQEHNPEVEQEDHASLPNLDWWDSIMKDLGLQDDSSTPIIPLLKNTNNTSEIYPNPSQDQFDQTQDFTSLSDIYSNNQNLAYNYPNTNTNLDHLVNDFNNNQPNNNTNSNWDFIEELIRAADCFDNNHLQLAQAILERLNQRLRSPTGKPLHRAAFHFKDALQSLLSGSNRTNPPRLSSMVEIVQTIRTFKAFSGISPIPMFSIFTTNQALLEALHGSLYMHVVDFEIGLGIQYASLMKEIAEKAVNGSPLLRITAVVPEEYAVESRLIRENLNQFAHDLGIRVQVDFVPLRTFETVSFKAVRFVDGEKTAILLTPAIFCRLGSEGTAAFLSDVRRITPGVVVFVDGEGWTEAAAAASFRRGVVNSLEFYSMMLESLDASVAAGGGGEWARRIEMLLLRPKIIAAVEAAGRRTTPWREAFYGAGMRPVQLSQFADFQAECLLAKVQIRGFHVAKRQAELVLFWHERAMVATSAWRC >RHN68142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33168842:33173802:-1 gene:gene16447 transcript:rna16447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative retrotransposon gag domain, aspartic peptidase domain-containing protein MEEENTQLRTELASLREELAKAHDAMTALLAAQEQPVPVVSTAANVTPTVTTDPRSIMPSGYPYGLPLYYTANTGAGTSGTTNNGPIPGANLTPVSTALTQAATTVTEPIVNAVPLFVHANAHHGSIATTGTMEERMAELAKELRREIKANRGNGDSIKTQDLCLVSKVDVPKKFKVPEFDKYNGLTCPQNHIVKYVRKMGNYKDNDSLMIHYFQDSLMEDAAEWYTSLSKDDVHTFDELATAFKSHYGFNTRLKPNREFLRSLSQKKEESFREYAQRWRGAAARITPALDEEEMTQTFLKTLKKDYVERMIIAAPNNFSEMVTMGTRLEEAVRDGIIVFEKTESSTSAPKRYGNGHHKKKETEVGMVSAGAGQSMATVAPINAAQMPPSYPYMPYSQHPFFPPFYHQYPLPPGQPQVPVNAIAQQMKQQLPVQQQQQQQQQQQQYTRPTFPPIPMLYAELLPTLLQRGHCTTRQGKPPPDPLPPRFRSDLKCDFHQGALGHDVEGCYALKYIVKKLIDQGKLTFENNVPHVLDNPLPNHAAVNMIEVCEEAPRLDVRNVATPLVPLHIKLCKASLFSHDHAKCLGCLRDPLGCHAVRDDIQSLMNDNLLTVSDVCVIVPVFHDPPVKSVPLKKNAEPLVIRLPGPIPYVSDKAVPYKYNATIIENGVEVPLASFATVSNIAEGTSAALRSGKVRPPLFQKKVATPTIPPVEEATPTVVSPIATDILRIIKRSDYKIVDQLLQTPSKISVLSLLLSSEAHRNTLLKVLEQAHVDHEVTVDRFGGIVGNITACNNLWFSEEELPEAGKSHNLALHISVNCKSDLISNVLVDTGSSLNVMPKTTLDQLSYRGTPLRRSTFLVKAFDGSRKNVLGEIDLPITIGPENFLITFQVMDINASYSCLLGRPWIHDAGAVTSTLHQKLKFIRNEKLVTVHGEEAYLVSQLTSFSCIEAGSAEGTAFQGLTIEGAEPKKAGAAMASLKDAQKVIQEGQTAGWGKVIQLCENKRKEGLGFSPSSKVSSGVFHSAGFVNAISEEATGSGLRPVFVTPGGIARDWDAIIIPSIMHVSE >RHN75901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46035635:46038640:-1 gene:gene12160 transcript:rna12160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MDGKVEASSKEADESLSNGSKRGGWISSPFFIGMIAGMSLGSTGIVGNLIVYLTREFNIKSITATQISNVVVGSTSIFPVVAAIVADSFFGSFYVAFASSCILLLGTILLFLTETINSLKPHPCSKDSSTTCKPPTGIQYTVLYISILLISIGFGGSRFTAASLGANQFDKPEHQGTFFNWYFFTFYVASGAALTGIIYIEDNLGWAIGFGICAVAAFVGVVVFVLGYRFYRAEKPQGSAILDLGRVFVASARKWRCKLSSRAEDYYGSSSGHDVLVHVLPPPIPGKGLRFFNRAALITDADLHSNGTIKKSSWRLCTIQQVEDFKKIVGILPLWTSSLFLSIPIVMQMDFIILQALVMDRSLGYHFEIPAGSISVIVLISAPIFLTFLDRVLLPSWHKVTRKSPKLLHQIGVGHVFNVLGMVVSALVESKRLKLAHEHVSMSVLWLFPQLVLVGIGEAFHFPGQVTFYYQQFPQSLKSTSTATVSMLIGVAFYMSNALIDQVRRSTDWLPDDINHGKIDNVYWMLVLLGGINFVYYLFCSSPYKYENV >RHN45281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14313703:14314148:-1 gene:gene39526 transcript:rna39526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKNMTQIPKCVYLFFIFLSLFHVVTNGALPCAIDVDCPDAVFFIVFKCINNICIRIETF >RHN71181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57019462:57019863:1 gene:gene19832 transcript:rna19832 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRIMEKLNMYGLSHLFMTVFLHNLSTFMVQPAITDVTMAALCPGQDECSIAIYLTGFQQAVRYIVSPIYLNILHSFSKLTAYNNACSCQLSINYGAHKTYCVISCICFKHLIKLAYSLYYFGESKFVY >RHN78762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18125135:18125793:1 gene:gene2411 transcript:rna2411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative omega-hydroxypalmitate O-feruloyl transferase MSFLSKPPVTTSFTFGVNEINCLKKQCTTSSFNKITTFEVVIAHTWHSFVKSGDLPLSQSLKLCFTFSFRKKMKLPERYYGNGFVLVCAESTMKDLYVRLTIDVLKDKTVITDCSLALIISQVRQLGLEDVDFGEGKPFHLSPYAGKLFCIFLPVIGDPKAVRVCISLPKTMEGKFQRYMREIENWDKYEYKMPIWARM >RHN62425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43350666:43350944:-1 gene:gene25001 transcript:rna25001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 13S-lipoxygenase MAVINIGSSHSPDEEYIGDRNDKSSWFGESEIIDAFNQFSMDMKNIEKEIDRRNIDPKLRNRCGHGVSPYELLIPSSGCGATGRWVPNSATA >RHN39645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9040654:9040971:1 gene:gene45687 transcript:rna45687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MRNSTWTDQMLGLKGVTILKNGCALEEGLCICSQDSHCNPSKGLCIWVHLVGSIEVLVYDGSIFSTIGLDYPSKSYHAPSTLNPTQHSLLPLSISFIAELLAIDS >RHN41960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34358197:34361325:-1 gene:gene48340 transcript:rna48340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eukaryotic rRNA processing MELPLVNDDTMIDGEAEYLSEEMSPSESESEEDVKLAEPSKTAVNNRDALLDKLGDISWPENVEWIHKLSIDIDQEQEVDVNDDLARELAFYTQALEGTRQAFEKLQSIGLPFLRPADYYAEMVKTDSHMEKVKSRLLAEKQKMVEAEERRKAREAKRLSKEVQSQKLKERAKQKKDDIESVKKWRKQRQQSGFADDGADKALDFEDGKVFERSKKKRPGVSPGDRSGGKAKQAFGKGKKPKKGDVKNSKFGFGGKKGLKKQNTADTTNDFGGFSKKGAVGGSKKRKR >RHN60737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30046253:30049616:-1 gene:gene23094 transcript:rna23094 gene_biotype:protein_coding transcript_biotype:protein_coding MESSCCPQSKLPFPTLSATSKGEPKVSLVNDGMMNIQEIADERKLVCYPTGILASNDP >RHN48525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48172694:48181667:-1 gene:gene43252 transcript:rna43252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAD synthetase MEIDEAIRGCEDRRLQTKYNNATYVIQRALSLYSIEEVAFSFNGGKDSTVLLHLLRAGYFLHKTGQNSANGDVKDFPIRTIYFESPCAFPEINSFTYDIAATYGLQIDTIRLDFKSGLETLLKDKPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDIWAFLLTCEMKYCSLYDQGYTSIGSIYDTVPNSLLSISNKTNKFKPAYLLADGRLERAGRVKRKSSSGGQLTADSNGLDLHKNSTLTASIIAVGDEILFGIVEDQLGPYLCRKLHSVGWSVLQHSVVHNNIDSVAEEVERQKSRTDMVFIYGGVGPLHSDVTLAGIAKAFDVRLAPDEEFEEYLRKIIGDQCIGDRNEMAQLPEGITELLHHDSLTVPLMKCQNVIILSATDISEMEKQWDCLIELTKSSDLLTLLEPFISKHVTTNLSDVEIAQPLSKLCLEFPDLCIGCYRKARYGSVIISFKGKDPARLESAIEALQKKFTSGAFVEMK >RHN56304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29685104:29686255:1 gene:gene31683 transcript:rna31683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain-containing protein MEYQSFNSSPNYHELLKHRSSYTSSKVKLMVSFGGEIRPSLQDDHHFWYIGGTTKIIMVDRNIKFSDLVEKLSSTMFADACFKYQLPGEDLNDLISVHNDDDLENMMVEYDRMCRASPKQPVRLRLFLFPVRANNKNGHNSRSYSLFELLNSVHVPKFEDSSPPPMEDSTPPPPPPPEDSSPPPPSLTTMNPDLSQVECPETLPDLAAKDTNCGPEMVVETKIQEIQKVESVMDEQEVKVDGENGDVEVYPEENAEKVISLVTDEPAEEPAAAEDPVPEAPPGSFCSCGVQFPEPGLVPEPLSVQSSFTPEMHNLAADGYYSTGYSTELLPVYLIPTSSGLYQAMRPVTGPIGEPVYFAYVPVVNEVDYNGGQYAPNGSALPL >RHN58134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43974505:43975791:1 gene:gene33744 transcript:rna33744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEKKYVTAMQEKVGTYIHDDITFSILSKLPIKSLKRFGCVRKSWSLLFENPFFMNMVRTNLLSDDPSYHHNVSLMLLRQNLYGDIYTRVLYSFSGEKFENTAKLILPNPFQEGSETPYRFYRFEIFDFGGFNDFICVKCFLRSKYTPASKYESYARFALWNPTTEEFKVIPHSPNRIQPFAANGSHDVINFYSFSYACGFGYDSRTDDYKMINYVMFLAPPSYECIGYKPLGDTPEPFWKIYSLRSNSWRKLDVVMPLPIKHFSSTRDKVYMNGMCHWLGIIMHSDSEFETKLVSFDLNKEVFFTTPIPLDIDDGSLGEGSTQKQLVVLNGYIALITYEDQTTTCNISILGELSVKESWIKLFIVGPLHCVEEPFGMTKGKIIFRKKDREINWFDLRTQMIEVLDLKGEYCNIAVYKEDLLPIGGINK >RHN44615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6849380:6862085:-1 gene:gene38754 transcript:rna38754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, acetyltransferase A, auxiliary subunit MEAILVDSVQNSLRHFLHSNAIFLSHRLCAQFPSETNLQLLAGCYLQSNQAHSAYHILKGTQMAQSRYLFAISCFQMNLLNEAEATLCPANEPSAEVPNGSAGHYLLGLIYRYTDRKKNAVHHFKMALSMDPLMWAAYEELCILGSAEEAVAFFGEAAALCIQKQYLNFSTSPKLHSSTEDCNLVDTRHCVSEDASPRQSKLMQGLKDISGNHHGAPVLGGTSGQPINSGLSNISFYNTPAPTMTQLSGVAPPLCRNVQPNGSNMSTQSAENSPRSTVNSTIQARRKFVDEGKLRKISGRLFSDSPRRSSRLSGDASVNANPNTTAVSGNGTNYSSKHLGGSKPSSRAFRSVTVRKGQPWANENIDEGNHNDILDDSLLNITSTTSTSSSTMEAKSYEQEAANIPVGGQVLSSSKVITGASEILTLLRVLGEAFRLACLYRCQDALETYQKLPHKHYNTGWVLSQVGKMYYEFDYLEADRAFGLARQMTPYNLEGMDVYSTVLYHLKEDMKLSYLAQELIQTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLNPRFAYAHTLCGHEYVALEDFENGIKCYQSALRVDERHYNAWYGLGMVYLRQEKFEFSEHHFQMAFQINPQSSVILSYLGTALHALKRSEEALVVMEKAILADKKNPVPMYQKANILMSLEKFDEALEVLEELKEYAPREISVYALMGRIYKRRNMHERAMLHYGIALDLKPSATDAAAIKAAIEKLHVPDEMDDNL >RHN76638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51908351:51929268:-1 gene:gene12993 transcript:rna12993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MANKVVTKQELLERWRCIEEEEEEENADDDVDVVDLDPSKHRRIHLHKEQWFADAYNFIICLPTENHIWCGFWDIMAPLLETFYNYYKDDRHDSPLRRLWNRISHEMNHCLQCISQHHQAQDMYNTEYESTSIGPLLHILRKLDHERVTSHLSDINARITAQKYDSARDNAQVVIVLYEVLMFPILLDYQPLFTEFELFVEAIDNKHELALSGHQQFPGVYALLFCKRSVRSVGYRLAGSMGRVRTAADLEPLQPLLKKFIGCLEADALPLVVETSVPRTPLDRMSVWIGIKSLLGFLDPPAFEEGILENYPFFLDIVLNHISGDSLEFSHAVTCLRLLFEMLGCKLWLSSTLSPSVMRNTLLGQCFHIRNEKIHKDIFGLFQPFLQSLEALHDGDHEKQRRHFLYFLLHQVPVSSNFSILTRKLACQIALLIVHRGYKMNPPCPPFECAHMWGPSLVSSLKDSSLHSSLRQPAFDLIQTIIISDATALIYPVLNCCTTRSIDSSMECEFLKLDDESDDIWLPSIRDGEEQDCKSSWSEFNVQSDIASQECREWMCIPMLWADVLVDTSPSVLPLSFSKAVFWARSCFPMVEHESSAEMMLPVRSCLSSFAAEISSSYGWKVPTGSDDGGDGNKSNNSVEVLTMSFPLIRTFNRFTTHFLVQMRQEELRSRWIWEPLMSQSLILSLLDPNDDVRQFGKSMLEQVSETRGLSCGLKFLCSYRPSLCATILGLKHAMKLARLDSVLLKFHTLHHFWFLLCKLLKDEGVLGPQLPESSHGESRVSKFSSQGGFLKQPAFDSLHIDTDKHVINVELKIKEKFSCLLSEMAWPVFCRCLVKGKEFIDYNFCQMTCVRLLEILPVLVDKLCLFGEEELRNFTMLVKNKLGFKWLQNLMEWGKSSLKVVIVYWKRALTYLLNLFKGSCNNTSASAIMTIENLIMSDGYTLEELTEQVSRLSMSLSSKDSRNFQEGNVKLKSLVSKSLPFDKNFLSANIHSSSMEDKGLQILDSEVMTDKNDIETIVILSDDEAEPKVFPNAILPVSETGQNISDGNIIPHAAGNNLPASDREIQNVSYMKTSKETEETFQKKDTTAVFCHSSQKRDSANIRNKLAVTPDIDSKAPEICKREVISKSKDRVNLIKSSAEAVSTKNLNKIIDTVSSTANKILRDFRDSEDDPLETALKSVGRTQLYVPKPTSILKRKVIQLKTTLENRSACLHRVEDPTRRFKPPSLDDWYKPILEIDYFAIVGLSSARKDESRAVNKLKEVPVCFQSAEQYVEIFRPLVLEEFKAQLQNSFLEMSSWEEMFHGSLSVMSVERIDDFHIVRFVHDDGDSATCWSFSENDLVLLTKDPPHKSNHDVHMVGKVERREKDNNRSINIVCIRFYFQNGSSRLNQARRNLTERSKWHGCRIMSITSQIREFHALSSIKHIPLLPLILNPVNDSYCLDECKEADLSKLCQSLQQTLRSSFNVTQLRAISVSIGRAKQKKTVELSLVQGPPGTGKTRTIVAIVSALLASPSHKINGLKNPLNENMAQNSLSTYSRPKVDQSAAIARAWQDAAVARQLNDDVQSSSKSFGNCARQRVLICAQSNAAVDELVSRISSHGLYGSNGKMYKPYLVRVGNAKTVHPNSLPFFIDTIVDHRVAEERMHSKDGRNDLRVDSSAVLRSNLEKLVDSIRFYETKRANLRDVDSDVKSAMWDKTETSDAEIEMKLGKLYEQKRKLYKDLRNVQAQEKKANEETKTLRNKLRKSILREAEIVVTTLSGCGGDLYGVCTETMLCSKFRGPSEHTLFDAVVIDEAAQALEPASLIPLQLLKSIGTQCIMVGDPKQLPATVLSNIASKFRFECSMFERLQKAGHPVIMLTEQYRMHPEICKFPSLHFYDNKLLNGSQMSSKSAPFHETKGLGPYAFYDIIDGREAHGKNSGAMSLCNEHEADAAIEILRFFKKRYPAEFIGGRIGIITPYKCQLSLLRSRFLNAFGSSTIADIEFNTVDGFQGREVDILLLSTVRAGHSGTAASEINSSSIGFVADIRRMNVALTRAKLSLWILGNARTLQTNHNWAALVKDAKERNLIMTAKMPYQSLFKTAKNKRVFENSDNHARPSKHEKNVKDNGHNAPKKLVNDNDTLVKKNKSVSEVKDRNNDSRDENNFSVLGQNPQAKERDSKNKHISVKKDTTCVEGGREGKHRMKIRLGKTPLSKRQSKFQNSRTDLDHPVEKLGGGQASKPSESEKLAMHSRGDMSSSTEVTASSMKGCHKERVANNQDRASNQSMGAEISKRKQQREAVDAILNSCLISTKKDERSTEVSAKRSFSSSIANGNMKPPKKRSVRPDE >RHN74556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34717123:34721242:-1 gene:gene10644 transcript:rna10644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MATITSHAPIYDKKSELKEFDESKVGVQGLVENGVTKVPHMFYCEQSSINDVSVNESNSKLSIPTIDLKGIHDDPALRDEVVRQLENACEKWGFFQVINHGIPVHVLDEMIKGTCRFHQQDPKVRKEYYTRDLTKKVVYLSNFTLSEDQSAEWRDTLAFFWAPHPPNVDELPPVCSDIVNEYTKEVTALGSSLYELLSESLGLNRFHLKEMGAAESFFHLCHYYPPCPEPELTIGTVKHSDASFITIVLQDHIGGLEVLHDNQWIDVSPIHGALVVNVGDLLQLVSNDKFKSVQHRVLAKHAGPRISVATLFRTQHDHSPEGIPKLIGPIKELLSEENPPIYKDTSLKEYLKYRLASGFGASALSPFKL >RHN44443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4794845:4802117:-1 gene:gene38564 transcript:rna38564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein LONGIFOLIA 1/2 MSKKGLKSMKDEKKELQKQIGCITGFFQLFDRHRFITGQRTTKYIQNASSLGVTNNGNKELDSTKQKPKEKNQKIAKEKQQFSTESSVTSVSSSSCSSSMTSYEFNGIIKTESLSTKQIQISRNPHSKVSVDFFDIVKDSMHREAKELYVKTLTKQEIKGHGYSLNQHIDSPRPVIVSKEPLHTLSRSKKAHWDSPRLSYDALKSTTRYKELPRLSLDSKQGSIRGIDEGNKARNVSNGSQRGYERNSSAMLDKIQEPETSKRSSSVVVKLMGLEALPDSTQTGRTSVCSTDKNEIIERTITGDEYKKHQSSVSPRNRRGNNSTINVKPTSRFMLEPTTPWKQSDADQNYLLQDSSKVSDSNVKASKPSLSVYGEIEKRLGELEFKKSGKDLRALKQILEAMQRFTDSSSDTGSNNASLSENSKVQSPRVQQKDFPSDFVTVEQSNSIEGSKLPIVITKPTKVTRKANNPPSTELPIPDKSRLIKCSPTNGSLICKQKAKGIGSTTKITTKPFAQQVPSADKNNYFKTSKSMQSSKSPHETNGQNTIASRRLEKKFGVERRSAPTSPSSDSTVNRRKHNSQLVELSTSSSSTSKQSSSISQDRDEYYCEMNSHWRKFKHHVNVISSDFGSNRSLATQSDIEVIHLDQSANINQNNTFEELRMESYKAAIIVTTEQPSPVSVLDAAFYKEDPPSPVKTKSNVSKNLGEALSTDDDSEENSVAKILQEFDWTDEKFINVNNIKNPDHKYISEILISSGLVSDRNSNQILHSPGHIINPKLFCSLEQMKTNKSHFNIEDDAKQISRAIGPEKMQRKLIFDVVNDILVKKLILEELEGQKLKGKKLFEELCTAIDDLQPQNRNLNFVHEDDNLLSLLWRDLKDHNTIWTNCCSEIPNMVLDIERLIFKDLITEVVSDELVNNFGCHCRKILSPY >RHN81138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43592086:43594596:1 gene:gene5138 transcript:rna5138 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-tetrahydrodipicolinate synthase MDTLKSYTGVCFRGSGFPVHPSNLTTNKNSRNSYWRPTQAAVKSNFHLPMRSFEMKNRTCTEDIKCLRLITAIKTPYLPDGRFDLEAYDALVNMQIESGVEGVIVGGTTGEGQLMSWEEHIMLIAHTVNCFGGKIKVIGNTGSNSTREAIHATEQGFAVGMHGALHINPYYGKTSLDGMVAHFQSVLSMGPTIIYNVPSRTGQDIPPHVIQNLAQNTCLAGVKECVGNDRIKEYTDNRIVVWSGNDDQCHDARWGYGATGVISVASNLIPGLMRELMFGGKNPTLNSKLLPLIDWLFHMPNPIGLNTALAQLGVVRPVFRLPFVPLPLEKRIEFANLVKEIGRQHFVGTEDVQVLDDNDFFLVSRY >RHN69159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41472178:41472572:-1 gene:gene17592 transcript:rna17592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPAIRRTSFTSSQASSKAVNVPKGYLAVYVGEQMKRFVIPMSYLNQASFQNLLSQAEEEFGYDHPMGGLTIPCTEDIFMEITSRFNGL >RHN40897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23922546:23922911:-1 gene:gene47141 transcript:rna47141 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALLKIKINQNLPFRFLSKTNSILTRSVVPLAQPEASKLSLNNNFSLLGGVTYSLFARQMGTSKSPSTSRGRHPLSDEDDEDFDGKSDDESEFDDEFNDGEDEEEEEEAPKKKFSSRK >RHN81083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43188208:43189836:1 gene:gene5080 transcript:rna5080 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTNIRFLTFMLLITSMLVPCSFANANGTKKQDVTQKPNLNDILFSNNIGVQGLVYCKSGSKLIPLEGAVTRIECEAADEYGFETKPFSFLNDATNAKGYFLATLFQQELVAEKRVLKECRVFLEASPLNNCNYPTDFNKGISGAELHSYHFLHDNKMNLYTVGPFVFTSTPKSISNGY >RHN51702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23154589:23162801:1 gene:gene36203 transcript:rna36203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ent-copalyl diphosphate synthase MDCQYFRLCYSIEIMEYAPADVSKGYWTKKGICWARNSEVQDINDTAMGFRILRLHGHQVSPDSQVLFQEKILEDAKNFSAKYLKKKRAANELLDKWIITNDLPGKQTLYSCGLCSGCHGMLAYPDYGGENDVWIGKTLYRMPYMTNDVYLELAKLDYNNCQAMHYDEWKEEIQRW >RHN72863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11342093:11342323:1 gene:gene8625 transcript:rna8625 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPDTTSPPSRSRSFRAPSLDDGRILVDYNIQNESTLHLALRLRGGAKKRKKKTFTKISHNRVNMNLGRVSFWN >RHN69964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47633948:47635474:-1 gene:gene18499 transcript:rna18499 gene_biotype:protein_coding transcript_biotype:protein_coding MWFTSSRTSGKEDVAWIERFGEVESIGKRTLCSTTSGYYFTMHANLRKIRMSEENESFQRQLQQNREKELDKLQAAPIGEKWDYSSQSKEQIQAKLLNRQIAAMRSE >RHN61673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37363773:37380943:1 gene:gene24161 transcript:rna24161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoleucine--tRNA ligase MEDVCESKDFAFAKQEEAILSFWSNIDAFHTQLSRTKDKPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQSMTGHHVTRRFGWDCHGLPVENEIDKKLGIKKREDVLKLGIGVYNEECRSIVTRYVEEWENVITRTGRWIDFKNDYKTMDLNFMESVWWVFGQLYAKDLVYKGFKVMPYSTGCKTPLSNFEAGQNYKDVSDPEVYMAFPVLGDQHGASFVAWTTTPWTLPSNLALCVNANFTYLKVRNKYTGKVYIVAESRLSTLYNPKDKPKEAVANSSVSVPKNAKTKGTSSGKTDNVLDSFDVLEKFSGASLVGMKYEPLFDYFTELSDTAFRVVADNYVTDDSGTGIVHCAPAFGEDDFRVCIDNQILTKDKLVVAVDDDGCFTEKITDFSGCYIKQADKDIIEAVKAKGRLVKSGAFTHSYPYCWRSDTPLIYRAVPSWFVKVESLKEKLLENNKQTYWVPDFVKDKRFHNWLENARDWAISRSRFWGTPLPLWISEDEQVIVVIDSVAKLEKLSGVKVTDLHRHNIDHITIQHESGRVLRRVDDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLATALFGKPAFRNLICNGLVLAEDGKKMSKSLKNYPSPMAVIDDYGADALRLYLINSPVVRAEPLRFKKEGVYGVVRDVFLPWYNAYRFLVQNTKRLEVEGLAPFVLLDQATLKSSNVLDQWINSATQSLVHFVRKEMDAYRLYTVVPYLLKFLDNLTNIYVRLNRKRLKGRTGEEDCRTALSTLYNVLLLSCKVMAPFTPFFTEVLYQNMRKACNGSEESIHYCSFPEEEGKGGERIEQSVLRMMTIIDLARNIRERHNKPLKTPLREMVIVHPDKDFLADINGKLKEYVLEELNIRSLDPCNDTLKYASLRAEPDFSVLGKRLGKSMGIVAKEVKAMSQESILAFESAGEVVIANQCLKRSDIKVLRDFKRPDGKTETEIDAAGDGDVLVILDLQHDESLFEAGTAREIVNRIQKLRKKVALEPTDTVEVYFQSLDDDASISLGVLRSQESYIREAIGSTLLQFSLMPAHAVIIGEESFHGISNMSFSITLARPALMFNEKAILSLFSGDSKFAHNLQTYLLSRDHSNLKSEFQEGNGKKMVDSIEQQPAAEVVLGEHVFLTVGDYYVAEKSG >RHN71934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3697615:3701081:-1 gene:gene7588 transcript:rna7588 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPMEFSSNSLFFFFTFFIFFFFFSFVASNPSDSSFKTTYYHLCNDVVPASTTPPHAETSFDFAESLRIMSGYFSGGDPIFNKSADENISNRFSFHVTSVRRTTTDGVHELQAKVTIKQDKVGSDRSLVRFYPEARVSHWVRFTQRLKVSLTGFWSQSSGKICMFGIGTYGMKNMQNVNVVLKLRFPSNVTIFDSFITGTLESFDEMKNSLNHFEPVSIMALSHSSNYNFTMIGKENENGNCVAGSNEERLSHRNLNRDACSVFLRHTDKFQLDYGSQCNNVSCNPLGGAGGVKNLPAFTHFYSARCVERRKIQMLLAFPDSLYSGYEFPFRPNTTLISEGVWDEKENRFCGVACRILNFTETPYVGNCSIKFTLWFPSVLSLRNRSTVLGRIWSDKVVGESGYFSSIGFEGSWIGSRGLSGLQYKYTEIDRVRKSCGEKVTASGKGKKYPDGYSSDTSFSMSVTNSKGQVAQGYSSPLFVGDRRYNGQPYGVPFVPTNGNLKAHSSQYNNSLNVSYMIKFKLSPDFKFDSEGSATKVKIIAEGLYNRNTGVMCLVGCRDLRTNGKILLKNESLDCEIMVNIQFPPLNAKGGEFIKGTIESMRQKADPYYFEPLQLSSYSLYRNQVDASIWRMDFEIIMVLISNTLSCVFVGLQLLHVKKHTEVLPRISIVMLLVITLGHMIPLVLNFEALFKVNHNGVQNVFLGSEGWLEVNEVVVRMVTMVAFLLELRLLQLTWSSRQSEESQTGLWASEKWVLYMTLPLYFGGGLTAWFVHIWKDSRRKSSRPFHLSRHRFRFPRGHPYPLPSLWEDFKSYAGLLLDGFLLPQTLFNIVSNSEGKALASSFYFGTTVVRIMPHAYDLFRAHSSAWYLNISSIYADHRMDFYSTAWDIIIPIGGLSFAVLIYLQQRFGSRCILPKRFRKTSAYEKVPVIGNDDL >RHN61113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33048166:33048758:1 gene:gene23542 transcript:rna23542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MSELKNISILFIVVFAASMLRSTEAIDHIVGSRNGWIIPVDGHSFYSDWASNITFKENDVLVFNFVTGRHTVVELNQTYFENCNVNQNIQFLDTSPSPVRFTLNRTGVFYFTCSIPGHCASGQKLIVNVSASSPALSQGPSSPTSSVSSDIHIDLVATFSILIAAVAVNFLF >RHN70755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53764951:53769906:-1 gene:gene19370 transcript:rna19370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDEYSSKRANDGVIVPRKGMSTMFKDTATTRDRNGQVCSRLGCSSKVNSSKGAQIGSSEKGKSLRPSFRFSSNGKETIGSSSRTFSGSSSPGKPHRKPQKKLSSQIETDSSETNSVQDEPEVSKLTPPQKNQRGLQAEGENTDSSNGVLMEVGSSSLASNTRSRRNFHPKPGLRSQEIQSTGPGTRAGTSRYGLRNLKCNSISDVMPVGCTPSDSTLNKKKDAIKKRNCEGESSSTARGKKINGPLIDGRNSVSRNGLSISDSRISRNAPHRDRADSNIASGRTRRSIGGHGRGRVSGQGNANPVAPNQSLIMVPSFSYSGNLNSPGVQHHNSLETPSSPSSYSGAGTSSEELYGVMPTSPTEYGLTHSLINRDSFRRRYNMDGIAEVLLALERIEQDVELTHEQIHLLESNLFLTGLNFFDQHRDMRLDIDNMSYEELLALEERMGTVSTAVTEEDLSECLKRSFYQSSPSDNATKCCNENKDDIKCCICQEEYVEEDEVGSLLCEHKYHVVCIQQWLRLKNWCPICKASVTPSSSPSSH >RHN50280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5765430:5767792:1 gene:gene34552 transcript:rna34552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MIADDLQWLSRLSNLRYLDMSCVNLSLAVGWLSSISKIPSLSELHLSTCGLHQVTPKSIIHLNSSISLKLLGHGENSFNSSILQWIVNVCKVLTHLDLSFNSLQQNIPNDIGNMVFLQYLDLSFNELQGSIPKSFSSMCQLKKLDLSYNKLSGQLSHNIQQLCCAHNGLQELDLGDNPFESQPIPDISCFSSLDTLSLRNTNIVGILPKSFFHMPFLGTLDFSHNHLNGVDIIDETHLSNLSKLTVLDVTQNSLLFNLSSNWIPHFRLDTLHASSCTLGPKFPGWLKHNGELRNLEISNIGILDSFPKWFWNLSSSLTYLNVSYNKLNGPLPMSFPSMKLKYDHFFVWDFSFNNLNGSLPPFPELSSLNFLGGKLSDCWRKFEHLVVLDFGKNNLSGKVPNSFGALREIKSLYLNNNNFSGELPSLNLCHNLELFDVADNNLQGTLPMWIGHHLQQLIILRLRANKFQGNIPTSMCNLSFLQVLDLSTNNITGQIPQCFSHIIALSNLMFPRKRFDHSSYTFSIEGEMYEIGSFKDKAILAWKGSNREYGKNLGLMTIIDLSNNHLTGEIPKSITKLVALAGLNLSRNNLTGLIPNNIGHMETLESLDLSRNHLSGRMPPSFSYLTFLSYMNLSFNNLEGKIPLSTQLQSFDPSTYVGNSGLCGQPLINLCPSDVISPTKSHDKHATSEDEDKLITIGFYVSLVIGFFVGFWGVCGTLVIKTSWRHAYFKFFNNLNDWIHVTLSVFVNWLKNRLQVED >RHN65185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:89681:92414:1 gene:gene13002 transcript:rna13002 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCGVNVKNNLLYPTNSFSYGTTRSSFYNAEITNCKHFQRRRIPFLVVTANAGGKKKKNNNHGGGGNGDQSIPEGKNDGDKSNNNSDKRAHVNLDWREFRAKLYRDELKEIADADTHKEGGALHISKPLETKWAHPIPVPETGCVLVATDKLDGIRTFERTVILLLRSGTRHPQEGPFGIVINRPLHKKIKQMNPKNHDLVTTFSDCSLHFGGPLEASMFLLKSGEKLKLPGFEEVVPGLYYGARNCLDDAAGLVKKGIIKPHDFSFFVGYAGWQMDQLRDEIESEYWYVAACSSSLLYKALTDSSEGLWEEILQLMGTQD >RHN72166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5505766:5506200:1 gene:gene7847 transcript:rna7847 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGFDPNTKLAAVYYNGGTPPHLFRILDDVTLSGLKDELDRINRQLNHKDTRRVVGVEYRCPLSDSAGPLRFSRMKLKNDDDVRTMFSVFGQQSTRGPIELDASLVKSAEQILKSLHQTRNYEEIRALLEGPEEKEVSLDNP >RHN54846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12843357:12845117:-1 gene:gene29939 transcript:rna29939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MADVSRKRLAADMYLPDECWESVFQFLNENNYGGQSYLEHLSLVSKQFLSITNRLRSSLTLRGLLFLDSFRLPFLARLLHRFPALTSLDLTQIHCDLNDLLSKISHFSLDLVSLNLSNGSSAIPDNGLQALNGNMKKTLTSLKCFDMVPSRNKDLLLTLNCFPFLQEVDLSSPRGILQVEVRKFVSALPNLRKINLSGTFMSDTSLLYLCKNCKFLEEIVIIRCCVITVFGVASAIRERPGLRCLSVSMLRSLKKFELQNPSALLSASLFSLKGLTYLDFSFSYTPDKLFFSLAEKGLPLRSLVLKDCFGYSYTGIFRLLSKCQLLQHLDLQLTEFLNDQHVAKLSLLLGDLISINVSFCKQLTETTLFELVKNCPLLADINMEETSIGKTGWENPNSSMDLVVSPRVKSLRLKGNAWLRDKSIQMFADFFPNLELLDLSSCYCVFVGVGEVLRRSDKIRHLSLASSFGAKLLEMNLEVPKLEVLNLSRSATDDEALYAISKSCCGLLQLDLENCNDVTEKGVRQVVAKCTKLREINLRDCQNVAGNVVSEMVLSRPSLRKITIPPFYHPSDHERELFLHHGCLVF >RHN41338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29271909:29277997:1 gene:gene47648 transcript:rna47648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-exporting ATPase MNKIVIGCFVNRKPILKPQSFEVLLLFSRLSIHLLNFELSSLSSPNPFYLNPSIPILISFSSHFSAKSLSMASINLEQLKNENVDLDRVPLEEVFDHLKCSRQGLTSEEGASRLEVFGHNKLEEKTENKFLKFLGFMWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGIIALLIINATISFIEENNAGNAAAALMAGLAPKAKVLRDGKWSEQDAAILVPGDIISIKLGDIIPADARLLEGDALSVDQSALTGESLPVTKYATQEVFSGSTVKKGEIEAIVYATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGILVEIIVMYPIQHRNYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDTNLIEVFAKGVDKDFVILLAARASRVENQDAIDTAIVGMLPDPQEARAGINEVHFLPFNPVDKRTALTYIDSDGNWHRSSKGAPEQILELCNCKENVRKRVHAVIDRFAERGLRSLGVACQEVPERTKDGPGAPWQFVGLLPLFDPPRHDSAETIKRALELGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQGKNSANLEVPIDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGSYMALMTVIFFWAMNDTDFFSDTFGVRSLRDSPDEMMAALYLQVSIISQALIFVTRSRNWSVTDRPGLLLLGAFLVAQLVATVIAVYANWEFARIQGMGWGWAGVIWLYSLVTYIPLDILKIAIRYIQSGKAWNNILENKVDFLFIFALSFGHVDKDEPWRNSKTAFTSKKDYGREQREAQWASTQRSIHGLQPSSSYTFNENSSYKELSEIADLARKRAEIARLMEKNTLKGRVESVVKLGLDIETIKQNYTI >RHN47397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39434188:39436100:1 gene:gene41990 transcript:rna41990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MEPNSFIDLKIISCKDINAFNFFQKLTLYAQVSISTTNPKTKLTKQQQRTPTHRDTDDDGTNPEWNHQTRFNLSFLSSHPDPSEFFLSFEFRHDGLILGNKFLGECRVPLTDLIRDIDGAERFVSYEIRCDGKSNGIFHFSYRLTGIGNTNSSQILDGRISGYPVLTPDDYAPVQYHVPEIERPYPYCYPPVCEGPFAVRPAPSVSYPLIGGCNYYEYNFYPPPQPSVYPPPPVEPEAHHWQSGPYFENRW >RHN51305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16010870:16012170:1 gene:gene35721 transcript:rna35721 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGQSRKIVLLIAFLVLCCFSSFLLGAYARNLRIDSFEFHARHTGNGGVKHEDYVVSTMDYAAVKRKPPIHN >RHN40299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15079529:15081945:1 gene:gene46440 transcript:rna46440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDANRRVVRTLVSKLSSVSEKTRIESLIELRQLSKQDPSTRPIIAESGAIPYLAETLYSSLHPSQENATATLLNLSITEKEPIMSTRGVLDALAHVISHHSSTSAAAAVQSAAATIHSLLSSVDDYRTVVGSKREIVYALVDILRCHRSSPPRTVKDSLKALFAIALHPLNRATMVQFGVVPALFSLIVNDGRVGIVEDASAVIAQVAGCEESVEAFKKVSGVGVLADLLDLATGSSMRTRENAVSALLNLVRCGGDVVAGDVRDAVAFGAMDGIADVKDKGSVKGQSKAMELMRVMVGDVRSHGDVRSQGDVRNHGNVGLNSDSSFGSYMNEDSGTSF >RHN38880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2585084:2587672:-1 gene:gene44855 transcript:rna44855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEQIPYGVATSLFNRLASAAFRELGRIYGVMDELEILKNTVESIKAVLLDAEDKQEQSHAVQNWVRRLKDVLLPADDLIDEFLIEDMIHKRDKAHNNKVTQVFHSLSISRAAFRRKMAHEIEKIQKSVNDVVKDMSVLNLNSNVVVVKKTNDVRRESSSFVLESEIIGREDDKKKIISLLRQSHENQNVSLVAIVGIGGLGKTALAQLVYNDDQVQNLFEKSMWVCVSDNFDVKTILKNMVALLTKDNIADKNLEELQNMLRANLTGTRYLLVLDDIWNESYEKWDELRTYLMCGAQGSKVVVTTRSKIVAQTMGVSDPYVLSGLTPEESWGLLKNITFPDDAIGVNQTLEPIGKKIAEKCKGVPLAIRSLGGILRSKREEREWIDVLQGDFWKLCEDKDSIMPVLKLSYNNLSPQQRQCFAYCSIFPQDWKLKKDELIQMWIAQGYLGCSVEEQCMEDVGNQFVNIFLMNSFFQDAELNDDGDVSGFKMHDLMHDLATQVAGNDCCYLDSRAKRCLGRPVHILVESDAFCMLESLDSSRLRTLIVLESNRNELDEEEFSVISNFKYLRVLKLRLLGSHKMLVGSIEKLKHLRHLDLTHCDGLKIHPKSTSNLVCLQTIKLLMCVGLSRKVLSKLINLRHLVIKGSMTFKDETPSRFKKLSIQQYKGLTLSNWTSPLTNINEIYLDGCLNLRYLSPLEHLPFLKSLELRYLLQLEYIYYEDPILHESFFPSLEILQLIACSKLKGWRRMRDDLNDINSSHHLLG >RHN51807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25658468:25658779:1 gene:gene36341 transcript:rna36341 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLMKNLRREPHLKLPSTTALRRARRFSSSERWMEDRGV >RHN52272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33326527:33332990:1 gene:gene36926 transcript:rna36926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MITIIFSSSTSFMRYVVPIFFHKNLLPCFCCSFYSTSTLFPQIDNIDRENASSLFNRMLHMHPAPPISQFNKILASLVKSNHYPTVVLLCRKMELNDGVKPNLVTFNILLNCYSHLGQMSFAFSVLAKIIKIGYHPDVVTFNTLINGYCLKGENDELLRFYDKVVGLGFQLNQISYGTLINKLCKGGETREALQLLRQVDGKLVQPHVVMYNVLIDRMCKDKLVNVAFDLYYEMLAKRISPNVITYNTLLHGLCIVGQLKDAISLFKIMVSENINPTVYTFNILVDGFCKEGKVKDAKVVLAVMMKAGVKLNAVTYSTLIRGFCIVGKLKEAVDLFNKMILGKVNPNVYTFTILVDAFCKEEKIDKANYVLNVMMEKDVTPNVITYSCLLYGYCLVNEVNEAKRIFKSMTQRGVTFDVLSYTIMISKFCKIKMVDEARKLFEEMQSKQIFPDVVTYSSLVDGLCKSERIPNALKLVDEMHDRGQPPNIFTYSSILDALLKKHQVEEAIELFKEFKDKGIQPNVYTYTIFIDGLCKNGRLEDAWEVFEDLLVNSYNHNRNKYTWTVMIHGFCRKGLFDEALTLLSNMKDNSCIPDTVTYKTIISSLLDKGEKDKARKLCELIASGVL >RHN68061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32438932:32442347:-1 gene:gene16351 transcript:rna16351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Plus-3 domain-containing protein MICGSEEMDDNKAFKFPKQRNLNSATKSCQSAVSEKLPSGYAAIISSNLKLVYLKRTLIEELRKQPETFDDKVLGCFVRTKTDPNDYLQKNSHLLVQVIGINRSNKTNQEILLQLSNVPKDVPISKISDDDFSEEECQDLYQRMANGLLKKPTIVSLMCVSDLVFSMCHKKKLCLII >RHN53338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1062875:1067773:1 gene:gene28236 transcript:rna28236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 12-oxophytodienoate reductase MGKFNLAHRVVLAPLTRMRSYNNVPQTIAVEYYSQRTSKGGLLIAEATGVSDTAQGYPNTPGIWTKEHVEAWKPIVNAVHDKGGIFFCQIWHAGRVSNSGFDGVEIHAWGSRLPSSDPIPLLTPYKMGNFSLSHRVVLAPLTRIRSYGNVPQPHAVLYYSQRASKGGLLIAEATGVSDTAQGYPDTPGIWTKEHVEAWKPIVDAVHAKGATFFCQIWHVGRVSNSICQPNGQAPISSTNKLLTPQIGGDGFQMPQFTQPRRLRTEEIPNIVNDFRLAARNAIEAGFDGVEIHGAHGYLVEQFMKDKANDRTDEYGGTLENRCRFVLEIVEAVANEIGAERVGIKLSPFEKFGDCGDSNPQELGLYMVNALNKYGILYCHMVEPRMEILDEKTECHYSLVSIRKVFNGTFMVTGGYERQDGINAIAENRADLIAYGRLFISNPDLPKRFALNAPLNKYNRETFYTSDPVIGYTDYPSLE >RHN42627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39803314:39808488:1 gene:gene49091 transcript:rna49091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MGDEKKPKPVAIGVWPTIKPFVNGGASGMLATCVIQPIDMIKVRIQLGQGSAASVTSTMLKNEGVGAFYKGLSAGLLRQATYTTARLGTFRILTNKAIEANDGKPLPLYQKALCGLTAGAIGATVGSPADLALIRMQADATLPLAQRRNYTNAFQALYRIGADEGILSLWKGAGPTVVRAMALNMGMLASYDQSVEFFKDTVGLGEMTTVVGASSVSGFFAAACSLPFDYVKTQIQKMQPDAEGKYPYTGSLDCAVKTFKAGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQLQKLEKSYGL >RHN77128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4298800:4299191:1 gene:gene552 transcript:rna552 gene_biotype:protein_coding transcript_biotype:protein_coding MHEEDIRSGEIIPDTDDDEQDFEEIKDPRQAQDAAATADAVNKVLEEFIQSSASKKICVVSNLLIMASPVPLQAPPHDKVKHNSSVMCLILHHIFILYHFSH >RHN46456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31872971:31875371:-1 gene:gene40943 transcript:rna40943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MRFFHRSIQSYYGEGWGAIKKVLPLHSWGATMRPKFVLFGSSIVQYSYYEGWGATLSHLYARKADIVLRGYAAWNSRHALQVLDRIFPKNAAEQPALVIVYFGGNDSFRPHPSGLGPHVPLEEYIENMRKIAIHIMSLSQKTRIIFLSNPPINEAQMKHHINEFGQPIRTNEDCGIYSDACLDMCREMNIKVIDMWSAIQKRDDWRDVCFIDGVHLSNEGSEIVTKEILNVIKEAEWEPSLYWKLMPTEFGEDSPYDIVSLDGKTTVNYSNVPFPEDVDWP >RHN82391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53380652:53383548:1 gene:gene6554 transcript:rna6554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-WAK-LRK10L-1 family MALVYVLHFRFLLFSHLTVLLLLVLTRKGNGHSGDCPDSFGCGNLGFFKYPFTTVEFPSCGALAIQGCDNLNKTAMKYVQLTKRGKLFQVKKIDNHWSIGNTISIIDPNITKLLEKNACEAFSYVNITLPPPTPFGTFFMKNYITAFKCNHTRKLGIHPPSNFFINSSCHPYDFYFGDSIFDDESNHSFVSCSSLHLPVNELGFALSGNPFPFLTNEITFQFQSSYDCQQCHNHYHDKKNHCHVDSNGQIYCVARKGRSPARKLGLVLGVGVGPWIIVGLFLALRHYKRKSGPAQTQSQPSNNTYVDPYLNREVESTKLFFGVPVFSYEELQQATNNFDRRRKLGVGGFGSVYHGKLKDGREVAVKHLFEQNYRRVEQFVNEIEVLARLRHRNLVSLYGCTSRHSRELLLVYEYVPNGTVASHLHGDLARAGLLTWLIRMQIAIETASALAYLHASDIIHRDVKTTNILLDINFSVKLADFGLSRLFPSDVSHVSTAPQGSPGYLDPEYFQLYKLSEKSDVYSFGVVLIELISSMTVIDSAREREEVNLANLAAKKIRNGAVGELVDPSLGFESDSEVNRMVTSVAELAFQCVLGDMELRPSMDEVLQELKKIDGGNFEFDHLEKVHDSVGSSRYEEVHSPIVGTSIYRKQEVSTSPKSLTEKWESESITPNVSG >RHN82136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51480493:51487333:1 gene:gene6262 transcript:rna6262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MLIHMSKPSIIRLIVSLSTINMSILSLSPLSFLFLIIILFTSKAKADNNDQKFHYFCDQNNDRGNYITGSAYDNNLKFAIMHLTYNSKIDYGFYNTNYGQNNDTVNAIGICRGDINPQDCRKCLIGSKFNLTQACPNKKEAIGWYEDEKCMLRYSDRSIMGLNEIGPAYFAWNLNNATMADQFNILVKQLLNDLRSKAINGDSHRKYVVGKMPGPSSDQTIYGLVQCTPDLSGPQCDDCLLQSIAEVSRCCSNRIGARIIRPSCNLRFETSYQFYQPKAKLASHLP >RHN59708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12692596:12692847:1 gene:gene21808 transcript:rna21808 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S2 MTKRYWNITFEEMMEAGVHFGHDTRKWNPRMAPFISAKRKGIHITNLTRTARFLSEVCDLVFDAASIGKQFLIVGTKKKQPIQ >RHN79972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33984721:33985180:-1 gene:gene3836 transcript:rna3836 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLEFPSVGLLKLFLQPLKNLVVISLSVCSSVTLVACCSSVGFEELCQPSLRLCSKERFMLDVDQGAAGT >RHN51605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20810467:20818861:-1 gene:gene36077 transcript:rna36077 gene_biotype:protein_coding transcript_biotype:protein_coding MASISPTCSPTSLQLRLAFNPTKPPLSHHVRLRNINHPRLPPLLCSVHNAKAGSEWVGSDSKGDGLSGWSDSATGSLDNDSQKKKKKEESFGGVVGVGVAGVLLFTGLTYFALSLGKRNGSKEEQQLKPLTTHQEVLLSSDDDITGQVNAENKLEQENGKLEGQIDTSRDYSSPESDNIQGDYRTIDDSDIGSELVYDSKNTSNGINDATEHISVQEDLLHESARDDELIVPSENQIPLQTENTIDSFSDFDSNPAIDKTESTAYIKENQFNAEPENIPNYDGNPLDLNNKQQDEITSSSEIRNSDISETSSGLVADNKTETVSTVVNPETNNTISDPKILSEDDQDNIGLNKTQQVSYEEQSIPGNDHLSKSVFSPSTNSLVDDQVRNENNAVNKEISESPNSGSLFSAPGIPAPSVVSAALQVPPGKVLIPAAFDQVQGQALAALQVLKVIEPDVQPGDLCTRREYARWLVSASGALSRNAVSKVYPAMYIDNATELAFDDITPEDPDFSSIQGLAEAGLIESRLSRRDAQLSAIEDNSPLYFSPESPLSRQDLVSWKMALEKRQLPEADRKMLHRLSGFIDTDKIHPNACPALVADLSSGEQGIISLAFGYTRLFQPDKPVTKAQAAIALATGDASDIVSEELARIEAESIAENAVAAHSALVAQVERDINAGYEQELLLEREKINAIEKMAEEAKHELETLRVQREEDSITLEKERAAIESEMEVFSKLRNEVQDQLQSLMSNKVEIAYEKERIKKLREQAEVENNEITRLQYDLEVERKALSMARTWAEDEAKRVREQARALEEARDRWERHGIKVVVDEDLRKEASAEVTWLNAGEQYSTQGSVDRAESLLEKLKRMGADVRGKCRETIDKIILMVSQFISKLKEWASKTKLQAEEFGEAAISKAGKSANELQHSAIEFGLTVKEGTKRVAGDCREGVEKITQKFAHKFKT >RHN68122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33077067:33079620:1 gene:gene16422 transcript:rna16422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRQPCCDKVGLKKGPWTAEEDKKLINFILTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEYEEKMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPVTHKLLSNAIIEQTQTQSEQEPQQQSSSPIEMEHNVEFENDKNKEPQKPETSFESSTITEAKEQDQIMTPIFDSTMELMNELFTEEVPIIIPNEILVPSSSSTTTSTSSSTSTSNSSNFLEDLLLPDFDWSHDNNIENNSDNNNNNINMTLWDDDFIRSWDFVINDDDDGDMNSRVVMDSESWAYGLF >RHN59128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7741000:7746502:1 gene:gene21118 transcript:rna21118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MTEKAKQQRGGGGGFAFSFPVTVVISSIAYIYISTVFVFIDRWFGLFSSPGIINAVVFTVIAFMCVFCYRLAIFTDPGRVPSTYTPDVEDNTIPIHEIKRKGGDLRYCQKCSHYKPPRAHHCRGCKRCVLRMDHHCIWINNCVGHANYKFFFIFVTYAVNACIYSMVLLVGSLAYDGIHDEDKNGGSFRTVYVVSGLLLVPLTISLCVLLGWHIYLILHNNTTIEYYEGVRALWLQEKGGSIYKHPYDLGPYENLTSVLGPNILSWLWPTASHIGSGLRFRTVYDLTKGASTSK >RHN40189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14023034:14025700:1 gene:gene46308 transcript:rna46308 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEVKLSPTLIFFKPYSSHAHGNLEVKCCTQSQSKETTSSVQIKKQCLRCNTLYSDQQNSPVSCSFHGHTNGIAEKECITDDDCNRKYPMHANRGLQCLNGECKSSRIIKSR >RHN66437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12267179:12270340:1 gene:gene14424 transcript:rna14424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAATLVGGAFLSATVQTLVEKLASQEFCDYIRNNKLNSSLLAELETTLLALQVVLDDAELKQITNTAVKQWLDQLKDAIYDAEDLLNQINYDSLRCKVEKKQAENMTNQVWNLFSSPFKTLYGEINSQMKIMCQRLQLFAQQRDILGLQTVRGRVSLRTPSSSMVNKSVMVGRKDDKERLISMLISDSGTTNSSIGVVAILGMGGVGKTTLAQLLYNDKEVQDHFDLKVWVCVSEDFDILRVTKTIHESVTSRGGESNNLDSLRVELNQNLRDKRFLLVLDDLWNDSYNDWDELVTPLINGKTGSRVIITTRQQKVAEVAHTFPIHKHAFGSEVRGGSKCPNLEEIGRKIAKKCGGLPIAAKTLGGILRSKVDAKEWSTILNSDIWNLPNDNILPALRLSYQYLPSHLKRCFAYCSIFPKDFSLDKKELILLWMAEGFLEHSQCNKTAEEVGHDYFIELLSRSLIQQSNDDGKEKFVMHDLVNDLALVVSGTSCFRLECGGNMSKNVRHFSYNQGVYDFLKKFEV >RHN59991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16201482:16206159:1 gene:gene22169 transcript:rna22169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MGRGKIEIKRIENANSRQVTFSKRRSGLLKKAQELAILCDAEVAVIIFSNTGKLFEFSSSGMKRTLSRYNKCTDSSESASVEYKTEILPKEDSRMVEILKDEIAKLETNQLRLLGKGLAGLGIKELQHLEQQINEGLSSVKERKEELLMEQLEQSRIQEQKAMLENETLRRQIEELRCLFPMTEHVMPSYLQFHHVERKNSFVDNGVVKCPSLTRNCSDEKADSDTTLQLGLPSDVNHKKKTPEKKTFSNDFIESD >RHN39655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9161014:9162378:1 gene:gene45697 transcript:rna45697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MKDFIISPSSSSHENPSSQTPTLQQKLQFLLQIQSENWIYGILWQTTKDEKGKPFLSWGEGYFQGTKETTTSNKDAEWFYVMSLTRKFSIGNASSISLPGKAFALDSVLWLNSKHELQIYNCERSNEAHMHGIETLICIPTTNGVIEMGSYDNIQQNWNLVHQAKSMFQTSSSESNSNLDLTSTTPFDKCKTFIQNTSFANMGVVSGGSAREDPHEKPKNLQEKHKNVSSCSLDSEDSDSEYCPLLATKTTTAKNDSFEKREPKKRGRKPLTGTQTPMNHVEAERQRREKLNHRFYALRSVVPNVSKMDKASLLSDAVDYINELKAKIEDLKLGQQKESKKAMMKTMKIVDNNSATTTSIVVDQNKPSCSKNLIALEIDVKIIGHDAMVRVQSLNVNHPSARLMSVLKDLEYQVHQASISTVNKVVMVQDVIVKVPNEMRNEESLIRSAILMKL >RHN38515.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:476550:477711:-1 gene:gene50631 transcript:rna50631 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDHNIYLIGELICLLFINSFVVEAAIEICFQLGEQIPLLVR >RHN52880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39857191:39857929:-1 gene:gene37612 transcript:rna37612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arabinogalactan peptide, AGP MMTMMSIFTFPLLSLFFMAISHLGQAQGFDMSPAPSPTSDAQILDQGIAYFLMLVALVITYMFH >RHN54181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7613168:7619417:1 gene:gene29178 transcript:rna29178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MDPKNNVKNDDPPAESPTSVLEEEEVEVKSEEEVIADDGSSLVPKTMAEEEEKLLKVRVKEEEEKIEVAPNLNDSQFNKLDELLTQTKLYSEFLLEKMDDITMAAGEQEKPDEEESKPVAKKKGRGSKRKAASQCNTGKAKKAVEAMITRSKENVKTEDVDLTEEERTEKEQRELMPLLTGGKLKSYQLKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLSHLKSKGLDGPYMIIAPLSTLSNWMNEINRFTPTLPAVIYHGNKHQRDEIRRKHMPRTVGPKFPLVITSYEIAMNDAKKCLRSYSWKYLAVDEGHRLKNANCKLVRMLKYISVENKLLLTGTPLQNNLAELWSLLHFILPDIFSSLEEFESWFNLSGKCTTGATMEELEEKRRTQVVAKLHSILRPFLLRRMKSDVELMLPRKKEIIIYANMTEHQKNLQDHLINETLGKYLDKKRSIGRAPTSLNNLVIQLRKVCNHPDLLESVFDGSYFYPPVNEIIEKCGKFQLLDRLLERLFARNHKVLIFSQWTKVLDIMDYYFSEKGFEVCRIDGSVKLDDRKRQIQDFNDTTSNCRIFLLSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLATAQSVEGRMLKRAFSKLKLEHVVIEKGQFHQERTKPSIMDEMEEEDVLALLRDEETAEDKMIQKDISDEDLEKLLDRSDLVINSSTDDKAAVSTFSLKGPGWEVVMPTAAGGMLSTLNS >RHN73483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16888613:16888879:1 gene:gene9300 transcript:rna9300 gene_biotype:protein_coding transcript_biotype:protein_coding MIWMKWHCVHLSIEGFILYTLSLIKFAIYGAKSAVSHVVLREFKTCILHLGNYYLFGSNLSTTSICGTPVSASHSQLAVAHLFTLALI >RHN69369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42951042:42952365:-1 gene:gene17825 transcript:rna17825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSYPNPTINMSNPNLDSHHTTQILQPQQNPSNTPDPQVPLRNLSLVQTSIDSLRTLLSQSITTNAPVTNDQLTAVSNQIFTVLHHTIVNAAALVPGVSVFPSATFDSFNNNPLAAVEDSDCEIVELDAMELLAEHLHFCEICGKGFKRDANLRMHMRAHGNQFKTPEALAKPLNMVRRPTQFSCPFEGCNRNKKHKKFKALKSVICVKTHFKRSHCPKMYSCNLCRKKNYSMLSDLKSHMRQCGESKWKCSCGSTFSRKDKLFGHVALFEGHMPAVVLEDEVKGKQVVAEENEDPMEMALSKDDEIGMDEEFFHDFGSIDNYCLDEVLGFRRS >RHN53235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:282351:285917:-1 gene:gene28124 transcript:rna28124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MATTTTTTVVSSGRELTNPPSDGISNIRFSNHSDHLLVSSWDKTVRLYDATADFLRGEFLHGGPVLDCCFHDDSSGFSASADNTVRRLIFATGKEDILGKHDAPVRCVEYSYAAGQLITGSWDKTIKCWDPRGASGQERTLVGTYAQPERVYSLSLVGHRLVVATAGRHVNVYDMRNMSCPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSEASQAKKYAFKCHRKSEAGRDIVYPVNAMAFHPIYGTFATGGCDGFVNVWDGNNKKRLYQYSKYPSSVAALSFSRDGRLLAVASSYTFEEGPKPHDQDAIYVRSVNEIEVKPKPKALPNPTA >RHN46022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27801037:27805994:-1 gene:gene40458 transcript:rna40458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyltransferase A, auxiliary subunit MVVIEQENTDDRSAIPSNATAAGNDDSDGFETASEADLDSDCDDGGDISREEQKNHEQPKEQEGEQEQDVAQRSVSSENALINEEELKQKALSEANEAKVEGNKLFVDGKYEEALSQYEHALQVAPDMPSSVEIRSICHANRAVCFMKLGKYENTIKECTKALELNPAYVKALVRRGEAHEKLEHFEEAIADMKKILEIDPSNGQAGKSIRRLEPLAAVKREKMKEEMMEKLKEMGNSVLGRFGMSLDNFKAVKDPNTGSYSISMER >RHN42066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35173879:35174585:-1 gene:gene48457 transcript:rna48457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative salicylate carboxymethyltransferase MDQTLCWRSQILSRLWKRFVESLIINLLNIYKVFLNDLPGNDFNNVFRSLDTFKENLQAEMETEMVPCYFFGVPGSFYGRIFPNKSLHFVHSSYSLKFLSKVPDGVDNNKGNIYLASTSPSSVIKAYYEQYRKDFSFFLKCRALELVEGGSLVLTFIGKKKRRSIKQRVLLRLGSYGYGS >RHN79603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30468743:30472054:1 gene:gene3416 transcript:rna3416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MTEATQPRTIICIGDIHGYITKLQNLWSNLSHTIPPSIFSTATIIFLGDYCDRGPKTREVLDFLISLPTRYPNQRHVFLAGNHDFAFAAFLRLLPPPADGSEFSEGWKEYEESEEREGWFKDDGYEEMHLQGRRWSGKIKAKFNVAKGTEYQGSIYDAGPTFQSYGVPHGSADLVKAVPDEHKKFLANLVWVHEEDDVFMNTDNGVKCCKLIAVHAGLEKGVDVNEQMKILKARDTRIPKIQALSGRKNVWDIPEELSTSATIIVSGHHAKLHVEGLRLIIDEGGGYPDKPIAAIVLPSMEIIKDTDVLTK >RHN78828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18808663:18809528:1 gene:gene2484 transcript:rna2484 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLHTLDLNLVTSSNEDCQSILSSMSSFQTLTSLKLHVKIHPRVYQKAFFPDYLKFPALVNLELTNLMFRDSENVGDVEPFSVFQNLKNLILSGCATKNHANILISSLSLINLNIDDNLHRFLYIKLSAPCLSSITLTGTPVAIRCERSLAFAKELNFDTNTSPLGCSSLTLLNLLQQFPNIESLTVSARALKAVSLNPDWWKHKLLYLHHLKKLKVKMEPSITLPNGIVDFLLHNSPSTEVDMIDAGLH >RHN55714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21544879:21545364:-1 gene:gene30941 transcript:rna30941 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIALHLFIRREYANVPKYMFKHMTQQLSQVNNRCWVPYGRLLFEIFHQGGILQALSNVNAFTDIQLGIETGKIFNGGTLRHMKVIGKDDYKRLSTDMQESDAVSALMKDFQPICKQDALEVQMHFIKEHFALTGTKKSLREVPEEMYGGALPIAKSRKT >RHN51002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12785095:12788776:-1 gene:gene35362 transcript:rna35362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lupeol synthase MQAALLLSQMPSDLVGEKLETERLYDAVNVILSLQSGNGGFPAWEPQKAYRWLEKLNPSEVFEDSLVEKEYVECTGSALQALALFTKLYPKHRTKEIHNSIAKAISYIEHTQNPDGSWYGCWGICYLYGTWFAVEGLSACGKNYHNSPSLQKACKFLLSKQLPNGGWGESYLSCQNKVYTNLEDNRANLVQTSWALLSLIGAGQAEIEATPIHHGMKLLINSQMDDGDFPQQVIIFIYLFNKSIKYFSYYLKI >RHN71708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2075932:2076552:1 gene:gene7336 transcript:rna7336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MRSMLQSVLYVGFLTLSIPLPPLPPSDRDETGCLSWLDKQKSKSVIYVSFGTVATPPPNELVALTEALEESGFPFLWSLKDKLKGILPDGFLERTSYCGKIVHWGKKMREKAQKVKRTMLDAAGPHGKAAHDFKTLVEIVSSS >RHN40980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25326980:25327841:-1 gene:gene47239 transcript:rna47239 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPTKWIKFSKGKIVLMLIELRNHFQKSSRRKGTTDANYREKENREK >RHN62802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45940179:45941087:1 gene:gene25411 transcript:rna25411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA polymerase delta, subunit 4 MSSSVSGNMKGFYKQKKNTNLTTTAKSSKSIKPPTHASTSPSLNTNPDLQDEHNGSEAVLRQFDMNMKYGPCMGMTRLARLERAVKLGLNPPEEIAELLKSGKVQQESLWDTRI >RHN78288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13683513:13687216:-1 gene:gene1824 transcript:rna1824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MGLPFELVWHPQVPLKVSVFAWQVIRDRLPTKANLTIRGVVPADDILCVSGCGHVETAGHLFLSCTTFASLWQQVRDWIGFSGVDPNIITDHLVQFTHLPGVGKAKRSFLQLIWLLCAWVLWSERNNRLFNNSINIVPQLLDKVIKLLSLGWLKAKKVVFVYGTQRWWSDPFACLGLTN >RHN52745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38355956:38357035:1 gene:gene37448 transcript:rna37448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MVALLMCIIICWYKGESLILQVKFLFKTKNDINIEAFLKDHGALVQKRYKFSEIKKITNSFKIKLGQGGFGAVYKGKLVNGSLVAVKMLNASKGNGEEFINEVTSITRTSHVNVVTLLGFCFEGCNKALIYEFMSNGSLDKFIYNKGPETIASLSWDNFYQIAKGIARGLEYLHRGCNTRILHFDIKPHNILLDENLCPKISDFGLAKLCLEKESTIFMSNARGTVGYVAPEVWNRQFGGVSHKSDVYSYGMMLLEMVGVRNNIDVNTNQTSEYFPDWIYNKLEKESDLRPDIVMAIEENEIAKGMTIVGLWCIQTLPNDRPTMSRVIEMLEGSMNVLEIPPKPLVSSPTRSLLESSTS >RHN75334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41381963:41384473:-1 gene:gene11518 transcript:rna11518 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLCWINQMLHCFFFFLNCLFLCFIIGSASTTLMSNILYTHLYLTNFLSLRFPNFFLKVL >RHN47003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36376917:36377891:-1 gene:gene41548 transcript:rna41548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative O-fucosylpeptide 3-beta-N-acetylglucosaminyltransferase MSKPKPMKLTPTNIMSTMNNPKQLEHFQSSWNITKLVTIFIFLIFMSYLFYTIGFLAYNNTSHQFDKNPNILSPIKYQIEPIPKLPLEQKTNISHIVFGIGASSKLWNHRKEYIKLWWKPNITRGNVWLDKEVKIKPSDEKLLPTLKISSDTSKFKYKHPLGIRSGIRISRIVSETVRLRLENVRWFVMGDDDTFFVTENLVKLLQKYDHNGFYYIGSNSESHFQNINFSYNMAYGGGGFAISYPLAVALERMQDRCIERYPKLYGSDDRIQACMAELGVPLTIEKGFHQHIKCSFDLAVISYDGFVRF >RHN60015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16627724:16630296:1 gene:gene22203 transcript:rna22203 gene_biotype:protein_coding transcript_biotype:protein_coding MCIIEIDLFPNSLYGVYPICSSSVSNLPQIESFNQTEPQTRSTHLIHSSIEIVPNLHQSKPCDLHSTMRTLNIETLFFNTICSTKIQTQSVQIVPESFPTPQTLIFYCSRLTSNPKSQLFTPDLRFI >RHN76282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49129720:49135294:-1 gene:gene12581 transcript:rna12581 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDFHISGLRLLSRNITDLHKNIETVTDVGSAVKKNSTLTNSDQDSDDDQEQTRSWMKKVVLPTFKGTYPIGLMALIKIRIIEMRLLQVNLRFS >RHN54697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11385444:11387166:-1 gene:gene29771 transcript:rna29771 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMIKDERSANDPSSFAGLLLCLVVEEAIDSLVLFMEEAIDSLVLFMVMLVSDFNRSNERPRRWIEFLDQFGDRLISPNFGTILGWWSDFKDIYTLWSDFP >RHN50362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6489306:6491879:-1 gene:gene34639 transcript:rna34639 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GBP1 MSSTINKNKPFLFPQGNSTVLPDPSKFFSPNLLSTPLPTNSFFQNFVLNNGDAPEYFHPYLIKSSNSSLSVSYPTRSSNSAVISQVFHNDLTITSNQQNTKQSSNGKHIISSYSDLGVTLDIPSSNLSFFLVRGSPYLTFSVTKPTPLSISTIHAITSFSSNSALTRIKFQLNNGQTWILYASSPIKLSHFTSQITSDTFSGIIRIALLPGSDSKNEAVLDRFSSCHPLAGNAKLREPFCVEYKFEKNVSGDLLLLAHPLHLQLLSKSESDVTVLDDFKYTSIDGDLVGVVGDSWILKTEPVSMTWHSSKGVKDESRNEIVSSLSKDVEGLNSSATKQTKSSYFYGKLIARAARLALIAEEVFFFDAIEKVRNFLKETIEPWLDGTFNGNGFLYDKKWGGLITQQGSNDGGGDFGFGIYNDHHFHLGYFLYAIAVLVKIDPTWGRKYKAQAYSLVEDFMNLSIESNSNYTRLRCFDLYKLHSWAGGLTEFSDGRNQESTSEAVNAYYAAALMGIAYGDSQLVSIGSTLTSLEILGTKMWWHVKKNGKLYEEEFTKENRIVGVLWNNKRDSGLWFAPPEWREARTGIQLIPLAPISEDLFSDVDYVKDLVEWTLPALNREGGVGEGWKGFLYSLEGIYDNESALKKIRSLNGFDGGNSLTNLLWWIHSRG >RHN71631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1524741:1525866:-1 gene:gene7251 transcript:rna7251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MDVLLSLNSGLISISKPYQHHILPLSSRQPRMQFSVSCRATKNVPIHNELNDDGNLYKILCLSPKSATMDDIKRAYRTMALQYHPDVCHDRLKKEESTKMFVQLNAAYETLSNPKLKEEYDFELSLGLRRSRLMEQMVELNRRSHTRMAAGSSSSWAFPKRDVKNNSKRKAQNIHSH >RHN60972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31969260:31972478:1 gene:gene23378 transcript:rna23378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-VIIa-2 family MGINIVSAMGVCLGGQIKAEGPTNSVSGLSSKSVNVNTEDLSIPCSKVSGDLSSSSNTEVPTVSVPQTARGVEEILQSTNLKSFTSTELQVATRNFRVDSVLGHSVFKGWIDEHSSSAAKPGKGIAAAVKRLYQDGFKGHKELFAEVNSLGQLSHPHLIKLIGYCLEDDNSLLVFEFMPRGNLENHLFIRGSYFQPLSWSLRLKVALGAAKGLAFLHSTQTKAMYRDFQTSNVLLDSNYNAKLSNFGLAKNLSLVDKSHVPTKLTSGYTAPEYLATGNHTAKSDVYSFGVVLLEILSGRRVVDKNRPTRQHNLVEWAKPYLSNKHKILRVLDSRLEGQYELEDVFKVAILSLRCLSVEAKLRPNMDEVVTNLEQLQVPHVNGCNQNRLRRRSADDVARVRTSTTYPQRSASIPCT >RHN72760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10484872:10486669:1 gene:gene8514 transcript:rna8514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLKRAVAQPLSSFHSQSDHHQLLHRPISELNSLITSYIRRGHPISAFNLFLSLRRIRIDLDSHTFTPLLRPSPTSLGKQLHSQMIKTGSDSGTVPKTALLDMYSRHGSLNSSLKVFDEMLHRDVVAWNTLLSCFLRCGKPDEAIRVLREMGRENVEMSEFTLCSVLKCCASLKALEFGRQVHGLVVAMGRDLVVLSTALIDFYSSVGCVHHALNVFYGLKGWKDDMIHNSLVSGCIKNGRYREAFKVMSLVKPNAVALTSVLVCCSEESDLLTGKQVHCVAVRQGFTFETQLCNVLLDMYAKCGKILQAWSVFDGIFQKDVISWTCMIDGYGRNGCGYEAVELFWKMMEDGSEVLPNSVTFLSVLSACGHSGLVEEGKQCFNIMKEKYGIDPEPEHYACFIDILGRAGKIEEVWSAYQNMIDQGTSPTAGVWISLLNACSLGQDFERGEFAAKSLLQLEPNKASNIVLASNFYAAIGRWDCVGELRSMMREKGLVKEAGNSWIGEGFNGHARSLSA >RHN75969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46656575:46657937:-1 gene:gene12234 transcript:rna12234 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHHISVLLDPQYLFHLPISILFNAGFQVRQHLVIFEHLLPRMLMSHSGKDRHAISLIHKMGRQPDSAGSNMVATSSHQAAFPNLACYSDDE >RHN52352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34211095:34212879:1 gene:gene37016 transcript:rna37016 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine--tRNA ligase MEGEPSWDSPWGPGRPGWHIECSAMSACYLSHKFDIHGGGIDLIFPHHENEIAQNRAADKESRIKYWLHNGHVTNNNEKMSKSLGNFFTVREITERYHPLALRHFLISAHYRAPLNYSIAQLETSSDAIYYIFQTLEDCRDALSSFLQEDSEKKDQINAAEKCINKLKEEFQTEMNDDLQTHVILKGALLEALKFMNRSLQFKQSLLKVEQEVREVLKVLGLLSSLSYAEVLQQLKDKALKRAGLTEKEVLKLIEERKQARINKDFAKSDNIRTDLTAKGIALEDVDNEMIWRPCIPSKPLVAQAVSTDNKVPEVEEKLSTLAVSQKVEEIPADREGKGSHASSST >RHN47053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36817937:36818490:1 gene:gene41607 transcript:rna41607 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTSLAHEEEEGFDDYFNYTTVLPDPYQHVPEEKQHPLRVVAFVLFLQLLMLF >RHN50719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9742510:9743593:-1 gene:gene35042 transcript:rna35042 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKEELGLGEKFLEKKSDSDEIVEKEESLRKRVWEESKKIWRVAGPAIFTRFTSFGINVITQAFIGRTIGSTELAAYALVGTVLSRFVTGVLEELVGYFVLGAVCFSSSARGDL >RHN79497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29559970:29561732:-1 gene:gene3294 transcript:rna3294 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNGSGPKLDLKLNLSPPRVDHRRVESSPTRSATVSPTSPPSSCVSTELNQEDGNNQQRYSNSPEATSMVLVGCPRCLMYVMLSEDDPKCPKCKSTVLLDFHHENNNSKRRN >RHN49774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1036946:1042740:-1 gene:gene33983 transcript:rna33983 gene_biotype:protein_coding transcript_biotype:protein_coding MDENPNGVSSSKTTPHKSCSKRNGLSNKRFAAVDDGEGDIIECSGKYCKSCTTGLIADCVALCCCPCVVLHCFALAFIKAPWVIGRKCLGLGNKKKNKKKSGCKKGHKDIVLERNKEIDMKMDWPESPIDIVNVNVGFEAEKVWHELYQIGHLDFGRVSLSSD >RHN56689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32887030:32890412:-1 gene:gene32127 transcript:rna32127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MAATSENANITGVTPNGTTWVCEHLIKFERSKGIFLQDNPFSYTLPVLFLQTSLASLFTAILQFVLAPLGQSSFVPQMLAGLILGPSFLGESEFIRKWLFPPRTFYISETISFYGCMLFLFLIGVKIDLGIVIRSGKRAWAIGIFSFLSPLILCTFIAVLIQKLFLTPDQVIYETIFPIVLMLSTGSFHVTAIHLADLKLLNSEMGRIAISASMVSGSTSLLLITTIVTQKQGTLMKDSSNVNWMTICLLAMIAFTICVLRPIMLWMVRQTPEGQPIKESYVLSVILMLLGCSLFMEVIGEHFTLGPVILGLAVPDGPPLGSALTERLETMVSKIFLPLYFLFCGASFKLFLIDSRCFVTVQIIAVFAFLGKVGGTMLPSIYLKMPLTDVLSLGLLMSCQGITQLLYLQTSIALEFLDQETYGSGLIALVWVTGLITPIVKFLYDPSKRYLSLNKRRTIEQSASDIELRLMACVHDQENIPSMINLLEMSNPSLRSPICFYVLHLIQLAGRSTPLFIDHQPIYGKTKSSHSSYSRRIINAFRSYEQQKENSVVVKIFTSISPYETMHDEICMQVAEKRVCLLIVPFHRQWRPNGITESAHPVRALNRQLLRTAPCSVGILIERGALNRNNPLTSVSFYSVGVVFIEGEDDREALAYAMRMANQPNVSVTMVRIMEPRKKNKNMTNRDPNGDLIHRFKVDCIQIKRHDYKEEIARDSVEMINVIKSLEGCFDLILVGRRHECESGLFNGLSEWNEYPELGPMGDMLVASDSTFDGSVLVVQQQKRSGTGYHDLHLDSGFVTRQESLTIVEVPHDRKVWPMV >RHN43066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42960916:42961685:1 gene:gene49589 transcript:rna49589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >RHN54109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6969229:6971507:1 gene:gene29095 transcript:rna29095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative outer membrane protein/outer membrane enzyme PagP, beta-barrel MAVSLQAAATLMQPTKIGINNRSTTLHLKSTQSISKAFGLEHNGAKLTCSLQDIAHRFADSAKIAGFALATSALVVSGASAEGAPKRLTFDEIQSKTYLEVKGTGTANQCPTIEGGSDSFSFKSGKYNAKKFCLEPTSFTVKSEGITKNSPLDFQNTKLMTRLTYTLDEIEGPFEVSPDGTVKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPDSFSGEFLVPSYRGSSFLDPKGRGASTGYDNAVALPAGGRGDEEELGKENNKSAASSKGKITLSVTQSKPETGEVIGVFESIQPSDTDLGAKAPKDVKIQGVWYAQLES >RHN68556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36521585:36521911:-1 gene:gene16924 transcript:rna16924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MSLQDESKLYIFIELVTKGSLRSLYQRYTLRDSQVSAYTRQILHGLKYLHDQNVVHSIIWYGSHFQNNDVKSPVYGSCFK >RHN70984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55617284:55619917:-1 gene:gene19624 transcript:rna19624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-hydroxybenzoate polyprenyltransferase MPAALISCDSRRFLKPTLLSSGFSPSIFNPGSNVSVSPFKPSQFGVYNLENCKSVGSLSNFQLVVHISTSPSRLGNDKENKNANSCNVSSVEDISWVDLYLPRLVQPYARLARLDKPIGTWLLLLWPCVWSITLAAPPGHLPDFKMLALFGCGAFLLRGAGCINVLIDRDIDTKVERTKLRPVASGLLTPFQGLFF >RHN50584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8298842:8300287:-1 gene:gene34887 transcript:rna34887 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKKVLSICWKEGVRGFFVGNSTAYNILSTFDVIITFVLLQFREGVHVLEFYL >RHN53736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3672338:3676911:-1 gene:gene28676 transcript:rna28676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nitrate-transporting ATPase MSTLPTTQGKTVPDASDYKGRPADRSTTGGWSAAAMILGGEVMERLTTLGIAVNLVTYLTGTMHLGNAASANVVTNFLGTSFMLCLLGGFLADTFLGRYLTIAIFAAVQAIGVTILTISTIIPSLHPPKCKEDSKSCIQADSKQLLVMYLALYITALGTGGLKSSVSGFGSDQFDDSDDQEKKGMIKFFSWFYFFVSIGSLAAVTVLVYIQDNQGRGWGYGICAVAIVVALLVFLSGTRKYRIKQLVGSPLTQIAVVFVAAWRKRHMQLPSDSSLLYEEDDILCETPKTKKQRMPHSKQFRFLDKAAIKGIESGGTITIKEKWYISTLTDVEEVKMVIRMLPIWATTIMFWTIHAQMTTFSVSQATTMDCQIGTFQIPAASMTVFLIGTILLTVPFYDRFIRPVAKKVLNNSHGFTPLQRIGVGLVLSVISMVAAALIEMKRLKFARSHGFVDDPTAKMPLSVFWLVPQFFFVGSGEAFMYMGQLDFFLRECPKGMKTMSTGLFLSTLSIGFFFSSLLVTLVNNVTGPNKPWIADNLDQGRLYDFYWLLAMLSAINVVIYLACAKWYVYKDKRLAEAGIELEEIEASTFHA >RHN77000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3108406:3110013:-1 gene:gene407 transcript:rna407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative folate-biopterin transporter, major facilitator superfamily domain-containing protein MQEFVGIIYAIGAVASIIGVLIYHKYLKNYTFRNLVFYAQLLYAISGLLDLIFILRWNLKIGIPDYFFVVIEDAAIRITGKIRWMPMMVLSTKLCPLGIEGTFFALLMCIDSIGGLISKWGGGLLLRALHVTRTDFTNLWLAVLLRDILRFITLILVFLVPNVGPYEELISSEVCEMSKSDDIDEETLELVPLNAKTEV >RHN65609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3736412:3737958:-1 gene:gene13464 transcript:rna13464 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTNNNNILLHSTQVQVWNNAAFDGEDFAMNSSSDSIKENLNPSAFNIVPSSNKRTIDDEIAEIESEIKRLTSKLELLRVEKAERKIASEKRVSGIGTGRIVAAKFMEPKKNVTPKRNGVVFKEETPKRNGVVSDTPKSRVNWRRGMSLGPMEIAGKVMAPPAMTITPATVNRRKSCFWKPQESCEVMPSGITPATVNRRKSCFLKPQESCEENRRKTICKPNLNLNSNSVNSAVGSIKRVKKKDEEIAQVQPKKLFEGEKSVKKSLKQGRIVASRYNSGGGGGDARKRSFSENNKGLGSEIRAKKRWEIPIEEVDVSGFVMLPKISTMRFVDESPRDSGAVKRVAELNGKRSYFCDEDEEERVMVEEEGGSVCQVLNFAEDDDDDDDYGEQG >RHN42930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42022464:42025208:-1 gene:gene49438 transcript:rna49438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MMKPETVTLVLVNLAGIMERADESLLPGVYKEIGDELNADPTALGSLTLFRSLVQAFCYPLAAYLATRHNRAHVIALGAFLWAAATFLVAVSSTFLQVAISRAFNGIGLAIVIPAIQSLIADSTIDSNRGVAFGWLHLTGNLGSIIGSLFAVLLASTSIFGISGWRIAFHIVALVSVIVGILVRIFANDPHFPKDNKITTSYQTPNKSFYSEMKDLITEAKTVIKVPSFQIIVAQGVFGSFRGSSLSFFTLWLELIGFSHGTTAIIWTVFIISNSFGGLFGGWFGDFLSQRLPNTGRIMLAQISAGSTIPLAAILLLLLPNDPSTPFVHGLVLVILGLITFWCAPATNDPIFAEIVPEKSRAAIYALDRSFESTLASFAPPIVGVLAQHVYGYKPIPKGSSNSVKIIETDRENAASLAKALYSTIAIPIAVCVFIYSFLYFTYPRDKERARMVALVESEMEQLKVEGEEYYETHDNDEKVLLSR >RHN80528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38851796:38852848:1 gene:gene4466 transcript:rna4466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAALFIDEAEVVGFQEPKKILIDCLVKGRVERTVISVVGMGGQGKTTLAKKVFDSKEVVGHFECRVWITVSQSHNTEVLSRHMLEKLYGQKGEKPPKGITEMDRGALISELRKYLQKKRYVFVFDDVWNTSFWDEIEYVVSDNKNGSKIFITTRNKDVAMYCKKSSFIEVHELQPLTEEQSIDLFNKKAFQFDLEGCCPKELIDIAFEIARKCKGLPLAIVTIGGLLSTKGRNAFEWQRFSENMTVELRNDSHLTRIKKILGLSYDDLPFYLKSCLLYFGMYPEDYEVKSKRMILQWIAEGFVKEESGKTLEEVAEGYLIELIR >RHN63791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54046780:54048383:1 gene:gene26538 transcript:rna26538 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCISYGCICDIKLEEIDNLPFDATNTANLLTLSGVSLSCQVDAPKLYALESLVSSLAPKSASSSQPPPQQSSKSLSSYAPNSPLLLTAPAPMQEANDNDVPAPPSPNQAPIHVPDDGSIHFFLLLIFLASVFVIFSLIKVNADAYAQ >RHN54657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11091486:11094261:1 gene:gene29729 transcript:rna29729 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRGRKPKVSDLLKSPSPSPIASPVESSPKSALFTRTASTSKGVKRVAAALTTTPSPPRTTHKAQNNISDLKSLASSTIDELKRRIDRSHSEILKDLEASHSRLHKRFKMQSQGCQQAMDEAEKDYKKLSERITESREAMKASYEEFMAEAQATANRACKTSINELSQKYEKAIDSLRNRHGISSN >RHN54309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8515898:8520331:1 gene:gene29320 transcript:rna29320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative filament-like plant protein MDRRGWPWKKKSSDKITKAEKPFVTLDSVGSTLSSVAHLGNQDNCTNKNYVQISMESYTRMSGLEDQVVNMENQIKDLEANLSAAYSELDNKESLVKQHAKVAEEAVSGWEKADAEVVSLRHQLESITLSKLSCDERIAHLDGALKECMKQIRTVKEESEQKIQEVILMKSQQWEKFKLELEAEIHKLDKGLREEAHENASLLRSLHESSNKIVKLKEEKSEVESELELQKKNVKSYEKEVSSLKYELHMISKEMDIRNEEKNMIMKSAEVANKRHTEDVKTIALLEGECHRLRGLLRKKLPGPAALAQMKLEVESTRHVISGIHQRKTNGLQESEVLTKQLEVFEEETKRLKEALASSNAELQASRNLYTKTVNRLKSLEAEVQVLHQERSSQKSNLANNYRSSSSRISSNSPSITSISDGWHKDPGSPVESLASSVSDHFGNSRVRSSVKFENHESETFSELMDDFLEVEKMACSSDNASVQIGNKVKNYAVDKQSDDTLDLKDKNANLVEHEQLLEELKAQLASSHKSYNLAEIQLKCMTESYKSLQTHVEELEAENKFLKEKIDELKNDLEEEKQCHHDSLVRHKDIEEKMQRDKCLVCATNSAENNDINTQKDTELAAAEKKLAECQETLHVLGRQLQAMCPQTDSKRFQTNESSIKPNYGWSNSNGSYTSDEIDHAEACSVSSTSDIQGMNDEFSSSHNFGSTSCLSDTEGNFSTNSSIKSSRSCYMLTESNSRPSASATGKQNSHGLSQLFSSKEKTGH >RHN74982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38423439:38427454:-1 gene:gene11126 transcript:rna11126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, thioredoxin-like protein MSHSPEKPEPEKPEPELDQFSDRFRQTVTLEANKPDFRELDLGSPVSPLRTRGGPSVSSSSGSSGSFSGGGGGRIGSNPVSKRSDSAPSKDNNNSGELSGSSENSPTADRSTGNVRGTKPGHTRSNSGSGLLHSGQTVNSPPLNVLPTGNICPSGRILKTGMAANRSSRSDVLGSGTGNYGHGSIMRGGGVGVVTGKVESNSMRSGIGGDLGKRGVQSVDPEELKKAGNEHYKKGHFSDALSLYDRAISMSPGSASYRSNRAAALTGLGRLAEAVKECEEAVRLDPNYSRAHYRLASLFIRLGQVENARKHLCHPGLTPDPTEMQKLKMVEKHINKCADVRRVGDWKSVLRELDASVAAGADSSPQLFMCRAEALLKLHQIDDAESVLLHVPKSEPRGNSNNTSSQARFFGMISEAYSYFVKAQIEMALGRFQNAVTAAEKASQIDSRNLEVAVLVNNVRMVARARVRGNDLFKSERFTEACSAYGEGLRLDPSNSVLYCNRAACWFKLGQWEKSIEDSNQALRIQPNYTKALLRRATSNSKLERWEEAVKDYEVLRKELPNDNEVAEALFHAQVALKKSRGEEVYNLKFGGEVEQVSGLEQFRSAISLPGVSVVHFEVASNSQCKQISPFLDTLCGRYPSINFLKVDIQENPTVATAENVRVVPTFKIYKNGSRVKEIICPSRDMLEHSVRHYSI >RHN54908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13404576:13412419:-1 gene:gene30006 transcript:rna30006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MEPIQSNEFHNDPQTLIPNQQEDSVMEDENDSLFDSMLVDSSSKLIQNGFARSQSSEECVMFVNAGGEATNEGADGVKFLSDTFFDGGNVFHTNEAIVEGGDYPSIYQSARVGSFSYRIDNLPPGQYLVDLHFVEIINVNGPKGMRVFNVYIQEEKVLSELDIYAAVGVNKPLQLIDCRATVKDDGVILIRFESLNGSPVVSGICIRRASKESVPPVPSDFIECNYCAAQIEIPSSQIKVMQTKSTAKYENKIKELTMQCELKAKECYEAWTSLTEMSRKVEKVQMELDQVTFKSLTTEQTAEKQAENLRSISNRYELDKKKWAEAIISLQEKVQLMKSEQSRLSFEAHECVDSIPELNKMVYAVQELVKQCEDLKVKYYEEMTQRKKLFNEVQEAKGNIRVFCRCRPLNKVEMSAGCTTVVDFDAAKDGCLGILATGSSKKSFRFDRVYTPKDDQVDVFADASSMVISVLDGYNVCIFAYGQTGTGKTFTMEGTEQNRGVNYRTLEHLFRVSKERSETFSYDISVSVLEVYNEQIRDLLATGPASKRLEIKQNYEGHHHVPGVVEAKVDNISDVWTVLQAGSNARAVGSNNVNEHSSRSHCMLCIMVKTKNLMNGECTKSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALAAKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSDQDVGETLSSLNFATRVRGVELGPVKKQIDTGELQKTKAMLDKARSECRSKEESLRKLEESLQNIESKAKGKDNIHKNLQEKIKELEGQIELKTSMQNQSEKQVSQLCEKLKGKEETCCTLQHKVKELERKIKEQLQTETANFQQKAWDLEKKLKDQLQGSESESSFLKDKIKELERKLKEQEQNSESLLKQQMKELEEKYKEREQQWQQTHCYVEAVKAAATPDIGKSRTSEECPNDIESRILCSSNSVNRQISQGSALLKGTDSTQQMRNKRQLRSNDIENNLVGPPSSTLRDRKMTRKSDPPKIVRTGRLTTKPPVITSQVPLSHKRASTSRDQSQGVKERDSKKKIWSR >RHN50261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5623528:5625028:-1 gene:gene34531 transcript:rna34531 gene_biotype:protein_coding transcript_biotype:protein_coding MYQHFFCLSHYICDIIIPIFRELYYIIIIVSNCTHSYTVNLYIAALQECSRNCHSNAVVETSKTVKLQLQIAIYNYNIIYSIWSYL >RHN58951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6189615:6190263:1 gene:gene20913 transcript:rna20913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MLKLFNYFYKTINFIHYKEIKSFTIIYMQIERKKNMVETLKLVYVLIIFYSIFLGIIVCNSSTIMYYDVPCEKDKDCPAPPRFNIRCRKGYCVRI >RHN79285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27112635:27114947:-1 gene:gene3055 transcript:rna3055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MAFKNFTFMKLYVSFLLSILFFVSLCVANNVPPETICKGTINPIYCKTVLGSENGNIYDYGRISIRKSLSQSRKFVNLLDSYLQGSSSLSQSTIRALEDCQYLANLSFEYLSNTYGTTNQSSNVLPTSQAEDFETFLSAVLTNQQTCLDGLNTTTSDQRVKNDLSLSLSDDIKLHSVTLALFLKGWMPANKIRTSWPQKGRHLNFKNGNLPLKMSNKARAIYDSARRHGRKLLQTDEDSILVSDIVVVSQDGSGNFTTINDAIAAAPNNTVASDGYFLIFITEGVYEEYVSIDKKKKYLMMIGEGINQTIITGNRNVADGFTTFNSATFAVVAQGFVAVNITFRNTAGAAKNQAVALRSGADMSTFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNGAVVLQNCNIYPRLPLSGQFNPITAQGRTDPNQNTGISIQNATIKPADDLAPNVATVKTYLGRPWKEYSRTVYMQSFMDSFINPSGWREWNGDFALSTLYYAEYDNRGAGSSTANRVTWPGYHVIGATDAANFTVSNFVSGDDWIPQTGVPYMSGLV >RHN53399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1465318:1465965:-1 gene:gene28301 transcript:rna28301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IBR domain, E3 ubiquitin ligase RBR family MSFLNSIKQAANNLVTKVEDLFSLHDVAEKKKKTEILLSGDKYIWGNCPFKNCSVSLLNDEIKIVTNAEFPSCHKLFCAQCKIPWHGGHNCQRFQQRENRILKKRKSFFKDRDDEKKRKKVTFTIDQPNQNSVKVKCNEFQQQNLKRILKCSVTSNEKELKKETIETSCECSSLPPKSFCGLCFDFIQDSDIFEEVQYAITPFVTIVYLSMWLTK >RHN73041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12938994:12942439:-1 gene:gene8817 transcript:rna8817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MEEEKREEHTNPTNSSSTTTTTTTMAFSDEIPTTTTTINNNNTSLFPFQPSISTFFDTIPSSSCDQKSSSFGFMDLLGSHDYINNNNNTFLLSDWVPTVATTTTTHHTLPSPGSSNIPDSSEVFNTPVSPNSSSISSSSNEATVNNTLEQHRSKLSKIEAELEGDDQDQDKTKKQLKPKKKNQKKEREPRFAFMTKSEVDHLDDGYRWRKYGQKAVKNSPFPRSYYRCTTASCGVKKRVERSSDDSSIVVTTYEGQHTHPSPATSRPNLSFVHQPTSFGAASGSHSHFLLPTLLYNNHNSTSNNNITTTTTPPSSNYVGGSGGSCVNTSSFGGFANDQVINHRGFGSSGFVNEALLRDNGLLQDIIQMKKEEKDSRKEQH >RHN69575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44517339:44521397:-1 gene:gene18058 transcript:rna18058 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MSNNALNFLILFLTISLFPFISSLNQEGLSLLSWLSTFNSSNSVPTTTFSSWDPTHKNPCRWDYIKCSAAEFVEEIVITSIDLHSGFPTQFLSFNHLTTLVISNGNLTGEIPSSVGNLSSLVTLDLSYNTLTGTIPKEIGKLSELRWLSLNSNSLHGGIPTTIGNCSKLQQLALFDNQLSGMIPGEIGQLKALESLRAGGNQGIFGEIPMQISDCKALVFLGLAVTGISGEIPASIGELQNLKTLSVYTAHLTGQIPLEIQNCSSLEDLFLYENHLSGNILYELGSMQSLKRVLLWQNNFTGTIPESLGNCTNLKVIDFSLNSLVGQLPLSLSNLLSLEELLVSDNNIYGEIPSYIGNFSMLNQLELDNNKFTGEIPRVMGNLKELTLFYAWQNQLHGSIPTELSNCEKLEAVDLSHNFLTGPIPNSLFHLQNLTQLLLISNRLSGQIPPDIGRCTSLIRLRLGSNNFTGQIPQEIGLLRSLSFLELSDNNLSENIPYEIGNCAHLEMLDLHKNELQGTIPSSLKLLVDLNVLDLSSNRITGSIPKSFGELTSLNKLILSGNLITGLIPQSLGLCKDLQLLDFSNNKLIGSIPNEIGYLQGLDILLNLSWNSLTGPIPKTFSNLSKLSILDLSYNKLTGTLIVLGNLDNLVSLNVSYNRFSGTLPDTKFFQDLPSAAFAGNPDLCINKCHTSGNLQGNKSIRNIIIYTFLGIILTSAVVTCGVILALRIQGDNYYGSNSFEEVEMEWSFTPFQKLNFNINDIVTKLSDSNIVGKGVSGVVYRVETPTKQLIAVKKLWPVKNEEPPERDLFTAEVQTLGSIRHKNIVRLLGCCDNGRTKMLLFDYICNGSLFGLLHEKRMFLDWDARYKIILGTAHGLEYLHHDCIPPIVHRDVKANNILVGQQFEAFLADFGLAKLVISSECARASHVVAGSYGYIAPEYGYSLRITEKSDVYSYGVVLLEMLTGMEPTDNRIPEGAHIVTWVISEIREKKKEFTSIIDQQLLLQCGTKTPEMLQVLGVALLCVNPSPEERPTMKDVTAMLKEIRHENDDLDKPNKGMVITNPKAAVHCSSFSRSCELLIESSSSS >RHN63789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54034489:54039336:-1 gene:gene26536 transcript:rna26536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein CHAPERONE-LIKE PROTEIN OF POR1 MASLSLSSPNFPTAFLSKKLPLRENTRNFTTFRHVSFRTKCAVDTPYGGNVPKFPRVSVWDPYRRLGVSRDASEEEIWGSRNFLLQQYAGHERSVESIEAAFENILMASFVQRRKTKINLKSKLKKKVEESPPWVKNVLNIVEFPPTEIILRRLFLFAFMGGWSIMNSAETGPAFQVAISLAACIYFLNEKTKSLARAFVIGFGALVAGWVSGSLLVPNIPTMLLRPTWSLELLTSLVVYFFLFIGCTFFK >RHN70912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54980295:54980906:1 gene:gene19546 transcript:rna19546 gene_biotype:protein_coding transcript_biotype:protein_coding MESLHRNKHLHQQLFWANTNLCFYYFTNDNSKARFRPKIFVLPNIKHHHRSTYRCRSHNLTSSARRNPRLVSRRVRRCQRNRRRSISLTYPPPLITSMFSPLSIVVDSIGSKSFRCRSIIP >RHN41562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31077061:31078186:-1 gene:gene47896 transcript:rna47896 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGQHPSFPSSTTVTDNSSSVLENLRLRLADTEARLAQARAREALLARQLLEMKRFLSVMEILEGYLKRRYRLQQQRVARLLSLPPPSL >RHN61628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36956090:36960363:-1 gene:gene24112 transcript:rna24112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MGQVNEADYMRSEVALLQFSDADFKPPLSPTVIHIHDHPTTSFGSESDPSSPSVQQNQHPKDEWLPITESRNGNARFATYHILNSNIGFQALMLPVAFATLGWAWGSVCLSIAFIWQLYTIFLLIELHESPSGKRHSRYLFLAMSAFGARLGKIAALFPVMYLSGGSCVMFIITGGGTMKQLFKILCENDDGTMCSAHSLSGVEWFLVFTCLAILIAQLPNLNSMAAISLVGAVASISYCTLFWSLSVKKGRPMGVSYNTTLVSQEVTVVKISDILNAIGIIVLAFRGHNLVLEIQGTMPSNLKETSKEPMRRGVTIAYILIAACVIPIAIAGFWAYGNQIPDKGLLTAFPQFHKEQATKFSIGALYVWVILHCLTSFQVYAMPVFDNLELRYTVIKNQKCPRWLRTCFRLFFGGFTFFIAVTFPFLPSLSALIGGMTLVPLTYAYPCFMWLSLKKPRKNGAIWLFNLVLGCLGMLLSVLLVVAAIRTLADKGLHANFFKP >RHN48339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46782932:46783609:1 gene:gene43046 transcript:rna43046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MRTAANTLNNKGLTPLDILNKHSQADFIDIAIQYILIEAGVQKTCTNAHASSTNEPHQSNTLKNWENFLSKYIQHQGNWIEETRGTSMIAATMTFQSALNPPGGVWQENTLALLIIMWMLTVAMTIAVTFMMLTYLWAIGLVTPDHIIYYDAYRLGFLLGGAWGVIFLVLALIQIVRFIFWIKQQQLHR >RHN40120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13460416:13464350:1 gene:gene46226 transcript:rna46226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative abieta-7,13-dien-18-ol hydroxylase MLFQSIMDFLSNPLLFAALSASLTLLLVQLLLRKLNNKSNSMKKKKYHPVAGTVFNQMMNFNRLHHYMTDLARKYKTYRLLNPFRSEVYTSEPSNVEYILKTNFENYGKGLYNYQNLKDLLGDGIFTVDGEKWREQRKISSHEFSTRMLRDFSTSIFRKNAAKVANIVSEAANSNTKLEIQDIFMKSTLDSIFNVVFGTEIDSMCGTSEEGKNFANSFDNASALTLYRYVDVFWKIKKFLNIGSEAALRNNTEILNEFVIKLINTRIQQMKNSKGDSVRKGGDILSRFLQVKEYDTKYLRDIILNFVIAGKDTTGGTLSWFMYMLCKYPAVQEKAAQEVREATNTKTVSSCTEFVSSVTDEAIEKMNYVHAVLTETLRLYPALPFDAKICFADDTLPDGYSVKKGDMVSYQPYAMGRMKFIWGDDAEEFRPERWLDENGIFQPECPFKFTAFQAGPRICLGKEFAYRQMKIFSAVLLGCFRFKLNDEKKNVTYKTMITLHIDGGLEIKALYRN >RHN48411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47294123:47296008:1 gene:gene43125 transcript:rna43125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MKGIEIFCASQASTAICLNTNHASSSSSSNTINHFGGRAIDRHNPIITDPKRTPARDLTVTAPSSPSPLPINPKHVHEKAKKNTTSKLSDKKKKNATKSTHDQKKKSTTTTEKVTEHIANNYSSKPVDSILRRSWVKPASDLITPPTSSRYLLGDTVSLDGVLDYEPVLGLTKVDDNKKNAQVLHEDEDKHSSKQYSSSSVPKSSSTNQVVVLRVSLHCKGCEGKVRKHLSRMQGVTSFNIDFAAKKVTVVGDVTPLSVMASISKVKTAQIWPESATAEAKKTNTI >RHN75668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44192456:44193770:1 gene:gene11903 transcript:rna11903 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLNRVWMAATVAVAQGHTDPIYKCKTALGTIHQNRTRLFSAGGALSALLPLSSVVVSDATVSSKVEEKLRQTDDSLQKVMYMNCWGQG >RHN42168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36043412:36044629:-1 gene:gene48575 transcript:rna48575 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCTSFDSSQVETSKVVVHDGTMREFTYEVKVGYVLQLYPDCFICDSDEMGFDDVVLAMHEDEVLRLGQLYFALPLTRLKKPLPVVEMAALAVKASSALTKSGEKCGVGRKQIVMFSGDGKPCRRVAPESDCGDVGVGVVRSVSGRRRRNYGGGDGGRGKFMAALSAIPE >RHN79866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32982145:32987237:1 gene:gene3714 transcript:rna3714 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVRSAVNKAVEAGGQSNLSRTVRNYADSVVLHASNAVVGGAKIIQERIVSRNMQSFRLTVKRLEEVSVSCKGIERVQLLRRWLVSLKEVERFSATCTKTDTDAKDSDDHFVSDDFKDSPTLVCELNQSIYYVDPGVADEPRTFRDVFLHSQALEGITLSMILEAPNEEEISLLSEIYGLCIKGGKEEQTALLSSVQELAKAFSGYEDEVLAFDEVMKNIQMCSKLEELLLKKKYFIYGDSPQLHAEKVDKLKILSESLANSTTKAESRILEHRSQKEEALHFRVTKSSEVSQIEKVNMLLTSARTRLRNAKEEKEQFDDASNDIIVHLKTKDDEMQRAIASYTSEANVVNRWINFLEHTWVFQTSLKKKNEEQVNAELERYGDYFVNLVVNLLSSYKEKLGSSVTQIKTLVENLSTSQRLEIPVEVDNDGSRVVNPRKKLEDEYLEIESKVFIYLYNVLSPSMRL >RHN74331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31907917:31909851:-1 gene:gene10372 transcript:rna10372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MLLLSFELYPFFTCLYLHVLCYVLQYNKFLVFLKTNKIFLCHHIMASIVVVFDFDKTIIECDSDNWVVDELGFNDLFNQLFPTMPLNYLMDRMMMELHSNGKTIEDIVQVLKMIPIHPRTIHAIKAANALGCDLRIVSDANTFFIETILKHFGIRECFSEINTNPGYVDEEGRLRILPFYDLNKPPHTCNLCPPNMCKGLIIKRIQDSISSEKNKRFIYLGDGSGDYCPSLSLKEKDFVMPRKNFPVWDLICKDPSLVKAKIYEWSDGEEQERVLHQLINKISMEESAQFISTEFNMHTPSFSAHETLPKALHVLL >RHN59503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11464458:11465213:-1 gene:gene21543 transcript:rna21543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVETLKYVYVMFLFLSIFQLMVVYDSIYFRKPPPCITDKDCPQMKINNVRCRKGFCIQIHKFTP >RHN42091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35327597:35331537:-1 gene:gene48486 transcript:rna48486 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGRGVLGNVLRPLISLSSSRTVATSQISSTLPFHASLQPSFGSKTPQFLLPFLSHFHSLTDTRFPKRRPSDKPRRKRASLRPSGPYAWVEHTTGETILPNKPNEGSIKRRNEKKRMRQRRAFILAEKKKRKAQMQEAKRKKNVKKVERKMAAVAREREWAERLVELQRLEEEKKKSMA >RHN75011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38720801:38728113:1 gene:gene11158 transcript:rna11158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sodium/calcium exchanger membrane region, EF-hand domain pair MRNITKIACFNIFFLSIIALHVQSRFLPNKLISNGVDDVQAEEDSYLLLNNDNDKGVITESSSNSEEYCKQMYGFLPCSNNILGHLFLILVYEYLLFHGESYLAAGGEQIFKILGPGVFGASAFDILGALPESLILLVTGLSSDKESAQEYASTGVGLLAGSSILLLTVVWGTCVIVGKQSLRNDSHSSDTSNSSTGRIKQALTGYGITMDVDTRKMARIMLFSVIPLLIMQIPTLFQFSTTLHNVTLMISLIIAVTFLVSYFIYQIFKPQIEKTRLEYIKHDELILRIFERVEKQTLQTILTEDGTPDVDAINRLYHEFNQHGREHLSPSDVRELLFGNNLTSTEIRDEQITDMLKVFDKDGDQIITKEEFVNGVTEYFNQTKHALHKQYIPKENMSRMYQSFIKPWIEHTRKERELKEHLISGVLSHAQSDRVGRLLKDDGTPDKDAIKRFFEEIDSNDDKSVSRSELENAVKKIKFGKTAEEAVTKFLQVLDVNGDNEISETEFVDGITELINSYFGQDASSKSPSHHETHQTWEDVEKVMEENQTKGVTAWLEAIAYVVLGITMLSILAEPLIASVQKFSEAAGISSFFISFILVPLATNFREATSAIKEASHKKSSNTSHTMYEIYGAVFMNNILGFVVISILIYMRDITWEFSADVLVVAIVCIVMGLTTSFRSTFPLWTSFPTYLLYLISLVMVFVLKDVLNYM >RHN74318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31792832:31797397:-1 gene:gene10357 transcript:rna10357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MTTAISVAEPELKTYWCHECDMSVSLTTSLTPSPLLCPHCDTHFLELMDSPFSQNDADSSSLFDVVFQDALLLLTPPPPKSRTETSIPTITVTQTLLTLLDPSNGVVICAVCKETVSVDEEAKQLPCDHLYHADCITPWLRIRSSCPLCRCGIVEDEEDEDDEEDDINGGDVMREMVMRLSELSEDDFYGLRITLNHIASRHALLHSNDSGAGENGGDASELGGVDGEES >RHN39038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3674467:3675073:1 gene:gene45029 transcript:rna45029 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAFLNHGLSYVGYVFVAGSHDGSVWYLSVLRWIVWAGFSSLCIVLFQTYWLGWGTGGFVPLLLIFVVLSIYE >RHN78150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12322707:12325532:-1 gene:gene1673 transcript:rna1673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MSNLQCVCVYIIGHQHKYVTAKTTLPLILLSLNNQYFFKKIITMVEIDQDFIQPPEHRPKLSIIEAENIPVIDLSPILDDSNNVKNPLVIDELVRKIGSACKEWGFFQVINHGAPLESRQRIESVGKKFFGQKMEEKKKVRRDIVNVMGYYETEHTKNVRDWKEVFDFTVKEPTLVPASIDPDDKEVTHWYNQWPEFPLEMRETFQEYAQHMKKLALKIMELIALSLGLPPKRFDDFFKDETSWIRLNHYPPCPNPDIVLGCGRHKDSGALTVLAQDEVSGLEVRRKSDGEWVLVKPLPNAYIINVGDVIQVWSNDEYKSVEHRVMLNTEKARLSYPFFLFPSHYTMVEPLKELTNDQNPPKYRPYNWGKFLATRKRSNFMKLDVENIQIHHFRI >RHN69939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47466607:47468130:-1 gene:gene18472 transcript:rna18472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H-quinone oxidoreductase subunit O MYGIVSLLMAFCVSLCCYTSFPSLSHFSKTLTRKHVRSSFPLICAVQSGEPGGSSQSQPKSSDASSSSSTTAPKTLPKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPYYKGLDYIYEDRGEVLDMRIFETGEHALVAWVGVPTAPAWLPTYMLIKSEKLNYERL >RHN77929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10584769:10586233:1 gene:gene1437 transcript:rna1437 gene_biotype:protein_coding transcript_biotype:protein_coding MHKINKSKLLVSKVRGIHDKLNLTKQKNNNYTRYPFKHQKSIIFEFIHDIILISLSSLVLNNNHIWL >RHN52566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36687645:36688775:-1 gene:gene37258 transcript:rna37258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKTSFLAFSIIFLAFICKTFAAPEPVLDISGKKVTTGVKYYILPVIRGKGGGLTVVNENNLNGNNNTCPLYVLQEKLEVKNGQAVTFTPYNAKKGVILTSTDLNIKSYVTKTTCAQSQVWKLNKVLSGVWFLATGGVEGNPGFDTIFNWFKIEKADKDYVFSFCPSVCKCQTLCRELGLYVYDHGKKHLALSDQVPSFRVVFKRA >RHN59846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13940104:13943429:-1 gene:gene22007 transcript:rna22007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MKPFLFFLITLSIFSQTLPLVPTVTTLRFQLITLLSIKSSLIDPLNQLADWENPSDNHQDPVWCSWRGITCHPKTTQIISLNLSNLKFSGIISPQIRYLTTLTHLNISGNDFNGTFQTAIFQLGELRTLDISHNSFNSTFPPGISKLIFLRTFNAYSNSFTGPLPEELIRLPFLEKLSLGGSYFNGRIPPSYGNFKRLKFLDLAGNALEGTLPPELGLLSELQHLEIGYNTYSGTLPVELTMLCSLKYLDISQANISGLVIPELGNLTMLETLLLFKNHLSGEIPSSIGKLKSLKAIDLSENKLTGSIPSEITMLKELTILHLMDNKLRGEIPQEISELSKLNTFQVFNNSLRGTLPPKLGSNGLLKLLDVSTNSLQGSIPINICKGNNLVWFILFDNNFTNSLPSSLNNCTSLTRVRIQNNKLNGSIPQTLTLVPNLTYLDLSNNNFNGKIPLKLENLQYLNISGNSFESNLPNSIWNSTNLQFFSASFSKITGRIPNFIGCQNIYRIELQGNSINGTIPRNIGDCEKLIQLNISKNYLTGTIPHEITKIPSISEVDLSQNDLIGPIPSTISNCINLENLNVSYNNLTGPIPSSGIFPHLDQSSYTGNQNLCGLPLSKLCTANTAADENKADIGFIIWIGAFGTALVIFIVIQLIHRFHPFHDNEADRKIERRELTWFWRELNFTAEEILNFASISGNKIGSGSGGTVYKAENESGEIIAIKKLSSKPNASIRRRGGVLAELEVLRDVRHRNILRLLGCCTKKESTMLLYEYMPNGNLDEFLHPKDNTVNVFDWSTRYKIALGVAQAICYLHHDCAPPIVHRDLKPNNILLDGDMKVRVADFELAKLIRSDEPMSDLAGTYGYIAPKYVDTLQVNEKIDIYSYGVVLMEILSGKRVLDQEFDEGENIVEWVKSKMKGKDGIEGILYKNEGAECSSVREEMVQMLRIALLCTSRNPADRPSMRKAVSILEGIKSKGELPDIFSFDVGEGGANKYFDVCLSVTKTRIKGVNTF >RHN39912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11637347:11637767:1 gene:gene45996 transcript:rna45996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferric-chelate reductase (NADH) MLVICVSIAVVSSVAVLWNKQNAKEAKQIQNLEGSSPTVSPSSMIYNADRELESLPNQSLVEATNVHYGERPDLKRLLFEIKGSSVGVLVSGPKQMRQEVSSICSSGLVENLHFESISFTW >RHN52198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32621891:32629012:1 gene:gene36842 transcript:rna36842 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEMIMVSLLVLFGFFLGVIVVVAAEFLGFLWILKRLRRKINSDKAKFSSIRNQLDRSSSCSSQIDSHQSFKKEGVVWVLEPEKVSKYFVERSSKEVKRKKELFEVSPVRKYGNIKCQSLVLTEPDGSHTTIQLKGCIVEAVSATSAPTKKWAKKYPIKVENRTSVIYHGSKTLYVYLETSSEKEAWCKALRLASCDEREKLQWYSQLQEEFHSYLTSLNTEYHSFMKPTVGLSVDAIERATKTDGSSSKVRQFLKKITRKSSRVGLDNKWTSFSGHEDKKKTEKLRACQDAVLATSYMKNAAATSLPRSSISEDAPSLSSSLSRSGSQSHFSVCSDSDEKFGIDEGTLCWNLLISRLFFDIKGNEQVKKSVQERIQRTLSNMRTPAYVGDVVCTDINMGNVPPCIIGMRVLPMEMSEVCALEVDIEYSGSAILEIETRLEVGELEKEGSNPESNNVGSVPSDIIQDFENLDKQLGLAEGMNDLQEPKEDGDWNNDVSKKLRSNTPSTTHGSRWKSMLNSVAKHVSQVPISLAIRVASLRGTLRFFIKPPPADQLWYGFTFMPDIDFNLESSVGEHKINNTHIALFLINRLKAAIRDTLVLPNSESVGIRWMLAEKDDWVPRNVAPFIWINQESGNEISNPIDTNNQTSGGVKASAKTSGDSPEPKLQKLFSSESRQDPARKSDSQAFPSSFSFSAAIRNSKSFDELSKPLLESGQQQETTDLKDLSMPSLESDHEASEEKTDDVSVSSSPSNSAVMDRSISSAQQDDDSMPKKIGRRERFLDFRKKMSEKVGGQKKLEEVKGFLLRR >RHN69739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45961830:45964081:1 gene:gene18251 transcript:rna18251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nascent polypeptide-associated complex subunit alpha MSPGPIIDAAADGAADQQLPSVDETTLKNKPLPQEEDDAPIVEDDNDDDKDESEDEEDDESPQGGTEGSKQSRSEKKSRKAMLKLGLKPIDGVTRVTIKRTKNVMFFISKPDVFKSPNSETYVIFGEAKIEDLSSQLQTQAAQQFRMPDMGSIMAKQEQGAAADGAQPEEEEEVDETGVEAHDIELVMTQAGVSKAKAVKALKTHNGDIVGAIMELTN >RHN66881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20761063:20761878:1 gene:gene14995 transcript:rna14995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerol-3-phosphate 1-O-acyltransferase MPREKYPKPLIFHDGRLAFFPTPSSTLYMFMWLPMGILLSTYRIFLVLNFHYKWTLSLITFNGIVLNVKGFSNPQRYLEPSKGELYVCTHRTLLDPVFLSMSLRKPLSCVTYSISKVSEFFAPIRTMSLTRDREQDRETMQRLLREGDLVVCPEGTTCREPYLLRFSSLFAEIADEIVPVAMNANVSLFYGTTASGLKCLDPFLFLMNPWPSYNIEMLDKVPKELTCAGGKSPFEVADYIQKELSDALGFECTNITRRDKYMMLAGNDGYV >RHN40715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19904333:19904983:1 gene:gene46909 transcript:rna46909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVHCSEKGKTQLFLLFTYTSLWWWWCTGTSINVRAAENTDSMKPGDKLNAISKLCSKQHSYCMSFDHDPDRENLTYLSIFGEGRDTWLVWIANRNQPADKNSAVLSLDYSGVLKIESKIGEPIILYSSPQPFNNSTIVATLLDTGNFVLKDIQKNIVLWQSFDHPTDSLLPRMKLGVNHKTGQNWSLLSRISDTIHAPGPFRLELGTQHKRIGHQA >RHN50446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7196538:7198589:-1 gene:gene34729 transcript:rna34729 gene_biotype:protein_coding transcript_biotype:protein_coding MFWTWIKVDIRFCLIVPNIPTCYGHLQSGSDLVTKDWIGAAIKNWVFIQWTIIYFFQ >RHN38789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1981807:1984271:1 gene:gene44756 transcript:rna44756 gene_biotype:protein_coding transcript_biotype:protein_coding MELWNLGKSGHSCVVTIDLVQNENAGTCFFLEGKMQVHFQTYFRYCIFLGVKTVVFPISCNVKFKKGKRLHLTV >RHN71412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58812496:58821363:1 gene:gene20092 transcript:rna20092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alanine--tRNA ligase MVIHSLINNYSGLAVPLFPLLLPLPKLAIPSATSTSTSTAAAAGGFISFKQLHLRRRLLLPFCTSSSLSSPNSQDNDSNCVSGDSIRERFLSFYASRGHKVLPSASLVPDDPTVLLTIAGMLQFKPIFLGKLPRQVPRATTSQRCIRTNDINNVGHTSRHHTFFEMLGNFSFGDYFKKQAIQWAWDLSTIEFGLPPERLWISVYQDDHETFQLWSQQVGVPVERIKRLGEEDNFWTSGVTGPCGPCSEIYYDFHPERGYADADLGDDTRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGLGLERMARILQKAPNNYETDLILPIIEKASELANISYGISDDQTKRYLKIVGDHMRAIVFLISDGVVPSNVGRGYVVRRLIRRVVRTGRLLGIKGDGRGDPEGAFLPIIAQKVLELSTHIDADVKNRAPRILEELKREELRFVQTLERGEKLLEEKLVDAITSAERSGTVPCLAGEDVFILYDTYGFPMEITKEVAEERGVSIDMNGFDIEMEKQRRQSQAAHNTVKLAIGSGTNIADNVPDTEFIGYESLYCKAIVASLVVNGDPAVQVREGSNVEILLNKTPFYAESGGQIGDHGFLYIAEGENQPKAIVEIIDVQKSFGNIFVHKGTVQKGVLEVGKLVEATVDVKLRQRAKVHHTATHLLQAALKKVIGQETSQAGSLVAFDRLRFDFNFHRPLLDGELAEIELLINGWIEDAILLQTKVMPLADAKREGAIAMFGEKYGEEVRVVEVPGVSMELCGGTHVSNTSEIRGFKVISEQGIASGVRRIEAVAGEAFIEYVNARDLYLKQLCSTLKVKPEEVTVRIENLLEELRVARNENSAVRAKAAVYKASVIASRAFLVGHSKQYRILVECLDDVDAESLKSAAEYLMETLADPAAIVLGSCPGEGKVSLVAAFTPGVVGQGIQAGKFIGQIAKLCGGGGGGRPNFAQAGGRKPENLAGALEKAKSELIAYLCEKGN >RHN59148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7895122:7897042:-1 gene:gene21139 transcript:rna21139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative omega-hydroxypalmitate O-feruloyl transferase MHANTRETRVSYMHYKLTFHDATNHISYFHHSSYSLNIIMEEIQFISTTTIKATNHGDDDNSSDKIIHLSPWDLKFLKLAPIQRGLLFHKPKTNQIDHLKKTLSSTLNFFPPLAGRLVITQHDEPNNASCSIVCNNVGALFVHAKAENTTIADILQPKYVPPVVSSLFLFNEVKNYEGTSQPLLAVQVTELIDGIFIGFTINHVCVDAKSFWHFINSWAEIAQGFNKPTKVPSFERWFPNNVNRPIRFPFTKDAQNQQCDVSSNRIFHFTKEQILQLKSKANAEISSGSSNSSDKIITISSLQALLSHLWRLFISKQNRNSEERSAFVLPIDCRTRMCPKLEENYFGNGIGAVGCVIMQIGELMESGIGKIAMEMNKEISSKSHHEKIMSDIESWLKTPSILEPVKSASNLLIASSSPRFNYYGNDFGWGKPIAVRNGVGLKRNIGIVNVLGGEEEGSIDIEVSFPYNILEAIGNDPMSMDA >RHN81734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48551838:48553402:1 gene:gene5819 transcript:rna5819 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQVKIYVHKRSVFSSYTYLLSPSFVLSNLKIFPKRAATMEISQPLSIESFSYSWLVNLKPSLESLDSSFRSTLDAYDETSFIEMDPRMPPSKRFFMNSHDSNKFDFPTSQSPLTLVHADELFSDGYLMPFVVESLKMEEYEALDSNNTSPNSSSHAPKGVVPRCHSLKRCRTLSRRIFLKYLNFLRPLYRKLRGHKSGSNIPTVFKRGEASMNRRYHNSESSPRISGAFSADGWRKSCDSESSIHEAVLHCKRSIERID >RHN58894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5771004:5772177:1 gene:gene20850 transcript:rna20850 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCGVLAAVILNLLKYALTSREPLTNTILATSSKSNKKDNPPSQFASAVRALPCPSNRSKMDIKVLQTKSQKKTIIAEANEDFVDFIFSFLTMPLGSIVKLLGPNSFAGCVGNLYKSVENLDPTSVLLNPGVAHQFGCLNQPLNISEGQPPHTRYYYGTGIPNKEYSCTHFGNYETREGMIEGGVISKSRGSIYRPKSLYQLYPRSVNGSEDVIGFVKRATLYGIGDDLNVKPLSANSLLSYLKVLSLPLDDLEVKVSIGEAEALSFLGAFLTSRFTLTSGLKRPFECA >RHN77383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6474298:6476723:1 gene:gene830 transcript:rna830 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFIVRVTKDLCIICMLVNSCCLFTSQLVVSSVITNVLIIYYTVAFRFMSLQNVGDTIDPSRVSYRMWGKNYTVIKILLVQKKKLHTFKLIFAPQILHRSNLSRTFARLTYDID >RHN75624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43839750:43847695:1 gene:gene11857 transcript:rna11857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydroxy-acid dehydratase MQSTLFSPTYTALFPTHTPTPSRRISVKSSISTNPPSIEPTKLNKYSSRITEPKSQGASQAILYGVGLSDADLKKPQVGVSSVWYEGNTCNMHLLHLSEAVKEGVAEAGMIPFRFNTIGVSDAISMGTRGMCYSLQSRDLIADSIETVMAAQWYDGNISIPGCDKNMPGTIIAMGRLNRPSIMVYGGTIKPGHFQGDTFDIVSAFQIYGEYVSGSISDEHRQNVIRNSCPGAGACGGMYTANTMASAIEAMGMSLPYSSSTPAEDPLKLDECRLAGKYLLELLKMDLKPRDIITRKSLRNAMVIVMALGGSTNAVLHLIAIAKSVGIELTLDDFQKVSDEVPFIADLKPSGKYVMEDVHKIGGTPAVIRYLLEQGFLDGDCMTVTGKTLAENAELFPPLSEGQEIIRPIENPIKKTAHIQILYGNLAPQGSVAKITGKEGLYFSGPALVFEGEEAMIAAISENPLSFKGKVVIIRGEGPKGGPGMPEMLTPTSAIMGAGLGKDVALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVQNGDVINVDVKNKRIDVIVSDEEMEARKKKWSAPPYKANQGVLYKYIKNVKPASSGCVTDE >RHN81642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47766830:47768464:1 gene:gene5713 transcript:rna5713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative naringenin-chalcone synthase MVSVSEIRNAQRAEGPATILAIGTANPANCVEQSTYPDFYFKITNSEHKTELKEKFQRMCDKSMIKRRYMYLTEEILKENPSVCEYMAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIVCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPVPEIEKPIFEMVWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPGIVSKNINKALVEAFEPLGISDYNSIFWIAHPGGPAILDQVEQKLALKPEKMKATREVLSEYGNMSSACVLFILDEMRKKSAQDGLKTTGEGLEWGVLFGFGPGLTIETVVLRSVAI >RHN40028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12363539:12364204:-1 gene:gene46118 transcript:rna46118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MEGKQPAHTLEITIISGQNISVDRNSKGDDIYVVVRAESLNSCTTKMVKENEGLLSWNEKFLLDIPSHAKSVTFEVQCKKYKGAHPIGVARIALSDFLGDDSSLQSGVQTLNYGLRDWDGRKNGVINFSVRRVTQEGNLCVEKEQGIEDVGLKDEKNSNHVVIGIPVLGFLFLLGYSLFSFVRRK >RHN59389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10170674:10171876:-1 gene:gene21415 transcript:rna21415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MESVAVKNEKGSTTYIPDDIAFSILSKLPLKSFKRFECFCKPWSLLSENRSFMNTFCNNLLFNSHRCPYYDGTSLLLRDFKLGQDVFYSISGERFENKVKIDFPNSYDANRFKFRIFGFGSINGTFCLYQAYYYCNTVLWNPSTQEIKLVPTTDKLVESSVEDVKDFVSIIHTSYLHGFGYDDLRNDYNVICYITITGQHASYGHMSLDPIWVKYSLRTNSWKRILIFDMPYSLALIDGSQVYMDGVCHWLWEEDEDSQDGRWLVSFYLSNEVFFITPIPSYLDDCFKALWINLVVLNGSVSLISYHKETNNFQISILGEYGIKESWTKLFNVGSLSCIERPIGVGMKGEIFVIREDKEVVCLDLSTQSVVELSYKEVNSIDRRSNTNIQGKHSSNRRNK >RHN70349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50802893:50805722:1 gene:gene18919 transcript:rna18919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MFKKLDEDEAPQSFQNVALVVGVTGIVGNSLAEILPLADTPGGRWKVYGVARRPRPSWNADHPIEYIQRDITNSNDTQTKFSILTDVTHIFYVSWTSRPTEEDNCEVNGVMLLNVLRAVIPNAPNLCHVSLQTGGKHYLGPFALIDKINSHEPSFTEDLPRLDIPNFYYTQEDILFEETKKKEGLSWSVHRPLLIFGFSPYSLMNVVGTLCLYAAICKHEHISLKFPGTKRAWESYYMASDADLIAEQHIWAAVDTYAKNEAFNCSNGDVFRWKQLWKVLTEQFEIEEYGYEDGPRLRLAEMMKDKGPVWDEIVKENELQPTKLEEVAEWWVADATFGMEDIVDSMNKAKEHGFLGFRNSKNSLINWIDKTRAYKIVP >RHN79827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32624976:32625717:-1 gene:gene3669 transcript:rna3669 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLHSWNVEMHIAYKPSVWFDRWIGAFYGGFRRNLWISLCYVVFWSIWNQHNRVVFDNGATNWEKLLFLMKLILGFWMKSRCPECPPSKSLKWNVDGSARGKPGRACTGGVFHDDKGKQMRWNCSLLFLRSRESKPRDGLSSKGRIFDDRTGVVCFVN >RHN52936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40508106:40515925:1 gene:gene37678 transcript:rna37678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MWGHHRESSIVYKRTPSKDNSNMEEDVEENLDLLDNFIDKETTNPSWKLSLPHVLVATITSFLFGYHLGVVNEPLESISVDLGFNGNTLAEGLVVSICLGGALFGCLLSGWIADAVGRRRAFQLCALPMIIGAAMSAATNNLFGMLVGRLFVGTGLGLGPPVAALYVTEVSPAFVRGTYGALIQIATCFGILGSLFIGIPVKEISGWWRVCFWVSTIPAAILALAMVFCAESPHWLYKQGRTAEAEAEFERLLGVSEAKFAMSQLSKVDRGEDTDTVKFSELLHGHHSKVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDFANVCIGVANLTGSIISTGLMDKLGRKVLLFWSFFGMAISMIIQATGASTLLPTAGALYLSVGGMLLFVFTFALGAGPVPGLLLTEIFPSRIRAKAMAFCMSVHWVINFFVGLLFLRLLEKLGAQLLYSMFATFCIMAVIFVKRNVVETKGKSLQEIEIALLPQE >RHN51302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15986504:15992826:1 gene:gene35718 transcript:rna35718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative post-transcriptional gene silencing PAZ-Argonaute family protein MRSQSEDPFPPPPPTVPRNVKPVIIEQEHVKKKLLSKVPMARRGLGTTGNKLSLLTNHFKVNAANTDGFFFQYNVAIFYEDGCPVEASGAGRKILDKVKKTYDSELRGKDFAYDGEKTLFTMGSLAQNKLEFTVVLEDVTSSRNNGNCSPEGIRSSNDIDSKRIRRPFRSKSYKVEINYASKIPLQAIDRAFKGQETENYQEALRVLDTILRQHAAKQGCLLVRQSFFHNDPRSFKNVGGGVLGCRGLHSSFRTTQSGLYVSTTMIVQPGPVVDFLIANQNVKDPFNLDWIKAKRTLKNLRITTMPSNQEFKITGLSERPCKDQLFTLKKRGAAPGEDDSEEITIYEYFVNRRKIPLKYSARLPCINVGKPKRPTYFPIELCSLVSLQRYTKALSAHQRASLVEQSRQNPLERMKVLSDALQTSNYGSEPMLRTCGITIKPHLTQVDGRVLQAPRLTFGNGEYFNPKDGRWNFNNKKIAQPVTIANWAVVDFSNYRDVRGLVRDLIKCGRMKGIHIEPPCHEFEENRQFSRAPPMVRVEKMFQEMQSKMKDPPKFILCLLPQKNCDLYGPWKKKNLAEEGIITQCIVPSKVNDQYLTNVLLKINAKLDGINSFLGIEHARSMPIVSREPTLILGMDVSHGSPGQSEIPSIAAVVSSRQWPLISKYRACVRTQGSKVEMIDNLFKPMPNNEDAGIIRELLVDFYNSSGQRKPANIIIFRDGVSESQFNQVLNVELGQIIEACKFLDEDWNPKFLLIVAQKRHHTKFFQPENRNNVPPGTVVDNKICHPRNYDFYMCSHAGRIGTTRPTHYHVLLDEIGFSPDELQEFVHSLSYVYQRSTTAVSVVAPICYAHLAASQVAQFMKFEDMSETSSRREGDSNASLVPQLPKLDRRVCNSMFFV >RHN63362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50691935:50695360:-1 gene:gene26053 transcript:rna26053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MDLLQYLMKMKQLSFQVCKHPNVVSIFLNEKYELYTTRSWDFLGLERGGGFPKDSLWKRSLGEDIIIGNLDSGVWPESKSFSDEGYGPIPKKWHGTCQTTKGNPDNFHCNRKLIGARYFNKGYLAVPIPIRNPNETFNSARDFEGHGSHTLSTAGGNFVANASVFGNGNGTASGGSPKARVAAYKVCWSGCTDADILAGFEAAISDGVDVLSVSLGGPTQEYSQNSISIGSFHAVANNIIVVASGGNSGPAPSTVSNLEPWTLTVAASTIDRDLASYVILGNKKIFKGESLSEHELPPHKLYPLISAADAKFDHVSAVKALLCINGSLDSDKAKGKILVCLRGNNNRVDKGVEASRVGAVGMILANDEGSGGEIIADAHLLPASHVSFKDGDLIFKYVNNTKSPVAYITRVKTQLGVKASPSIAAFSSRGPNTIEPSILKPDITAPGVNIIAAYSEALSPSANSYDKRRTPFNTMSGTSMSCPHVAGLVGLLKSIHPDWSPAAIKSAIMTTATTKDNIGGQVLDSSQEEATPNAYGAGHVRPNLAADPGLVYDLNITDYLNFLCGRRYNSSQLKLFYGRPYTCPKSFNLIDFNYPAITIPDFKIGQPLNVTRTVTNVGSPSKYRVHIQAPVEFLVSVNPRRLNFKKKGEKREFKVTLTLKKGTTYKTDYVFGKLVWTDGKHQVGIPISIKYPH >RHN48678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49423935:49426746:1 gene:gene43420 transcript:rna43420 gene_biotype:protein_coding transcript_biotype:protein_coding MQMESYTKVGSKSTISNCFLKQRNFHFSSSAIVSTSNRQRLKNAKQSEGS >RHN41953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34305274:34308473:-1 gene:gene48329 transcript:rna48329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M20 MMGPKNHPRLTLLPIFLFLFHPTCLSSTTYQTKECSNQTSSLKSEILELANTPNTVKWMKNIRREIHEYPELAYEEFKTSSVIRRELDKLGVVYQWPVAKTGVVAKVGSGFAPFVALRADMDALPIQELVDWDHKSKVDGKMHACAHDAHVAMLLGAAKILQEMKDKLKGTVVLIFQPAEEKGTGAKDMIQENVLEDVEAIFGLHLASLYPLGVVASRPGEFLAGYGSFKAKIKGGLAGTPQRCLDPILAASASVISLQNIISREVDPLDSQVLSVAMIQSESGHELTPDSVTFGGTYRAFSKKSFNALRNRIEEVIKGQAEVYRCSAEVEFFGEEHPTIPPTTNDERIYQLARKVSSMIVGEENIKLSPIVTGSEDFAFYLEKVPGSFFFLGIKNEKSGSIYSAHSPQFFIDEDVLPIGAAIHAAFALSYYSYSTNSYL >RHN54157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7407662:7410628:1 gene:gene29148 transcript:rna29148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor BES/BZR family MTGGGSSGRLPTWKERENNKRRERRRRAIAAKIYSGLRAQGNFKLPKHCDNNEVLKALCSEAGWIVEEDGTTYRKGSKRPLPNEMGGTPTNMSACSSMQPSPQSSSFPSPQSSSFPSPIPSYPTSPTRMDGITNPSSFLLPFIRNITSIPTNLPPLRISNSAPVTPPLSSPRSSKRKADFESLCNGSFNSSFRHPLFATSAPSSPSRRNHLPPSTIPECDESDASTVDSGRWVSFQTTTAHGAAPPSPTFNLMKPAMQITPQSSMDMKHMNEAMQWSAGSATERGRGSDFDFENGRVVKPWEGERIHEVGMEELELTLGFGKA >RHN44859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9147334:9149933:-1 gene:gene39025 transcript:rna39025 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEKNKNNNKKQKHQHPNSQTTKQTSDFSFKPTSEVKGIRFGGQFIVKSFPIRKAKPLELLKVLSFPPTTKPKDNKKLPFPSTTAFLPTNFTILAHQAWHTLTLGLGSKKSKVLVFVFETEAMKCSVDRIWPHEIALGDVNKKLIKGLCGFEMARFKFRKGCLTFYVYAVREIGSFGFLCAEDLKTILQSVVELKDFLDHTVMLAMPNQRSINYSQSQNQVAMAH >RHN57509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39639664:39640691:1 gene:gene33058 transcript:rna33058 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSSSSSSSSTDTKKGTWSKEEDEILKAYVEKHGTRNWNEVSKNAGLIRCGKSCRLRWYNHLQPDVKKGPFSEEEKSKVFEFYIKYGEFKWSKLAHELPGRSDNDIKNFWNARKRKLEKLGLSPFPDNMEPDHKLNSSQQVEDSQEDEFNIPQLKFRKYPSIFDEINEKLLDVPNMFYNNVGSTSTLIDRGSSIIGTSHIHIPIALPSPCSYLPLPSPMMLDENGKKPISLEDDFEANLDDFMDLIDFSNLEDDVEAGSRLFDNDDIYNSNLHDKI >RHN72883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11531530:11534233:-1 gene:gene8647 transcript:rna8647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKI-interacting protein, SKIP MAALKELLPEPKSSTTTYYDHSNDPWFKQRFTATEEEKSAAIAPKIVPPYLKRSGFVPRKVEDFGEGGAFPEIHVAQYPLDMGRNKNSKPGSKILPVTVDAHGNVAYDAIVKQNENAKKIVYTQHKDLIPKILKNDGDDEMEDGEDEDAQREIDETMEETKAALEKIVNVRLSAAQPKNVPKHNSDSKYIKYKPSQQNAAFNSGARERVIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVAMRSKVQKEMLLKEKERKEQELRALAQKARSERIGVAPPAAAVPVVSEKSGVDDGDMRVDYDHRGDRGDRDREREREKNYPKESREEKEERLGREKIRMERRKEREKERRIEAKDAAMGKKSKITRDRDRDISEKVALGMASTKQGTEVMYDERLFNQDKGMSSGFATDDQYNVYDKGLFNAQPTLSTLYRPKKDVDNEAYGGADEQLEKIMKTDRFKPDKSFTGTSERAPPRDRPVEFESEEADPFGLDQFLTEVKKGKKAMENVGGGGTMRASAGSSMRDSSDGGSGRTRIGFERGR >RHN82450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53892209:53896807:-1 gene:gene6617 transcript:rna6617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein geranylgeranyltransferase type II MGDLVAEKHVQYILSVQKKKDSFESVVMEHLRMNGAYWGLTTLHLLGKLDTVDVHEVVSWIMSCQHDEGGFGGNVGHDPHILYTLSAVQVLALFNKLDVIDADKVTNYIVGLQNEDGSFSGDIWGEVDTRFSYIAICCLSLLHRLDRINVDKAVKYIISCQNMDGGFGCTPGGESHAGQIFCCVGALAIAGSLDLVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWISKEKLIKFILDCQDTDNGGISDRPDDAVDVFHTYFGVAGLSLLEYPGVKPIDPAYALPVEVVNRIFFSK >RHN68732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38090569:38093528:1 gene:gene17120 transcript:rna17120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L15 MLNCTFHMRSKSTPRKQFMLSVKSMYAMIYQGQSRIQSKNLTKMTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYNPIVNIDKIASLIPQDVKEKALKENKAPMIDVTQFGFFKLLGKGVLPQNQPFVVKTKLISKIAEKKIKEAGGAVVLTA >RHN71798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2685227:2689106:1 gene:gene7433 transcript:rna7433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MKVLIVIFVYVCLSMLDKASYAADTLTQNSSIIDGQELISAGQIFCLGFFSPGSSKKYYLGIWYKNITPQTVVWVANREKPLNNSSGNLTIGADGNILLVDGVGNKIWYTNSSRSIQEPLAKLLDSGNLVLMDGKNHDSNSYIWQSFDYPTDTMLPGMKLGWDKASGLDRYLTSWKSADDDPSYGSFTYNFDHKEFAELVIHQGKNITFRSGIWNGVRFNSDDWTSFIGVTAFKPQLSVTKNEVVYWDEPGDRLSRFMMRDDGLLERYIWDSSIVKWTKMYEARKDLCDNYGACGINGVCNIDDVPVYCDCLKGFKPRSQDEWNSFNRSGGCIRKTPLNCTEADRFQKLSSVKLPMLLQFWTNSSMSLEECKVECLKDCSCTAYANSVINEGPHGCLIWFGDLIDIRLFISEDSLQLDLYVRLAASEIESTASASKRRKMALIISVSMAVFVLCIIFYICMKYAKVRKQKTTADLGHRNQNEKQASPLFDIDTILAATDSFSIENKIGQGGFGPVYKGILAQGQEIAVKRLSKTSKQGVTEFMNEVGLVAKLQHRNLVSVLGGCTYGNERMLVYEYMPNGSLNHFIFDPTQGKFLQWRKRYDIIMGVARGLLYLHQDSKLTIIHRDLKTSNILLDSELIAKISDFGVSHILEGDSSAVTTNKIVGTIGYMSPEYAVNGLLSLKSDVFSFGVIVLEILSGIRNNHFKNQDHPHNLLGQAWILWKEGRALEFMDANLDLTSIPSELLRCLQIGLLCVQKFPEDRPDMSSVVFMLGNESIALAQPKKPGFFSEEIEFHESSEKDTFSNNTMTITLLEARD >RHN67233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25062606:25070844:-1 gene:gene15399 transcript:rna15399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MAYSKSYLFLSINLFVFLSSQVLADIHVPPTLTVEPNLPSMADQLNDKQISKIKAYFSLIDKDGDVSIDNEELDTLIRSTGLNPTDFGLMVARNKSATDGNGTIDFTKEELLIAFSKPNTDHNGFVTASELHYYLTNQGIKATNEEVSDFVREADSDSDGHLSFKEFVRLGRFTVE >RHN67786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30268400:30272994:-1 gene:gene16018 transcript:rna16018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MTSSFFSDLLNSPTDQNLKDTERSQNHVLSDQNRLGLPPKFKSTPPPSLPLSPTPLFSPSSYFSIPPGFSLSELLDSPVLLNSSHILPSPTTGSFANNQGFNWKNNYEEKQENVKAEEETISSSFSFQAQPAGFQSSNAIVQNGWSMVKAENSSSMQSFTPESNSTSEQNNYNKSGSQSNYNNYQSQPQVQILSRRSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERGLDGQITEIVYKGSHNHPKPVANKRNTNSMSSSSLSHANPPPSNHFGNEIQMDLVATPENSSISIGDDEFEQTSHKSGGDHDQYCEDEPDAKKWRIEGENEGISLGVGNRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCPVRKHVERASQDLRAVITTYEGKHTHDVPAPRGSGNHSINKPLPIQTTMNNTNNSINNTSVNNGFFNHEMMQNNGFTVDSYMNMNQQRVNNIIRGRAKEEPVEDDSFFESFLS >RHN80625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39552951:39557322:-1 gene:gene4571 transcript:rna4571 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKNQKSIERVVSQRTLQMSSSFSCQICAVGFLCGVCLTSFFLAALTSFGAFNFGPILFSSMSTTNSTSHHNFNMVTATNCNFMLKETERLLDLTSTRKRDNDERVSLLYSAWNAVLNKSTTRDLEHKLGIKWSSLPNAPHLENCKLKTQLYRDFDDRIGNERLPPWTSWKGFLQTFPVVPDEHIQNPKSDEAVSEGAYPPWIVGSDEDNYPLTRKVQRDIWIHQHPLNCSNPNVKFLVADWEGLPGLGIGAQIASMCGLLGIAINEGRVLVANHYNRADHDGCKGSSRSSWNCYFFPETSFECRQRAFELMKSEEAWSKGVVTTKENYTSKHIWNGPTPRKWGLPWNYLQPTTSINGSLLVSHRKMDRRWWRAQAVRYFMRFPTEYTCNLMNEARHAAFGKLAAKMVLQSLAADWPKESSDKPRSDMDEYVWSNHKPWVPRPLLSMHVRIGDKACEMKVAEFEEYMELADQIRSHFPNLNSIWLSTEMQQVIDKTREYSHWNFHYTKVRRQAKANMPMSDYEASLGRETSTNYPLVNFLMAADSDFFVGALGSTWSFLIDGMRNTGGKVMAGYLSVNKDRFW >RHN40499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16962848:16963198:1 gene:gene46655 transcript:rna46655 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSLSVNALSFNMASSSTKVTLRLLVDTKQNKVMFAESSQAAIDSLLNMFRLSFGTVVRLMSYNDMHLFGSLGNLYHTSTTVQNLNQNYVLFDPTIPNDDHSLGTSFYMCPNGCT >RHN71991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4218110:4218645:-1 gene:gene7657 transcript:rna7657 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTSLMKSGPTQLHKCKMKSLWKLMMMLKFLTRMWNRKSTRKSKSKTNDPYEIKKINYVLREVIVYIGVIGFRGESSFCFCFYFL >RHN74534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34479453:34482866:-1 gene:gene10622 transcript:rna10622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MATNKIERGHQMYRDGLYKEALAFYTDAIVMAKTNPQKIALHSNRAACFLKLHDFKKAAEECTSVLELDSNHSGALMLRAQTLVTLKEYHSALFDVNRLLELNPSSEVYQNLHARLKTQLSLAPIPESEEEFEEHEDEMDKAMLEREERKEEMGQKHAAASNVRKDLKGQLGKGVINIECGPKQTDPRFSSKLGSDQNYETCRSTTEHTVPKAQNKESSKQQSKAWTTIPKPKGHSALDYARWDNVEDDSSEDEDEDDESLPQYRFRVKTIGVRPVK >RHN65781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5278733:5281617:1 gene:gene13670 transcript:rna13670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MKLDVSLLEKLKTILVPVLLDAVDILTFGLWLYMLEYDVFEVHNLFDEINREALHFKVESEYETPTPPSPVLNTLYSKLQKLIERLEFLSLQVQDRIGGSSFKSVWHETPSSSIWDGESSSIYGRDNDIKELKHLLLSEDGDDSKIRIISIVGIAGIGKTTLAKVLYNDTEVHDKFELKVWAHVSKDFDDLNVFETILNNRNDKSEVNNIYPKCLLILDEVCDAGYIRTLLMNISNLGETGSRIIITTPDKKVALSIQTIALPMQTFLSVHYLTPLESEDCWSLLAGLAFGACNDQHQSNLEEIGKEIANKCYGSPFAAVALGDILCTKLSLDYWNYVLENDIMLLLDHDVRPFIQLSYQYLPTPLKRCFEYCSIFPKKSIIEKNLTVQLWIAEGLVKSSTNQEKVGEEYFAVLVSRSLIHRRSIGDGEANFEMHNLVHDLAIKVSSPNCINMDEDDFHDRVHNLSYNRGIYDSYSKFDKLYGLKDLRTFLALPLQEHLPLCLLSNKLVHELLPTMKQLRVLSLSNYKSITEVPNSIANLLHLQYLNLSHTMIERLPSETCELYNLQFLLLSGCKKLTELPEDIGKLVNLCHLDVSDTALTEMPIQIAKLENLHTLYDFVVSKQTGGLKVRDLGKYPHLYGKLCISQLQNVSDPFEAVQANMKMKEQIDKLSLEWDCGSAFSNSHIQSVVLEHLQPSTNLKSLTIKGYGGISFPNWFGDSLFSNMVYLRISNCSDCLWLPPLGQLGNLKKLIIEEMQSVEIIGTEFYGSDCSSSFQPFPSLETLHFENMQEWEEWNLIGGTNKGFPLLKTLSLSKCPKLRLGNMPDIFLSLTELRECPLLVQSMPSSDHVFRQLIMFPMNSLRQLTIHGFPSPMSFPPDGLPKTLKVLIISSCENLEFLPHEYLHNYTSLEKLKISYSCNSMISFALGALPVLNSLRSVGKESLIS >RHN53444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1687521:1692749:1 gene:gene28351 transcript:rna28351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MASSPTQNESEHNNSTDSVILPVTTKDSTRSGLTHSLTIKLDEKNFLLWSQQVNGVITAHDLHRFVLDPKIPLQFASESDRLQNKISDEYRKWLTKDQVLFTWLLSTISDEVLPRVLGCKHAFQVWDKIHKFFNSFLKAKARQLRSELKNTKKLGRSINEFLLRIKMIVNSLIAIGDVVSDQEHINAIVDGLLEEYNSFVLVIYSRLDSPSIEEVESILMMQEAQFEKYRQELTNPSVSANLAQSELPSKPGNSESQEVGTEYYNAGRGRGRGRGRGRGRGRSNSNRLQCQICARNNHDAARCCFRYDQASSSQAHHRAPPFNSHMRPMANFAMQGFQAPPSEYAASSSYSEAPWYPDSGASHHLTFNPHNLAYRTPYNGQEQVLMDNGQGDSKQILLEGVVGSDGLYQFKPLQFLPSMSKSLSYCNNATISSVVCNSSSSSNDSFNKWHCRLGHANPNVVKSILNLCKIPFQNKHVLDFCVACSVGKSHKLHAPLSNTTYTKPFEVVHCDLWGPSPFTSHYGYNYYISFVDTFTKYTWIYFLKNKSDAIQAFKLFYQLIQNQFQTSLKALQSDWGGEFRPFTNLLNSLGIIHRLTCPHTSHQNGTVERKHRQIVEMGLTLLSHASIPLKFWDHNFTQAVSLINKLPSSAIPQFYSPHHALFHIIPDYTNLKVFGCQCFPHLRPFNKHKFQFRSSPCVYLGMSPQHKGHKCLDASGRVYISKDVVFNESVFPYASMFPHSSSPISTTSQYYPATICLDDDSDTAPIIPEPSPTSPNTITSPSTSSQSALQPSSPINNSSQQEPPAHNSSLPHAHIPPKKYLPHPMITRTKTGKLKPKVFLTELAPKTVKTTLSDPRWLQAMKTEYKALIDNKTWDLVPLPPHKKAIGCKWVYRVKENPDGSVNKFKARLVAKGFSQTLGCDYTETFSPVIKPVTIRLILTIAITYKWEIQQIDINNAFLNGFLQEEVYMSQPQGFEAANKSLVCKLNKSLYGLKQAPRAWYERLTSAQIQFGFTKSRCDPSLLIYNQNGACIYLLIYVDDILITGSSASQVHELIQKLNHIFALKQLGKLDYFLGIEVQYLSSGALLLTQSKYIHDLLCKADMENSNSIGSPMVSSCRLSKFGTDSMSDATLYRSIVGALQYATLTRPDIAFSVNKVSQFMANPLESHWTAVKRILRYLKGTLDHGLLIHPSQTGPPFSLRAYSDSDWATDQDDRKSVSGSCIYFGPNLISWSSKKQQVVARSSTEAEYRSMAHTTTELLWIQSLLQELRVPFCTPTLLCDNLSAVALTHNPILHSRTKHIELDIHFVQESVISKQLQVLHVPSTEQLADPLTKPLSPAKYASIRDKLKVYSHRVPP >RHN54744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11777122:11782174:1 gene:gene29823 transcript:rna29823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEVEIIFHSISNIQQLIKSSQEPLVNRLSGVDIDQLRNNLNEIDETVKKAQKSHFRSSEKILPWLKNVKVAIVEFNDLIEDINLKESIAGNISIFRWVLSLKSRYSVTRQVTKEQGKLKSLSEDGKSLISVELEQAAAGSRRFSNEVFEKVTVVGREYEKKEIIDRLLKWIKSTDAFHVGVFVIVGVSGIGKTKLARLVCKDEQVKANFGPQPIWIDLLYETFDVESIVKSATTTVNDGKHPLIVLDGLQNEMKKDLENLQQRLKESCGTGCAILITTRGSHVANMVANNISGFAARFDLGGFHEKEKKELVDQLLNLNNSTDDFHVGVFVIVGVPGIGKTKLARLVCEDEQVKANFGLQPIWIDLLHETFDVESIVKSATTTVDDGKHCLIVLDDLRNEIKNDLEKLQQRLKESCGTGCAILITTRSNQVANNISGFAARFDLKGFDEKDSQSLFQQIHRSASASTSTNNKQDNGLEIVKDCGGVPLAIKIKAALMNKILDEGGQIEDVEREFLNELKFRYYEDLPTCYKLCFAFCSLFPEHHLIDAERLIQLWFAEGFITFSSISQQENGFNEFVASVFQQVEKENSEDQHGVVRECYRMNRFMHKLTRLVASGENITVDSKGEKVEEGMLRASFDFGLDLSCGIPDSMFIAKKLRTILLPYKNINNPRLPHEVKMTTPTCDKIFNTFKSMHVLDLHDLGIKIVPTSIEEMKYLRFLDLSHNNIEKLPSCITKLIHLQTLKLSYCHVLKELPKDLKDLTRLSHLNIEGCLDLTHMPTGIDKLTSLQTLSLFVASKKHADTGGLRELTNLNNLKDKLEILHLEQVKFSPSNEAAKDEFVKNKQHIQHLTLRWDRDDDEEGSSGSGGADVDNNDEKLLECLQPPPNLKVLFIVGYNGRTLSKWLDSLQCLVKFTLSDCPKCKFLPPIDHLPNLKALHLRRLESLEFIAEKSSEPKVDSSSSKQEFFPALKELTISDCPKLESWWENDKTLKKNRPSFPCISKLNIRCCPKLACVPLCTNLDEELVLVDSNVRSMRETKTETETTVEASLSPLLNLKFMVIERIEESPPQNWLEGFTSLKELHIRDCPNLKSLPQGFKTLCSLQSLCIERCQEFHLEKPEVDYWEGLVKLESLTLRSIPKLVTLTRGFGNLKSLKDLRIYDCPSLTHLPETIDNLTSLRELVLSECRSMDSLPKGMIKLTSLFTLIIMDCPLLLPRCQPETGDDWPQIAQIKHKSVKETPQDLGDL >RHN80507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38679985:38681544:-1 gene:gene4442 transcript:rna4442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MGELSALAEEWEEIIVISSTFIVGFCATYAKLYPTLKPYQYGFRVFLITYCYMFYSKSIFLSLIFYCHEHC >RHN49222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53384290:53389675:1 gene:gene44031 transcript:rna44031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MHRLDRGDVWKNKARSLQLQLRDRFRVAVDRHWRRRHNRTISPDADGYFSSTLQRWLNRIRDFRRDSLPSSTSFYRKRVMRDFSSEEESTILRMMQAVAVPILGNVCHVFMNGLNRVQVYGLEKLHTALLERPKGKPLLTVSNHVASMDDPLVIASLLPPQVLLNARNLRWTLCATDRCFKNPVTSAFFRSVKVLPVARGDGIYQEGMDLALSKLNNGGWVHIFPEGSRSRDGGKTMGSCKRGVARFVLDGDSMPVVIPFVHTGMQEIMPIGASIPRIGKMVTVIVGDPINFDDILNMEKGPDVPRKRLYDAVAARIGDRLYELKAQVDTLAMEQETRLQDRSPHSSERVSGILQQVDWELFGMNDFLSSADDDSMQTPETVVLPNTSVSEQHQQSHSKRVGFSYRMRGYMDQMELMSFAARGLFMNNNETKDSSGFSREIRPLKAWKQFFDAHVLKQWNYSM >RHN81967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50198882:50199983:1 gene:gene6073 transcript:rna6073 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLRHPGKHHCFWLLPTFIFLLFSSSSAITLLAPQPSSSGTVLKHQKMENGSPTSPWKKREVVEQKKLAGPGSTPPSCRSKCSSCNPCQPVRMPVHPGLIIRLEYYPEAWRCKCGNKYFLP >RHN46156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29261927:29267473:1 gene:gene40599 transcript:rna40599 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFRIASKLSSRVSPKALVSLSSKPSPISFRSFNTVLALDKSLAVDDVVRMSEYALRQARSEKSVGSYGMGYLVLKHCLTTELTEGNDPKHENSKGIALLTMSTLFSERGDYDDAIEQLNGVQELSNSYLGIRVAAYEAQAGLHLELEQDDMASAVADKCIELVENEKTEDFEALNVRARAIKGLVELVKGDIKSAEPFFDKALRTKLCDGTAALSYAEFQQTRQNYSMAKEIYQNVLEGATELKERGNVYLGGGNMSMEGLMMQAMCGLGQLESHLGNFRNAEEHLTKAVTKADQIYGEKHPKLGVVLTNMALMYRRKAIEQKSSSLVVQEGLYRKVSEILKFPPPETESEGAAAAKQTVKRNDIVALASGGYAELLSVQENRQSEGEKMKKLSDSLWKNNRMSLDDFLGNTEASICPVVDARISRLL >RHN57242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37506759:37508239:-1 gene:gene32756 transcript:rna32756 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKLFVSVCFYALLLIFLVAIMPSEGAIWNGNKGKNNDGYGDGIEGHSGKEYVDNAWKKERSWGTGWWGSGRKGGGGGKGDKGGKVGGGRYQLPIPGGGKGGGGKGGRDGKL >RHN38596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:571075:571524:1 gene:gene44552 transcript:rna44552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MVHTTMAVPSTITFKLSILLIILFSFEARETIAISLTEKVTITNDVTDPTPKTITFNCKSKDDDLGVHTLMFGEIYRFSFRPKILYPIVHPTVFSCSFTWLGNPHRHYFDIYDQSRDRCFHCDWKINLNGGCLNGDKCRPWKSVQLMVA >RHN44860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9157420:9161497:-1 gene:gene39026 transcript:rna39026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MTTKTTLLFLFLLHVSVTVVNSIGVNYGTLADNLPPPATVANFLKTNTIVDRVKIFDVSPQILQAFANTGISVTVTAPNGDIAALGNINSARQWVQQKIKPFYPATKINYILVGSEVLHWGDGNMIRGLVPAMRTLHSALVAEGINDIKVTTAHSLIIMRQSLPPSAGKFRPGFAKHVIAPMLKFLRETRTPFMVNPYPYFGYNPKNVNFALFRPNRGLFDRNTRLTYTNQFDALMDAVYSAMKGLGFGDVDIAVGETGWPSVCDGWDACSVANAQSYNGELIRHLEAGRGTPLMPNRRFETFLFALFNENQKPGPIAERNWGLFRPDFSPVYDSGILRNAQRPVAPVQPRPVTPVQPRPAIGSWCVPKPDASNAALQANINYVCSQKVDCRPIQPGGVCFAANNLRALATYAMNAYYQAMGRHPFNCDFSNSGVIVSTNPSHDNCRI >RHN53394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1441306:1442554:-1 gene:gene28296 transcript:rna28296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDDSTTATNNQLSINYGGNTNIPSALLSSDDSLHAQSLPTLPFDIISQILHRLPVKLLLQLRCLCKACNSLITDLKFAKKQLSMSTTPSITRCLSYRNYSYYLRFDLNCFIIGSCNGILCIANDSKDLFILWNPTIRKFRELPLLKKPQEFSHKYRQFCIKPQTEFSFGYDCLTDNYKVIVVLKYHKSIGRWVNKIELKLHTLGTNFWRSIKKFPFGVLPYDMSGKLVSGKFVGGAISWLAFKPYPRTSCFIVAFDLGKESYQKVLLPNRGGVDVSGFSTLGVLRGFLSLSYGDDVWVMKEYGNTESWIKLFTISYVKDHRYCSAYPKAIYIFEDDQVLLKCVGNFDFNYFVYDFRKGTIEPTNFQNIIEVYDESLISPCSYC >RHN50240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5427539:5432159:1 gene:gene34509 transcript:rna34509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MKPLINFKTIIITLLYFSISLVHLQAYDPIEHFTVSCGATGNSTDGQRTWTGDINSKLLSSLQNNPTTISVPKTTQNPSSNKVPYSTARLSHSIFNYSLPVSKGPKFLRLFFYPTTYTNGFNRYDASFTVISNGFTLLKDFNASLNADVEGVVTILKEYVINVGDDQRLDLSFIPSNNNYGFINGIEVLSMPDDLYYTPDPEFSLVGATNTQYNVPTSAALETDYRIRVGVQDNSPNIPDIGMFRNWNINDSTYLRTPTAIDFVPADISGTRNITVTPDYIAPKDLFKSSRDLGTNATLNRLLNLTWEFPVDSGFTYFIRLHFCELDPNITRAGDRVFTIYLQGNVAVEQADVLKWTQNQKGLAVQRNYAVLIPKSESNSNKKVNLTIQMHPFGNGDSRYTKFSDPFLNGLEIFKISEISSKNLAGQNPDPVQNPVQEKNSKKSINGTTIIGVALGVVFGLVLLSIVVFFLYRRKRQTEEKNSKTTKDSKSSTTSKWGPLSFATTTKSTNSNTSLPSDLCRSFSLLEIRAATNNFDELFIVGVGGFGHVYKGYIDNGSTQVAIKRLKPGSQQGENEFINEIDMLSKLRHIHLVSLIGYCNENNEMIIVYDFMAHGTLRDHLYNTENPALSWKQRLQISIGAARGLHYLHTGAKNMIIHRDVKTTNILLDDKWIAKVSDFGLSRIGPMGISKAHVSTVVKGSVGYLDPEYYKRQRLTEKSDVYSFGVVLFEILCARPPLIRTAEKRQVSLADWGRYCHKNGMLGSIVDPSVKWSIAPECLRKFGEIAVSCLLDDGTMRPSMNDVVWMLEFALQLQESAEKREPQAVPHTLGRGNDDVEGDRIDENDNDVFSSGSDVGIVSDFNKTSAVSVSTISTGSTSGDHSYGYNRESVFSEIMDPKAR >RHN46279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30352612:30355884:-1 gene:gene40750 transcript:rna40750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MASNKEEVKVFGMVGSPFSTRVEIALKLKGVEYKCENEKFGNLSDTLKNYNPVYKKVPVLVYNDKPISESLVILEYIDETWKQNPILPSDPYQRAMARFWSNFIDDKCMNDVRKACFTFDEKEREKSIEETKEALRFLENELKDKFFGGEDIGFVDIAAVIISFWISPIEEAFGLKLFTSEEFPKLYNWSQEFNNHPIVNEKLPPREILLTFYKGRYEEHFGSK >RHN73986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23146461:23146917:-1 gene:gene9903 transcript:rna9903 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTLVEIMGESFPRNLIYNSLPWTTRPTHLSLLSIYIPSFSLLNLSRYHAFLFSHTLNSVL >RHN71848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3058379:3058657:-1 gene:gene7488 transcript:rna7488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MALRPQLSVTKNEVVNWDEPGDRLSRFMIRDDGLLERYIWDSSIVKWTKMYEARKDLCDNYGACGINGVCNIDDVHVYCDCLKGFKPRSQDG >RHN79501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29610665:29610940:-1 gene:gene3298 transcript:rna3298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannosyltransferase, DXD MVALLLYGEWQDAHMEVRYTDVDYIVFSDAASLVASGFSPYQRTTYRYSPLLAFLLLPNHFIHRSWGKFLFSSAGIYLSLINLFTPFSFFQ >RHN78881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19527688:19530350:-1 gene:gene2547 transcript:rna2547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEKESKEKRREVSMAMAADWSTLHKDLLLLISSRLDNEADIIRFRSVCSTWRFFIPNHSLPFKLPHFHTSSLSCYLSKHNIFLIKPPQQEQQQTLRPWLIRIAKNSCRETQLFHPLRSVDSSPYHFPHVLDLKKFSVVRLGADVVMGRYNEDKTVLERSKELLSQFELYQLKIHRQDPTPLGHGMGKKVVAIMQHGKNKETVALGTLNQNGHPVLFHCSEKHWTLIPCMSTYFLDICVFKERFYAVNKIGRTVAFGLDYSVELVAEYVNGGDMKFLVECEGELLLVDICDSHCFGFPGEKGLKLKVFRLNERKKEWRSKSLGNRVLFLGNGCSFSASASDLSVVKGNCVVFIDDAFIPFDNMRCGMCVFDLDEQRLSPLSHYPDYFNLFWPPPEWILETQYHS >RHN57047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36084371:36087151:1 gene:gene32537 transcript:rna32537 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSENVTGLENEFGFPTEFPYEFDSFETENSDEEEDFFVGLTRRLSHASIHENRKKLTVPIFNNEKPEEKIQEKVTVMAGSPQSTLAGIGSWSGRSGGGSSDGSPNGSTRVPSPTTVPFSGGNDAWDVLYAAAGEVARLKMNDEVKRGVLGGLPSPTMAENRAGAVFVNPNASHVQYQQVKQECDSIWARHAFAQAQAQAHVKALQAQVQNRVYDYEGVKCTRAMPRSAWHSPIQVKNQNNPVYFNGSGLRTGLQRGSGVKRGCGGTGVFLPRPYGTPLPESRKKTSCAPVLVPAKVIHALNLNINDFNGGRQPRFSNDFGVDYDALLARRNALLMQQRLRMQREEAASYESRLPQEWTY >RHN43282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44603055:44603390:1 gene:gene49830 transcript:rna49830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MDFLKKCKRVWGSNKRLYPEESGSGRYGKLSEKCPKKKKDINNKNPPHGYICVYVGPERQRFIIKIKIFNHPLFKTLLEDVENEYGYRNDGPLWFPCDVDLFCEILVDIER >RHN60331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25309646:25318299:-1 gene:gene22630 transcript:rna22630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease D MNNEHKTRVAFTIVTLTTAAIIFFTVRRMRRRKQRESPSSSCYSHSEPKPQSAFKRVLADNSYAPFKHLSFNASKNNGNGSSLHPFQAEITALLQNHQPEIELGAEKLEMNDSYVWVDTEMQLKKLVNVLSKEKFFGVDTEQHSLRSFLGFTGLVQISTQQEDYLIDTIALHDSMEILRPVFADPSICKVFHGADNDVLWLQRDFHIYVVNLFDTSKACEVLSKPQKSLAYLLETYCGVNTNKLLQREDWRQRPLSAEMVHYARTDAHYLLYIANCLIDELKQLDNENSCSDDKFHFVLEASRRSNMICLQLFTKEIEASPGESAALSLYSRHQSNRASPSISNETQFLSIVRQLCTWRDLMARIHDESLKYVLSDQAIVALASRLPASNSEIYNSIVQTDVNAETGLSSSIPSPSPVVCSHLTDICHLLANKLVNHGDIYSVILQKCLGQNGNCKLNISNYALLVNCNVRPTLSSKRSSLKNPRQHSKKASRNLFVKKFSCKSPVYHNCRIFANDGRLLCYCDRKKLEWYLSRDLAKVVDEEPPAIMLLFEPKGRPEDEGNDFYIQSKKNICVGCGEGNHYLRYRIIPSCYRIHFPEHLKSHRSHDIVLLCVDCHEAAHAAAEKYKRKVASEFGIPLYVRRVIHPGQETEKPNDEGGVSPLQLRSAAVALLRHGPRMPHDRREELTEIIKTYYGGREISKEDLEKALQVGMTPHERRKFEKKRGVSSKHSTGSASAVPYEGNDTDYAPGMSNGDTLKVDTLDGSYVNEETRSGDNRQDDSGKPSLASDLAVDKATSNGNTIVVKTTDHDDDETSYSLVDTDESLNKMQPNVVSDEESIKDEDTTQAKHHSKLSLLGHGPHGKQVVDHLLKEYGEDGIREFCQRWRQVFVDALKPRFLPGGWDVKHRI >RHN54727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11657881:11664275:-1 gene:gene29805 transcript:rna29805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MARKNDAVLSTEPEQALNNNTELKSSKKKTKKNKHEETTPKRKHQDETNLQNDTESEKKSKKKKKHNKSEENNDAGGVSVSASDEPIVVTGKNAGDEKYTPVKRFEDSGLPENVLECCKGFEKPSPIQSRAWPFLLDGRDLIGIAATGSGKTLAFGIPAIMHVMNKRKSKGSSKGRNPLCLMLSPTRELAQQISDVLCDAGKSCGVESVCLYGGTPKGAQISALKSGIDIVIGTPGRIQDLVEMGICRLQEVSFVVLDEADRMLDMGFEQIVRSILGQTCSARQMVMFSATWPLAVHHLAQEFMDPNPVKVVVGSEDLSANHDVMQIVEVLDERLRDKRLLALLEKYHKSQKNRVLVFVLYKWETTRVEKMLQQGGWKAVSISGDKSQHERTKALSLFKNGSCPLMIATDVAARGLDIPDVEVVINFSFPLTLEDYVHRIGRTGRAGKKGVAHTFFTHLNKGLAGELVNVLREAGQVVPDDLLKFGTHVKKKESKLYGAHFKEIPVDAPKSKKITFDNSDDED >RHN41465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30238622:30239126:-1 gene:gene47794 transcript:rna47794 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAIRFVVTGSAKKQTKEKPSVSSHNSNKSKSGSKARGSELAKYSKPPAKEDDEEVDDEEEYQGECTACGENYVSASDEF >RHN41569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31119438:31123127:-1 gene:gene47903 transcript:rna47903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative caffeate O-methyltransferase MANHSNVKDLGHVNGNGERKSEKQELEDEESFSYAIQLGSSMVLPMVLHSASQLGVFDVLQKAGKGAQLSADEIASRISCSNPDAPKMLDRILALLASHDVLKCLVIEDEQKFGSFHRLYSMTPVARFFAPNSDGVSLGPLLALIQDNVFLASWSEFNNAIREGGVPFNMVHGTHAFDYPSLDSRFNKVFNTAMINHTKIVMNKVLESYNGFEGIKRLVDVGGGLGVNIQLVTSKYPNIHGINFDLPHVIQHAPSYPGVEHVGGDMFESVPKGDAILMKWILHDWSDEHCLKILKNCYDAIPNDGKVIVLEAHIPIVPENSYASKSTSQLDVLMMTQSPGGKERTKQEFIDLATRVGFIGIRCECCVRNFWVMEFFK >RHN43980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:480756:481358:1 gene:gene38023 transcript:rna38023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MKCKLKIAPPNVVTYTTIMCALAKAEKFDEALQVVERMNAVGCRPDTLFFNSFIYTMGRAGRIDDATHIFKVAMPKASVAPNTSTYNSMISMFCYYAQKERAFDILKEMEKSGLCKPDIQTYYHPLIKSCFKMRKVDTWLNDILSDMVNKYHIGLDLSTYTLLIHGLCRADRCKWAFNLFEEMVDQDIMPRYKTCRLLLD >RHN75102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39405792:39406575:1 gene:gene11261 transcript:rna11261 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRDYLLLIRFVLSLSPFVPSSFRSGLFFSLASLVRFVWSFYCSGLFCFSQIRFGFDTFVMC >RHN46404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31435766:31442516:1 gene:gene40886 transcript:rna40886 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LAX2 MLPQKQGEEAIVSSFNETDQQEGVVGREEEVEDHSFSVKNFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQVFYGILGSWTAYLISVLYVEYRSRKEKENVNFKNHVIQWFEVLDGLLGPYWKALGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIASIVHGQAENVTHTGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLMATLYVFTLTIPSATAVYWAFGDELLNHSNAFSLLPKNGWRDGAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTRSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSAAHMLTYRKASARKNAAEKPPFFMPSWTAMYIFNAFIVIWVLVVGFGFGGWASMTNFIRQIDTFGLFAKCYQCKPPPVMAAAPPPHALHH >RHN41822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33345027:33346824:1 gene:gene48184 transcript:rna48184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MEKDKGSGSRDKLTMGSNSNLRSNTELIQGAGGDIPKNTNIGGGWQLVYKSIEATEGVKKEAGLQRVYLHADSSAVSRQGSFTSISGYDLHAEHVGESFPAAALVSRSILSTKNKKIKPEVIPKRASWEGLLDPGVKRALVVGIGLQVLQQASGINGFIFYAPQILDQAGVGALLSNLGISSASSSLLVNVVTTFCMLPCIAISMRLMDISGRRSIMLYTIPILILSLMILVLKDLFHLSSILNAAITAICVVTYESIFCMGFGVIPNIISAEIFPTSVRGICISICLLTYWICTLIITSLFPFLLQLLGLTGVFGLFVVGCIVSWIFVYLKVPETKGMPLEVIIEFFAFGSKPAETID >RHN48749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49929348:49929701:1 gene:gene43499 transcript:rna43499 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLVFPHYIYHSIPCFRVTLSRYSGKFGTDFSLVLKPK >RHN42460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38543256:38543696:-1 gene:gene48904 transcript:rna48904 gene_biotype:protein_coding transcript_biotype:protein_coding MKWAWRRRNTYKHLITTLVLESLVSAICGGSVEDNIYLRKNDMYFLWETKLLNTRILYQLLHSLAFMLDQGKIAPEVFHKFEAFQCVPNRDTYTFTLKALLITRCITDMTHQAASICQKMLLHPETVLPDNGDIIGHLLSFSKKYD >RHN81396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45545440:45550885:-1 gene:gene5425 transcript:rna5425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MVSTPSLPSKETQSEQKEVENGPARRAKWWYSTFHTVTAMIGAGVLSLPYAMAYLGWGPGILMLLLSWCLTLNTMWQMIQLHECVPGTRFDRYIDLGRHAFGPKLGAWIVLPQQLIVQVGCDIVYMVIGGKCLKQFVEIACTDCTQIKQSYWIMIFGGIHFFLSQLPNFNSVACVSLAAAVMSLSYSTIAWVACLPRGRIDNVSYAYKPISKTDLLFRVFNALGQISFAFAGHAVTLEIQATIPSTPEKPSKIAMWNGAICAYFINAICYFPVAIIGYWTFGQDVNDNILMSLEKPSWLIASANLMVFIHVVGSYQVYAMPVFDLIERMMMRRLNFPPGVALRLVARSAYVAFTLFFGVTFPFFGDLLGFFGGFGFAPTSYFLPSIMWMIIKKPKKFSINWFINWAGIYIGVCIMLASTVGGLRNIIADSSTYSFYT >RHN48035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44444735:44445945:1 gene:gene42704 transcript:rna42704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase SIN MIFFFVAIQCDNGHIACSTCYPKLRNTCPKCSLRISSKRCKAIENLLLSIELSCSNAKYGCREKISYIGKMNHEEQCIHEPCYCPLPDCDFVASSEVLSKHFSHKHGDSQTKFSYGLSVIVSLKSNDETIVLQENNVGKLFILNNSTMLFGNAINICCISPKSSQYECGYDILARSQACKPKFQSFAKNVQRFTLSTLSLEFLVIPFGSSEPLELEICISPMMLIYILTLTRKRIPLRIKSSDTIADVKEKILDNEGIPMHMQRLIFAGKELDNSLTLANYHIQEKSTLKFVLRIMGD >RHN82571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54859988:54864041:1 gene:gene6744 transcript:rna6744 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKLEEEEEVVAICRERKRQLKLAVEKRYALAEAHCKYFHSLNAVAAAIKLFVARHSSPSSPFLITFPPKGLDPSPSHSSAENVINNPMFLQQTPSETKHESVACNSCIGSTTSESSEEEGEREVEGCREVQNEQPSEYFYMHMPMNMPMHHMSMPPPSMPSPQRDFGWDFFYPFDSMRPEVMNGYHRNSDDDLRAVREEEGIPELEEEVERVELEHKVVVSVKEKNNKEGGGKVVSGVETAKPVDVANENENVGEQKGLAVLDTPAEGRELLEALKDIEDHFIRAYESGKGVTKMLEANRIPLHSSLEEIKESSTKLINAITWKSMSSRQSSCKSLVVQNMKDSSSWVEYKNDLFDDYGGMDSGSHLLTLGRLYAWEKKLFEEVKAGDSTRKNYEKKCAQLRNKNVRGDDELSMDKTRADMKDLYAGILVAIRRAESISKRIQKMRDEELQPQIVELLKGLTQSWKIMLESHETQKMILSEVKYFTCPPYDKFCNQSRGLATLQLEAELHHWRACFREYTAAQKAYVEALHGWLSKFVVHEVEFYSRSKNVAMPMPFQVNGPPLLVICNDWLTSLRKLPDKTVALALKSVVKDVKALWIQQNKEQQQKRKVDNLTKDLDRRYDGSYKLKTKMLELQVTDHRSEEESVREEECLMDKGDYLETLRRKLEVEKEKHYSCMQETQRMTLNGLQFGFSQVLESLTEFSKASQKMYNDLVTFSEKAGNISYIEDGGCNVENCNSQNGQ >RHN42386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37921424:37921729:1 gene:gene48822 transcript:rna48822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative starch synthase MLNLFFWTYLNSCPGLGLCRWSTSKCSCVDNNHKLDFHAIVPLATPDAQYWVEEEQLIYQKLREERKLREEAIRAKVCSCL >RHN47077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36939807:36941639:-1 gene:gene41632 transcript:rna41632 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPPSLLSLTIDSAVLNLSDISDLSPIPDHILLDLFLRILKAGKLTEKVLKLFIATGNEEVISLVQALNIRHIVTPVLPTRCSEKF >RHN60765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30254544:30256096:-1 gene:gene23124 transcript:rna23124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MWANLESLYMTKSLAHRQFLKQRLYSFRMVESKAIMEQLTEFNKILDDLENIEVQLEDEDKAILLLSALPKSIESFKDTMLFGKEGIVTLEEVQAALRTKELTKSKDLTHEHGEGLSVSRGNGGGRGNRRKSGNKSRFECFNCHKMGHFKKDCPEINGNSAQIISEGYEDAGALMVWCCLEDEEGDVSHLGIDA >RHN77196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4866969:4871821:-1 gene:gene622 transcript:rna622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MGGEENAMEFQHGNENIMSSCPSSEMDISSVSNMAKTSSDVVNHHQQQHHFMASSAWDNPLVSLSQAHTFGGSSMVSHNEFANANSSYNPLVLENQGMSSTSHLVQYSNLGGMIHKIPSYGSGSFSEMVGSFGQHGSGGEHVANTSGYPIPSQHYNHIKDAGIQRGQINGEQSQIEDSIHEDGATGSAPGSGSRRKRGLDQNSTFSPNKNAEGDGVKDSPRKISDGSKENDKKPKVEQSGKQAKDNSPSGDASKDNFIHVRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNFDVERLLSKDILQSRLGLGIGGFVPGMSSSHPFPNASFQGNMAGMPSSSTQFPPLPQNALDHDFQNFYGMGYDPNTALDNLGSNGRLKPEL >RHN38486.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000010.1:557571:557729:-1 gene:gene50685 transcript:rna50685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde dehydrogenase (NAD(+)) MTLELGGKSPFIVFEEADIDKVVEIAHFALFFNQVYIPCLIFSFSSSVLVLI >RHN56769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33575528:33576085:-1 gene:gene32213 transcript:rna32213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin-conjugating enzyme/RWD MDVPLIMDVPLTMDVPTEPNTKTPQILSSILSQSSLCYSEDTKFLIRDHLLSLLTNYPTLKPGTNTMIYKISLGAFNIVQADSTIPMTYKAVTYHTPIVISLLESYPRHPPLVYLNPPPYLKIKLHHPYVSSSSLVIVPYLQNWIYPTSNLVDLALDLSLTFGREPPLFSNPNPNPNPTRGCIIM >RHN77915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10490924:10491454:-1 gene:gene1420 transcript:rna1420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLHILNRKMRIFMTLLLCFSSQMFMHYMPSATVTLALSSAIDEHALLALKEKLTNGVQDSLPSWNESLHFCEWQGVECGRRHMRVSVLHLENQNLGGTLGPSLGNLTFLRMLNLSNVNLHGEIPKQVGRLKRLQVLDLRFNHLLGEIPIELANCTNIKVICLAFNQLIGRIPACFG >RHN67978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31902215:31903903:1 gene:gene16248 transcript:rna16248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylylsulfatase MFLSVQSVKLSVLLISLLMRLLIYGSLHKKLAGSLRATIRLHHSLWLSKPGLDGPQAGQTVPHVHIHVVPRKGGDFKNNDEIYDAMDEKEKELKEKLDLDKERKDRSLEEMSQEAEEYRKLFL >RHN48723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49744045:49744984:-1 gene:gene43470 transcript:rna43470 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVGSQLSRLGFPCCKDILLISVSSSHSQVSSSLSLFRIPTLHSFPESLGFLSSLILSLLCSGFDPLFVPEKERKKVKLKFSHWKAIVKIEIQNQITLVLALEIVLGLQFFL >RHN55121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15288392:15293682:1 gene:gene30252 transcript:rna30252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MSISYSNFFTDSDLLCGEETSSILSSDSPTESFSDGESYPPPEDEFIAGLIEDEGKFVIGFDYFVKMKSSSFDSDARDESIRWILKVQGYYGFQPVTAYLAVNYMDRFLNSRRLPQTNGWPLQLLSVACLSLAAKMEETLVPSLLDLQVEGVKYMFEPITIRRMELLVLSVLDWRLRSVTPFSFLSFFACKLDSTSTFTGFLISRATQIILSKIQEASILAYWPSCIAAAAILYAANEIPNWSLVEPEHAESWCEGLRKEKIIGCYQLMQELVIDNNQRKPPKVLPQMRVTIQPLMRSCVSSSSSSPSSSSSSYKRRKLNNCLWVDDDKGSNSQ >RHN82667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55559980:55561022:-1 gene:gene6846 transcript:rna6846 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSMLAEPRLIRDTTGMDANKVFPIRSYEHDKENNISENIVMQ >RHN39456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7261270:7261697:-1 gene:gene45480 transcript:rna45480 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKGEQFQNATKITRHQLAMASRRRAYSPWRMEGLARRVRAV >RHN47225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38076691:38082223:-1 gene:gene41794 transcript:rna41794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-RSK-2 family MERVAETKVLPRSLPILVEVSDAHKAVIREAGQRLTGEDVSCARAVSARELNQFSKARDGPMTHLASSREVSQLSNARILLEREDTGFNTRSSQKPVSAAPTRTPKGKASFPDHKELLPDIEMLKSSSDSFGETDPSSFAGASHPPEPVDTDLMRTVYVPIGQNKSEGGCLMKSTSLKGPFLEDLSIRNLTKKPILAVVSPAESTAEESNDMRNSALPFSGARGLKNTENSLPPPGSEENDFVWDSSLPPSGNVSPHSSIDSTSVVRTMSIANSCASTYRSDAFTSDGMLSIDKNCDSIKGNVRGDSLESAKTSASRASDSSGLSDDSNWSNITGSASKPHKGNDPRWKAILAVRARDGNLGMSHFKLLRRLGCGDIGSVYLSELSATRCYFAMKVMDKASLSARKKLIRAQTEREILQLLDHPFLPMLYTHFETDRFSCLVMEYCPGGDLHALRQRQPGKHFSEYAARFYAAEVLLAIEYLHMLGVVYRDLKPENVLVREDGHIMLSDFDLSLRCVVSPTLIRTHDGDPSKRAGAFCVQPACIEPTSVCIQPACFLPKLFSQKNKKSRKPKSDPGLSTDSLPELLAEPTTARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLKFPESPATSYASRDLIRGLLAKEPQNRLGVKRGATELKQHPFFEGVNWALIRCSTPPEIPRPMESELPAKFEAVENTVGVGSNSNKMVGGNNEIKSGGGKYLDFEFF >RHN41194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28041795:28043234:-1 gene:gene47487 transcript:rna47487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSTVWNQCEELEILSLAFNSFNKGPMPDGIRNMTKLQELYLMRNNLEGEIPWLNNMTSLRVVNFAFNNLNGRLPNDFFNQLPQLRNFSLYNNQFEGSIPQSIGNCTSLIYLDLSSNFLTGMLYFLSRHIYLK >RHN62171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41384313:41391467:-1 gene:gene24718 transcript:rna24718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEIANEPPPSLDGTPIAATTPSSSSPSSSSSSPRGSKGKEIDAEAVATASTAPPSAKYDDDDEDEEDVCRICRNPGDADNPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHPFSFSPVYAENAPARLPFQEFVVGMAMKACHVLQFFVRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLNHLSTAVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLREIGGQDAEREDEVDRNGARVARRPAGQANRNVNGDANGEDAVAAQGVAGAGQVIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFLPFSLGRIILHYLSWFFSTGSDAVLSVVVPPTDASLSLANITLKNALTAVQNLSTATQESGSIGQIAEMLKVNASELSEMSNNITASVSDDLLKGGSIGTSRISDVTTLAVGYIFLSTLIFCYFGVVALIRYTKGEPLTAGRFYGIASIAETIPSLFRQFLAAMRHLMTMVKVAFLLVIELGVFPLMCGWWLDVCTIQMFGKTMVHRAQFFSASPLASSLAHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMAPSIFPLEILLSDPFTEIPANMLLFQICIPFAIEHFKLRTTIKSLLRYWFSAVGWALGLTDFLLPRPDDNGNQENGNGERGRQERLQIVQAGVHDQGMVPFAGDDLNRVTNADAGEDYDSDEQPDSDSYAFALRIVLLLVIAWMTLLVFNSALVVVPISLGRILFNSIPRLPITHGIKCNDLYAFIIGSYVIWTAVAGVRYSIEQIRKRRTSVLLNQIWKWCSIVVKSSALLSIWIFVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLMDESWRVKFERVRDDGFSRLQGLWVLREIVLPIIMKLLTALCVPYVLARGVFPALGYPLVVNSAVYRFAWLGCLSFSFVCFCAKRFHVWFTNLHNSIRDDRCWTSIEAHKSTGGLSELFVSTLTPNLLVPIPRGNAGCDHHLPTPALNSYIT >RHN45885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26530184:26531955:1 gene:gene40309 transcript:rna40309 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGLLPDMNNPNEKSFEFPLLFVVIFVPSVLCLVEHVYGRRQKNCYIRCYDRDNSQNNKIAYVDYGSLRSK >RHN55517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19156452:19157903:1 gene:gene30711 transcript:rna30711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, kelch-type beta propeller MAATQKEHQEETHIEIDVLEAIFSLMPLIELVPACHVSKVWNHAVFSSLAHLKQIKPWLIILTQTTRASRVVTEHAYDPRSHAWLQIKHQPLITKASEFPTVRSSHSTLLYTLTPSEFTFSIDALHLKWHHAPSPRVWRTDPIIARVGNHVVVAGGACEFEDDPLAVEMYNMESSAWVRCQSMPKKMKNTSASTWQSVSVVGETMLVTEKDSGVTYSFNAIAMKWEGPYYLCPDQSVFYCVTGTSSEKLMVVGLVGEAGNVREVKLWEVKDGLSSGMKEIGSMPKEMVEKLKGDSEFGSVEVIWVGDFVYLRNTLVLEELVVCEVMNGSLCEWRSVRNVAVDGGTRMVFCGGDVCMEDLQKAVLSEKRKFCMK >RHN74247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31043292:31043705:1 gene:gene10279 transcript:rna10279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cutinase MNQMVKPFSNKLPWKLRELTTQLSGSVFTILDSFKMFKKIKNSSEKFGELIINFIQKNCQMVFFIVIPKFIFKFSGLTNIWDSCVGEGGKPCDNRKQYFFYDSAHNTEATNEIYANMCFSGRHACFPLNIEQLVHTD >RHN42954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42158811:42159381:-1 gene:gene49465 transcript:rna49465 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSRSKSNAANSEMTKANNNISLIPIHHSYNTTNTSPDNSVKNEKKKKSILPKIFGSKRNGRGSDEDALKSNTEGDSISISFDLERKIETRRKAFLEAAPIMRKSFSGI >RHN43324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44925311:44925571:-1 gene:gene49879 transcript:rna49879 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHFVLWEMREFGVQESWTRLMDVIYVHLKFGDIVPSFMLLPVYLSEDEDVLLLASNEVDILDFVIMYNQIDDIVEFLQLPNNQI >RHN63606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52666009:52666339:-1 gene:gene26330 transcript:rna26330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MTLCERWHPETSTFHMPLGEITVTLDDVACLKHLTIEGRMLSHGKKMPKHEGAALLMRHLGVSQQEAEKICGQEYGGYISYRG >RHN62177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41443496:41444863:1 gene:gene24724 transcript:rna24724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MAMSVDHDQGVQTGFIWENHPWGVLPISDNLGESSKKRIDTKPLNQKEGINEGDAPVRKKRSRGGVVIRSENNITTDEGEDRKYRDFDHEMHILTERERRKKMRNMFDSLHALLPELPSKADKSTIVDAAMKHIKNLEEIKEKLEKKKQEMLKSVSPLGSESSVINSQWHPYESREAFLADQGSSSYNNNLSNAIVTSNPSNAFSISPPQQVGFQTWSSQNVVLNICGGEAQFCICSTKKPGLLTTIALVLEKHKIDVISANIMCNANGNFYMIMAHVSFKPSLLFIIFLYQHIFELFSDE >RHN75137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39678051:39679693:-1 gene:gene11297 transcript:rna11297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CBS domain-containing protein MAVSFLAHELSELCLGKPPLKSISTSATIAEAIEVLRSSDDSFVSVWSCDHSEFTRCQCVGKVCMVDVICYLCKPENLLCPSKALNALVSVVLPKVGGLVVQLESSSSLLEAIDLILQGAQNLVVPILQTKRGGISRRKQQQKSSTINNHNGVEFCWLTQEDVIRFLLGSIGLFTPLPAYSIDSLDIISPDVLAIDYYSPASSAVEAISKSLAQQTSVAIVDSDDGTFVGEISPFTLACCDETVAVAITALSAGDLMAYIDCGAPPEDLVRLVKARLKEKNLEKLLQKFTILTSLTSEVSTSSSSDEEGLTRSGKHARSSSYSARFVRNVEAIVCHPKSSLIAVMIQAISHRVNYLWVIEDDCSLVGIVTFSNILKVFRERLEVI >RHN81643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47771150:47772834:1 gene:gene5714 transcript:rna5714 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative naringenin-chalcone synthase MVSVSEIRNAQRAEGPATILAIGTANPANCVEQSTYPDFYFKITNSEHKTELKEKFQRMCDKSMIKRRYMYLTEEILKENPSVCEYMAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIVCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPVPEIEKPIFEMVWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPGIVSKNINKALVEAFEPLGISDYNSIFWIAHPGGPAILDQVEQKLALKPEKMKATREVLSEYGNMSSACVLFILDEMRKKSAQDGLKTTGEGLEWGVLFGFGPGLTIETVVLRSVAI >RHN55442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18402513:18404277:1 gene:gene30623 transcript:rna30623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSRALGLKKGPWTPKEDKKLIAYVTQHGHRNWHSLPSRAVLRRCGKSCRLRWINYMIPDIKRGNFSSEEDHNIIQLHALLGNKWSTIAALLPRRTDNEIKNHWNINIKKRLIRMGIDPTTHKPKSNNNNDNDNTAIKHVSQWEHVRLEAEARSTMLGKTQTKLLLSSSSSSMLSLTKHNNAMYNICAIMLANNDDSFSSTSTLSFSNELPCLTNELKFGEGLGSYESVSNNNIMETIAQDHVYVSKLLQGDDYLMVALDAFGDSFNGDTFMDFNGNGMYNFDASLAFFE >RHN50057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3688854:3691058:-1 gene:gene34305 transcript:rna34305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L34Ae MVYFHSSISLCKSVDQPPVQSSMANSIELGSKYSKSKQTNQFQRNRRNHSLSNSVKIPPCDRSRSAMIDVVMFIAVVIACGFLFFPYVELLVIKSFQIMSMMCFLIMEEVSYAPYIYISIGISVVCAALATWGVIVCTSNKCGNPNCKGLKKAAEFDIQLETEDCVKNSPSFVKDGGVCKKGLFELPRDHHKELEAELKKMAPINGRAVLVLRARCGCSVGRLEVPGPAKKLRKIKK >RHN69989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47791040:47798779:-1 gene:gene18525 transcript:rna18525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MNLCFILCLVSTSLTFTLVYGGVGSNVSYDGRSLIIDGQRKLLISASIHYPRSVPAMWPALIQTAKEGGIDVIETYVFWNGHELSPGNYYFGGRFDLVQFAKVVQDAGMYLILRIGPFVAAEWNFGGVPVWLHYIPGTVFRTYNQPFMHHMEKFTTYIVNLMKKEKLFASQGGPIILSQIENEYGYYENYYKEDGKKYALWAAKMAVSQNTSVPWIMCQQWDAPDPVIDTCNSFYCDQFTPTSPKRPKMWTENWPGWFKTFGGRDPHRPVEDVAFSVARFFQKGGSLNNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLPRLPKWGHLKELHKAIKLCEHVLLYGKSVNISLGPSVEADIYTDSSGACAAFISNVDDKNDKKVVFRNASYHLPAWSVSILPDCKNVVFNTAKVSSPTNIVAMIPEHLQQSDKGQKTLKWDVFKENPGIWGKADFVKNGFVDHINTTKDTTDYLWHTTSILIDANEEFLKKGSKPALLIESKGHTLHAFVNQKYQGTGTGNGSHSAFTFKNPISLRAGKNEIAILSLTVGLQTAGPFYDFIGAGVTSVKIIGLNNRTIDLSSNAWAYKIGVLGEHLSIYQGEGMNSVKWTSTSEPPKGQALTWYKAIVDAPSGDEPVGLDMLYMGKGLAWLNGEEIGRYWPRISEFKKEDCVQECDYRGKFNPDKCDTGCGEPSQKWYHVPRSWFKPSGNVLVIFEEKGGDPTKITFVRRKVSGAPSGKS >RHN66723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17713228:17713659:-1 gene:gene14788 transcript:rna14788 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L2 MPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGQVRLISKNCSATVGQVGNVGVNQKSLGRAGAKRWLGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPSTPWGYPALGRRSRKKNKYSDNLILRRRSK >RHN65925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6569646:6573629:-1 gene:gene13821 transcript:rna13821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MNSTNTEDDNNACLLALQLSFEPLVFNSVLKAAIELNLFEIISKASPRGVSASYVASKLPTTQHPQLPRRLDRMLCLLASHSLLVCSTHTDEEGGSERLYELSLAGKYFVSDNSKGSVSLHATFLNHRSIVDAFFNFKEVLLDCDSALFEKVHGTPIYQAIQSDPAMNNVFNKTMTTMCTLEMNKILEIYNGFEDISLLVDVGGGFGQNLNMIISKYPYIKGINFDLPPVIENAPDYPGIEHVGGNVFESVPNGDAIILKAVLHNWSDKDCLKALHNCYKALPQNGKVIVVELIMPEEIQTTEKDKLVTGYDNLMFMGGGSERTKKEFESLCKSSGFSSFEIVCLAFSSLGVMEFLK >RHN42589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39538619:39543951:-1 gene:gene49046 transcript:rna49046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller MNQLVESSANSQRVSRIQAPLVDSVSCYCKVDSGLKTVAGARKFVPGSKICIQPDINPNAHRNKNSRKEKTRVQPPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLSGNFFYSLRKSLGMAEEWVYVIKRDREGKISLHAFDPIYQIWQSLPPVPGEYSEALGFGCAVLSGCHLYLFGGRDPLKGSMRRVIFYNARTNKWHRAPDMLRKRHLFGSCVMNNCLYVAGGECKGIQRTLRSAEVYDPNRNRWSFISEMTTAMVPFIGVIHNGTWFLKGLGSNRNVICEAYSQESDTWTPVNNGMVVGWRNPSISLNGELYALDCQDGCKLKVYDMATDSWKKFIDSRLHLGSSRALDAAALVSLNGKLCIIRNNMSISLVDVSSPNRRVESNPHLWENIAGKGPVRSLVRNLWSTIAGRGGLKSHIVHCQVLQA >RHN73381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15857858:15858424:-1 gene:gene9184 transcript:rna9184 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSITELKKGGVKTKACESRELMDISFGKKWGIMVKELTIPPLYIGDHRGTVFRNIVAFEKCHKRCNLDMTTYMFFLNRLINSANDVSALHYKGVIHHSLGSDEHVAELINNIAKEIVPDMNESYLYKVVNEANEYLGCWRARFRASLVHNYLTSWAVGLSTIGALLALYFTFIQASFTLQSLLVFI >RHN71067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56241896:56243152:1 gene:gene19710 transcript:rna19710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator Znf-B family MKIQCDVCNKRQASLFCTADEAALCSTCDHRVHHANKLASKHRRFSLDHPNSPNHFPLCDICLERRGFVFCQEDRAIVCKECDLKVHGVNEHTKKHNRFLLSGIKLHSPAPPPTLHEETGNFTISEYLINTIPGWKFEDFLDSPSSSVPSHELQHQNHIVHDDANYHIHEENILVSFSSESMRRICVPQAPLYYSEKMDNRSNTVNFSSSLGDFTVPQITIPPN >RHN56525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31615969:31620409:-1 gene:gene31934 transcript:rna31934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rRNA-processing protein Fcf1/Utp23 MKELMKVKKQKRHRKSLTFYTACFGFRKPYKVLCDGTFVNHLIVNRITPADTALANILSATVKLYTTRCVVAELKRLGKSYSEALDAANDLIIARCEHDKCVRADSCIMEVVGENNSEHFFVASQDTDLRKKLEEVPGVPLIFGLRNALFLESPSAFQKEYVKTSEERRLHMTDKEYQIFKDRAMKKLADGEADNSNAEIVENNDLGDSIASAQAIKRSIISRNQNGVRDKPQFKRRKAKGPNPLSCKKKKSRENQNNPTKETKGDNTVKRSRKRKRSRKGQKSTETES >RHN65919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6542558:6542833:-1 gene:gene13815 transcript:rna13815 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFINIYQNYHQLPPSFKLLQNWYSWKIGRRSLPLADMNFLGVMVGKLVHESVLKCGFDQDVFVGTSLIYMYGKCEPKLKSVEITEQRES >RHN43266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44470372:44472446:1 gene:gene49812 transcript:rna49812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterile alpha motif/pointed domain-containing protein MAEIQPAEGQINGGGTLILNSTEPIGTKRQRRPSVRLGDIGVDPPYDSHARRNNKSWKLSFDHQRKEKDSIASGKPSKIRPLTNLSSVGEFNETLDGEGNIDSTVAIGGWKVKESKKRGSVATKRVRSNWVSRIDETGGGVVEEKYSGAEDVDDGYREFDVENSESPLKEQSPIHSMENLGIDGHRRSFKSRDHNDGIELSGPSENGDRNDNVGRIRFGEDGIRIWLNGLGLGRYAPVFEVHEVDDEVLPMLTLEDLKDMGINAVGSRRKLYCAIQKLGKGFS >RHN58338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:950892:956610:-1 gene:gene20242 transcript:rna20242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-3-methyladenine glycosylase I MSGPPRVRSMNVTVGADSDSKAARPVKNVKKPVPAPETEKKKTSPQCVVVTPAVLKRRDHCGVVGMKNMSMNASCSSDASSTDSSACSSGASSSGKVARRVGKKQVGAKVEKVSIDAVVAVPAPVEVESIDGLEGKKRCAWVTPNTEPCYIAFHDEEWGVPIHDDKKLFELLSFSGALAELSWPTILGKRQLFRKVFLDFDPCAVSRMNEKKIVAPGSPASSLLSELRLRSIIENARQMCKVIEEFGSFDSYIWNFVNNKPIVSQFRYPRQVPAKSPKAEFISKDLVKRGFRSVGPTVIYTFMQVAGLTNDHLIGCFRFKECIFSNAEAEGKESSSLNSKVKEKSNEDPTNVGLLLSVNKLSFSS >RHN63614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52699848:52700923:-1 gene:gene26338 transcript:rna26338 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPLPLSLQPSFQVGKTGFSIGTARKVTTLSLVIGPGAGYNLKSNPLPKEESPTRNSVETKKRSTFQERIRAERESFKAVFDGRRPRIGLDVEED >RHN55785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23800282:23800770:1 gene:gene31041 transcript:rna31041 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSPRAFQQPRLGPPSQSASVNVPSRLADRHKPPAPIRFPPDNFKHSLTLFSKSFSSFPRGTCSLSVSHQYLALDGIYHPIGAAFPNNPIRGQCLVVRAQRGSHPLRCPFPWHTLPRSQFSTNHETELTGGQHIHPARNTYSIGNWQGDSICDTQADVPST >RHN49454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54927656:54928305:1 gene:gene44287 transcript:rna44287 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEAIHQFLQMLEFHHSWKLLMHIHDDSYRGKFHISNRHGIERTYDGHVIERTYDGFQAHLSTCPAVEVINFVSSFPEIITLDELPRSSIWPSQFRAQVTKEDIGLYFFAKDVNRFVRHFFSIYNDKISFILSTINFFNYIYFFTFHSYDTHYSSLMNKMIKNDMALKGNLNGAELLIFPSNILPQEIQRKI >RHN79048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21949158:21950121:1 gene:gene2740 transcript:rna2740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multicopper oxidase, type 3 MFKQHNYSSYTLWQSNKFEETNDCDRHGVQMRRNSWQDGVLGTNCPIPSKSNWTLVIFIFPLFMPYTYMKHIHDHKIQY >RHN44336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3629995:3632860:-1 gene:gene38443 transcript:rna38443 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMNINPSLREIDGGELLVIEEKDEMGLLVIGFGIGYRRN >RHN44867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9258800:9261377:1 gene:gene39034 transcript:rna39034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MPDPKTHTDTGGEQPPSPPPLSNEVDHQMEEENKEGNAETIEAVKSPPICEKVSEDIDHFLLHEKEGVSFEIPGYIEKFLDQVEENMVKNETGERKGKWEEIMEKDKWLLESVHRISKLKKMLMIHDEKETIDERRKSIANRVGTIQQKAMAFLEEDFRVLIEYSRIQTEVNPDININEKVDAKRKQVVSDQQDGQSDHQDQPEEFETNFPGYPDEAIVCMSKIVGEMLIGGYESECCQVYIVARRTAFEEIQQQLGLERISIDDIVQKVQWEILARDMIPAWTNTFRQCTMLYFPGERKLAEAVFSSNPSVAAGLFSSVSRGVVIPLLNFAEGAAMTKRAGEKLFKLLDMYETLRDVIPKLDGLFPEESSEELKTEINLAKSRLGEAVISIFCDLEDQIKSETAKSPVPGGAVHPLTRYIMNYLNTAGDYKETLEQVFRDHSKIEKIDSPDYGQNENDGTKEPQSPFASQVMRVMDLLDTSLDGKAKLYRDITLRNFFMMNNGRYILQKIKASSELRQVMGEIWCRKKSSELRHYHKTYLRETWNPVLTVLSQEGLSVNGKVQKPVLKERFKSFNTMFDDIHRTQSSWVVKDEQLQSELRVSVCGVVIPAYRAFVGRFTQNLDSGRQVEKYIKYQPEDIETYIDELFDGKPHHSIGRRR >RHN49312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53979899:53982361:1 gene:gene44127 transcript:rna44127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative homoglutathione synthase MEHFLCMDGQAVAIVYFRAGYTPVDYPSESEWRARLLIEQSSAIKCPNISYHLVGTKKIQQELARPNVLERFFENKEDIAKLRKCFAGLWSLEDSDIVNEAIAKPELFVMKPQREGGGNNIYGDDLRETLKRLQKPGSQEDAAYILMQRIFPANTASILMRNGFLHKDHVISEFGIFSTYLR >RHN66520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13301733:13304522:-1 gene:gene14523 transcript:rna14523 gene_biotype:protein_coding transcript_biotype:protein_coding MDILDLEKHFAFYGANHTNPINVILHKLFVWPLIFTFLILFYFTPPFFSPSQTLLNFIHPVLIFNFGFVFAVFYAVYYVALDIKAGSFVAVFTLLCWVSSSFIANSLGFQLAWKVVLAAQLIGWTGTSIGHVVFEKRAPTRLDDFAQGLLMEPFFVILEVLQSSIGYEPYPGFQTKVKARIEANIKEFKDIEQKKLS >RHN50323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6156367:6156837:1 gene:gene34595 transcript:rna34595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative double-stranded RNA-binding domain-containing protein MRFKCKVTIDGQTFESPKFYSTLKEAEHAAAENALTSLSPSGIEEDEIVVYKNLLQELTQKEGLKLPVYSTNKSGEAHKPIFSSQVEIKGEIFTGQEAKSKKHAEMSAAKVAYTFLDPKKRV >RHN71737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2329281:2335198:1 gene:gene7369 transcript:rna7369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MIPLYQPSPFSFFVSHTYPSSQKSKVAPNIYVNMETWFIVLVTLCIIFLIRAILSFFTTTVPLPPGPLHIPIITNFQLLQKSISQLEPFLKTLHAKHGPIITVHIGSRPSIFINDHALAHHVLVQNSAIFSDRPVALPTSIMLSSNQHNISSAFYGPTWRTLRRNLASEMLHPSKTKSFSEIRKWVLHTLINRLKTASESEDSITVVSHFRYAVFCLLVFMCFGERVNDEKISDIERVQRTILLNISRFNILNFWPKGTRILLRNRWEEFLKLLKDQEDVLLPLIRARKQVKESKLNNDNTVVSYADTLLELELPEEKRKLSENEMVNLCSEFLNGGTDTTSTALQWIMANLVKYPEVQGRLVEEIREVMVSDDNGEKEEVKEENLQKLRYLKCVVLEGLRRHPPGHFVLAHTVKEDVVLNGYLVPKNGTVNFMLSEMAWDPRVWEDPMEFKPERFLKDETFDIIGSKEIKMMPFGAGRRICPGLNLALLHLEYFVANLVWNFNWQLPEGGHVDLSEKDEFTMVMKNPLQVHISPRGTCTEGAAATCTSTTGIHPTSVRSWSDNLFISGGNPHLTSRFCGVVLGPTTIFNMVSEPLHDCLGHLLSGFRYRATRHLCSRFRCVILSVRGCVKSPTSVRSWPDNLFISGGNPHLTSRFCGVVLGSTTISNSLVGVGEASFISLAAPFIDDNAPAPQGVIHVSPPFAHTLYPSILIIKSYPLVLIIHPLVYTHTIT >RHN71967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4004981:4007359:-1 gene:gene7629 transcript:rna7629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dormancy-associated protein 1 MLDKLWDDTVAGPQPERGLEKLRKLTTSIIKDEGGSGQLMRNTSLPSTPTTPVTPTTPQSARKVDNVWRSVFNPGSNSATKTIGADKFDKPLPNTPTVYDWMYSGDTRSKHR >RHN42279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37034515:37045481:1 gene:gene48701 transcript:rna48701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, uvrD-like Helicase, ATP-binding protein MDYIDLLFSSSEQYFKSFVYPLLDETRAQLCSSMEILSTSPYAEVISLEESRSHSYGRNHYVVKTDTWKNRSSGHGKELYKTLFGDVFILADFKPETVNDLQRSGRTWSFVLSAGFLDEEIQGEDDDTKLMPTFKVIASEDIDIDEMGQKSLFIIFLTNITPNRRIWNVLHMGGESKLIKKILCPSVVVEESCDYCSPRTDALRDDEMYQRLSSELNESQNKAICACLSSFHCNHKSTVDLIWGPPGTGKTKTLGTLLFALLKMNCRTLVCAPTNIAIKEVASRVLSMVRESFDRNSDALFCNLGDMLLFGNHEQLKVGAEIEEIYLDYRVKQLLLCFSPYNGWKYYFVSMIALLENCVSLYQIFIENEMRKEKDQTDNNNSKAKDDGPSNSGEGMRKSFVEFVRESFLAIVLPLRDCISILCTHIARSCIMEHNLKDLTHLIYSLGSFQALLFKNNIVSVKLEEHFSPPESQHSSFGSAVVSAAEYSLHQSRTECLSLLRTLKVSLGDLNLPDVVDEESTREFCLQSSSLIFSTASSSFKLHSVAMKPLDILVIDEAAQLKECESIIPLLLPNIRHAVLVGDERQLPAMVESIVSYEVGFGRSLFARLSKLGHPNHFLNIQYRMHPAISSFPNSCFYLNQILDAPNVISKNYRKQYLPGQMFGPYSFINIIGGTEEFDDAGRSQKNIVEMVLNSVAWNAAWRDSNENLSIGVVSPYAAQVFAIQDMLGRRYDSHEGFSVKVKTIDGFQGGEQDIIILSTVRTDCSTSLGFISNNQRTNVALTRARHCLWILGNERTLVSQENAWRYLVLDAKKRQCFFNADEDKDLARGIWDAKKELDQLDDLLNADSSIFRNSRWKVLFSDNFLKSFKNLPSKRTKKSVIGLLLKLSSGWRPKRIKVDLLCGTSSQMLKQYKVEGLFVVCSKDIVKESNFTQVLRIWDILPPEDIPIVVKLLDSIFGSYTDDFTEVPMCWEKSTEIIKIKNLDYNGNEAESSSCDQRIYVENSRVEESFLLMKFYSLSSVVVSHLLSDRNSNELELPFEVSDEECDIILFSKSTFVLGRSGTGKTTVLTMKLFKKEELHHVVLEHVYGIKSVEVPCLNEDKEYKDNSTVNDRPVLHQLFVTVSPKLCQAVKQQVVRMKRFIGGGDISAEICPNEDVVDVDTSIQFKNIPDSFVNLSANLYPLVITFHKFLMMLDGTVGNSFFERFSDQSSLSENLGVRSVALETFIRKKEVTYERFDSLYWPHFNSHYTKMLDSSRVFTEIVSHIKGGMQSLDRGEGKLSRQDYVSLSENRSSSLSKEKREIIYDIYQIYEKMKRNKGDFDLADIVVDLHRRLRINKYEGDEMHFVYIDEVQDITMSQIALFKYVSRNVEEGFVFCGDTAQTIARGIDFRFQDIKSLFYKKFVQESKRSSVNHGKDKVKVSETFLLNQNFRTHAGVLKLSQSTIELLYRFFPHSIDVLKPETSWIYGEAPVVLECGSRKNAIVTIFGNPGHDSGKIVGFGAEQVILVRDDYARKEILDYVGKKALVLTVLECKGLEFQDVLLYNFFGTSPLENQWRVIYEYMNEQDMLEPTESKSFPSFNDSKHNLLCSELKQLYVAITRTRQRLWICENTEEYCRPMFDYWKMKHLVQFKELDDSLSQAMKVASSSEDWKSRGKKLYYQNNYEMATMCFQRAGDSYWEKRSKAAGLRATANRLHDLNPENANAFLREAGEIFESIGMAESAAQCFSDLGDYKRAGKIYLEKCEPDLKRAGDCFYLAGCHKMAAQVYARGSFFLDCLNVCAKGGLFDIGLHYIQRRKQNESVDPGWANSHDLYAIEQKFLQKCARKYFGNKDIKSMMKFVRAFHSMDLRREFLQSLSLLDELLELEEESGNFMEAVNIAKMMGDILREADLLGKVGELLEAYELVLFYVLANSLWYKGSLAWPMKQFTQKAELLGRALAFAKEVSNSFYELASTEAEILSNEHDNIFEIMNQLKSSRIHGSIRGEILCLRKLLDSHFRMNTSKYVWQDNMLDVSVEGMILKNHFSVETLFYCWTCWKDNIVHMLESLSNFKPQEPHQHCSYVKFAFNYLGVQKQIYNLKDVYLLLIPDANWVKKLGDRLLKNGRLVSVNVQPLVSVVQNYWSSELLSVGMDVLRNLDALFKFSVNKAFSEFCQVQSLLHIYEVSKFLLKSKCFSHGHGNLKTLETFYRTPIECLFHLLVPLDWKKTLTKEMFYLRETEACQNIMKEVIYENTKQKGRLTYGQIGRVVVMVLGTANVKDELFVQILKRFEDDNTPWKEFIQGVQLNSANEVTFEVDRTHRLFKALDYTYRVNWMNEVDYMSPSCFMYLIERLLLYVSHLNGYRKACLLHLSSGGCVRLLHDLLKESDITAQLPLEFFNVLRKGNKHMGLEVIAEAFKVIGNPLVIVRLQNSSSKIVCSDAVFVDLGTCQTRELILQILFPRRVDSMAVETAAVITEPSISVLDQALDGQIQDGIDMSMNFDCFWDLLEKFESATDVSCIKIIQVSPFIFFLCFK >RHN80376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37541183:37541589:-1 gene:gene4291 transcript:rna4291 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGLLRVTREKEIEVLVTEIDGLKFKLTDGLMLHMMVLFISPTLHQNTQSRILS >RHN54927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13633120:13638292:1 gene:gene30028 transcript:rna30028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IXb family MDATQLEKIYVAVGDDAQDGFNTLNWALKKWKSHPISIVILHVTHNISKEYVYTPFGKLPARSLNDEKLEALRKDEKERIKKLFSKYIAFCGKVSAEILEVKKFDEPMQKRVIDLITELEITKLVMGFSFMKPSLKSKGAISGLFYVHQHKPSLCELFIICGGKQVFLRGNNDEKIMEDDSGVMVAKMRDKVTFKDWLDKMFSDKTNYYSQNRLSASPSSTNLVQNQWEFYLQDIENYYQELLSSNLEEGSYVQENDGLHVCPKFEPHVTDLNNSNKSTAEKIEMLKNKLNEARKTIELKRKEAKENIERRTKAEWAISLCNSRAEEIEGRIREEVSTKENLNKELQLEKEQREEMRTEIKEKKQRMNSLVELQSELSNKLQLSTMARSRAETQVERAVRERTEMVREIEELRKQRDVLNRRIEFCKQKDAIGMAARLSDNITSIGMREYSEEELRLATDNFSERLRLKSGRDWTNVYRGRFNHSTVAIKMMSSFHSLSQEEFQTKVRFLGDIRQPHVVAMVGFCSKPKCIILEYMGNGSLQDMLFSRRRNRGLRWHDRIRIAAEVCSGLAFLNSSSQRPIVHCHLSPAHVLLDRNLVAKITGFGLQECDDDKECNVESDLRALGILLMQLLTGRNWAGPVDEPMTVGMDRETLVNILDDMAGQWPLDLAKELVGLAMISISVKSKPNPNLSIGRVLEELNKIRRKGDEIVAKEDRKVIIGGCIDREGSSDVPSVFLCPILQEVMKNPHVAADGFSYELEAIEQWLHSGHDTSPMTNLRLKHTSLTPNHILRSFLEEWQSKKSAKITS >RHN59915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14749397:14758706:1 gene:gene22084 transcript:rna22084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin regulator PHD family MAFHVACPITCRRICFCSLGFPRSLNGTKNATKDFLDEVNLLSDFLGDSRKEDGGTIQVAVPKVVPPPPQEIVAVSGGGGGGGDVVDESASMKAKRDALQRKGAAAMIAAEEYARRLESGDVVDTSGNLNGEEQGQSNVKVFCRMCNRVENEGSEKAKKMLSCKSCSKKYHRSCLKSWSNNRDLFHWSSWTCRACRICEACRRTGDPSKFMFCKRCDGAYHCYCLQPPHKNVSTGPYLCPKHTKCHSCASNVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDHCQRWVHCQCDDISDERYHQFQVDGNLQYTCPTCRGECYQVKNLEDAVQELWRRKNVADKDLITSLRASAGLPTQEEIFSISPYSDDEDSGPLKLKSDSGFKFSFKNFPNNSPTKMNDYGKKSSNKKSAKKKDSLSFMTGKIDARHSFEGHSDIRSLHSLDDMQSQRNEGPDIYSSPATGSLTQTEVSCPINQTGILKRKSVDEVMVSDEERKPRVVRIKRNKANILDSEESGKHGDKSQNVKKNKLVINLGARKINVASSPLSDNASFQRDQDLVTANGNENPAHLTKGNKFALDRHDGAAGHIDGKGSRVDSGQSKHLKVYGREGNTIKFGKVKPSVSEFNFTSGRGNMSDGGEVGPLEGSHIMRGKRSTHGMINQVGLGATSRGERTYLAKQSEGSSDVYDETHDHNHMPSHSLPKDSKPLLKFKIKKPIESQNSHQEEEKTTIKGQRSKRKRPSPFKERSLFNDSEGKERSLVNDSEGASQSPGENINHENMDANWILMKLGSDAIGKRVEVHQISDDSWHKGEVTGVVEGTSNLHVTYEDGKVSILELRKQGVRFVPQKQKRSKT >RHN77693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8773312:8775004:1 gene:gene1175 transcript:rna1175 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMMIFISSSTMSCIWPFISNVDLLSSSVISLIKQINKHLIVESICGGSGPIGGEVSLVY >RHN59266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8902053:8903082:-1 gene:gene21270 transcript:rna21270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MVNALLCGCPNIEVLDLKFLTNSLDNVCLPPTLKRLKIGIESKEVGPSLEINAPDLEYINIYQYSFSDVLNMKNLHNVIEASLDLMPFSYDFVVPLLKLLNNLSRAKHLVLSDSTTKWLLGEPRDLLFQEFCNLLHLDLTLPWFSSNSLLSLLHKCPILRVLKIRNKEKQSPILGWAPQPTAPNCLVSHLTFIEFKGFRGFSDEISFVEDVLQKGLVLKTVIIADITVDQGKKYDILK >RHN73973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22750714:22751132:1 gene:gene9885 transcript:rna9885 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCARVMFYDGLVMICLWLVLVTDVIALTVFGMAVRNVVSVCLAVECFSCWAPPFLGCICVCIYRA >RHN39546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8097277:8100143:-1 gene:gene45577 transcript:rna45577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MAPFPYLIILLFFYTTTLPLSTSTPQKQTFIIQVQHNSKPSIFPTHKNWYESSLSSITKTTSNNIIHTYDTVFHGFSTKLTQLEAQNLQKLSHVITIIPEQIRTLHTTRSPEFLGLKTAAKTGLLHETDFGSDLVIGVIDTGIWPERQSFNDRELGPVPAKWKGSCVAGKDFPATACNRKIIGAKYFSGGYEATSGKMNETTEFRSARDSDGHGTHTASIAAGRYVSPASTLGYAKGVAAGMAPKARLAVYKVCWTGGCFDSDILAAFDAAVADGVDVVSLSVGGVVVPYHLDVIAIGAFGASDAGVFVSASAGNGGPGELTVTNVAPWVATVGAGTIDRDFPADVKLGNGKIISGVSIYGGPSLTPGRMYPVVYAGSGEHGGGEGGDGYSSSLCLAGSLDPKFVKGKIVVCDRGINSRGDKGEVVKKAGGIGMILANGVFDGEGLVADSHVLPATAVGAIGGDVIRSYIADGAKSRSLPTATIVFKARGPNPESPEILKPDVIAPGLNILAAWPDRVGPSGSASDHRRTEFNILSGTSMACPHVSGLAALLKAAHPDWSPAAIKSALMTTAYTVDNKGDRMLDESNGNVSSVFDYGAGHVHPEKALDPGLVYDISVYDYVDFLCNSNYTTTNIKVITRKIADCSNAKKAGHSGNLNYPTLSAVFQQYGKHKMSTHFIRTVTNVGDPKSVYKVTINPPEGMVVTVKPDMLPFRRVGQKLNFLVRVQTREVKLSPGSSLVKSGSIVWSDGKHIVTSPLVVTMQQPLD >RHN41784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32928090:32929079:1 gene:gene48144 transcript:rna48144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative encoded peptide MAKKTIMLSFLVFLILVQNFGLMEVLGKNVEAPPTIPRVLLRSPQAPSIGFYTKNDDKDSQGDAFRPTSPGHSPGVGHDSPPNFP >RHN55474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18799068:18803137:-1 gene:gene30660 transcript:rna30660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MDQPPWKEEEAAVGGGDGGPPQRPTLTLPPRTDVLFSGGFSPGPMTLLSNLFADSDDGKSFSQLLAGSMVSPAPLTAGGLDSSGFFSHPQVSFGMTQQQPLAQVSAHEGPSNTNMHNQAEHLSYVPTSTDHAPLSEQRLQPSSLNVDKPADDGYNWRKYGQKQVKGSEFPRSYYKCTHPNCPVKKKVERSLAGHITAIIYKGEHNHLLPNPNKRSKDTITSNENSNMQGSADSTYQRMTSNSMSKMEPESSQATVEHLSGTSDSEDVGDRETEVHEKRIEPDSKRRNTEVTVSNPTTSSHRTVTEPKIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTTPGCNVRKHVERASTDPKAVITTYEGKHNHDVPAAKTNSHTIANNNASQLKSQNTISEKTSFGSIGIGEGNEQQPVARLRLKEEQIT >RHN50062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3770005:3772245:-1 gene:gene34312 transcript:rna34312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant specific mitochondrial import receptor subunit TOM20 MNFLRDYSNLLNAREACKIAEAEYIKNPRDVDNLTKWGGAMLKMYNNGDQDMTIEDVILKLEEAVFLDPYHHEALYCLGEAFAIRAPEFNDKTSIDYYSKKAIACYQQAFDLDPSNEMYRIMMETYVKGIKKILAEDEQLMTSVSEFYPSFGTKLFEVADELAMAATVTEELRGKLKQMLKNQQRTNLIMANMMSFIQSRFPGEDVYPIIQAARQAYYIFLFCYPFYPFCSYNVVLCFG >RHN67817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30473615:30473992:1 gene:gene16053 transcript:rna16053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MAPTTCAFFLLLNKTDLALYTSTAVIGVSTGAITSTAISTTTELFGTKNFSVNHNVVVANIPMGSFLFGYSAALIYRGEGNEHGKCMGMECYSNTFIIWGSFCCLGTLLALILYFRTRKFYSHKK >RHN61787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38367491:38369269:-1 gene:gene24282 transcript:rna24282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MVTKTKNAANLKIKKPSNNNNNATEIHFRGVRKRPWGRYAAEIRDPGKKSRVWLAKTNFPPPPSDVKEDSPSQSSTVESSVPAPDREVTRREVPTGGSVMDRFPFLSIQQQIMPFAGAGAGAVDGMVPVFFYDPAGRAEFLNQRFTNRFEPEPVQFNIGFGGGVQSDSDSSSVVDCQPKRALNLDLNLAPPMEY >RHN47801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42617824:42623670:1 gene:gene42444 transcript:rna42444 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANKHPPTSSSTSRLLLLLTILPLTLAAFAFLLQWRGGVTDPVTRWSPDQNLFPGMSTSDHIQQRSQPRSRSDCSSLFGNSHSPSFPYFRDWTLDFSSDLSPKICITTSTSAGLEQTLPWIYYHKVMGVSSFLLFVEGKAASPNVSRVLETIPGVKVIYRTRELEEQQAKSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMARDSGMDWIIHLDTDELIHPAGTQEYSMRQLLSDVPGNVDMVIFPNYESSVERDDITEPFSEVSMFKKNYDHLPKDVYFGNYKDATRGNPNYFLTYGNGKSAARIQDHLRPNGAHRWHNYMKTPNEIKLEEAAVLHYTYTKFSDLTSRRDRCGCKPTKDDVKRCFMLDFDRAAFIIASTATEEEMLQWYRERIVWTDKTLNMKLMRKGILTRIYAPMAIIQSLRETGVFNSVIAKAAQTTISKDNFLKSVDSSNATRNARSEMLSSRKIDAGGASQAIARRILEVIDDSIPSAIPPLSPPYHDDADADTDFLTS >RHN80094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35086398:35088004:1 gene:gene3977 transcript:rna3977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MRDIFEKQSSSPQGSNYASSSGLSGDDKELGYRAATSVHPYLLAKNIYLHFLANKIDLNFARMVMAEVVGTFILMFCVCGIIASTQHQNGAVGLLEYAATAGLTVVVIIFSIGPISCAHVNPAITIAFATIGHFPWFKVPIYIIAQTIGSLMATYIGSLVYGIKSEVMMTQPLQGCNSAFWVEVIATFIIMFLVTALTSEHQSVGHLSGFVAGIAIGLAVLITGPVSGGSMNPARSLGPAIVSWKFKNIWIYILAPSGGAVAGALMFHFLRLKDQHCTSPNTSEVGHPIPFCAS >RHN73137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13705707:13707562:-1 gene:gene8923 transcript:rna8923 gene_biotype:protein_coding transcript_biotype:protein_coding MKMFFEIRKQKYLEALDRGDKTTAVEILVKDLKIFSTYNNDLYNKIINLITLDNFRENVELSHYRDVKSIRKTLMEELKNMIDMNLVLKKKIMLPSLSSSRLRYLVNQGLNWQHHLCKYPKENPEVTTLLIDHTCPSPQQMLLLQMPTMLPATDSVPLPPAPAWMVNGNPSSSSQSHATLAASSLPGPSNQGISWSVLCLIKFNGELVIHGRCQIVLNRHTMRILDIFT >RHN82087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51175947:51178384:1 gene:gene6208 transcript:rna6208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MDGGSFSSFSILLFLAAIVAVYHHSAEAAMSKGSFEDNFSIMWSENHFTTSTDGQIWYLSLDNDTGCGFQTKQRYRFGWFSMKLKLVGGDSAGVVTAYYMCTENGAGPERDELDFEFLGNRTGQPYLIQTNVYKNGTGNREMRHMLWFDPTEDYHTYSILWNNHQIVFFVDRVPIRVFKNNDKPNNFFPNEKPMYLFSSVWNADDWATRGGLEKTNWKLAPFVSSYKDFSVDACQWEDPFPKCVSTTTKNWWDQYDAWHLSGDQKMDYAWVQRNLVIYDYCNDSERFPTLPEECSLSPWE >RHN67734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29861719:29876157:1 gene:gene15955 transcript:rna15955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rab3 GTPase-activating protein catalytic subunit MASSSTNTNTGKTKILPDSTDDDEHTEVEDMEEDEDEDLEHFDDFTLASSWERFISEIEAVCRLWMSDGPKNLMVKGAVLLEYSGNLYKVTSETKYALKTYCMEYYFETNPSDDAGKPANWNLDLHDLQLCFGVKEFLVIAPQSASGVVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHDPSRKAYIGIQSMGTVFTRRFEADRIGSQVPIKLMHLEGLYELFVSKFAYSTLDLSVHNFKVRIAMKLTFRTLPFDEDYMKDFDSKINKSEENLTGETSSGTQWDDDCSWSEWYSAEDPVKGFELITIWSEKMVESSMEMAELENASPHEAEKWLISLRLEGSKESRVGFASQLHLLVDALQMSFEAHFIEDFVSAENPGSDNLKSSMVIPSPAVRDRVLKELFIEGVQFSDFTDGGYKTSRSVKGAPLESLFAQFCLHSLWFGNCNIRAISVLWIEFVREVRWCWEESQPLPRMAPNGSIDLSTSLIYQKLQMLAICIERKCQMNEDYQDCIGSIDHIDSMTEEESVVGDDLLSIQTPSEIFPGKVDRKPEDMDLYKDKKSSNFTRRGSAGIVDSMMLLKSYQSMHAPYTQEPPLMTEDMHEERMQAVEAIGDSFNFSAQLEKDILTSDMSAFKAANPDAIFEDFIRWHSPGDWEADDDPESSGSASSNALEINKSKDSWPPRGKLSKRMSEHGNMWRKIWSSSPALPASEQKPLLDPNREGEKVLHYLETLRPHQLLEQMVSTAFRAAADTLSQTSYGELKQMETKIQQLYPTMASALRPLQVNRLSADSETIEDFRRLCVVFERVEKLLTVAASLHRKLIRAPRLSREIFNDYYNFYIPTMGTSLIEEVVEKEFDKKQEVRNHEREVLSNMFVPPTANQSWRKVLSMGNLLNGHEPILREIIFSLHDRVSGNHYAARSGSVSQEIETYRMYICGTSNDLRVALSVVSCD >RHN40227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14397012:14400912:-1 gene:gene46350 transcript:rna46350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin monooxygenase, FAD/NAD(P)-binding domain-containing protein MVKVAVIGAGVSGLVAAKELQQEGHNVVVFEKNNRVGGTWIYTPKTDSDPLSMDPARETVHSSVYHSLRTNLPRQIMGFLDYPLSKRESGDPRTFPGHEEVLRFLEEFAGEFGIHELTRFETEVVKVERKENEWIVESRGGDSVSQEVFEAVVVCSGHFVEPRLAVVPGIENFPGFQMHSHNYRVPHSFKDQVVILIGLGPSSFDISKDIAGVAKEVHVATKPNPLLKGMKLENVRNICFHTLIKCVYEDGLVAFEDGFSTYADAIIHCTGYKYHIPFLETNGIVTIEDNRVGPLYKHVFPPSLAPGLSFIGLTFRETIFVVIELQAKWVARVLSGKILLPTEEEMMESVKDMYRVMEENALPKRYTHSIRPFQADYKQWLAAQIGLPPLEDWRDNMLMECFKKVIEMNEMYRDEWDDNYWDTIIENGSAS >RHN47617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41017907:41018267:-1 gene:gene42237 transcript:rna42237 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLTLFLLFLQLTYFTAFAEEEFDIGGGMGGFRTPFIKPAPEGLRLPRNEPGIKDNPPPGNGNHPVKPRVRDPEENYRPQSHYGYQ >RHN46664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33884177:33885050:1 gene:gene41183 transcript:rna41183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MASSMLIKVTCLSVMCLVLAIPLANAGPYCRDVVETILPCIEYITTPGASTLPAPCCNGMKSLNGEPQYVCRCLKETFFVLPGLNLAALAALPKNCGVNLPYQITPDMNCDKVN >RHN64501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59578703:59579011:1 gene:gene27321 transcript:rna27321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLPLTSFGEIKERHPETEETCAVCLNKLKMEDEVRELMNCDHVFHKECIDKWLEHGHDNENHNQTCPLCRAPLINSVWVSPSQPHWVVERLLYLCGDDLLPC >RHN69593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44728740:44733944:1 gene:gene18081 transcript:rna18081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase sigma-G type, RNA polymerase sigma-70 like domain-containing protein MGVVTVSSSAARTPLGLNAKFSTHHPVLKRPLTVAFKGDKQNDTALVATQEKIPTQVKPAKTHKKRIAKTNKLPKKPRAVCTEETFPSSLDVDYNEAAAILENIYKLSPTTDICDADYIERKIKRVSRRGKKIGDVSKEDSNSDRVVRNQKTKAKRMNLDERIALKTSENSEDVIPTRKKRNPRSRVEKIDELLREYSVPADLVSLDWKKMKIPPVLPSSEHAFLFKLMQPMKALLQVKDDLQKELEREPTEDEIADATNMHTTQVKKAIEVGRAARNKLIKHNLRLVLFVINRYFSDFANSPRFQDLCQAGVKGLITSIDRFEPNRRFRLSTYSLFWIRHAIIRSMTLASFSRVPFGLESVRAEIRRAKIELSFQLQRSPTEEEIIEKVHVSPERYRDVMKASKPLLSLHSRHLTTQEEFINGVVDDGGVDGDNRRQPALLRLALDDVLDSLKPKENLVIRQRFGLDGKGDRTLGEIASNLNISREMVRKHEVKALMKLKHSARLDYLRRYVV >RHN62894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46580760:46584231:-1 gene:gene25527 transcript:rna25527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, peptidase, FtsH MAASSACLVGNALSTNSNRTTLGKDLNGRYLFSSWRFSSLNNKASKSFSIKASLEQNQQEGRRGILKLLLGNVGVGLPALLGNGTAYAADEQGSSSRMSYSRFLEYLDKDRVTKVDLYENGTIAIVEAVSPELGNRLQRVRVQLPGLSQELLQKFREKNIDFAAHNAQEDSGSLLFNLIGNLAFPAAVIGVLFLLSRRSGGMGGPGGPGFPMQFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTSVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGIGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGVIVVAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHANNKKFDNDVSLEVIAMRTPGFSGADLANLLNEAAILAGRRGRTGISPKEIDDSIDRIVAGMEGTLMTDGKSKSLVAYHEVGHAICGTLTPGHDAVQKVTLVPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEIIFGEPEVTTGAGGDLQQITGIARQMVVTFGMSDIGPWSLMDSSAQSGDVIMRMMARNSMSEKLAEDIDTAVKRLSDEAYEIALTQIRNNREAIDKIVEVLLEKETLSGDEFRALLSEFTEIPVENRVPPSTPVAVPV >RHN78681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17451572:17454863:1 gene:gene2318 transcript:rna2318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, EF-hand domain pair MATRGTRSEKVRRIFTQFDANHDGGLNREEMASLVGAVNPRVKFSDEQINAILDEVFRTYAEFIDGERGLTYEGLLRTYDDGAGDVDRDFDALALDLNVDEAGKAPAPDSEASSSIVDERMAVESQKKQRTAAWAVSPNHGIVFDETWKIVDDLEILIKRLKLKQAKDGKVKGENFDAYSDAGWSRELGPSTEISDKRVVWDESGHDYAVFVKEVGGLRTRADNARSREEAFDGHMAIGRVLYEHQLFKEALISFKRACELQPVDVRPHFRAGNCYYVLGRYKEAKEEFLLALEAAEAGGNQWAYLLPQIYVNLGISLEGEGMVLSACEYYREAAILCPTHFRALKLLGSALFGVGEYKAAVKALEEAIFMKPDYADAHCDLASALHAMREDERAIEVFQKAIDLKPGHIDALYNLGGLYMDLGRFQRASEMYTRVLAVWPNHWRAQLNKAVSLLGAGENEEAKKALKEALKMTNRVELHDAISHLKQLQKKKNKPNGDTPEESPFVIVEPSKFKTVGDKTTVRQELASALQIRALQKVARLSRCNVELLKKEMSEHDVPVSYSGTGVPEKSIRKPNLEEILRKLLSFLKPDTFQGAVKAINERILSVLDENGSGRLDLGMFFAILAPICGGPPERRKRIAFDALLWRPMNEDGANLKKVDATRYIKLLRAVYVPSQGVSELMEVRGDVDTSMVSFSEFLVMFDDPDWGFGIMPTLVKLETGDRNRHGKTMCAVCRYPIIGSRFKEIKSHFNLCNQCYSEGKVPSTFKQEEYRFKEYGNEGEAMKDKCTCFNLQPRNESR >RHN81941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49980372:49982692:1 gene:gene6041 transcript:rna6041 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTESGSPRDTPPSPPNTTLVYRRRGAKGKSKQGGGSAISTQPEDNKGTNRVGLQVYTRKKKDVSRGTTKVVVGENTVRGGITEGTNGNGRV >RHN78145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12256989:12267284:1 gene:gene1667 transcript:rna1667 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPKTTARAISQEAFNDLVFENINDLEMDPTEALQDAIQTLTLQGVDLSGIVTSLPGESNPVIECLEKLKQFENEDITLDEIVEVFDKLVELCGGEDGNGNAAIATKNGGVELVCGVFEKIRSSDGSRVVLVSGLNALALLLHDVQSTGTFQNSNGPRIIIGIINDNKQNIDVLNSSFRVVASAATGDEIVKDSFMELKVDELIVEIMSVHKNPGIQSLYDAIRVLLTPDDNRVLASQVYGYARKFAKIGITDALVDSLHTGLSSPDLVSACITLKATAVNDEICKSIAEKGGIDVVLKCIDDSGEQGNTDVAKVCCSLLSKLAGSDANKSAIVGKGGMDKLIKLSARYADDPTVLQEIMSIISVLSLRSPENAARAIEAGAGDLAIQAMQKFPAAHQMQRNSCLMIRNLVARNPENRTILLNNGIEKHIRKAKQTHANCKEAATDALRDLGLDSYNL >RHN75718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44516331:44516621:1 gene:gene11957 transcript:rna11957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MNARVESLVHCKSKDDDLGVHFLHNGDIYGWELNDNFFGTTLFYCSFQWNGELHWYDMYKSSRDSNVCGVCNWYIGKSGPCFLSTHCFPWNKKLLM >RHN72437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7610230:7612522:-1 gene:gene8147 transcript:rna8147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MKRKYDRVAIGIDLGTTYSCVAVWQDQHYRVEIIHNDQGNRTTPSFVAFTENQRLIGDNAKNQAASNPQNTVFDAKRLIGRKFSDPVVQDDILLWPFKVTAGDNDRPMITVKYKDQEKQLHAEEVSSMVLTKMREIAESYLETTVTNAVVTVPAYFNDSQRKATIDAGAIAGLNVMRAMNEPTAAAVAYGLDKRTDYDGERNIFVFDLGGGTFDVSLLTIKGNNFQVKATAGNTHLGGEDFDNRMANYFVQEFKRKNKVDISGNPKALRRLRTACERAKRSLSFLVVATIEVDSLFQGIDFSSSINRAKFEEMNMDLFNECMTIVGSCLIDANMDKSMIDDVVLVGGSSRIPIVHQLLKDFFNGKDLCKSINPDEAVAYGAAVQAALLSGGVKNAPKLVLQDVTPLSLGRGVIGDIMDVVIPRNTCIPVNKTRPYVTTKDNQISANINVYEGERARASDNNLLGCFNLFGIPPAPCGSPSSNVCFAIDENGILTVSAKNNASGSSNKITITNHKERLSSEDIKKLIQEAEKYRIEDKKFLRKAKAVNVLDDYIYKMGTALKKNDINLKLSSEEIEKIESTIAKATNLIDENNQQVEIDVLEDHVEGLKSWMEYIIAKTI >RHN72480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8010560:8012562:1 gene:gene8197 transcript:rna8197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MSRKTGSSRHRQITTEILKYGAAKNDVKVLTYEEIAEATNNFGSDCLVGEGGFGNVYKGYMKSIEQTVAVKTLNRDGNQGTREFFAEVLMLSMVNHPNLVKLVGYCVEDDQRILVYEYMTNGSLEDHLLDIGNDKEPLDWHTRMKIANGAARGLEYLHNYADPPVIFRDLKSSNILLDENFNPKLSDFGLAKIAPREGEFVPKTRVMGTYGYCAPEYVATGQCTSKSDIYSFGVVFLEIISGRRVIDTARDAEEQNLIDWAQPLFEDRAKFTLMADPLLKGKFPVKGLFQALAVAAMCLQEEADTRPYMDDVVEALAHLAVHKTDEKDIAGESIKTAGHVESFRAPSGSSFAAERA >RHN77287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5743169:5748606:-1 gene:gene727 transcript:rna727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MRGLRKSKRVSWPSELDLCQVRLFLSEESPSQVGLNSQDHLQEKISSLLPQGEAGSDDILPPGFEGTHTSSQFDIKLSDIPVISWIKPPKIVLDLTWQVVAGEESKEIFDQHQREMRVLEAIYPRISSIPPNPISVDVDDSHYIDGHIVVIPITPVEDGDVGADTLSPGILDGSNTVTTMSMVSDVAAASVALTSLVKSSEHGNLIDPELLNNILNNPEVIEKLVRNYNVQNAQYAQNTGSSSNVFSHPPNPFNQVETTTSSSNAFSSTSSYTHTTGGQMAPTMSTQWHRRPEISSAIAATPVEVPSTKDVNYYKSLIQQHGGDKQEALPYSSSNRQNQQPLSNYDTVHNFRAKGSKPKISKPCIFFNSSRGCRNGANCTFQHDASFQPQQGNPSSGMHSSKRMKMDNEISS >RHN68038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32305597:32313416:-1 gene:gene16324 transcript:rna16324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MKLSTSGLGQQGHEGGGGEKKCLNSELWHACAGPLVSLPTAGTRVVYFPQGHSEQVSATTNREIDGQIPNYPSLPPQLVCQLHNVTMHADVETDEVYAQMTLQPLTPEEQKDTFLPMELGIPSKQPSNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSIFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHASATNSCFTVFYNPRASPSEFVIPLSKYIKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDAVRWQNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHPGTSSLLDGRDEATNALMWMRGGPADQGLNSLNFQGAGMLPWMQQRLDPTLLGNDQNQQYQAMLAAAGMQNMGGGYLMRPQMVNFQQQPTHYLQSGNNNSPLQLHQPQSIQQSVSSNMMQPQQTQILTENLSQHLLQKPNNNQELQAQQQQHAYQDTLLVQNDQLHQRQQQSNVPSPSYSKPDFLDSNIKFPASVPSGQNMLGSLCPEGTGNLLNLSSLTRSGQSLMNEQLPQQSWTPKYGNMQVNAFGSAMSHAQYSGKDSAIVPPHCDSDAQNHTLSGVNIDSSGLLLPTTVPNYTASTTDTGASTQLGESGFQGSLYACMQDSSFLQNAEQIDTQNQNPTFVKVYKSGSVGRSLDISRFSCYRELREELGQMFGIEGKLEDPLRSGWQLVFVDRENDVLLLGDDPWESFVNNVWYIKILSPEDIQKMGDQAVEMHGLGSGQRLNGTGESHHIVSGQPPSIGSLDY >RHN73474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16791345:16793576:1 gene:gene9290 transcript:rna9290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MARKHEGHVVGIDLGTTYSCVAVWLEEKNRVEIIHNDEGNKITPSFVAFTDAQRLVGGAAKDQAAINPQNTVNDKNLLLIFFPSDAKRLIGRKFSDPVVQKDILLWPFKVIAGVNDKPTISVKYKGQEKLLCAEEISSIVLTNMKEVAEAYLESPVKNAVVTVPAYFNDSQRKATMDAGAIAGLNVVRIINEPTAAAIAYGLDKRSNCDGERNIFVFDLGGGTFDVSLLTIKGDVFEVKATSGNTHLGGEDFDNRMLNYFVKEFNRKNKVDITRNPRALRRLRTSCEKAKRTLSFKLVTAIEIDYLYEDIDFSTSLTRVKFEEINMGLFNECMKIVESCFVDSKMDKGGVHDIVLVGGSSRIPKVQELLRNFFNGKELCKSINPDEAVAYGAAVQAAVLSEGIKDVPNLVLRDVTPLSLGISVHDDRIMNVVVPRNTSVPFKMTNQYLTSKDNQYSALIEVYEGERAKVSDNNMLGFFRLSGLPSVPRGHPLDVCFAIDENGILTVSAEERSTGSKNEITITNDKERLSRVEIEKLIQEAKEYHAEDEKFLRKAKVMNALDDCIYKLRNALKNKNVKLKLSFQKNKKINHAITVAKDLLHEKNQGNEVDVLEDHLKELESMLDHLVIKTV >RHN57202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37267866:37268282:-1 gene:gene32711 transcript:rna32711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MLQDHSSFFNCEFRGYQDTLYVDQGYQFYRNCKTYGTIDFIYGHSTTLIQNSTILVRKPALGQSNVVVADVTNINTNLSTDIVLQNYSISPNVELTPFPPTVKTYLARPWQAFSTAVFLNNYIDDFIHQDGYMIWTKD >RHN55032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14567175:14573320:-1 gene:gene30154 transcript:rna30154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP citrate synthase MARKKIREYDSKRLLKEHFKRLSGKDLPIKSAQVTEATDFTELQDKEQWLSSSKLVVKPDMLFGKRGKSGLVALNLDLAQVASFVKERLGKEVEMGGCKGPITTFIVEPFIPHNEEYYLNIVSDRLGNSISFSECGGIDIEENWDKVKTVFIPTGVSLTSEIIAPLVATLPLEIKGEIEEFLKVIFTLFQDLDFTFLEMNPFTLVDGKPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVMSATESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGFANELGNYAEYSGAPNEEEVLQYARVVIDCATANPDGQKRALVIGGGIANFTDVAATFSGIIRALKEKESKLKAARMHLYVRRGGPNYQKGLEKMRALGEEIGIPIEVYGPEATMTGICKQAIQCITASA >RHN43464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46093058:46097426:-1 gene:gene50046 transcript:rna50046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PH domain-containing protein MDDCPQKLKIIPDHFQIPTSSIESIESSQSRQLSTSEEPGIDQSLRSSPHLWTRRKLRRTFMLNLFTLRKLSWGSDTGGQEKVELTAAEVESLRSELADLEEREAHLKAQLQHIDEVLRSARLSGYLNIRTRWAALPGEPPPIDDTEVDDWLPRFVVLHGACIFLYLLCTDISPQDSTLLSDILEVGRLPCFKRENDDIQHAFYILTRHGFRYECSSNSTIQVDSWLTALQSYTKLESDRSVPNGSIEM >RHN62353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42822537:42822869:1 gene:gene24917 transcript:rna24917 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVMMFGKMSEKITTHVMGHYGCNPSYSNFGPFLFRNLDGHMTL >RHN38441.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000015.1:39359:40584:-1 gene:gene50741 transcript:rna50741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MKDSRKMVTISDLPDELLCHILSFLPTKIAFTTRVLSKRWTPLFHSLTVLRFDYQTVHDYVAFNRFCDFIDTLMLSPRLSNKFIKIFSLKCSFLFSDSDCHIFDTWVEAAKRLCIEEFHLSMHGNILNDTIFTCQTLVILKLDMLQLNAENLFVDLPKLKTLHLSFVRFKNQNVLQQLLNASPNLEDLRTYDILHMEHYENSALERRSSSLSKEWKYPNSVPECVSFHLRSCTILNFEGFSRNLRFASYILQNARLLQDMTIDLTTKSSINMLLKRSQIIEELSSCPRISPACKLSLK >RHN72107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5053577:5057797:1 gene:gene7780 transcript:rna7780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthine/uracil/vitamin C permease MEAPKQEEVYHPPMDQLHGIEYCIDANPSWVESIILGFQHYILALGTAVMIPSFLVPLMGGTDGDKVRVVQILLFVEGINTLFQTLFGTRLPTVMGGSYAFMVPIISIIHDSKLASIEDPHLRFVKTMRAVQGAMIAASSIQIILGFSQLWAICSRFFSPLGMVPVIALSGFGLFNRGFPVVGHCVETGIPMLILFVAFSQYLKNFNARQLPILERFALLISTTIIWAYAHLLTTSGTYKLRSELTQYNCRTDKSNLISSAPWMKIPYPFEWGFPTFDVGHAFGMMAAVLVSLIESTGAYTAASRLASATPPPPHVLSRGVGWQGIGILLNGLFGTLTGSSVSVENVGLLGSTRVGSRRVIQISAGFMIFFSMLGKFGAFFASIPFPIFAAMYCVLFGLVASVGLSFLQFTNMNSMRSLFITGVSLFLGLSIPEYFREFTSKALHGPAHTKARWFNDFLNTIFFSSSTVAFIVAVFLDNTLDYKNSSKDRGMPWWTKFRTFNGDTRNEEFYTLPFNLHRFFPPS >RHN81916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49791686:49793252:-1 gene:gene6014 transcript:rna6014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific protein-tyrosine kinase RLK-Pelle-RLCK-XV family MPLKCLCCFLSNEEQSHKRNVDDKKNIRDYPWERYTLKELLRATNNFHQDNKIGEGGFGSVYWGQTSKGVEIAVKRLKTMTAKAEMEFAVEVEVLGRIVYLIGLEE >RHN40872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23547808:23553028:-1 gene:gene47114 transcript:rna47114 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVAEVDGLKNFKVDINLNHEEEDEELKKKVVTEALLSKVFASISTIKASYAQLQHAESPYDRDGIQDADKLIISELKTLAELKQCWFKKQFNFDPSPEVAIVAAELKELKSNIITHKIVGKKLESKSLLKDSEIMFLKEKLEEANKQNKLIERRLNQSGSLSSLDNLHISGLSPTHFISALHHTVKSIRSFVKLLVYEMRSAGWDIDASVNAIIKENVVYRKEDHKCFAIESFVCREMFDSFNLPYFDNRNDFLPDKNKTQFFFGRFNELKSVNAKEFLALKPKSPFAIFCWVKYLRLVHSKMEASFFGDMKQRNVGDFPDDNNLFTSFAEMAKRVWLLHCLAFSFEPQAEIFQIGKGCRFSDVYMESVVNDDEVAQADELEQQVAFTVVPGFKIGKTVLQCQVYLSQLLHPQAQVKRKM >RHN67749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29953311:29955410:1 gene:gene15973 transcript:rna15973 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSVEQQLSLFRYLIQTRSFGDSTLRFLDSLLISKDVKSLVEIRSSLTQLLKSESLSIIHSITAETIHDKLLVLDFFVRAFALVGDLESCLALRYEALVMRELKSATIQLLQVSPLEWLNFVEDAVKNGFHEVSVKACENALRCIGNNNVQKLGRDAIPENLKANAISEITRLRNFALTSITSRSVQVQATEYLDRKTRERQKLDLPYKEKRCLASTSFRNGIKRQNMRKLYERQNLLQVNSEMQVRHPNLRSNQFLTVTL >RHN44609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6794427:6795491:-1 gene:gene38748 transcript:rna38748 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVFVLFDLIGGICSVVSASHFPNLRRRLVAALCQNHFDVL >RHN78536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16056486:16060761:-1 gene:gene2116 transcript:rna2116 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYN MAQNKANTVSQLQSLKNKSGKSYNQLAEETGLTNVYVAQLLRRQAHLKPETAPKLKAALPELPEELIHEMMKPPLRSYDPNIIQDPTVYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVQGVDGKDRVVLTFDGKYLPHSEQKTEHMVSRTRPLEKQ >RHN81704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48284148:48288493:-1 gene:gene5785 transcript:rna5785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine decarboxylase MIIWLIKMNEKSILFGTSHIFSIKEFFDGKLKNTQQEAESKRPTNCAYSQIYTNKEESMENQVQEDMKISFNIPQVHGLEPSREKDKDCKIQEENNNGHNMNLAITNCTGESQGKLAEVINHYAGTLNQEISHLLGYPTNQSFDYSALAPLLHFHINNVGDPFMGSSYGVNSANFEVSVLDWFAKLWEIKKEEYWGYITSGGTEGNFHGILLGREKFSDGIIYTSQDSHYSIFKIARMYRMKCMKVSTLVTGEIDCANLKTLLLAHKDKPAIINLNIGTTVKGAIDNIDIVIQTLEESGFSRDRFYIHCDGALFGMMLPLLKQAPSITFKKPIGSITISGHKFLGCPIPCGVLLTRLEHINTLCKDVEVIGSRDTTISGSRSGHAPIFLWYALQKRGIIGLQNEVHKCMMNARYLQRKLRDAGIGTMLNEFSNTVVFEKPLDIEFIRKWSLAYQGNIAHVVVMQHVTIEMLDSFVDEFTKKRAIWFQYGLRKPICLADEIGAENCICSLHN >RHN39143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4444269:4445850:-1 gene:gene45142 transcript:rna45142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem antenna protein MWTFVALHGAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIPLTGRDQETTGFAWWAGNARLINLSGKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPGGEVIDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGIGAFLLVFKASYFGGIYDTWAPGGGDVRKITNLTLSPSIILGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGSICILGGIWHILTKPFAWARRALVWSGEAYLSYSLAALSLFGFIACCFVWFNNTAYPSE >RHN59857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14094013:14095483:-1 gene:gene22022 transcript:rna22022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MSFNMVILLLIVLIGLKTSVIGAQSIGICYGRVANNLPPAKEVIDLYKSNGIERMRIYDPFQVTLEALRKSNIELVIGVPNEDIKSIANIGGANLEVVISESGWPSHGDVAASVENAQIYYENLIKHVSNGTISRPNQALETYLFAMFDENKKGPAETERHYGLFTPNKQLKYQISQLLMSSNSTTPQPEEGRISSNSTTPQSEEGGILSMCFSLLFLYFI >RHN77350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6219309:6222727:-1 gene:gene796 transcript:rna796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casein kinase II, regulatory subunit, metallo-beta-lactamase MNIGIPYDKLHILPLNQKIEIAGISVTCLDANHCPGSILILFEPPNGKESETDSEESDVSGSDGEDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHVLGVVLLPARCGCFWLVFCYLEDF >RHN70604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52664241:52667351:-1 gene:gene19203 transcript:rna19203 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FLOT3 MYRVAKASEYLAITGAGIDDIKLQKKAWIFPGQSCTVFDLSPVNYTFEVQAMSAEKLPFVLPAVFTIGPRVDDQESLLKYAKLISPHDRHSNHVNELVQGIIEGETRVLAASMTMEEVFRGTKQFKQEVFDKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKVDVAEAKMKGEIGSKLRVGQTLQNAAKIDAETKVIAMQRAGESEKQGIKVRTEVKVFENQREAEVAEANSELAKKKAAWTKAAQVAEVEAKKAVALREAELQGEVEKMNALTTTEKLKADLLSKASVQYETKVQEANWELYKKQKEAEAILFEKKAEAEAQKALADSTFYARKQEAEAELYAKKKEAEGIVTLGNAQGAYVSTLLNALGNNYTAVRDYLMINGGMFQEIAKINAEAVRGLEPKISIWTNGGDNSGGEGAMKEVAGVYKMLPPLFKTVHEQTGMLPPAWMGSLSDKSS >RHN55564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19530180:19533864:-1 gene:gene30765 transcript:rna30765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MKHFSFLLLPYAYPHLLLLLFTLTAMCVVPNRISGLVLGNQTDYLTLLQFKDSISIDPNGVLDSWNSSTHFCNWHGITCSPMHQRVIELNLQGYELHGSISTHIGNLSFLRNLNLAKNNFFGNIPNELGRLLQLQQLLLTNNTLSGEIPINLTHCSDLEGLYLRGNNLIGKIPIEITSLQKLQVLNIRNNKLTGSVSSFIGNLSSLISLSIGYNNLEGNIPKEVCRLKNLTGIIMFHNKLSGTFPSCLFNMSSLTMISAAANHFNGSLPHNMFNTLRNLQTLAIGGNQISGPIPTSITNGSSLTSFVISENYFVGHVPSLGKLQDLWMINVGQNNLGKNSTKDLEFLESLKNCSKLIAVSIAYNNFGGSLPNSIGNLSTQLSQLYLGGNIISGKIPMEIGNLVGLTLLTIELNQLDGIIPSSFGKFQNMQLLDLSRNKLSGVIPTTLGNLSQLYYLGLGENMLQGNIPSSIGNCQKLQSIVLFQNNLSGTIPLEVFRLSSLSILLDLSKNSFSGNLPKEVSMLTTIDTLDVSDNQLSGNISETIGECISLEYLYFQGNSFHGIIPSSLASLRGLRYLDLSRNRLTGSIPSVLQNISVLEYLNVSFNMLDGEVPKEGVFGNASALAVTGNNKLCGGISHLHLPPCRVKRMKKKKHRNFLLMAVIVSVISFVIIMLLIVAIYLRRKRNKKPSSDSPTIDQLPMVSYQDLYQATDGFSDRNLIGSGGFGSVYKGNLMSEDKVIAVKVLNLEKKGAHKSFITECNALKNIRHRNLVKILTCCSSIDNKGLEFKALVFEYMRNGSLEQWLHPGTMNADHPRTLKFEQRLNILVDVSSALHYLHHECEQLVLHCDLKPSNVLIDDDIVAHVSDFGIARLVSSADNNSCQETSTIGIKGTIGYAPPEYGMSSEVSTHGDMYSFGMLILEMLTGRRPTDDMFTDGQNLRLYVEISFPDNIMKILDPCIVPRVEEATIDDGSNRHLISTMDKCFVSIFRIGLACSMESPKERMNIEDATRELNIIRKTFLTGVHPHHKSKLK >RHN56398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30562819:30567311:1 gene:gene31790 transcript:rna31790 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGLLKSCFSFNISPKKPRFHVHPLHRTFLMEVYWLRKDIIDKTFSDVLETAKKTFTEHKEKPDWKNYLRYLKGIGKFSMKKDVMGKHLLELCNNDDLLAGILMSGSEQLYSKDGSFLLKSTENEFCLKDKLGFGDALSRAFDMNYLALNRLSRGNFTFECVKSFEKKLLKNCWNVFQLSFLKLKVTETYLNYYESMKVNTDVNPGDEEIPADAEPAVIQGLDVAEQAVKEVHVNLPHSPEFNDVEVKEVEEEGKRKYIELIHELGFKRKYSVAEKMVEITHDEAKAIGFKGEAFAYGYYHDRFEDTYAVVLMNQGEESGLSYDIGLCDKTKVQSKESDSFIEVKSISKKNMDWFFMSKNEFELGLDKKKDYIIAHIYIDEKQKDDKLKYRVTEYSNPASEESELELYMTRA >RHN79335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27693534:27694995:-1 gene:gene3112 transcript:rna3112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVETIANKRQRLLPAPVTHIPFDLVVEILCRLPVKFLLQFRCVCKSWNSLISKDQKFFKKHLLLSTKRKHLVTSSLHSSRKELSVMSYSIDSIFTSETATQLDYSPINTDCMDRLIASCDGLICFAIDTHLAVTWNPSIRKLKKLPTLELPPKKFGFTRYAFGYDPFIHNYKVVSVFCYDFKYDGIDTKYDCKTQVKVHILGTHSWRRIKDFPALFPHYRPGIIVNGTVNWFAYSNVVSRGNFSSVIVSLDLEKECYQEIQQPNYGIIDKLTLGMMRDCLCVFANSYSFIDVWLMKEYGNKESWVKFIHVPYFGGFRITKILYVFEDDGHMLLAFMQNGKRGMAAYNSKNDTIKKFKMQDWSSVESTVYVESLISP >RHN42763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40741760:40745386:1 gene:gene49250 transcript:rna49250 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNTQVVVRVARVSAQTWQWLSCIHDPINSDQLLDLLFCFPLHQLGRLTLCLCSFFCLPQPYSFYSSYLLSDSQFDSDSDSDDASGSSTSTLHLEHDYYYHSHSDCKNLPANYPHEVM >RHN76871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1986111:1988449:-1 gene:gene256 transcript:rna256 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKKMVKTLKFVNVIIFFLSLFLSAHNFFLVAQNIDGKVKTLVTLQDFVFFFVLVVVLLRALFFFFFIGLVVRCDSLWLYVVLK >RHN70037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48237354:48238511:-1 gene:gene18577 transcript:rna18577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA hydrolase MGKTEASTKKGEASSMVDDELPQEFLEHLTLRGLRLDRIEPGRVVFSMNIPPRLLVLGAAAIPAAGFPWNSGVSIEINISCFDATYVNEEIEIDARVLRIGKAVAVVSVEFKKKKTGQVFAQGRHTKYIPFVSKM >RHN77894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10277603:10280814:-1 gene:gene1398 transcript:rna1398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BRCT domain-containing protein MSKPKSFQGINVFMSRNLVPPEVFATLHDAVKDNGAQIHLCCDPSRNGPNDYHIISCSKHEKFEDLKSKGCKMLGPRCVLQCAKEGRPLPKQGFTCCFTMDGVKILASGFEMEERVKIEELVTEMGGALHKPTSDLNFVIVKNVLAQKYKWALNVLKKPIVTYEWLKQCSDEHRVVPQESYKVLPFSGLRICVTGFPADKRKEMEKIILQNGGKYFAELTKKCTHLISDISFLLILSISDVSFRCANIQLFFEKRRANSL >RHN59687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12646207:12647436:1 gene:gene21772 transcript:rna21772 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 5 MSQLGYMMLALGMGSYRAALFHLITHAYSKALLFLGSGSIIHSMEAIVGYSPEKSQNMVLMGGLTKHAPITKTAFLIGTLSLCGIPPFACFWSKDEILNDSWLYSPIFAIIACSTAGLTSFYMFRIYLLVFEGYLNVHFQNFNGKKNSSFYSISLWGKEEKKKLKNKIHLLALLTTNNNERTSFFRKRTYSHRINRNVKSIRRLFLDSTYFGTKNIPFFYPHESDNTMLFSMLVLVLFTFFVGSIGISFSQEPIDLDILSKLLIPSIDLLHQNSKNSVDWYEFFTNATFSVSIAFFGIFIASFFYKPVFSSLQNLNLFNLFQKSVLKKMIADKIINVIYDWSYNRGYIDAFFEVSLIASVRKVAKFNYFFDRQVIDGIPNGIGISSFFIGEAIKYVGGDEFLRIFSFLY >RHN51005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12814716:12816223:-1 gene:gene35366 transcript:rna35366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lupeol synthase MHFLIYLYGSWGICYTYATWFAVKGLIASGKNYNNCPSLRKACQFLLSKQLSNGGWGESYLSCQNKVYTNLAHDRANLVQTSWALLSLIGAGQAEIDPTPIHRGMKLLINSQMEDGDFPQQVILLVLPCNFRKKIY >RHN50766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10270086:10272761:1 gene:gene35094 transcript:rna35094 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VPY MDRLIKLDPSNIVLIRVEEGQKCLGKITLNNVMYTMPVAFRIQPLIKTRYTIKPQSGIISPLASLVIEITYHPPQQQGSNNLPHSFPFSDDSFLLHSVLAPGAAIKEPSSMFDSVPSDWFTTKKKQVFIDSAIKVMFVGSQILTQLVEDGNSMDDIREVLEKSDPLWESVNSKDSQGQTLLHLAISKTRPDLVQLILEFKPDIEAINSVGSTPLEAASSSGESLIVELLLAHKANTEGSESSVFRPIHHASREGHMEILRLLLLKGARVDSLTKDGNTSLHLAVEEKRRDCARLLLANGARTDVRNMREGDTPLHIAAANGDENMVKLLLHKGATKYVRNKLGKTAFDVAAENGHSRLFDALRLGDNLCAAARKGEVRTIQKVLESGGVINGRDQNGWTSLHRAAFKGRMDAVRFLVEKGIDLDAKDEDGYTALHCAAESGHADVTEFLVKKGADVEARTNKGVSALQIVESLNYVGITRILVNGGASREGLGEKPPSAPSKIPFGRKVESGSVMTMKKKMSSRTRALRGSFDHSMPLAVL >RHN72119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5129891:5133209:1 gene:gene7793 transcript:rna7793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MSMKFLQRKKQTQFLCYQLAIGCEIPLSLFNISSLRVISLRSNNLNGTLPRSIGNCTFLQKLYFTNNFFTGSIPKEIGHLNQLQLLFMKNNSLSGPIPSQVFNISTLKYLRLELNSFSGMLPSNFGFGLPNLQFLQMYGNKFVGKIPNTISNASNLFSVSFSDNEFSGIIPNSFGDLRQLQFLNLADNNLTVIDDSLQFNFLTSLTSCRYLKYLEVSGNSLPSKLPKSIGNLSVEHFQAESCGIIGNIPLEIRNMSNLIGLSLRDNDLNGSIPGVIKGLHKLQYLDLGYNGLQGSMIDELCEIKSLSVLYLSSNKLSGVLPTCLGNMTSLRKFHIGSNRLTSAIPSSFWNLGDILEVNVSSNALIGKLPPEIKNLRAVILLDLSRNQISSNIPTFISFLTTLETFSLAYNKLKGPIPTSLGEMLSLSFLDLSQNLLTGVIPKSLESLSYLKYVNFSYNRLQGEIPNGGPFKKFTFESFMNNEALCGSPQLQVPPCDKHRKKSKMLLIILISSIIAVLGILVVACIILRMHKRKKVDSPLERSLSALGVPRRISYYELVQATNGFSDSNLLGRGGFGSVYNGMLSSGKMIAIKVLDLTMAEASRSFDAECNAMRNLRHRNLVQIMSSCSNPDFKSLVMEFMSNGSVERWLYSDNYFLDFLQRLNIMIDVASALEYLHHGSSIPVVHCDLKPSNVLLDEDMVAHVSDFGISKLLDEGQSKTHTGTLATLGYVAPEYGSRGIISVKGDVYSYGIMLMEMFTGKKPTNEMFSEELTLKTWITESMANSSMEVVDYNLGSQHEKEIHDILALALRCCEDSPEARINMTDATTSLIKIKTSLIR >RHN72623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9229036:9230511:1 gene:gene8366 transcript:rna8366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding, BAG domain-containing protein MKPSSNSTVTYTTYHNDQTTPPKPRNSTHLPPQSQSNAALTIQSTYRSHRIRTLYRKISTVDSEADQIQRLIQLQDTVDSIRTNHLEKLKMNEALMKLLLKLDSIPGIDPTVREARRKVTRRIVGLQEILDSVSEVKVDQWWEMNNWYQVVEEMEESVCRERGGDEMEQFCAQNLGFRCLQRFLHEP >RHN64022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55999151:55999522:-1 gene:gene26797 transcript:rna26797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MNPLEAALCRAAVAGAVASGIVEPSLNLNLFISQVTPLALEIRAKGNIFVPLIPRNTSVPTMGDMRFTTIYDNQTVALIRVYEGEEKKAEQNHFLGYLKIMGIPAAPKGVPDINLCVDIDGEN >RHN51456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17997448:18005020:1 gene:gene35891 transcript:rna35891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-AMPK family MDGSAGPGGGNVNAFLRNYKMGKTLGIGSFGKVKIAEHVLTGHKVAIKILNRRKIKNMDMEEKVRREIKILRLFMHHHIIRLYEVVETPTDIYVVMEYVKSGELFDYIVEKGRLQEDEARSFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKWSVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRLLVVDPMKRMTIPEIRQHPWFLLHLPRYLAVPPPDTLQQAKKIDEEILQEVVNRGFEREPLVESLKNRIQNEGTVTYYLLLDNRYRVSTGYLGAEFQETMDSGLSRIHSGEVAPPIGGQRFPGHMDYQGVGMRQQFPAERKWALGLQSRAQPREIMTEVLKALQELSVCWKKIGPYNMKCRWAVIIPGHHGGMDNNSVHSNNFLGNDSIIENDVVPKSTVVKFELQLYKTQEKYLLDLQRLEGPQFLFLDLCAAFLAQLRVL >RHN41090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26914633:26915194:-1 gene:gene47378 transcript:rna47378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MSTVSQNSKFNPSEGGNSSIDGSVMRMRSFRECGCGEKQVLRTVTDVSNPNYGKKFWGCVNYKNQFDKGCNYFNWFDFRDDIIDAKDKEIEKLKKKNLKLKNALASYQKLLKISIGFGILSFGIILVLLTMLLCNQNNVLGKMYLK >RHN49202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53265584:53274609:-1 gene:gene44008 transcript:rna44008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MTSLSRELVFLILQFLEEEKFKESVHKLEKESGFFFNMKYFEEKVQAGEWEEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKPKAVEILVGDLKVFSTFNEELYKEITQLLTLTNFRENEQLSKYGDTKTARGIMLLELKKLIEANPLFRDKLVFPTLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFIDHSCTPSNGPLAPTPVNLPVAAVAKPAAYTSLGVGAHGPFPPAAATANANALAGWMANASVSSSVQAAVVTASTIPVPHNQVSILKRPITPSTTPGMVEYQSADHEQLMKRLRPAPSVEEVSYPSARQASWSLDDLPRTVAMSLHQGSSVTSMDFHPSHQTLLLVGSNNGEISLWELGMRERLVSKPFKIWDISACSLPFQAAVVKDTPSVSRVTWSLDGSFVGVAFTKHLIHIYAYNGSNELAQRVEIDAHIGGVNDLAFAHPNKQLCVVTCGDDKLIKVWDLTGRRLFNFEGHEAPVYSICPHHKENIQFIFSTAVDGKIKAWLYDNMGSRVDYDAPGHWCTTMLYSADGTRLFSCGTSKDGDSFLVEWNESEGAIKRTYNGFRKKSAGVVQFDTTQNRFLAAGEDSQIKFWDMDNVNPLTSTEAEGGLQGLPHLRFNKEGNLLAVTTADNGFKILANAGGLRSLRTVETPAFEALRSPIESAANKVSGSSAVNVSPVSCKVERSSPARPSQILNGVDPAGRNAEKPRTVEDVMDRTKSWQLFEIVDPAHCRLVTMPDSTDTSSKVVRLLYTNSGAGLLALGSNGVQKLWKWSRNDQNPSGKATASVVPQHWQPNSGLLMTNDVSGVNLEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMAPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKSKLKGHQKRISGLAFSTNLGILVSSGADAHLCVWSIDTWEKRKSVPIQLPVGKAPVGETRVQFHSDQLRLLVSHETQLAIYDASKMERIRQWVPQDVLSAPISYAAYSCNSQLIFATFCDGNTGVFDADSLRLRCRIAPSTYFTATTLSGSQAVYPFVVAAHPLEPNQFALGLTDGSVKVIEPIESEGKWGSSPPMDNGMMNGRAASSTSNHTPDQGTQR >RHN72157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5457559:5459756:1 gene:gene7838 transcript:rna7838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MFKKLYIYSNYNYFSNSFSHQLFVNSRATFISCAIFQLHIKNICSIYLFLSTMTDNSESNEIHHEVVLDVNSHASQNCEESGIKDSVPLLKKLVAEVVGTYMMIFAGCAAVIVNLNNDHVVTLPGIAFAWGFTVMVLIYSVGHISGAHFNPAVTIAFASTRRFPFKQVPTYMIAQVLGSTLASGSLRLIFNGEDDHFIGTLPAGSNLQAFVIEFICTFFLMFVITAVATDNRAIGELAGLAIGSTIIIDILFAGPMTGASMNPARSLGPAFLYDNYTGLWIYLIAPILGALVGTWTYNFIRHTNKPTCDELPKIELTKIVPFLRRSRS >RHN53371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1242814:1252275:1 gene:gene28272 transcript:rna28272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MRLESGTCNVCSAPCSSCMHVNHAPEEFSDDNCRSGEANVQNSMNEGNVHSLSSRACENLQHGVSETSNMLSVSSSHDSLSENAESRQILLNKYQDPNHLEGHDDNTSCISRASDANSRIPEKNSKCSIENCSSSLTKESAPVATSGEKCTANKDKLIEGTSNDSLKVCPKSQADPDNDKVCDAKVEDCKCSAHDGHHEKAEELVKSPRKQESQSENESDESDVVEHDVKVCDICGDAGREDLLAICCRCTDGAEHTYCMREMLEKLPEGDWLCEECQDAVEAENKRLDIEGKKNIKTTSTSQVSGKRRPDNIEVAPPAAKRQALELSKGSPKVSSPKKLVPLSRESSFKSSDKLKGKSGLLMPPRNHSGGDDAQTARSPSVGLRGQISKSMLLKSNSSNNLNSKPKVKIGDEVFPPRPKGGHEQTSKNMETTARMTSRSTLFKSSSLGRSSAIESKVKMLPKPATIQDLKGSRHSKESGSLDRKYLSRNDRPVASSVVSTPKGDQKLTPRGETVIKPSAVNNRESKINQDGKLSASSKSTNNISRKSVEPQGSSERTIASNDEALQDVLPRSRETANQVEKSRESLSDRLRPVVPTASKSSYCQKCEEFGHSLEGCTAGNLQESGAEISVTASSISKEEMHKGNKLKAAIQAALLKRPEIYRKKEVSSQTDEIPTSGTELNCEATSRDQVLVSNTLKNSISTEETREQQEVLENSTSESSKCSSASDLKQLNSCPTDLCSQLGKSDLVGLNAQKPLVRDLSRKAVAISSVVSKMLAFPEYEYIWQGVFEVHRNGKPPELCTGVQAHLSSSASPKVLEVVTKFSPEVSLNEVSRLSTWPSQFHHGGAREDNIALYFFARDVESYERHYKGLLDHMIRNDLALKGIFDGVELLIFPSNQLPENSQRWNMLLFLWGVFRGRRVDHSGSAKSICIPSLNAMPVEENSSTAVVTLSERCLSKGIDEKPINSDKAGNTLPFSTSQDQSPTIASNNTDINHQTQLCSQQVPLEMSDGTIDSKTASRVSKSCQQTKFTGSSLKASVVEDERCTESKPSEEMGTGVSYKMVEASTDSASSDKQENTLCQAIPSVSNQDRDAACNISKNEILERMNCDEDQQRTKRKQKEDCHYIDLEETIDNHETHAASNIGKDKISERMKIDEDQQRPKRKHRNGHYIDLEATVENQETDAGINITKDNISDKIGDEDQQRLKRKAKEDCHYIDLEAPLQEDLSTEGADYQLPNDKEVHHVDPSVAGLQKMPWNEVNGKLEDAESSRKKLRTSEIYDRHSSGGRLSFNDSLTSLGNDIGSRSSVGDKGCEEASVEKIIREDLGTMERTFFPVDTQNINGLQSVLNTMAMKGIHERENVIPNLNLALGDETEMPPSPPPAGPKGMLPFLVGPAEKKNNHADRPEDDVAAASLSLSLSFPSSNMEQTKASSKAELLPDGHRPSPSFLLFGRRYTDK >RHN54985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14218678:14219304:1 gene:gene30101 transcript:rna30101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MCWKSLNPCQLSLSKLVRAEIDPVDVPSNVIDNVEFLCMNHTEMDTFKSISMFRNLIHIKLQFNSIFRGWDGVVELLQNCPRLEILFIKKWFLSLSRDWKCPSLALECVLSHLRSCTILNFQCYGNDLRFATYILQNARRLQDMTINITTYPSNWMLLGKRQIIEGLSSYPKIQLNLLYNLL >RHN73920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21617994:21619446:1 gene:gene9820 transcript:rna9820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MCLSPLSFLIVFLLGPLNVKGVDWDNVPFMQNYAPLWGQENMQILNQSREVQLTLNQESGSGFQSIHKYSSGWFNMKIKLPQNDSTEVITTFYLISVDGPTRDEIDFEFLGGNKERSHILHTNIFTNGQGGREQQFQFWFDPTADFHDYTFLWNEKQLVFFVDNIPIRVFKNNTDKGGSYPTQAMKIYATIWSSPWGSGGVPINWNEAPFEAHYRGFGINACQSQNPNIEQCNSFRYWWNTENYWELNFDQKQAYNNVRSKYLIYDYCTKQPENLECQGLHLN >RHN75391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41876934:41879634:-1 gene:gene11581 transcript:rna11581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MEHISTYNFLTFLLLFVVFNVVKGQPLVPALFIFGDSVVDAGNNNNLYTIVKSNFPPYGRDFNNQMPTGRFCNGKLAADFTAENLGFTTYPPAYLNLQEKGKNLLNGANFASGASGYFDPTAKLYHAISLEQQLEHYKECQNILVGVAGKSNASSIISGAIYLVSAGSSDFVQNYYINPLLYKVFTADQFSDILMQHYTIFIQNLYALGARKIGVTTLPPLGCLPAAITLFGSHSNECVDRLNNDALNFNTKLNTTSQNLQKELSNLTLAVLDIYQPLHDLVTKPTENGFYEARKACCGTGLIETSILCNKDSIGTCANATEYVFWDGFHPSEAANKVLADDLLISGISLIS >RHN51226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15212208:15214376:-1 gene:gene35625 transcript:rna35625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MWAINYLYRYALKKFSLKCKGTIGADFVTKDLQIDNKLVTLQIWDTEGKETFQNVCADFYRGADCCVLVYDVNCFESFDILDSWHDDFLKKGNTSNHGISPFILLGNKVDIDGGKSRVVPEKKAKKWCASKGNIPYFETSAKEDFNVDDAFLCIAKTALANERDQDICVQPIWPAMLENEQRVGCACWFEFLSTALTQVLHTLFGKRI >RHN79233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26297925:26298357:1 gene:gene2986 transcript:rna2986 gene_biotype:protein_coding transcript_biotype:protein_coding MQWIKQKHKDKRYGEKEQTQTIYTSFSHNTGLVLSPCTSKVSSKRLLIAEAHLQETSLLKVTTNRLPMLKENSKRLLILTRSRYFQCSKIFSMRLLC >RHN79283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27054632:27055232:1 gene:gene3053 transcript:rna3053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MGEQTSTNAWNIDLNLLPSPEQLSGVMANGTMNLIDLVDQPSDRITETSNGGVALDKTSKKRKNVEKGGGSEGSVFDCNICLDLAKNPVVTCCGHLFCWPCLYRWLHLRSSQTKACPVCKGEVTDKNVIPIYGGENNVEVRHEDSSSTLQIPSRPKARHGIMETYWLSTRHLGVQSKIMACLLSFS >RHN41797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33086291:33092224:-1 gene:gene48158 transcript:rna48158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MSSSSRYPMNSVLFSLLFLFVLVAKVSFCFSTKVYVVYMGSKSLEYPDDILKENHQILASVHSGSIEEAQASHIYSYRHGFRGFAAKLTDEQASKISKMEGVVSVFPNSKRKLHTTHSWDFMGLLDDQTMETLGYSVKNQENIIIGFIDTGIWPESPSFSDTDMPAVPQGWKGHCQSGEAFNASTCNRKVIGARYYKSGYEAEEESNAKISFRSARDSTGHGSHTASIAAGRYVQNMNYKGLASGGARGGAPMARIAVYKTCWDSGCYDVDLLAAFDDAIRDGVHILSLSLGAQSPQGDYFNDAISIGSFHAANRGVLVVSSAGNEGNLGSATNLAPWMLTVAAGSTDRDFTSDIILGNGAKITGESLSLFEMNASTRIISASEAFAGYFTPYQSSYCLESSLNKTKTKGKVLVCRHVERSTESKVAKSKIVKEAGGVGMILIDETDQDVAIPFVIPSAIVGKKKGQKILSYLKTTRKPMSKILRAKTVIGAQSAPRVAAFSSRGPNALNPEILKPDITAPGLNILAAWSPVAGNMFNILSGTSMACPHVTGIATLVKAVHPSWSPSAIKSAIMTTATILDKRHKPISVDPEQKRANAFDYGSGFLNPARVLDPGLIYDSEPTDFITFLCSLGYDQRSLHLVTRDNSTCKSKITTASNLNYPSISVPNLKDNFSVTRVVTNVGKATIIYNSIVSAPPGVNVTVVPNRLAFTRIGQKIKFSVNFKVTSSSKGYKFGFLSWTNRRLQVTSPLVVKVG >RHN61395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35391495:35401656:-1 gene:gene23859 transcript:rna23859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRIAKLIRMPSFSSTQASSKGFEVPKGYLAVYVGDQMRRFVIPVSYLNQPSFQELLNQSEEEYGYDHPMGGLTIPMPSFSSTQASTKGFEVPKGYLAVYVGDRMKRFVIPNSNISFSSNPLPSSVSFCEEPYIIKTMGFRIAKLIRMPSFSKTQETAKGLEVPKGYLAVYVGDRMRRFVIPVSYLSQPSFQELLNQSEEEFGYDHPMGGLTIPCGEDAFLQLTSRLSDLVPWQLYIHLFIVFSSNTSLLHPKLS >RHN44004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:674323:676346:-1 gene:gene38048 transcript:rna38048 gene_biotype:protein_coding transcript_biotype:protein_coding MISLTHVVLHQTHSLQMLCLLGPLHQEFQPPKQTLVQQLLLQHHPLGPIISMSLLKIRLVIHHSRLILLLKLLHLNTMHLRVLNHPNQMVLMPTCLTLGLGTHFYCTILCICSQ >RHN47171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37646037:37651706:-1 gene:gene41736 transcript:rna41736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-exporting ATPase MGVPQNAHDEDGTLEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLEGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDASN >RHN62154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41245950:41257754:1 gene:gene24699 transcript:rna24699 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNLSWCSRSSRSPRTLLNYSLLFPFATGLIQTHNHLCSLSLIFAIPHQLLHLSLRKPNFQPHPTTILLTFQFTTIIIHFTLFQN >RHN42056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35109287:35112455:-1 gene:gene48447 transcript:rna48447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MSSFFSSFTSSLSETNLATAKTILTTAASIAGTAMLIRSVANEFLPMDIRDIVFTGIKSAYCRFSTNVTMVIEEFEGLDNNQIYSAAETYLGTIVSPSTKRFRISKSDHQQTFTLTMERDQLVTDYFNGVKLKWILFSRRVENLRNNRDLTVPMKSEVRSLELTFNRKYKEMVLKSYIPFILEKARSKKQEVKALKIFTIDIQNLYGNLNDAWLGTTLDHPTTFDTLALDRDLKEFVMEDLERFVKRKEYYRQVGKAWKRGYLLYGPPGTGKSSLVAAMANYLHFDIYDLELGELSSNAELRRLLIAMPNRSIVVVEDIDCTVEFQDRSSQSKSGRCNDKQVTLSGLLNFIDGLWSSCGDERIIVFTTNHKEKLDPALLRPGRMDVHIHMSYCTPFGFRQLAFRYLGIKEHTLFGEIEETIQQTPVTPAEVAEQLLKGSETETTLKGLSDFLTKKRVTRELEAKKREQEEQHRDQLVDDSDFEGENVRHFGPQNCNGRAI >RHN70107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48823503:48829398:1 gene:gene18656 transcript:rna18656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MEGSKDPISDSELELYTIPSSSKWFAWDEIHETEKTAFKEYFDGTSITRTPKIYKEYRDFIINKYREEPSRRLTFTEVRKSLVGDVTFLNKVFLFLECWGLINYGAPSAGNDGEAEKEHEKERCKLKVEEGAPNGIRVVATPNSLKPISLPRDTKIAAGGGDESGAGVKIAPLASYSDVYGDLIRRKEVNCGNCGDKCGSGHYRSTKDNFIICTKCFKNGNYGEKRSMEDFKLNESSEISANHSAVWTEGETLLLLESVLKHGDDWELVAQSVRTKTKLECISKLIELPFGELMLASVRRNDNSNSVTGIVNNRNQVQVSSSDHQETSMTQDQSSEPKNEVEQNGDAVNENPSKRRRVSTLSDSSSSLMKQVGLLSTVVDPHVTAAAASAAITALCDENSLPRDIFDVEEDNASARALEAEGLEMVEGSTQSEVKDDIPLTLRIRAAIGTALGATAARAKLLADQEDREIEHLVATIIEAQVEKLQQKVKHFDELELLMEKEHAEMEELKDSILTERIDVLRKTFKSGVARWKHYPSLKS >RHN70922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55096678:55099924:-1 gene:gene19557 transcript:rna19557 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLTIGNLALKIPVASKPARSVVHPSSSPCFCKIKLKNFPLQTALVPFIPHENNSPDSQIQPIAASFHLSKADLDRLTGKSIFAKKLTLKIAIYTGRSGTTCGVNSGRLLGKVSVPLNLTGTLTKATVFHNGWITVGKDDKGGSAQFHLNVKAEPDPRFVFQFDGEPECSPQVFQIQGNISQPVFTCKFSFRNNSGDRNQRSRSMQSEVGASRSWLSSFGSERERTGKERKGWSITVHDLSGSPVAAASMVTPFVASPGSDRVSCSNPGSWLILRPGDGTWKPWGRLEAWRERGGSDGLGYRFELMPDTNGSMSAGGIVIAESTLSLTRGGKFVLDLSSRCGGGGGSNGRATPGNATSPVCSPRGSGDYGYGLWPYCMYRGFVMSASVEGEGRCSKPTVEVSVPHVNCTEDAAAFVALAAAVDLSVDACRLFSQRLRKELCQQMDLVG >RHN76304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49275955:49276708:-1 gene:gene12606 transcript:rna12606 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSLKFTLVTIFIFAMTLSPTLPCDAVRVSLAVSRPVCPACVCCSPPPLGSCCKCCASPIQTQTIGQSP >RHN50300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5940224:5943413:1 gene:gene34572 transcript:rna34572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MTIGIHAPNLEKKKSRKNKTTIDVNAPLLPKTQESDVKFDEFNGASFSGAVFNLSTTIIGAGIMGLPACVKKLGMVPGLIVIVLTALLTEKSIDFIIRFSRAGKLSSYGNLMGDAYGKYGKASLEICVLTTIGSLIMYMIIIGDVISGTTSSGIHHAGVLEGWFGVHWWTGRTFVITFATFVVVAPLVSFKRIDSLRFTSALSIGLAVLFLIIAVGISIIKIISGGIGMPRLFPVITDAASVFDLFTVVPVLMTAYVCHYNVQSIENELEDSSRIRGVVRTSLTLCSSVYLLTSFFGFLLFGEGVLDDVLANFDTDLGIPFGSALNDAVRLSYAAHLVLVFPVIFYALRLNVDGLIFSSSRRPLVVDNLRFSSVTIALVLIIFLGANFIPNIWVIFQFTGATGAVCIAFIFPAAIALRDRYNIATKTDTILAILMIVLAVLSNAVAIYSDAYALINEKKA >RHN53487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1990488:1995494:1 gene:gene28397 transcript:rna28397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-binding motif protein MQGSAADGEALDFEPEEDDLMDEEGAVDAEASPPHPKLKSAITSVPKKTKGRGFRQDSDSSNRNSRLADSGFDTLTAEGGPGPQRSIEGWIILVTGVHEEAQDDDLQNAFGEYGDIKNLHLNLDRRTGFVKGYALIEYERSEEARNAIENLNGSVLLTQTIYVDWAFSSGPINETVRRNRPQRRSRSPPRRRY >RHN44989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10477122:10483297:-1 gene:gene39179 transcript:rna39179 gene_biotype:protein_coding transcript_biotype:protein_coding MASETQSSEMLTREQLFHLFERFSVLTSQPDVKKRIVDAVLDKQEAVAVTTAIQEEIFLEMGVDPGFGISCLGKISTVYENDQDLVIQFYKFLAKEEMACDEAELGEEEFAEKMRHQQNLQEHQLEMLKHMRKFNLDDQSAILEKLHQQMENGNYESETSILSAEEIEEIVQRKVTPLFSPS >RHN48130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45258584:45259177:-1 gene:gene42815 transcript:rna42815 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIVEPSIMNWFMEISVAASQCAGRDCWDSRTKNYLLLGIQYTMDLQSKGGRS >RHN67060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23382017:23383442:-1 gene:gene15194 transcript:rna15194 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSTFVSVIMVEESQFNTTTIFILLFDNFLSHIHVILLFSLSLFLFLLFLTIVKIEKKLLKVVSNGCSNITTPSYR >RHN73314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15300856:15301350:1 gene:gene9113 transcript:rna9113 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVVRQRSNFNRCQVIINVNVSNVHVHNNIMGIATNSLHGLVAFIFYVLIGFLQICYPDNPTVFQLHPKTMLVSIASFLLYSLAFWVKLKFDTTRIDTLMEVFGSLSIISLVLMFFPHNWGLFGYIIIYTLWFIYYLLVLIIGLRQHMHEKEVATTLPNASIV >RHN55037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14613176:14614350:1 gene:gene30160 transcript:rna30160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-YABBY family MSTLNHLFDLPEQICYVQCGFCNTILMVSVPCSSLTMVVTVRCGHCTSLLSVNMMKASFVPFHLLASLTHLEQKESSSPDEDANKTLNSNTSASMMTYSDCEEDDVIPISNVVNKPPEKRQRTPSAYNRFIKEEIKRLKAKNPDMAHKEAFSTAAKNWANCPPTQCKGDEESCSQTDQFVDLDSQVDPNEAEVKT >RHN74392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32588138:32590854:1 gene:gene10449 transcript:rna10449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MHPGSFGGICIHCGQKVDAESGVSFGYIHKGLKLDDKEISRVRDIDVKNLLNRRKLCLVLDLDHTLLNTTFLYRLSSEEMHLKTHTDSLEDISKGSLFMLEHVQVMTKLRPFVRTFLKEASEMFEMYIYTMGDRRYSLEMAKLLDPQGLYFKDKVISREDGTQKNVKDLDLVLGTENSILILDDKEEVWPKYRDNLILMERYHFFNSSCQDFGLQCKSLAALNIDENETDGALAKILEVLRQINYKFFDELQGDLVDRDVRQVLSSFRGEVLRGCVIVFSLNFRGDLRILRRIAERLGATCLKKHDPTVTHVVATDFVTKESRWAVEEKKFLVNRRWLEAADFYFQKQPEENFLCQNALVSGS >RHN63544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52091070:52093863:-1 gene:gene26256 transcript:rna26256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative uracil-DNA glycosylase MEKKRKRKVKTERDGDRNPNSVQVPQIKTENPKNPFPSHSAPTPQECLEIRDNLLSLHGIPPELAKYRKSQQTNDTVEPPETVLDGLVRTILSQNTTEANSNKAFASLKSLFPTWEHVHGAESKELENAIRCGGLAPTKAKCIKNLLSCLLERKGKMCLEYLRDLSVDEVKAELSLFKGIGPKTVSCVLMFNLQLDDFPVDTHIFEIAKTMGWVPAAADRNKTYLHLNQRIPDELKFDLNCLLYTHGKLCSNCSSKRGNKQQKKFNDSSCPLLNYNKEPV >RHN43816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48720740:48723006:1 gene:gene50446 transcript:rna50446 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAPRLKCNVDASFSEALNCVGFGLCIRDEYRNFIKAKTLWSNPVCSSDVGEALGLYHDIQWVRELQLSNVDFEMDAKKVVDYFNKGRNDISEFGAVMDECRKNSIDYFENSKVEFSRRQSNVVAHALAQEATSLASHHVFL >RHN77165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4588229:4589692:-1 gene:gene591 transcript:rna591 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKTMLLATMAVFFAVISIASAAEAPAPSPTSPATAVSPSFIAGVVAAVVALAGSTLRI >RHN39302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5711022:5712169:-1 gene:gene45312 transcript:rna45312 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVFKGFHHGDFEETMVRIVTSNGGIMELYSPITVECITNEFPHHGIFKNNRNTLSKPLSKNEELQAGEIYYLLPLKNIVKQFGETFETLTPYRMSTCDKNSNNNNNNNNSWSEHEVFPRYNSSGVWKVKLVISPEKLSEILSQESRTEALIESVRTVAKCGNGVPSSSSVANSDQWSVSSSFKGSTLIDKFNLESSSSN >RHN73767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19788607:19791570:-1 gene:gene9629 transcript:rna9629 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRMQLQLLLPPKYTPPSSSRTLCSIRVTASATSPPQSISIHLTPNSPPSLSQFTTTSPISPPGNAVFRPTPELGLLSHVFVFSMVFGALFSVALISIPTLIAFGRLGNSVKKLSKVVSEEVPGTLSSLKLSSLELSDLSQQLNSLRHIIPGVGIGNKDRSTMRSRSFRKKKPSS >RHN63661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53000584:53001608:1 gene:gene26387 transcript:rna26387 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEDYQKCLLKRNTLVELRKFRNFMMTRSLRNCLIAVKGLIEGGDGGCEACGDIKFVPCKTCYGSCKTYYEDDYEEDDNCEVGG >RHN58522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2451512:2457041:-1 gene:gene20442 transcript:rna20442 gene_biotype:protein_coding transcript_biotype:protein_coding MELVNLPQRLFTSFTTLSPSPSPSPSPSPSSSLSLSTSSNRSFQPLSSSSTSLRRLRCRAASPNPPPPPPENESTELQGVVASLSKFQDRVQIFFAVLFWMTLFFWASAWDGRNRPNKGSKFRK >RHN75042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38907533:38916761:-1 gene:gene11190 transcript:rna11190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MMRSSPSSSRIRKKHKKLDAICEEEYTRNHGECNVGHDLNPDSGVRRSSRARRAPVLLDVSPTPKKKRLKLGKDVVPKSVEGDKGVGRESGGSGGGNWSLRSRSRGKNVEFEVKEERELSGRKRKLFDEVLEVDKKDELEVVEDDRKEELEAVEGDGKEEIEVVEVDNKEGFKYFTRRKFKSKKRTRRVEATKGDQRLEENECPVGLDESKSQEVELVVVLDTGEGSASFPETELAHENPIDSRDENAATRNEERIETDNLQAEECSGDVEPSPMERVESLDEQGDKIESEKEGIYASNVDEIAGVSTEQADNEGAVDKEVGIDENVSKDESVGKRDELKQASNDKSGYRCIKEGRRCGLCGRGSDGKPPKRLVQDNGDSENEAYSGSSASEEPAYETWDGFDDEPGWLGRLLGPINDRYGIAGIWVHQNCAVWSPEVYFAGLGCLKNVRAALCRGRALKCTRCGRRGATIGCRVDRCPKTYHLACARANGCIFDHRKFLIACTDHRHLFQPCGDKYLARLKKLRARKMMWETKKRSNDASRKDIDAEEKWLENCGEDEEFLKRENKRLHRDVLRIAPVYIGGSDSSASDNSFQGWESVAGLNDVIRCMKEVVIIPLLYPNFFDNLGLTPPRGVLLHGYPGTGKTLVVRSLIGACARGDRRIAYFARKGADCLGKYVGDAERQLRLLFQVAEKCQPSIIFFDEIDGLAPSRTRQQDQTHSSVVSTLLALMDGLKSRGSVVVIGATNRPDAVDPALRRPGRFDREIYFPLPSTEDRASILSLHTQKWPKPIGGSLLGWIAKKTSGFAGADLQALCTQAAMNALKRNFPLQEVLSVAEKRHSSDCKNIPLPSFTVEERDWVDAFLSSPSPCSQREAGNAANDVSCSPLPVQLVPCLLRPLCTILVSLYLDERLWLPLPISSAVALIKNVMVSALGKKEMPIDHWWLHLDDFLQETNVAYEVSKCLSGSGILSADHGFSGFCDIVDHADENSSVKNHGSLFNGRLPDTSFGMTNKSGFRILIYGNPRSGQRHLASCLLYCFIGNIEVQKIDMATISQEGHGDVVQGITQILMKCASMKSCVIFMPRIDLWAVEEDLKIAEKTDSCSINHLSSETDKSSFTPSQIVEKENGINTGKNSTEITKCQANKKASYAWMSFIEQVETIGLSTSVMILATAEVPYTELPHKITGFFKSYQTKDTQSTPLVQTVPQFSLQIDGNFDHDLAIYLSSIELLRTVVEQRVQLIHQRSHAHMGAQKRDKAYESIEVCKDKVTQGKENEPANEKGEVQFPESLTKVPQPNSRSVKGKSNLLMAISTFGYQILLYPHFAELCWVTSKLKEGPCADASGPWRGWPFNSCIIRPNNSQDKVVIGGSSGGTKSTGGSGGTKSKESAGLVRGLVAVGLSAYKGVYKSVREVSFEVRKVLEILTEMINMKIQAGRNRYQYLRILSQVAYLEDMVNNWAYALLSLDQDSPELATKVIPAAGGSLNSHLPCEDCHQPEGEDCPLIVPADGDDLETLERSPKGVPTATTECLSLNDINDNLGNTDRDGQKECSEGSQPNHPCPDTHINDSCLANQPPHPSLNQENGVLSESVTAENYEAADEELGMPKDLNKSTCTRSAVVSENGFHTTFEQESVEIGKSGDVESDKHENTIDINASSSKDKGPAESGFVCLYQCCPHCLHSLYNLTQKVLVREWGSNRSHWTIEDVHDAVSLLSVDLISAVRKCYMAEDFTDLSSNKTSFKCFNSITCNTENRGKDVVSAECISHSASQDTSVSKDAVVNEAVKLDLKFVFRDGVLVPMDPAKDAPLHCKFEKLCLCSLIELIVKTKGSLD >RHN60003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16295158:16299048:-1 gene:gene22184 transcript:rna22184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative structural maintenance of chromosomes protein MSLGKIHRLEIENFKSYKGSQIIGPFAGFTAIIGPNGAGKSNLMDAICFVLGVRTFHLRGSQLTDLIYDDSEKQKNERSALVRLIYQLADKSEIQFARTITATGASQYFIDDNVVTWDVYNAKLISLDILVKARNFLVFQGYVESIASKNPKELTLLFEQISGSDQFKREYDKLEDEKNSAEEKMALVYQKKKTILMEKKKKKEQKKKAEEHLRLQDELKSKKEEHFLWKLFNIENDFAKTTEELEVDKTSCEGVGKEVEKFEHEANEKEKELANCVEEIKLLEEKITEISNKLDDKTRPDVIKLKEKISRINLKIEKVKKELCKKGEERKRHANDIAMLKRSIWDLEAKKDDLQEKGRNVGGQIKLDGNDLEEYSRIKEEVRIKTANLREKKELLDGQQRGDSEAQTNLEETLQQLKNRESELDSKEKQMRERLDNFAKNKDDLENTKRELCLLQEKQSDFKREYHNLKKKIGDVVNELHELKSDRYENERDAKFADTVATLKRLFQGVHGRMTDICRPTQKKYNLAVTVAMGKLMDAIVVEDENTAKECIRHLKELRFPPQTFIPLQSIRVNLIIERLRSLGGTTKLVFDVIQFDPSLERAILFAVGNTLVCEDLEEAKILSWTGERLKVVTVDGILLTKSGTMTGGTSGGMEARSKKWDAKKFEESSQKKEKYESKLEELGSIRDMHHIKVSEVEEKISELKKKAQYAEIEKQCIEKKLPTLSDEKGTIKKQIERVSPELIKLRAAVEKRNAEIRELEKSINEITDEIYKDFSKSVGVANIREYEETQLKASQNAAEERLNLSSQLSKLKYQLEYEQNRDMSSRIQELESSLSALKNDLKGLQNKEAEAKLAAENANKEISQLKGEYEKWKSKSEDCEKEIQELKKRASAAKTNLSKLNHQIKSKEEQIEKLMGKKQEIQEKCKLEQINLPIISDPMDTDSSTGPVFDFDELSRTLRNRRHCDRDKIEVEYKKNMDALMSKIESTAPNLKALKQYDALDEKERLITEEFEAVMKEEKERTDSFNAIKQERYNLFMGAFNRISGNIDKIYKQLTKSNTHLFGGTAYLYLENEDDPFQHGIKYTAMPPTKAFFDMDQLSGGEKTISALALIFSIHSYKPSPFFILDEVDAALDNLNVAKVASFIRSKSCEGARANQDTNDGKGFQIIQISQKNHFYDKAEVLVGVCRDSKSGSSRTLSLDLTKYRES >RHN66724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17714349:17714717:-1 gene:gene14790 transcript:rna14790 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L2 MAIHLYKTSIPSTRTRNRLIYGQHHCGKGHNARGIITAGHRGGGHKRLYRKIDFRRNEKDIYGRIVTIEYDPNRNAHICLIHYGDGEKRYILHPRGAIIGDTIVYGTEVPIKMGNALPLSAV >RHN77717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8921831:8927901:1 gene:gene1200 transcript:rna1200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle transport protein, Got1/SFT2 MWKKLGESLSGDNEEQEESLLGEGSGGLSSLTTTQRMYGFAACLIAGLALMLLSMIVFAKPIKFAVLFTFGNLSAVGSTAFLLGPAQQMEMMFDPVRVFATAIYLGCVVIALICALLIHSKVLTLLAIIIEICALMWYSLSYIPFARRMVSELMIRLCDTEL >RHN66924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21683667:21691919:-1 gene:gene15046 transcript:rna15046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MELPNEGGEGSSQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSTRGRLYEYANNSVRATIERYKKACAASTNAESVSEANTQFYQQESSKLRRQIRDIQNLNRHILGEALGSLSLKELKNLEGRLEKGLSRVRSRKHETLFADVEFMQKREIELQNHNNYLRAKIAEHERAQQQQHNLMPDQTMCDQSLPSSQAYDRNFFPVNLLGSDQQQYSRQDQTALQLV >RHN73775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19814990:19818344:-1 gene:gene9637 transcript:rna9637 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSGDANAAAQEQEQEWATMAQAWLCSFPEPKEVTMAEVEAWIDSNLSSLPEGIQSMPHPDLCHRLISIQNCMRLPNQEKEANNVDVPHARFQRTDQWLPVYAWLETLNKDEVVKSKEISEWLEQNPDIQEQLCSRHTRYHLMHYVKKCHFKILKRRQKGKGLELPGKELSLKVQKDVPMKRPAPLPSTPVINLPRVPKDSDLFLAKKNEAYQKYQM >RHN50187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4931110:4932112:-1 gene:gene34449 transcript:rna34449 gene_biotype:protein_coding transcript_biotype:protein_coding MISRVRGGVGMGVGRWFDDNVRKVVGGGRITFCWSDNWVGGVPLRDRFPILFSLAEDRWVTVAEMEGGWLEVVGVSGGGASLHGRKRVWWSVLLFCRITFMTGGVGFLILFMAIQSKVSIIFSRRWRHLMIGVCRSMYGINELH >RHN74302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31638981:31640485:-1 gene:gene10340 transcript:rna10340 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGFSSLSSPARSQYLNIGFPSKPQFLPTATLNFSPTFRCIGSRKLQINRKLLTVSATNPNSGGGKSSNEGSDVKETGNASQGPPLLTILAGLFVFFLVGWVIGSVVVWLISLIVNVPSPK >RHN44219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2504122:2504682:-1 gene:gene38311 transcript:rna38311 gene_biotype:protein_coding transcript_biotype:protein_coding MFLISLFRYMMRDIDKDHQRFKRVVVITMNLFLALIMFAAMNISSYFGKFMVQHNIAVMLIVLAVVSIFQIALNIGSTLHRVPNPNPNPHKNNNNNNTMVILYILLLSSVISSIEVRLVSNTAAAIINFILCHITLTRFVTFNKQEIPRLIWALIVSAWFVFLQLTITFLTFLSLDQGMKTFSWLV >RHN72358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7047828:7048483:-1 gene:gene8061 transcript:rna8061 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLEAIAGTWKTLEARGSQRILSNQKNEKDAHVLWSLCSI >RHN56220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28917735:28920277:1 gene:gene31587 transcript:rna31587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydrofolate reductase MENEEKGRKPRILCLHGFRTSGEIMKKQIHKWPQNVLDKLDLVFVDAPFPCNGKSDVEGIFDPPYYEWFQFNKEFTEYTNFDECLQYIEDYMIKHGPFDGLLGFSQGAILSGGLPGLQEKGVALTKVPKVKFLIIIGGAKFRAPSVVEKAYSSQIGCPSLHFLGEHDFLKEYGKELIDSCVEPVVIHHPKGHTVPRLDDKSLNTMMSFIERIQNDISENKEEVQSMS >RHN41256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28660968:28662211:-1 gene:gene47558 transcript:rna47558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,4-dihydroxy-2-naphthoyl-CoA synthase MLFWMVDNIVVPLENLEKETIKWCRDILRNSPTTIRVLKAAINAVDDGHAELQVYHYSCTIAVFQSPIITPIPTMKSLFRFGFESASVACCATGMFEIGYACSRGSMFSCSDASKFFFWDSFHPIEKTNNIVAKFYSVLLDACDCKNLLQCYK >RHN77922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10530225:10535249:-1 gene:gene1429 transcript:rna1429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative filament-like plant protein MTDKKRWLWKRKSSEKSPGEAESSGSVSSHSEKFSDEQEVSKESSNGSNYSPDVTSKAVACAEDVDDCSVINEQLPDDIASTPLPTTCIINGGSSEVDANGENENKSNEKEDDLNDGSRDISEKLSAAIVNISNGKEEDLNDGSRDISEKLSAALVNVNAKEDLVKQHAKVAEEAIAGWEKAENEVAVLKKNLDTVTLRNSSLEDRVTHLDGALKECVRQLRQTREEHEANIQDAVAEKTHELESDKVKLESKLVELQKKLDASNTKSSIERDMRQKVEWLETENMALRHEILVQSEELKIRTVERDLSTQAAETASKQHLESIKKVAKLESECRRLKTIASKDHKSIASSSFCVESLTDSQSDSTERLTAVDCDILKMNGSENRCEPSCSDSWASALIAELDQFKNEKCCRQADPSSSLKIDLMDDFLEMERLAALPETKNASSFVEELVVADKCVDKESTLRAEFDIMTQQMDELKGKLEKVQADKAELEIALMKSEECIEESHLQLKEAVQKLEELQIELENAYKSKQTIENRLMSMEADSHTLSSKVNLLEAEVDKERALLHEIVMKCKDLEEELESKSAMLGLLEADVDKEKNMSHEIAIKCEGLEEELESKCAKLELLESEIVKERAASDEIAAKCKDLVEEFERKCAKVDFLEAEVEKERAMSEEIAVKCRELEEEILRPTASLYGEKKIKQEDLALAAGKLAECQKTIASLGNQLKSLATLEDFLIDTASIPASPAIIAHAGGEMWRMHSNGTFSPKRDSTSSRLADGSSGASLNKYEESSPLSSTSSTSSATLPNHASSEKGRIGFAKFFSRTKSGIRLEI >RHN80869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41440927:41441448:-1 gene:gene4844 transcript:rna4844 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFRRVMLKLSATRWSELINISIVDEFVWGIVTAFESVALVSMLCFFFLSYGCTI >RHN49539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55508969:55512057:1 gene:gene44381 transcript:rna44381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Sde2 domain-containing protein MEQEKSHRMFNLFVKHLNGKTLTLLFPSPILSATSIKDRLFHLTGIPIQHQRLVTGFRHLNDDNSEICCSPEGGNMFPSVRLLLRLKGGKGGFGSLLRGAATKAGQKKTNNFDACRDMSGRRLRHVNAEKRLEEWREGEEDRKLEKTAEEFLKKQMKKNNKGKGKNGDVEAQKYVAKYREQSERCVAEVAESVLDSIRYAKRKSSPISEGSPSRDQKKLKIWMGKRALNESDDDSDGDVTDDEGETEKSVLLNSQNESGLNKAEGSSDSVAGFKRDGDSSGVGSCESGSEEEKETVVEGKVEIVERSQSTESIEAKPSIAAETMVNDEMMEANAVPCSETLDSLISVQDNDYQDSQVGGTGAQVSNVVSSEIVSNDMEIDGSLEHKTVVIEESLPSNSVPAKKEPLNFDAFNSAAELEVLGLENLKTELQSRGLKFGGTLQERAARLFLLKSTPLDKLPKKLLAKK >RHN79317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27550007:27554713:-1 gene:gene3092 transcript:rna3092 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLQNDFLPAATPGHFDFSALLNDPNIRKLAEQLQETLHEAPQDDLPNSRDPKYASTMLQIRENLDFKTMVRRLIWALMQDPSMSSMVEIYTDPSLEGQRKRRTAHLNKDPCLKLILDEIENGGPEVMMRYWNDEKVLKMFGLVMGIRPYFGDAVASFENYVPDETGDMGNEDSKNSGLDLTEDMESEDEGYVTEEDMESEDEGYVTEEDMENEDESTVHHTESTVHHIAIVDGVELCCWKLEEWCQEPSVSPIVIKSQMQIESIHEEHSLVEFMLKLNLLDLLFRHYPRGPIPYYWYGYMRAQTSRVRSSLTSTHNLPYQETQQRAGREGLLTILTRVMEEETHSVRFAQEFILALYVYHCLCNVHCLKNIEVQSYGNGIV >RHN61067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32666651:32669389:1 gene:gene23480 transcript:rna23480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ccmE/CycJ protein MATSLALRFRSNLLRTATHFHSSLRAPPFKPSPSLFSSFCTPTSTSINPPSFSLHRFLSTSRRQPLTRPKKNIDIAERARQLQARRLWTYGLAFATFAGFIVVVLNNFQDQLVFYVTPTEVIEKFKANPTKSKFRLGGLVLEGSIVYPANSPDTEFVVTDLITDIVVRYDGSLPDLFREGHSVVAEGFVKPFTDEIKKESSVKNVAAKARSGDYYFSATEVLAKHDEKYMPKEVAAAIEKNKKILDEAKGVPEEKPDEKPNNTA >RHN71924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3631609:3633523:1 gene:gene7577 transcript:rna7577 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSIFMSDGLVDWLSSNVLKIQRVLLKCTNTVSINQANY >RHN38416.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000024.1:5560:8410:1 gene:gene50766 transcript:rna50766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MMDMASVSLTFSSSSIVPSPIPQHNKTTHNNPRKPHLPIFYAVSSSPSKTASASNSSVVKKKHWKQGEFPGVSETSLPSSTRRKPIKNVKKKLDRKNNAKAWANTVTEALSECIDKKQWLQALETLDMLREQSFYQPREGTYMKLIVLLGKSGQPQRAHQLFTAMIEEGCDPTPELYTALLAAYCRSNMIDEALSILDEMKNHPLCQPDVFTYSTLIKACVDIFKFELIELLYEEMAQRSIMPNTVTQNIVLNGYGKAGMFDQMEKVLSGMLQSADCKPDVWTMNTIIGVFGNMGQIDMMEKWYEKFRNFGIEPETRTFNILIGSYGKKRMYDKMSSVMEYMRKLQFPWTTSTYNNVIEAFAEAGDAKNMEYTFNQMRSEGMRADTKTFCCLIKGFANAGLFHKVISSVQLAAKLEIPLNTAFYNAVLSACAKAEDLMEMDRVFMRMKDTQCPLDDTTYSIMVEAYRKEGMNDKIYYLEQEKQTMITDNKIENQPVD >RHN45383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:18978026:18980561:-1 gene:gene39689 transcript:rna39689 gene_biotype:protein_coding transcript_biotype:protein_coding MISSFLCLPFTEFIRSYTLGILILCLSLCFAFSSSELPSFFSLLLASSFRSSSNCSSFSCFFFLLIFMCCFFSLPLASFVFCFLFLSPSVTFLSSCSICVSPILNDGNGSSSLVFFTCMSKENH >RHN73074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13209373:13210456:1 gene:gene8852 transcript:rna8852 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLCSRRPYTSTNSFSYNCTYIITWMFVRTLHFNNKTFSSTTVYFCCRRKTSKVGNIIVCHSHHVSIGMVFLFLHL >RHN59779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13049459:13050406:1 gene:gene21927 transcript:rna21927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MQPHSHGNHGFPFGINGYYVGGIAGLQQSQHPQHNELQQLQYHQPQNQQLWHGHVVPFFNPHVQASSILSYPSFDSLFEKQVMETNQFINNQNDKLKLLLQQHQRELQLASQQIMTKKKEEIAKLANKTQELENLVRRFEAENKEFEKKVKEREAMIITLHSKLEEEKKKLRMFVENDAKSCTGESEEVILEKRVRRGNNTMFCPKCNTNSSDVLFIPCRHLSSCKACEALLEACPMCGMKKKGVIEIQNLILD >RHN52370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34380076:34380516:1 gene:gene37035 transcript:rna37035 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTKCSSVSEEVTLGMVSLEISTRLLLTRESTPSLMIIIFQEEAKSHHHSSRPLKNLEFLFQYFLPTMHLLHFVWTNLSTCHSLLRDKGSPRFACFCWCGSY >RHN53805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4283637:4290069:-1 gene:gene28753 transcript:rna28753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ERCC4 domain, RuvA domain 2, restriction endonuclease type II MVLQFHEHIITELLEDTNGGLTILSSGLSLSKLISSLLLLHSSSQGTLLILSPSPTLKSKINFHLKTLNPQLHQIPTEITADLPVNHRHSLYSSGHVCFITPRILIVDLLTNKLPTSTISGMLILNAHSISETSTEAFIVRIFRSFNRDAYVRAFSDRPQAMVSGFAKAERTMKCLGLRKLHLWPRFQVYVSQELERDPPDVVDIRVPMTKYMVGIQKAIVEVMDACLKEMRKTNKVDVEDLTVENGLFKSFDEIVRRQLDPIWHTLGKKTKQLVSDLKTLRKLLDYLVRYDAVTYLKYLDTLRVSESFRSVWIFAEASYKIFDYAKKRVYHLVRSDGVKLNESSKNVKNKKKKAKGDNEDTEEADVTASTSSNHGIVLEEVLEEAPKWKVLRDILEEVEEERRKQGILREEVLAEGEDTDNGIVLVACKDERSCLQLEECITNNPKKVMQDEWKKYLLNKVQLRDVVHKKKKPKDPKGFGILNGVTPISLAQNTETGGINKQEHDALLAAASKLRNLAEKNHVVEDTPQSDLGGHVRGKGKRKLGNRNGPIIIDGSGVQSNNKEEVTSGKTGMSDSKNKAHMGETSAVSTDRVCETKHGGISVDDAVLRRHTFPDAMARDGKPLPPVHFYALESDQPILDILKPSIIVVYHPDMTFVREIEVYKAENPSKRLKVYFIFYEDSTEVQKFEASIRRENGAFESLIRQKSMMMIPIDQSGHGLGFNSTLDSDLNTTQNSITRKAGGRKEVDKEMQIIVDMREFMSSLPNILHQKGMRIIPVTLEVGDYILSPLICVERKSIQDLFQSFTSGRLYNQVETMARYYKIPVLLIEFSQDKSFSFQSASDIGDDVTPNSIISKLSLLALHFPRLRIIWSRSLHATSEIFASLKANQDEPDETKAMRVGVPSEEGIVESDVRAENYNTSAVEFLRRLPGVTDSNYRAIMDGCKSLAELALLPVEKLAEIMGGHKAARTLRDFLDAKYPTLL >RHN41036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26275282:26276595:1 gene:gene47309 transcript:rna47309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain-containing protein MFLHLFMVLIGDCQSSGDESEDDKIQNYDSTASTPKVLRKVVHKVVETERKASNTNFTADLGTNSAPPHGKTKNEGKRKISFVYISLADGSVNSHYRRRLMESLSKTKGVWYLPTPFAQYALDWRQTPQEVRKIFHKDLMSKQDFILVNDQGVHWYLMAIDVLERKLVLLDSLPCPKRNYLTRREVLKLAISIEKILSIESIGDVNSSNSISNFCIVQSRSLPTQCTGSNDCGVWVAKWMIEYVHSKVIIKISM >RHN78825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18770753:18771787:1 gene:gene2481 transcript:rna2481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MSKLRVSIVLLFALLITLFFFSPQIQPQSYFLFSNPDPDAEDLALFHRATRTTPHLFTLKNPKPKIAFLFLTNTNFTFAPLWEIFFTGNNHLFNIYIHADPVSSVLSPGGVFHQNHFIPSKTTQRASPTLIAAARRLLATALLDDPHNQYFALVSQHCIPLFSFRFVYNSLFEYRVESLNKYKIQHPSFIEFDSKHPNLYQRYNARGKDVMMPEVSFKDFRKGSQFFILNRKHAKVVLRDQKLWKKFRIPCIDTYSCYPEEHYFPTLLSMEERDGCTGFTLTRVNWTGCTDGHPHLYTREEVSPELVRQLRKSNSSYPYLFARKFSPETLAPLMDIAYDVIFRD >RHN72294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6545718:6548872:-1 gene:gene7990 transcript:rna7990 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S14 MSSKRKVREPKEETVTLGPAVKDGEHVFGVARIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVATRCKELGITALHIKLRATGGNKTKTPGPGAQAALRALARSGMKVGRIEDVTPIPSDSTRRKSGRRGRRL >RHN72969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12238735:12238971:-1 gene:gene8738 transcript:rna8738 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKEETKHSVSVADSYLDLAGLRLGFGLESGLIVGAVECEFVALGLFLLLLNLNLLNLNLSSICVYNICFHHTFLIP >RHN69107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41090734:41092623:-1 gene:gene17536 transcript:rna17536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate oxidase MRSIEKQLSSSNKEEIGNKSFISFSLFYYSISFIKIKNNNKMGRGAVLAFMLCLLGVTVYGEDPYIYYTWKVTYGTISPLGTPQQGILINGQFPGPEINSTSNNNVVVNVFNNLDEPLLFTWHGVQQRKNSWQDGTAGVQCPILPGTNYTYKFQVKDQIGSYFYYPTTGLQRAVGGFGGLRIFSRLLIPVPYADPEDEYWVLIGDWYGKSHKTLKMELDSGRSIGRPAGVIINGKNAKGDGRDEPLYTMKPGKTYKYRICNTGLKDTLNFRFQGHGMKLVETEGSHVVQNVYDSLDVHVGQCYTVLVTADKEPRDYYMIASTRMTKYNLLGKGIVRYTNGKGPASPVLPPAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTIKFVNSVSNVNGKLRYAINGVSHVDPETPVKLAEYYGVADKVFKYNIISDEPPTDLRAITIAPNVMQTTFRTFIEIIFENPEKVLQSYNLGGYSFFAVAGEPGTWTPEKRKGYNLLDAISRHTIQVFPKSWAAIMLTFDNAGMWQLRSEHAEHRYLGQQLYLSVESEEKSTRDEYNLPETQLVCGIVKDMPRPPPKYV >RHN71997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4267027:4267370:1 gene:gene7664 transcript:rna7664 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLRTIESEFYKNGDSISETPFASLEHLEIREMSCLEMWHHPHKSDAYFSVLKCLVITDCPKLRGDLPTHLPALETIEIERCNQLASSLPKAPSIFKLLT >RHN80787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40876028:40878175:1 gene:gene4753 transcript:rna4753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase MTHLHKHQSSVTKSTGGTNPHNRIPTNPSPELGPAYNINAHISPAVTSTQQFRVGVSPEAERNDGVSRVLGHSFEVGDLVWGKVKSHPWWPGYICNEAFASSSARLGKKEGCVLVAFFGDGSYRWFDPVQLIPFDENFAEKSKQVKLKSFSNAVEESVEEAGRRCAIGLMCRCRSFENFRPTEVEGYFRVHVPDYDSGIYSVAQIRNAKKGFRPAETLAFIKKLAVARHGGDDRCCNFAKNKATVFALRRAVFELHDESNVEAVTAQPLCTYDPQANQLVIYSEAHTRNEKNGFRPAEALAFIKKLAVARHCVDCRSCSFVNNKAVVFAFRQTVFNLHGETYVEALGASPLRHCNPRTNPLVQPLSHPANDYIRGIYSEAQTTKEKYAHEASSEPKHKKRKGKTKALTFVSRDIFAKDILKVYGDEKQKMKSQRAEICGRV >RHN62228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41863589:41869872:1 gene:gene24782 transcript:rna24782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MPSAESIFSEFSLLLTEEPPVNEPETMDFGVIFGEDKIPTLGKSGDDDEDALIDLDDQLYFPPEEKQIDISKNIRDRVHLEITMNSVCDSGCTYRHHRKKSYQVENSSMFTFTTLSSVSKTIPTSSPYHTHKTTSNYTKKSHNRFIFFKQPRRTCLLHSTVCVSPSFTNTTHSVTQNQNAKINKFCEMGDLRNAIELLTKSKSYELGLNSYCSVLQLCAEKKSLEDGKRVHSVIISNGISIDEALGAKLVFMYVNCGDLVQGRKIFDKIMNDKVFLWNLLMSEYAKIGNFRESVSLFKKMQKLGVVGNCYTFTCVLKCFAALGKVKECKRVHGYVLKLGFGSNTAVVNSLIAAYFKFGGVESAHNLFDELSEPDVVSWNSMINGCVVNGFSGNGLEIFIQMLILGVEVDLTTLVSVLVACANIGNLSLGRALHGFGVKACFSEEVVFSNTLLDMYSKCGNLNGATEVFVKMGDTTIVSWTSTIAAYVREGLYSDAIGLFDEMQSKGVRPDIYTVTSIVHACACSSSLDKGRDVHSYVIKNGMGSNLPVTNALINMYAKCGSVEEARLVFSKIPVKDIVSWNTMIGGYSQNSLPNEALELFLDMQKQFKPDDITMACVLPACAGLAALDKGREIHGHILRRGYFSDLHVACALVDMYAKCGLLVLAQLLFDMIPKKDLISWTVMIAGYGMHGFGNEAISTFNEMRIAGIEPDESSFSVILNACSHSGLLNEGWKFFNSMRNECGVEPKLEHYACVVDLLARMGNLSKAYKFIESMPIKPDTTIWGVLLSGCRIHHDVKLAEKVAEHIFELEPDNTRYYVVLANVYAEAEKWEEVKKLRKRMQKRGFKQNPGCSWIEVGGKFNIFVAGNSKHPQAKRIDVLLRKLTMQMQNEDYFSMFRYVLINEDDMEKEMIQCGHSEKSAMAFGILNLPPGRTVRVSKNQRVCGDCHEMGKFMSKTTKREIVLRDSNRFHHFKDGLCSCRGLELSGRNWYLSYGSGNK >RHN64244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57602298:57606548:-1 gene:gene27038 transcript:rna27038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MVVKNTNQLEEATDSTYDRKAEVKAFDDSRAGVNGLVESGVSKIPRFFHAGKLDIGENSTCDSKLSVPIVDLKDIHNNPAQRVDVIHQIRSACHEWGFFQVINHEIPITVLDEMIDGIRSFHEQDADVRKDGQAANWRDTFGFAVAPHPFKPEEVPPICRDIVIEYSQKIKELGFTIFELLSEALGLNSSYLKELNCAEGLFILGHCYPPCPEPELTMGTTKHTDSNFMTLLLQDQLGGLQVLHKDKWVNVPPVHGALVVNVGDLLQLITNDNFVSVYHRVLSHNIGPRISVASFFVNSPDPIEGKSKVYGPIKELLSEENPPIYKDITIEDFLAHYYAKGLDGNSSLQPFKL >RHN48046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44510680:44511467:1 gene:gene42717 transcript:rna42717 gene_biotype:protein_coding transcript_biotype:protein_coding MESIDNVLQLSQVYSHKLLEAILDDEGLLDHVPENKPRWLSRSHDMDIAEHDCINEMKTEQKEGMQRKNTMIAIEIIVQLLQNKMTSRLLCLVHRNMPSYWGSFNHQMQLIASKSSILKNLKHITADTLLSLMENIHGVVSCNTKSKLESNVVIPAGSQIAFGGQCEGSSWSSIWLPIDLILEDALDGGHVAALSAIEIITGMNV >RHN65863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5972207:5972869:1 gene:gene13755 transcript:rna13755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MDDFCKHVLEALDLITQNGHLPIIVGGSNSYLKKLLEDPTNAFHSKYDCCFIWLDVSLPILFPYLDKRVDEMVAAGMVDEIRDFFVLGADNTKGIRRAIGVPELDSYFEMEMKKGIDDAEKEKILKESIRKTKQNTFILAENQLSKIQNMADTLGSMIIKIDSTEVFKAILRGEDYQKLHHEIVIKPSMKIVKRFLEGTSYGFRNAKYSNENGKHTLNGV >RHN46192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29560108:29561375:-1 gene:gene40638 transcript:rna40638 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALENMAGINIVVSLPNWSSAQKTSSEYDKAINNLQVK >RHN42667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40170956:40171579:1 gene:gene49143 transcript:rna49143 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKISIRLIFVLTGRVYTKKMEELTQASSLQGTPPPKELDVWCEVAGINKGRVYGLGMESTVLVGKGRPNYRGSCSSSTEWVQRHELEEMRNERDQQRHEFEEMRKERNQLRKELVNTNRAVEQNNQMLKQLMESLNFRHMSYTRDRVHDDDDDEVGDDDDEAGGEYYDEELDSESERKKLCCILLGPKHMMYFFLSTFKHLILNV >RHN80342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37320598:37321864:1 gene:gene4256 transcript:rna4256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I13, potato inhibitor I MSYDDECKGKSSWPELVGVEGKVAEATIERENPLVNAIIVPEGSAVILDFRCDRVWVWVDKDGIVFKVPTIG >RHN43229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44185782:44187586:-1 gene:gene49772 transcript:rna49772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSMLNLIKQCKSIKQLHQIHALTITSNLLPHHPLSILNILLSTFTSLLNSKNNIKTYSNSFLTTYALSLFNSIPNPTTFTFNTLIRIHTLLSSPLSALKIFLSLRRLFLTLDFHTFPLILKASSQLHSLSLAQSLHSQVFKYGFLVDSFVMNSLIRVYSVNDRVNDAYKVFCESGYRDIVSYNVMIDGFVKNFKLDRARELFDEMPQRNEVTWGTMIAGYSQAKLCREAVELFNEMIGLEFIPDNIALVSVLSACAQLGELEQGRFVHDYITRNGIRVDSYLTTGLVDLYAKCGCVEIARETFESCTNKDVFTWNAMLVGFAIHGQGLVLLEYFSRMVGEGIKPDGVTFLGVLVGCSHAGLVCEARKVFDEMETVYGVAREGKHYGCMADMLARAGLIEESRELIKGMPNGGDVFAWGGLLGGCRIHGNVEIAKQAAQQVMEIKPEDGGVYSVMANIYANTEQWDDLVKIRKSLGANRRAKKITGTSLIRLNEH >RHN55195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15964401:15965333:-1 gene:gene30336 transcript:rna30336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MEVESESTAGPNWLDLPRDLTSNILQRLGAFEILTSACGVCPLWWNICKDPICMCDYSSYYNNFFFWKVSNNDYDKEEMVKICCNAIERSCNHLEDIDIEGFGNDDILNCIANNGSHLRSMRFVDCYEISEEGFSEAVRKLPLLEKLVISDNRLTEVSIAVLGRSCPLLKSLKISRLGVYAAKPSDDLALVIADTMTNLCYLDIKGDNLTNDGLLAILDKCPFLESLDLQGCRYLELSKSLEKRCIDPINHLRLPNFYVFDDYDYSPCEYDFGGDYDSWYY >RHN69496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43930704:43931496:1 gene:gene17975 transcript:rna17975 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVKTEETEAWHKPKNGSVFPAKKRSVKKMMWDQFVDPKGNNSSSNTVSPPPPQSSRANSTVHPS >RHN41997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34636714:34637538:1 gene:gene48379 transcript:rna48379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MFSLTLFLFSTLPISIHKYIPLSMNHHTTIQNFNFSREKTQNTTMAIRKSNKLPQPDVIIKQILKRCSSFGKKNGYNEESLPEDVPKGHFVVYVGENRTRYIVPISWLPHPQFQRLLQRAEEEFGFNHDMGLTIPCDEVAFEFLTSLIR >RHN77169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4615910:4616339:1 gene:gene595 transcript:rna595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin activation peptide MGSKVIFMLLVLCITVYGTNNDDSFSRQKVLEVEKKLQHLRKHSLKTIKSEDGDIIDCIDIKKQPAFDHPALKNHKIQVNVLHVIPFFFFLQYFCVNL >RHN39775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10438664:10441375:1 gene:gene45837 transcript:rna45837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine O-acetyltransferase MLQISCNYLHAKFKPYNNLITITLTFSPHHSNFSPPQQTTTIRRSMPTGELHYTTPPKAAATTNNSAVVGASDEGWLWSQIKAEARRDAESEPALASYLYSTILSHSSLERSLSFHLGNKLCSSTLLSTLLYDLFLNAFATDPSLSSAAVADLRAARERDPACISYSHCLLNYKGFLACQAHRVAHLLWRQARQPLALALHSRVADVFAVDIHPAARIGKGVLFDHATGVVVGETAVIGNNVSILHHVTLGGTGKVGGDRHPKIGDGVLIGAGATILGNIKIGEGAKIGAGSVVLIDVPPRTTAVGNPARLVGGKEKPSQLEDVPGESMDHTSFISEWSDYII >RHN61985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39811307:39812395:1 gene:gene24505 transcript:rna24505 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKIENPKDLWHPFSQRAWMQFLLQVMSHSRFSTEVFDVVTICLVSILILFGLICIAASFYYLYRIHNQDFVHLNYFSGPWIIRIAFILFVIWWSLGEIIRLTLIRHALHLKWSETVCKCYIVWNMGFVEPCLFLTIVFLLHAPLQRFEIGIMSKKWNIGTFGYIILYCLPMLIVQILVVMVGPQLEKNKVLGKKLPHYFTSTINSFSMERENDDITICTYPLLSTALLGIFAMILTSYLSCLGSRILKLVINKGLRKRVYTLLFPVLCFLPLQVLFLGLSVLSRPDHFMFEAFVFLAFLSLVYCFGLCMWTLVYRPIADCLALVKLQDSEARARRFNDDNIEDDDSEESVRSTPDRDFD >RHN40414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16069791:16070387:1 gene:gene46562 transcript:rna46562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MLLREFLAQPELDSYSVIMVDEAHERTLSTDILFGLVKDVARTRPDLKLLISSATLDAKKFSNYFDLAPIFKIPGRRYPVEIHFTKAPEADYLDAAIVTTLQIHATQSPREILVFLSGQEEIETVEEILKHRMRRLGTKIAELIICPIYANLPTEPQAKIFEPTPEGARKVVLATNIAETPVTIDGIKYVIDPGFCKM >RHN65474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2490960:2501068:1 gene:gene13317 transcript:rna13317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MEMAQVSDSRSFTAETTTRTQLPTLPFDVLPEILFRLPVKLLVQLRCLCKFFNSLISDPKFAKKHLQLSTKRHHLMRKCRNISRELVLYDSPIPSVFSTSTVVTQTQLYPPNGDTYTSVKCSCDGIFCGKLNNGSYFLWNPSIRKFQLLPPLKNPYEDYFSISFGYDHSIDNYKVILVSDKNEVSVNTLGTDYWTRMQDIPYSYGICRRGVFVSGTLNWLALDDSKILSLDLKKESYQLLLLPDYKCHSWIFLDVVRDCLCISAASDMFMDVWIMKHYGNKESWTKLYTVPNMQDRGLEAYNALYISEDDQLLVECLEIESDNDKLVVYDSKTGTSNIPEFQNKYDLIYSNVYIESLISP >RHN52162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32185774:32190488:1 gene:gene36793 transcript:rna36793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation initiation factor IF6 MATRLQFENNCDIGVFSKLTNGYCMVAIGGSESFYSVFESELGGVIPVVKTSIAGTRIVGRLCAGNKNGLLLPHTTTDQELQHLRNSLPDQVVVQRIEEKLSALGNCIACNDHVALTHTDLDRETEEIIADVLGVEVFRQTIAGNILVGSFCALSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMIVNDWTSFCGSDTTATELSVIESVFKLRDSQPSVIVDEMRKSLIDSYV >RHN47857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43071709:43072843:-1 gene:gene42504 transcript:rna42504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MAELYVNQAREYSNARPSYPPQLFQFIASKTPSHNLVWDVATGSGQAPKSLATLYNNVVATDASEKQLEFATLLHNVRYQHTPSTMSVKSSTSVRSWPNNLFISGGNLHLTSRFYGVLEQMVSSQGTIDLVTIAQALHWFDLSTFYKQVNWVLKKPHGIIATWCYNSPRVNDAVDALHDKENYETVDFPFGPVEGVDHTGPFEFVAETVMDFDDFLTYIRSRSSYQTSKNNGVELLKEDVIENFKLARGEDGQKTVKFQVYLRIGRVMDA >RHN59663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12602626:12603108:-1 gene:gene21735 transcript:rna21735 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl14 MIQPQTYLNVADNSGARELMCIRIIGASNRRYAYIGDIVVAVIKKAVPNSSLERSEVIRAVIVRTCKELKRSNGIIIKYDDNAAVLIDKEGNPKGTRIFSAIARELRQLNFTKIVSLAPEVL >RHN68709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37948207:37950566:1 gene:gene17095 transcript:rna17095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Tu MAISISSSSSTKLKLSSPYPPHTHTFTTSSSASISNPSTTHRPKLTLTHLSSSFLNPSTILHLTPSSKPTPTRSSPFTVRAARGKFERKKPHLNIGTIGHVDHGKTTLTAALTMALASLGNSAPKKYDEIDAAPEERARGITINTATVEYETETRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPSVVVFLNKQDQVDDEELLELVELEVRELLSSYEFPGDDIPIVSGSALLALEALVANPTIKRGDNEWVDKIYKLMDEVDNYIPIPQRQTELPFLLAIEDVFSITGRGTVATGRIERGLVKVGDVVDLVGLRETRSTTVTGVEMFQKILDDAMAGDNVGLLLRGVQKIDIQRGMVLAKPGTITPHSKFECIVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVTSIMNDKDEESKMVMPGDRVKMVVELIVPVAIEQGMRFAIREGGKTVGAGVIGAIIE >RHN78182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12526483:12531790:-1 gene:gene1711 transcript:rna1711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MKLKELEGHLGSLDQFPQPKIELEQYPTGPHIASRMLFTAENSFDDVSNKVVADFGCGCGTLGIAAALLSAEHVLSIDIDPESLEIASLNAEELELDMDFIQSNIMDLRWRGPIVDTVIMNPPFGTRKKGADLDFLSVAMKVASQAVYSLHKTSTRDHVKRAALRDFNARSAEVICELRFDVPKLYKFHKKKEVDIAVDLWRFVPASHQSRGI >RHN55475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18821114:18828685:1 gene:gene30661 transcript:rna30661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isopentenyl phosphate kinase MAETQTPFTQPIRCIVKLGGAAITCKNELEKINDEILHKVSEQLRQAMIASSQKPPGMDWSKRPGDSEICCNPEEFGDDFDAECSRFIVVHGAGSFGHFQASKSGVHKGQLNKPLVKGGFVATRISVTTLNLEIVRALAREGIPSIGMSPFSCGWITSERHVSSADLSPVAKAIDSGFIPVLHGDAVLDEILGCTILSGDVIISHLAAYSKPKYVVFLTDVYGVYDRPPTEPDAILLKEIAVAEDGSWSVIKPKLQNSIELSVAAHDTTGGMKTKISEAAMIAKLGIDVYIVKAATSHSLKALNGDLGSSIPDDWLGTVVRSSR >RHN46828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35175949:35176547:1 gene:gene41359 transcript:rna41359 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVYLNKRIWRIIWLYIFFQLIVFVISIIYMTKNKTEFVLICSIGKTLERYQRCSFTSQNDNVNEHETQNWYQEMSKLKAKYESLQKSQRLLIVLLQYNYSNI >RHN78118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12046889:12051289:-1 gene:gene1639 transcript:rna1639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthranilate synthase MAATFISRISLLQPNPSLSLSPHQSPQLSSNLRFEAKRAKGVVLKASSGALNVNSKQGVSVEKSKSNDPIVVIDNYDSFTYNLCQYIGELGVHFEVYRNDELTVEELKRKNPRGVLISPGPGTPQDSGISLQTVFELGPTIPVFGVCMGLQCMGEAFGGKIIRAPSGVMHGKSSLVYYDEKGEDGLLAGLPNPFLAGRYHSLVIEKESFPDDELEVTAWTEDGLIMAARHKKYRHMQGVQFHPESIITPDGKTIVHNFVKLIEKKEAARS >RHN56395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30549980:30551437:-1 gene:gene31787 transcript:rna31787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructose-bisphosphate aldolase transcription factor GRAS family MQNNNTNNGGGGMDELLAVLGYKVRSSSDMADVAEKLEQEGINHLSSDTVDELIANAAYIGTPGKGILAADESTGTIGKRLASIGVENVESNRRALRELLFTAPGVLQYLSGVILSEETLYQSTTAGKPFVDVLNEAGVLPGIKVDKGTVELAGTDGETTTQGLDGLGARCAKYYEAGARFAKWRAVLKIGPNEPSEHSIHENAYGLARYAVICQENGLVPIVEPEILVDGPHDIQKCAAVTEKVLAACYKA >RHN77813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9566789:9569827:1 gene:gene1306 transcript:rna1306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MQKTTMKNMKRHSSESSLRRVDSPVGAVTKLENVHRRRLKIRRMKYSCQAKIHVGNGSDDGDLKTENARREIHESVEISLSLATSSSSEEDDQLSKQNGEVKHYQQQQRPLSYGSVSTIGCRKEMEDAVSMEIGFTMKDGEKCDFFGVYDGHGGAQVAVSCRERLYRIVAEEVEMFWEDREWDWERVMEGCFGKMDREVAGDATVRTVGSTAVVAVVVKEEIVVANCGDSRAVLGRGGEVVELSSDHKPNRPDELMRIEEAGGRVINWNGHRVLGVLATSRSIGDQYLRPYVISKPEVTVTKRTNEDEFLILASDGLWGVMSSEIACQVVRKCFKGQIRRVCHGNENQSNRAAEAATLLSEIALAKGSRDNTSVIVVDLRGTLKSS >RHN49286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53817247:53819839:-1 gene:gene44101 transcript:rna44101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MGSFRLFNFNLTTTTSMFVNRTFPLSVSLKNKTRTNCRGREDGPLSTSSAYAVLGVQPDCSAAEIKAAFRSKVKQFHPDLNRDENETYSDVMIRRVIQAYQILSNYTPSQIIETECLDPFGRPECEAFDLFVNEFLCVGKACSNSCVERAPHAFTFVSSTGTARASSQGHGEDYQVQCAVGQCPRSCIHYVTPSQRIILEELLNSIIDAPYDTSAEADLLYSLITKAKFENNRYQKPKKKTKFSTQHVDWF >RHN46988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36302649:36304103:-1 gene:gene41532 transcript:rna41532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EGF-like domain-containing protein MHDRCKTGLCNTTGACICKFPDPSTILDGDRSFLGGKFCDEEMIMCDGTNSFSCEHGAICEEIVQGEKYSCKCPSGFAGEHCEQAGVPCGQIFCFHHAQCLDEAGYVCQCPSDWKGSDDCSIPTTSTGSYSLILTTHNSVLMLIAFEKYSFRNRVFLN >RHN39181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4719251:4726739:-1 gene:gene45183 transcript:rna45183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MHKFITDYMERHPPRMVNLLLKAYLLLALVPHICISQANSAHLDKYVSREISNNEKPGFISIDCGIKIGYLHEETGIWYDSDKGFVDTGENHETSSSLNLNYPSFGKPLMTLRCFPEGDRNCYTLKPKQGKNKNYLLRAFFSYGNYDGNNQTQSFDLYLGLDLWRPINISPLDPYKYTEIIHTPSTDTIQVCLIKTGPTIPCIASLELRLLNNSTYQTHQITSTDVPRPRLKLQARPDVGSLSCLKLMTTRYGDDVYDRIWYCDPDLLHPYNDWYPLNLDESINMELETNNNAYKLPDEVLRSAVQPGNVSHTLGFTYADLGPRSPLIDRNSEYYVYFHFTEIVKLSDGKKRKINITLNSQSVLSEPLVLDYLKPITLNFKTKGDVWFNISATSDSDAPPILNAFEIHKLITPVVSPTDDRDVGTIMDIKSSYQINKLSWQGDPCLPKIFTWEGLVCKGDTIPRITSLNLSSSKLAGEINISFSYLTELESLDLSHNELEGHLPEFLAHLPKLKVLNLTGNRLSGPIPKDLKRMAHTTLQLSVDDNPDLCIKGSCKNKNIVVPIIGSLSGLVVILLISLAFWRFRRQKVGHSNSKKRGSLESTHEAFSYTEILNITNNFKTTIGEGGFGKVYLGILQNKTQVAVKMLSPSSMQGYKEFQSEAQLLAIVHHRNLVSLIGYCDEGEIKALIYEYMANGNLQQHLFVENSNILNWNERLNIAVDAAQGLDYMHNGCKPPILHRDLKPSNILLDDNMHAKISDFGLSRAFGNDVDSHISTGPAGTLGYADPEYQRTGNTNKKNDIYSFGIILFELITGQKALTKASGENLHILEWVIPIVEGGDIQNVVDSRLQGEFSINSAWKVVEIAMSCTSPDVVERPDMSEILVELKECLSLDMVQRNCGGTRAMDELVSVATISESTISAR >RHN41694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32143608:32143898:1 gene:gene48044 transcript:rna48044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAKAVEKKVAEKRPTKKKDTEKKIPKEGETWKKRSKKSIETYKIYIFKVLKQVHPAIGVSSKAMGVINSFVNDIFKKLAQELLRLVRYYIDTNFNI >RHN53947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5624177:5625523:1 gene:gene28922 transcript:rna28922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MNKNQIPVVISPCSEFPTPNNPLLHFNNANCMEQLLIHCANAIESNNATLAQQILWVLNNTTPSDDDSNQRLAGSFIRALTTRATRSCSCNIPEAVTRTANSYNYHHNLAIQTHKFSVIELANFIDLTPWHRFGYTAANSAILEATKGFTVIHIVNLSLTHCMQIPTLIDAIANHHEVPPLIKLTVAVNNCRNKNINHIPIPPMLDLSYDELGSKLVNFAKTRNVIMEFKAVSSTYSDGFASLIEHLRVQVQHYNKSHEALVINCHMMLHYIPDETLFTINDTNSNMNLNLNSCSSLRSLFLEAVRSLDPTIVVVVDEDADLTSSSLVSRLKSAFNYFWIPFDTVDTFLPRGSKQRQWCEAEICWKVENVIAYEGIQRVERVESKTKWEKRMRNARFQGVCFSEDSVLEVKAMLDEHAVGWGLKKEDEFLVLTWKGHNVIFASAWLPS >RHN40984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25399057:25401206:-1 gene:gene47247 transcript:rna47247 gene_biotype:protein_coding transcript_biotype:protein_coding MILHNMDLIRNLSFFERFSEISRLRRGESVVIDGTPISAEEDVGRADVEEDDSITGSDVVLYGPAYSVRALVGEVNGDADFTTGAGSGSWCCGGLVARGGRVVDSDLGELWVGFVCGVIGVLHFFGFLDKKREKRGVF >RHN52040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30738642:30743345:1 gene:gene36654 transcript:rna36654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase, trichome birefringence-like family MKGVIWRVASPNGSSNLKPLSRIIFSIGVVAVFITFASWFFLSYPIGSTVHGYFNGVESSQTSGLSVSQKINTTSVDLHKNSSLDLVDNKTVIDLQPSIVSSGVLSDNSSKIEQIDTNSNSQLPLSESASPKIVPVTKEASALETSDSAPKEPIPMASTNSSIMKGCDLYHGNWIYDSSGPLYTNKSCPVLTQTQNCQGNGRPDKDYENWRWKPFQCDIPRFDPRKFLDLMRGKTLAFIGDSVARNQMESMMCILWQVEEPKNQGTRKMQRYYFESTSVTIVRIWSSWLVKHNSEPFDFAPAGVEKIYLESPDEMFMEFLPTFDVVVLSSGHWFTKQSVYILNNEIVGGQLWWPDKSRRAKINSVEAFGISVETILTALVKHPNYTGLTILRSYSPDHYEGGGWNTGGSCTGKVKPLAPGELVENKYLASMYEQQVTGFNRAAKKETDRSKMVLMDITEAFQYRHDGHPGPYRSTDPNKITKRGRNGRPPPQDCLHWCMPGPVDAWNEIVFEIIKREYDSDNTS >RHN82815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56577892:56578994:1 gene:gene7005 transcript:rna7005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase A22B, signal peptide peptidase MRWFSHLVANILILSFFMFPTTNADDNNAACNHETQLVKVKNWVDGKEGDMLNAMTAKFGSILPKLADQSLKSPLISSIPADCCSPSTSKLSGSVAVCVRGNCDYTTKATLSQSVGATAVLMINESNFPTHTSHSFHSNVFHFAYYIVHLSTKSYLSSFSCPRFLPNY >RHN58731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4350580:4351088:-1 gene:gene20670 transcript:rna20670 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVDSKNDQMVLFHFVNPHSRSVYIWDCLSQSSCILMFSRGCARGMTSLFLLLPQSSSTPCLALHVLYFVVISFMLI >RHN56147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28164855:28170718:1 gene:gene31504 transcript:rna31504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAYPHYRSQFGDTTFTKVFVGGLAWETPTDEMRTYFEQFGDILEAVIITDKNTGKSKGYGFVTFRDPESARRACADPNPVIDGRRANCNIASLGRPRPSPPRGRGTYQGGGVGTGAAAAYGGVPAGGPPQMAAGAATAPVMYQPYGYPTYTPEYGYPQATMYNPQIQQAQYYQQLYGPSSSTMGSPYYYGYSMQAAAPRGTFSTPQPHRIQAGPSYLYYPTTPMEGASFSAAAYRPLQQPLIRQPSPTPSPSDSQTQQRTSSETASGVVITSESSNTQGKNNN >RHN73735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19450456:19451319:1 gene:gene9591 transcript:rna9591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAPESRARKKRNIAPAEVMDFKSFRSLGKRPIPDVINLFDEEDEGINIFNFTPKNNSYTKPKTNNLEKGESSNSSITPFVCEICTDTKTMKDAFYISGCSHAYCSDCVVKYINSKLEDNIVNIQCPVLDCEGLLDPQFCRPILSDEVYDRWGLLFCEALFKVSKKFYCPFADCSALLINDRRNPVKNSECPNCNRMFYAQCMAPQHDVCARCKVPRQQGIECSEFEKLNVDRRKKEDDMFMCFAKDTEWRQCPKCRIYVAKSEGSIDMTCRLSFLFNILLVISLFFE >RHN51657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21677356:21678165:1 gene:gene36139 transcript:rna36139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MCCFFLQISNPKKLMAFTTSILAEKKNKILFILGATGTGKTKLSINLGTRYPAEIINSDKIQVYKGLHIVTNKVPESERCSIPHHLLGIIDDPEYDFTMNDFCKNVLESIDLIIGNGRLPIIVGGSNSYIKKLVEEPTIAFLSKYDCFFIWVDVSLPTLFQYVGKRVDEMVESGMVDEIREYYAPGADNSKGIRRAIGVPELDSFFQIEKKNDIDDAQKEKILAEAIRKTKQNTCILVQNQLLKIKNMAQILGSMVYKIDSTEVFEALL >RHN49593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55843265:55843444:1 gene:gene44440 transcript:rna44440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MQSLGTCLDSLDNQMVTACSWDSRIKGEFYHQTTFSISLSIVKNFIQDVQKLVELEPKA >RHN41638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31681608:31682723:1 gene:gene47980 transcript:rna47980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MQVNQNLYGDNRPRLFIYWTADGYDQTGCYNLLCPGFVQTSNKIALGAAISPISTYNGGQFDITLSIYKDSKDGNWWLAFGSDLVGYWPFSLFPFLKDHATNIQFGGEITNSKSGGAHTSTQMGSGHFAEEGFRKASYIRNIQVWGSDNNLVPLSNLKFTAEQPNCYNIQGGIDDNWGNYFYYGGPGRNAKCP >RHN75462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42479795:42484803:-1 gene:gene11677 transcript:rna11677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative parvalbumin MSSYGRYNSPQSYAPSAPELPPPSNYTSQNTNYTQPPPPSYNNYTQPPPPSSNYNHPHNYPPSQVSSSGYPPSSSGYPPSSSSGYSNFPPGTNQDVIRSFQMVDRDRSGFIDDRELQQALSSSFHSFNLRTIRLLMFLFKHPHESLRIGPKEFTELWNCLGHWRGIFERYDKDRSGKIDPLELRDALYGIGYAVPASVLQLLLSKYSDGNNRRVELGFDSFVECGMIIKGLTDKFKDKDKRYSGSATLAYDDFMSMVIPFLVSYD >RHN68633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37308573:37317046:-1 gene:gene17009 transcript:rna17009 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEEMEAMKSEIMARWVGLNLPYHMRLMEIFPGLCGIGTLIVGHFVLVFLLLSSNGVKK >RHN77583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7894654:7895966:1 gene:gene1051 transcript:rna1051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MSSKCSKIRHIVRLRQMLRRWRNKARISSANRAPSDVPSGHVAVCVGANYTRFVVRATYLNHPIFQKLLVQAEEEYGFSNHGPLTIPCDEEFFEEALWFISRSGSNNGSNRFEDFQRRCHVGIRTNVDFWPESRPLLHGLSEKTIW >RHN54748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11837950:11839820:-1 gene:gene29827 transcript:rna29827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MTHSLVLEILNCLYKKIQEFKESELREASAYDAMLQAAKHGNIEFIDAMRKANPDLLWAIDKNKRGIFSHAILNRRKEVFQLIHDASVNGRKEIVRCRVDEFDNTLLHLAGNLGPSFDLHRRSGPALQMQREILWFKEVEKIVHPKCKEAKNSEDKKPHEIFTESHKELVKAGEKWAKETAGSFTLVATLITTIMFAAAFTVPGGNNQDSGIPLFLHDYTFNVFIIADAISLFTSSTSVLLFIGILTARYAEKDFLKSLPLKLLFGLVMLFFSVVSMMVAFCASLAMLLKGHQGVIITAISFASIPVIVLVPSQLRLFIEIFKSTVLFK >RHN70718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53426605:53427204:-1 gene:gene19330 transcript:rna19330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain-containing protein MMRSDSSLYIYSYQESYDIAIIMSPRRLGHSIPIQEEEDRISALPDSLLIHILSFLPTKNAAVTIILFFSQLILNFDENHKGKKLCRAHWEDPDIVPKCLLSHLTKCSLKIDSSLSWKFQFAKYIMQNSRALSTMTIQCAKYLDTDAKHQMFMELSLCARNSAVCQLLFICILPQVLLFLDFQTVVFGFPSFIIYRSCY >RHN54465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9824593:9828531:-1 gene:gene29515 transcript:rna29515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MIHPNTILSLLPKCKTLLQFKTSHALILTTPTTINTKIIPLSKLIDFCVDSHFDEYFNYANLLFRQIHSPNVYIFNSMIKGYAKSNNPTMSLHLYKQMLQNGYSPDHFTFPFVLKACSFIYDQVSGKCVHSCILKSGFEANVYVATGLLNMYVECKNMESGLKVFDKIPKWNVVAWTCLINGYVINDQPREALEVFKEMGRWGVEANEVTMVNALIACARCRDVDTGRWVHERVCKAGYDPFVFASNSNVILATAIVEMYAKCGWLNVARELFNKMPERNIVAWNCMINAYNQYERYNEALGLFFYMLANGFCPDKATFLSVLSVCARRCVLALGETVHAYLLKSNMAKDIALATALLDMYAKNGELGSAQKIFNNSLEKKDVVMWTSMINALAIHGHGNEALSLFQIMQEDSSLVPDHITYIGVLFACSHVGLVEEAQKQFNLMTKSYGILPEKEHYSCMVDLLSRAGHFREAEKLMETMSIRPNIAIWGALLNGCQIHENIPVASQVKVRLAELEPIQSGIYALLSNIYANSGKWEEVNRTRKMMKHKRIAKTIGHSSVEMKLLTL >RHN76277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49100560:49106143:-1 gene:gene12575 transcript:rna12575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MWKVPSSLVLLLLLQLACSLICSVIASVSYDSKAITINGQSRILISGSIHYPRSTPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPSPGKYYFEGNYDLVKFIKLVQQAGLYVHLRIGPYVCAEWNFGGFPVWLKYIPGISFRTDNEPFKFQMQKFTEKIVDMMKADRLFESQGGPIIMSQIENEYGPMEYEIGAPGKSYTKWAADMAVGLGTGVPWIMCKQDDAPDPVINTCNGFYCDYFSPNKDYKPKMWTEAWTGWFTEFGGPVPHRPAEDMAFSVARFIQKGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLQQPKWGHLKDLHRAIKLSEPALISGDPTVTRIGNYQEAHVFKSKSGACAAFLGNYNPKAFATVAFGNMHYNLPPWSISILPDCKNTVYNTARVGSQSAQMKMTRVPIHGGLSWQVFTEQTASTDDSSFTMTGLLEQLNTTRDLTDYLWYSTDVVIDPNEGFLRSGKDPVLTVLSAGHALHVFINSQLSGTIYGSLEFPKLTFSQNVKLIPGVNKISLLSVAVGLPNVGPHFETWNAGVLGPITLNGLDEGRRDLSWQKWSYKVGLHGEALSLHSLGGSSSVEWVQGSLVSRMQPLTWYKTTFDAPDGIAPFALDMGSMGKGQVWLNGQNLGRYWPAYKASGTCDNCDYAGTYNENKCRSNCGEASQRWYHVPHSWLIPTGNLLVVFEELGGDPNGIFLVRRDIDSVCADIYEWQPNLISYQMQTSGKTNKPVRPKAHLSCGPGQKISSIKFASFGTPVGSCGNFHEGSCHAHKSYNTFEKNCVGQNSCKVTVSPENFGGDPCPNVLKKLSVEAICT >RHN68146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33191314:33192868:1 gene:gene16452 transcript:rna16452 gene_biotype:protein_coding transcript_biotype:protein_coding METADHLFTGYDVFGSVCYLICHWLGISFVSSSSISELYVQFTNLAGMPRASHSILKVIWLACTWAIWKDRNHLVFKNAVTNPHNILEKVKLNTFLWLSSSKIQLSFRYHDWWR >RHN58000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43020574:43024993:-1 gene:gene33604 transcript:rna33604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MWTIVRDSTKLSQLLHQCRNIHHQQCLSALDSHSYAHMLQQIIRNGADPIAGKHLHCHILKRGTSLDLFAQNILLNFYVQSNSLQDASKLFDEMPQTNTISFVTLAQGYSRDHQFHQALHFILRIFKEGHEVNPFVFTTLLKLLVSMDLAHLCWTLHACVYKLGHHADAFVGTALIDAYSVRGNVDVARHVFDDICCKDMVSWTGMVACYAENCFYEESLQLFNQMRIMGYKPNNFTISGALKSCLGLEAFNVGKSVHGCALKGCYDHDLFVGIALLELYAKSGEIIDAQRLFEEMPKTDLIPWSLMIARYAQSDRSKEALDLFLRMRQTSVVPNNFTFASVLQACASSVSLDLGKQIHSCVLKFGLNSNVFVSNAIMDVYAKCGEIENSMKLFEELPDRNDVTWNTIIVGYVQLGDGERAMNLFTHMLEHDMQPTEVTYSSVLRASASLAALEPGLQIHSLTIKTMYNKDTVVANSLIDMYAKCGRINDARLTFDKMNKRDEVSWNAMICGYSMHGMSMEALNLFDMMQHTDCKPNKLTFVGVLSACSNAGLLYKGQAHFESMSKDYDIKPCIEHYTCMVWLLGRLGRFDEAMKLIGEIAYQPSVMVWRALLGACVIHKKVDLGRVCAQHVLEMEPHDDATHVLLSNMYATAGRWDNVAFVRKYMQKKKVRKEPGLSWVENQGVVHYFSVGDTSHPDIKLICAMLEWLNKKTRDAGYVPDCNAVLLDVQDDEKERHLWVHSERLALAYGLIRTPLSCSIRIIKNLRICIDCHTVMKLISKVVQREIVIRDINRFHHFRHGVCSCGDYW >RHN59901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14552323:14552788:1 gene:gene22069 transcript:rna22069 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGGEWSRLTCFGWEKKRIAFLTCSQMKEKREEEMRNGG >RHN41659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31847718:31864493:-1 gene:gene48002 transcript:rna48002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-glucan, water dikinase MSHSVFHQTLLCQTSTVAEHQSKRGVIGNSSTLFQSQQSVDKEKKLFLSTNFRGNPSFFVRKRKVAMGSKQTVPRAVLTSNAASQLSEKFNLEGNIELQVNVGSSGSGAATQVDIQLSNTSGSMVLHWGVICESQGKWVLPSRRPDGTQVYKNRALRTHFAKSGSGSLLKIEIDDPAAQAIEFLIVDEAQNKWYKNNGGNFHIKLPVKDKVAQQVSVPEDLVQIQAYIRWERKGKQSYSPEQEKEEYEAARRELLEEVARGTSVQDIRARLTNKSNDVEVKEPNKANAAEVKGSSASKTKTIPDELVQIQAFLRWEKAGKPNYSPEQQLIEFEEARKELQAELEKGASVDEIQKKIAKGEIKTKVSKQLKNKQYFRVDRIQRKKRDLMQLINRNAAKNIDQQLADADQQFVDAPKSLTIIERYANAKEEEYDTDSVLNKKTFKLADKNILVLITKDGGKIKVHLATDYKTPAIVHWALSRTTPGEWLAPPASSLPPGSVIMDKAAETPFKAGSSSDPFSEVQSLDIEVSDDTFRGLTFVILSDGKWLKNSGSDFSIEFGGKKQIQKDVGDGKGTAKFLLDKIADVESEAQKSFMHRFNIAADLMDQAKNAGQLGLAGILVWMRFMATRQLIWNKNYNVKPREISQAQDRLTELLQNIYASYPEYREVVRMILSTVGRGGEGDVGQRIRDEILVIQSKNGCKGGMMEEWHQKLHNNTSPDDVVICQALIDYISSDFDIGVYWKTLNDNGITKERLLSYDRAIRNEPNFSRDQKEGLLRDLGHYMRTLKAVHSGADLESAITNCLGYKSEGQGFMVGVQINPVPGLPSGFSDLVQFVMEHVEEKNVEPLIEGLLEARQDLRPLLLNKSQGRLKDLLFLDIALDSAVRTAVERGYEELNNAGPEKIMYFICLVLENLALSSDDNEDLIYCLKGWNLALSMCKGKDTHWALYAKSVLDRTRLALTNKAESYQKILQPSAEYLGSLLGVENWAVEIFTEEIIRAGSAASLSTLVNRLDPVLRKTANLGSWQVISPVETVGYVEVVDELLAVQNKTYERPTILIAKSVRGEEEIPDGTVAVLTPDMPDVLSHVSVRARNSKVCFATCFDPIIFAELQANKGKLLRLKPTSAEVVYSEVKEGENIDDKSTDLKEVDSIPSLSLVKKQFSGRYAISSEEFTGEMVGAKSRNISYLKGKVPSWVGIPTSVAIPFGVFEHVLSDKSNQAVAEKIDILKKKLTEGDFSVLKEIRETVLQLNAPPKLIEELKTTMKGSGMPWPGDEGEKRWGQAWTAIKKVWGSKWNERAYFSTRKVKLDHDYLSMSVLVQEVINADYAFVIHTTNPTSGDSSEIYTEVVKGLGETLVGAYPGRALSFICKKHDLNSPQVLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVLDYSSDKLMTDGSFRQSILSSIASAGHAIEELYGTPQDIEGVVKDGKIYVVQTRPQV >RHN69378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43006336:43007226:1 gene:gene17837 transcript:rna17837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MKLLSGCPILEELEAKDLIITTRGVENLSIDLCHKSKTTLPSLVLTNKTLTVLKLKRVTLNEEVPFVDLPSLKVLHLKSVSYTYSDYILKLLSVCPILEELEAKDVILTALCKVIRTAREVLNLSNLVRANISKGSIEFDWLYNVSHLRIRETSPCYLHGMFHNLTHLELILDFCELASFKWNWLMEQFQYFPKLQTLIIHDDDDIVNSSDDDEDWEDPTIVPECLLSHLRTCSLINYSRINCEFQFAKYIMQNSRVLRTMTIQSAKSLECNTKHQMFMELSLCPKVSATCQLLFI >RHN76881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2082520:2083144:-1 gene:gene266 transcript:rna266 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSTKSKYHAMTSTTAKKKYGFVGYFMNQFLCIVITRFALSFPHFNLSWMLQTH >RHN55414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18086939:18089960:-1 gene:gene30591 transcript:rna30591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-alcohol oxidase, FAD/NAD(P)-binding domain-containing protein MELPQIKHFHKPLIVVFLLLIVLANCGFSFPSEPQGKRQWHMTSDVKEVAGKSYDYIIVGGGTCGCPLAATLSEKFSVLLIERGGSPYGNPLVIDRRYYGFPLIQKDNNHMSVAQRFTSEEGVSNVRGRVLGGSSAINGGFYSRASDEFVDKVGWDKKLVKEAYEWVESKVVFPPFFLTPWQSVAEFSLLETGILPYNGYSLEHIRGTKISGSVFDGFGKRHTSADLLEAGNPKNLTVLVNATVKKIIFHYNGDKNETRAKGIKFIKSNGSLDETYEAFIKKPNHSTSRGDVILSAGALGSPQLLLLSGIGPKEQLKKFNIPLVHEMKQVGQGMQDNPCIAILVDSKPENRLPDPPQIAGITEDLKIIVEASILPLSINESRVNIAAKIAMPLSKGYLELNNTDPRLNPTVKFNYLENENDMQECIKMTKLLNKIARSKSIAFFLGESQQSKLVSTEFDLRKFCKKNVRTIYHYHGGCNVGTVLDKDYKVHGIKGLKVLDGSTFSESPGTNPMATLLMLGRYQGIKILQQRETESELNIKENR >RHN70842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54400442:54408099:1 gene:gene19469 transcript:rna19469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GAT domain-containing protein MVNPLVERATSDFLIGPDWALNLEICDVLNRDPGQAKHIVRGLKKRIGHRNSKIQILALTLLETVIKNCGDIVHMHVAEREVLHEMVKIVKKKPDYHVREKILALIDTWQEAFGGPRAKYPQYYAAYQELLHAGAPFPSRSEQSAPVFTPVQTQPLGSYPQNIRDSDSQQPEAESSVEAEFPTLSLTEIQNARGIMDVLAEMLTALEPSSNKEGLRQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLALNDDLQRVLSKHESISSGAAVQNHKHESISSGAAVQNHTEKPNPEPSGALIDVDGPLIDTGDTSKQTDARSSSSAEAGSQTFNQLLLPAPSTSNGSAPPVKVDPKWDLLSGEEYNSPKADNSLALVPVEQQPASPVSQQNALVPFDLFSNGNIVPTSVNTQLIAPQFQQQTIISQGVFYPNGSMPNVGSPRYEQSIYTQNTGPAWNGQVVQQQQQQPPSPVYGAQSGGSFPPPPWEIQPADNGSPVAGSQYPQPLQVTVHVQTAALPQGPQATGYDQAAGMYMQPNASHFSSINNQVQSNQFGLQPPYIQGVAGPYMGMVPHQMQNGPVASMYPQQMYGNQFMGYGYGQQQQQGPQYIEQQMYGLSVRDDNASRNPYQVSAASYAPSGKPSKPEDKLFGDLIDFSKVKPKSTPGPS >RHN56661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32658958:32659966:1 gene:gene32098 transcript:rna32098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MGLQLAQTLNIVDVVCYSDSLHYVNLINGPSVVYHAYATLIQDIKDLIRLSKLHTLREGNRCADFLAKLGASVDSTLPIHATPLWIASSLKG >RHN39855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11093757:11097834:-1 gene:gene45933 transcript:rna45933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MDVKVARSSRTEQVIGKTCFYWLAGKCNRNPCRFLHSVAPSTASCNAANAGYHNAARKRHYPSQGETTSYPSSCRADTGYYNAAKKHHSSYVYEKPLPKHNTKAASYVYEKPLPKPNANTVLNRKIGDNKDTTQVVEASLSKHNTKTILNRKTGDDKASTEVAEASLPKHNTEPVLNRDGTEVDEVSQKPSLSICKYWVNDSCVHGDQCQNLHSWFYGDGFSSIAKLEGHKKLITGMTIPDGSDKLYSGSTDGTLRTWDCRTGQCVDVTNLGAEVTCLISEGPWIFVGMKDIVKAWHISIAAPFTLDGPKGQVHAMIVAKDTHTLLAGAEDGVISAWRGSSEANSPFKLVASLCGHTKSVVCLAVGGSKMLYSGSKDQTIKIWDLDTFECTMTLNAHTDAVTSLICWDKFLLSGSSDCTIKVWFQNEAGTLEVAYSHNVENGVVTLSGMTDPENKPIIFCSAGDNSVHLYELPSFAERGRLFAKEEVGLVDIAPGGLFFTGERTGLLTVWKWLEEYKVVASS >RHN68160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33269649:33270739:1 gene:gene16471 transcript:rna16471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MQMDAFTEIAKDESTRKPNWLELPIELTKNILWWNVCKDIQMRLAINMSNISPFPFDFRCLEKICRYAIDLGCDQVEDIDIELFGTDDFLNYMVGRASHLRRLQLFNCNEVSETVLIGSVENFSLLEELDISFNEFSDNSLEVIGRCCPLLKSLHFERVSYSGYDAAFSVGKTMPGLRHLSFSGIHFLDDNGLLAILNGCPLLESLDLRKYILPRLSQNWEKDAMSDSRIYDFQSSFLKMIWMLIMSIS >RHN80972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42353053:42354800:1 gene:gene4959 transcript:rna4959 gene_biotype:protein_coding transcript_biotype:protein_coding MWWWCVESCSPHHRRTLSCVLCVCRGCCRRLMAFSCFSGCYSKGLERRRTVLAAAVNHAPSVLLCGVGRRCCVVRLGLLFLFRVSFVEWGSCLFRASFGYCSFFMVVQASVRFPNLPFVVGLWSVAFLRSAKGRAVVVDPVSG >RHN52253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33099316:33105039:1 gene:gene36906 transcript:rna36906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting protein 26 related protein MSVHLKLSRPNRIYRPSELLEGKIIVKTQSSISHYGIRLTIKGSVNLQVRGGSAGVVESFYGVIKPIPIVKRTVEVQSSRKIGSGTTEIPFSLNLRQDEDLERFYETFHGADISIQYLLTVDISRGYLHKSLSATTEFIIESDKGDLLQRPIPPEMVIFYITQDTQRHPLLPELKSGGFRVTGKICTQCSLSSPLNGELTVERSAVPIQSIDIQLFRVESILHGEKIVTETSLIQTTQIADGDVCRNLTLPIYVILPRLLTCPTIFAGPFSIEFKVAVVITFQSELSKLQKKSDSRTPRLWLAMEMLPLELVRTM >RHN59985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16108171:16111166:-1 gene:gene22163 transcript:rna22163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase Rpc34 MKALMNKSMVKELPSIKNKGIKIYMGTEFEPSKDITGGHFYSEGSLDTVFIDALKKTCLQCISLQRVSTCDGCLEWVKKSGVFTTQVTGDQIEEILQTLVLDDEIMQMTSTGYGDFASIPVGKTCYICKSKGEKKSVDSTPFPCFSCQRMSFCSTDGVVSPTTCVYYQKWLDF >RHN62323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42621481:42622626:-1 gene:gene24885 transcript:rna24885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAPKGEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKESGSASGEKKKKRNKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >RHN73917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21591818:21593283:1 gene:gene9814 transcript:rna9814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain-containing protein MKDKIKEEALRTYLITFSSSYESLSMDQLAKNFDLSLPRIHRIVTKSVMNGELHAGWDQPSGCIVFRNVEHSRVQALAFELTNKYLSLQRVMKELHKQGQAMIGWITIPLLQVACIKTCPILKGFRSFMRNVVNSDKITPMKTKMCGAKEVVINR >RHN67482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27593255:27595022:1 gene:gene15675 transcript:rna15675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MARRNSTLPIRFFKIILQTNLHRIQIPNKFTRRYGVHLSNPVLIKPPDGTKWKVYWKKINGEIWFEKGWKYFTENYSLGHGSLVVFEYKRTSKFDVHIFGRNAVEIDYSSCDSDDENDNVDHSDDDESVEILDEWLNWKKAKQRSPFVSPRPHKKVRGDDEKTTKRTSSINWPKDVRAQKVAQNFISNNPFFTILIKPNHIADSQLFVPLDLKGIIENKEKNVLLQIGKKSWNVKLLRYSEILNGRRLSAG >RHN48324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46632810:46637332:1 gene:gene43028 transcript:rna43028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfofructose kinase MSGESLQENFIVVGCGAVGLDLLATVAAFPKPDQKIRSTSFKTQGGGNTGNALTCAARLGLKPRIISKVADDTQGRSILDEFQADGVDTSFIVVSKEGTSPFTYIIVDNQTKSRTCLHTPGFPPMKPDDLPESSILSALNGARIAYLDGRLHETALVVAHEAVKKNIPILMDAERLREGLDDLLKVVDYVVCAAQFPQAWTKAATVPKALISMLLSLPKIKFVIVTLGKDGCIMLERSANEVPSTEEMDVDKLLESLETRKNGSVCIPTCISSSVTKLRAEGIGTICGRLYIGTAENIPPSELIDTTGAGDAFSGAVLYAICANFAPEKMLCFAANVAAAKCRALGARSGLPHRTDQRLASFMQ >RHN70325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50669168:50673623:-1 gene:gene18895 transcript:rna18895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation CHROMO-DOMAIN family MKMVNSKTSSDVSEDSSSSQTEIENHISVSPYDEGEKVFAYHTSCLYEAKVKQIEYKHKRWSFFLHYLGWKKTWDEWVGIDRLMKHTEENMRIKLALDEKYGNDKNARKPRGSSKSSNVARSRKRKNDSLVKEKLAVLPDKLVNIQIPLTLKKQLVDDFEFITHLGKLVKLPRTPNVNDIFKTYFDYRLKKSGSIADSVEEIMKGLSCYFDKALPVMLLYNNERQQYQEACPNDIVPSAIYGAEHLLRLFVKLPELLFHANIEGDTLIELQAQVLDFLRFLRKNQRAFFLSSYHVQEDVENSSDKQVCIPHNIT >RHN55631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20253994:20255988:1 gene:gene30839 transcript:rna30839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MIDLSNNYLEGPIPLSIFNLQTLRFIQLSSNKFNGTVKLDVIRRLSNLTVLGLSYNNILVDVNFKYDHNMSSFPKMRILDLESCKLLQIPSFLKNQSTILSIHMADNNIEGPIPKWIWQLESLVSLNLSHNYFTGLEESFSNFSSNLNTVDLSYNNLQGPIPLVPKYAAYLDYSSNNFSSIIRPDIGNHLPYMTFMFLSNNKFQGQIHDSFCNASSLRLLDLSHNNFVGTIPKCFEALSSSLRVLNFGGNKLRGQIPSSMFPNLCALRFVDLNDNLLGGPIPTSLINCKELQVLNLEKNALTGRFPCFLSKIPTLRIMVLRSNKLHGSIRCPNSTGYWKMLHIVDLACNNFSGMISSALLNSWQAMMRDEDVLGPEFGSLFFEVYDNYHQMGFKDVVRMMEKFCAKQVTQLLLNMSHSDLYQVFSDRTAEHVDLGRYQESIIIVNKGHQMKLVKVQTAFTYVDMSSNYLEGQIPDELMQFKALMALNLSHNALTGHIPSSVENLKHLESMDLSNNSLNGEIPQGLSSLSFLAYMNLSFNHLVGRIPLGTQIQSFDVDSFKGNEGLCGPPLTTNCDDGGVQGLPPPASELSPCHNNSSIDWNFLSVELGFIFGLGIFILPLVCLMKWRLWYSNRADEMLHRFIPQLDFVYEQHEGKRCRSLRWRY >RHN43771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48368323:48371731:-1 gene:gene50393 transcript:rna50393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDDVKDKMKGFIKKVNNPFTSSSSGKFKGQGRVLGSSSSSSTPANSIPTPTHRPSPSQNPNPKPKPTPPIASDQSKIDKPRKPADGFDPFDSLVTSSQRSQNGYSLNVYECPICKQPFRSEDEVTEHVESCLSNPIENDDVDEKCVSKLKESQVSESNTELEICIGTYVSGNPSQGSVDIVLKLLRNIVKEPDNVKFRKIRMGNPKIKEAIGEVIGGVELLSLLGFELKEENGETWALMEVPTEEKVNLIKKAIVLLEPQLVQDTPKRENSTPTVSTEKVAEAEPKKIDRQVKVFFAVSESVAARIELPDSFYKRSAEEVRREAELRRKKIEESQLLIPKSLKEKQAKAAKRRYTRTIIRIQFPDGVVLQGVFAPWEPTTALYEFVSSALKESCLEFELMHPVVVQRRVIPCFPKAGQKAKTIEEEDLVPSALIKFKPLETDSVVFTGLKNELLEISEPLVNG >RHN67351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26359389:26361255:-1 gene:gene15531 transcript:rna15531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MALLVLYLPYIFFFILLTLIVQKIRKKKSYSAYNIPPGPRKLPIIGNIHNLLSSQPHQKLRDLAKKYGPVMHLQLGEVSAMVISSPECASEVMKTHDIHFSSRPQILATEIMSYNSTSIAFAPYGNYWRQLRKICTLELLSLKRVNSYQPIREEVFFNLAKWIASQVGIPINITEAVRSSIYTIVSRAAFGNECKDQDKFISVVKQSIKVAGGFDLGDLFPSAKWLQLVTGMRPKLERFHRQTDQIFENIINEHKEEKYTKAKDDQGKVEEDLIDVLLKYEDGSNQEFTLTKNNIKAIILDIFAAGGETSASTIDWAMVELVKDPRIMKKAQDEVREVFKMKGRVDENCINELNYLKLVVKETLRLHPPAPLLLPRECSQECDINGYHIPIKTKVLVNAWAVARDPNYWTEPERFYPERFIDSDIDYKGSNFEYIPFGAGRRICPGSTFGLTNIELVLAMLLYHFDWKLPSGITGEEMDMTEEFGLTVRRKDDLLLCPFVYNPLQGT >RHN65606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3699231:3710474:1 gene:gene13461 transcript:rna13461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BRCT domain-containing protein MATQTLRPPYFSEDVAWVPSWLQNLRNDGFNEYVKDSQAPSNQAEKDLAPSPKYGIDVKDVDVLSREEGGYRSCHLFLSGSDSSSLSVAPSPGNVFQFSLHLSSDVDSLFCSTQDLNQSHHAVAPSKALSLQPAQTSVDFGENMHSMTGNQSLHAVAPSEALSLQSAQTSVDFGENMHSMTGNHACEQDVMATFIPETKKKNSLKSLIHTFDSVKQQKEKPKDECFESNDISDAVELSIAASEALVIHDLVKMESVSETLSTEAVLEIALRVKQARLEGLEDGFFSSSMESDCSDSLSDLNDFLMEDAYADIGLPVGVSCGENLSNSNVFHAKGVSGDENYNEGNNKHNDRELTSQLAKFDDKSEQKKCEVNVEAEAQRIPDSPPHSLCGERVMHSDHPDLAANTPIHFVNDLLTSNQFKEKNNTNDLPLSKKDDLAMADFTSIKPQHSVNSSLFQTSENFKDVKNENWAAYLAPERFRSRWLGGWTSKELDSPSLPDSKKASPSLNLNNEKRIPKFLVRETSFLTESADIIPDENSRLLKHDPKCVRETSFLTESVDIVPDESSCVLKHDPKRAISSQLSMHCEGSHNKPDEGVLHSQDMVGCSSLSLNDPLCSVVPCSFASEHDNYKTHIDKENDIEYLAPLVSDFEVDNCQRISDKNTTFDCRDEKITSIIDGKDILLTSTEVVEQMSEKLTRVEHTCLKTYSMILQNQDVNQNCNSTPLSTNQSDIAAASLGTRVSESPSAFEHSNENKNEVNHQHLIDQKSIIQITDDKSDELKASELTHERRSPINLNHRTRHRLLGPKTSVNDISIEKNINQYVVAETVVQHQQNNNLIKVQVECNKSVDGHVKVRKQVHFSDKVEELHQKRKLSKLESSDKKCSSIRAKRQRVSKTLTTSAPHMKHSLTDYCRGARNEFIFRGREFLLTGLSSQKERELEALIRNSGGVILYDIPSPPNSKGKRSSTLSCLQLPIILCNKKLQTAKFLYGCAVGASILRVDWLTDCLASGTILLPEKYMILPNRNDMKWTRSGKAVHRRNQKHIFERVGIMLHGKHSFCTKFACIIKHGGGRAFKTLQWLVRSTDEERTLMGAIVVEEKATISRHLKSCALERDIPIMTSSWIIKSLYSGNLIPLTEENSTLSLRSVQVPEVRNDVDMSEEI >RHN40011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12267659:12270494:-1 gene:gene46100 transcript:rna46100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MHDLLQEMGRQIVREESIKNPGQRSRLWNASEICDVLTNNNGTSAVESICLDMDQITRINLSSKAFTKMPNLRLLAFKYHNRDVKGINYVHLPEGLDFLPNNLRSFEWSAYPLNYLPSNFSPWNLVELHLPYSNLEKLWNGTQNLPSLERIDLRWSAHLIECPKFSNAPNLYGIDLGNCESISHVDPSIFNLPKLEWLDVSGCKSLESLYSSTRSQSQASLLADRCYNLQEFISMPQNNNDPSITTTWIYFSSHISESLVDLPENFAYNIEFSGSTMNEQDTFTTLHKVLPSPCFRYVKSLTFYDCNNISEIPDSISLLSLLESLYLIGCPIISLPESINCLPRLMFLEARYCKMLQSIPSLPQSIQWFYVWYCKSLHNVLNSTNQQTKKHQNKSTFLLPNCIELDRHSFVSILKDAIARIELGAKPLLPADVLENKEEAASDNNDDDGYNDLHDDSYIWDTLIKGKICYMLPAGNFKNGDWFHYHSTQTLVSIELPPSDHLGFIFYLVFSQVCIGDGASLGCDCYLETTCGECISIKSFFLRESVMFNPFFSITIRSDHLFLWYDKQCCEQIMEAIKEIKANDMSAIHNPKLTFKFFAARTEENMEAAIKECGFRWIYSSEGQVVEEEEGCESETSKETHTVEGSKSDEQEETVPPAMNFQQSVYGTLPNLETAETEDLRGVLEELLHIGFGGDLML >RHN62003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39987422:39993385:-1 gene:gene24523 transcript:rna24523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MEVRSSEGNQVRYYDKLLQAAPLIPRSRFRVWFIRICSTIVLWTCLVQLVTVSELWHSNFFTGFTSRIYHTTQRPPQGDVRFAQSPPILLPARNYTSNGFLRVSCNGGLNQMRAAICDMVTIARLLNLTLVVPELDKKSFWADRSGFEDIFDVRHFIDSLRDEVRIVKRVPKKFTRKSGYSTLEMPPVSWSNEKYYLEQILPLFAKHMVVHFNKTDARLANNGLPIDLQKLRCRVNYQALKFTPQIENLGQKLVQILRERGPFVALHLRYEMDMLAFSGCTLGCTHEEAEDLKRMRYAFPSWREKEIVSEERRSQGLCPLTPEEAALVMQALGFDRETQIYIAAGEIYGGERRLAQLRAAFPKIVKKEVLVALDELQQFQNHSSQMAALDFMVSVAGDTFIPTYDGNMAKLVEGHRRYSGFKKSILLDRKKLVQLLDMHQNGTLPWNEFVDVVRQVHEKRMGQPTYRRIIVDKPKEEDYFYANPHECLCEGTKCDDLLGPHNSTRVR >RHN49720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:589550:590306:-1 gene:gene33927 transcript:rna33927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oleosin MAQPQVQVHTTATTRTYETGTYPSQQQHLRSKEGVDYPYYHRSNDGGGFTSLFPERGPSASQILASLGGLFLGGTLLLLASFSFFASLIGLAIMTPLFILFSPVLIPAALTIGLAVAGILTADACGLTGLMSLSWTARYIRDLHAAVPGQMDVKGRMADVVDYVGQKTKDVGQKTKEVGQDIQTKAHEAKRTT >RHN77503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7270607:7275169:1 gene:gene967 transcript:rna967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol-pentakisphosphate 2-kinase MESLLIQHIITPLHAVHLNQTTPDHRRTQHKMELTLTEEDAAHWVYRGEGAANLVLSYTGSSPSYIGKVMRIRKSPRKESALQGVRNTIALTPHERLIWKDVPELISSSDKEIAGQLYVEHVMKPLLGSKYVDAGTHILVTKEFLETVERNVASQRPAGRVDVSRVDTQCDFGLLMSDHSIFPQGSQGSIPSLSVEIKPKCGFLPTSRFISEETAIKRRKTRFEMHQALKLQHGEISQRSVYNPLDLFSGSKERIHKAVKDLFTTPQNNFRVFLNGSLILGGLGGGAESTDASIAKVLEDELQSVIQADDGQCTENLFTLVTEAVQKSGVLDKLLEVQKLDNIDIEGVIHAYYNITSQQSMARKALDEEQAKKYASLHSASLEESLRIVKDYLIAATAKDCSLMICFRPRKEEGSGSAYDTVYLESTKQAFDFKVHFIDLDLKRLSKVEDYYKLDKKIVNCYKEMSKLDHGRNGDANL >RHN72022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4423602:4425945:1 gene:gene7689 transcript:rna7689 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEDYRFSRVPLLVGLYSLMNDSFVLYLESLVYLQLFESRNTIILGYTNFGYVVSQILLDGICL >RHN70421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51346028:51348086:1 gene:gene19000 transcript:rna19000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MIKIPNLKIPEWNTTQYEEVTFSNHFISNDIDIHTSCMTEDFDLPIHVFSTDQFRMFEFKVRKCQRGRSHDWTDCPYSHPGEKARRRDPQKYNYSGNPCPEFRKLGNCTKGDSCHFAHGVFECWLHPSRYRTQLCNDGTLCRRRVCFFAHTIDQLRVSNNASPESFVSSPTSVLDSSPRKSRYGVPPVNVRELVGFMRSVRVDEWSPVSKMGSVFGSPRPRGGFLSLPSNYEGVGMERVESGRDLRAKIYEKFGRLNSNDGVVSVPVPDIGWVAELVN >RHN45129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12015408:12018812:1 gene:gene39339 transcript:rna39339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MGLSEVFVVFFFFLSCVKCVELKDSSPSCSFPAIYNFGDSNSDTGGISAAFVPIPSPYGQGFFHKPFGRDSDGRVILDFIAEKLKLPYLSAYLNSLGTNYRHGANFATGGSTIRKQNETIFQYGISPFSLDIQIVQFNQFKARTKQLYQEANNSLERSKLPVPEEFAKALYTFDIGQNDLSVGFRKMNFDQIRETMPDIVNQLASAVKNIYEQGGRSFWIHNTGPIGCMPVNLFYKHDVPAGYFDQYGCVKDQNTIAVEFNKKLKDRVVKLRTELPEAAITYVDVYAAKYGLISNTKNEGFVDPMKICCGYHVNDTHIWCGNLGTANGKDVYGTSCEKPSMYVSWDGVHYAEAANHWVADRILNGTFTDPATPILQACYRH >RHN64600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60308339:60312460:1 gene:gene27428 transcript:rna27428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MVWFQCEDCGDNLKKPKLPNHFRSCPANKLSCIDCGQMFGRDTVQNHTQCITEAEKYGPKGQGKTLNAAASKPIKDGKQRPVVDINVGLSERPPWFCSLCNTKATSKQALLLHAEGKKHGAKARMFHASKQPPVQTDNSAPDAKDAVETASNGMSKDDKIAEQPKLHESSEQNNLKPGNEVSSEKKKRKREALEGDVIKKSKNDTSVDAENGEVIQGEKARERKIKWKKFIKAALKSHPDGLKMKKLRKVVFKALKESSIVVNENELSEAIEQKINSSSNFAVENKHVRLVVKD >RHN44096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1596238:1598981:-1 gene:gene38155 transcript:rna38155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MWMLFFPTCGLIVGTQSTPTVTSQLQMEANAILNSGWWNVYDARFIIRDRCNWKAITCNEAGSIIAIDISNDDYEEVAWGNEFQTRNLSNLNLSCFNNLETLVIWSVKLHGTIPKEIGHLSKLTHLDLSGNYLKGELPPELWLLKNLTFLYLSYNRFKGEIPSSLGNLKQLQELDISHNNIQGSIPLELGFLKNLTILDLSYNRFKGEIPSSLGNLKQLQQLNISHNNIQGSIPHELRFLKILSTLDLSHNRLNGNLPIFLSNLTQLEYLDISHNFLIGSLPSNRFPYNNNLLSMDLSHNLISGQIPSYIDYIYNLNLSNNNLTGTIPQSLCDVNYVDISYNCLEGPIPNCPGLYTTNSENYDVCPFNQFQPWSPHKKNNKLKHIVVIVIPILIILVIVFLLLVCLNRHHDSSEKLHGNSTKTKNGDMFCIWNYDGKIAYDDIIKATEDFDMRYCIGTGAYGSVYKAQLPCGKVVALKKLHGYEAEVPSFDESFRNEVRILSEIKHRHIVKLYGFCLHKRIMFLIYQYMERGSLFSVLYDDVEAVEFKWRKRVNTVKGVAFALSYLHHDCTAPIVHRDVSTSNILLNSEWQASVSDFGTARLLQYDSSNRTIVSGTIGYIAPELAYTMAVNEKCDVYSFGVVTLETLVGRHPGDLLSSLQSTSTRSVKLRQVLDQRLPLPNNDIVIRDIIHVSLVAFACLNGNPRSRPTMKRVSQSFVTELTPFSIPLSEISVEQLMSEELKALFYIGNS >RHN47442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39778066:39781323:-1 gene:gene42045 transcript:rna42045 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGTCRELSQRRDFRVQSLVLTVMQKTKAPATFFSLAALLYQSAEAGYFGISSVCC >RHN81737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48584308:48588766:1 gene:gene5822 transcript:rna5822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSTPLERYQKLSLTESLPKSYRYPIACKELSFILREAFHKFPKNLQTIIFQDTLSAFRLLPQMETQSAVSAVHLLFQSVEAALPKQKKNMAVTEFKQAMIAHKRRSKAHQVEKGSLQLPEDILVQIFSLLDMRSLVSAGIVSRSWNMAANDNCLWESQYAVLYGDAAKQRPMGPDEDRNDKLLQKPQDTKMITDWKKAVKGAYTGALSRKLAINRGYCECCKTIVWLNNSRCPNVHGGMISEIHDIEPVTSTATTTTKPYPTKWGRLHGSNYAIVFYHKPNLDIEHVTSFQVAEYLLNGSLPMKYYSSDSDSDSDSEGGSLSRLWAYPKQLKQ >RHN50810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10703761:10704441:1 gene:gene35145 transcript:rna35145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 3 MIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHSFQGGATLLSLGLIFILYTMFVWWRDVLRESTLEGHHTKVVQLGPRYGSIPFIVSEVMFLFAYFRASSHSSLAPTVEIGGIWPPKGIGVLDPREIPFLNTPILLSSGAAVTWAHHAILAGKEKRAVYALVATVSLALVLTGFQGMEYYQAPFTISDTFFLATGFHGFHVIIGTLLQSMIGQCILSKKKG >RHN64841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62396730:62396921:-1 gene:gene27707 transcript:rna27707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVGAISSTDVQRHNAGNMNDMISDLPEDVLLDILSLVPTKDAVKTSILVKKWKHLWTRSLTLL >RHN61382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35308689:35311914:1 gene:gene23843 transcript:rna23843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannose-6-phosphate 6-reductase MAITLNSGFKMPIIGLGVWRMEGQAIKDLIINSIKIGYRHFDCAADYKNEAEVGEALKEAFDTGLVKREDLFITTKLWNSDHGHVVEACKDSLKKLQLDYLDLYLVHFPVATRHTGVGTTDSALGEDGVLDIDTTISLETTWHAMEGLVSSGLVRSIGISNYDIFLTRDCLAYSKIKPAVNQIETHPYFQRESLVKFCQKHGICVTAHTPLGGAAANKEWFGTESCLDEQILKGLAEKYKKTAAQISLRWGIQRNTVVIPKTSKLERLKENFQVFDFELSKEDMELISSMDREYRTNQPAKFWGIDLYA >RHN73521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17324781:17328402:-1 gene:gene9343 transcript:rna9343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative feruloyl esterase MIPLSFVFSAVEHHQRIIILNKNGEKLVGILHETGTTNDIVILCHGVQCSKDTELIVNLAVALEKAQISSFRFDFSGCGESKGTYTRDNFWEEVDDLRAVAQHFRESNRVIRAIVGHSKGGDIVLLYASKYHDVKTVVNVSGRFDLNRHIGEGLGIDYLERNRKEGFLDKKKSSECFDYCVTEKSLMDCLGTNMHDECLKIDKYCRVLTVHGSCDELNPIQDAYEFNKIIPNHKLHIIERANHMYDNHQDELTSVVISFIKETIDHNKLMKVVMFSSCIVLFFFCFLFLFVCFRDWRV >RHN54515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10170501:10173562:-1 gene:gene29575 transcript:rna29575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 3-beta-dioxygenase MEETIPVIDLEKISEQSECQKLREACERWGCFRIINHSIPSTLMSDMKIVVQALLDLPMDIKKNNKDVIAGSGYMAPSAVNPLYEALGLYDLGSSQAVHEFCSQLNATPHQREIMEAYGKAIHDLAVKIGQTMAESLGIGSADFEDWPCQFRINKYSFTQESVGSPGVQLHTDSGFLTILQDDENVGGLEVMDSSGSFVSVPPFHGTLLANLGDIAKVWSNGRFYNVKHRVQCKEATTRFSIATFMLGPRKGNVEVPTEAVDHDHPRLYKPFVYDDYRKLRVSKKMHTGEALELLRLA >RHN61150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33475489:33492105:1 gene:gene23584 transcript:rna23584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase PEK-GCN2 family MGNKKKKGGGGGRRNKARTPSSKDHHTSQFRNDDDNNEQLSEEITALCSIFQEDCQIIPKSPPQIVIKLRPYSNDMGYEDVDVSALLVVRCLPGYPFKCPKLQITPEMGLSQTDANKLLSLLLDQANLNAREGRVMIFNLVEAAQEFLSRIEPIAKPTESKFSHSTTEGKDELCPKDMASSNKNRSFVYGFIDLFSGYGESWNWGFGIDEPAVKISSLPSSKLDASKPRIEAREKKSDSKENPFILQELPAKLDTVGEVSEDNNNNSSTLTHSSRSLDGFVGDDNEGEKEYFIVDEYTTEDNKDMSDSESTESLSSVSPHHQASQTIEKDLIMVHMLRLVCASKGTLADSLPQLATELYNLGIFSDSARDMASKPLSLFNKTFDHIFKKHLASSRISQFWTPSSDLGGSNTVQHSSRYLNDFEELRPLGHGGFGHVVLCKNKLDGRQYAMKKIRLKDKSMPDRILREVATLSRLQHQHVVRYYQAWFETGVADSYGDPAGESRTPASSTFSYKAASSNDAIGLENQLESTYLYIQMEYCPRTLRQMFESYNHFDKELAWHLFRQIVEGLAHIHGQGIIHRDLTPSNIFFDARNDIKIGDFGLAKFLKLEQLDQDLAHPADTTGVSIDGTGQVGTYFYTAPEIEQGWPKIDEKADMYSLGVVFFELWHPFGTAMERHVVLSDLKQKAEFPPSWVAEFPEQESLLRQLMSPGPSDRPSATELLQNAFPPRMESELLDDILRTMQKSEDTSIYDKVLNAIFDEEMLSTKHIRQVGRLGSVGDNNSSIQHTDFVTEVRDDVVDVNKEIFRQHCAKHLEISPMRLLDDCPQFNRNAVKLLTHGGAMLELSHELRLPFVNWIISNQISSFKRYEISYVYRRAVGHSSPNRYLQGDFDIIGGTSALTEAEVIKVTKDIVTCFFHEDSCDIHLNHADLLGAIWSWTGIKVEHRLKVAELLSMMGSLRPQSSERKSKWVVIRRQLLQELGLAEAMVNRLQTVGLRFCGSAGQALPRLRGALPSDKRTFKALDELSELASLLRIWRIDKNVYIDALMPPNESYHRDLFFQIYLRKENSSGSLSEGVLLAVGGRYDYLLHQLRSSDYKGNPPTGVGTSLALETIIQNCPVDFKHNRNEASINILVCSRGGGGLLVERMELVAELWLENFKAEFVPVPDPSLTEQYEYANEHDIKCLVIITDADSVKVRHLELKKEKNVERENLVKFLSDAMATQFRNPSIWI >RHN69427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43319250:43320576:1 gene:gene17897 transcript:rna17897 gene_biotype:protein_coding transcript_biotype:protein_coding MHCWLTDTYVALADMATGLIRGTKIKNVFLHRDVIKKRNHQFLNFKNHTLSFFFISLIFLSSSSILPYPSSNLFNSLKTAAIFSNKSTPPQNPKIKSQ >RHN73221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14512932:14515625:1 gene:gene9015 transcript:rna9015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MLKLVEELKVLGFNPSKTSFNLALIAKATINKTLWKDKVDTFKKWGWSEQVIFEAFRRRPECMLVSINKLNLVMNFWVNELGWDALALALDPSVFGISFEKRTIPRAPIVQFLLKKGLRKRTASLTSPFIVPEKLFLDKFIKRFENESSYLLKLYEEKLNLGYTIDKTCTP >RHN50380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6617465:6617875:-1 gene:gene34658 transcript:rna34658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, LIM-type MKQELMRTLSNYVKGKDFTLHESHFFCLCCGVRIKKTYFCTKEEDIGCIFSLCYKTFKGEIIAFNGTSISKKKLEKKRGDNRIQCNQLFFKIFLGNRCSIEFDYLTFRSLVTHIANATNIFFFCIEICSFDADATT >RHN63649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52931711:52932221:1 gene:gene26374 transcript:rna26374 gene_biotype:protein_coding transcript_biotype:protein_coding MCQPIHQFSFELSVFHQLLTHISESEPRHQQEESPEPDLDLVENMYRHILFRSCTTYAGTGRQVMNTR >RHN57263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37666394:37667381:-1 gene:gene32785 transcript:rna32785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycine rich protein MDSKKAILMLGLLAMALISSVMSARDLTETSTDAKKEVVEKTNEVNDAKYGGYNHGGYNHGGGYNGGGYNHGGGYHNGGGYHNGGGGYHNGGGGYNGGGGHGGHGGYNRGGGHGGRGAAESVAVQTEEKTNEVNDARNGGGGGSFNKGGASYNHGRGSYHHG >RHN77956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10831932:10833487:-1 gene:gene1467 transcript:rna1467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSINSSKHSLIFTLFLLFQLTSPITKALAGTPHLINFRSQNLYPESLAWDAKNQHFLTGSLNHRTISSISDAGIVETLISDTSLPENVTVLGITVDSLHNRVLAVIHAVHPLPPYNALAAYDLNTGNRLFLSLLPSDDQPALANDVAVDFKGNAYVTNSIGNFIWKINLQGEPSIFSKSARFTENPVDRDSPCNFCGLNGIAYVNNGYLLVAQSNTGKIFKVDAEDGTVRQILLNNVENLTCPDGVVLRSDGVVLVVSPEANKLWMLKSNNGWSEGVVYDKIDLGDEGYPTSVVARERDRMYVLYGYVKEGVLGSLGRESFRIEEVRSPKESEDENVWIYVMVGFGLAYFFYWRFQMGQLVKNMDKKIN >RHN40454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16456497:16459135:1 gene:gene46606 transcript:rna46606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MSSFKAYGKVDESAQAMFEVRRKSRKRITIICLSTVVLIGIVFAAVFGTIAHNNARNDDNNNNENDNNDQSLSSAIKAVCDVTLYKDSCEKSLSPLVQPGQQIRPEELFKLSIQVALTAVSKGVEYLSDHATFNDLNLNLDNRTKEALINCKDLFGLAVDDLNSSLSYGGKSSLLDVLEDLETWLSASGTYQQTCIDGLEQAEEALKSSITTNLKNSTEFTSNSLAIITWLNKAASSVNFRRLLSLSHQNEEPNWLHHKDRKLLQKDSDLKKKADIVVAKDGSGKYKTISAALKHVPNKSDKRTVIYVKKGIYYENVRVEKTKWNVMIIGDGMNVTIVSGSLNFIDGTPTFSTATFAVFGRNFIARDIGFKNTAGPQKHQAVALMTSADQAVFYKCSMDAYQDTLYAHSNRQFYRECNIYGTVDFIFGNSAVVLQNCNILPRQPMPGQQNTITAQGKTDPNMNTGISIQNCNVSPFGNLSSVKTYLGRPWKNYSTTVFMQSNLGNFISPNGWLPWVGDSAPDTIFYAEFQNVGGGASMKNRVKWKGLKTITSKQANKFTVKAFLNGDRWIPASGATFKSSL >RHN45971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27433270:27434099:1 gene:gene40401 transcript:rna40401 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKNSLEGPEATCNLKKQALMLMVVPLNRYKTLREQIDDHLKKEFDMHVNKLKALEFYKDPEHALEKVVLAEGANQVKEKKRGRKTKNARTRPISCSFDLPFFCYDESIGIERVSMILKRVWIIEKKTFLMF >RHN79301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27337204:27337992:1 gene:gene3076 transcript:rna3076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDKKIIERETHDLMNVESFSQLPFIRPPPTNKEKGIRLFGIEFGGGATEDESSESPETTNNNNNINSFEVDNNNNNKDNNNNENNRRFECHYCCRNFPTSQALGGHQNAHKRERQHAKRQHLQSTMVHATTFSDPHLYHHHHQQQHPLYRFTSSSPSSLSSSPSYPTWNTNSTRFYNHPTTSSYSQQQPINGSPLAFWRIPNGTVQSNPSFNHERPLPLLASEERSNLWHSGQGVPSSSSASHNRYVYDSKRNDHVSLDLHL >RHN68336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34712340:34715788:-1 gene:gene16673 transcript:rna16673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase MARCH MISISQSHDMKILNFGTIENVLHVGQDKSHVVVPVDNIDNVSPRNSTVENVGVGVGVCEKERVSSVSVSECCVELDLESVDNVDDGVKRDCRICHLSMDMRNHDDDQHESGIPIELGCSCKDDLAAAHKQCAEAWFKVKGNKTCEICGSIARNVSGIVEVEMTEQWSEANDASVLPPTRRSPPVENQRLLHRFLNFLLACMVIAFVISWLFRFYLHS >RHN67632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28839231:28841017:1 gene:gene15839 transcript:rna15839 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVGGGPVSLLFFCFVWWCRDGVGGADKCDSIPTAAGVAISLPFMINHPSTDSRIVCHRKDRGGCVCSCWWPWCFGFCLFVRRRVFCGW >RHN79834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32677227:32677646:-1 gene:gene3677 transcript:rna3677 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSMELSEAAEISQKLCSMHQDTRGNVEHAYQCSPTALTIKFTNFDSIRSTTFLNNIFCHFGPLIEWKTELLPKTKGTRVVFQRRCNAETAFTNLATIFGTSLKSFRLKILPNTPKQGTKKRGRKRKIEQSYVDVGDC >RHN58547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2717736:2719875:1 gene:gene20469 transcript:rna20469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glyoxalase/Bleomycin resistance protein/Dihydroxybiphenyl dioxygenase MKESVGNPLHLKSVNHISLICRSVEESIDFYQNVLGFFPIRRPGSFDFDGAWLFGYGIGIHLLEAENPETLPRKKEINPKDNHISFQCESMGAVEKKLKEMEINYVRARVEEGGIEVDQLFFHDPDGFMIEICNCDSLPVIPLVGEVARSCSRLNLHIMQNQNQNQQNQIHKIVK >RHN43976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:429839:430669:1 gene:gene38019 transcript:rna38019 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLQQSLEDKAAAARKRRISLLQNNKNKARVANSKTLQAGTSLTKSTNYTTPPTRRTTVNSKDTTQTASSKVLNVKHTSKINTSNHLPETMSPSCSQVGTKRNANSSGNAVEVMNNKRKLQTTNADALRKLLSNITSSTLNQIPTQHLHQKGASTGKPAVNSQNQSSILQSTIPNYNYNATQHLPRTGFPSSSNLGTKHTIQTSANGANFINNTNQSNTSKTDVVTPTISDLTSSKLNHIPSTRVHHVGNSQCKLSWISISSHFSLRVNMHFTTL >RHN66614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14967573:14985454:-1 gene:gene14641 transcript:rna14641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isopentenyl-diphosphate Delta-isomerase MGEVEERLDVLTKSGEKTGISKPRGEVHRDGDYHRAVHVWIFAESTQQLLLQRRSSCKDSWPDLWDISSAGHISAGDSSFITARRELEEELGVTLPKDAFELIFVFLQECVTNNGKFINNEYNDVFLVTTIDPIPLEAFTLQETEVSAVKYIAYDEYKSLLAKEDSDYVPYDVNGKYGQLFDIIEKRYKENTVARSLTLQKQLSRYAPVTLNVELTGLTNSDKEALVLIVKAATVMDEIFYLQSWYSNPALRDWLKEHADTSELNKLKWSYYQINGSPWSSLDDDEAFLTTADSAIRLLSKATRTVREWKGLEYRAAFPILKPAGANFYPPDMDKMEFNIWNNSLGKDQQKEATSFFTVIKRHSEYILDSGLLNDKVGSSKELYTVPYSQEYKSLLTKAADLLHKAGDISDSTSLKKLLHSKADAFLSNDYYDSDIAWMELDSKLDVTIGPYETYEDKLFGYKATFEAYIGIRDDEATAQLKLFGDNLQLLEQNLPMDSAYKSKSVNAAPIRVIQLLYNSGDVKGPQTLAFNLPNDERIVNDRGTSMVMLKNVSEAKFNRILLPIAGACVVVEQQELVDFESFFTHTICHECCHGIGPHTITLPNGEKSTVRLELQEFHSALEEAKADIVGLWALRFLISQDMLSESLLKSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNWLYEKGAFVLHSEHTFSVDFSKIEGAVEGLSREILTIQAQGDKEAAGLLLQKYGVMTEPLKVALEKLENIQVAVDVAPTFPIADKILQ >RHN59918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14765665:14767157:-1 gene:gene22087 transcript:rna22087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MAIALTQCSEKLGYLIVQLAKNFVVFMVMVIVCNGLHFLLKPYKQPRITSDIIVGLVMGNAPFLRDLYGQFNHTFGFIIDFGMMCYMFALGVEMDPYVLLKKPSRDVQVAYAGIFTTFIIACLTTSLIQFFPNQQHVIGYTLTLATLLSSTASPVLTRLITSLNIGKSDIGKLVITAGMYSDFICSLLLSVGYILMPLDTYCSGIEQKGQIKRAIVMNTVVLGQALFTATFAPVFMKWVDNENPEGKPMKGSHLVLSLAFMVMICASSIVYNYNPILSAFITGICFPREGRVSKWVISKINYMLTMIFFPIFFLWMGYAADIRHFHPGALETWLKLFISIIIAMVGKVAGTVVSGVILGFHWPESVAIGLLLATKGHLHIYMAIKVASILLISLFTISNRNVVFCGTPTQN >RHN48087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44883494:44884512:1 gene:gene42762 transcript:rna42762 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQSLLCPLKKLWLRIHSTNKRRRGLYILYKDVKSCPCEDVQVLWSILVESGAASTLPSKYTR >RHN52000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30043354:30044491:-1 gene:gene36602 transcript:rna36602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQLLLFIYSLIIFLSLFFGEAALERTETTMHNVQPSHFIPCFTAADCPMIDEPHYIECVTGFCWALMRNLH >RHN76549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51155068:51157058:-1 gene:gene12892 transcript:rna12892 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEASDKTNCSTVGDDRNFNFILLPSRSNNISQLDVWNPVSCDDADYDDDDEPLSERLSRMSNLGTCNCAVPLKKRRTSAMPVKRLTSCSNNTSHSNVRNQVSLGTCNRKLPIKKRKRRSNNRNSLHNSVKKSKVLPCDDHDEDKDDTADKLFSSLDKEIDLIKKSFEECKTKKQVEEEILQSIKRDIEECDKELRNKKTQVSCVRKINEIHHRMQGKYKECVMEIAAMEGLIGERKKELAVKEIELNQVKGNISKEIERCQVIDKDRERKEEQLKALSQKIDECTMELKAKEKDLDAMEKSVGMQAAKLQSERKKLLEVIEVKSKVYALIKEFESKQKQYQGREEKLESNEKHVEGIVKELESRIKLKGRISELESEKKEFENRVKELESEKKKFEGRMKGIKSKEVELEGCAKELESEKKRFESQVEAFKSKEKQLEAQVKNHESKMVTSNMDDQLSRTIGGTSLQLLPSEQNEILVTLRESSNPAKLVLDIIQNPSMPLSKKDDNDLVIDDWRIYLLETLMGMSPIIKPRVREQALKLAHKFKANIKGNTENSLVVLGFLLLLSIYGLITSFDEGEVLELFAFVAQHKIAVELFRTLGFAHKVDLLQKHVQNAKLICEEHLHGNRLR >RHN57136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36789223:36793805:-1 gene:gene32637 transcript:rna32637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase CMGC-DYRK-PRP4 family MAVSNSVEAVFEFLRKNGLSEAESALRQDIIDKHNNDLASFDYEKFFFPMVPPPPPVKLRSFSRPSEFTSGDGQFSKSNSVSSEEQFVSIASSTSPSRSRVSSSEFINPYGINSSSQTQNDSESSSDRLSQFGTARDYHEFDMQHEPYWYNENDDDDDFMTPDFDGPDFFGCQSEDKFILNSETENQQDDSRDLHYNFEEIQLEGNEGYIDKSMEQCLCNHSSGGDENATYSKDYCHGAEKEAIAHNCEVPFCKSSPGSGGSCSEDPMNFSYLNLKEIKLNLNDFHMKDINSFDSVPVLTMKHSSDCYKDPYDLTIEVVETDLPNGIESYEVRDDRDLNEELHVPEVAADGEDNNTDDDLLKYIQDDEYEVFELRIVHRKNRTGFEENKEVPIVLNSVMAGRYYVTEYLGSAAFSRVVQAHDLQMGIDVCLKIIKNDKDFFDQSLDEIKLLKLVNKHDPGDKHHILRLYDYFYHQEHLFIVTELLRANLYEFQKFNQESGGEAYFTLKRLQLITRQCLEALQYLHNLGIVHCDLKPENVLIKSYKKCEIKIIDLGSSCFKTDNLCLYVQSRSYRAPEVMLGLQYDEKIDIWSLGCILAELCSGEVLFPNDAVVMILARMIGMFGPFDMEMLVKGQETHKYFTKEYDIYFINEETDQLEYIIPEETSLEHHLQINDTMFIDFVSYLLNVNPKRRPTARQALKHPWLSYVYKSM >RHN78574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16391536:16396421:1 gene:gene2192 transcript:rna2192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldo/keto reductase, NADP-dependent oxidoreductase domain-containing protein MAGVCSFFSTTSSDVVAISHSLTPSLSLNNTTLSTTSKRRCILRRTRTVRPLVCAAINNPLQYRKLGDSDLNISEITLGTMTFGEQNTEKESHDILNYAFENGINALDTAEAYPIPMKKETQGKTDLYIASWLKSQSRDKIIIATKVCGYSERSSYLRDNADILRVDAANIKESVEKSLKRLGTDYIDLLQIHWPDRYVALFGEYSYDPSKWRPSVPFVEQLQAFQELINEGKVRYLGVSNETSYGVMEFVHAAKVEGLPKIVSIQNSYSLLVRSRFEVDLVEVCHPKNCNIGLLSYSPLGGGTLSGKYIDINSKAAKSGRLNLFPGYMERYNQSISREATIKYIELAEKHGLTPVQLALGFVRDRPFMTSSIIGATSVDQLKEDIDAFTTTERPLPAEVMTGIEAIFKRYKDPSIL >RHN76126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47879811:47880566:-1 gene:gene12407 transcript:rna12407 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKTICFCLRRKNHKSKENEVEDLEKLSNGKPSHKEKNKGHVSTTHANDGAGNNVHGANTIASNDAGVVAAATVTAAHVSLMSQDGSGHGGESGGG >RHN64880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62650604:62651272:-1 gene:gene27749 transcript:rna27749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MIFYCSCMQEVKWGENSMIEAEKRLLANALLDFSNQRFVLLSESCIPLFNFSTIYTYLMNSRLSFVEANDIRGAQGRGRYNYRMRPLIELSQWRKGSQWFQIDRYLAVHIVSDETYFSKFKKYCNPSCYSDEHYLPTLISIKFWERNSNRTLTWVDWSNGGRHPSKFNGKDVTKDFLERLRFGSSCEYNGRTTNVCHLFARKFSPDALDSLLRFAKDLMQFN >RHN54412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9368832:9369830:1 gene:gene29445 transcript:rna29445 gene_biotype:protein_coding transcript_biotype:protein_coding MASKYHVRSNSFPSQSHPSSSRIEQELTKMKTWEATSTSTSESITTGLSFLEDLYISLEDFLHMSSTQKAIAHHQGEKFVEELLDGSVKVLDICGITRDTMLQIKENVQSLQSSLRRRKGKSSIEKSVAEYKFFTKKMKKNVIKLITSLKHMETKFGASSLLNQDQDLAAVITVLSEVIAMNLSIFKSVFSFLIGSPSKSKATKWLKVTKLMQKRVVSCEKNLENFNELQCVEASLRTLISEGSNVAHERFEALENAIESIENGLENIFRGLVKTRVCLLNIMTQ >RHN52674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37602786:37604835:-1 gene:gene37372 transcript:rna37372 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRTDEFEQDNTDLSATSSDDDDDGLKDDMAALARACTFTADEDEIEEVEEDPLLSTGDAIVPFTANEDSDPDDDLECLKRVQSLYKPTGNSVPSPSPVPMIAAAADDDEDDEDDFETVRAIFKRFSAYDGGGKEAWAEGDQASSLMDEQDVVKSSISDNSDAGELCPDSQDPENNSKLKFIKPCGADETCKNDAGLSSQLPKKRSSFPPSAQAFIDAVKKNRALQKFLRSFMH >RHN71849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3062813:3066435:-1 gene:gene7489 transcript:rna7489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative organic solute transporter subunit alpha/Transmembrane protein MDLTQLNPAQITVYGSAFCVMLSMHFTLQLLSQHLFYWKNPKEQKAIIIIILMAPIYAIVSFVGLLDIRGSKEFFTLLESIKECYEAFVIAKFLSLMYSYLKISITKNIVPDEIKGREIHHSFPMTLFQPHSVRLNHHNLKLLKYWTWQFVVIRPVCSILMITLQLVGFYPNWLSWIITIILNISVSLALYSLVIFYHVFAKELEPHKPLAKFLCIKGIVFFCFWQGLVLDGLVAVGVIQSRHLKLDVEHIEEAMQNILVCIEMVVFSVLQQYAYHASPYSGEVEKMLKQNNKKNE >RHN75464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42499745:42500910:1 gene:gene11679 transcript:rna11679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative The fantastic four family protein MATCDSLQHIFDTLLPENPTLLQSLSFNKIKPVKSIEPSSFTEIFGELHFKESSSPEINHAKLNQIKESESSDTIQTPSSASFSITPIARHTNRHKSSDSFSWLNSESMHLCTEGLGFESSDDVEDSKSGANESLQIDQNEKRVEHHLSSRHHSYGECRRLRVSEYPPPISSIGRTGKPWVYFRSIRNNGRFVLEEVRIPTQEVLRAYREDGRLKLQFVQPPEDEFLEEDEEENDIGSIDEEGEDMAKEEDNSVTHHPNEE >RHN70183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49442633:49447691:-1 gene:gene18738 transcript:rna18738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein geranylgeranyltransferase type II MHGRPRKPLKEEDESVLSAKAEKLHSLQSQFLANHQNRIYTKEALDLSAKLLEINPECYTAWNYRKLAVQHNLSESNSDSDASLFDQELKVVENALKKNFKSYGAWHHRKWVLSKGHSSIDNELRLLNDFQKADARNFHAWNYRRFVTALMKISDEDELKYTEKVIGVNFSNYSAWHNRSVLLSTLFKRKAEGFSHKEKVLQEEYKYVHSAIFTDSDDQSGWFYHLWLIDQTVKNDAPLLVSSWPSHGANITLNGNNSLHGSGLSLLNSTLSDTKTLPVILCFNQAVEGVNSSTVVVKSELLKEDLVWKPLSTNNSSTAQVWVVYLNMGNMKLQLSKTYSIEINIGHSMGILSSNGYHYGAPSQITFEVCVQTAYTEPVDGQRGKLTSWKDNDFRKIDHFEESNPAVSADHHIPTTSNWCMEAIDEEITNFQDLSDCKIRKLTLARLLIALDLLSPQHDKRKNHTEEVLKLYTELMKLDPTHSLYYKDEHSLLLLQQITSSRESLIPYCHYYKDASETNTSYVCLRLQNLSLSRMGSFENLLWVQMLDLSHNELRSIEGLEAMQLLSCLNLSHNKFGSFTALGPLRLLKSLKVLNISCNELGSHSIDTRRYLCSSPLAHSEVFAWDRFEILCGSYSATKFWEAFLIFESLNLTDLNIAGNAVADENFASFIVKVLPTLKLLNDEEL >RHN80310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37077149:37081306:1 gene:gene4217 transcript:rna4217 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SEOb MVSLVRSLIHIGNDNAIDHNPLTMSDEHILEEIYVTHVHSDTKFDAESLFNIAGNILTRSTHVVDNVLQGHQGGLEHLDNINPPASFTSPLCTLKQINSEMSCKAPGEEIAYKTTLAILKKLSNYSWVAKGVLTLSAFSLEYGEFWLLSQNLPTEPLAKSLGIIKRVPQLSKPEALKKHRNEILELNNLIKATWQVIEIIIELERLNSRHDIKEVPALAPALEQFPVDVYWVIITIVAIVTQFECLTTNSDKRQDLSHFGQKINIIISKLRKHVSQCTIQIDEAEYNKLLRKLFQTPTEIMEVFKVLVFWKDTPKAPIYDGSTKTLVNIEVLKKKDVFLFISTLDISQEDISILIPIYDHIKKTGSQHKIVWVPIVEEWNDKLKKKFDSLKSKMPWYVLHHFAPIKGIKYIKEELHFKQKPLFVVLSPQGKILHHNAFHMIQVWGVKGFPYSKSKEESMTQELMWVDSLLADIDIKIKWKEEKSVIIYGGKDKEWIQQFTKYAGALANDAAIKQTKTSIDLFCLESQQPNVVNNFWKKVESLFVTKMHEKTNTVTQQVEKLLSYKNETGWAIVTKGSIVTSVGHGTSVLKTVSEFDKWKDVAINKGFEFAFREHHHKVASTVHICSHLEIPNVAGKIPDFIECPDCHRTMEVFISYKCCHNGDKVNAEH >RHN41464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30227442:30229900:1 gene:gene47793 transcript:rna47793 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWEIALGTAYFLGLKRTYRHSLRIQRRLITRNHPQTRQFLHRRTRSVFDVAIKVHQKIQERDTEVGRNLGNLMLRWLNKMKPSAQILGGSPTNGASSSVMTKLQAGSSNLKRSSYYALFKSSNLERPSYYALFKRGSNNRLFMPSSSIWPKPFPTIASILRPPNPAGMTTHYRNLSYYPPDAFRSNYNARWSGGVIRKDILQWLMLQN >RHN69248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42072557:42075089:-1 gene:gene17690 transcript:rna17690 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MLGKVVIFFTLALLIFIPCSILIGTLDIRSYYQQSPSIIATTPCADEAPLRVYMYDLPRRFNVGMLDGRNTTEAPVTIADYPLWPDNQGLRRQHSVEYWMMGSLLNGGGNGSEAVRVLDPEVVDVYFVPFFSSLSFNTHGHHMRDPETEIDHQLQIDLMGLLGQSKYWQRSGGRDHIFPMTHPNAFRFLRDQLNESIQVVVDFGRYPKGVSNLNKDVVSPYVHFVDSYVDDEPHDPFESRTTLLFFRGGTHRKDKGIVRAKFTKILAGFDDVHYERSSATGENIKLSSKGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSDKIELPFENEIDYSQFSLFFSFKEALEPGYMINQLRSFPKQNWTEMWRQLKNISHHYEFHYPPEREDAVNMLWRQIKHKLPGIRQSVHRSQRLKIPDWWKR >RHN40893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23864386:23867076:-1 gene:gene47137 transcript:rna47137 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MKB1 MESTCFGSNDEVSLKQKWKSTTEDTTISSGENNCFDCNICLESANDPVVTLCGHLYCWPCIYKWLNVQSSSVEPDTQPTCPVCKAVISHTSLVPLYGRGKSNSETESNKLQVGLGIPHRPPPYNLNALLTSNRPSNLRHEQQLHPNYFQSQSRPIHYQHYIPHLYGGHGANGLHYLGGAAMTSFVNPVMGLFGEMVSTRMFGVSDANLFAYPRNGSTSPRMRRQEMQIDKSLNRVSIFLLCCFILCLLLF >RHN62189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41548551:41552382:-1 gene:gene24739 transcript:rna24739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylcrotonoyl-CoA carboxylase MLKLIGRKSSWIPSNLLLRRELCLGALPNGGAMEHLITQLQSHVHKVLAGGGPEAVKRNNSRNKLLPRERIDRLLDPGSSFLELSQLAGHELYEEPLPSGGVVTGIGPVHGRLCMFVANDPTVKGGTYYPITVKKHLRAQEIAAQCKLPCVYLVDSGGAFLPKQADVFPDKENFGRIFYNQAIMSAQGIPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEVSAEDLGGAAVHCKTSGVSDYFAQDEFHALALGRDIVKNLHMAGRDVSTNGLQNTSYEYKEPLYDVNELRSIAPTDLKQQFDIREVISRVVDGSEFDEFKKLYGTTLVTGFAKIFGQPVGIIGNNGILFNESALKGAHFIELCTQRNIPLVFLQNITGFMVGSKSEANGIAKSGAKMVMAVSCAKVPKITIIVGGSFGAGNYAMCGRAYSPNFMFLWPNARISVMGGAQAAGVLSQIEKGNKKKQGIQWNKEEEEKFKAKVVEAYEREGSPYYSTARLWDDGIIDPADTRKVIGLCVSASLNRATENTKYGVFRM >RHN72785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10680702:10681685:1 gene:gene8541 transcript:rna8541 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVFLESVLAQWLTVVDGGHGRCKWKQLGKLGEGSEHNIEQNAEKKKEITLEKRKPFEYNCYYILKLFETSQHNRYVRGSNQNSRHLMLKLWLFR >RHN78858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19240950:19250200:-1 gene:gene2519 transcript:rna2519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate dehydrogenase, 3-dehydroquinate dehydratase MNATLICVPIMGETIQKMIADIQKAKLNGADLVEIRLDSLKTFNPSQDLNTFMQQHHSLPFLFTYRPKWEGGMYEGDENQRLDALRLAMELEADYIDIELKVAHQFYDSIRGKTYNKTKVIVSSHNYQQTPSVEDLGNLVARIQATGADIVKIATTAVEITDVARMFEIMVHSQVRHVPFIGLVMGDRGLISRILCAKFGGYLTFGTLESGVVSAPGQPTLKDLLHLYNFRQIGPDTKVYGIVGKPVSHSKSPILFNEAFKTIGFDGVFVFLLVDDLANFLRTYSSTDFVGFSVTIPHKEAALKCCDEVDPVAKSIGAVNCVVRRPTDGKLIGYNTDYVGAISAIEDGLRGKHIDSGTAVSPLAGKLFVVIGAGGAGKALAYGAKEKGARIVIANRTYDRARELADVIGGDALTLNDLDNYHPEDGMILANTTSIGMQPKVDETPISKHALKFYSLVFDAVYTPKMTRLLKEAEESGATIVTGLEMFIGQAYRQYEHYTGLPAPKELFRKIMENY >RHN81293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44618777:44619733:1 gene:gene5309 transcript:rna5309 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKFIGAVFIVLFIVDLACAARLLGGGRGGGGGGGGEGGGGGGGLGGRGSGYGSGGGEGYGGGSSGGGGGGGGRGGGGGGGGGNGGSGYGSGYGSGYGSGYGSGGGKGEGGGGGGGGGRGGGGGGGSGGSGYGSGSGYGEGGGYGGGSGKGGGGGGGGGGGGGGGGGGGNGGYGSGSGYGSGYGSGGGGNEYIP >RHN82553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54705298:54710078:-1 gene:gene6724 transcript:rna6724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase, SCC2/Nipped-B family MAQKNPRVIPDCVYASNPYHECTQNCLHKIKESVSKPPKNKKGSAFRKGVIESEIGKKMNEEKHMHSGCPKASNPYHACDVNCQKRMSGADSGVIPLTFDRKKKLGSRPELPVLDSVPASKIGAIYLADAASPISKYYDKKKLEPKSNEIVPASGELHTLDVKPVNDKVQPKDGSENLAGQIQTNQAGDKNSSNKVVPVTCFDDIGEGLTTSAGGSKHFCYSDVLHDNEDSDDEEGSESVVSETRVPVGKYHVKESFAPILQSIFDKYGDIGESCHLESVVMRSYYIECVCFVIQELQSTSIMDLTKSKVKELLAILNDVESAQLHVTWLRTVVNEIAEYIKLIDEHRSVEAAKANSDSEMESLRKELESKVEILTQKEREVTDIKTKIEGIRERLGELEMKSSDLEKNRLSIKSKVDNLDSRSLLDELL >RHN55249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16419286:16420117:-1 gene:gene30396 transcript:rna30396 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEREKNMTKFLKLVYASIFLLYLILGLRDGASQTHECISSRVMRCTENGCRCEGHGCIPPGVLKCTQDGCRCEAYECIPPEVLKCTRDGCHCG >RHN75915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46118683:46120507:-1 gene:gene12175 transcript:rna12175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MEGQQNGSKSNYTFPPGFRFHPSDEELIVHYLQNKIKSRPLPASIIAEIDLYKYNPWELPKKSLFGEEEWYFFSPRDRKYPNGLRPNRTAASGYWKATGTDKPIISSCESKHIGVKKALVFYSGRPPKGVKTDWIMNEYRLVDTTAKSFKLKGSMRLDDWVLCRVRNKGYSLKNLSENQENPSEPTIPLNLPRGEERPTNVNLRSDIITDYQFKDYQIIASILVGGVIPPTENMSSLSVNGSKFNNLNSVSEEGFNKANSQTTNYSLECYFNPLKRKSNEDDDQFENLISFNSKFNSENKLCESPSIDFQKLNKFTFTERYQQ >RHN40677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19257776:19259106:1 gene:gene46865 transcript:rna46865 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRYSDLSMKDQVHFRNMMKRTRMTYDSLRVLASIEDEKRIIEEKKVADEVFVEAEKRLVEKRLDEDKIFVSEAVIMETEMGAGDENMEEAATGVEGEMLNGTRCHNHIRGDIKAARLMKDRELWLYGNKRIVRFHSNSFSFIRKELELLKKSFEEYNGQVEERRLRSAKSDIAQHMLSDNNNFY >RHN52720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38099949:38101416:-1 gene:gene37421 transcript:rna37421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MEEKIVKHYSSFHNILLVGEGDFSFALCLAKAFGSAVNMVATSLDDRGSLAMKYRGAIRNLIELEGLGCTIMHEVDVHNMNQHHQLKHHNFFDRIIFNFPHSGFFQNESDAWVIGEHKKLVSGFLGSAKYMLNVGGEIHITHKTAHPFSNWNIKNLAENEKLLFIEEVTFYQHFYPGYGNKKGAGFKCDKSFPIGKCSTFKFGSLYYNFVL >RHN49180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53106433:53109795:-1 gene:gene43985 transcript:rna43985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, ARF/SAR type, P-loop containing nucleoside triphosphate hydrolase MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAQVDAVVYLVDAYDKERFAESKKELDALLSDESLANVPFLVLGNKIDIPYAASEDELRHHLGLSNFTTGKGKVNLSDSNVRPMEVFMCSIVKKMGYGDGFKWVSQYIK >RHN63643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52891098:52893108:1 gene:gene26368 transcript:rna26368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MDSQQSNNQLHVVFLPYPTPGHMIPMVDTARLFAKHGVNVTIITTHANASTFQESIDSDFNSGYSIKTQLIQFPSSQVGLPDGIENVKDVKDGTSPEMLGKISHGMLMLRDPIEVMFQDLQPDCIVTDMMIPWTVESAAKLSIPRLYYYSSSYFSNCACYFVRKYRPHDHLVSDTQKFTIPCLPHTIEMSRLQLRDWVRTTNAATAYFEPIFESEARSYGTICNSFHELESDYEKVSKTTMGIKSWSVGPVSTWANKGDERKGNRGHVEKNVEKERELLNWLNSKQNESVLYVSFGSLTKLFHAQLVEIAHGLEKSGHNFIWVVRKNDRDENEEGFLQDFEERVKESNKGYIIWNWAPQLLILDHPATGGIVTHCGWNSTLESISVGLPMITWPMFAEQFYNERLLVDVLKIGVPVGAKENKLWNSFTVEAMVRREEIAKAAEILLGNGQDSKEMRTRAKKFGDAAKRTIEEGGHSYNNLVQLIDELKSLKKSKALGEKAD >RHN69345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42762939:42763895:1 gene:gene17797 transcript:rna17797 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQQKKISQRARDHVMGFKVSHKPAGFDLMQNCDLPPPSKVFLGPDKTVIFSMNRVCNISGKEEEQDSKQYGTYQLENGDDEKDKMKLLKALEASQTRAREAEKMAAILRKERDGLSIALLEEAMQLFACRQQMRLLELQVLKLQQPLWLQQQPAMSMFGCYARSSERTVGFPNEDGHDEKTTSVTWVLALIFSLGIGVASALAWGY >RHN62586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44518657:44520055:-1 gene:gene25178 transcript:rna25178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSKSVDEVMIPPDKRVRRDNEENQDRLTDLPDCVILHILSFLKSKFVVQTSILSTRWKHLWKRIPTLMLHSSDFSTKKLFSVFVSQILANRDRSTALHSLDLERHGKIETYLLKMILKYICSHNTHIQQLGIHVTADGCPILSCVSKCRTLTSLKLIVDHRRSGNQTETLFPKSLNLPLLTNLDLSHFSFCGGENGCAEPFSAFPKLNSLVISGCKVKDAQILSISSETLVNFAMHYCSSRIAKIELSVPSLCTFTFSGMLVQKICGSSLFSVKKININPFVSLAPEDYALALEDYSLVLLSWLQDLANVESLAVTSTTLQILSFVPDLLEVKFTSLCNLKSLEVQLVPVYYRLFIDLMEENMLNKAATKSPEEAAKLNKAFNAGLQPPPIPDGIVDFLRQNSPSTTVNINTTYMRNFNIKQVVI >RHN57947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42695218:42695559:-1 gene:gene33539 transcript:rna33539 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMGTMKVKKDIEIIHLDDDDDDEDWRMSQGVHEKKAIYGLVVKNEYPSPSLVVQQKTKFANAVDTVKRKFSFSDSETSTSSSSSSSFSDDLSFLDNLTTRSITSLAKEKKM >RHN41369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29549216:29550975:-1 gene:gene47685 transcript:rna47685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MNNQLNHIIHTLFIFTIIILSTLTEGFAVNHAEEKRKNSFTPKAYAIRYWDRVIKNNLPKPSFILSKASPLSATDAAAFEKHAAANTLSTKLPEFCSAAHLFCFPNINPQLCRKPVLRGLGTNIFKGYGKGVDLDNKFSFDNYGFTNPFKDYAKRGISFGIYTKSSSTNSVTSLVVEPGKFFRERMLKEGTVMPMPDTRDKLPPRSFLPRSILIKLPFASSKLNNMKQVFKVSENSFMEKMIVDSLSECERAPSKGEIKRCVGSLEDMIDFATSILGSNVTVRSTENVNGSNNNVMVGQVKEINGGNVMESVSCHQSLFPYLLYYCHSVPNIRIYEANLLDPKSKVKINHGVAICHLDTTAWSPTHGAFMTLGFGPGQIEVCHWIFENDMTWTTAN >RHN82407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53497009:53498736:1 gene:gene6570 transcript:rna6570 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSGEEEESGAVMGNGSGEGERLKHPLHNFHFPYLKWGNQRSLRCQKNPENGDDPSMVSPPENRMNRLRIDGGDDGIDAMRERLMFDLKVEAGRMKDAILKKEKENGAGEGSLVAAAGEKVWNLRRRRGVAGENEKELKIDEKKPIGSSPLRNGNGVGKLRGGGSPEKKVKFSLSLMKKEIEEDFITMTGQKPHRRPKKRPRNVQKQMDTLFPGMWLSEINADSYKVPDDVPDNNGKKR >RHN46040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28019144:28019801:1 gene:gene40480 transcript:rna40480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRGKNMAKTMKFLYVLILFSSMFLVIRVSDSIPYVNIGPCVKDKDRRKVPQYNIKCRNGECVRIRS >RHN44581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6452551:6459873:1 gene:gene38718 transcript:rna38718 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQRLWSGRNNTPNKRGSGHDLGVISGEGSKGKGVENGGNLDREVLVERVSNLEKELYEYQFNMGLLLIEKKEWNSNYNELSQDIVEVKDALEQEKAAHLFALSEAEKREENLRKALGVEKECVLDLEKALREMRSEHAKIKFAADSKLAEANALIASVEEKSLEVEAKLRSADAKLAEISRKSSEIDRKSHDLESQESALRRERLSFIAEQESHESTLSKQREDLREWEKKLQDGEERLAKGQRILNEREQRANDIDKICRQKEKDLEEAQKNIDAANVTLRSKEDDVNSRLATITLNEKECDSMRMNLDFKEKELSAWEEKLNAREKVEIQKLVDDHSAALDAKKQEFEIELEEKRKSFEDGLRDRLVEVEKKEGEVSHMEEKVAKREQALEKRAEKLKEKEKEHEVKVKALKEREKSLKSEEKDLGKEKGQIESEREELLSLKSEVEKLRANNEEELLRIKEETNRLQVTEEERSEYIRLQSQLKHEIDQYRQQKELLMKEADDLRQQKETFEREWDELDLKRADVEKELKNVLQQKEEILKLQQNEEERLKKEKQATEDYLQRELETLQLAKESFAAEMELEKSSLAEKAQNEKNQLLLDFEMRRKELEADMQNQLEQKEKDLFESRRLFEEKRESELNNINFLREVANRGMEEMKHQRSKLEREKQDADENRKHVERQRIEMQEDIDVLVDLNKKLKSQREQFIVERRRFIDVVEKLQSCQNCGEMISEFVLSDLQSSADIENLEVPSLPKLVGDITQGGSDVNLDSSRQNTGASPATDTKSPVPGGTVSWLRKCTSKIFKISPIKKIESDVDNLRSVDTLPFDKTNEDLPANVPGTENEAELSFAIADDSFDVPRVQSGNDITDTEVEADHEPSIDKQGNIDATATDYLQPPNSKAGQQKPRRGGGVRARVKRTQTVKAVIKEAEAFLGESKAAEAVPGESVDDRETDFPNGIAEDSANMDSESQKPPEKRTANLRKRNRIQSSQVTASGHEDDPSEGHSDIPGRPKRRRQKAAAPPAQSAGETRYNLRRPKTGATTSSVRDVSAGGKESEGEVGRAKDAGVNIHSKPSHSHSVGITNENEDSIDIDQSQKAAETHDDYDDTTTNNRALSEEVNGTADDVEDHDTEYRSESRGEDAGRVDDDDDEEIDEDYQHPGETSVGKKLWKFFTT >RHN54442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9620437:9627471:1 gene:gene29483 transcript:rna29483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 9S-lipoxygenase MFGIFDRDHSQKIKGTVVLMPKNVLDFNAVTSVKQGGFLDAAGNVLDAAGSLVGGIIDGATAFLGRNVSMRLISATKTDANGKGLVGKEVFLEKHVPTLPTLGARQDAFSIHFDWDADFGIPGAFYIRNYMQAHEFFLVSVTFDDIPNHESVEFVCNSWIYNFKNYKKDRIFFTNDTYLPSQTPAPLVYYRQEELQNLRGDGTGQRKEWERVYDYDVYNDLGDPDEDVKLARPVLGGSSTHPYPRRVRSGRKPTKKDPKSERPGVMYVPRDENFGHLKSSDFLTYGIKSLSQDVLPLFKSVIFDLNFTPNEFDSFDEVRDLFEGGIELPTHILSKISPLPVLKEIFRTDGEQVLKFPPPHVIRVSKSAWMTDEEFGREMVAGVNPCVIRLLQEFPPKSTLDIAVYGDQTSILKKEHLEINLGGLTVEKALNGQRLFILDYHDAFMPFLEKINKNAKAYATRTILFLKDDGTLKPVAIELSLPHPNGVKYGAESKVILPADQGVDSTIWLLAKAHVIVNDSCYHQLMSHWLNTHAVVEPFIIATNRHLSVLHPINKLLDPHFRDTININGLARNALINADGIIEETFLPGPNSVEMSSAAYKNWVFTDQALPADLIKRGLAVEDPSSPHGLRLVIEDYPYAVDGLEIWDAIKSWVQDYVSLYYPNDEAVQKDTELQTWWKEVVEKGHGDLKDKPWWPKMQNIQDLIQSCSIIIWTASALHAAVNFGQYPYGGYILNRPTLSRRWIPEKGTPNYDEMVKNPQKAYLRTITPKYQTLIDLSVIEILSRHASDEIYLGERDVKFWTSDSRALQAFQKFGSKLAKIEGKITERNNDSDLKNRTGPVQLPYTLLHRSSEDGLTFRGIPNSISI >RHN39375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6552575:6554174:1 gene:gene45393 transcript:rna45393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IXb family MACREAVLAKLKASEIEKFREEEEQNVEKARLAEEAALALAEVETQKAKAALEAAEMSQRLAEIETQKRKLAELKVKHEKEQRIRTLQEVVYNSIPYRRYDIKEIQVATNGFDNALKIGEGGYGPVFKGVLDHTIVAIKVLKPDLAHGERQFQQEVLILSKIRHPNMVLLLGACPEFGCLVYEHMENGSLEDRLFQKDETPPIPWKNRFKIAYEIATGLLFLHQSKPDPIVHRDMKPGNILLDKNYVSKISDVGLARLVPASIANKTTQYRMTGAAGTFCYIDPEYQQTGLLGVKSDIFSFGMILLQIITAKPPMGLSHIVEEAIKKGNFMNVLDPNVPNCPVEEALACAKLALKCIEYRKRDRPDLATVILPELNRISHIWNSDEE >RHN74594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34980214:34983374:1 gene:gene10688 transcript:rna10688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein Iojap/ribosomal silencing factor RsfS MIPSSTLLSLAGAFAPVSFSGEMGHPQTNSSSKPRKVFTSFCKKALPLQRHSINSLNLKRRNSLLSFAFGKEANDNSFSDVGEDTDDMYDELLSNYGQVVYKRKDQKPASAEIDDDSESLSFAVELATVASEVKAGDIKVLFVKPLVYWTRFFIIATAFSRPQIDAIGSRIRDRAEKKYGKIPNGDLKPNSWTLLDFGDVVVHLFLPPQRAFYNLEEFYGNATSVELPFENQPPFRNEGAYGFSDDAES >RHN69891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47141334:47144433:1 gene:gene18422 transcript:rna18422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase Group-Pl-3 family MLRQFVELHYTWLLSPEVLQFQRYDHKADMWSAGAMHFELLNGYPPFNGRNNVQVLKNIKSCTCLPFSQSILYGLDSACLDICSRVKTIVLKSS >RHN63187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49187523:49189026:1 gene:gene25863 transcript:rna25863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MDLSFKHQFSLVCVILLFPVLCNCKEYYTKSRASYYGTSDGYGNPRGACGFGDYGKTVNDGSVTAVSAKLWKNGGGCGACYQVRCKIPQYCDDNGALVVVTDYGEGDRTDFIMSPRAFSGLGRNAAASEKLKKYGVLDVEYKRVSCTFKGNNIVYQINEHSQNPGYIAIVILYVGGTYDVNAVEMWQKEQHKWEYMRRSYGAVFDYANPPKGEIRLRFQVSSNVGVNWVESRFAIPAGWKAGATYSTKIQT >RHN82226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52135664:52142575:1 gene:gene6371 transcript:rna6371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MISSTGKSTSPNDFTTEKIGTEQRDMSEKTTQVGSECLDKEQSVLGTVVTDSVIDEKSNNISVNMTENSVIQLPGEMRDAVTTLVEEQPQPVPVQVKSNSVNELLDPPSGDVAKNISSQNEPGETSDAVTGLVEDQTQSVPAQVKTESSGDAAKNNSSDCSERKSKNLGQMRVKHGAIKNSKLSKKYVLRSLGSSDRALRSRTKDDKPKDPEPINTVTDVNNDEIKTKERRKKKKKKTRKEGINDQFSKIRAQLRYYLNRISYEQNLIDAYSGEGWKGSSMEKLKPEKEIQRAKSEILRRKLKIRDLFQNLDSLCAEGRLPESLFDSEGEIDSEDIFCAKCQTKVLGTNNDIILCDGACDRGYHQLCLDPPLLTEDIPPGDEGWLCPGCDCKDDCIELVNDLLGTSLSLTDSWERVFPEAATAAGSILDHNLGLPSDDSDDDDYNPDGPEDVKVEGGKSSSDESEYASASEKFEDPGHEDQYMGLPSEDSEDDDFDPDAPNPGAKDIEESSSSDFTSDSEDLAATIKDNMSTGQDDVTSAPLDDVKNFKGSSKQNRKKPSITDELSSLAEPDLGEEDLTPVSGKRNVERLDYQKLYEETYHSDTSDDEDWADTTTPSRKKKLTAKITPGSPNGNASNNSRRTAKRNTHQHKVENTNNSPTKTLEGCTESGKKRGSSYCKLGEAVVQRLHKSFKENQYPERTAKESLAQELGLTFQQVDKWFGNSRWRFRHSSCKEASPGSNASQQANDSGAKNKGDNASQQASESGAENKGDRGNASQQATDSGGENKRETEHQLVSQETSGEKSKTPSSKKRKRLSEPQTSEAGLVRNGSTFDCLPVAGTSNKMKKKKGK >RHN55542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19354120:19357047:1 gene:gene30742 transcript:rna30742 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNGKEAVKPKEEEQDGMSVHSPCKPPPSSASSLNKDIDQVELELRLLEALEIYPPLKLQGVHRHFVLYGLMEYLKRSFDRHFTADEVLELLGRFYNIEMLKSDDDEIDVLNNEEDFSLPQSFFSKDES >RHN55000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14295074:14296551:-1 gene:gene30116 transcript:rna30116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MQMTDIRDKIHKRQFLSPTIIAKLPSLTTKNMNLLKQFFRISDNGATEKRMKETLENCERAPARGETIKCVRSMDEMEIFASSMLGPKVVLRKTLNVKGSGKNVMVGRVSRIQGGNVTESVTCHQEVFPVMLYFCHYIPMVRVYNVEILTLQKIKINQVVGVCHIDTSSWSRSHPAFLELGSAPDGRKWAKWAKSLFFREKMLNEGVVMQMTDIRDKIHKRQFLSPTIIAKLPSLTTKNMNLLKQFFRISDNGATEKRMKETLENCERAPARGETIKCVRSMDEMEIFASSMLGPKVVLRKTLNVKGSGKNVMVGRVSRIQGGNVTESVTCHQEVFPVMLYFCHYIPMVRVYNVEILTLQRIKINQAVDVCHIDTSSWSRSHPAFLELGSAPGEIEVCHWIFQNDISWTADAN >RHN41978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34494434:34494880:-1 gene:gene48359 transcript:rna48359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTKTMKFLYVLIIFISIFVVASVYDSIPYVNSGPCVTDKDCPKVSQYNIRCRKGQCARIRV >RHN69940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47470572:47474810:1 gene:gene18473 transcript:rna18473 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyamine-transporting ATPase MNLSSLSFTPHHLVAPIYSSLPTKTTRGKSVDGIAIEGRNLNFSFTARQTKDVHVLRDCSIRIPSGQFWMLLGPNGCGKSTLLKILAGLFTPTSGTVYVKEPKSFVFQNPDHQVVMPTVDSDVAFGLGKINLADDEVRSRVSQALHAVGLSDYMKRSVQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDETDQVGVIKAVRNCLDTSEEVTALWVTHRLEELEYADGAIYMEDGKVVMQGDAASIRSFIEARQSAYINQINP >RHN46543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32612422:32613511:1 gene:gene41039 transcript:rna41039 gene_biotype:protein_coding transcript_biotype:protein_coding MQNYKVHNIPWISPYGMSNPTRIARLIDMQTVMTCTRNYYNKLFRTLGKSK >RHN44525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5724008:5726953:1 gene:gene38654 transcript:rna38654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, Agenet-like domain, Agenet domain, plant type MVRFKFDPGCPVEISNYDGSIYEPWFTGTIISCVSSNEFLVDYNDLELEQTVVRIHQIRPVPLPVGDFELKIGDDVDVFWKQGWWKGYVKEDLGYGKFRVSVSGTNTKVFSKEKLRVHRKWIVDNWVPPIITKQLKSHKGTDETEAGNRISELPDCILLHIMSFLEARDAVRTCILSKRWKDLCKRLTTLTYIPSWDENSFKNFKSWVLSSRDQSCSLWNLTIDTQFQEGEEDLHTLIQYALFHNLQNLNIKINPSLTPKSDLLPLILASNSLTFLELSYRRGGSIAAARSPILPKSLHLPALRTLHLEYVNFVATRDHYVDPFSNLHALNTLVLRCCYLIEDALVLCISNQTLSNLTIFHICFADEFFNEIVLSTPNLCSFTILNSLIFEQVLSSTCNLSFLQQVNIDGFKNSTWNLSEDIGEASVFLSWLQVLANVKILKIGYSIIQAIDNDFTVNDNSKKVEPPCFVRLESLTVNKESDARVPDDEIIEIVEHLLQNTTPMPRVNITEIYENYDQYYRC >RHN60643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29045235:29048029:-1 gene:gene22991 transcript:rna22991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MFQLSTKINTMDFYPETLANINNDYELHDFIDDPNFDQFINLIRGEENEDTTICNFNSDLIMNQSFVDNSLLSFPSNPFDHNIENTITATFDPTSSLGSFSCFDGEAKEELRVENDGDNYSSPATTTTSITTTTTSGDTKPKSKNDRSKTLVSERRRRSRMKDKLYALRSLVPNITKMDKASIIGDAVSYMHELQSQAKKLKAEVAGLEASLAVSKTQHGSIDNPKKIQFTNNNGSICKKIVQIDMFQVDERGFYVKIVCNKGERVAASLYKSLESLRDFNVQNSNLATVSDGFLFTFSLNVKDSGPEINLPNLKLWVISAFLNQGFEFIPSFHA >RHN80568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39124950:39125243:1 gene:gene4508 transcript:rna4508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endo-1,3(4)-beta-glucanase MGMAYGDGQIVSIGSTLASLEICAAKLWWHVKKSGNMYEKDFTKENRIMGVLWSNKRDSGLLFAPTEWKKARLGIQVLPSCPFSDVKYVKDLGQCLL >RHN77361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6307303:6313409:1 gene:gene807 transcript:rna807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative primosome PriB/single-strand DNA-binding protein MKLNPFIFRSLFAKPIQTPSILHKCFSFSTTNHPTKSSSHYFDDVVPGTSSVYNHALKFQRPATIRWKPRLENSASFIGSVAREVKHVNSKFGDFGVYTTLRVQKPDRSPFWVLLMMWNNVAEIAYEHLKPNDLICVSGYLDSFDGNSDLGYKLMVKELNFVARSLGYEDHEKEHKFEGAKAGNQNYGNPLHLWQVFFANPNEWWDQRKNKLNPKQPDFKHKDTGEALWLSKHNPPWVTKQLKLLDSKFSEGGFAGCRSRVTSWVYDE >RHN56022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26904059:26906823:-1 gene:gene31338 transcript:rna31338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MNMYEPFLQVSIWEDSFKVDHSTLNSIASPMLKMNITSMENKSECIPQESREPSGDDQETIQEPVPKVLRRQAQNRAAARKCRLRKKVAYVQQLETSRLKLMQLELDIEKTRKQGLYKSSLSDVGYMGSSGTINSGISLFEIEYGRWIEEQDRQNEELRNALQTNASDIQLHLLVESSLNQYSNLFRMKAEAAKADVFYLISGVWKSPVERLFLWIGGSRPSQILNIVVPKLENLNDQQIASINNLRLSSQQAEDALSIGLEKLQQSMINNIQADPLDFGNYGFQMAAAIDKGEAVEGFVIQADHLRQQTLLYMSRILSIGQAAQGLLAMGEYFHRLRTLSSLWTARSCHHFYPTQHSN >RHN52495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36128981:36135583:1 gene:gene37180 transcript:rna37180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose synthase MNDSASDENALEIDFEAIDFTTPHMALSSSIGKGLDFTTRILTSRLSESSHFENPLLNYLLNLNHQGENLMIKDTLNTIPKLQKALKIAEAYVSAHHKDTPYQNFENRFREWGFDKGWGNTAGRVKETMKMLSEVLEAGDPIKLESLFSRLPNMFNIVIFSIHGYFGQADVLGLPDTGGQVVYILDQVRALEEELLQKIELQGLNVKPQILVVTRLIPNAKGTTCNQELEPIIKTKHSHILRVPFWTEKGILSQWVSRFDIYPYLERFAQDSTIKILELMDGKPDLIIGNYTDGNLVSSLMASKLGVTQATIAHALEKTKYEDSDAKWNSFEEKYHFSSQFTADLIAMNSADFIITSTYQEIAGSKDRPGQYETHTAFTMPGLCRVVSGINVFDPKFNIAAPGADQSVYFPFTKKNQRLTTFQPAIEGLLYSKVENEEHIGFLEDKKKPIIFSMARLDKVKNISGLVEWFAKNKRLRSLVNLVIVGGFFDPSKSKDREETEEIKKMHYLMKEYKLQGQFRWIAAQTDRYRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHIDPHNGDESINKISEFFEKCKTNPEHWNIVSKAGLQRINECYTWKIYANKVLNMGSIYGFWRKLNKEQKLAKERYIQMFYNLQFRNLARKVPIPKEVPQEPQPMSTTPTKKAEAKAEATSKGHDAAQAKAEVPQTHLTAVPPKIESKLTSSGESSSMELAAKQSGDLYSGLRWLLPGIAFMFIIHYLSKYLEHLFTRDQ >RHN41584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31250384:31251724:1 gene:gene47919 transcript:rna47919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain, Zinc finger, RING/FYVE/PHD-type METNDSEPYHSSLNTGVAMLSRRLFNSCRKFIGRQPRSDANIDAQLDRILAVVDVLEASSLRLGSTRHPDYYSILRVKQSEAANRDLIRQQFKTLVRLLDPNKNKFPFADEALMRVREAWYVLSDPVRLEKLERQIRGDDVSAASFWTMCPYCWYLHEYERKYEDCSLRCANCKRTFHGTAVNPPDSESMVEGKEQYYCYHMSLPLRYPVDGGSQFELDGKKMRIKTVANRVRVKAFAPESESDPESDPEEMEVEM >RHN75372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41695274:41695773:-1 gene:gene11560 transcript:rna11560 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPFTRKRYNSMPFIFIEIKSCMWCLQKKVVCGSMKLCISWRLDSQLPTYHMALSSKQTNLNQYFY >RHN55901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25261935:25266177:1 gene:gene31184 transcript:rna31184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oleoyl-[acyl-carrier-protein] hydrolase MLHPFSFRCSNHASTTPFPSLLLLNTTSFHLAGKSPTFPFLRRPFNPPALRSVHHVRAVSSLPLFDFSGGKGMSGFCDVELKVRDYELDQFGVVNNSVYAGYCQHGRHEFLESIGINCDAVARCGDALALSELSFKFLAPLRSGDRFVVKVRVSGSSAARIYFDHFIYKLPNQEPILEAKATAVWLDKNYRPIRIPADIKSKFVKFIRNEDS >RHN53563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2576898:2579466:1 gene:gene28482 transcript:rna28482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase MSFTVSLLSWAAVEYESEISSVNQIGYLRRAIRWGTNFILQSHTSPITLFTQVGEGNADHNCWERPEDMDTPRTLYKIDANSPGTEAAAEAAAALSAASIVFKKKDTKYSSKLLRHSKSLFDFADKYRGTYTGSCPFYCSYSGYQDELLWAAAWLYKASGESKYLKYITDNQGWNQAASEFSWDNKFVGVQTLLTQEFYGGKKDLAKIHSDGESFICALMQGSYSLQIKKTPGGLLYTRDSNNLQYTTTSTMVLFIFSKILNKNNIDGIHCGSTNFTSSEIKAFAKSQVDYILGNNPMKMSYMVGYGSKYPKQLHHRGSSIPSIKVHQTKVGCNDGYTDYFYSSNPNPNIHVGAIVGGPDFNDQFNDARSDYSHSEPTTYMNAAFIGSVAALIGEIKTGTM >RHN52150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32026116:32032038:-1 gene:gene36779 transcript:rna36779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, SWIM-type, MULE transposase domain, FHY3/FAR1 family MKRRLELNDQAGINVSRNFRSLVGEANGYENLTFGEKDCRNHIDKVRRLRLGTGDAEAIQKYFVRMQKQNSQFYYVMDVDDESRLRNVFWADARCRAAYEYFGEVISFDTTYLTNKYDMPFAPFVGVNHHGQSMLLGCALLSNENTETFTWLFKTWLECMHERSPNAIITDQDGAMKKAIEVVFPKARHRWCLWHLMKKIPEKFGRHSDYESIKTLLHDIVYDSFSKSDFMMKWENMIECYKLHDNEWLKGIFVERHRWVPAYVRDTFWAGMSTTQRSESMNSFFDGYVTSKTTLKQFVEQYDNALKDKIEKENIADFRSFNTVIACISHFGFEFQFQKAFTNAKFQEFQLEIASMMYCHACFNRLEGLDSIFSVTESKKLYDKMKDIVFMVLFNEKDFMLKCTCHLFEFKGILCRHILCVLKLIGKTDFVPSNYILARWRKDIKRRYTLIKCGFDNLAGKTELQRVDKACDAFYEFASTRINSEDDLVKVMNWIQNMKIELPCNETSPRIIEEDCSVQSQATILDPKLARSKGRPPSKRKTSKFDQIVKKKLAQKKTKKNNQNSKKTQGPEEGPCISRGQEIEYEVCYRSQLGDGIGTQESIQVNKEYSSRVNQEQNNVWSGENGSVNTVAPFNPNQEHLGEENKERHHMKDQHNHHRDIS >RHN51714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23307435:23308103:1 gene:gene36219 transcript:rna36219 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSQIDKSQHAQLLSAGQENLSPIVEEDEGVETSTPPPGMEITSPNQPGIESSDHQDQEMPTRDSDVEPEGNAYQFIIASRDDNIVASPISAHGPNPMVDVVPVQDNAVISQVDLDQLEEANPLDAFDLLANDILLSRSTGRSSNVSVEDLSQTSKDSLLAEFRSKVLRADLFDAIEQDENTILEIRELLCKLINLPSGSKFQTFFKALEPLLENIKQVGV >RHN49316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53991795:53992662:-1 gene:gene44131 transcript:rna44131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MENVSNPIIIDQYYCDSRLPCKNQTSAVQVVNISFINIIGTSASEETIKFACSDTYPCEGLHLENIYLPSYFGENRRSYCWQAHGSTSSQGCVNPPACFSTSSDLIRQNVLLETNPDINYDL >RHN48361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46955859:46981826:-1 gene:gene43071 transcript:rna43071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleoporin Nup186/Nup192/Nup205 MVSPKQLLSTLESALLGSSPPTPSQRIEVLHAIRSSLQSIQSLLSYPPPNSSDRAQVQSKSIRLQDSTLITLDDNDVQIALKLSDDLHLNEVDCVRLLVSANQEWGLMGREQLEILRLAAGLWYTERRYLITSLHLLLRAVVLDQGLEDDILLDIQKYLEDVVNSGLRQRLISLIKELNREEPSGVGGPQCERYVIDSRGSLVERQAVVSRERLILGHCLVLSVLIVRTSPKDVKDLFSVLKDSASEVSQSNTAIKHQITFSLLFALVIAFVSDGLSTVPDKASVLSSNTSFRHEFHELVMATGNDPIVEGFTGGIRLAWVVHLMLIQDGVAARETVSSASSNEMSYLSQCLETVFSNNVFQFLLEKVLRTAAFQTEDEDMIYMYNAYLHKLITCFLSNPLARDKIKESKEKVMSVLSPYRVVGSHDFAQNSSSVSQQGTEAGSLPFNSILDFVSEIYLKEPELLLGNDVLWTFVNFAGEDHTNFQTLVAFLNMLSTLASSQEGASKVHELLQGKAFRSIGWSTLFECLTIYDEKFKQSLQTAGAMLPEIQEGDAKALVAYLNVLKKVVENGNPIERKNWFPDIEPLFKLLSYENVPPYLKGALRNAIATFIHVSPVLKDSIWTFLEQYDLPVVVGPEAQGSPSMGTQVYDMQFELNEIEARREQYPSTISFLNLINALIAEERDLTDRGRRFIGIFRFIYDHVFGPYPQRAYADPCEKWQLVGACLKHFHMILTMYDVKEEDYEGVVDQSRLSTTKETSSLQTQLPVLELLKDFMSGKTVFRNIMSILLPGVNSIIDERSSQIYGQYLENAVQLSLEIIILVLEKDLLLSDYWRPLYQPLDIILSHDHNQIVALLEYVRYDFQPKVQQSSIKIMSILSSRMVGLVQLLLKSNASNSLIEDYAACLEARSEESQNVENNNNNNNNDPGILILQLLIDNISRPAPNITHLLLRFDLDTPVERTVLQPKFYYSCMKVILDILEKLSKPDVNALLHEFGFQLLYELCIDAFTSVPTMDLLSNKKYRFFVKHLDAIGIAPLPKRNNNQPLRISSLHQRAWLLKLLAVELHAGDVSSSNHREACQTILSNLFGQGTTGIDGEQAIYPFSLQDNSGNGDFRTVSKSKVLDLLEIIQFRCPDPTTKLSNTTASMKYNLLAEDILGNPGNSGKGGVYYYSERGDRLIDLASFHDKLWQMSNLGNEVELNDVRETIQQLLRWGWKYNKNLEEQASQLHMLTAWSQSVEVSASRRLVMLEDRSEILFQILDASLSASASPDCSLKMAFILSQVALTCMAKLRDERFMFPGNLSSDSITCLDLIVVKQLSNGACLTILFKLIMAILRNESSEALRRRQYALLLSYFQYCLNVVDPDVPTSVLQFLLLSEQDNEYIDLPKIDKEQAELARANFSTLRKEAQSILDLVIKDATHGSESGKTISLYVLDALICIDHERYFLSQLQSRGFLRSCLTAISNISNQDGGLSLDSLQRACTFEAELAVLLRISHKYGKSGAQVLFTMGILEHLSSGRATNSQGGLRWAEKRLRRDMAVDVDRQQMIITPVLRLVYSLTSLVDTSDYMEVKNKIVREVIDFVKGHQSLFAQVLRLEIAEADELRMEQINLVVGILSKVWPYEESDEYGFVQGLFGLMNVLFSRDSNSKVLGFPRSRVSPENQRSSELQIFKLCFSLSSYLYFLVTKKSLRLQSSDASSSYPTSVEFQQPSLSLLNSLLSSATTALERAAEEKSLLLNKIRDINELARQEVDEIISMCVRQESASSSDNIQRRRYIAMVEMCRVVSCTDQLIVLLLPLSEHVLNIILVHLQDCSDAFESTMTTKTITYGAKCDPQQDLALLCGQLVPTLERLELLSEEKLGHTLKVFCRLATSAKEIAIQKMI >RHN59529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11696182:11696349:1 gene:gene21571 transcript:rna21571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1 component, dimerization MLAANYLDFKTLLDLTCKTVANMMLEAKTPEAIRKKLHIKSNYTPEEEEKIRSEN >RHN80207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36113612:36119122:1 gene:gene4106 transcript:rna4106 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNSVYRSLLDIFPQVDSRLLRAVAIEHSKDADMAAEVVLMEIIPAISKKLLPASPSRDTNPRVIVNLEDESESEDEGEILARHKLVKSMDVGSSSSSHYVHQEIVKSASSSSGPDLNVTVTSPQVKSPVAFINLEDESEDEGKRLVQYQPVEDTDVGSSSSLASSYSRPVQIIKAADSSCGLDLNVALNDSTLSNASELNDETSQFFGVNNDGNLTRDISSEIAQETSNGFWHETSEYFDQGRLVDVIVENLASSGVYHVLETEQINVTEEAASMTNNGNGTVNNLNEEWVDFVPTAEDYDATICNTSHGLEKSETILIELGDSEVQTVSQVQGLPLNAQDLQTELNTNHSTIVGENSHAVDEIDENTTLSKYNPACSIDMLEETIDEAKTNKKTLFSSMESLINLMREVEHQEKLAEQANIAATTGGFDILDRVEEYQAMLVHAKEANDMHAGEIYGEKAILATELKELQSRLSSLSGERDESLAILDEMRQSLESRLAAADMLRKAAELEKLEKEESARAALLEQEAMMEKVVQESRRLQQEAEENSKLREFLMDRGQVVDTLQGEISVICQDIRLLKEKFDANLPLSKSFTASQTSCILASSGSSHKTVASNVGSEHSDSSEVLKITQAAPIVFNGGEEERSEEEHNALIDDGWDIFDKDAELDCGVF >RHN55333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17424223:17424597:1 gene:gene30502 transcript:rna30502 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MFSIGVIELGIWVHSFIVRMRIVITVPLCTAIYLRCGSIDRSFRVFDEMPERNIVMWIALINGLAVHGRSGEALKVFDVMKESGLKPDGVLFIGVLVACSHGGGNAFETKLCYLEDFAWSIYES >RHN63531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51988279:51988731:-1 gene:gene26238 transcript:rna26238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed RNA polymerase MIKDTLGAISTAHLVHADCESNKAKSRKCLELAELHSMAVDFAKTGALAEMPRVLKPKEFPDFMERFEKPMYVSKGVLGKLYRALVESTMQLTSNFVSSGNFLSKKHMIINLKLMVLRPFLRLPQLTKKCMRRRYGDMKDQIMISKGSST >RHN43912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49419417:49420359:1 gene:gene50547 transcript:rna50547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M41, ATPase, AAA-type, core, P-loop containing nucleoside triphosphate hydrolase MKRSFNTSIKHLGTRVRNLFKEARKCAPSIVFIDEINARGGRGGGRANDEGENIANVCNEAALIAASTEETHATKDHFEAAIDRIIGGLEKKNKVISKQHRRTVAYHEAGHAVAGWFLEHTNPFLKVTIVPRGTAALGFAQYVPNENHLMTRAAF >RHN47701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41744762:41749497:-1 gene:gene42328 transcript:rna42328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator IWS1 family MKSESLDQWRSYFRSSNSDIFDIIDHAIVVAASDCPKEFKSRRDGIAERLFSVMLNRCKGCEKVELSVPDDDNGDELCKRSSVREGASKESKVDCSREENGVMDANPISNYSFGEAEALTDELEEQSQLVAEVTRIKEILNNHEDESDSVLFESLRRLQLMQLCVDLLKSTEIGKAVNHLRKHGSKDIRQLARTLIDGWKELVNAWVKATTTTPVAASEEGTPDSRNPSVVENEEEEEGGLPSPPLDEGAFFVAPTGTIELSQFFDGMDDDGNIRKSAPFNKNRDNGRKPALGTQTKDKRNFPASNETAVTAKDNKSQHMKKNEAAARPNKPMAADTGRARPLNSNIQRKANVEPKMPPKIENSTVPKRPLNAQQDKSKCSDDLAKLEATKRRLQESYQQAENAKRQRTIQVMEINDLPKQGSVQRNTHFKPGNHNRQWGNGRR >RHN72253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6131275:6132169:1 gene:gene7945 transcript:rna7945 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLGDRYALENVHGLTWAMIIWFLVGALSIAYFEYREKQQARDRIFGRGNWVLGNEEDDSIDLLSPTIPLSTNKESQASARMEVQLEPLNR >RHN68583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36759706:36765133:-1 gene:gene16952 transcript:rna16952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SLC26A/SulP transporter MSSLPSDAFNMRVTEDGNLHLEDTHQIDRTQWMLNSPNPPPLWKKLITPLKNNKLFSSSKKRTCHEHVVSFFSGLFPILSLFKNYDAFKFKDDFLAGLTLASLSIPQSIGYANLAKLDPQYGLYTSVVPPLIYAVMGSSREIAIGPVAVVSLLLSSLCHKVVDPDLNHDGYRNFVFTVTLFAGIFQVAFGVFRLGFLVDFLSHAALVGFMAGAAIMIGLQQLKGLLGISNFTNKSDVVSVLESVYKSLHHQIPSGEWYPLNFVIGSSFLIFLLFARFMGKRNKKLFWLPAIAPLVSVILSTFIVYISKADKNGVNIVKHVKRGINPSSIHQLQLNGEHVGEAAKIGLISAVIALTEAMAVGRSFASIKGYHLDGNKEMLAMGCGNIAGSFTSCYVATGSFSRTAVNFSARCQSSVSNIVMAITVILCLELFTRLLYYTPVAILASIILSALPGLIDIREACYIWKVDKFDFLACIGAFFGVLFVSVETGLLVAVSISFAKIVIQSIRPGIEVLGRIPTTQAFCDVSQYPMATSTPGILVIRISSGSLCFANANVVRERILKWVTEEDDLKESQTTKGRVQAVIFDMTNLMNVDTSGIIVLEELHKRLLSRGIKFAMVNPRWLVIHKLKVAHFVDKIGKEWVFLTVAEAVEACLSYKFADP >RHN59162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8055990:8061728:-1 gene:gene21154 transcript:rna21154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain-containing protein MGQQSLIYSFVARGTVILAEYSDFTGNFTTIALQCLQKLPASNNRFTYNCDGHTFSFLVDNGFTYCVVAVESVGRQIPIAFLERIKDDFNKRYGGGRATTATAKSLNKEFGPKLKEQMQYCVEHPEEVSKLAKVKAQVSEVKGVMMENIDKVIDRGEKIEVLVDKTENLRSQAQDFRQQGTQLRRKMWYQNMKIKLIVLAIIIALILIIVLSVCHGFSC >RHN42297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37204223:37208914:1 gene:gene48721 transcript:rna48721 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MASVDNDDILELEQPLLQDESSKQYTGDGSVDYRRRPAIKNNTGNWRACPFILGNECCERLAFFGIATNLVTYLTTKLHEGNASAARNVSIWQGTCYLTPLIGAVLADGYWGRYWTIAIFSIIYFVGLCILTLSASVPLLKPAECLSSICPPASPMQYYVFYLGLYVIALGTGGVKACVPPFGADQFDDTDSKERAKKASFFNWYYFSIDLGAIASCTFIVWVQDNAGWGLGFGIPTLFMGLSVGSFFLGTYLYRFQKPMGSPITRMCQVVLASVRKQNLVVPKDSSLLYERPDNESGFERSRKLIHRDDLRYFDRAAVVSASENRSGDYSNPWRLCTVTQVEELKILIRMFPIWATGIIFSSVYAQMSTLFVEQGTMMDTSIGSFKLSPASLSTFDVASVVLWVPVYDRILVPIAKKLTGKKRGISVFQRIGIGHFISGMCMLAAAAVEIKRMQLAREFDLVDKPVAVPLSVLWQLPQYFLLGASEVFTFIGQLEFFYNESPDAMRTLCGALPLLSFSLGNYLSSFILTIVTYFTTQGGRPGWIPDNLNSGHLDYFFLIISGLSLLNMLVFITAAKMYKQKKVS >RHN79031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21374134:21375223:1 gene:gene2717 transcript:rna2717 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPTSPTSTILPKAIPINISSPDTSSFRCYFQFFTQISISIVGSIFQFCLSCIPTPNNGSTNLCIYLRPPHLAIDYHNFPFCKRLPLLLVVVVIAQGKDTVNGGGGGGDDSIETEYNYLEWILDLR >RHN58025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43176868:43179953:1 gene:gene33630 transcript:rna33630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RIN4, pathogenic type III effector avirulence factor Avr cleavage MDKGRPLPKFGEWDVNDPASAEGYTVIFNKARDEKKTGGKPESPAKANTQTRPPLEHAKTHGKSWFCCLHSPPAES >RHN72009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4353191:4357090:1 gene:gene7676 transcript:rna7676 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEVVCISSLQSTQRQFLDKSQDGSRSGFFQWFQKHERIQSKDSAVILHKLFEDEIVENARSLLDKYHLMKDGFKPVKIKSERFWWKPSSYEKLEKIGGSDFSAWTSEYVPAYRLEIDPKIMGDSKFQGWKKSSENRWEVLLTHSQMVGLAETLDMYYVDPYSLPDKELSYGVAAKYANVSNRKGSSLSKILSVSLASGMFLVAISALGQFCLPRLSKERKHTVEHRSLLTSEVNVMHDFLDTTKVEEFCVSAVAKLKNAYGWSDEIKVEDGIGAWIGELPVYLRGEGVDTLSTSSEDIDADAKVSMQDIASYQVVFSSEGKIVGFQPLSRVAVNQWADNPLAKELYGGKKLSPGIVEPGLRIHLPKEVTVVELLVSVKPDAYFALARPYQ >RHN55309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17111076:17111723:-1 gene:gene30474 transcript:rna30474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative U4/U6 small nuclear ribonucleoprotein Prp3 MKAKLKDPIPDIEWWDMALLHSGNYPDIANGTIDEGDLKMEKFDFYVEHPRPIEPPAEPAPPPPQPLKPTKQEQKKLRTQGRIAKEKERQGVKEPPKPKIKMSNLHKVLGTEATQDPTRLEKEVRNATAEREQAHIDRNIARKLTPAELREKKKRKLFDEPNTLDTLVSLYRVNGLSHPNARFRVAQENRLTGCAVICDGISIVVVEGGSKSIKR >RHN82117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51374751:51375014:1 gene:gene6243 transcript:rna6243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MYSNKTLDYGFYNFSYREEADKVNAIGICRGDIEPEDCRGCLEAFTTLLSERCGIRKEVIGDPAFIELTSWCMKGCLYLGAVHLDTT >RHN72830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11081986:11083751:1 gene:gene8591 transcript:rna8591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxy-lyase MNPLDTTEFKKQGHMIIDFLADYYENISNYPVLSQVEPNYLKKLLPSFAPSNPEPIETILEDYQKHIIPGITHWQSPNYFAYFPSSGSTAGFLGEILSTGLNVVGFNWISSPAATELENVVIDWLGEILNLPKSFLFKGGGGGVLLGTTCEGILGTLVVARDKILSKIGSENAHKLVVYGSDQTHCAVQKAAHIIGINPKNFRAIKTNKLNSFALLPESLLSTIENDLKNGLVPCYLCVTVGTTSTTAIDPVRKLCNVAKDYGIWVHVDAAYAGSACICPEFRYLIDGVEDADSFSLNAHKWFLTNLDCCCLWLKDPNSLIKCLSTTNSEYLENSASDSKQVVDYKDWQVTLSRRFRALKVWFVLRSYGVDNLRNFLRSHVEMAKTFEGLVKMDKRFEIIVPRKLALVCFRILPYGKKVADGKVTNGEAKLIGKKVANGKVTNGEAQLISSEDVVANEINRKLLDSINGSGDVYMTHAVVEGVFVIRCAIGATLTEEMHVIKAWKVVQEHADVILKDKNGSIFV >RHN70774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53925961:53928769:-1 gene:gene19391 transcript:rna19391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-disulfide reductase MAGLNFEAEYPDSFDVLKVFAAEGVEFLLSCERKVPLSDCNGKIICLFFSANWCRPCRLFIPHLVGLYETLRKRGINIEIIFISFDHDEDGFKEHIKSMPWLAVPFDAKLNRRLIDRYRVDRIPSFIPLCSDALTVDKNMIEWIEDYGADAFPFTRKRHEELKAIDKRKREEVNLDELLTHGGRNFLISGDDRKVLVSELTGKTVGLFFGAYWSPPCHAFTIQLADAYNNLKDTKGHCFEIVLVSTDRDLKEFNVNRTSMPWLAIPYEDRTRHDLCRIFDIKKIPALVFIGPDGKVISLNGQFMVSSYGAEAFPFTESRIRDLEAALRKEGEALPQQVEDVKHEHLLKLDMAKAYVCDSCKKQGKFWTFSCDVCDYDLHPSCLEKVNNDQCW >RHN46470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31986252:31991047:-1 gene:gene40958 transcript:rna40958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MMSCAQAWPEPIVRVQALAESGLTSIPSCYIKPRSQRPTKTTFATQNDHDHINIPVIDLEHLSSEDPVLRETVLKRVSEACREWGFFQVVNHGISHELMESAKEVWREFFNLPLEVKEEFANSPSTYEGYGSRLGVKKGAILDWSDYFFLHSMPPSLRNQAKWPATPSSLRKIIAEYGEEVVKLGGRMLELMSTNLGLKEDYLMNAFGGENELGACLRVNFYPKCPQPDLTLGLSPHSDPGGMTILLPDDFVSGLQVRKGNDWITVKPVPNAFIINIGDQIQVLSNAIYKSVEHRVIVNSIKDRVSLAMFYNPKSDLLIEPAKELVTKERPALYSAMTYDEYRLFIRMKGPCGKTQVESLASQV >RHN53836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4613251:4613768:1 gene:gene28788 transcript:rna28788 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDENARGGRENLDADLANTNYLVLYSHVDGDRNFAHRDDSDEVCTATMIEASHIDTTLKASLQRR >RHN70759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53802431:53803292:1 gene:gene19374 transcript:rna19374 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVFVCGEDERVVSRQQAPGSCPYCGGMIQAVDVDSRWNFCFVPLYHKTKRRHYCTMCSRKLVVK >RHN49339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54097525:54097910:-1 gene:gene44157 transcript:rna44157 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNCPKHMMRVFCLPGGGGPLSGKKRFRVSNFKICQHDHTPFVIISCPENSITYQQDVHNFLYLQEDVSHLKCSYNDPHPQILKHNHLYDLSTLE >RHN64126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56798619:56802441:1 gene:gene26912 transcript:rna26912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MALVVGSSTICKPQIGFLHLSGNLKKQSVSEISFPTLSLSISTTSTRYAPSPSFCSTSSESSVECNMPSSTKIFIKGLPLSTTELHLTKVFSMFGEVTQVKLLIDKKTGQSLGFAYIWFVEEDSAQSAAKEMNGKLFYGRFIYVTIAKPGSSKSLKRKAYKF >RHN78022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11305967:11310664:-1 gene:gene1536 transcript:rna1536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MAIAVLLLFYLLSISLSFHGDAYIGVNIGTDVTNMPSPTETVALLKAQNIQHVRLFDADKSMLLALAKTGIQVIVSVPNDELLGIGQSNATAANWVARNVIAHVPSTNITAIAVGSEVLTSLPNAAPVLVSALQFIQSALVAANLDDQIKVSTPLSTSIILDSFPPSQAFFNRTWDPVMSPLLKFLQSTGSYLMLNVYPYYDYMQSNDVIPLDYALFRPLPPNKEAVDSNTLLHYTNVFDAVIDAAYFAMSYLKFTNIPILVTESGWPSKGGSSEPDATPDNANTYNSNLIRHVLNNTGTPKQPKVTVSTYIYELYNEDLRSGPASESNWGLFYANGAPVYTLHLTGAGTVFANDTTNQTFCVAKSNADSKMIQAALDWACGPGKVDCSPLLHGQPCSEPDNVVAHATYAFNAYYQKMDKSPGSCDFKGVASVTTTNPSHGSCRFAGSGGKNGTNINGTALAPAINSTNSGSFSQYYNGGFFTSYMILALLLSALVVL >RHN49130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52643294:52645413:1 gene:gene43925 transcript:rna43925 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTLNLISKLSVPTQAICSVQFQVSLHHLPSLCVATTFAKKAISKGSKGDRKIKLKHNLIHDN >RHN45989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27563493:27563987:-1 gene:gene40421 transcript:rna40421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVMQRKLTMDYDGNVRVYSRKNMSENWYVSWQVISDTCIIHGVCGANSTCSYDPKKGKKCSCLPGYKVKNHSDFSSGCEPMFDFTCNRSESTFLKLNGFELYGYDKYFVQNSTYKNCESLCLQDCNCMGFQYKYEEGQNIFKCYTKLQLLNGRHSPSFVGTAHT >RHN82533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54559987:54565807:-1 gene:gene6704 transcript:rna6704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(+) ADP-ribosyltransferase MEANNGKASNRNVLNLKRKRASRHATCSNGALRPVLPQCTSLVTPRNKVVKHMRLKGYKSKPMKSENHIGRSLVRYYMNYKKSGSPERLMFYQNGGWKDFPQDVVDLVRKDFDVKKAAVEVELNGHHLVLDFLHMYQMNLKSGLQQPIAWIDETGCCFFPEIYAASDEVPYDLCNQDSRKGQESLFQGPNEIKLHLGIEINGVGASKLGECIGESNVTFKHVQIDAKQACNHNNLEIEDSSNNMGNGSVGEAVEQNKSIGFNAYNEIVYGKLDLDTVQKMFLKGTGSFGSAYIVEIYPFSSTLVQSRLELFEKQAEIAKNCRGDANVRYAWLASSKGELPTMMKCGLGHCVPSAPKCMHGIGVHLAAATCPFASASYCDVDENGVRHIVFCRVIMGNMELLHPGTRQYRPSSSDYDSGVDDIHNPRNFIVWNMNMNTHIYPEFVVSFKVSSDAEGLLLGCERKNNIFGVNSASYGPKILPRSESSRVSTGIPTGSPEASTSPRFPFSTLFAAIINKVPAEDMKLIHMYHLHFRAKKMTRDDFLKNLRSVVGDDLLRATLPGLLQRKISS >RHN62303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42484512:42486944:-1 gene:gene24863 transcript:rna24863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein CHP02058 MSSLQIPCFTKHTSLITGRAGNSFSSKPVSFSNYRSSKFQTCQISQQTQPNGAERSEGSTDSSPPMNHLLFVEMGVGYDQHGQDITAAATRACRDAITSNSIPAFRRGSIPGVSFEQMKLQIKLGVPRSLQKSLDIEKVKSVFPYGKILNVEVVDGGLISSSGVHVEEMGDKTDECYIVNAAVYVGY >RHN43072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42978888:42979677:1 gene:gene49595 transcript:rna49595 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPTQAYSDRGGYPPMLLLEGRQKASIKSDRDGGNIPTKSDIDYQKRKAEIDLELEKLKSMSLQEVIACAAQAVAEAEAAMAEAEEATKEAEAAEAEADAAAAIAKAAEKSLR >RHN72977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12304406:12304787:1 gene:gene8746 transcript:rna8746 gene_biotype:protein_coding transcript_biotype:protein_coding MREIMLLEIILIAKSILFLVRVFTIWRHVEYRVVEWMATRLCEVENEPDWCMWPSLKNGSFSMSFSYKLLCDFEQLRGNGDWKMSVPERIGCFIRIVKTWKTIDEL >RHN49636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:56120165:56121801:1 gene:gene44485 transcript:rna44485 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLYRQSPTHPTPILELLFFLMAVNCTCTTKIPNQKYGSFLKYPNKELMLKRNYVPLKKTSTVLRRITASIKNKVYESEPEGVVCYQDEHGEIICEGYDEGPCFQRISKPIYYQYPIPPRDAEITNILLRQSWLQISDDADDYESVNVIIKLQWF >RHN80330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37236483:37240239:1 gene:gene4241 transcript:rna4241 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCVVDYSVCWHCLMAPNLFANMETIASESLNLEKGVTLLNLCLDMSSLFPFSSLRPMEEEASLLIKQFCNFLVSMTNWLSAYCSLVSSTLHSFK >RHN65201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:211806:213957:1 gene:gene13019 transcript:rna13019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S4/S9 MVHVAFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARTLLTLDEKNPRRIFEGEALLRRMFKHGLLDETQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGLPGRVKRKNLKAAAKKASGGDGDEEDED >RHN59852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14034032:14034799:1 gene:gene22015 transcript:rna22015 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVIVLVLVLLQLSSLGVFAIEVGTHHHHHPYHPPTPTPAPVQPPSSYGIHSLRLDHHKKHPPHHPSTPRKAPVQPPSPSPIPSNNLDNPQIERHKPHPHHPPTPTPAPFQSKSSSDIHSLCVDRRKKHRPHHPSSPRKTPVHPPSPSPIPSNNLDNPQIERHKPHPHHPPTPTPASVQPKSSSDIHSLRVDRHKKHRSHHPSTPRKAPVHPPSPSPISSNSLDNPQIERRRRLPHHPPTPTPAPIQTPSSSFL >RHN45975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27469320:27475943:-1 gene:gene40405 transcript:rna40405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MENTALKNLDHDEHEEEIPDSFCCCVCLDLLYKPIVLSCGHMCCFWCIHKSMSGVRESKCPTCRHQYYHFPTVCQLLHFLLLKLYPVAYNRRTNQTLEEEKKSGYYSPQFDFDTCESQAKFGHSCSPSSSSTINLVSNSSNVGTSECMDQPGSTSHEGEPEITGTRVEEKALPLDNLTQQKISVADVMCTMCKQLLFHPVVLHCGHVYCETCVYKLADEMLRCQVCQIPHPRGFPKVCLEFDHFLEEQFPEEYAQRTDAIELKDVKLKPKTSSSCLLDNGNQGENMEWLSDPDSKAHFGVGCDFCGVLPIIGNRYKCNDCKEKIGFDLCGDCYDSRSKLPGRFNQQHTSDHSFKLVEPDPRRNIMLRLITGQLGDRSFNIQSLGNIEFTAEALGLFDGGVDNQNEPDATD >RHN75638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43990879:43992423:-1 gene:gene11871 transcript:rna11871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanin 6''-O-malonyltransferase MSCFFSFEFHLSYSAISFKLYFIHALHLLKMLLLLNSLHHILPFSQMASPTQQDLKLIEQTLIIPSSTATISLPLTFLDLPLAGPIYVRRQFFYHFPHSTTHFCKTTLPSLKHSLSLTLQHFFPLAGNLISPPPPHKPFILYTQKDSVTFTVIETSANFNHLSANHHPKNLKDYNHLVPKLTHKTTYDDNDIENNTFIFPLLALQASVFPNHGLCIAITYCHVMDDSCCSHFMKSWSFMHRNCEVVDLKSTPCFDRKIFRDPKGLENVFLRDYFEERKTWKEKLIGQTSEEEYVKATIVFAKEEIERMKIWALNQWKKNDHEVQAPQLLSKFVVTCGFIWSSLVKTRYRNENDNDDDEKEEYFRFAGDCRDRLGYKIPEGYFGNCLTLCHATVKRKVMKGEDGFVNGVKEIERAISEMKNEPFKDVGEWRDTFKKMYEVGSVLLVTGSPKFNVYETDFGFGRPVKVEMVHSFKCMSIAESGDREGGLEVGLVFKSGEFEYFCAVIEHGLEALKC >RHN53038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41394723:41399013:1 gene:gene37784 transcript:rna37784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MTKSTPPCIKMKIFILVSFLIFTYANSQQSHLYNQEHEILLKIKNHFQNPSFLSHWTISNTSLHCSWPEIHCTKNSVTSLLMMNKDITQTLPPFLCELKNLTHIDFQYNYIPNEFPTSLYNCSMLEYLDLSQNFFVGNIPNDIDRLASLQFLSLGANNFSGDIPMSIGKLKNLKSLQIYQCLVNGTIADEIGDLVNLETLLLFSNHMLPRTKLPSSFTKLKNLRKFHMYDSNLFGEIPETIGEMMSLEDLDLSGNFLSGKIPNGLFSLKNLSIVYLYQNNLSGEIPDVVEAFELTSVDLSMNNLTGKIPDDFGKLEKLNVLSLFENQLSGEVPERIGHFSALTDFIVFQNNLSGNLPQDFGRYSKLETFQISSNSFNGRLPENLCYHGRLVGLMVFDNNLSGELPKSLGSCSSLQYLRVENNEFSGNIPNGLWTSTNLSQLMLSENKFTGELPERLSQNLSTLAISYNRFSGRIPNGVSSWKNVVKFNASNNFFNGSIPLELTSLPRLETLLLDQNQLTGQIPSDITSWKSLVTLNLSHNQLSGEIPDAICRLRSLSMLDLSENQISGRIPPQLAPMRLTNLNLSSNYLTGRIPSDLESLVYDRSFLGNSGLCADTLVLNLTLCNSGTRSRRSDSSMSKAMIIILVIVASLTVFLAVFLSISFYKKRKQLMRRTWKLTSFQRLSFTKSNIVTSLSDNNIIGSGGFGSVYRVAVEDLGYVAVKKIRGSSKKLDQKLVDSFLAEVEILSNIRHSNIVKLMCCISSDDSLLLVYEYHENQSLDRWLHKKSKIPVVSGTVHHNILDWPKRLHIAIGAAQGLCYMHNDCSPPIVHRDVKTSNILLDSKFNAKVADFGLARILIKPEELATMSAVAGTFGYIAPEYAQTIRVNEKIDVYSFGVVLLELTTGKEANHGDEFSSLAEWAWRHIQIGTDIEELLDDDAMEPSNVEEMCSIFKLGVMCTSTLPASRPSMKEVVKILRNCKDPLANVEKIVDIYDAAPLLKNLKWEKQVEYSV >RHN40257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14628081:14628586:-1 gene:gene46382 transcript:rna46382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >RHN52067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31269919:31273185:1 gene:gene36684 transcript:rna36684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor/ chromatin remodeling BED-type(Zn) family MSINESQTHNHNNVKTKTTLFPSKNHPILTLHLKEPQNQNFPTNSTTLLTKTMTSTNSTSTEPLPSSEELAVKAVNKRYEGLVTVRTKAVKGKGAWYWTHLEPILVRNPDTGLAKSVKLKCCLCDSVFSASNPSRTASEHLKRGTCSNFNNSGLLLKSEPGSVPVSPVPISSVSGSNRKRDQMGVAVSVSTSPSSLSFQNHNLALVEIGYNQVHGNMVNHQNQNQNHQNQNQNQHHLMLSGGKDDLCALAMFEDSVKKLKSPKTSPGTSLSKEQVNSALDLLADWFYECCGSVSLSTIEHRKFQAFLSQVGLPVGSCLRREVSGPRLDARFNEVKSESEAKIRDAMFFQVASDGWKSYGNSSSNFNNNRSLYGLCCGGESLVKFMVNLPNGSSVFEKAVFTGGGVVNSKYAEEVLWETVTGVSGSVVQRCVGIVADKFKAKALRNLENQNHWMVNTSCQLQGFVSLIKDFNNELELFGIVTKNCLKVANFIDNESQVRNVFVNYRMQEMEYGGLIRVPSPKCDPLKNFASVFPMLEDILSCARIIQMVVMEDAFKAMFMEDPNAREVAGMVQNEVFWNEVEAVYSLVKIIKGMVQDIEAERPLIGRCLPLWEEMRTKVKEWCSKYNVVEGPVEKILEKRFRKNYHAAWSAAFILDPLYLIKDTSGKYLPPFKFLTREQEKDVDKLLTRLASREEAHVVLMELMKWRSEGLDPLYAQAVQMKQRDPITGKMKVANPLSSRLVWETCLCEFKSLGKIAVRLIFLHATSCGFKSNWSFMRKVSGNKNSRVALERAQKMIYIAAHAKLERRDFSSEEEKDAELFSISGSDEDSMLAEVYADAMQS >RHN75184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40020387:40026257:1 gene:gene11352 transcript:rna11352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Arf GTPase activating protein MNGKANVTKELNAKHKKILEGLLKLPENRECADCKAKAPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPHYDRVGLENFIRAKYEDKRWVSRDGHPKTPSGLREDKSPSHRQMPAENSGHGYATVAENTFEERKKIQPSNAVPATRRRVPAPRKVPEQVTPATQPQHHEKVEPVASQQQPETSKPNTDTAQSTPPKVDYATDLFNLLSMDDTNENGSKAPGATADDINWAGFQSAAEMSTAEKTGPPNAVESTPLSAPGVEDLFKDSFSVTPSLTPVKPQKDVKNDIMSLFEKSNIVSPFSMHQQQLAMLAQQQSLLMAAAAKSTGVDLKYPTGMQQPSPNVSVQNWPATGFPTSGVVPIGVQGELQNHMQTRNMTPAYPAGNSVQYPPSGFYGMGQVGPVNGMMTVGVNKPQSTPASSTASKSAKEYDFSSLTQGMFAKQ >RHN77145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4418808:4421461:-1 gene:gene570 transcript:rna570 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRSLILYGDGLARFIDPSSSHTNLHSLASLSSCAFLTLSNSNSNFNPSETEDDRIVREFTLLLDASQIHDEQTLPDRFMGMKAAIMTNHSGLKSFSTKLGFSVVQLDGLVKQHASESQDSDIVAVEILKLLGFQEGKVLDNSQFDLVFIHVGAGEKDNGSEQNADVEFVDALVGAIMRQAQPGSDVGSRLHLSVVMSYGKVLEDDESKFSVSKKVDEKDSCFLTLYPLQSYAMKGGVPRKDVRLHSPMLIAQLQHAVTRKDNVQRFTFQDFMKNGGNLTIPADRFLHEIAFKMWKAPKYGA >RHN57198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37251461:37253569:-1 gene:gene32707 transcript:rna32707 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ncADH MSNSPSLKIGIVGFGSFGQFLAKTMIKQGHTLTATSRTDYSHTCLQLGIQFFRDVGTFIEANNDVILICTSIMSFTKVLSSMPLACLKKPTTLFVDVLSVKEHPREVLLRVLPEESDILCTHPMFGPESGKNGWKDLNFMYDKVRIHDEATCSNFLHIFASEGCKMLQMSCEEHDKIAAKSQFITHTIGRTLAEMDIESTPIDTKGFQTLTQLKNTTMRDSFDLYSGLFVHNRFAKQELENLQRALDRVKEMLVQRMREELGPEKD >RHN61030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32388474:32390965:1 gene:gene23440 transcript:rna23440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation efflux protein MKQMEHEKAPISDARSIEETGIPMTTLSLSSRKNSVCRFSSKEKSVGASNESAKKLSLLIVFYAIVMIVEFVGGLKAHSLSVISDAAHLLSDIGGFAISLFAVWASGWEATPHQSFGYNRLEVLGALISVQLIWFISGFLIYEAFGRLVYKNNRVNGKLMFAIATFGFVLNCIMVLWLGHDHHHGFGHSGHGHHHGHNHDHSHHHHQHGHDQSHHQCHGDSDHDHGMEELPKITDEENLTMLSNGKKNTNVLNINIQGAYLHVMTDMIQSFGVMIAGGVIWAKPEWFIVDLLCTLIFSVLSLSTTLPMLRNIFGILMERTPSEINVIQLENGLRCIKGVQEVHDLHVWAITVGKLFLSCHVVAEPGINSIELLSVIKQYCENTYQIQHVTIQIE >RHN68184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33544237:33544608:1 gene:gene16499 transcript:rna16499 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIKRNLSTSGFGLLVNDSNIVVLTRNKKRGGHLKGKKKRDRTRYKEKAQNTRHDVLDRKWITDLVNIGEQRRKRSTRDISFFIWWSGLNPELCIFLCIVHTPTELSSRGVYPRHLLKYALN >RHN58085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43635937:43640411:1 gene:gene33692 transcript:rna33692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphopyruvate hydratase MSSIFIVLYKSLVFMLGFREALDLVKEAIGRTGYDEKIKIALDVAGTNFCIGKRYDLDFKSPQKSGQNFKSAEDMIELYKELCTEYPIVSIEDPFDKEDWEHIKYISSLGICQVVGDDLLMSNAKRIERAVTESACNALLLKVNQVGTVTEVIEVVKQAKEAHWGVVTSHRSGETVDSFIADLSVGLAVGQIKAGAPCRGERLEKYNQLLRIEEELGDQAVYAGEDWRQ >RHN59795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13278300:13278560:1 gene:gene21948 transcript:rna21948 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWLCWFVVLHGGYPSGADTRSFLVVLVGASNGFYGDSRGGWLCWFSWWLVVVVSGGYVFFFMRDLTKFCAFLLRDGVLGLASVS >RHN76177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48272153:48277827:-1 gene:gene12463 transcript:rna12463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MALFRELFILTLFITLSFAEVVSPILDVSSLNRTSFPTGFIFGTASSSYQYEGAAKEGGRGASIWDTYTHKYPDKIEDRSNGDVAVDQYYRYKEDVGIMRNMNLDAYRFSISWSRILPKGKLKGGINQEGIKYYNNLINELLTNDLQPFVTLFHWDLPQALEDEYSGFLSPLIINDFQDYAELCFKEFGDRVKYWITFNEPYSYSIGGYAIGFFPPGRCSKWLSSNCTDGDSGKEPYIVSHHQLLAHAAAVDVYKKKYQESQKGVIGITLVSNWFIPFSDNKFDQNAAERAVDFMFGWFMEPLTTGKYPKSMRSLVGKRLPNFSKKQARLLKGSFDFLGLNYYTSNYATNAPQLRNGRRSYNTDSHANLTTERNGIPIGPRAASNWLYVYPKGIQELLLHIKKVYNNPLIYITENGIDEFNDPTLSLEEALMDTYRIDYYHRHLFYIRSAIKNGVNIKGYFAWSLLDNFEWSSGYTVRFGINFVDYKNGLTRHQKLSAKWFKIFLKRY >RHN49512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55289961:55295672:1 gene:gene44352 transcript:rna44352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MGNSDEEKSTKTEKPSSPVTVDQTNQTNVHVYPDWAAMQAYYGPRVAMPPYYNSPVASGHTPHPYMWGPPQPMMPPYGHPYAAMYPHGGVYTHPAVPIGPHPHSQGISSSPATGTPLSIETPPKSSGNTDQGLMKKLKGFDGLAMSIGNGHAESAEPGAESRQSQSVNTEGSSDGSDGNTSGANQTRRKRSREGTPTTDGEGKTNTQGSQISKEIAASDKMMAVAPAGVTGQLVGPVASSAMTTALELRNSSSVHSKTNPTSTPQPSAVLPPEAWIQNERELKRERRKQSNRESARRSRLRKQAEAEELARKVESLNAESASLRSEINRLAENSERLRMENAALKEKFKIAKLGQPKEIILTNIDSQRTTPVSTENLLSRVNNNSGSNDRTVEDENGYCDNKPNSGAKLHQLLDASPRADAVAAG >RHN55744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22468220:22472186:1 gene:gene30984 transcript:rna30984 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVITEAAPPSRLLEEDLNNFTPSSPPLPSPFLLFPHTQQQQQPLKPTLLIIAISSPSLSLFHNLLTPQTLTSSLILPELPLSYPNNTINIHSLSSTILLAAVQNPIPDNRAYAVAEILLSNQICPDSVIILDSIQPMNHRGLLSSDEAVAFKLESFAERKKANEEKLLGGLDYYPSGSVVDGLGAAILGRCQILKIRASLCVTWPQFDSDVVLLLKDLLRGLGEFEFGFSDDEVFKFGRSKDHVFQSHLYI >RHN46625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33431846:33435770:-1 gene:gene41139 transcript:rna41139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADPFLGVVFENLMSLLQIEFSTIYGIKSKAENLSTTLVDIRAVLEDAEKRQVTDNFIKVWLQDLKDVVYVLDDILDECSIKSSRLKKFTSLKFRHKIGNRLKEITGRLDRIAERKNKFSLQTGGTLRESPYQVAEGRQTSSTPLETKALGRDDDKEKIVEFLLTHAKDSDFISVYPIVGLGGIGKTTLVQLIYNDVRVSDNFDKKIWVCVSETFSVKRILCSIIESITLEKCPDFELDVMERKVQGLLQGKIYLLILDDVWNQNEQLEYGLTQDRWNRLKSVLSCGSKGSSILVSTRDKDVATIMGTCQAHSLSGLSDSDCWLLFKQHAFRHYREEHTKLVEIGKEIVKKCNGLPLAAKALGGLMFSMNEEKEWLDIKDSELWDLPQEKSILPALRLSYFYLTPTLKQCFSFCAIFPKDREILKEELIQLWMANGFIAKRNLEVEDVGNMVWKELYQKSFFQDCKMGEYSGDISFKMHDLIHDLAQSVMGQECMYLENANMSSLTKSTHHISFNSDTFLSFDEGIFKKVESLRTLFDLKNYSPKNHDHFPLNRSLRVLCTSQVLSLGSLIHLRYLELRYLDIKKFPNSIYNLKKLEILKIKDCDNLSCLPKHLTCLQNLRHIVIEGCGSLSRMFPSIGKLSCLRTLSVYIVSLEKGNSLTELRDLNLGGKLSIEGLKDVGSLSEAQEANLMGKKNLEKLCLSWENNDGFTKPPTISVEQLLKVLQPHSNLKCLEIKYYDGLSLPSWVSILSNLVSLELGDCKKFVRLPLLGKLPSLEKLELSSMVNLKYLDDDESQDGMEVRVFPSLKVLHLYELPNIEGLLKVERGKVFPCLSRLTIYYCPKLGLPCLPSLKSLNVSGCNNELLRSIPTFRGLTELTLYNGEGITSFPEGMFKNLTSLQSLFVDNFPNLKELPNEPFNPALTHLYIYNCNEIESLPEKMWEGLQSLRTLEIWDCKGMRCLPEGIRHLTSLEFLRIWSCPTLEERCKEGTGEDWDKIAHIPKIKIY >RHN67706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29535624:29540609:-1 gene:gene15924 transcript:rna15924 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFSISTKETNDQDGKLFGDKKFLYRQLPEEIFKGQNACDENPGAENSKDFAAGSEVQKALEHQLTSPQELFNEQLMDRQCLINQQQTLAETNITIKPSQEENLEGPTSEITAAATVSTITHEVVPEQKGIEINVEEGNTSANAKKITSSTQLDVAGSSSGQLVTSDCKTSSQEDGDSQIEMTSFSISTTETNDQGSLNHDSFKRVGSNIEEQFPNDDDIIVSKSKPSPSITSLAAYQLPLVPCKEDPCQKVEDLSSLLVKSELEQLVSKNHLDWGNFYLLNDFFVKNPSVRLKDTSLSNRYKGCAYNLLAELLKFLKTHSVLEVLGSFHSEFEELLQDARRFGFDKDWLDGVERRTLCPDMQDSQDVLKKLLDSKEHVTKEVEVLRLKIRILSEQMEVLSEHVEVLKHQLTSSQAILESINQQEVALSAPVGY >RHN65678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4305859:4306625:-1 gene:gene13547 transcript:rna13547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MSKTIMFLYAMTLFLFLLHIEKSSGVLIDCKTVKDCPTSYTKIYRCEDNKCRFSFVIGL >RHN49664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:143172:145046:-1 gene:gene33867 transcript:rna33867 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFRFLAYMKKVVDSGFATWCGGDGDDRRLPQRFRSQSSSFPGSLGFPARACLQEHFVFRSALSSGAVFFRRFKLSFC >RHN80060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34698856:34699437:-1 gene:gene3936 transcript:rna3936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hyoscyamine (6S)-dioxygenase MNQMKETMSVFKEVFEMPNEYKQNMYANDDLKTCRKFTSSLRYETEKVHLWRDSLRHPSHPLDQWQHLWPENPITYRECVGDFSVKIKELGWRIMDLISEGLGLQRGYFDNDLTGSLITSINHYPPCP >RHN68221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33839317:33839805:1 gene:gene16541 transcript:rna16541 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVGVPICVQCGTRSNPCRCKVVGPTLGFVAFVAAAVVEWPVGALVYIFKHKKGRRIMGHPATVVYPKVNRAIPI >RHN45966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27361083:27363383:1 gene:gene40396 transcript:rna40396 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRNIKWSSHKPNLSSYSNLEIIRLLVSRGGRYAGEWIIVNNSFRTNSRYFMYSNTY >RHN41208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28146164:28148816:-1 gene:gene47503 transcript:rna47503 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MCTIPEEIGYLDKLELLSLYNNSLSGSIPSKIFNLSSLIYLEVEHNSFSGTIPSNTGYSLPSLQYLYLNDNNFVGNIPNNIFNSSNLIHFQLHDNEFSGTLPNIAFGNLGFVEFFLIYDNNLTIDDSHQFFTSLTNCRYLKYLELSGNHISNLPKSIGNITSEFFRAASCGIDGNIPQEVGNMTNLLYFSLSGNNITGPIPGTFKELQKLQHLSLSNNGLQGPFIEELCEMKSLGELYLNNNKLSGVLPTCLGNMTSIIRLYIGSNNLNSKIPSSLWSVIDILEVDLSSNAFIGNLPPEIGNLRAIILLDLSRNQISSNIPTTFSSLQTLQKLSLADNKLNGSIPKSLGEMVSLISLDLSQNMLTGVIPKSLESLVYLQNINFSYNRLQGEIPNGGRFKNFTAQSFMHNDALCGDPRLQVPTCGKQVKKWSNEKKLILKCILPIVVSAILVVACIILLKHNKRRKNENNVGRGLSTLGAPRRISYYELVQATNGFNESNFLGRGGFGSVYQGKLLGGEMIAVKVIDLQSEAKSKSFDAECNAMRNLRHRNLVKIISSCSNLDFKSLVMEFMSNGSVDKWLYSNNYCLNFLQRLNIMIDVASALEYLHHGSSMPVVHCDLKPSNVLLDENMVAHVSDFGIAKLMDEGQSQTHTQTLATVGYIAPEYGSKGIVSVKGDVYSYGIMLMEIFTRRKPTDDMFVAELSLKTWISGSLPNSIMEVMDSNLVQITGDQIDDILTHMSYIFSLALNCCEESPDARINMADVIATLIKIKTLVVGANTV >RHN59805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13443131:13448647:1 gene:gene21961 transcript:rna21961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase with a phosphate group as acceptor MWKRATSSLKSLLSFHITQEGSKLNNAFHCHRFISGSPLHFQEKDVVSPKHMDSVITFVLGGPGSGKGTQCARIVETFGFKHLSAGDLLRKEMVSDSEYGAMILETIREGRIVPSAVTVRLILREMQYGDNRKFLIDGFPRSEENRIAFEHITGTEPDFVLFFDCPEEEMVKRVLSRNQGRIDDNIDTIKKRLKVFEALNLPVIDHYARRGRLHRINAVGTEDEIFEQVRPVFAACEQTAA >RHN51210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15085928:15086789:1 gene:gene35603 transcript:rna35603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MTEARWLNENYIPTTEEYMRVSRTSCCYSLLILASYIGMGDKVTENIFKWVTNEPKIVNGAANICRLMDEIVSTEFEQKRGHVCSLLDCYKKHHGMSREAGIQECQKGVAIAWKDINRDCLRPTEVPMDFLTRALNFSRFMDVFYTDKDNYTHAEGLMKTYIKDVMVDPIPI >RHN38805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2169353:2171872:-1 gene:gene44773 transcript:rna44773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MNVLSANVVQQLSFSFSKSLSSFEWENKNKNALFLTVTVAKPPSRSTVIRMGGGPRTYPGGVSKWQWKRMQAKKAKQLLKARLCRERQIYEMRKRAELKAAVSDLERPWEVVEKPPKLFSIKADEQLKVLADRFQKPGGFDLWTENDGPQLFQTPDELPSARFFPKGVVHSIKPYMKVTSDDLLEGSDVLENDGGEGYGSDDVHDGEGGSSSPLNYGRNEVNVGSRLQKNGNGRRYLSDNVDRSHDGERSSHLSAERSELNVRGGLKKNGNGRRYLSEDVVRSHDGERSSHLSTGRSELNVRGGFRKNENGRCYLPEDVDQFHDGERSSHLSTRKTELNVRGGLRKNGSRYSLGDVDRPDNEDPYSSLNSGRNGSNIDARMRKHGNGRKFIPKGVDGSDDAERSSPSHARNGASFDGNFGNKGSARRVLSNDGDAVRSNGSGDIRLRRKESGKRFMSKDVNGSNGMYAGRDASGRTQRGSNSIAGRRYGKYTQRSSNNVSRRVRDADSEVYDMGLQQDGSYQFLQNEQPDSTSW >RHN41015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25818690:25819430:1 gene:gene47284 transcript:rna47284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H dehydrogenase (quinone) MMAAQFKAFLDATGGLWKTQQLVGKPARIFYNTSSQGGGQETTALTAITQLVHHGMLFVPIGYTFGAGMFEMEEVKGGSPYGLGTYAGDESRQASKLELEQAFHQGMYIATITKKLKETA >RHN74094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27545117:27545623:1 gene:gene10070 transcript:rna10070 gene_biotype:protein_coding transcript_biotype:protein_coding MEREETKESDEKEVEEKGEEKENEKEKEEKNVGKFWPTVTLVPSSKLICVFKCWDSSSNIIQLPNISLSHEGNKENEETFSQQVEGNYEIWNDDHVHKSKVDEKKTNSSNKSEELYKVKVTCDGFHRFVFDPGGIQAINSRSNSVEEGEYDVILKFSLLTRIIIVIVE >RHN59582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12256898:12262420:1 gene:gene21630 transcript:rna21630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEEYNDVLLEAQLTDLVDGAVDYRGQPAIRSKSGYWRSAWFIIGVEVAERVSYYGIQGNLISYLTGPLKQSTAKAAENVNVWVGTASLLPLFGAFIADSFLGRYRTIILASLIYIMGLGLLTLSAMLPSITFCSPQSQVILFFISLYLIAIGQGGHKPCVQAFGADQFDEKHPKEHRARSSFFNWWYFTMVAGASATLLILSYIQDNYSWVVGFGIPCVVMIIALIVFLIGTITYRFNINDNNKSPFLRIGRVFVVAARNWKNTLSTTDIEEEHDGLLRRHQSSEQVNFLNKALLTPKGSKDADHCSLGEVEEAKAVLSLIPIWATSLVYGIIFAQIFTFFTKQGSSLERTIFPGFNIPPASLGSIGGVAIVLFTPIYDRLFVPLARVITGKSSGITMLQRIGTGIFLSIFTVVLAAFVEMKRLKIAQEHGLVDDPNAIVPMSIWWLVPQYFLFGVSEVFTMVGLQEFFYDQVPNELRSMGLALYLSIIGVGSFLSGFLISLIEHFSGKDGHDSWFCDNINKTHLDYFYWLLSGLSVIGFTLFIYFAKSYIYNHKGVITQG >RHN70789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54013971:54017609:1 gene:gene19406 transcript:rna19406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain, tetratricopeptide-like helical domain-containing protein MSTSYLPATTDSIAQALEAKDTSGAISILYRVLDDPSSSPEALRMKEQAITNLTDLLRQENRGEDLRSLLTSLRPFFSLIPKAKTAKIVRGIIDSVAKIPGTSDLQISLCKEMVQWTRAEKRTFLRQRIEARLAALLMETKEYSEALTLLSGLVKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGAIDLQSGILHAEEKDYKTAYSYFFEAFESFNALEDPKAIFSLKYMLLCKIMVNQADDVGGIISSKAGLQYVGPDLDAMKAVADAYSKRSLKFFETALQDYKAQLGEDPIVHRHISSLYDTLLEQNLCRLIEPFSRVEIAHIAELIELPIDHVERKMSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPATLETISNVGKVVDSLYVRSAKIMA >RHN65091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64127666:64128238:-1 gene:gene27988 transcript:rna27988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MSELMKNPRVMKKAQSEIRETFKGKKRTYESDLQELSYLNSVIKETMRLHPPATLLIRECREACNIDPKYWYDAEKFIPERFHDSKYFDFNKVNSNNNNFEYIPFGGGRRMCPGILFGLANIELPLAALLYHFNWELPNGMKPEDLDMTEAFGAVVARRNNLYLIPTPYI >RHN40376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15772801:15775256:-1 gene:gene46523 transcript:rna46523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase with an alcohol group as acceptor MNKAEDLANNIESSATQIVVENDVNNKVNYLDYLPDEAKEILKSLANKWENVLDANELEVIPLKGAMTNEVFQIKWQTTEGEMSRKVLVRIYGEGTDIFFDRENEIRTFAFISKNGQGPRLLGRFAQGRLEEFIRARTLSAPDMRDPSISALIASKMKEFHDLDMPGSKNVYLWERLRRLLSPEEVEMFHLNTMDKEISLLEKELSITPQRIGFCHNDLQYGNIMLDEVTNSVTIIDYEYASYNPVAYDIANHFSEMAANYHTETPHILDYSKYPDLEERQRFVHTYLSSSGEQPSDNEVQKLLDEIEKYTLASHLLWGLWGIVSEHVNKIDFDYKEYAKQRFQEYWSRKNHLLSPNGSSHDNVIDGNGK >RHN70594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52595875:52596434:-1 gene:gene19190 transcript:rna19190 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQQLLHRRCYLRTLPFLVDRLTCPCSTLMPVMWRCHSGIIQIM >RHN44921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9960699:9969117:-1 gene:gene39098 transcript:rna39098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MGTETTSMDITEPLIQQEQQQNNNEKKNEFLTEPVPEWKEQITIRGLVVSGVLGCLFCIITHKLNLTVGIIPSLNVAAGLLGFFFVKTWTGLLMKLGIVTKPFTRQENTVIQTCVVACYGLSFSGGFGSSLLAMDQRTYELIGPDYPGNRAEDVKNPGLGWMIGFMFVVSFLGLFSLVPLRKVMVLDYKLTYPSGTATAMLINSFHTKTGAELAGNQVRQLGKYLSISFFWSCFKWFFSGIGDSCGFDNFPSFGLTLFKNTFYFDFSPTYVGCGLICPHIVNCSVLLGAIVSWGFLWPFVSKHAGDWYPADLGNNDFKGLYGYKVFISIALILGDGIYNLVKIIMITVREMWRTRSKQNSLPVVAEVLDGDNSELHLEEKKRNEIFLKDGIPTWIAASGYVGLAAISITVIPIIFPPLKWYLVLGSYILAPALAFCNSYGTGLTDWSLASTYGKIGLFIIAAAVGTNGGVIAGVASCAVMMSIVATAADLMQDFKTGYLTLSSAKSMFVSQLIGTAMGCIIAPLTFWMFWTAFDIGSPDGPYKAPYAVIFREMAILGVEGFSELPKYCMEMCGGFFAAALAINLLRDVTPKKYSQYIPIPMAMAVPFYIGAYFAVDMFIGTVILFVWEQLNRKDAEDYAGAVASGLICGDGIWTIPSAVLSILRINPPICMYFGPSASS >RHN77721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8942680:8948681:1 gene:gene1204 transcript:rna1204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MAGDLIADDFQVGCHVMLVTPTSWLRNLQGNEVVSYDVIRKALEYGFEISWLRHIFQDRCKGSTQCSLNTSTETLQCVCFCRSFWGTWSETCGIRSQLSFYTKDTIITIWIGLIEVIKGPNLKYEYDTEYIIGLRTGHYVLPSFFAARFLFGMTLFIALLIYKWKKRHLSMFDYIEIYLQQENNLLPIGYSYKEIKKMARGFKDKLGEGGFGTVFKGKLRSGPCVAIKMLGKSKGNGRDFISEVATIGRIHHLNVVQLIGFCTEGSKRALVYEFMPNGSLDKFIFSKEGSVNISYSQIFEISVGVARGIAYLHHGCEMKILHFDIKPHNILLDENFTPKVSDFGLAKLYPVENSIVTMTAARGTIGYMAPELFYKNIGGVSYKADVYSFGMLLMEMAGKRKNLNAQAEHSSQLYFPLWIYDQFGHEGEIEIEDVTEEEKKIVKKMIIVALWCIQLKPDDRPSMIKVVEMLEGDVESLEMPPKPTLYPHETVVDDQRTNLDQTMSSDDYTSSYDSVERETSSLIENIA >RHN62277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42288220:42291752:1 gene:gene24832 transcript:rna24832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stearoyl-[acyl-carrier-protein] 9-desaturase MALIPTPFPTQTSSFSLPQISSLRSPKFVMASTLRSGSKEVENIKKAFTPPREVHVQVTHSMPPQKIEIFKSLEGWAEETLLTHLKPVEKCWQPQDFLPDPSSDGFEEQVKELRERAKELPDDYFVVLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFISHGNTARLAKERGDLKLAQICGLIASDEKRHETAYTKIVEKLLEIDPDGTVIAFADMMRKKIAMPAHLMYDGRDDNLFDNYSAVAQRIGVYTAKDYADILEFLVGRWKVADITGLSGEGRKAQEYVCGLPPRIRRLEERASARAKESSKLAFSWIHDREVLL >RHN82177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51711219:51717428:-1 gene:gene6304 transcript:rna6304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthine/uracil/vitamin C permease MAAGESGKVDHFQPHPVKEQLTVDCCVRSSPSWPEGIFLGFQHYLVMLGTIVIVSTILVPLMGGGNVEKAEMIQTLLFVAGINTLLQTWLGTRLPVVIGASFAFIIPAISVAFSSRMSVFLNPRQRFKQSMRAIQGALLIASFVQGMIGFFGFWGIFGRFFSPLSAVPLVTLTGLGLFVIGFPRLADCVEIGLPALVILVILSQYIPQKIKSRGVDRFAIIVTIGIAWAFAEILTAAGVYNKRPLSTQFSCRTDRSGLITAAPWIRVPYPFQWGSPTFNVGDIFAMIAASLVAIVESTGTFIAASRFGGATHIPPSVLSRGVGWLGIGTLLNAMFGAATGSTASVQNAGLLGLTRVGSRRVIQMSAGFMLFFSIFGKFGAVIAAIPLPIVAAVYCVLFAYVASAGLGFLQFCNLNSYRSMFIVGFSLFIGLSVPQYFLEYLTLSGHGPAHTGSTSFNNIVQVIFSSPATVAIIVAYFLDATMSREHASTHRDSGRHWWEKFRTFNQDIRSDEFYGLPMNLNRFFPSA >RHN64616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60461295:60466152:1 gene:gene27447 transcript:rna27447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MAGLAPEGSQFDAGKFDQKMNDLIAADGDEFWTSYDEVYDSFDAMGLAENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGVLQQLDYNVTQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSTGVHVVVGTPGRVFDMLRRQSLHPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPGKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINFDLPTQPENYLHRIGRSGRFGRKGVAINFVTKEDEGMLRDIQKFYNVLVEELPSNVAELL >RHN75139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39690272:39695609:1 gene:gene11299 transcript:rna11299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleophile aminohydrolase MLGIFHKGLANPPEELNSPASYKGLKKPKLPEEILREFISHHPDNTCSMNFGKAALAYVRPDKPFSVHQRLFCGLDDIYCLFLGSLNNLSLLNKQYGLSKGTDEAMFLIEAYRTLRDRGPYPPDQVVKELDGSFAFVVYDSTFGTVFAALGSDGGLKLYWGIAADGSVVISDDLNVIQEGCAKSFAPFPAGCMFHSEGGLMSFEHPLNKLKAMPRIDSEGVMCGANFKVDKFSRVNSIPRVGSESNWMEWEQH >RHN71144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56762166:56762710:1 gene:gene19793 transcript:rna19793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diphthine synthase MEAYTSLPSFGLSSYGLSNLEKLYGKPITLADREMVEEKADDILSQAQLSHADFLVGATTTHTDLVVRAKKMGIEVKVVHNASVMNAIGICSYIVMVCSYIVMGELFQYLSLRRRGDLIASIYEKIQQSLYLGLHTLCLLD >RHN53324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:954318:962390:-1 gene:gene28219 transcript:rna28219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein Networked (NET), actin-binding (NAB) MATLSESESRRLYSWWWDSHNSPKNSKWLLENLTDIDTKVKSMIKLIEEEADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHAMGELRHAHKTMPEAFPNSAYYILNDDSPCGSLGPDAESHTSARPTHRSKKNERSSEESNGEVQTLREALAKMQSDKDALFLQYQESLENLSKMETDLNKAQNNARGLDDRASEAEIQVEILKESLMQLKADKDAGEVLYNQCLETIARLESMLSQKDNIEAKNLKQELTRVVVQKDTVLLQYKQCLEKIPMLENKIALAEENSRMLNDQIERTELEVETLRKNLAEMNEERDSLSVLYHHCLEKISKMENEILHVQENAEQLKNKIEKEAEKLEISEKHRGMLEKSNQNLQLEAENLVQRIASKDHELLEKHTEIERLQTLMHGEHSNFIQIESALQALQKLYSQSQKEQRNLALELKYGLLLLKDLELSKQDFKEEMQGIVEENKTLHELNFSSTRSLKKQQMEISKLKEIKEKLEREFHTSTEESNVLQRETHQIKDDIQHLNERYQAMLEQLQSLGLNPNSFAASVRDLQNENFMLKETCKKEHSEKEALREKSKDMNEVLMENACMEFSLLGLNDELDGLRGTVKEIQQFCQVLQEEKSILADEKSTLLSQLQIITESMQKILENNTVLEKSLSDAKIEFEGLRIKSGDLEDCCKLLNDEKNNLQNERSMLISQLEIVEEKLSNLEKKVTNLEEKYADVEKDKESAVNQVEELFASILVQKENHSNHKHSSEARLANLENIVRVLQEEQRLGKVEFEQELDRVVNAQIEMFILQNCIEELELKNFVLLTECEKLVEASKFSDKVISELESENLMQLIEEEFLLHRIRKFKMDIHKVCGVLQIDSDGGGDNEIKKEEIPISRILDKIESLESSLVKSQEENQQLLVENSVLLGSLQQHQSEGEKLKLEKKTVEQEFENMREQNVILQKDKVELLEENRQLRIEVVNGVEKENRSKSTLAALQAEMIELRQTNQVFQEENGKMLDEKNSLCRNVSDLKDAKSSAEDENSVMFHDVLALSNLNLVYEIFFTENMVEKRALCEHLGNLSHLNNDLNQEFGVLRKNFEVKEAENVYLNESIERMDKELLEMDKRLKAAETSNAEFSRHIEELKMEQEESTKIKENLDRQILEQSENCMNHKKEIEHLNEANETLQFEMKTLLHEVEQHRVREEALNLELLNKENEFKLWENEAAAFYHDLQMSSICLALLESKVSELTGVCKILDDESSAKSLENEHMREIISLLESEIGGLKEQLSAYVPLVSSLKEDFNSLEHISLLWTKRNSVVGNGAQKDVVIETCLRKHSHQSARENEIVLIPDGVSDLLTLQTRIRAVEKIMMEELKRRVKQKSLTTESTPYSSLEIATYPKVENRKKEIELVEENVFDRNSWRKKPKIRLLMKDIPLDRNVDDQNSKYLKREHRRTNDHVLELCENNEHEPLSAPTVDHAMICHRSDDSGRYLNYSSELDIEKELGVDKLELSKSVKEKTEDDKRRILERLSSDGQKLAILKMALQDLKKKTETKKKSKQGNDIEYETVKRHIEEVEEAVMQQVSINDQMAKNVEEGASSLDREIPRRGSEQIGKLQFEVQNIQYILLKLAEENNNKVKNRISRKTGILLRRKLRVCGCSGPSTNED >RHN77260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5491115:5495184:1 gene:gene698 transcript:rna698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MEPKSVNPTRRPQREAKKKAVAALCEQQRKRKRVPLGEITNDVNTNANANANDVVLPTQKKRNVSTSSNSDGTPVPEKLEKFEDPQLCETYVSDIYDYLRNMEVDSSKRPLCDYIQKVQRDVNASMRGVLVDWLVEVAEEYKLVSDTLYFSVSYIDRFLSLNDLTRQKLQLLGVASMLVASKYEEIKPPEVEDFCYITDNTYSKEEVLTMEADILKSLKFELGGPTIKTFLRRFITKVGLEGVDASELQFEFLCSYLAELSLLDYNCVKFLPSMVAASVVFLARFMLSPKTHPWNSAIYEFTRYKPADLKECVLNIHDLYLGRKGGSLQAVRDKYKQHKFKCVATTPSPPEISLSFFEFRGADP >RHN45196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12867061:12867249:1 gene:gene39425 transcript:rna39425 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDRMVRHLPEWVLMQYRYAQTVPRPPTDIGTVAPEAVAMAFMEFSLHVLSQQQRGDGSR >RHN62081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40599200:40600093:1 gene:gene24614 transcript:rna24614 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPLFVTQTPITTDELNLFYQIDRELFCFLIFKLHHEVTQSLLVMALWLWLEKVGYHNLVSKVTLLQGTVINALVNEAVTCLQILGKDEPAIPIGGGLPLTTRLVKKDISLQMFILKRHTAITGIKNVLNNTCTRIFNDVLQIVLKSKIMIATRGTTSRIHTLNMPLVLPGFPHPLFGTFDLLPRIENISLSNEKIWVQNILYDDATDDDRSVFLTFSRGFHVSEVEVMYLFTTNYGDCVQSLTMGRNVVQGDQPLFAIMILKMVEIVDQILNGKRVAKLQINGKHIWARKYEPRV >RHN59606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12462418:12462973:-1 gene:gene21659 transcript:rna21659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MLIVLYLNLIEDVFEDTRIPISEVTGRILAKVIEYCKKHVEAESSDGKPSEDELKKWDAEFVKVDKPTLFDLISAANYLDIKSLLDLIFGKRCRNLKRYFIFP >RHN44884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9409734:9411616:1 gene:gene39051 transcript:rna39051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterol 3-beta-glucosyltransferase MFIFCGPFCWRRMLGFFRLFRCFSIIMRRNKDPHISLALGSWSLKGCFRLRCAYKLGSLETTGQRGIISKGWGGLGDLTEPKESIYLLDNVPHDWLFLHCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHDRGVGPPPILIDVFSLPKLIAAINFMLDPKVKEHAIELAKAMENEDGVTGAVKAFFKQLPKNKPEPNTEPSSSSCFTYIARCFGYS >RHN58622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3412936:3414636:1 gene:gene20549 transcript:rna20549 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNNNTEERRRRIQERGSDRMALITGRINALPPTPRSATSSPVHPRHTQSMSVSAFDSHYDKENDNLPRHTRPHSLASTAFATNFVQDNADNADDNKHDASSASRLKHQGGFKYSNFETKSEDEPLIQDSKAKETESSSRPKQTGSLNTNQAKKPHNWRRHTFFSSRELNFCILTSENTRALSSLIIALLVVFYYLISSKSILASRPLYIVLVTDVTIVIARIYSEKARVLEENKGEMVEAAEDGRSWNDAVKLLERGLVVYQAIKGVFIDCSIYLVVVVCCISIM >RHN52629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37232040:37232474:1 gene:gene37323 transcript:rna37323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MHDRGQQPDIITYNSILDALCKKHHAGKAIALLTKLKDQGIRPNMNTYTILVKGLCRSGKLEDARKVFEDLGYNLDVYAYTVMIQGFCDKGLFDEVLALLSKMEENGCIPDAKTYEIIILSLFEKDENDMAEKLLREMIMRGLL >RHN69171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41493381:41497455:-1 gene:gene17605 transcript:rna17605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MDRSGEGKREEELGFERLASFVCECEPLSQEDLEAIDATLQSSLPKPSTTNNNNNKRPFASHSPPRRSSRRRLPTSLIALQLPPSLSFSPSPGHLKTRLPVMKFCGKIMYSRTFTDVEKAVTKFLKIIEEKKRDMIQIPIGFDIEWRPTFKRGVPPGKTAVMQICCDTNHCLVLHLIHSGIPRNLQLLLEDSSVLKVGAGIGGDASKVSRDYFISIKGVEDLSYHANQKLGGGPNKWGLASLTEKLLSKQLKKPSKIRMGNWETPYLSKEQLEYAATDAFASWFLYQTIKDLPDAQEVADKSIEVDGAPQQ >RHN46608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33232862:33233299:-1 gene:gene41115 transcript:rna41115 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPIKVPTYISSCRGLLMNARNCFVQFFYVSLDIATLFVLKCGAWTYCVKSLTSVRSWPDNLFISGGNLHLTSRFCGVMLGSTTISNMVSESLHDSLGHLLSGFRYQVTHHLCSRFRCVILTGQFLFFHACQASYDASFFMSW >RHN41932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34172282:34175653:1 gene:gene48308 transcript:rna48308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MLSFTKIIVIILIILHLSMCCNAHIFTFTMHHRYSEPVKKWSHSAPSPSHRWPEKGSVEYYAELADRDRFLRGRRLSQFDAGLAFSDGNSTFRISSLGFLHYTTIELGTPGVKFMVALDTGSDLFWVPCDCTRCSATRSSAFASALASDFDLSVYNPNGSSTSKKVTCNNSLCTHRNQCLGTFSNCPYMVSYVSAETSTSGILVEDVLHLTQPDDNHDLVEANVIFGCGQVQSGSFLDVAAPNGLFGLGMEKISVPSMLSREGFTADSFSMCFGRDGIGRISFGDKGSLDQDETPFNVNPSHPTYNITINQVRVGTTLIDVEFTALFDSGTSFTYLVDPTYSRLSESFHSQVEDRRRPPDSRIPFDYCYDMSPDSNTSLIPSMSLTMGGGSRFVVYDPIIIISTQSELVYCLAVVKSAELNIIGQNFMTGYRVVFDREKLILGWKKSDCYDIEDHNNAIPIGQHSDKVPPAVAAGLGDYPTTDSSRKSKYNSQHSSASQSTSLYSRTSLLTCFGFLISYILFCLHVL >RHN60070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:18301138:18305353:1 gene:gene22273 transcript:rna22273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol dehydrogenase MECQLHFFAQIQREFFAFLPYLPTTMSRAKQVITCKAAVCWGLGKPVTVEEIQVDPPKAKEVRVKMLCSSVCHTDISSLKGFPHNQFPLALGHEGIGVVESIGDQVTNLKEGDFIIPTYIGECEECENCVSGKTNLCLTHPVRLTGLMPDNTSRLSVRGQTLYHVLSCASWSEYVVVDINYLLRVDPNINLAYASFISCGFSTGYGACWKEANIESGSTVAVFGLGAVGLGAISGAKMMGASKIIGVDKNEMKREKGEAFGMTHFINSNDSDKSASELVKELNGMGVDYCIECTGVAPLLTESLEATKMGTGKAIAVGIGAELVVPFGLLAIQFGRTLKGSVFGGIKAKSDLTTIANKCQKQEFPLHELFTHEVPLVDIDKAFELLKDPTCVKVVIKM >RHN41972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34421339:34423339:-1 gene:gene48353 transcript:rna48353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MAEERISGVVQWFNNTKGFGFIKPDDDSEDLFVHQSDIRSEGFRSLSEGDRVEFTIADGDNGKTKAVDVTGPKGEPLQVRQDNHGGGGGGRGFRGGERRNGGGGCYTCGDTGHIARDCDRSDRNDRNDRSGGGGGGDRDRACYTCGSFEHFARDCMRGGGNNNNGGGGYGGGGTSCYRCGGVGHIARDCATPSSGGGGGGACYKCGEVGHIARDCSNEGGRFDGGNGRYDDGNGRFGGGNRRFGSGGGGHDGGKGTCFNCGKAGHFARDCVEASG >RHN65709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4655742:4660843:1 gene:gene13582 transcript:rna13582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEALAVTVLEKLSSAAYKELEIIWNLKEDIERMKNTVSMIKAVLLDAEAKANNHQVSNWLEELKDVLYDADDLLDDFSVENLRRKVMAGKNIVKQTRFFFSKSNKVAYGLKLGHKMKEIQKRLDDIAKTKQALQLNDRPMENPIAYREQRQTYSFVSKDEVIGRDEEKRCIKSYLLDDNATNNVSIIPIVGIGGLGKTALAQLVYNDNDVQRYFELKMWVYVSDEFDIKKISREIVGDEKNSQMEQVQQQLRNKIQGKKFLLVLDDMWNEDRELWLKLKSLLMEGGKGSMVIVTTRSQTVAKITGTHPPLFLKGLDSQKSQELFSRVAFSVSKERNDLELLAIGRDIVKKCAGIPLAIRTIGSLLFSRNLGKSDWLYFKDVEFSKIDQHKDKIFAILKLSYDHLPSFLKKCFAYCSLFPKGFVFEKKTLIQLWAAEGFIQPSNDVRRVEDVGHEYFMSLLSMSFFQDITVDDCGDICNCKMHDLMHDLAQLMVGNEYVMAEGEEANIGNKTRFLSSHNALQFALTSSSSYKLRTFLLCPKTNASNYLRQSNVLSFSGLKFLRVLTLCGLNILAIPNSIEEMKHLRYIDLSKSIVLKDLPPGITSLQNLQTLKLSDCSELEILPENLNKSLRHLELNGCERLRCMPQGLVQLVNLQTLTLFVLNNRSTNVNELGELNNLRGRLEIKRLDFLRNAAAEIEFVKVLLEKEHLQLLELRWTYDEDFIEDFRHWSSLPKRVIQENKHRLEDEKILEGLQPHHSLQKLVIDGFCGKKLPDWIGNLSSLLTLEFHNCNGLTSLPEAMRNLVSLQKLCMYNCSLLEERYAKPYGQDWRKISRIRKVEILPMRPSLLKYFT >RHN39115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4195624:4196576:-1 gene:gene45111 transcript:rna45111 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSCPIELEPRTLNEVQLTEARELAAEVVEMFEPSEASALFVEGIVHPIKEETHMDENEKQVEKLIDFTKKTETIPYEEVCQCQCSCSAESQAYIVDVKEPLSAPF >RHN71308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58123594:58124239:1 gene:gene19975 transcript:rna19975 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSTVSLVLLVLAVALILNGYSASAEGEVRANQLVKDEVGTLNSAAAEGDGRVNQLLVKDEADQLHDDDDKSHKSRKKIIIKLIFIICINYNKLCGIDKSYCSQYNNLCRNIHTESIVAKSGNPNAEILP >RHN51142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14319734:14324793:-1 gene:gene35526 transcript:rna35526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MTTMSIMNQLCFKLIQIIFLMCLLFQAYQLVCSKEVVRCIQSERQALLQFKAGLIDEYDNMLSSWTTEDCCQWKGIGCSNVTGHVIMLDLHGNYDHYNYDYNYHISGDIHKSLMELQQLQYLNFSRNNFEGNSIPGFFGSLRNLRYLDLSYCYFRGQIPIQLESLSHLKYLNLSYNLLDGLIPHRLGDLSNLQFLDLSNNYLEGSIPSQLGKLTNLQELYLSGLTIDNEDHNGGQWLSNLTSLTHLHMWSISNLNKSNSWLKMVGKLPNLRELSLRYCDLSDHFIHSLSQSKFNFSTSLSILDLSWNNFASSLIFQWVSNISSNLVELDLSVNDMVDLPSNSFSCSLPKLRELRLSWNKFTSFMILHSLSNISSNLVELDLSQNRLEDPPSYGYGTVMNSLQEIDLSYNKLKGVAFKSFMNVCTLRSLNLIQNNFTEDLQTILRNLSSGCVRNSLQVDNRITGTLPDLSAFTSLKTLDLSSNQLSGEIPGGSSLPYQLEHLSITSNTLEGVIPKSFWMNACKLKSLKMSNNSFSGELQVIIHHLSRCARYSLQELDLSSNKINGTLPDLSIFSFLEIFDISENSLNGKISEDIRFPTKLRTLQMSSNSMNGVISEFHFSGMSMLKELDLSDNSLALRFTENWVPPFQLNSIGLRSSKLGLTFPKWIQTQKYLLDLDISKAGISDNVPEWFWAKLSSQECNSINISNNNLKGSIPNLQVKNHCSLLSLSSNEFEGPIPAFLQGSALIDLSKNKFSDSRPFLCANGINEILAQFDVSNNQLSGRIPDCWSNFKSLVYVDLSHNNFSGKIPTSMGSLVILRALLLRNNNLTGEIPFSLMNCTQLVMLDMRDNRLEGHIPYWIGSELKELQVLSLKGNYFFGSLPLELCHLQFIQFFDLSLNSLSGRIPKCIKNLTSMTQKDSSDGFTYHFYFIRSEYAYELNALLTWKGVEHVFNNNGLVLLKVIDLSSNHFSEEIPPEIADLIQLVSLNLSRNNFTGKIPSNIGNLTSLDSLDLSRNKLLGSIPPSLSQIDWLSVLDLSHNQLSGEIPTSTQLQSFNATSYEDNLDLCGPPLVKLCTQGEPPHDPKEVQDDEDLLLNRGFYISLTFGFIIGFWGVFGSILIKRSWRHAYFKFMNNLVDNIYVKCR >RHN69788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46280959:46281264:1 gene:gene18302 transcript:rna18302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nascent polypeptide-associated complex subunit alpha MLYVQDLNKIWKWLNSKEEYETGVEADDIELVMTQAGVSRSKAVKALKTHNGDIVGAIMELTDEAGLESNDIELVMTHAGVSKKQGCQGSQDSQRGHYGTT >RHN62775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45746268:45746925:-1 gene:gene25384 transcript:rna25384 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIKNSSALMSPLSVLFPTSTFEVKKSGIEKTKIMGEDRKSEKKGEMGNPETLAKDQSKVGVALVNATS >RHN69338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42722516:42723423:-1 gene:gene17790 transcript:rna17790 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKFLGYPQQQCYGEDGMWMEAKAQQQQSHGFHQDSYTTDHSNYYDKQQFPLPAMHMKPGGFGKESDHSFSKHHGHGSNIGGNHNMFHQDSMSNGHGFGNNNHGHGNGQKFPFGATNKHSPHHGGGVRPFNHHGGGHNDYVSEHEEYEFEAYKEECVGSGASKMDEMRYERHGTYGGDVHYANPYGYNNNNRIKPHGHGHGHGSHKVNWTLKGV >RHN50899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11620678:11622755:1 gene:gene35250 transcript:rna35250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone 7-O-glucosyltransferase MKDTIVLYPAFGSGHLMSMVELGKLILTHHPSFSIKILILTPPNQDTNTINVSTSQYISSVSNKFPSINFHYIPSISFTFTLPPHLQTLELSPRSNHHVHHILQSIAKTSNLKAVMLDFLNYSASQVTNNLEIPTYFYYTSGASLLCLFLNFPTFHKNATIPIKDYNMHTPIELPGLPRLSKEDYPDEGKDPSSPSYQVLLQSAKSLRESDGIIVNTFDAIEKKAIKALRNGLCVPDGTTPLLFCIGPVVSTSCEEDKSGCLSWLDSQPGQSVVLLSFGSLGRFSKAQINQIAIGLEKSEQRFLWIVRSDMESEELSLDELLPEGFLERTKEKGMVVRNWAPQGSILRHSSVGGFVTHCGWNSVLEAICEGVPMITWPLYAEQKMNRLILVQEWKVALELNESKDGFVSENELGERVKELMESEKGKEVRETILKMKISAKEARGGGGSSLVDLKKLGDSWREHASWTSVSPNSPFLFA >RHN45211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13071572:13077052:1 gene:gene39441 transcript:rna39441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MALRYCYKDLVPFGAMVTMECINVALNTLFKAATVKGMSYHVFVVYAYAVAAFVLLPAPFISIRSRVLPPLSKPILCKIGLLGLIGSSSQIMGYTGISFSSPTLSSAISNLVPAFTFLLAIIFRMEKVAIKSLSSQAKVVGTIVSISGAFIVTLYIGPPIMIALRSSNSLHQTLKSSSDQSWAIGGLLLTAEYILVPLWYIVQVQIMKVYPNELTVIFYYNLCVSIIAGIVGVISEPNASAWKIGFDTSLASILCSGIFGSFLNNTVHAYVLRIKGAVYVAMFKPLSIVIAVAMGVVFLGDTLHLGSLIGATIISIGFYTVMWGKAKEEVVEDVSGHETQTTQNVPLLQSYETDRVQKKMDANV >RHN74025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23739744:23746988:1 gene:gene9951 transcript:rna9951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-KNOX family MAFHHDHLSHEINFQPFNEEQQLNQSRDMQRLLPFTGAGAGSAPNWLNNAVNLRQQNFLHLQPDTSQNEDVRGITRDRNRAESNTESSEELSQYKADILGHPLYDQLLSAHVSCLRIATPVDQLPRIDAQLQQSQRVVDKYSSLANANGVVDEKELDQFMTHYVLLLCAFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVSPGEGSGATMSDDEDDQAESNANLYEGSLDGGDSLSFGPLVPTESERSLMERVRQELKHELKQGYKSKIVDIREEILRKRRAGKLPGDTTSLLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHTNPSSSTGSKTKRKSGAGETSNQSFI >RHN68680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37770351:37775049:1 gene:gene17062 transcript:rna17062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein jagunal MQQRKSPLGRPSGTDGSDYSYRMVVDSRYQLVAKGKKRLSVLFTIEALFLLTGVIFAFLPGTKEDTPNRVAISSVIASVVLLIIADIGRRRSRSSLLRLYAVLSSLALLLFTATLANRYSLLKVIQYFSNRGTSSFDVDFPSLQTGLLVYILTFSLFKISTIKAVVFLLFNMTPPKKAS >RHN46168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29348648:29352620:1 gene:gene40611 transcript:rna40611 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVLKVSKRPRYLLGSEFGSPFVGETTKTSPWPQVAVYLDLMANTKVVEKEYTLSLEVFLKTIGFG >RHN47335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38970029:38974948:1 gene:gene41923 transcript:rna41923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MVGESRKWVVLIATIWIQAFTGTNFDFSSYSSEMKSVLDITQLQLNSLSVASDMGKAFGWCSGVTLMYFPLWVVLIMSAFLGLLGYGFQWLVIQRLITLPYYLVFFLCLIAGCSICWFNTVCYVLCIKNFTRNRSLALSLSVSFNGVSAALFTLIANAINSNNDTLYLLLNALVPLLISLLVLPPILYQPQPQQNSSDTLHCRDRDSLIFLCLNILALVTGIYLLFLYSLSSSPTVARAILVGAVFLLAMLLFLPYIVYSREWSCFTLPTSFSLYESSFARIDNNDEHELHKELISMEDNDAMNSGSVQSMMIEKSFCFASVLEKEKLTMLGEEHTTKMLIRRWDFWLYYIAYFCGGTIGLVYSNNLGQISQSLGHGSLTSSLVTLYSTCSFFGRLLAAVPDLFSSKIHFARTGWFAAALIPTPIAFILLAISGTKTTLQLGTSLIGLSSGFVFSAAVSITSELFGPNSVGMNHNILITNIPLGSCLYGLLAALVYDSNATSRRDSIWLREMSMCMGRKCYMQTFIWWSCISIVGLVSSFLLFLRTKQAYDGYERNKTRNRIQAS >RHN73635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18388981:18391145:1 gene:gene9472 transcript:rna9472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MENKDNIEATIKFLTSSLKTSIAISSALDESSSRLELLNQRCLSMQASLKPISKQNLLFSNVEHGIDSVLCSVSAILKVFQCVGHLEHSLSIDANSDLFTYVSNTKKLEEALTFLTNNCKLATSWLKSVFKFLQSKTTIGPNEFYLLNVNKSLRILQELQAIENSACLDRGILSIALDKLEMAFHKLLMENSMPLPLVSLYPHNDQQNDVAKQGLQFPSSTRMLQVIADRLNANNRLNKCQTMFVEVRGTNARRSLKTLDLSYLEISTSEFEDAQTMESCIGKWGNHLELVVVKLLEVEYELCTIVFKNIDSKAWMSCFAKMAIELGIFSFIKFGKVVTETKNDPFKLLNLLSMFKILNGLRLKFNQLFRGEACEEIRIVTKDLITRVVNGVSEIFLQLSEQVKFQRPTCPPSDGSVPKLVSFVTDYCNKLLSDEYKPHLNKVLEIHLSWRNELYEEVIFYTQIYSMIKEVAVNLDNWSKAYEDINLSYFFMMNNHFHFYNLKGSLVGNMMGDSWLRAHEQYKEYYAALYLRNSWGNIQTILEISSSMTMTCQDLAKRINTFTLAFDERCKKQCNLIISDEALRKWVCKHLVEGIIPIYKVYLKNYILSLENDDAMVDNKNVKYTAKSLGNRIWSLFQPKLRKHADAGSIKHIDLISKIKKLAISFV >RHN40377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15781508:15781786:1 gene:gene46524 transcript:rna46524 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLGRMRGRQTRDLDRKIVRFHDLAWPLRAHRWPESEIGKNGLDRRAKTWKRRKCRSSRVRLKTQKSGSRVVLRPDWCFVFQKSGFTELGS >RHN72519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8363205:8363829:1 gene:gene8245 transcript:rna8245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MKGSETEISLKPSQKTMSSSSSSSSPSSGVVDTNPSQPSDVPIFRRRLDSDQTPEMSLKAPVVRPYVRSKLPRLRWTPDLHRCFVHAVQRLGGEDRATPKMVLTLMNVKGLTISHVKSHLQVLFLFIYK >RHN65520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2865626:2866358:-1 gene:gene13367 transcript:rna13367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MNLQVLNLKKIIVRDFDFNFPVLKILRWNGVLYKNRKSLDMGWTSRTKLPFFHCLTCIMVIFTDVFLEENLMWLLELLEHCPKLQNFIIQDCLDRYDNEEVAKDWKNPPIVPQCLSSQLKTFVLIDYKDKKSEFQFVTYIMENSKVLQTMAIKSTGFAKRYAKNQMLMKLSSSTMGPTTCKLLFC >RHN44064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1381418:1384682:-1 gene:gene38119 transcript:rna38119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MRMSCNGCRVLRKGCSENCSIRPCLQWIKSPESQANATVFLAKFYGRAGLMNLVNAGPEHLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGSWQLCQAAVEAVLKGAPITPITSEAAANGRGPPLKAYDIRHVSKEENSAASTELTQQRVKPRSRKRSSLAKLKIQEEEKSSNDNKGVESGSTEPTGSVEPVEEVMNRSVSHESSISHQSEAVAVVDGESKDSESETSMILFRDEPELDRKMKPADRTGENGEEKVGLELTLGLEPVSRVYHVVPVKKRRVVLKDCGVGSWNVELGLQYPA >RHN48021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44342471:44345874:1 gene:gene42689 transcript:rna42689 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFTNFTVIKNPSNISIELGYFSLFPLPISISISDFPLPLVSTNLIFPLPFHHPSLSINQSLCLLKTHLSQKLNL >RHN51492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18865916:18866143:-1 gene:gene35935 transcript:rna35935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative N-acetylglucosaminyldiphosphodolichol N-acetylglucosaminyltransferase MLDEEGNDKTRIVVFVTVGTTCFDALVRAVDSENVKEEFLAKGYTHLLIQMGRGSYVPKKAVSNIISYFPSLYVC >RHN39407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6856453:6862682:-1 gene:gene45428 transcript:rna45428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LsmAD domain, ataxin 2, SM domain-containing protein MNLQQVGQPKPSNGYGRRKSEKDAASKSVAPGKSNAGRLASTGAVTGSKGGSYESPSRDRLVYLTTCLIGHQVEVQVKNGSIYSGIFHATNTEKDFGIILKMACLTKDGSSQGQSSGAEFVSKAPSKTLIIPGKELVQVIAKDVAVSTSDLVSESHYDVHQEIMVDSVISQPRHVDLGRELHRWVPDEDVPQCPELDNIFDGPWNSSRGWDQFETNKMLFGVKSTFDEELYTTKLEKGPQMRELERQALRIAREIEGEETQDLHLAEERGLYPDDFDIDEETRFSSVYRGKGVDDEYDENEDNLLDSQNSETFGDISDPVIKRPGKVSGGKGKNGAQTWSNFSFMVSPQPVV >RHN71564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1052387:1053447:1 gene:gene7177 transcript:rna7177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MVYSLGRLSPSRFRVNQLQRPYDDIYIFRNLTHLEIHDFWETAIPVLHLCPKLQNLKLCEQCFVENWDGEDDEESWGEPEYVPQCLLSCLTTYNILHFLGLQNELLLAEYILRNAYNLQTTTIKCKREPLKIERKLSQCPKASATCQLSVCLKDTDYVSSSFFIPLDVA >RHN59290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9139621:9141218:1 gene:gene21295 transcript:rna21295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDPNGVLNFHDLEELHIHQCGSLEHVFPLSVVICCSKLNHLCIGDCKEIVAVIENEEFIFITQQFELNALNTLTFKDLPKLKGFYEGKYTLACPSLGVMTVLGCPSLTVFKTQEPLMLLQEPLFVVEEVIPHLERLDIMIKDANLMISQTENIGSLVTNLKHIGLYRSENEEEVFPRELLQSARALESCSFEEIFLDDRLLNEEIRLKSLKLSHLPKIYEGPHLLLEFIGHLAVEYCPSLTNLIPSCASFNSLISLEITNCNGLISLITSSMGKSLGKLEVMKVKGCNSLEEIITVENNVDVGLLLNLEVLVLDSLPNLNKFSSSKSRIYLPLLVEVEVSECPLLKIFSEGMLSTPNLWDIKRGELYYPLVGSLNNTIGDIFIFEVCINLETDFLLTICLFFFIIS >RHN75865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45670237:45676797:-1 gene:gene12121 transcript:rna12121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Trihelix family MKRIHTANRFEKHKPQEKQTTPPQIMKFVTEAQPSPSSSAVLEDGWSNDATFTLIDAWGKLSKTLNRKYLRQYHWKEIAKTINDHHGYSRKERRTYVHCKNRFEALKKKYAIEKARVSENELYDDEWLFFEKLDSVLGDGLPAKNVSPPVEPTADVPAWALAPVGRRSGAQNTEKQPVQVRMSSESEEEGSRFRRNVASQKSLAPMTMTSSPESVEESRSLRSLSAQKRLSPVITTSSLESAGDLRFRRNLSAFAAAAAAAAEVEVDNSDSYNSDSDDSDFNYEGYDSEVSNESKKRKRGRRDVELGHREVAGRKDRELGYREVTNAMEKLGEVHERVESSKQRKNVDLEKQKMQKQKMQFSKDSQKVQFSEGSQRMEFLKDAQTRWMQFLEDQTQRIQSSEGSQRMQFSRDSQTQRVQYPEDSLRMQFSKDSQTQRMQFPEDSQRSRVQYPKDSQTQRMQFPEDSQRMQFSKDSQRMQQQKTNNSKHMDDVSAPSRSRLDSQSTKKKVRGPTLMKKLADRADGQRIPIEFDQSTGKSIGENKTKFKSYLGFLGRSKISILIEDWDSVDANVKDEIWTEILKIWDVPNSGFLRKKWIAYVGERWRAFKTNLTSRYIHGDLRGQSPLEAYNFLDEETWQAFVQMRLDPAFQEIRKKAQMSSAHHTTPHRLSRGGYELLQEKIMQEKLKQKQGSLGDSVAAPPSPPARHEQWKRARQKPSGDYTSEDSRIIAEKIDSLVEKTAQGTFVPQGRKDILAEAIGKPEHSGFVRGVGRGVGIRQYFGPLERDSTPPVFSSEQLRTIKVELTQQIKEELMQDLEAMGFSKKPSNFPTHSPNTVVPASTKGSSSDVPPIPEEDEIPERCELYVDDLLHAVAYGNIYKLGPTIHNQILENDMVRVVVSEVLDANAQVPMPTDEVETVGQALNNFVQWPKRLVEIVSDKDVDGYEKDDVSPKRSDPHDVSPKRSDPQLDSVQQLVLKAMCMSESIKLELEHDKMKSLWLSQRDIMELCMGKQELSITILRLWLTYLNRLSINVGKSDLYGFIDPCFIQSQHDPSNAEAYIQNKLCDDKKECYLAPYYNNRHWQLLIICPKKNNVVFLCSLERKPDKNIIQTVDSALDEYHKLQGVQKKKPTWIVPVCQRQPESYECGYYIMIHMLKIVSDGIIDSWKKIFGNPEPFDEDELINVRQRCASLILEFIQGTKNV >RHN66662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15845163:15846926:-1 gene:gene14702 transcript:rna14702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, non-heme dioxygenase domain-containing protein MSTPNNLIDFLVNQANGVKGLADLNLPTVPHQYIQPIQARLDSCKIIPHDSEEQSIPIIDFTNWDDPDVQDSIFSAATKLGFFQIVNHGIPINVLDDLKASVHKFFELPVEEKKSVKENSPPEVVRLATSFSPHAESVLEWKDYLQLVYTSEEKIHAYWPAVCKNQALEYMKYADAFIRKLLQVLLKKLNVNELDKEREHALMGAMILGFNYYPACPEPELVSGVGPHSDISSISVLLQDDNSGLYIRGKDGDSWINVPPVNGALVINIGDVLQIMSNGRYKSIEHRVVANGNKTRISMPIFVNPAPDAVIGTLPEVLENGEEPHYKQVVFSEYFNYFFSKAHDGKKTIEFAKI >RHN58264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:427720:428493:1 gene:gene20156 transcript:rna20156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (R)-mandelonitrile lyase MEASSITVSLQFLLLLFFTTIFFPSSFASQQQDKPPSYLKMVANASEFPLEDYYDYIIVGGGTAGCPLAATLSQSHRVLILERGGVIHGKLNLMNQEGFLNTLLSATANNANNEDSPAQSFVSEDGVLNARGRVLGGSSAINAGFYSRADCEFFTKSGLNWDLKLVNESYEWVEREIVFRPDLKTWQSAVRDGLLEAGVGPYNGFTLDHATGTKIGGSTFDSQGKQRFFFYYYMKFFHYNYVILFWCSTCIRLSFAF >RHN71231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57476758:57479116:1 gene:gene19887 transcript:rna19887 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Glycosyltransferase family 92 MNMKPSSPSDSNISINNSTTTFISSPWETMRRKPRTTLLLSLLSILLFAAFSLHLSRDAVSGWRPDYDLDDRPWKLNAKNHVVVHTNANKNNNIVNEFSHQSRRVSSINFRNSFSTVSVLLPDWEILVLVSPNTPLSSSDEYNCLFRNNQMSQAKFSGVLPFTNRTTFKCDMPGTVWRRKIFSQPMLVTGTSENEFQNHSPAAELVRWNFVVYESFSMEDDVVLFAKGVNHRQGYDRPAKELNCVFQIGDGIRTAVTSSVQEVFRCLHPDPSDLGSNSEIGVSLEIIGENIVVPSVAYYTPRPNKPNSKPVAVQNFGPPAQPKYFLCACTMVYNVAKFLREWVVYHSKVGVENFILYDNGSDDDDLERIIKELREEGYNISTLLWIWPKTQEAGFSHSILYSKSKGLCTWMMYVDVDEFMYLPSWRNNGFHFNSNELPSLKSILPREDKGGRIGQVSMMCLEFGPSGQRQHPKEGVTQGYTCRRKVEQRHKSIVLVEAVDRSLWNVIHHFQVNEKQGFRSKQLGPEEGLVNHYKYQAWVEFKSKFRRRVSAYVVDWRQAMNPNSQDRTPGLGFEAVEPKDWTHRFCEVRDQRLKLLTREWFGSFTHNGYRMAWQTR >RHN55666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20860931:20861729:1 gene:gene30884 transcript:rna30884 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSGVKCLSWATLAVLVGEEEGVEEEAAVEGLELQKEKPYISRLNLNLCTSIQQTSKDIHNKRMSIDNGSEPKELSKENIAAHEESTQSLRNIDLNANLRVNEEKNNTSMDIPTHAPLPEPAATTDMQHEEIPGYSFLMSTR >RHN79646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30874414:30874749:1 gene:gene3464 transcript:rna3464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MMEVKHQDWTDTMFPEMEKMMKYGNQEKKKRLTSEQMESLESSFQEEIKLDPQRKMKLSKELGLQPRQIAIWFQNRRARWKTKQLEHLYDSLRHQFEVVSKEKQQLQDEVR >RHN45013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10736206:10738437:-1 gene:gene39206 transcript:rna39206 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQLLAPYRLAPFVSIGCAPTSHIYSHRLSAFQVLQRNGHVADT >RHN80750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40599881:40600649:-1 gene:gene4713 transcript:rna4713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQKVDNMAEIPKFSYTLIIFISLFVVITCFRKPFNPIDCEIIADCKYKACHRPLTAKCVNYKCECVKRGTIVENNYRLMPNV >RHN56364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30337830:30338585:-1 gene:gene31750 transcript:rna31750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MTSDLYLPDECWECIFKFLFKDGDDDDNRCSFKSLSLVSKQFLSISNCLKFSLTIYDPTPPFLCDLFPRFSNLNSLDLRCYYGDLDSLLFQISRFPLNLTSLNFSDQDIFPADGLRAFSKNITTLTSLTCYHLETLNSTHLFLIADCFPLLEELDLSYPVKINYRSNLLDGLEAISLSLFKLRKVDLSRHCYINDQSLFHFINNCKLLEEVIVIFCPRITIACIASAYRKRPIVTVSYSITSEPDDYAIAM >RHN51439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17830041:17830355:-1 gene:gene35873 transcript:rna35873 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEILEKGQLSYTQKKIDRLLNKYDNSLKEFVIHSIYRSMLASMIYGFSRNNGEGIGFLKEAPLKTMQNLEKSKKPPCYECIQNNMYSYFVPKAGKTKVLNKS >RHN75543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43155616:43159373:1 gene:gene11767 transcript:rna11767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MASGGLGLSIDSDPNSSFLYHKQIEFSTLFLNTTTPKTSSGNLYSHKMDTTNNKNKNKNKNKSPFQVNHEISHQPFDHEMRPIINELDFFSQNNNHHNHSSASASASTSTPPSLNLHHHHINDHYTDPSLLEFKVNTSLNLLTTNTSNDQSMMEEDIASDSEDKRAKLELVVLQAELERMKVENHQLRNMLDEGNRKYNTLQMHWMSMVQDKKVEDCNEEQKQVMGGKLDEEKQNGNGGVLVPRQFMELGLPANHSDAIDEPRSQDQSKSLANNNEEGSKDEELVLDHDKKESDRGNERNGSPADRVLAANNNNNVANFSPQTNVEQAEATMRKARVSVRARSEANMINDGCQWRKYGQKMAKGNPCPRAYYRCTMALGCPVRKQVQRCAEDKTILITTYEGHHIHALPPAAMEMVQTTSSAARMLLSGPMTSADGLMNPNYLTRAILPYSSSIATISASAPFPTVTLDLTQSPNQNQFPNNHSNQFQFPFPQNFLPQVFGQTLLNQSKFSGLQMSQDAANSSQQTPQNLADTVNAIAADPNFTAALAAAITSIIGAAQPNNNNGTSNNGNGTIANNSNGNVTSSNNTNGSPKINNPNSSVE >RHN46318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30688965:30693029:-1 gene:gene40798 transcript:rna40798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase MARCH MSNLQMAHVDLEQENHLHRHHHHHGSDVSGEGSVCFSDADDGGSSYSHFYSTNGGSSYDDYTFACVSDPELGCVHDSRRVSSVSDCSVEVEIRIERVPENKVHLAKVEKDCRICHMGLESESHESGPPIELGCSCKEDLAAAHKNCAEAWFKIKGNRTCEICHSIARNVYSPNEDLTEHVSENNNATASSTLSTAVPTAETQRFWHGHRFLNFLLACMVFAFVISWLFHFNVPSS >RHN73026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12842971:12844355:1 gene:gene8800 transcript:rna8800 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNYAKRVKASIVALLAFLTLIPKSCSYSCCTPPETFSDDDLLEFPLNLEYLEAEFFLFGSLGHGLDVVAPELAEGGPPPIGAKMAKLGKFIKDIILQFGLQEVGHLRAIKSTVKGFPRPCMDLSISSFAKVMDSAFGKPLHPPFDPYANDVNFLLASYVIPYVGLTGYVGANPNLQNATSRKLVAGLLGVESGQDAVIRSLLYERRAWKVYPYGITVAEFTNRISALRNELGNEGVKDEPVSGNILAGDKNSLSYSRTPNEILRIVYGSGDEHVPGGFYPKGGDGVIAKSYLVLN >RHN80328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37221634:37227841:-1 gene:gene4238 transcript:rna4238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zeta toxin domain, P-loop containing nucleoside triphosphate hydrolase MEQDGSIGKAIRFTLAASLAGLTAVATASHYRRQTSKVGVDQKIIPQVDKKQSGRLEKIEKFSDYVARQIGFEDASEVPKLCKLAQEYLQKSKACDQSIYAYLANENDSESLYVKLVDEFERCILSYFAFHWKQAPYVVSQALSIDSPPKTRLKEIVLAATRKQRFERVTKNLKVTRVFSTLVEELKTINVGKEVMVPMALSERSPVLLFMGGGMGAGKSSVLKDILKESFWSGADSKPVVVEADAFKESDVIYKALHNRGHYDDMLLTAEYVHKSSTDAASSVLVTALNKGRDVIMDGTLSWEPFFEQTIAMARNVHKYKYRMGVGYRPDEDGTITENYWEQVNEAEEHHTQKPYRIELVGVVCDGYHAVIRGIRRAIMTRRAVRVNSQLKSHKRFANAFPRYCKLVDNARLYCTNDVGVPPKLIGWKDGDNNLLVDPEDIKSLNNVTSLNTEADSIYELHKEPSPVMEPGSVWNDFVLSPSRSSVQKELRESILKIERSIKKSKSVTLL >RHN79677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31176848:31178067:1 gene:gene3500 transcript:rna3500 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPPSQQPPLALRAPHHPPASPQHQPHQLRVRVLPRPLYHLHLIPHWLPHQLHYSLRATPLGAVSAHCLARPWMHPLLQMMHHKFQTQYLQ >RHN78885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19559258:19560093:1 gene:gene2551 transcript:rna2551 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFRCLISIILVTLIIKGSYGCSLNNITIGTTRSGREINDMPEWNVVVTNNCNCVQSHLTLSCVGFKTLEPVDPSILKVGDGDCLLINGNPLPGFGTVKFSYVWYPPFIFWPKRSTIGSCN >RHN48933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51245024:51245589:-1 gene:gene43705 transcript:rna43705 gene_biotype:protein_coding transcript_biotype:protein_coding MIIMMTIVVDWMRGWRRWRESRVISMHGHDRGTNIIRRSARNRI >RHN55198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16006668:16006934:1 gene:gene30339 transcript:rna30339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MKQNIVHNCLFGQEHCSPFISWYFYFFSECAPKCDYRCSKTAYKKACLTYCNLCCAKCLCVPSGTYGNKEECPCYNNWKNKKGGPKCP >RHN70768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53878243:53882246:-1 gene:gene19385 transcript:rna19385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyltransferase MAPRLDFSSWWMKDSQKGTPVVVKMEDPNFSVVKINGADAAFRPVDKNRGKNAKQVRWVLLLKAHRAVGCVTWLVTVLWDLLGAIKKRLVRRQGVAVEKGKLLFRIISLFLLISLAVLAFEVVAYFQGWHFGNTNLHIPHTSDFQRLFHMVYVAWLTFRADYIAPPIQALSKFCIVLFLIQSVDRMLLCFGWFWIKFKKVKPMINGDPFKVDDVEGSLCIYPMVLVQIPMCNEKEVYEQSISAVCQMDWPRDRLLIQVLDDSDDESIQWLIKAEVSKWNQKGINIIYRHRLVRTGYKAGNLNSAMSCDYVNDYEFVAIFDADFQPNPDFLKQTVPHFKDNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMQLFRLCLPAILKSKVSPWKKANLILLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPLWLICYVPVCMSILNILPAPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWIVTKKAGRSSESDLLAAAERETESIEHQKIHRGASDSGLVELQQLKELKEAVPEPVKKANKIYKKELTLAFLLLTACVRSLLSAQGVHFYFLFFQGMTFFLVGLDLIGEQMS >RHN72757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10468872:10474477:-1 gene:gene8510 transcript:rna8510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger, cation/H+ exchanger, CPA1 family MQAWILTSNLQNLESCLFVLFPYFSYMLAEGLGLSGIVSILFTGIVMKHYAYSNLSQSSQRFVSAFFELISSLAETFIFIYMGFDIAMEQHSWSHVGFIFFSVIFIVIARAANVFSCAYLVNLVRPAHRKIPPKYQKALWYSGLRGAMAFALALQSVHDLPEGHGQTIFTATTAIVVLTVLLIGGSTGTMLEALDVIGGDTHSDSSLASVGPITDYGGNNGYIAPSYEEESSSSGNKIKMKLKEFHKSTASFTALDRNYLTPFFTSHNGDEDEEAEPFTSARSFTSSRSGFHGQSPYASP >RHN64627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60567240:60573087:-1 gene:gene27459 transcript:rna27459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-binding, CRM domain-containing protein MALQPISSLHFQFFQPQTKTHHIFKFSLSCSNSNQKTAQVDIKVVKKKKHRPSFSDQIRHKWSLKLGSQRQKFPWQEQQQQQEEPELVEQPQSQEEQPQPLNFEFPKRLSPWHVAESPKQQSQFHSESDVSEDEENEKPLQQNSSGSVMEKEVQEAESTSLKKRRSNTELAEKFIPEHELRRLRNMALRMVERFSVGVAGITQELVDAIHEKWMVDEVVKFKFDSPLSANMKRAHQILESKTGGIVVWRSGSSIVLYRGMSYKLPCIESYTKVYNAKENAVDNSVDVGSGSSVEVSVKEMVGPIDFNRDSAEYLKDMSEEESMELIELNLLLDELGPRFNDWTGREPLPVDADQLPAVVPGYKTPFRLLPYGVKPCLSNKEMTDMRRVSRRTAPHFALGRNRELQGLARAIVKLWETSAIAKIAIKRGVPYTSNDRMAEELKKLTGGTLLSRNKEYIVIYRGNDFLPPVVTKTLTERQKLTVLQQDEEEKARQNASLITLSNRKSSQMQLLAGTLAETRAATANWGHQPSKQEVGKMIRESTLDRLSSLIRNHESKLALAKTRFRKSEKDLAKIQGDFDPADLPIDLETLTNEERSLFRKMGLSMKPYLLLGRRDVYAGTIENMHLHWKYRELVKILVKGKNLAQVKHIAISLEAESGGVLVSVDKDTKGHIIIIYRGKNYFRPQVMRPKSLLSRRQALARSIELQRREALKHHISDLQEMIELLKSELEDMKNQKVTDGDKTM >RHN40346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15503614:15508255:1 gene:gene46493 transcript:rna46493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cilia/flagella-associated protein 20/WDR90/C3orf67 MFKNTFQSGFLSILYSLGSKPLQIWDKEVVDGHIKRPQDEDIQSNVLEIIGSNIQSTYITCPADPAATLGIKLPFLVMIVKNLKKYFTFEIQVLDDKNVRRRFRASNFQAVTRVKPYICTMPLRMDEGWNQIQFNLADFTKKAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPMQK >RHN49591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55833704:55836285:1 gene:gene44438 transcript:rna44438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MASHIHHYLLLSFNIILHLFSSTLCDQNFNNYIIHMNLSAMPKPFLSQQSWYLATLSSLLDITSNNDQLSYIFSPKLTYTYTNVMNGFSASLSPLELEALKTTPGYISSIRDLPIKPDTTHSPHFIGLNPVFGTWPTTQYGKNIIIGLIDSGIWPESESFKDDEMPNIPSRWKGKCENGTQFDSSLCNKKLIGARFFNKGLLANNPNITITMNSTRDIDGHGTHTSTTAAGSKVEDASFFGYAAGSAIGMAPHAHVSMYKVLWKEGAYASDTIAAIDSAISDGVDVLSLSLGFDEAPLYEDPVAIATFAAMEKNIFVSTSAGNRGPVLETLHNGTPWVITVAAGTMDREFHGDLTLGNGAKVTGLSLYPGNFSSGKVPMVFLSSCDNLKELIRARNKIVVCEDKNRTLATQVDNLDRIKVVAGVFISNSSEDITYYIQTKFPSIFLNPINGELIKDFIKCNTNPKASMQFNKTVLGTKPAPSVDSYSSRGPSHSCPFVLKPDITAPGTLILASWPQNVPATELQFQNNLFNNFNLLSGTSMSCPHVAGVAALLKEMHPCWSPAAIRSAMMTTSDMLDNTKELITDIGNGYRPASPLALGAGHINPNRALDPGLVYDAGKQDYVNLLCALNFTQKNIAAITRSSFNNCSNPSLDLNYPSFISFFNNASVKSKVITQEFQRTVTNVGEEPTIYVANITPIEGFHVSVIPNKLVFKEKNEKVAYKLRIEGPKMEENKVVFGYLTWTDSKHNVRSPIVVTSLNSELTPP >RHN72833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11103062:11103837:-1 gene:gene8594 transcript:rna8594 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MTLTKTYLVVLLIVTISMLFESTTNAIGIAIATKKPIRVRTPQARVWSMCKKLEDPSRAGICFKTILPKALTTPKFNIYKALEVETQAASVQINKTLAIITSLIPKYANNADVSGSLDCCKQQYEMMPDSITNAVAALAVRNAQEVNAQFSGILSYHTSCVDTFAESNDYPVAPFAADAKIVSDLATNCLDIAAAIMNRVKRTP >RHN59404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10308934:10309692:-1 gene:gene21430 transcript:rna21430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MTNMKVACMAIVMCMMVVSTTQAPVRPICDLVKITLADCLDYLTGGVKDPSSLCCDGVKELSTRANTTAIRQKACNRAKDIAMHTSNFNNLRGSGLGLRCSTLLPFPISSNADCTRVR >RHN50444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7190490:7192138:1 gene:gene34727 transcript:rna34727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain 1 MALISSAAVTTVNRVSANLVAPFTGLKSSAGFPVTKKTNNDITSITSNGGRVNCMQVWPPIGKKKFETLSYLPPLTEDQLAKEVEYLIRKGWVPCLEFELEKGFVYRENHSSPGYYDGRYWTMWKLPLFGATDSSQVLKELAEAKAAYPESFIRIIGFDNVRQVQCISFIAHTPATY >RHN72292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6521304:6525098:1 gene:gene7986 transcript:rna7986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospho-N-acetylmuramoyl-pentapeptide-transferase MGHGVKLADSLDGLAGGTAALAFIGMSIAVLPICSELAIFGASMAGSCVGFLLHNRYKASVFMGKTGSLALGGALTAMAACTGMFFPLLISSGIFIVESSLVILQVLYLKITRGFLGGSWHFLRVPLFYRCLHLSRFREPNIVLAAYLISSVLALLGGYVGLISA >RHN66185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9537228:9537881:1 gene:gene14118 transcript:rna14118 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMKFFSFFFVFIFAATLASAQDLSPSLAPAPGPDVGAVGSVTNSVAMIGVSIVLSMLAIFKH >RHN62867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46338440:46338976:1 gene:gene25494 transcript:rna25494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRKKIEMELVENRSARYVTFSKRKSGIFKKASELSILCNARVGIVGFTPSGNPFAFGSPNFQAVAEQYLHGGQELEAGSSRQIVTLSENPNIKLMNKELSGLTEELKKVEEVKKGKAPIALSDLNLKELLKVKSSLKELHGDIDASSSLLLLSKKPIRIIDLVCKRMGKSNIVKTSY >RHN47240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38236722:38238625:-1 gene:gene41814 transcript:rna41814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MILCRTKNAVFSHVFKPSLSSLLHLYYSSSSKKNKTNPNLINPKSPSSKSPRPTSSKPSISNLSPKTTLYTLLTDLVSCPTDTDTAIDNVLDCYKAELTSDLVLRVLMSYNHLGRTKTLKFFSWAGTKMDFQFDDSVIEYMVDFFGRRKLFDDIKCLLMTIVAHKGQVSSKALSICIRFLGREGRINEVLSLFDEMESVFGCKPDNLVCNNVLYVLCKKQSSEEMIELALSIFDKIESPDTYSCSNMIVGLCRLGRFEAALEIFRKMDRVGVHPTRSAMNVLIGDLCLMSAKEGSVEKVKVTKTRRPYSILVPNMGGNRAAIQPAVEVFSAVVNSGLLPSTFVVFRLMSELCRLGNTEEAVKVLRIVEEKKLTCVQEGYSIVIKALCDHRRVEEAGKLFGRMLEIGLKPKLVVYNSVISMLCKLGDLDNANGVFEIMNKNRCFPDSITYIALIHAQCACKNWKVAYELLMEMLGLGWIPHFHTYNLVDSLLREHDQLDLCHKLERKLENQKLLKLCKEGQLGDAYEKVKAMLEKGVRLSAYARDTFEHEFQKCGKLEIAHDLLEKTRRVQEPQEINRS >RHN78302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13848357:13872856:1 gene:gene1840 transcript:rna1840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEILTSVVGKITEYTIVPIGRQASYLIFYKGNFKKLKDHVENLQAARERMLHSVERERRNGREIEKDVLNWLEKVNEVIENANRLQNDPRRPNVRCSAWSFPNLILRHQLSRKATKITNDVDQVQRKEVFNQIGYLPPLDVGASSSSTRDGEKYDTRELLKEDIVKALADPTSRNIGVYGLGGVGKTTLVQKVAETANEHKLFDKVVITEVSKNPDIKKIQGEIADFLSLRFEEESNRGRAERLRQRIKMEKSILIILDNIWTILDLKTVGIPFGNEHNGCKLLMSCRSQEVLSQMDVPKDFTFKVELMSENETWSLFQFMAGDVVKDSNLKDLPFQVAQKCAGLPLRVVTVARAMKNKRDVESWKDALRKLQSNDHTEMEPGTYSALELSYNSLESDEMRALFLLFALLLRENVEYFLKVAIGLDILKHVNAIDYARNRLYSIIKSLEARCLLLEVKTDRNIQMHDFVRDFAISIARRDKHVLLREQSDEEWPTKDFFKRCTQIALNRCDMHELPQTIDCPNIKLFYLISKNQSLKIPDTFFKGMRSLRALDLTCLKLLTLPTSFRLLTELQTLCLDFCILENMDAIEALQNLKILRLWNSSMIKLPREIEKLTQLRMLDLSHSGIEVVPPNIISSLSKLEELYMENTSINWEDVNSTVQNENASLAELQKLPKLTALELQIRETWMLPRDLQLVFEKLERYKIAIGDVWDWSDIEDGTLKTLMLKLGTNIHLEHGIKALIEDVENLYLDDVDGIQNVLPNLNREGFTLLKHLHVQNNTNLNHIVENKERNQIHASFPILETLVLLNLKNLEHIFHGQPSIASFGKLSVIKVKNCVQLKYIFSYPVVKELYHISKIKVCECNSMKEVVFGDNNSSAKNDIIDEKIEFLQLRFLTLEHLETLDNFASDYLTHLRSKEKYQGVEPYACTTPFFNAQVAFPNLDTLKLSSLLNLNKIWDVNHQSMCNLTSLIVDNCVGLKYLFPSTLVESFLNLKYLEISNCLIMEDIITKEDRNNAVKEVHFLKLEKIILKDMDSLKTIWHQQFETSKMLKVNNCKKIVVVFPSSMQNTYNELEKLEVRNCDLVEEIFELNLNENNSEEVMTQLKEVTLDGLLKLKKIWSEDPQGILSFQNLINVQVVGCSSLEYSLPFSIATRCSHLKELCIKSCWKMKEIVAEEKESSVNAAPVFEFNQLSTLLLWHSPKLNGFYAGNHTLLCPSLRKVDVYNCTKLNLFRTHSTRSSNFGDDKHSVLKQQPLFIAEEVIPNLEFLRMEQADADMLLQTKNSCALFCKMTYLGLAGYNTEDARFPYWFLENVHTLESLYVGGSQFKKIFQDKGEISEKTHLHIKSLTLNHLPKLQHICEEGSQIDPVLEFLECLNVENCSSLINLMPSSVTLNHLTKLEVIRCNGLKYLITTPTARSLDKLTVLKIKDCNSLEEVVNGVENVDIAFISLQILMLECLPSLVKFCSSECFMKFPLLEKVIVGECPRMKIFSAKDTSTPILRKVKIAQNDSEWHWKGNLNDTIYNMFEDKVGFGSFKHLKLTEYPELKELWYGQLEHNAFRSLKHLVVHKCDFLSNVLFQPNLVGVLMNLEKLDVKNCNSLEAVFDLKGEFTEEIAVQNSTQLKKLKLSNLPKLKHVWKEDPHYTMRFQNLSVVSVADCKSLISLFPLSVARDMMQLQSLLVSNCGIEEIVVKEEGPDEMVKFVFPHLTSIELDNLTKLKAFFVGVHSLQCKSLKTIKLFKCPRIELFKAEPLKLQESSKNVEQNISTYQPLFVFEEELLTSVESTPQFRELELLQLHKLKYICKEGFQMDPFLHFLESIDVCQCSSLIKLVPSSVTFSYMTYLEVTNCNGLINLITHSTAKSLVKLTTMKIEMCNWLEDIVNGKEDETNEIVFCSLQTLELISLQRLIRFCSCPCPIMFPLLEVVVVKECPRMELFSLGVTNTTNLQNVQTDEENHREGDLNRTIKKMFFDKVAFGEFKYLALSDYPEIKDLWYGQLHHNMFCNLKHLVVERCDFLSHVLFPSNVMQVLQTLEELEVKDCDSLEAVFDVKGMKSQKIMIKQSTQLKRLTVSSLPKLKHIWNEDPHEIISFGNLCTVDVSMCQSLLYIFPYSLCLDLGHLEMLKIESCGVKEIVSMEETGSMDINFNFPQLKVMILYHLNNLKSFYQGKHTLDFPSLKTLNVYRCEALRMFSFNNSDLQQPYSVDENQDMLYQQPLFCIEKLSPNLEELALNGKDMLGILNGYCQENIFHKVKFLRLQCFNETPTILLNDFHTIFPNVETFQVRNSSFETLFPTKGARSYLSMQMSNQIRKMWLFELDKLKHIWQEDFPLDHHLLQYLEELHVVNCPSLISLVPSSTSFTNLTHLKVDNCEELIYLIKISTAKSLVQLKALNITNCEKMLDVVNIDDDKAEENIIFENLEYLEFTSLSNLRSFCYGKQTFIFPSLLRFIFKGCPQMKIFSSALTVAPCLTSIEVEEENMRWKGDLNTTIEQMFIEKEVPPSN >RHN57642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40700368:40710355:-1 gene:gene33220 transcript:rna33220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 15-cis-phytoene synthase MSSVVVRVNCDAKSFVGISRIGRRERSVRLCSKVRFGSGGAVVAEPSRSSEERVYEVVLKQAGLVREEKRGANIEFDFEKTIEGDFSNGDLLNSAYDRCGEVCAEYAKTFYLGTQLMTQERRKAIWAIYVWCRRTDELVDGPNSSHITPAALDRWEQRLTDVFECRPYDMFDAALSLSVSKYPVDIQPFKDMIEGMRMDLTKSRYNNFDELYLYCYYVAGTVGLMSVPVMGIAPESKASTESIYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGISDEDIMRGKVTDKWRNFMKGQIKRARMFFDEAEKGVSELSSASRWPVWAALLLYRQILDSIEANDYNNFTKRAYVGKVNKLLSLPAAYGVALLGPKKLTKLFMR >RHN39769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10366950:10374396:1 gene:gene45831 transcript:rna45831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase PEK-PEK family transcription factor WD40-like family MTANSGRGTEEHKRKINDPLPRSPRLCTPIRKENVIGNENNILTRNFASLAGSGPPSTSFCSTTDPKHIVEKLHVRNNKNLNVALGIPPHNFRQHQRNQLAIESKYNNSLSRKIAQKSLRLSKGQKGIDSRKNLNHEQYKAFANIGNMDNTHFVSSKMQSSSATSSYPQLLGEKTVKGKGILHNVMSGAECFNDGLNLRAWMKSESHKVKKSERLYIFKQILELVDFAHSQGFVLEDIKPSCFVLSPLNKIKYIGSYSQHVFDDQKSCFTVFKSCLKAIMTCNGTRKMPWEQDNCACQNLSAKKQKLCEEKTSLKEQHHFNCIHGCDTKMDMETRVNKERLWLDDSSYQHAFAEEKQFISETIEFEEKWYSCPEVLNEEACTFSSNVYSLGVLLFELLCNIESLEAHSTVMFDMRHRILPPKFLSQNAKDAGFCLWLLHPEPSSRPNTRMILESEFIRELEASNSGDNNVIVSEDDVADTQELLHFLISVEEEKKKQEAKLAEELHCLNEDIKEVEGNHSYGSDSAFPSSQLNYLPYHDSSSKIISRSFPSSFVDEAKFMNNISQLENSYFSMRFQGPLKEAAAAKSSDKSVMETRWRLPHLENVGNGPKRIQGSIGCLGPFYEGICKFARYSKFEERGTLRNSDLLSSANVICALSFDRDEDYIAAGGISKKIKIFDLNAISSDSTDIQYPVVEMSNKSKLSCVCWNSYIKNHLASTDYDGVVQMWDAGTGQPLSQYMEHQKRAWSVHFSASDPKMFASGSDDCSVKLWNISEACFFLCILITNHNLKQTFDIISERNSIGTIMSPANVCCVQFSEYSTNLLFFGSADYKVYGYDLRNTKIPWCTLPGHGKAVSYVKFIDAQTVVSASTDNSLKLWDLKKTSSAELSSDACDLTFRGHSNGKNFVGLSVLDGYIACGSESNEVYCYHKSLPVPMASHKFESIDPISGHSNSNDNNGQFVSSVCWRKKSNMLVAANSVGIVKLLQMV >RHN47850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43008446:43009441:-1 gene:gene42496 transcript:rna42496 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLMWKNNKRIERMKFTIYHHGMEMECLLTLFGNIQFIRDSRSILSLGFEGKSGIYILKLEKRYNMQNGTLKL >RHN72761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10486671:10487745:1 gene:gene8515 transcript:rna8515 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLSGKFAKIELKDQSQAFAGLAEAQKKMNLPLILQCFTLNLIFKNQSLQLQWLGTLFRHLEDYSTMSFEKRKSIEIWNFKK >RHN44764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8217598:8218658:1 gene:gene38925 transcript:rna38925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MNMFRENFLCNFHCCSYYDQASLLLKYLDESYKEALYSLSGDCFLDKVKLDYSNPFGNQFCFRIFGFGSINGILCLHDYDNYGPIVLWNPTNQEIKPIPPSPVESVSLCIPDVFKDYVNVFSPYYLHGFGHDSIHLDELWEIYNLKNNSWRKLDIDMPSSLHCMVGTQLYMNGVCHWLCEENSPSGLCLLSFYLSNEAFFITPIPSDEDDCFKFKASWINLVVLNGYISLITFHEVTNNFHIAILDKFGVKGSWTKLFIIGPFSFIERPIGVGTKGEIFFQRKDRELVLFDLSTQMIEELGYKARDISTQIIIYKEIIHAMEE >RHN66550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13659632:13661278:-1 gene:gene14556 transcript:rna14556 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLHLFRDHFLANSFLFAIFTSSSNSYANSSRKVSEFCSFMLFCRR >RHN49443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54836942:54838435:1 gene:gene44273 transcript:rna44273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling SWIB-Plus-3 family MFTSHPMRGLYTIFDLYLRKFVPSTQNKITLDDRETYECLFKEYWGIVKEREGLTDDDVSAALPNYRKGKDLELHKIHCEGEEEKQNDTNNIEEYTGVHKLKKQNRYSSMEFVGWASRPLSSFLASIGRYKTEPMMQWGIRSLIYEYIKEKNLYHPKDKRKFLPDDKLFPIFKKKAVLKSQIYSLLEFHIAKNSDDSSRKENHDEKKNCSADKDIDDQTCLESRLSNLDVLHPLKPGCFASINANNIKLIYLKQSLVIELSKQPESFASRVVGTFVRARVDSNDHKLRNSYHLVRVIGVQHDQMSNGILLQVSFMPKAISISELSDEDFTEQDCEDLRKKVKTGLLPKLTVVSCMIHMVNFFFLKYIADDFNFFFPCWHWKEDLQEKATSLHEDITKHVFILDSMHVNIYLIFSTDVQIFLIYNKFKLDVCFGF >RHN80304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37011043:37011578:1 gene:gene4210 transcript:rna4210 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRNNNLVNMIKIEMVCFCLIDIQMDRMRLLFIEKYKSVLLWSSMQIFIEKYYLDIHIDTIHFLKERIEYDCHVH >RHN68923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39640066:39640236:1 gene:gene17344 transcript:rna17344 gene_biotype:protein_coding transcript_biotype:protein_coding MIPITADLVSVEVKEDSSSSSVATGIGRSKKVSMQAPIAAANGASSANTALQEFCL >RHN76698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:508072:512595:1 gene:gene63 transcript:rna63 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Macro domain-containing protein MTTIFTTSRFLLKTLKLTSHSNAVNLRSFRLSAMNTSAMASSNGNGGVVRFPLSSSNALIIQKGDITKWSIDGSTDAIVNPANERMLGGGGADGAIHRAAGPDLLRACRNVPEVRPGVRCPTGEARITPGFLLPASHVIHTVGPIYDVDSNPAASLASAYRNSLRVAKENNIQYIAFPAISCGVYGYPYDEAATVAISTIKEFQNDFKEVHFVLFMSDIYDTWLNKSDELLKY >RHN40546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17462974:17464442:1 gene:gene46707 transcript:rna46707 gene_biotype:protein_coding transcript_biotype:protein_coding MDCWWCSSASVSSSASVLASLGVCWVLFFVTRWIQIWPFCGGLFRKPERVCSKTRKGLVRLNLLWLVV >RHN40651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18829762:18830209:1 gene:gene46834 transcript:rna46834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 26S proteasome regulatory subunit Rpn7/COP9 signalosome complex subunit 1 MSHFRIADAEENLGESEVCEAHLAKSLFFIWIGDKVCISIIIYFTLLQEKALEHLKITETKTVAVGQKMDLVFYTLQLGFFGMDFDLISKSIDKAKSLFEEGGDRERKNRLKVYEGL >RHN52692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37795389:37795745:-1 gene:gene37390 transcript:rna37390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MKIGRREIIEESKKQLWLAGPLIFVCVFQNSLQIISLMFVGRLDELLLAGASLAISFVNVTGFNVLLSMHV >RHN60902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31489481:31492019:1 gene:gene23297 transcript:rna23297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MPISRIAIGNPSEFGKADALKAALAEFISMLIFVFAGEGSGMAYNKLTNNGAATPAGLVAASLSHAFALFVAVSVGANISGGHVNPAVTFGAFIGGHITLIRGLLYWIAQLLGSVVACLLLKIATGGLETSAFSLSSGVGATNALVFEIVMTFGLVYTVYATAVDPKNGSLGTIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWANHWVYWVGPLIGSAIAAVVYETFFITPSSYEQLPVTDY >RHN75520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42946445:42951596:1 gene:gene11741 transcript:rna11741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bacterial surface antigen (D15) MGAQKSIHAGKAKIDVNVDFTHKLCTYLMLNTFRSDDNPLSLVIGSLCIKHPNLFGGSEKLDVSWDKGLYDSNILIAYRRPREECFAHQSFVVQHSLSPEIGIHGIPVNNFSRSGSGGVNLSRLSVGMDLKEPASSKWSSTTSIKFEHVRPLNDDGRNISMDRDGFALTCSGSPHDSMVVLKQESRHTKANDHSFFHFNLQIEQGIPVLSKWIIFNRFKFVATKGIKLGPTLLLTRLTGGSIVGDMAPYQAFSIGGLGSVRGYGEGAVGSGRSCLVANSELTLPLTKMLEGAIFMDCGTDLRTGYLVPGNPALRHGKPGSGVGLGYGLRFKSQFGHFQVDYAINAFKQRTLYFGLSNLAS >RHN57696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41109537:41110640:-1 gene:gene33277 transcript:rna33277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Two pore domain potassium channel MQEPLLSLLSSNGETHSISKPLLKDQYVLDLAPPSLSQSNSPLIINEARKPSFINLIANLSIKKAKIIHRSRSAPSVLFTNMGVDFHEPSDHGPAQNSIIVRLCFIIVFLYVAIGVTVYMISGSFKGTTTFRPVDAVYFTVVTLCTIGYGDIVPDTIFTKMFTCGFILVGFGLIGFMLNELVVHICDTHEAFLLSMMGGDKYKKILRTYMVDEKKGRMRIRTKVCVALVVVIVCIAIGTVTAHFVENLNWADSFYLSITSVTTVGYGDYSFRTLTGRCFAILWLLVSTFSVSRAFIYLTDYSMQKRSRKMAKMVLQKKITLSDLAAADLDNDGSIRYASMLVTLYKIYPCTFSLVYMNELQGIKIEI >RHN59897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14527534:14529120:-1 gene:gene22065 transcript:rna22065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-methyl-2-oxobutanoate hydroxymethyltransferase MVTAYDYPSAVHLDMVAIDICLVGDSASMVVHGHDTTLPITLDEMLVHCRAVARGAKTPLLVGDLPFGTYECSSNQVKNLDLGKEIMVWNLLCSGHGSSNFERRTNGCHKVGRRFTFKNCCTKAIVEAGIAVIGHVGLTPQAISVLGGFRPQGRNVASALKVVETALALQEAGCFDVGLECVLAPVAAAATTTLQIPTIGIGAGPYCSGQVSWPNIHVCLD >RHN54195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7707809:7711635:-1 gene:gene29195 transcript:rna29195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative immunoglobulin-like protein MSLLRPLKTFYYSQRIAVADRNLELLALQFFCLNFLVKNIITSYEDVYHFYCSITDRSQLPMIAFSWWDDKGTFRAGETATIKVKVLENGDKIDKNNFHLILNVNGKEGNSSYVSTVLSNFEGDFDHWKISFTPIKVGLFNVLISEDRYKVFDSSLHFQVEPGNMYPSVCVASWKGMKYEFEAGSKATIMVLLKDAFGNGISETTQVSYMPDFKLSMLSENGSIATEPDISNMGWNEFDYIVIEFVATKAGNFSMHIEGGNQTLNGSPLPLKVNPGVIDTSKCVAKWNIEHHAWQLSSKMEIFIHQLDQYGNLVSGLYPFDVEVVERDTNLTIPIADLHFEEVDTGIQLFSFGNWEPGNFILTIYDAKHSKSISNMSYVYTVFVGYCDGVKSVVNGSGLNDSVVGIRAQFSVYLNDMYQYPSPVEEGILQVQILRDDDSYSVSPIIYPMLNKTGSRVDSGVRYDGIGRMEIATSPSPSVELGNNSNVSGSSVITSAFQVEYTPEKSGFYDINVYCGNILLNEGHSFRKELAAGEVNISLSSVVRFSSKVEKMSKNEVVVQLLDSYLNPVLSQQLRLKLEITSTNSSEFSTWDTMDNKDGSYSCSYMVKDVGTYEISASFDGNHLLPCPLTINVYSSEYFPKANDDALSIWEDESIAFDALANDSFAGDNASIVEFSKVRYVINSFGTFS >RHN72217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5869650:5869937:1 gene:gene7903 transcript:rna7903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fasciclin-like arabinogalactan protein MVAVKIMGQDKYMINITAMVNGSVAISNNIVRALVTWTLYDRSLVVVYAFSKVLMPKELSHISNHAPITSDVTAPAVYCFKISVVLLLLLLVLWI >RHN67155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24443745:24446548:1 gene:gene15304 transcript:rna15304 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLKAPCSCSGNVKQYEPGYTAPPPKKSKINVEAMSIRYLTALIFNLFYFIFLNRGYPPRVAETNFLSIVGSK >RHN75429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42250877:42251455:1 gene:gene11638 transcript:rna11638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MCSLALIFDVTVYMQWPIHAPVLDYPEFRHLHHLKLILLCFNSNLLGHVLEQCHMLQVLIIQSNKEEPPPLRTWQPESTTVSQCLKSHLTYIHLEGYQGLEDELTFAEYILRNGLFLKTMLIFVDISMDKKDKDCSLKRLTDIPRGSIMCQLEFDPAVSP >RHN60485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27273378:27276561:-1 gene:gene22800 transcript:rna22800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferredoxin [2Fe-2S], plant, Beta-grasp domain-containing protein MASLSAVNVSPLCMIQTANRNQSTIATTAKFPSSFGSTKTYSKTCGLKSSSSYRTTAMAAYKVKLIGPDGKENEFDAPDDVYILDAAEDAGVELPYSCRAGACSTCAGKIVSGSVDQSDGSFLDDNQLKDGFVLTCVSYPTADCIIETHKEGELY >RHN71190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57083784:57084791:-1 gene:gene19841 transcript:rna19841 gene_biotype:protein_coding transcript_biotype:protein_coding MNVERAQYVLMHKRLLHIARTPAASTGPAVEVRLVQVLTSLLSETGLDIQEAHAFSTLDGYSLDVFVVGGWAVEVYISYYFLTYSSVQFSSSIYGH >RHN76436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50226177:50227443:-1 gene:gene12762 transcript:rna12762 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQSASTCRNLGVFGNAQTKRVQLEFQSCLNSAPISIARDLRIPRSGFTKPDSTKTTPSCQLISLMN >RHN70267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50133456:50135392:1 gene:gene18830 transcript:rna18830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A(2) MERTQSSLLQIQPPTYGNLVTILSIDGGGIRGIIPATILEFLESQLQELDGESARLADYFDVITGTSTGGLVTAMLSAPNDKKRPLFAAKDIKPFYLEHSPKIFPQQKDLFGSFGKLFRSLVGPKYDGKYLHEVVREKLGEIRVHETLTNIVIPTFDIKTMQPIIFSSYKIKKTPCMDARLSDICISTSAAPTYLPGYNFKNQDTEGNTHEFNLIDGGVCANNPTLVAMNEVTNQIINQNNDFYAIKPMEYSRFLIISLGTGTPKNEQKFNAKMAAKWGLLDWLTHGGSTPLIDMFSQSSADMVDFHLAAVTRALNSQHNYLRIQDDTLTGTDSSVDISTTENLEKLCQIGDKLLKKPVSKVNLENGMFEPMEKGETNEDALKRFAKILSQERRLRELKSPHTNNKALI >RHN40658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18910986:18914160:-1 gene:gene46843 transcript:rna46843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MNLIKYLVSNFFGPKMWMVFLLIICGLVEGTQSATMTSHQLQMEANAILNSGWWNTSDANFNISDRCHGHGIFCNDAGSIIAIKIDSDDSTYAAWEYDFKTRNLSTLNLACFKNLESLVLRKITLEGTISKEIGHLSKLTHLDLSANFLEGQLPPELWLLKNLTFLDLFNNRFKGEIPSSLGNLSKLTHLNMSYNNLEGQLPHSLGNLSKLTHLDLSANILKGQLPPSLANLSKLTHLDLSANFLKGQLPPSLGNLSKLTHLDLSANFLKGQLPSELWLLKNLTFLDLSYNRFKGQIPSSLGNLKQLENLDISDNYIEGHIPFELGFLKNLSTLGLSNNIFKGEIPSSLGNLKQLQHLNISHNHVQGFIPFELVFLKNIITFDLSHNRLTDLDLSSNYLKGPVGNLNQLQLLNISHNNIQGSIPLELGFLRNIITLDLSHNRLNGNLPNFLTNLTQLDYLDISYNLLIGTLPSKFFPFNDNLFFMDLSHNLISGQIPSHIRGFHELNLSNNNLTGTIPQSLCNVYYVDISYNCLEGPIPNCLQVYTKNKGNNNLNGAIPQSLCNLSVMSFHQFHPWPTHKKNKKLKHIVIIVLPILIALILVFSLLICLYRHHNSTKKSQGNSTKTKNGDMFCIWNFDGKIAYDDIIKATEDFDMRYCIGTGAYGSVYKAQLPSGKVVALKKLHRYEAEVPSFDDSFRNEVRILSEIKHRHIVKLYGFCLHKRIMFLIYQYMEKGSLFSVLYDDVKVVEFKWRKRVNTIKGVAFAFSYLHHDCTAPIVHRDVSTSNILLNSEWQASVCDFGIARLLQYDSSNRTIVAGTIGYIAPELAYTMAVNEKCDVYSFGVVALETLVGRHPGDLLSSLQSTSTQSLKLCQVLDHRLPLPNNDIVIRDIIHAAVVAFACLNVNPRSRPTMKCVSQSFVTELPRLSIPFSEISVQQLMSEELKALFCIANP >RHN81811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49084740:49092556:-1 gene:gene5901 transcript:rna5901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase III Rpc82, C -terminal MVSQWGIKFAVHLITAHFGKIVANVAEKLLNNGPLTLELVTRYTELSNEHVKNSLLVLIQHNCVQAFTEAGDEDGSRVVKPKYLVLFDNIVHRLRFPKFMEIVSQELDDKCVTILKGLLRDGRLTLKQLVDMGQDKENAMAPDAVRESLCRLLRARYVERCPVPEAKIVEEKGDDKKGRSTSNKKARVFKVPEEHRVVEAAKRGDMIRFSLTAYTGCSSDGETKLADISVAENVAKEESFLWRANFEEFIRYLRDKALIENVRARMDDGAATVLSAILEATRNIDKNVKIEQSAPCTLDTISSEVMKTENGRTMTMDRVKAALVQLGCANQNIVDFKRIIHLARNEEVESIVLKRYGRDAYRMFRHLLKENQFRPTDQIAEATLVEKKEAPKLLFKLWRENYLQMEKLTVSMTSQNGTSPILMWKVNQPLLWEHVLDEMYHGALNLKLRMAFEEEKDEEILNISKKTRNESAPLQKKYERLRNVLLLLKSSLMKLDDALMLFHDF >RHN66404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11886962:11890432:1 gene:gene14374 transcript:rna14374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MDDGNSEVSDKLSDNVLFSNPESCSNFQTSTSLNTVTELSNSTTKTCIHTHTCNPSGPDDVYHTHTCFHTHTQVFASEDDTNSIPKRTSGNREAVKKYREKKKAETAYLEEEVKKLKLVNQQLLRKLQGQALLEAELLRLRNILVQLKGKVDNELGSFPFEKNLPCLHPHAGSSSKVNTCAYCKPHE >RHN70887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54759135:54763764:-1 gene:gene19519 transcript:rna19519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-Singleton family MAKETPATFSKFLNFICLFMFMLNFHSTHGEQEFELLLSFKASIKFDPLNFLSNWVNTSSDTICKWHGITCDNWSHVNTVSLSGKNISGEVSSSIFQLPHVTNLDLSNNQLVGEIVFNSPFLSSLLYLNLSNNNLTGPLPQSLFSSSFINLETLDLSNNMFSGKIPDQIGLLSSLTYVDLGGNVLVGKIPNSITNLTSLESLTLASNQLIGEIPTKICLMKRLKWIYLGYNNLSGEIPKNIGNLVSLNHLNLVYNNLTGPIPESLGNLTNLQYLFLYLNKLTGPIPKSIFNLKNLISLDLSDNYLSGEISNLVVNLQKLEILHLFSNNFTGKIPNTITSLPHLQVLQLWSNKLTGEIPQTLGIHNNLTILDLSSNNLTGKIPNSLCASKNLHKIILFSNSLKGEIPKGLTSCKTLERVRLQDNNLSGKLPLEITQLPQIYLLDISGNKFSGRINDRKWNMPSLQMLNLANNNFSGDLPNSFGGNKVEGLDLSQNQFSGYIQIGFKNLPELVQLKLNNNNLFGKFPEELFQCNKLVSLDLSHNRLNGEIPEKLAKMPVLGLLDISENQFSGEIPKNLGSVESLVEVNISYNHFHGVLPSTEAFSAINASLVTGNKLCDGDGDVSNGLPPCKSYNQMNSTRLFVLICFVLTALVVLVGTVVIFVLRMNKSFEVRRVVENEDGTWEVIFFDYKASKFVTIEDVLSSVKEGKVITKGRNWVSYEGKCVSNEMQFVVKEISDTNSVSVSFWDDTVTFGKKVRHENIVKIMGMFRCGKRGYLVYEFVEGKSLREIMHGLSWLRRWKIALGIAKAINFLHCECLWFGLGSEVSPETVLVDGKGVPRLKLDSPGIVVTPVMGVKGFVSSAYVAPEERNGKDVTEKSEIYGFGVILIELLTGRNSVDIEAWNGIHYKNNIVEWARYCYSDCHLDTWIDSVVMKGEDSSTYQNDIVETMNLALHCTANDPTTRPCARDILKALETVHCNTATLC >RHN54494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10017677:10024917:-1 gene:gene29547 transcript:rna29547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling CW-Zn family MRLGRDVLVLTQTANSRSIAFLSQSLNEGKDNIEIPIVSYCRQGQQMEVDPSAQSESLAKFNLKAIQDNSPFNKYLIGEKAALFCGGTGTQIYIWNLDEWGSECCLEWHDGLKGGSSFHQGDIFIRSKRSRARLGQLNQKVPLDYSLRAYLEVIFLVPRMKISVQRKLVKSRPLANFLTNTIIATGDILGRAVELILGFSQLEWDQASCGVFLYWHGRLIEAYKRVGGMIHSADVGRGVIGVMDVTNLMDDQDGRVWVHNNKQGFQDCETYACLEQWLGKKADEYWDNNFDSLSLDNEDNCVYKPDCEWVQCDKCRKWRMLPPTFDNRELPMQWFCYMEPFKGKCADAEQKVKPGIVAVSTKRSGYDCMLKGSRSIKMEPDADVSGTDDKFVNSEDVQHPTLKRLKKGLPRHEDAKSPSLNKSKKR >RHN66063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8176272:8176451:1 gene:gene13975 transcript:rna13975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonoid 3'-monooxygenase MVQLQIATLAHSFNWELENGLNAKKHKHGRSFWPRYSKSCAILVHPKPRLLPHVYSSCF >RHN76385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49847015:49850558:1 gene:gene12703 transcript:rna12703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEKELLEGADPVHDELIHDDKKRRKGGFITMPFIIANEALAKLASVGLIPNMILYLTEDYRIRVVKATKIMFLWLAATNFSPSVAAFVADSYMGRFLAIGLGSILSFLGMAVMWLTAMIPQARPPSCNHHSTENCQSATSSQIAIIFSCFALISIGGGGISCSLSFGADQLSKKTDPKNQRVLESFISWYIASQAIAVVFSMTAIIYIQDHLGWKLGFGVPAAVMFLSTLLFFLVSSRYVKNKPHSSMLSGFAQVIVVSYKNRNLSLPPKDSVGMYHQNNDSTLVAPTDRLRFLNKACIIKDKEKDIASDGSASKRWNLCTIDQVEELKAIIKIIPIWSSSIMVSVSSSQSSYHLLQAQTMDRHITSNFEIPAGSFGVFIMLAVFITAGVYDRIFLPLASKIRGKQVTISAKKRIGIGIFFSILDLVVSAIVETIRRKKAIQEGYIDNPKAVLNMSAMWLIPHNILCGMSEAFNAIGQSEFYYSQFPSSMSSIAASLFTLGSAFGNLLASLIVSIVDDITSRGGKESWVSDNVNKGRYDKYYWLLTIMSILNIMYYLICSWTYGPSDVEATSEKEERLTIRASRVHHEKEAS >RHN76683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:403865:405097:1 gene:gene47 transcript:rna47 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MALSNEKVRNSIPNDLVYSIMSKLPLKSLTRFKCVRKSWVLLFDNPNFMNMYHKRFISNKSYDDDTCLLLKQTGQDLENLSSLYLISGGRFDNKVKLDWPPLFQEEVSDIRILGSGVNGNICLYIDGISSKAVVWNPIIEELKVIPSEPSVPVPPYVRFADQLYGFGYDYVRDDYKIIRHVGFHLDVYNLNDPRVILSLSDALYNPFWEIYSHKNNSWRKLDLAMTTFYHNLLCVPKQVHTNGVCHWLGKTETDMHNIYLVSFDLGNEEFFLTPIPSTRKNNINFVWVNTHLTVLNESIALISSEARTTTFHISILGEIGVKESWIKLFIVGPLPFVGYPIGVGMNGEIFISKEDNELARCDISTHTIQDLGIKGVSCACQVVIHKKSLISIAEKSSFNWRKVLFSCLGL >RHN49101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52437337:52439564:1 gene:gene43893 transcript:rna43893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MFDSSNSEMTKSNGLGSSHMFTYLTPLKKPRVDSNQTATTNSQKQYLMGCEYQKNGKVNFSNFSIPAVFLKSNQQRVQEKESKAVACTKFQQQKHLTLNAATKSNSGYERSRELLPTVDEHSEAAASHTNAPRIRGKRKASAINLCNAQKPSSVCSLEASNDLNFGVRKSHEDTDDSPYLSDNDEETQENIVKEKPVREGNRVKRSYRNAKVHNLSERKRRDKINEKIRALKELIPNCNKMDKASMLDDAIDYLKTLKLQLQIMSMGRALCMPLNHFMMLPAHHMNMNMNMNAQHLMMGFRPHVQFPIPQMGDGVTNNNNDRVQMFGFSNQLPPQMSIPNAPFIPPIIGNSSSTTPPTPTTFGNQNQPGRTCG >RHN76837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1685758:1686648:-1 gene:gene217 transcript:rna217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fasciclin-like arabinogalactan protein MGFKSSSLLCLALFLAVSSSIHALDITKLLGQNPDFAAFNKQLTETKLVDQINSRNTITVLAVSDGAMSAISGKSPQAIKAIMSTHVVLDYFDEKKLSEAVGSGILLTTLFQASGQAKNQQGFLKVKLIGEGEMDFGSAVSGAPIDVALVKTVVKQPYNISILQVAKPIIFPGVDSVSTASAPTAAKNASSPSAAKADAPTAETPSESATAPSPSKEPATNAPAEAPTAEAAGPGGAAADAPPPSSSSRTVVGLVGAMMCFASLLVVM >RHN41349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29348942:29349545:1 gene:gene47661 transcript:rna47661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDLVHMFVYAFIIFLSIPLPPARSDFPCKTKDDCAQQIDYIAECIIGFCRYFTPFEHPF >RHN43672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47637500:47643691:1 gene:gene50283 transcript:rna50283 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPPPVMVDEKSSSTVIGGRDRELLIPVANSGDHSNASKPSSSSSSVHHAGRETFSKVVQSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYVHLGINIFGLGFITSITFIFLIGIFMSSWLGASVLGLGEWFIKRMPLVRHIYNASKQISAAISPDQNSQAFKEVAIIRHPRVGEYALGFITSSVVLQTYSGDEELCCVYVPTNHLYIGDIFLVNTKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDSHVPVEISRPDRR >RHN59718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12707331:12708308:1 gene:gene21822 transcript:rna21822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome f MNDWTMQTRNAFSWIKEEITRSISVLLMIYIITRAPISNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEAVVRIPYDMQVKQVLANGKKGALNVGAVLILPEGFELAPPDRISPEIKEKIGNLSFQSYRPTKKNILVVGPVPGKKYSEITFPILSPDPATKRDVHFLKYPIYVGGNRGRGQIYPDGSKSNNNVYNATATGIVNKIIRKEKGGYEITIVDASDGREVIDIIPPGPELLVSEGESMKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFLASIILAQIFLVLKKKQFEKVQLSEMNF >RHN43679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47665411:47669054:-1 gene:gene50293 transcript:rna50293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal peptidase I MPSSFSIFPSLQTPNSNHAHLFNPIKFPKFHFNSRRLSSPPRSHPTFPHLYKTSSTLRRRIPCSKALKDSGGGGGDGGGGDREVDKKNESSGPFPDWLNFTSDDAKTVFAALAISLAFRTFIAEPRFIPSLSMYPTYDVGDRIVAEKVSYYFRKPCANDIVIFKSPPVLQEVGYTDDDVFIKRVVAKEGDVVEVRNGHLIVNGVERDEKFINEQPKYEMKPTRVPENSVFVMGDNRNNSYDSHVWGPLPAKNIIGRSVLRYWPPNRIAATVAKGGCPVDTKQETPSTTLASQ >RHN48694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49538441:49546404:-1 gene:gene43437 transcript:rna43437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal-recognition-particle GTPase MEAVLASRHLSTPLSHHRTTASSSSKLCSSLSYRNSFAKEIWGFVQSKSVTMRRMDMIRPVVVRAEMFGQLTTGLESAWNKLKGEEVLTKDNIVEPMRDIRRALLEADVSLPVVRRFVQSVTDQAVGVGVTRGVKPDQQLVKIVHDELVQLMGGEVSELTFAKTGPTVILLAGLQGVGKTTVCAKLANYFKKQGKSCMLVAGDVYRPAAIDQLTILGKQVDVPVYTAGTDVKPSDIAKQGFEEAKKKKIDVVIVDTAGRLQIDKAMMDELKDVKRVLNPTEVLLVVDAMTGQEAAALVTTFNLEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKAQEVMQQEDAEDLQKKIMSAKFDFNDFLKQTRAVAQMGSVSRVIGMIPGMAKVTPAQIREAERNLEIMEVIIKAMTPEEREKPELLAESPVRRKRVAQDSGKTEQQVSQLVAQLFQMRVRMKNLMGVMEGGSMPTLSNLEEALKTEEKAPPGTARRRKKAESRRLFADSTLRQPPRGFGSKN >RHN45776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25486198:25488062:1 gene:gene40173 transcript:rna40173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MESQQSHNQLHVTFLPYPTPGHMIPMIDTARLFAKHGVNVTIIATHANASTFQKSIDSDFNSGYSIKTQLIPFPSAQVGLPDGVENIKDGTSLEMLGKISSGILMLQDPIENLFHDLRPDCIVTDQMYAWTVEAAAKLVSDTQKFTVPGLPHTIEMTPLQLPDWLRTKNSVTAYFEPMFESEKRSYGTLYNSFHELESDYVKLGKTTLGIKSWCVGPVSARANKDDEKKASRGHVEEIGKEEEWLNWLNSKQNESVLYVSFGSLTRLENDQIVEIAHGLENSGHNFIWVVRKNERDESENSFLQDFEARMKESKKGYIIWNWAPQLLILDHPATGGIVTHCGWNSILESLNSGLPMITWPIFAEQFYNEKLLVDVLKIGVGVGAKVNKLWNSPSEGIVVKRGEIVKAVEILMGSGQESKEMRMRAKKLGDAAKRTIEEGGHSHNNLILLIDELKSLKKSKSLGEKAD >RHN63116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48578001:48580345:-1 gene:gene25775 transcript:rna25775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MEGASLPPGFRFHPTDEELVGYYLKRKVEGLEIELDVIPTIDFYKFDPWELPEKSFLPKRDLEWFFYCTRDLKYPNGSRTNRATKAGYWKATGKERKIVCRCSSSTITGNCKTLVFYLGRAPLGDRTNWIMHEYRLTDDLSQDSPYFKGGLALCRVIKKNEKSKRVATSSINGSETSMRFSSDVSSQASHLNNGSQNVATIAEFNHVSIETNPSTFWISPDMILDSSKEYTKVQDALFEYFPPSCESPRQSLEHITTSPTLSYSNLNGENEFSDNVSQNGCMSPYSSALGNFMNYNGNWDVPYEVYNQINSVSYPEPF >RHN58365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1143491:1144414:1 gene:gene20271 transcript:rna20271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ternary complex factor MIP1, leucine-zipper MTEVERLEAVKTELHRQIAEEVKINVKLQSYVETRKEALYERRVVLERNVDKLQEQLLMEKSLRATLEAGLEFPPGTSSELSGIDEKTKTNVEEIVLIEADLADLERKVNELGLRLNAQLEWNSSSISQQISSHERNLYVNHYMRASLS >RHN44298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3320940:3323393:-1 gene:gene38400 transcript:rna38400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MSYFVDKKPHAVLIPYPLQGHINPLLKLAKLLHLKGFHITFVNTEYNHNRLLKSRGSNSLDGFTDFVFETIQDGLTPMEGNGDVSQDLASLCQSVGKNFIQPFGELLRRIHDSADAGLIPPVTCLVADFYMPFTIQVAEENALPILLFSPASACNFLTTFHFRTIFDKGLIPLKGLQNFRLKDLPDIIRVEDRKDPILEFVIEVGDSLHKASAIIFNTYDELESDVMNALYSVFPSLYTIGPLPSLLNQTSHNHLASLGSNLWKEDTKCLEWLESKGLESVVYVSFGSITVMTQEQLLEFAWGLANSKKPFLWIIRPDLVIGGSFIMSSEFEKEISDRGLIASWCPQEQVLNHPSIGGFLTHCGWNSTVESVLAGVPMLCWPFYGDQPINCRYICNIWEIGIEIDTNVKREEVEKLINELMVGDKGKKMRQNVAELKKKAEENTSIGGCSYMNLDKVIKEVLLKKY >RHN44530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5761788:5766246:-1 gene:gene38659 transcript:rna38659 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIFILLSSLLLGCLLVRVVSGQEWNKNEFEGDKIKAKFAQKKQMVTPAKADSKINKFASSRKSLTTNTNTKCSKDCDYAVPVYKGSSKRSFRSKQQVFKEPQGIDHTAKEMNSLFFMDYSPARKRPPHGK >RHN59207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8442401:8451426:1 gene:gene21206 transcript:rna21206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperone DnaJ MIRPTGITLFTHLARRSLFRAKDSVYQVVFQRGYRTFNSSSSVIGSSYTRNVRDGVNLKNWLLLGAANSYFGASRSIHGSASLARSYYDVLGVSKDASSSEIKKAYYGLAKKLHPDANKDDPEAEKKFQEVTLAYEVLKDGEKRQQYDQVGHDAYVNNQSGGFGGNGGFNPFEQMFRGGHDIFSSFFHQKFGGEDVKTVVELSFTEAIQGCTKTLSFQTDVLCNACGGSGLPPGTKPETCKRCKGSGVMFVQTGIFRMESTCATCKGTGKIVSSFCKSCQGAKVVKGTKSVKLHTYPGIDNNETLKVYGGGGADPDGSRSGDLYATIKVREDPVFRREGLDVHVDAVLSFTQAILGATIEVPSFTGSVMLKVHPGTQPGQKVVMKGKGIMKGINTFKYGDQYVHFNVSIPTNLTERQRELIEEFAKEEQEESDKRKAASASS >RHN43753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48218305:48221790:1 gene:gene50375 transcript:rna50375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MHTIAYMYKLIFNSCIAYFLLFSLLFVHGKELSCNQTPYPHVCNHYIGTTTNKLSTLDSSSSFHDIVLKVTLDQAIEAHKLVSTMELNNFKDKHAKSAWEDCLELYEDTIYQLKRSINSNNLNDKLTWQSASITNHQTCQNGFIDFNLPSHLNYFPSMLSNFTKLLSNSLSISNTLTSSQSSSSSSSSTKQNGGRRLLSDGFPYWLSGSDRKLLQATPGSGTGPRADIVVAQDGSGNYKTISDGVAAAAKLSGKGRVVIHLKAGVYKENIDIKRTMSNLMIFGDGMDSTIVTGNQNAIDGSTTFRSATFAVMGDGFIAKDMTFENTAGPQKHQAVALRSGADHSVFYRCAFKGFQDTLYVYANRQFYRDCNIYGTIDFIFGNAVTVLQNCNIFVRKPMSNQQNTVTAQGRTDPNENTGIVIHNCRITASSDLKAIQNSVKTYLGRPWQKYSRTVVMKSNLDGLINSEGWAPWMGGFALSTLYYGEYMNVGGGANTDGRVKWPGFHVITNPSDAVKFSVGNFLAGDSWISGSGVPFDAGL >RHN56881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34423690:34428421:1 gene:gene32345 transcript:rna32345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phenylalanine--tRNA ligase MPTVSVGRDRLFAALGKTYTQEEFEDLCFSFGIELDDVTTEKAIVRKEKHLEQEEADEDEEVIYKIEIPANRYDLLCLEGLAQALRVFCGFQEIPTYKLADISKDAMHKIHVKPETSLIRPYVVCAVLRGLTFDKARYNSFIDLQDKLHQNICRRRTLVAIGTHDLDKLEGPFTYEALPPSSINFTPLKQEKSFRADELMEFYKSDLKLKKFLHIIEDSPVYPVIYDSKRLPSS >RHN67999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32030868:32033327:-1 gene:gene16274 transcript:rna16274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-linalool synthase MDVTYVKQALIFKQVYKKLVKIEDSMESFYLIDIIQRFGIEHYFAEEIKVALEKLHLILNTNPIDFVNSHELYEFSLAFRLLRQGGHYVNADLFDSLKCNKRMFEEKHGEDLKGLIALYEASQLSIDGEDSLNDVGYLCRELLHNWLSRNQEHNEAIHVVNTLQNPLHYGLSRFMDKSTFIHDLKAEKDLICLEELAKINSTIVRFRNQNETIEVSKWWKELGLAKEVKFSEYQPLKWYTWPMACFTDPNFSEQRIELTKPISLIYVIDDIFDVHATLDQLTIFTDAVNRWEFTGTEQLPNFMKIALNALYDITNSFAEMVYKKHGFNPIDTLKKSWILLLNAFMEEAHWLNSGHLPRAEDYLNNGIVSTGVHVVLIHAFFLLDHVNGITKETIDILDEKFPNVIYSVAKILRLSDDLEGAKSGEQNGLDGSYLDCYMSEHQDISGEDVQRHVAHMISNEWKCLNQEILVANQFSSSFSNFCLNAARMVPLMYHYKSNPSLSNLQEHVKSLINVSVGCN >RHN57391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38626694:38627316:-1 gene:gene32930 transcript:rna32930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MMNVNDSQIGLQYMGKANYYNDSVVVIVKGFSMELTRILTTFTTIDLSNNMFEGEIPQVVGELNSLKGLISNNGITGEIPTALENLNFLSFLNLSQNHLEGIIPTGQQFDTFGNDSYEGNTMLCGFILSKSCKNDEDQPPHSTSEEEEESGFGWKAVVIRYACGTIFGMILGYIVLFIGKP >RHN41348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29343482:29348542:1 gene:gene47660 transcript:rna47660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQTRKNMDSFLKFVYVFIIFLAIRLPPAKETIPCKTKADCPQHIYYIIECLDGFCNYWRD >RHN47695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41682136:41683036:-1 gene:gene42321 transcript:rna42321 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKERKPPRQPSEAGTGASEANAGASASEANDGASASASEAVCGASTIHGGESTSSANEHVQGASKKRKVGSNTTFIPPKQSKKPKKNPMAFMSSSVKPSANVQTTVHTTNKSHTLIEPTANVTTTVHTSSTSVRPTATVNTVVHTSKISHTVVKPTANVTTVINPKPTIMKKPSVKPDMHRKPPRKPTVRTLDVVRAIVEPIVKVKAPVRRSGRIVWKGMENPIEVVDEEVEEAGGLGKHVAEATPRKLTDAGGSCLALLRSVENVKYI >RHN38777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1906998:1908386:1 gene:gene44743 transcript:rna44743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MLVIFCSALITNDRFKSFEHKVLANKIGPRISVASFFSTGNRSSSKLYGPMKELLSEDNPPKYRETTVADYVTYFVKKGLDGTSALTHYRI >RHN78190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12587191:12590902:-1 gene:gene1720 transcript:rna1720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDIITPGVDPNGQPIDPRQIQQHFEDFYEDIFTELSKFGYVETLNVCDNLADHMIGNVYVLFKEEDHAAAALASLRGRFYEGRPILADFSPVTDFREATCRQYEENSCNRGGYCNFMHVKKIGRELRRKLFSSQRSRSRSRSNSPRRRRRSRSRERERPRDRDYDSRGRRSSDRDRVRDGDRDRERKRDSRDRDGGRRNGREAREGSEERRARIEQWNREKEEKP >RHN65433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2231692:2232173:1 gene:gene13271 transcript:rna13271 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKNKGPSMDLCILPTTTGYLKKNYKRLEQGMRGALVVSQKILEGGWN >RHN51927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28543724:28544245:1 gene:gene36500 transcript:rna36500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MSNCYLMGSSAGGNIAYQAGLRCAATVDEFDFDELKIKGLILHQPFFGGSQRTNLELRLENDRALPLKANDLMWEFALPEGVGRDHKFCNPMVMDEGDDECFNEIKRLRWKILLTGCYGDPLIDRRAEFVMMLRSKGVDVVEYFGEGFHGMELLEPSKDGPLFEQIGYFINQC >RHN71949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3858569:3858985:-1 gene:gene7610 transcript:rna7610 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNNKNYNKKKVQDAEYFEEDEGPEALGEEVGKRGSKRGSESLEVEAALGEGCRKKPFSLIALSSAKESKEEPFLAAEEEEEEPDRLNGSGISGVTGLRTREGKSRILGDKISCLLRGEKVEFEKMGGNKGLMLRFL >RHN60093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20010079:20013341:1 gene:gene22315 transcript:rna22315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLSRIPPFYGDSTYEVFEVVITAYLRFPSRILCSVYSSAKDLLRK >RHN50602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8496633:8497284:-1 gene:gene34908 transcript:rna34908 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYIGILMYTIIFISTTFESLTLKEKTKQTLLQHIAVELNVFHLLQLQDLSSSSSL >RHN69331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42681270:42688735:1 gene:gene17782 transcript:rna17782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MAELRHSSSLGARATSSPMKRDGDSSPLIPDNDLSDDRHSPKDRDRPPCSHFHHLCSFFTDDPRVSLHNSKISIFLVSLLILAGVISVFTILNKLNSPYLCKKDGIVLHCPHVKESASLWENPYSSTTSWKPCAERRDGAISDLPHENETNGYIFIHAEGGLNQQRIAICNAVAVAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFIDYLKDDVRIVRDIPEWFTDKAELFSSIRRTVKNIPKYAPAQFYIDNVLPRVKEKKIMALKPFVDRLGYDNVPSEINKLRCRVNYHALKFLPDIEQMSDLLASRMRNRTGNSNPYMALHLRFEKGMVGLSFCDFVGTRDEKAIMAEYRKKEWPRRYKNGSHLWQLALQKRKEGRCPLEPGEVAVILRAMGYTKETQIYVASGQVYGGQNRMAPLRNMFPNLVTKEELATKDELDGFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYMGHRLKSIKPDKGLMSKSFGDPYMGWAPFVEDVIVTHQTRTGLPEETFPNYDLWENPLTPCMCRA >RHN77427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6794996:6796201:1 gene:gene879 transcript:rna879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxisomal biogenesis factor 11 MASSQHAPPPPNPPPPTNLSITPKPKERDFLTHFETYLAKRDGVDKLLKISRYTAKLILASNLSASDPNLTHRLKSFESSVGVSRKAFRLGKFVQDLNALRNTRVDSTRDLIFSFIAYGGEGFYFFIEQFVWLSKTGLIDPKRSKTFTKISAYAEFIGYFGSIALKTRDLKIIVEDEACLKSSIEINVLRGDGCGEEEIKLRKLREKILMKKLSIVQDLADGLMALDDILDGKGLFSKPIVLSSAGLLSALISTHKNWVSC >RHN63625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52774182:52775031:-1 gene:gene26350 transcript:rna26350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyltransferase MVHTLPFRLVDKNCGKNVIQVTWVLLLMAHRAVGSVTWLATVLWDFLGAIKKGLISRQGVAIEKGKLSFRIISMFLVISLAVLDFEVVAYLQGWQYQFAHSPYF >RHN77467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7043327:7048919:-1 gene:gene929 transcript:rna929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MAIIPRQAKRPTGLWVKMTAVTILGLCFIFVWTVFSSSSSSVSFQRESFEDISEPVSSSSSNVQKQTPKSEKRVEVEKDHKFHKSDEKKVDGSSSNSSSMRPHKKEVAREKKRVHKKDDNKENVNQGSLEKEEEGEKELEDKEEGLDHESEVDLDSDVVGGDDSAESVDEDSEISEELKKTKKGKVKGPLFESNVNYRWKMCNTRSKHNYIPCIDIEVGGGKVQYRHTERSCPRMPFTCMVPLPLEGYDSPVPWPESKMKILYKNVAHPKLAAYIKKHRWLVDSGEYLTFPQNQSEFLGGIQHYLESIEEMVPDIEWGKNIRVVLDIGCTDSSFAAALFDKDVLTLSLGLKDDLVDLAQVALERGFPTLVSPFARRRLPFPSQVFDAINCARCSIPWHSNGGKLLLEMNRILRPGGYFIMSTKHDSIEEEEAMTALTASICWNVLAHKSDDVGEVGVRIYQKPEGNDIYELRRKKIPPICKENENPDAVWHVPIKTCLHTIPIGIEKHGAEWPEEWPKRLETYPDWMNNKEKLIADTNHWNAIVNKSYLNGMGINWTSIRNVMDMKSIYGGLAAALSKHNVWVMNVVPVHAPDTLPIIFERGFFGVYHDWCESFGTYPRTYDLLHADHLFSRLKNRCKQPVSIVVEMDRILRPGGLTIIRDKVEILNALEEILRSMQWEIRMTFYQEKEGILCAQKTLWRP >RHN50463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7307267:7307581:1 gene:gene34746 transcript:rna34746 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEQVEMELEQLEDNPGWPHDLYVLTMYHVHVTRKMSNGYIRWFYTISHPHIIALEEEAHIPKPTEQEAFYEIVAEQEGDNEYLKLIVRSVCIRYHSYLSWT >RHN57592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40370788:40374595:-1 gene:gene33165 transcript:rna33165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transaminase MDFAYGPGRNHLFVPGPTNIPEHVIRAMNRNNENHRTPAITTLTKTLLDDVKKIFKTTSGIPFLIPTTGTGAWESALTNTLSPGDRTVSFLIGQFSLLWIEQQKRLNFKVDVVESEWGQGADLDALESKLASDKTHTIKAICIVHNETTTGVTNDLTKVRKILDKYQHPALIIVDAVSSIGALDFRMDEWGVDVVVTSSQKALSLPTGMGIVCAGPKAIEATKSATSVRSFFDWNGYLKCYNLGTYWPYTPSIQLLYGLRAALDLVFEEGFENVILRHKRLAKATRLAVEAWGLKNCCQKEEWYSASVTAIVVPPNIDSGEIVSRAWKRYNLSLGGGLNKVAGKVFRIGHVGHLNELQLLGCLAGVEMTLKDVGYPVKLGSGVAAASAYLLNNVPLIPSRI >RHN66189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9588301:9588523:1 gene:gene14123 transcript:rna14123 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLECLCCENEILAYMVRSLIKVELVPRCPMIRNMICEPIWFCIR >RHN56599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32156479:32158054:1 gene:gene32029 transcript:rna32029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ALOG domain-containing protein MKAASGGEPPPPSIPSETPPETAQPQPSSPATPTPSRYESQKRRDWNTFQQYLRNHKPPLTLALCSGAHVIEFLKYLDQFGKTKVHVIGCPYFGQPNPPSPCACPLKQAWGSLDALIGRLRAAFEENGGKPESNPFGTRAVRIYLREVKDGQAKARGVPYEKKKRKRPTVTAAAIISTAAAVNSNGPGDGDVIDGNTERIGAGVGVTTNNNTTVTPIVSVSTAIV >RHN71571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1088088:1092035:1 gene:gene7184 transcript:rna7184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative XS domain-containing protein MADESVDAFPTLETVYRVTGYKFNQLSRKLPDAKLNSEQTGESKVIPMPWCSPNSSSNAWSQPNLIQKLKKRGYSGSGLKSNNLQVKSNSPKPLNGSENGVLDDNRLLHCVEDDTAPSKDCKDGQCDDEGISKPNCENNDDEGIPKPNCEKNDDEGMPKPNCENIEDEGIPKPNCENIDDEGIPKPQCENADDEIVDSDTDIVFDSDDDLSLDDTDSDTGEKSHEGSKKSKWFRKFFNDLNKLTVEEISSPATRWHCPACQDGPGAIDWYHGLQPLLNHSRTIKVRRARLHRAFSETLEEECSMRGAPLIRGGEANGLWEGLDNKVKDREIVWPPMVVIMNTKYEQDENNKWTGMGNQELLDCFSDYGALKARHSYGPHGHRGMSVLIFEPSVAGYLESVQLYKHFKEQGRDREAWDRCKNPFVPGGKRQLYGYMASREDLDVFNKHSGKSKLKFEIRSYQEMVESKIKHINDDSKVSEKLRKTTEENHVVQERTKEHHLQNKEEMNEQEKFFLDQIQIIHQSIAAKEDEFTKSQQAKQEVMCVNGDSSVKEDDNHIMEKNSSFTKSQDKDMRQFEAVRDNILKTHGEKQLALKKKQWQEQVELEKELENELTQLMNKHALSHSQEESC >RHN67662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29036049:29038987:-1 gene:gene15870 transcript:rna15870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdate transporter 1/2 MENQNPPLVQTLDPEAPEITPTAPTNPSVITRFTTKDAVQKLKANLVFHSKWAELNGAMGDLGTYIPIVLALTLAKDLNLGTTLIFNGVYNIITGIIYGVPMPVQPMKSIAASALSDKEFGLPEIMAAGILTGGVLFILGITGLMKLGYKFIPLPVVRGIQLAQGLSFALTAVKYVKKVQDLPKSKALGERPWLGLDGLVLAIVCTCFILIVNGAGEQNRGCGVAPESGDNLDGKNSDEGGRNNRNINRLRKIIFSLPSAFVVFVLGIVLVFIRKHEVVNEIKFGPTSIELVKFTKHAWKKGFVKGAIPQLPLSILNSVIAVCKLSTDLFPEKEFSVTSISVTVGLMNLIGCWFGCVPTCHGAGGLAGQYKFGGRSGGCVALLGAGKLVLGLLLGTSLANILKMFPVGILGVLLLFAGIELAMCARDMNSKEDFFVALISTAVSMVGSNASFGFLVGMIVYIIFKLRSSTKDEPFSTIWNQKSLI >RHN67320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25961537:25961884:-1 gene:gene15500 transcript:rna15500 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMSISEFISKLRDTFIQRNFDDVEETLIAREAMFKTKIKEKKKQIELFEKKFQMKRSDKVSVEIELKRVKEERYKNELVKNGGVVKEKLGSRRCIDEAVKKRKIVDLEDEKVA >RHN43996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:629313:634385:-1 gene:gene38040 transcript:rna38040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MFSKYLNIFFITTFFIFLYIITSSFFTSPLTLHSPITTKISHFQFCSTNYTNYCPCEDPKRQKKFPKKNYFRKERHCPQNNERLTCLIPKPIGYKNPFPWPKSKDNAWFSNVPFTKLVEYKKSQNWITLVGDRFVFPGGGTSFPDGVKGYVDDLKKLLPVNLDSGRIRTVLDVGCGVASFGASLMDYDILTMSIAPSDEHDAQVMFALERGLPAMLGVFSTHRLTFPSKSFDVAHCSRCLVPWIANDGLYLREIDRILRPGGFWVLSGPPINWRVNYKAWQTEPTVLEKEQNNLEELAMQMCWEKVAEGGQIAIWQKPINHIKCMQKLNTLSSPKFCNSSDSDAGWYTKMTACIFPLPEVKDIDEIAGGVLEKWPIRLNDSPPRLRKENHDVFSLKTYSEDNMIWKKRVSYYEVMLKSLSSGKYRNVMDMNAGFGGFAAALVKYPVWVMNVVPFDAKSNNLGIIYERGLIGTYMDWCEPFSTYPRTYDLIHAYALFSMYIDKCDITDIVIEMHRILRPEGTVIIRDSRDVILKVKEITDKMRWEGGTVVVADDQNESSHPEMIMVLNNNNTK >RHN79800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32309818:32313151:1 gene:gene3640 transcript:rna3640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MASTSNRGQGGIQQLLAAEQEAQRIVNAAKNEKSARLKQAKEEAEKEIAAYRAKLEAEFQKKVSDSSGDSGANVKRLDQETEEKIHHLKTEADRISDDVVAMLLKHVTTVKK >RHN65253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:584899:585381:-1 gene:gene13073 transcript:rna13073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MKKRTWGDALALLTAVKQKSMEKYESFVQIVLDFKAENIDTRVYKLRVYELFKGHEDLILRFNTFVPTEYEIKLPLDHDNDESRRLEIKDALSFLKKVKDTFPGKNRKKYAEFLKLMKDFKACRIDTSGVAERVKGLFKGHTDLILGFNIFLPKTYGNTL >RHN47467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39975165:39975856:1 gene:gene42077 transcript:rna42077 gene_biotype:protein_coding transcript_biotype:protein_coding MFEFGSQKKQSTTQDAYLLLLDLTDCNNINQSTKNVEGRMVLNSGVVMRVAHVSARLCQYIACNPEMLSSDAVLGLIFCLPFQRFFFSLSSYLGYPPIPQHSD >RHN50587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8316626:8323852:-1 gene:gene34890 transcript:rna34890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c1 MAGGVFQQLLRRKLQSHSLSPSVSSIVSKKDGSGSTGSSSLRALALIGAGVSGLLGFATTASADEAEHGLASPHYPWPHEGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEEVKAMAAEIEVEDGPNDEGEMFTRPGKLSDRFPQPYANESAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRKLVLDVVN >RHN48211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45803340:45807597:-1 gene:gene42901 transcript:rna42901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MANIQKVNTLVFYILLISLLPLKITASIKTEAEALVKWKNSLSHPLPSPLNSWSITNLINLCNWDAIVCDNTNTTVSRINLSGANLSGTLTDLDFASLPNLTLLNLNGNRFGGSIPSSIGTLSKLNFLDLGNNLFEDALPSELGHLKELQYVSFYFNNLNGTIPYQLTNLSKVSYLDLGSNFFVSSVDWSQYSNMLSLNYLGLEENEFTGDIPSFIHECKNLTYLDLSENSWNGTIPEFLYGNLGMLEYLNLTNCGLEGTLSSNLSLLSNLKDLRIGNNMFNSHIPTEIGLISKLQFLELNNISAHGEIPSSIGQLKELVHLDLSANFLNSKVPSELGLCTNLTFLSLAVNNLTGSLPLSLANLTKLSELGLSDNSFSGQISASLVSNWTKLTSLQLQNNSLTGKLPPQIGLLKKIIILLLYNNMLSGPIPDEIGNLKVMTGLDLSGNHFSGPIPSTIWNLTNITVINLFFNNLSGNIPVDIGNLTSLQTFDVNNNNLDGELPRTISHLTSLTYFSVFTNNFSGNISRDFGKNSPSLTHVYFSNNSFSGELPSDMCNGLKLLVLAVNNNSFSGSLPKSLRNCSSFIRIRLDDNQFNGNITEAFGIHPNLSFISLSRNRLIGYLSPDWGKCISLTEMEMSGNKLSGKIPIDLNKLSKLQFLSLHSNEFTGNIPHEIGNISLLFMLNLSRNHLSGEIPKSIGRLAQLNIVDLSDNNFSGSIPNELGNCNRLLSMNLSHNDLSGMIPYELGNLYSLQSLLDLSSNNLSGEIPQNLQKLASLEIFNVSHNNLSGTIPQSFSSMPSLQSVDFSYNNLSGSIPTGGVFQTETAEAFVGNAGLCGEVKGLKCATILSQEHSGGANKKVLLGVTISFGGVLFVGMIGVGILLFQRKAKKLSEESQSIEDNDQSICMVWGRDGKFTFSDLVKATNDFNEKYCIGKGGFGSVYRAEFSTGQVVAVKRLNISDSDDIPEVNRMSFMNEIRTLTEVRHRNIIKLYGFCSMRRQMFLVYEHVEKGSLGKVLYGGEGKLELSWSARVEIVQGIAHAIAYLHSDCSPAIVHRDITLNNILLDSDYVPHLADFGTAKLLNSNNSTWTSVAGSYGYMAPELAQTMRVTEKCDVYSFGVVVLEIMMGKHPGEFLGTLNSNKSLTSMEVLVKDVVDQRLPPPTGKLAETIVFAMNVALSCTRAAPESRPMMRSVAQELSASKQASLSQPFSMITVSKLTGFHK >RHN69225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41924904:41929391:-1 gene:gene17666 transcript:rna17666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, galactose oxidase, beta-propeller MEGLAMLKQLIGQLQQLLDSHHHSSSSSSSSSVLQQQSSHQTIFLQHHPPRWTSFDLEDSSVDDCCGLVMAAGKSHSFRMSEPLKPPPAKKPRRDRSRGKSSGRSSTSEVMEQEIWKDFPEDLFEAVIARLPIAAFFRFRSVCRQWNSLLASQSFSKQCAEVPQENPWFYTITHENVNSGAMYDPSLKKWHHPSISPLPTKLIVLPVASAGGLVCFLDIGHRNFYVCNPLTQSFKELPARSVKVWSRVAVGMTVNRNSIGSGYKILWVGCDGEYEVYDSVKNSWSRPGNMPGSMNLPLSLNFRSQTVSIDSTLYFMRSDPEGVVSYDMATGVWKQYIIPAPLHLTDHTLAEYDGQIMLVGLLTKNAATCVCIWELQRMTLLWKEVDRMPNIWCLDFYGKHVRMTCLGNKGLLMLSLRSKQMNRLVTYNIAKKEWLKVPGCVVPNGKKRQWIACGTAFHPCLTAVA >RHN58364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1140757:1141381:-1 gene:gene20270 transcript:rna20270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative universal stress protein A MYITKVRTHVVVGDPKEKICETVQDLHADVLVMGSRAFGPIKRMFLGSVSNYCAHHSECPVTIIKGKGGVNKGN >RHN75511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42840914:42842693:-1 gene:gene11729 transcript:rna11729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDEIDVPSHFLCPISLQLMKDPVTLSTGITYDRENIEKWLFSFQNNTCPVTKQTLLETDLNNLIPNHTLRRLIQSWCTLNASFGVERIPTPKSPIDRTQILKLLNEAKKFPEKQLNCLVKLRSIVFESERNKKCLESAGAIEFLALTMKNNLNSSSLSEAAIEILFHLNPSEEHVKNLINNESIQFIESLFHVLKLGSYQSRGYATMLLKSAFEVSDPIQLISVKKAIFEEIMRVLVDKISQQASKAALKLLLELLPWGRNRIKAVEGGVVLVLIELLFDVSERRVCELMLIGLDQICGCAEGRAELLNHGAGLAIVSKKILRVSHVASDRGVRIMSSICRYSANSRVLHEMLHVGAVSKLCLVLQVDSNFKTKERAKEILKLHSTVWKNSTCIPVPLLSSYP >RHN46373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31098938:31102046:1 gene:gene40855 transcript:rna40855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LIM family MLQIRLSKNPSSDGSAGVKQSPVETVTVACPDHLVLADLPVAKGIGIATASSVVRNIGRRSRRQLGERVHFCVRCDFPIAIYGRLSPCDHAFCLDCARSDSSCYLCEERIQKIQTIKVMEGILICAAPHCLKSFLKRVDFESHIHEFHADLLRPNADKEDGNESEAQSVRQPTASDSTARGPIRQVFSPGSNSQHDLEDRTRRQPPRDQAPSRPAMQQKPPFFGQQHHPSDSMSGSVGGTQQGFHQQSFDMQQHPPQEPVQFTDRQQSVGPDNSFPEYPTMHPAQPTNVPPHPNTMLNPPMPFGYPPFLQDRAQPFYAAPYDMPRQDSSSDIGGDPNSLMGYPQGVPNGPNFQGNYPQPWNAAGVPFEQAQGGGMAVDPRDSKDILAPQPMALPPPPPPPAHMLKPNYYPGDHGHDGKSYGWQHDNRDSFNAQG >RHN58190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44455814:44459106:-1 gene:gene33807 transcript:rna33807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine N(alpha)-acetyltransferase NatB transcription regulator GNAT family MGAGREVSISLDGVRDKNIMQLKKLNLALFPVRYNDKYYADALASADFTKLAYYSDICVGAIACRLEKKEGGQVRVYIMTLGVLAPYRGLGIGTRLLNHVIDLCAKQNISEVYLHVQTNNEDAINFYKKFEFEITETIQNYYVNITPPDCYVVTRHITPNPTKK >RHN66880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20759298:20760047:1 gene:gene14994 transcript:rna14994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerol-3-phosphate 1-O-acyltransferase MFLLVLISLTKWFWYHMIIKTCYQTARILIHNGFNFVDLSSKPLQQPSNIPSILNCDLEGRESQTLVCDIHSVLLKTHYFFPYFMLVAFEGGSILRAFLLLCSCPILCFLSYEHKLKVMIFITFCGLKIKDMEMIARVVLPKFYMENLNLKAYQVLVSVGCRVFFTCVPRVMVEGFLKEYLNGDDIVATELHTVGCYFTGLISRHGLVDKDSALIDYFGYRRPDLGIGSTCVNDHHFISYCKVYYIVSI >RHN79919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33514795:33518810:-1 gene:gene3777 transcript:rna3777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MVDSTPPTSTISSNGTATSSCFRRTVTLYDWWLVKSSQGNNHRLAISGISSRKEEAVQFFVSAPIIKRYDVFSLETADGIYVITRGFMNEQRTLENGFTPQISKIFLYGFPPNWESYALECIGEGSEADIDSANAIPDNVSAICQEILSDGEENFSPTSLVLPDEALGKCKKPFATKECKASKEMSGIDVTYGSGENRHSTRLRNINVFQRKQQPAYRGPRKHPNKKQISTSTEVETCDSDTAISENVSANLPEIPSDAVEKSFPTSLVSPDKTIGDCNKAFLEDERDMSIKKCEVNVVHGSGRNRCSARLHNVKLCQKKRPVTGDPATHPDKDQISASPALEKSDGGLESLMTPIQSQKGIVNTLSGQVTDKFRSRIPKTFSSKTEGCYKRKRVTFETEAVGPKRKNIKPASSVKSSQGRDISHSNKGSTQRLSTVSPESLGLKKSRSGRWLLPRLEFWRNQTPIYNMDREIIEIQEG >RHN44641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7166567:7168171:1 gene:gene38784 transcript:rna38784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MDNVFIHNPNTWKTTLCLFLLITTFLISNSARILDEFDSQPQAIGNLPGPGAAIPSATTIPQISPITASPSAITPAATATTTTTPVSGGDINPDPLVSENEAPVVDIPPVVSPTTDETPEELPQPEAEVPLPPPEAEVPLPAVPNATPVTAKPIAKEPSFSFFMHDILGGSHPSARVVAGIVANSDVTGLPFSKLNNNLFPITGGIPLVNPKLNGIVTNNNLPNLVGLSAAQSSTVFKNSGTSNTVSGGNNQPFVSAGNLPGGFTVQKLMFGSVTVIDDQLTEEHELDSSVIGRAQGFYLASSLDGTSKTIVLTVLVHGAEHHDGADDTISLFGIHRTASPESEIAVIGGTGKYENARGYAAIENLLKEDQHTTDGADTILHFSIYLTE >RHN66451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12468449:12469432:-1 gene:gene14441 transcript:rna14441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MICFSFASEKMKKGKSKRNNHSECQENNKVSLSNFPDCILLYILSFLSTKEVVQTLILSKRWKNVWKNLPTLTLIASYFNTGKGFTEFVSKVMSLRDASTSLHNLEFRCHDIVDPFLLTSILEYAVSHNVRRLKILTRCDIQRFPTCLFSCHSLTSLHLSVCHPKQFSMCTLFPNSLNLPSLTNLYLCHISFCVGDDGSVEPFSKLTSLTSLMIVYCEIVDAQNLCISSTKLVQLTIYMISYAPETYFGIDISTPSLCTFEFHGIPIQKLHRSKRNLSSIKHVCIDLITLWNSVEVSLVLLNWLVELANIESLTVSSTTLQVLWGHV >RHN71522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:748189:748877:-1 gene:gene7131 transcript:rna7131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP-dependent Clp protease ATP-binding subunit ClpA MTSNLGSEHLLSGLSGKCTMEVARDKVMQEVRNHFRPELLNRLDEVVVFDPLSHKQLRKVARMQMKDVANRLAEKGIALAVTDATLDFILDVYGARSIRRWLEKKVVTELSRMLIREEIDENTTVYIDADPNGSGLVHRAENSEEIVNAEIGVKSDILIQITNGPKSDDTRPAKKMK >RHN74213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30270777:30273522:1 gene:gene10235 transcript:rna10235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MGQIEKFVYSLIIILSLALVVTCNGIPICQTYMDCPSDMCTRPKHAYCVSYKCYCV >RHN68077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32623099:32628712:-1 gene:gene16370 transcript:rna16370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose synthase MASASGLKRTDSITDNNMSSPDASKQSRYHMKRCFAKYLEKGRRIIKVHDLMEEMEQVIKDQNDRNQILEGNLGFLLSFTQEAIVDPPYVAFAVRPDPGVWEYVKVSSENLSVEPITSTDYLKFKERIYDQKWANDENALEADFGAFDFPIPNLKLPSSIGNGLHFVSKFLTSRFSVKLAKTQPILDYLLSLNHQGESLMINDTLSSVAKLQMALTVADAFLSALPVDTPYDDFEPRFKQWGFESGWGDTAGRVKDTMRTLSEVLQAPDPMNMEKFFSRVPTIFNVVIFSIHGYFGQADVLGLPDTGGQVVYILDQVRALEAEMLLRIKQQGLKVNPQILVVTRLIPDAQGTKCNQELEPIIDTKHSKILRVPFQTDKGILRQWVSRFDIYPYLERFTQDATTKILNLMEGKPDLIIGNYTDGNLAASLMSSKLRITQGTIAHALEKTKYEDSDVKWKELDPKYHFSCQFMADTIAMNASDFIITSTYQEIAGSKDKPGQYESHATFTLPGLCRVVSGINIFDPKFNIAAPGADQTVYFPYTEKDKRLIQFHPAIEDLLYSKVDNKDHIGYLENRRKPIIFSMARLDVVKNITGLVEWYGKNKRLRSLVNLVIVGGFFDPLKSKDREEMAEIRKMHDLIEKYQLKGQFRWIVAQTDRHRNGELYRFIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNHGGPAEIIVDGVSGFHIDPLNGDESSNKIADFFEKCKVDSAHWNMISAAGLQRINECYTWKIYAKKLLNMGSIYTFWRTVNNEPKVAKQRYIWMFYNLMFKNLVKTISVPIDEPQQPVSNSKQQSLRKQGTSRRSRSRSQG >RHN46054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28098080:28098745:1 gene:gene40494 transcript:rna40494 gene_biotype:protein_coding transcript_biotype:protein_coding MMKWSLNILKRMKIWSGKLNDKIKKCIGKNSKTNIQMLTYRNIQDLKAVGIRLKSSMTQRLRDIDFCGGWFSAELTLPMIIVSNSSAHTFRNLIAYEMCPDFENDYGICSFVTFMDSLIDHPEDVKELRSKGILAKCLGSDEDVANLFNIICTDLVADPEIYFEVRLKIHDHYCNKYNTWIAQGFHTYFSSPWAIIAFTAAVIALVLTFIQTWFTIQPASK >RHN63122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48633355:48638270:-1 gene:gene25782 transcript:rna25782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-XII-1 family MGCFHSKTAHLHSPEDPPTALPDSKKTDPGDDGGDGDQESQVPVFKEYGLNELRRATHEFSTEYIVSESGEKAPNVVYKGKLENNRLVAVKRFSKQSWPDAQQFLAEAAGVGKVRHKRMVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRVRVAYHVAQALDHCSMENRKIYHDLNAYRILFDEDGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRIIAESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNALLLMDSSLEGQYANDDATKLVELASKCLQFEARERPDIKFLLTAVTPLQKQKEVASHVLMGLTKTPAVLPLPTMLSPLGKACARMDLTAIHDILLKTGYKDEEGAENELSFQEWTQQVQDILNTKKFGDIAFRDKDFKNAIEYYSKLVVMMSVPSATVFARRAFAYLMNDQAELALRDAMQAQVCIPDWPTAFYLQALALSKLGMETDAQDMLNDGAAFEAKRSNSWRG >RHN53538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2360019:2363303:-1 gene:gene28454 transcript:rna28454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-Gdt family MITLKIYIYTVCFCFDFQQIESAGMEQLRHIGEVLGSLKALMVLSDEIQINQRQCCLLHDIFTLAFDTIADEIRQNLKLEDRTTKWKPLECPLRELSRVFKEGELYIKHCLDSKDWSGKALTLSQNRECVEFHIHNLLCYFPAVIEAIENAGEMSGLDQDENSKKKLMLARKYDVEWNDPKLFQWRFGKQYLVPKEICKQLENAWREDRWRLIEALREKKGSSKLTYSKNDQHFADMLLKKLMNGNSDKTNHKNSNDKVLWPIGVLLGSKDYQVRRRLGRGKEFKEIQWLGQSFALRHFLGEREAYENEISNLLSLSHPNILQYLCGFYDEEKKEFSLVTELMNKDLWTYMRENCGPRRQILFSIPVVVDLMLQMARGIEYLHSKNIYHGNLNPCNILLRARNSQEGYFQAKVVGFGLSSVENGEIYNASRSSPTHNPIGEEINPIIWYAPEVLTELEQMKNAFTSCKYSEKADAYSFGMICFELLTGKIPFEDNHLQGIRTNQNIKAGERPLFPYRSPKYLVSLIKKCWQTDPSQRPSFSSICRILRYIKKFLSMNTEYVLINPELNQLELQNPPVDCCDIEGIFLKSFPMERTYNMSFVSQIPYEMFAYKVVEKGKINNQNMSNITIPTIDNKGSESEPTKDVATVRSEESDDQSTVFEDIDASIIKDLVTYPKSISGDTQSIFYDAPSKKKVTIKKPSQMKPKKDQGTPKLQATKSLPPTLSGRVSRTNKVNQSSLTSSFITPVKRRPPKVSESERKSNINKGNQSASVSTSSSVRKKIHGGNVSDSKPSLNLKTRDQSPFNRLKTKKSQLTMNSSSSPTRIRRVNSTNNSIVRMQKGFISTPPMSPSRSYARRCCHASDMDSSLKIRGRLSPFPLSPLSPYVSNQRNRRGSLSPLALSPLSPYVRTTYGHVSD >RHN65813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5591792:5592406:-1 gene:gene13703 transcript:rna13703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MPKQIQRLSHLQTLTNFVVGEHSGYDIKELEKLNHLRETLCISQLENVTDRADAVEANLRDKTHLEALHMRYGYKGTTDGSIVEKDVLEVLEPNSNLNSLIIEDYRGTGFPHWLGDCYLLNLVSLELNRCGFCSRLPPLGKLPSLKELSISECYGIEIIGEEFYGYNSSTVPFASLESLKFDNMYGWNEWLCPKVTWMCKCFNK >RHN54276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8207619:8207945:1 gene:gene29282 transcript:rna29282 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVMADCSLRFFKSTPDNFIPLSDNFLMHQNTNTIDSISNPHSFFHNNNNYFFNNNKSNNPFEMEFENEFFMGNKNTTASPVFTIPFKRDCCYRWRSEGEEEERASC >RHN48004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44192858:44193091:-1 gene:gene42671 transcript:rna42671 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVVVRTAVGQDDGENDSGLGDDGGREENGGRSTRIEEEKEERKKMDVVYLHYCPCFKVEMSKLPLMSELCPKICV >RHN78391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14694269:14700127:-1 gene:gene1940 transcript:rna1940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-associated protein, MAP65/Ase1/PRC1 MLAIGSPITSIHISTTCTSLLKELEQIWNDIGESEKDKDRMLMELERECLDVYRRKVDEAANIKARFHQSLAAKEAEIATLIAALGEHDMSSPIKMEKRSASLKEKLASITPLVEELKKKKEERLKQLADIKTQIEKISGEICGIHSVNDDVSVSSTGGVDEQDLSLRRLNEYQTHLRSLQKEKSDRLQKVLQCVNEVHSLCGVLGLDFGQTVDDVHPSLHGTQVEQSTNISNSTLEGLEKTILKLKTERKARIQKLKDIVANLFELWNLMDTSKEERNYFLRNNSIVTTSESEITERGALSTEIIEKASAEVERLAELKASRMKELVFKKRSELEEICRLTHIEPDTSTAAEKASALIDSGLVDPCELLANIEAQIVKAKDEALSRREVTDRIDKWLFACEEENWLDEYSQDNNRYSAGRGAHINLKRAERARVTITKIPAMVDNLISKTLAWEDEKKTCFLYDGVRLVELLDDYKLTRQQREEEKRRQRDQKKMQDLLLNQKEAIYGSKPSPKKTNSFRKTNGYRANGNSIGYGNGNGSMPPTPRRNSMSGTTSELHTPRSYSGRHNGYFNEMRRLSTAPLNFVAIPKEDTMSYSCSSEPESPPQV >RHN57847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42135335:42137454:1 gene:gene33436 transcript:rna33436 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIQFAESGQRVPPLELNLFLLLLKSCFGFDTFFTTNVRRFGDGGIFIGNLRRPIDEVIPKLEKKLSDAAGIAVTI >RHN51592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20455632:20457616:-1 gene:gene36057 transcript:rna36057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DHBP synthase RibB-like alpha/beta domain-containing protein MEMAACICGHKPPWLFDAFSHWKPQSHSHHKSRGGVSMAFKRSPKRLKYTDNTRFNKDGGLIYIEADPFGSDSWKLEPVVNLLKQGAVGVIPTDTLYAIACDLTNNSTIERLQSRI >RHN77331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6055975:6057088:-1 gene:gene776 transcript:rna776 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQIEVENQQQKPSQPCSHQNSQSSSPTHDFSFTISHNSFNTTFPNNHNKSKLSSHNSFTALDLSPADDIFFHGHLLPLQILSHFPSSISPRSSTNSMDSFTLPIRELFSEDDDENLPTKDISSSNNSSKSENSSKNIESSKKVEVKKYLRKVKPLFKREKIREQKKSYSYSGKNVTPRTRNKQELMKGWSMKLGQYYSAPASMRTSPTNSGVLFATTPLPPPSDSSMEELQAAIQSAIAHCKNSYSKEEKLNC >RHN56509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31447694:31449346:-1 gene:gene31917 transcript:rna31917 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLTLSYSSSSSPSSSSNFSFDSTFCSSKTVAAGCFTTIFHRIREFHSKFIVSEKVQKFKTNTPTTTRTIISSSSSSGVVARLMGLDSMEEDKVSESKPSSPTHCKSLNYVPQAFHLLENENFLVFSFESGGENRKFKSKGRRKEKKREVRGEVKRNKREKVNDDGEFHFEKSLFKAVGNGEKVKKRKKGKTCCVEEKVESECSSEDYSPVSVFDFEHDVSGTEEDLCDVDMSWRRKLSPVLENDQLFILHSDSNFMNEEESKVKEIENNLNEGSRKKERHNNECVDIWIKICKLVDDELVSELHEVKRKQNDLENISADFELEILDELLDEVIDQFVSFCL >RHN69751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46017443:46021188:-1 gene:gene18264 transcript:rna18264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endonuclease/exonuclease/phosphatase, EF-hand domain pair MFMIIIDYCCIIYRGTLSLVGFIRRMRTGKWAKSMGRISRIGSFAIASSLNDTNPPQPCITCTTFNILAPIYKRINDEDPTCRESDYRAYWLARNHRILDWLLNEKSSIICLQEFWVGNEELVNLYEKRLGDAGYVSFKLGRTNNRGDGLLIAVQTEYFNIFNYKELHFNDIGDRVAQLLHVELVFPFSKWRNGEIRQEILIVNTHLLFPHDATLSLVRLKQVYKILQYVESYQNDFQLKPMPIMLCGDWNGSKRGHVYKFLRSQGFVSSYDTAHQYTDADADKWVSHRNHRGNICAVDFIWLLNPDKYRKLLKASWSEAVFGMFKDLLRRASLTESDAFAFLRADNEDCITYSGFCEALRQLNLIGHSHELNEEEIKELWVQADIDGNGVLDYKEFLQQIWISSGLDQRDDNKNKEQDDGSNDVQEQTIGFSVKNAVLFPPEVEKGRWPEDYSLSDHARLTVVFSPIRMPCSQMIC >RHN79282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27045415:27046131:1 gene:gene3051 transcript:rna3051 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLSICLSYNHVFKVGKLLVDIPFSIPFLYTCLCGWHLCNFVKKQRVKLEFWICFFILSQSQRTLFSFSLCPLPPPTPSCYRRRCLSPCLHPSFDFDSPCITLSLSTLIWSSLSSLFFQI >RHN78037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11452033:11454432:-1 gene:gene1552 transcript:rna1552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SNU66/SART1 family protein MDMEWSESRYDDRNNKGESPVREHRKKSEKENRRRDRDHPKRASGDTKKDRGSRRERSRVEREKNRFNYGNSREKDYDREKHRDRDKKDRDSEKKRELEKDSDRVREKVKERERGKHRDREIRECNRDEHEKDRSMDRGKRKAREEDFELSNLDDKLNYHEKRDDDVGKHAKASILNQDDEDGETSAYLSSKEHSLKMKETRTTKQFEATSEISSWANKSRKFEKERVLQLSKIFEEQDNIAVEGSDDEDKTHHLAGLKVVHDLDKVAKVGTVVLTIRDQPIIVDGDIKEDADMLENVGIGEQKRRDDTYKAAKKKTSSIYDDKSNDVPSTEKKILPKYDDLAAEEGLTLNERGRFSSEAEKKLEELKRRLTGVSTNNFEDLTSSRKVSSDYYSNEEMLQFKKPKKKKSFRKKDKLDIIALEAEAVSSGLGVGDLGCRKDAKRQAIKDEQERLTTEMRNNAYRSAYAKADEASELLRPEQSMYVKIGEDETQVFADDEEDICKSLEKARRLTLKKHEEKGASGPQAIAILATSNPSNETIDDQTAARESRENKVVFSEMNEFVREMKEFVKGLHIDEDAREDEDVIMHDDEADVSAKETKDEAGEWTEVKETQKNEQLNSEDTEEIVSYETIREVALGKGMFGALKLCKDQGTLKENIEWGGRNTDKKKSKLVGVLEDEGREAQNKKEIHIERKDEFGRTLTPKEAYRILSQKFHGVEPGKMKQEKRKKKFHEELKLKQMQNSDTPLLFTERMREVQACTKTPYVVLSGRVKPRQTSDRKSGFATVEKDLAGDLTPMLG >RHN82382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53323252:53328151:-1 gene:gene6545 transcript:rna6545 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTAMNFSRGRGEDRFYNPPQARRALHTAENDRIRRAHSDVTPSRSSSAVREKSVDSVEKKLENRVGSEETKKVVAVPSCEPEVKRLSNLERFLESITPSVPAQHLSKRSARSFRTCNEEVPPYFVLGDLWESFREWSAYGAGVPLVLNDKDSVVQYYVPYLSGIQIYVQSTKPPVKSRQLGEDSDSDFRDSSSDGSSDCEPIRGYREQRNLPHLSDEVSHWMGRLSVRNQHTPRQDGFSSDDGESVNSQGYLLFEYLERDPPYGREPLADKIMDLAFRFPQLLTLRSCDILSSSWISVAWYPIYRIPMGPTLKDLDACFLTYHSLYTPLGGSQRAHAPVPSYPTEIDGVPKMSLPVFGLASYKFKGPLWTPSGGYERQLSNSLLQNADKWLRLLQVSHPDFLFFSR >RHN48322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46621584:46622997:1 gene:gene43026 transcript:rna43026 gene_biotype:protein_coding transcript_biotype:protein_coding MHDRAPKAWMNPVLPKCDKCGQENAMNPIITKKRTINWLFLLLGQMIGCCKLQHLKYFCKHTNNLRISAKDRLIYLTYVDLCKQLQPDLVV >RHN77234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5281432:5286781:1 gene:gene667 transcript:rna667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MMESLTMQKEFHMLGLILLLCLWVCGVTASVSYDHKALVIDGQRRILISGSIHYPRSTPEMWPDLFQKAKDGGLDVIQTYVFWNGHEPSPGNYYFEDRFDLVKFVKLAQQAGLYVHLRIGPYVCAEWNYGGFPVWLKYVPGMAFRTDNEPFKAAMQKFTTKIVTMMKAESLFQTQGGPIIMSQIENEYGPVEWEIGAPGKAYTKWAAQMAVGLDTGVPWDMCKQEDAPDPVIDTCNGYYCENFTPNENFKPKMWTENWSGWYTDFGGAISHRPTEDLAYSVARFIQNRGSFVNYYMYHGGTNFGRTSSGLFIATSYDYDAPIDEYGLPNEPKWSHLKNLHKAIKQCEPALISVDPTVTWLGNKNLEAHVYYVNTSICAAFLANYDTKSAATVTFGNGQYDLPPWSVSILPDCKTVVFNTATVNGHSFHKRMTPVETTFDWQSYSEEPAYSSDDDSIIANALWEQINVTRDSSDYLWYLTDVNISPSESFIKNGQFPTLTINSAGHVLHVFVNGQLSGTVYGGLDNPKVTFSESVNLKVGNNKISLLSVAVGLPNVGLHFETWNVGVLGPVRLKGLDEGTRDLSWQKWSYKVGLKGESLSLHTITGSSSIDWTQGSSLAKKQPLTWYKTTFDAPSGNDPVALDMSSMGKGEIWINDQSIGRHWPAYIAHGNCDECNYAGTFTNPKCRTNCGEPTQKWYHIPRSWLSSSGNVLVVLEEWGGDPTGISLVKRT >RHN74999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38625119:38626086:1 gene:gene11145 transcript:rna11145 gene_biotype:protein_coding transcript_biotype:protein_coding MEDESKMSQEQEDQSRNNCINGRSITRRPRQRKVPQRGLGVAQLEKILEEQHMKDGVVISPSQNSTSSSQNSISAYLPPPIKNYNHSKDGTIILPSPNSASSSTSPSSISNFLPLPITNFNLMNQNSSTKPLPLPSLDEFRSSISMQHFNGKAPSTVPLPNSGMFGNVPKLWNSHELDFEKENFGVQHGLPFLPSFPFESNQIWPMPNWVQRPPQFHHQHSSQVVSNSISGTSSTHVPQLSIEPPSNQNCSSNGMPMRPGEKVYFLLARFALNLVHNPSA >RHN64149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56939902:56942491:1 gene:gene26935 transcript:rna26935 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLIHEMAGLHLFRDHFLANSFFSAIFTSSSNSYANYSPKDEITSQESDHLDDIN >RHN51260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15484465:15492190:1 gene:gene35670 transcript:rna35670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MFSSSSHCHIHYLLLLTPKPQTKPNLFTKPSSMAIATRKTLFTLSSSITFPSRFSLFKLSQNPSPLFPKRFTPFSSLTTTPQSSPSLLSDPDQEKHSFLLEKLRIRHLKGGSRNDERVVRKVEGVKKKKEGVDEEDGGGVGSFEGLGLGDEVMGAVREMGIEVPTEIQCIGVPAVLDGKSVVLGSHTGSGKTLAYLLPLVQLLRRDEQMNGLVLKPKRPRAVVLCPTRELSEQVFRVAKAISHHARFRCTMVSGGGRLRPQEESLSNPIDMVVGTPGRILQHIEEGNMVYGDIQYVVLDEADTMFDRGFGPDIKKFLAPLKHRASKPDSLGFQTVLVTATMTKAVQNLVDEEFQGIVHLRTSSLHKKISNARHDFIKLSGTENKLDSLLQVLEPSLAKGNRVMVFCNTLNSSRAVDHFLEENQISTVNYHGEVPAAQRVENLNKFKSNNDDCPTLVCTDLAARGLDLDVDHVIMFDFPLNSIDYLHRTGRTARMGAKGKITSLVTKKDYSLATKIEEAIKKNENLEAITKESVRMDVTRNQITEQRRKNKNVVKPSKVRDISDSRTSSKNTRLGTKDKSDSRGRSENTRSGSRDKSNTRGRSENTRSGSRDKSDSRGRSENTRSGTRDKSDSRSRTENARSGTKSGKQSTPSKFTKKGFTVSKSVKSSSTSSFKKASSDDKRTGKRTTASKSTGSKLSVVGFRGKNASSSDKRQTF >RHN74296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31618116:31618776:-1 gene:gene10334 transcript:rna10334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSLCHVCTASKDGAIRLWDGITANCVRSVTAAHGTAEATSESFTRDQWYNFGTNI >RHN63455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51415926:51416604:1 gene:gene26154 transcript:rna26154 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQHHENHNQDLEVLKAVAQAWYNHSGSVKPLSEFDARRRNFRGKPSRFKLETLTKSSSSRETNSSTYYCDFQHSLWDPYELVTVSRRIETGLALDNPFDDFCVSKSVQLKGKRESKNSLRNLFNNMSSRRFNLPKFSTEKL >RHN58943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6111177:6111798:1 gene:gene20901 transcript:rna20901 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTKFPLQCMHHNMLHSYTTPCVTYTLHYVYTMHLFTCHHMQPSILSYVCWPCDIGWIQIICSPSSNSVTLSTLTNSASHFSNSCSLRTSFSPKLAAATLGTSSLDCFYSVLEAFLRYKRPSVFKGFSSSGNTATSIRVLQYSITVAKILLVAFGIRIPVSSHN >RHN64788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61826366:61828462:1 gene:gene27645 transcript:rna27645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MGIERGGLKSLRGGWSVPPKLCDSCKLTPAALFCRSDSAFLCINCDSTIHSANKLSSRHERVWMCEVCEQAPASVTCKADAAALCVTCDSDIHSANPLARRHERVPVEPFFDSAESVVKSSSAAAAAAASFNFVVPTDDGYGQDDAEAAAWLIPNPNFGSKLNETQDIKTREMFFSDMDPFLDFDYSNNFQNNNCSNAMNDSVVPVQTKPTPAPMMNHNSEGCFDIDFCRSKLSSFNYPSHSISHSVSSSSLDVGVVPDGNTVSEISYNFGSESMVSGGVNSSNQGVQGATQLCGMDREARVMRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRTEIDSDVDRLYNPADPLSVPSSMLMDCPYGVVPTF >RHN56298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29611836:29614903:1 gene:gene31674 transcript:rna31674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRGKIQIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVMIFSSTAKLYDFASTR >RHN79023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21287821:21288344:1 gene:gene2706 transcript:rna2706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MAMVRPFQFIGDINQKKDFWKLAVRVKDKWAIVKDQKEHLEVVIVDAKGDDIHVVIPTEYKAMYDLILKENNTYTLSNFQVGTNDLLFKASYHKYRLKWTGGTTAVDVNVHNIPIQY >RHN50770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10299328:10300461:-1 gene:gene35098 transcript:rna35098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSPSSLQTLHFDLITEIICRLPVKSLMKFKCVCKPWNALISADRKFARKHLRCMSSTMNHSLIQQSENNPSDFSIYPLRTFFTSCTTIDATEITFPLHGENYFDDRISIVAFCDGIFCLTVGPYRESIAVLWNPSIRKYNILPPLEENQRFRDTYVHTVYGYTVYGFGYDNIRDNYKVVAVTFYNCNSSGIFKTQTQVKVHTFGTTSWRLIDEFPSGSFGEFSVRPGKFVSGTINWLVFKHNSTLCSIVSLDLGTESYQEILQPDYGEETVERIRTLGVLRDCLSLISGQDIWLMKEYGNRNSWTKFATVPQGSSFNREILYTTEDDEVLLEIIMHLSRSKLMVYDSTNDTLKSLDIKTNGNGRLSIYVESLISPCS >RHN68540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36325375:36326838:-1 gene:gene16907 transcript:rna16907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA synthase MEATSKQNHDPVNECTNFTLFSNHLLSKLSYFLWLCSITLATFAQAFLLLQNYELIMFHFLFLCFLLLSFFVKHFLSKPSPIYLVDFSCLKPPRCCRVPFSAFIENASLIESCFDSESIDYMKKVLYSSGQSEDTCLPPSLHYIPPKTKTIESIKEAHMVLFPIMDDLFAKTNILPIDIDMLILNCSGFCPSPSLTAIVVNKYCMRSDIKSYNVSGMGCSASAICIDLAHNLLRVHKNANVIVLSTEILSNGWYPGKERSKLHLNCTFRMGSAAILLSNKKEASKTAKYKLVRSLRTQRAFDDKAYFSCRREEDSDGKLGVTLNKDVAQAAGETLLSNISTLGSEILPLSEKFWYVVSMIKERFIKSEGIYVPDFKTVIQHFILPSPGRGVIRGVGKRLKLGERDMEAALMTLHRFGNQSSSSLWYELAYLEAKEKVQKGDNIWLLGIGSGTKCCSVVLKCNRPKLGESNKGPWSDCIHQYPISTFN >RHN56038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27059251:27060864:-1 gene:gene31359 transcript:rna31359 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSLLNKQINSDYKVFHLFENLIELRISWFNRDTYDWSEILKMLINSPKLEALYIEKLSTLLYG >RHN64981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63439362:63442892:-1 gene:gene27868 transcript:rna27868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lactoylglutathione lyase MLFIVLVTLNAPLSMFYTEALGMKLLRQRDVPEEKYANAFVGFGDEHSHFAVELTYNYGVTSYDVGDGFGHFAIATQDVYKLVEHIRAKGGNITREAGPVQGGTTVIAFVKDPDGYTFALVQRPIVHDPFCQISLRVGDLERAIKFYEKALGLKVVRKVDNPENKYTIAILGYKEEDDATVLELTYNYGVTEYSKGTAYAQIAIGTDDVYKSADVVNLVTQELGGEITLQPGPIPGLNTKVTSFLDPDGWKTALVDNEDFLKELE >RHN59360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9848215:9849244:-1 gene:gene21386 transcript:rna21386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVQTPKLVYVIVLLLSIFLGMTICNSSFSHFFEGACKSDKDCPKLHRSNVRCRKGQCVQI >RHN60363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25840352:25840914:-1 gene:gene22668 transcript:rna22668 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLWLSLSCIKRALEEKKLARDVARNSERLAMHNFHEKTALVEVFSKVFDHRMANSAKSIEKDHRALKAKVGDEDVPEKSLEAK >RHN51387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17053398:17054014:-1 gene:gene35817 transcript:rna35817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eukaryotic translation initiation factor 3 subunit C domain-containing protein MLIHRSHGKLFNKKFKWLVAVRGRKKTERFEQVDHILPTNQNWLKTPAQELQILFSVVSAQFDVNSSLIGGHMPINVWKKCVHNMLVILDILVQYPNINVDDSEEPYESETKKGADYNVLIRFFKSLQCIDPHTCEYIERLQDEPMFGDFEGSLKVALMRVELIYYKPQEVYDAMTTLVEPEPLNIFALD >RHN74068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:26211288:26212033:1 gene:gene10025 transcript:rna10025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MLRHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLKPNHNNDINKISTKLIDSNPTCETSFMQKFTNQEQQGHNFIHAQDESLNNNGDEAAITQPRTTGTTSALGLLLQSSKFKEMMEMTNAADLSPTPQKSYTFPNDIQTYFQCDINSSTTKYGEGDDLFHDLNSFVPPLFDSDDFDLCLKV >RHN60716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29838425:29844660:1 gene:gene23071 transcript:rna23071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanosine pentaphosphate synthetase I/polyribonucleotide nucleotidyltransferase MAGKSGTTPATYSPSLTTGKKKPLLLKEEDWTRPDGRGFHQCRPAFFRTGAVNAASGSAYAEFGNTKVIVSVFGPRESKKAMMYSDTGRLNCNVSYTTFSTPVRGQGSDHKEYSSMLHKALEGAIILDSFPKTTVDVFALVLESSGSDLPVVISVASLALADAGILMYDLVASVSVSCLGKNLVIDPIFEEENSQDGSLMITCMPSRYEITQLTVTGEWSTPKINEGMQLCLDACAKLAKIMRSCLKESASDAQDESS >RHN61610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36820072:36821232:1 gene:gene24092 transcript:rna24092 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFFSKKRNAGWKHGYAEQNMETVSPSPTLHLFVIFGIVISLLWFSHYTTYKI >RHN66125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8773550:8773976:1 gene:gene14044 transcript:rna14044 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHSARRKCPVPASPVSCSCIFFTYFCFELDFGVNMKVVDNFISFLMALV >RHN61836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38730483:38732607:-1 gene:gene24336 transcript:rna24336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MANKCEGHAVGIDLGTTYSCVAVWLEEKNRVEIIHNDEGSKITPSFVAFTDGQRLVGAAAKDQAAINPQNTVFDAKRLIGRKFSDPVVQKDILLWPFKVVSGVNDKPMISLKFKGQEKLLCAEEISSIVLTNMREIAEMYLESPVKNAVITVPAYFNDAQRKATMDAGAIAGLNVMRIINEPTAAAIAYGLEKRGRCDAERNIFVFDLGGGTFDVSLLTIRDDVFEVKATAGNTHIGGEDFDNRMLNYFVEEFKTKNNVDITGNPRALRQLRTACEKAKRTLSFKFLTFVEIDNLFQDIDFSSSITRVKFEEINMDLFNECIQTVESCLTDSKVDKSSVDDIVLVGGSSRIPKVQELLRNFFNGKDLCKSINPDEAVAHGAAVQAALLSEGIKNAPKLVLRDVTPLSLGISVNQDTMDVVIPRNTSLPVKMTKGYGTASDNHCRVSINVYEGERARVADNNLLGSFILSCLPDTPRGQPLDVCFTINENGILNVSAMEKSTGNMNEITITNDKERLSREEINKMIQEAREYRAEDEKFLRKSMVMNALDCCIYKLRNGLKIKDVNLKLSSQKLKKITDAIAVATNLLDKNNQRNEIHVLEDHMKKLENMLKDLEVKTE >RHN68455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35682052:35687362:1 gene:gene16814 transcript:rna16814 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSEEFVEDPSKWQAEKIEDYILREIVEEDKSKGFCKEYATSNRSTTRTSRGSWTSRHSRKIRTGNAGATIC >RHN41877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33787079:33789937:-1 gene:gene48241 transcript:rna48241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MEVSAEVEIVGNKSVQELALNFENLPPNNYIYNEGGVGFRDALLPSESDFHVPIIDIANLTSPSTSQHELHKLQSALHSCGFFLVINHGMTSSFLDKVSEVSKQFFELPKEEKQKYEREQINIEGYGNDTIYSENQMLDWCDRLFLKVHPEDQRNFKLWPQNPIDFRNTIQQYTECVWQLYEVILRVMAKTLNLEEDCFLKECGERGTMSMRTNYYPPCPMADHALGLKPHSDSSSITFLLQDKKVEGLQVLKDNRWFKVPIIHDALVINVGDQMEIMSNGIFQSPIHRVVVNAERERLSVAMFCRPNSEKEIQPIDKLVNESRPVLYKPVKDYAKIFLEYYQQGKRPIDAFKI >RHN70655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53024164:53025635:1 gene:gene19264 transcript:rna19264 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPLNFFGDHGGSSEVYYGCKSSPFPKKLRSSSWLSMSLTHGTLKLQ >RHN70083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48571676:48575848:-1 gene:gene18627 transcript:rna18627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MAMTMSILFLHLIFFTMKVFCFSPHFHADKIVTLPGQPQNIAFQQFSGYVTVDNKKHKSLFYYFAESETDPSSKPLVLWLNGGPGCSSLGVGAFSENGPFRPNGDFLIKNEHSWNKEANMLYLETPAGVGFSYVKGSSAYTTTVNDDETARDNLVFLERWFNKFPQYRNRDLFLTGESYAGHYVPQLAKLMIEMNKRNKIFNLKGIALGNPVLEYATDFNSRAEFFWSHGLISDATYNMFTRVCNYSRYVSEYNRDSVSPLCSKVMGLVSKETSRFVDKYDVTLDVCISSVLSQSKVISPQPQQANEMIDVCVDDKVTNYLNRRDVQEALHAKLVGVRKWDVCSNVLDYDVLNLEVPTLPVVGSLIKAGVKVLIYSGDQDSVIPLTGSRTLVQKLARQLGLNTTVPYRVWFEGQQVGGWTQVYGNVLSFATVRGAAHEAPFSQPERSLVLFKSFLEGRPLPEVF >RHN53245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:347866:349524:1 gene:gene28135 transcript:rna28135 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLASECSSGCESGWTLYLDRSLNTSQSHTPSLGCYEETKHKKAQNIEDSDEEDLSMVSDASSGPPHHDSYFNAQDCSASKPTKLVAKRSKKRQKVQENNNIQQHLDDTASSPLFDNNNVVTMSNQQTTSTTESMLDYSQGFSATYFEERSSLQDHFGFLQPSLSQNEAHINKKWYGGEEMGMI >RHN39583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8416728:8417921:-1 gene:gene45622 transcript:rna45622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKTTTILEFFTIIALMSNSFQENITKILHKLYKNHGSVKEIDQGESDCCSVCLNQMCKGEKVKVLPLCNHRYHADCIGVWLKNNTTCPLCRSKISNHNINQNQQKLVKPFQESLVDLIQSFSDVLVAILYMILPSSITETFPVVY >RHN40118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13447379:13449260:1 gene:gene46222 transcript:rna46222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-alkenal reductase (NAD(P)(+)) MKMQKAWFYEEYGPKEVLKLGDFPIPSPLENQLLVQVYAAALNPIDSKRRMRPIFPSDFPAVPGCDMAGVVIGKGVNVKKFDIGDEVYGNIQDFNSMEKPKQLGTLAQFIVVEENLVARKPKRLSFEEAASLPLAVQTAIEGFKTGDFKKGETMFVVGGAGGVGTLVLQLAKLMFGASYVVSSCSTPKLKFVKQFGADKVVDYTKTKYEDIEEKFDFIYDTVGDCKKSFVVAKKDGAIVDITWPASHERAVYSSLTVCGEILEKLRPYLERGELKAVIDPKGEYDFENVIDAFGYIETGRAWGKVVVTCFPMAPKDRHSTILSEIDTNIPQVNGFAKDLCLK >RHN77852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9938395:9940869:1 gene:gene1349 transcript:rna1349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycolipid transfer protein MKSAKREMEKKSEISCFIEELSMIVIVKPSGENLEAAHIPIKPFLSICYLVLQVLDKIGPTMAVLRQDVYQNIKRLELMHESNPTTNLNLVEILKLEATEGNAKKGSSCSKAFVWLTRTLDFTSSLLQILLKDPEKKMEKIVEESYEVTLKPWHGWISSTAVRVALRLVPESKTFIDLLKTEDEDNSHMLKQKMQILVSLFVPFLEDIHCILRLYNLDKLKST >RHN68517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36156271:36158432:-1 gene:gene16880 transcript:rna16880 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGFNGTRIVLSILLLCFTSLINIPQTNAIWLSIPSSGTKCVSQDIQTHVVVLANYYVVADNIQGHPLPTISAKVTSPYGNNLHNNENVTQGQFAFTTTESGSYVACFWMNSKNLAGSSISLEWKTGISAKDWDSVAKKEKIEGVELELRKLDGAVQAIHENLLYLKNREAEMREVSEATNGRVAWFSIMSLGVCVSVSVLQLLYLRRYFRKKKLI >RHN62468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43639235:43640231:-1 gene:gene25048 transcript:rna25048 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAPTSALYLQPIHTNHRTLRHYRSFPTPSNKPFTVFAMAPKNKVNKYNDNWKKQWFGAGIFYEGSEEVEVDVFKKLEKRKVLSNVEKAGLLSKAEEFGVTLSSIEKLGLFSKAEELGLLSLLEKLAAVSPSVLASLALPTLLAAVATVVLVPDDSTALVVVQAVVAAALGVGAVGLFAGSVVLGGLQEAD >RHN46288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30396014:30399284:1 gene:gene40763 transcript:rna40763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MAAIDEEVQLLGSVGSPFVIRVQIALKLKGIEHKYVEEKLGNLSETLLKYNPVYRMVPVLVHNGNPISESRVIIEYIDEAWKQNPILPSDPYKRALDRFWSKFIDEKCTIAAWKSVFMPDEKEREKAREELFEALQFLENELKDKFFGGEEIGFVDIAALFIPLFQEVAEKQLFPGDKFPKLQKWSLDFYNHPVVKEVMPSKEQQFGYFKARAASLAAPSK >RHN76441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50275314:50275840:1 gene:gene12767 transcript:rna12767 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSAHVPKKKEDFMLFSLDSSAFFASMIVADAARNAPAVAGAARNAPAVAVARLLNFQDTYTSLCFIFCCCMN >RHN77222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5172129:5174642:1 gene:gene652 transcript:rna652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAKNVNEEFSSSSPSFTDFPEDVQLCILSFLCPIEIASFACTSKQFGTLCSDDAKLWLSLCDRRWGSKTQIKKWGEGKILYRNLYTVLHEWDNLIGFWRRSGPGSAVIESPSLVFFEWGSSFIAGSRVSPTQSESEICGYQVKKVPFLKMGLSEDGQIVNLLDPNGRADLNFNGNGSELIPVNVCFMGKTHFVLEENVFGRSSSSSPGKNGGEDCGFGGEDGIGIESGSPPDRMLEIYQHLANRVSPGSDRSRRQRRKEKERMARRKWEPEHFVKVVNCSPTALRPLQGLWKGICADLSLAFYLVAYDDIGGIACRRLGDPPERFSTYAPVFWTSNATFLEAPFPLEEESLYGSRVHLQPVQPHNENHEQFPMSDVEGVNHIQQFYLSDNEVVNRILHINSSYDLVIPDLAGATNPRSAEGRIWQYQDGTFGFGFVRDNFVIDMKNIVRDGCIVDAVNPSTLIV >RHN46258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30165352:30165657:1 gene:gene40725 transcript:rna40725 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTGIKKRSVTQREKKCHASAFRKETTMFVIFNLAQGYNSNFNLKLMGWPCPHVAPPPALELTWRGGISQLCVECDSKLFIDMEVYIKLSTSSLLNQKVQ >RHN39930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11789194:11791842:1 gene:gene46014 transcript:rna46014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRTPCCDNKMGLKKGPWTSEEDEVLVNFIKKNGGHGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGPFTPEEEKLVIQLHAILGNRWASIASQLPGRTDNEIKNLWNTHLKKRLICMGIDPQTHEPIASSHYFPISKSNSSIATRHMAQWESARLEAEARLSKDTSLFNNGNIPSLASNKADSDYFLKIWNSEVGQSFRTGRKSDDEDDDDKTKCQSSMSQEGSSCNNNNKCGSVSVTTTTSNVMEDFEWRNYKSFDVGYDDSSSSNDLEDSSDTALQLLLDFPSNNDMSFLE >RHN43269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44490440:44491574:-1 gene:gene49816 transcript:rna49816 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNSRLNSAEGESVSNKIRSTLISRFEEFRKRRNAGATLSKKELLKDSEQGDGGSIISQTSFREINETEVRKNSVSTKEEPTVPLATKEKISRVVPMENSECKTTEEEEKKKEKMNINKDIDLREEKVVDSNEKVEAETKTEEGNIEGTVEEVKEEKMVEVKEEKNEQEVSEKTGENDDNDNDDDDDDDDDDDDEVGRFLYQGSPSFRIYCTEADTRKEEEEEECKSSNIVVMHQKSRSADSVVQSVAARKTKISNEVPEIVESETITKRKGNKNKKFGKVRTLLKVKSCYHPISSCTGEHRSRLVAAKAAN >RHN63924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55077856:55080612:1 gene:gene26680 transcript:rna26680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein MSGGTPVGAGGLMRQRHSQGYASSGDDLEDDACSRQRAFLPPSPPKKTWIELLENFLWLASAAFILYFGDQHSNFIYLLCHDDRIRRLPLYLGMIGIGLNTLIFVYTTILAWSVRRFDEKWGLMKWEITSITVLPFATGFGITSFCLFTFALWPIWSFLTIPLLFTLFMACMVVIPYLIFGILGRPQNDEFRTD >RHN59097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7449656:7455722:1 gene:gene21085 transcript:rna21085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MGSEDLRLFELNTGAKIPSVGLGTWLAAPGVVYDAISTAVNVGYRHIDCAQIYGNEKEIGDALKKLFANGVVKREEMWITSKLWCTDHLPEDVPKAFDRTLRDLQLDYLDLYLIHWPVSIKNGHLTKPDIPSTWKAMEALYDSGKARAIGVSNFSVKKLQDLLDVGRVPPAVNQVELHPQLQQPNLHTFCKSKGVHLSAYSPLGKGLESNILKNPVLHTTAEKLGKTPAQIALRWGLQMGHSVLPKSTNTARIKENIDIFDWSIPEDLLANFNEFQQERVVPGEQFVSQTSPGYKTIAELWDEE >RHN45117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11959860:11962918:1 gene:gene39326 transcript:rna39326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MASKPISNSKSPNGTNGTILYTPNQIPIPTYVRILDTTLRDGEQSPGAAMSCKEKLDIAHLLAKLRVDVIEAGFPSASNDDFMAVKMIAQEVGNDVDNDGHVPVIGGMCRCNEKDIAITWEAVKHAKRPRICTFLATSPIHMEYKLRKTKDQVLKIARETVKFARSLGCCDIQFGVEDATRSEKEFLYQVLGEVIKAGATTVVIPDTVGIAMPFEYGNLIADIKMNTPGIENVIIATHCHNDLGLATANTIEGARAGARQLEVTINGIGERAGNASLEEVVMALTCRGNDVLGGLHTGINTRHIIRTSKMVEEYSGMLLQPHKALVGANAFLHESGIHQVFDINTFATIFMKLFHCVFS >RHN65376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1588851:1589373:-1 gene:gene13207 transcript:rna13207 gene_biotype:protein_coding transcript_biotype:protein_coding MADFAATVMINSAATVTLSVQCKWLKPHQGRLKCNVDASFSEALNCVGFGLCIRDEYMNFIKAKTLWSIPVCSLDVGEALGLYHAIQ >RHN58166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44236152:44237165:-1 gene:gene33782 transcript:rna33782 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVVVISLPFIFFCLVIGFGCYFFGRARGRRDVYTNPQVYGMPIPPPGTAAANSSFPSSSPPPQYSKPNLASNV >RHN60437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26752982:26755830:-1 gene:gene22749 transcript:rna22749 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNTSLLHFPFHIFDTSHYNFIFHLYYSTIALACVFSSYKLIFNLISYYKLLLLLATTFSICIVIKLYYLRRWISIFFIEVGCTIEKDRGKGHLKLNLRKEFVNLLLSPCRKIFLEVREGLDVLVLNVRVG >RHN76252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48877988:48880775:1 gene:gene12545 transcript:rna12545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MEHHKEIIKSGCHKILEGINSMSSVNLDNQQVHSLVMMREIARGVVDDRDRKVTKNDVITALRYSIEKMVCASRDIVHMLSRMSYEGLDDQRMHLVLRMKEIAHGIVDEQPRVAIARPELLADQVCFVDEGTRDSTPVVESTKICNGDALIDEAQSMIHEDDMLNVDHVLGFENGIDEVEESLLSSCFQQFLLWPPDDCVTLEWVLDMMFILEKASQKMPAEFCHVVQTFVVYKLIDVACSILCKEPNCVEINCLGEDSRVIAVGDIHRQFHDLMLLLKHAGVPSENQFYVFNGNYVDKGAWGVEVFLVLLAWKILMPQRVHLLRGNHESRYCTTSTRYGFKKEVQSKYGNQS >RHN45461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21128310:21128810:1 gene:gene39805 transcript:rna39805 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLVHCFSELVLSIEAIEVEVKPVIPNSLSYVSSVTCWLCLPKVALPLILWSLPLPATCATLPRFAADAARIPPSSCVAATTALQDPTGVWPPTMHDPIPDLGTGSIAWVLCSLVVLEQGTNASTAMPLEAAVAAAMAAARCGSSHGTNMFP >RHN81116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43424038:43424556:1 gene:gene5114 transcript:rna5114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MAITEFLLFILTATLGGMFLCSANDLITIFVALECFSLCSYLLSGYTKKDVRSNEATMKYLLMGGASSSILVHGFSWLYGLSGGEIELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSLAPSHQWTPDVYEGVRFVREIPTSLSISEMFGFFKTLWTCRRDMLFPLGV >RHN43274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44548871:44549326:1 gene:gene49821 transcript:rna49821 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVRTPIPPLYVCEFMMVVRCYLSPAHEMPLSNPNESTFGRRNNFLKHVTALFQIAVMTYATYVSFVSIVVDKRLKEALTPAADSLKRTIATTPAGEFFIYFLIILNTTFVVVYVVISYLNRGNMIPSVVIIVFNMMIDGFLYYVCSDAA >RHN80526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38838454:38840778:-1 gene:gene4464 transcript:rna4464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MATNISQCILFSYITFLHLIFITLAQYDNYIIHMNLSAMPKAFSTHHTWYHSTLSSALENPQLTATNNLNSPISSKLIYTYTHVINGFSANLSPKEHESLKNAPGYISSIRDLHMKMDTTHSPQFLGLNPNIGAWHDSKFGEDIIVGVIDTGVWPESESFKDDGMTKIPSKWKGQCENSIHFNSSLCNKKLIGAKFFNRGLLAKYPNITLGLNSTRDTEGHGTHTSTTAAGSRVDHASFFGYAAGTASGIASKSHVAMYKAIWQGPLVTSDVIAAIDAAISDGVDVISLSFGINDVPLYEDAVAIATFAAMEKGVFVSTSAGNNGPALKTLHNGTPWVITVAAGTMDRDFQGTVTLGNGNKIIGLSLYVGTLSTQDVPIVFMDLCNNVYELKKVKSKIIVCEDKNGTDLFDQVNNLNAAKVFGAVLISNVSDIFYSQNSFATILVNPINGEIVKAYIKSSNSTSIASMSFMKTVFGTKPAPSVDSYSSRGPSYSCPFVLKPDITAPGTSILAAWPTNVPVMEFESHKIFSKFNLISGTSMACPHVAGVAALLKGVHSDWSPAAIRSAIMTTSDIFDNSKEQIKDIGTDKAATPFALGAGHVNPNRALDPGLVYDVGVQDYVNLLCALKYSQKNITTITRSSSNDCSKPSLDLNYPSFIAFFNDGNSSSRPIQEFRRTVTNVGDGQAIYVASIAPIKGFRVTVIPNNLVFNEKNEKLSYKLRIEVASMTKLKKVAFGYLTWMDVKHVVRSPIVVTTLKLKF >RHN81404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45595956:45597251:1 gene:gene5438 transcript:rna5438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MQTHHQYYSFSCESASCSSSLDDEDSIFLSLAPPGHQIPPKLTPPHPTISTTIPPLHQIPISTTTSLHHHHTCAVNVALNALINPTFTSKPSHTPIIPQYWIPSPAQILVGPTQFSCTVCNKTFNRFNNMQMHMWGHGSQYRKGPESLKGPKPASSSSMLKLPCYCCAEGCRNNINYPRARPLKDFRTLQTHYKRKHGGKSFECRKCRKTFAVRGDWRTHEKNCGKLWFCICGSDFKHKRSLKDHVRAFGNGHAPHTLESLSFDGHKVNENYMEEEESGSEDDDNDSEVVFKRE >RHN56974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35372975:35374351:1 gene:gene32446 transcript:rna32446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MAIGIMEVHLVKAKGLNSTCFFGGMEDPYVLIQYQGQEHRSRVAKGRGRNHVWDEIFMFKVENNIGSNDKNKVILKIMDKDSFSADDFIGQATIYCKDLLAQGVQNGVAKLPPLKYRVVRADQSYRGEIDVSITFTPKVEEELIEEDIGGWKESSYYIS >RHN52283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33449317:33449868:1 gene:gene36940 transcript:rna36940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKSCEKLENEFPDHIISYIFSKLALKDLVKTSALSKQWIHEWGLRMDLNFDLYTMSDYNTDQDLSQILPLSQRFHFQSEFATRLDQFMLHYKGAIIRSIRVKFPLCNEHRDVIHRLISKGIAKGAKHIELLFSSETTDTTISIMPYRFSLILLLENDSVTYLHLQNCLIDKPNEHSSSYVIQV >RHN76351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49602506:49603096:-1 gene:gene12661 transcript:rna12661 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHGDSLPSSISRSNNIVCSKPPKPNSSQLNNNNIQRTISDISFELSKQDLDLTQLPPITEVEDAKCECCGMSEEFTPEYIKRVRDKYLGKWVCGLCSEAVKEELEKNGGNNIEEALSAHMSACVRFNKFGRSFPVLFQAEAMKEMLKKSKMEGRRAKSFNPRDKGGEKKGGGLARSSSCIPAITRDINDFTITN >RHN49226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53411009:53415683:1 gene:gene44035 transcript:rna44035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heparan-alpha-glucosaminide N-acetyltransferase MEEIIGEHSVHVVSEVEPVSAKELPKKVKRVASLDIFRGLTVALMILVDDAGGEWPAIGHAPWNGCNLADFVMPFFLFIVGMAIPLSLKKIPNKLLAVKKVIVRTLKLLFWGLLLQGGYSHAPDHLSYGVDMKHIRWCGILQRIALAYLVVALVEIISRSRQDRDDPEPTNLSIFTLYYWHWLVAACILVVYMPLLYGIHVPDWQFTVHNPDSIYNGTTFTVTCGVRGKLDPPCNAVGYIDREVLGINHVYKKPASRRSEACTVKPPYEGPFKKTAPAWCYAPFEPEGILSSISAILSTIIGLHYGHVLIHLQDHLSRLKQWILLGLALLTLGFILHFSHVIPLNKQLYTLSYVCVTSGAAALVFSAFYVMVDIWGLKLLFIPFKWIGMNAMLVYVMAAEGIFAGFINGWYYDDPRNTLTYWIQEHVFIRVWHSRSAGILLYVIFAEILFWAVIAGILHQLGIYWKL >RHN40432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16242835:16247691:-1 gene:gene46581 transcript:rna46581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MMRRMKKEIEDEMLDDDRIGSEFNDEEYDRSARGVLLKKGPWTSAEDDILVNYVKKNGEGNWNAVQKQTGLLRCGKSCRLRWANHLRPNLKKGTFTAEEEQLICELHAKLGNKWARMAAHLPGRTDNEIKNYWNTRIKRRHRAGLPLYPPEVLQQTLHGQSTDGINSGNKVHPDFLQKKNFDIHDAIYDCMKDNQGMLPYVAELPDISAYSNMLNGFDSSQYSSFGQSTSSNHKHFRDSTIPFLDFSCIDRNGYYPFDHIQDDTFYKWTQSFGMQSPLHHAGPSSHSSMCYSHSLKNGNSSTSKPFEELPSIQYPETDLGSWGTSPPPPLLESVDDFIKSPTPISTLESDCSSPQNSGLLQSVLYPRKTPSSSKNQFYGKSSNSSTATPGERADSSALNMYDTEWEESADPVSPFGTSSILNECPAVSANRSSLDEQPPVHTVNGNTVKLEYVDPVWTPDSGNQITSLLNFSRPDFLLGSDWYEQCSGNDQNQSIFYDATSMFLEDQSATDYKHMTAGTSNPSQVREFGSCAWNNMPPVCQVSDLG >RHN74049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:25250559:25251413:1 gene:gene9993 transcript:rna9993 gene_biotype:protein_coding transcript_biotype:protein_coding MYRHNYDFLTFPRAGKMSNICSLVNKANQKVTGQSSSSRKRIEQRDRTAEAWFPVRVTDEPAEEEEVPLKRKRIAALDMSKQVQTQVVVRYKDALPVDEGLFQVPKVWSRFDCFGPQASLYLRDYELKAIRDLGPAGRSHAVTEGVVCEMRALEVVVFLNNSSTEEAVRSKALARERGETAKKMAKLEAKVIVLKEGTSDKDMMIAFLKEKAESASRYHNELSEVRAMFTTEKKVLDDALCDASQPGEDETEDTAVLARPALVYRLEELERNLVGLRVTVLIMP >RHN77351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6228815:6234501:1 gene:gene797 transcript:rna797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MQQTNGSDSSSPSETTTNKQQRQQPPAPVAVPQQWIPMQYPTAAMVMPHHMMPPQHYAPPPYVPYHHHHHQFQQPLHVPPHQHQNHQNQHGSNGENKTLWIGDLHSWMDESYLHRCFASTGEITSVKVIRNKHSGISEGYGFAEFFSHATAEKVLQNYAGILMPNADQAFRLNWATFSTGDKGSDNVTDLSIFVGDLAADVTDSVLHETFSSSYPSVKAAKVVYDANTGRSKGYGFVRFGDESERSQAMTQMNGVYCSSRPMRIGAATPRKSSGHQPGGQSNGTSSQSEADSTNTTIFVGGLDSNVTDEDLKQTFSQYGEIASVKIPVGKGCGFVQFANRNNAEEALQKLNGTMIGKQTVRLSWGRNPAYKQAISLLSIFHIISLDVGSWAGPYFPSPIYDGYGYAMPSPHHDPSMYPLAYGGYPIYGGHSQQVS >RHN51131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14223606:14227312:-1 gene:gene35514 transcript:rna35514 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIRRCHTLQVSDLTSIVKVIQISWYLFHTDKIHVLFTISRHHSRYTFSINVESKILIFLTNFVQLKITKYCQKYLLNNHKFQHLVSLRT >RHN73452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16566660:16566926:1 gene:gene9267 transcript:rna9267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSFLIASKMSPILTLISMCNIHAKIPLTLLNLSNIKSLDLSNNSFCGQIPRSMAVLNFLGYLNLSYNNLNRQIPIGTQLQSFDATSYT >RHN54182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7637711:7643252:1 gene:gene29179 transcript:rna29179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cholesterol monooxygenase (side-chain-cleaving) MSDSDITFYFLSSILSLLIFIFFLIKTKQAKPNLNLPPGRMGWPFIGETIGYLKPYSATTIGKFMEQHIARYGKIYKSNLFGGPTIVSADAGLNRFILQNEGKLFECSYPSSIGGILGKWSMLVLVGDMHRDMRNISLNFLCHARLRTHLLKEVEKHTRLVLSSWKEKTTFAAQDEAKKFTFNLMAEHIMSLQPGKIETENLKKEYVTFMKGVVSAPLNFPGTAYWRALKSRCTILKFIEGKMEERMKRMQEGNENSEENDLLNWVLKHSNLSTEQILDLILSLLFAGHETSSVSIALAIYFLPGCPQAILQLREEHKEIARAKKQAGETELTWEDYKKMEFTHCVVNETLRLGNVVRFLHRKALKDVRYKGYDIPCGWKVLPVIAAVHLDPLLFDQPHHFNPWRWQNNDGASGNSNIFLPFGGGPRLCAGSELAKLEMAVFIHHLILNYNWELTDNNDQAFAYPFVDFPKGLQIRVQAHSLI >RHN73047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13000814:13006693:-1 gene:gene8824 transcript:rna8824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MLQSLMNLLSPCWKPFGHGGDDSSSAVVGREGKDGLLWFRDIGKYGSGEFSMAVVQANQILEDQCQIESGPLGTFVGVYDGHGGPDAARYVCDHLFRNFQAASSESRGVVTEETIRSAFRLTEEGFTAMVTELWNTRPQVATTGTCCLVGAIFQQTLFIANLGDSRVVLGKKVGNTGGVAAIQLSTEHNANLEAIRHELKELHPHDPQIVVLKHGVWRVKGIIQVSKSIGDVYMKHAQFNREPINAKFRLPEPMHMPILSANPSILCHPLQPNDSFLIFASDGLWEHLNNDQAVEIVHRNPRAGSAKRLIKAALQEAARKREMRYSDLRSIDKKVRRHFHDDITVIVLFLNHDLITRGTVQNPPLSIRSALNH >RHN56744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33346527:33349017:-1 gene:gene32185 transcript:rna32185 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKPMLALETLYLGIPDESVNLTFQDLADVNTSENRKQATTNQPVSEVSNNVPIRTNLSPSSSLAKIPSLDFSKGLQASNQHNHHHHDIGQGNSPWGQFGHRIEENREHVHVHVHVHDPPQFSHANGSTKSPRSRTGGDDHSAYSLSYDDVSTASGRGGGGGSGGRRRPGIPHSKICTICSTYVYIFRTRCLVCGRVYCSRCVKIGMGEMVEGRKCIECLGLKFSQRYIERAGKIGCCNWRYSATMKQAELMCAEKGPRRSRRSYGQSGVANSTSRSPITPRSPHAIGSNNEHSFVMSNTFSPFSPHHNNLPF >RHN42591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39559472:39563302:-1 gene:gene49048 transcript:rna49048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAT/LH2 domain-containing protein MKYAFAGSRTRVYCLEGNYPNRWTTNACCSSFETERIYYKYLLFCRRFQILNFVPFLQFNSYVWGRRFRAIAMETIPVKTMIPSTSLIHNRAFKRNTTTTHITSSRRISSSRHFRFSIHSKFSDTNFQDFQSYTRPSVVLPASDVKVFTDTSLENTLSSLKEDKTKSLFRVKLVTSNLYGSNISDFNAGILLCLIDEDGNSILKRIPVSLMTNHSTESGDILHFQRGSIDEFIFEGPKIARIEAIWVGVDSGQWRLGNISLIVINSEWQPSLPEKEVAPYTGFQYEFRIEDALLGEGTDLSMLELRPNLVTQLEGTDPISLFKKELYDSTLLPTPVISKEESMEEYTNLKFSLLFYDAVLIFFGTSIASLSAGENTGFAFFVGGIGGFLYLLLLQRYVDGLPGSKLITSNKGGTDALFKGLKGPIVSVALALGFAVFLVKYSSGDDVEVFLTPKDIIVGMMGFLACKVSVVLAAFKPITLGQKLPGDM >RHN50820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10805560:10814601:-1 gene:gene35155 transcript:rna35155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative apyrase MDLETTKMLKNSGHPPSPESSSSFSDKFYQIRGAFLMLALPLLLVTLILYIMPSTSSNESIEDYALTHRKISPDRKISDSFAVVFDAGSSGSRVHVFRFDRNLELVKIGNDLEVFLQIKPGLSAYARDPQQAAKSLVSLLDKAESVVPMEFRSMTPVRVGATAGLRALEGDASDRILQAVRELLKQRSTLKSEPNAVAVLDGTQEGAFQWVTINYLLGKLGRDYSDTVGVVDLGGGSVQMAYAISETSAAEAPKVPDGGEPYVKEMFLRGRKYYLYVHSYLRFGLLAARAEILKASDDFGNPCILAGYDGSYKYGGKSFNASSSPSGSSLNECKSIALNALTVNESTCAHMKCTFGGIWNGGGGDGQKNLFVASFFFDRAAQAGFANPKSPVAKVRPVDFKNAAKQACQTKLEDAKSTYPLVDDGNLPYLCMDLVYQYTLLVDGFGLDPLQDITLVKQVKYHDSLVEAAWPLGSAIEAVSSIR >RHN81752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48674925:48676724:-1 gene:gene5837 transcript:rna5837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MKKVVLKLEINEDKIKQKAMKAVSGLSGVESVSIDMKDKKMTLIGDIDPIRVVAKLRKLCHAEILSVGPAKEEKKEEPKKDDKKKEDDKKDSTMIINPFMLYGTPTTYYNHQMNPQYNSYYRAVSVEEDPNGCVII >RHN76934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2507164:2508438:-1 gene:gene327 transcript:rna327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MSLIAEAPEFLQVFSDGTVKRFNPEIAPPSLDSSNKYKSKDIIIDPTKPITGRIFIPNNPTKKLLPLLVYFHGGGFCIGSTTWLGYNNFLGDFSVASQSIILSVDYRLAPENRLPIAYEDCYSSLEWLGENVKTEPFLRHADLSNVFLSGDSAGGNISHYVAVKAIQNDGFCPVKIKGVMLIHPYFGSEKRTEKEMEEEGGVEDVKMNDMFWRLSLPEDSDRDFFGCNFEKDDVSESVWLKFPAVEVYVAGKDFLKERGVMYAEFVKKKGVKEVNVVEAEEEKHVFHVFYPESDATRLLQNQMSQFMKKCLH >RHN66061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8161671:8162593:1 gene:gene13973 transcript:rna13973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonoid 3'-monooxygenase MFAAGKDTSSNDIPNLPYLQTVIKETFRLHPSTPLSLPRIASESCEIFGYHVPKSSTLLVNVWAIARDPKEWVDPLGFKPERFLLGGEKCDVDVKGNDFEVIPFGAGRRICVGMSLGLRMVQLLTATLAHSFDWELENGLNAEKKNKDEGYGLTLQRIVPLSVHPKPRLSPHVFSTCF >RHN49177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53086039:53090938:1 gene:gene43980 transcript:rna43980 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRSKGSFFSLFDWNSKSRKKLVWNNQTLPEVSRQGKENLETLPESKFYRIKVDENGASPSNIGSGDFSSVCSDEGCGSKAPGLVARLMGLDSLPTSDVGELSGASLYSSNFHGASHYNEGALRSMDDFRRADYLNTPLKSEKSSWDAMESRAHKMENQSMKRFQTEMLPPKSAKPIPVTHNRLLSPIKSNGFLPPKNAAHVMEAAAKIIDGSPQPYTRNRVLSGGSSSVPLRILDLKERLEAAQHAPMSRKLVNPNNANPSNCKAGERSSNFDKCTSSFKGSSSRDSEKRNYSHLTSKGKSGSPAMQAKNNVQSRDTLVSNGNRKYMKQKEPNEIKSNQLPRSHKSNTNRALPQKTCANRNGNVLVQNNQKQNSMTSRGKSTSKIDSNKSITRSSSSKSSTGVKKPTNKGASNVNVQPKRSSSRATDNREEFPPSKTHSISQKKKYDSRGVPEARSPDHERNDFESKSIKCNFTTDGSIDQNAFNMNESNDVVSFTFTSPLKRSVPESLSSAEQVMETRTRFGVDTLGHNDNLHPKKLSLSPTHMIDSDALSFLLDQKLQELTSRLNLPQCTLISEEPSTGLRSNLQDKASSMVNTNTMEQDEMFIDNFNSMHNYRCCSSDEPVLNMNQQLQTSEVREDHSCSSNNESGNDLGCQHSDAGKNFQAPSVSESYLDSEDSAYGSTVYSSMQDEEASNISQINESDSLENEVMWSEQSSSISMGQYVAVAQISGTPNMVDFKTSSNMELEYVQKILGNAEFMAEEFVMGQTNSVIMPNLFDLLENHQSTSGTSYCGEEHYKLERKVLFDYVSECLELRCEKAFVGSCKSWPRWVTSIQMKDFLADELYREMMSFRNLEDVMVDELVCNDMSTGYGKWLDFEIEVFEEGSEVEGDILECLIDEMVSDLLLV >RHN44212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2448435:2457436:-1 gene:gene38302 transcript:rna38302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MGFLRKASKTLKQSNYVSLLFNFNPLSSRITHERFSITRALFCTQSRQNYTKEKAIIDLSQYPPELVRNFSIIAHVDHGKSTLADRLLELTGTIKKGLGQPQYLDKLQVERERGITVKAQTATMFYKNIINGDDFKDGKESSNYLLNLIDTPGHVDFSYEVSRSLAACQGVLLVVDAAQGVQAQTVANFYLAFESNLAIIPVINKIDQPTADPDRVKGQLKSMFDLDPSDALLTSAKTGVGLEHVLPAVIERIPPPPGKSESSLRMLLLDSYFDEYRGVICHVAVVDGALRKGDKISSAATGKSYEAMDIGIMHPELTPTGILFTGQVGYVITGMRTTKEARIGDTIYHTKSTVDVEPLPGFKAAKHMVFSGLFPADGSDFEALSHAIEKLTCNDASVSVTKETSTALGLGFRCGFLGLLHMDVFHQRLEQEYGAHIISTVPTVPYIYEYSDGSKLEVQNPAALPSNPKQRVVACWEPTVIATIVMPSEYVGPVITLLSERRGEQLEYSFIDSQRVFMKYRLPLREIVIDFYNELKSITSGYASFDYEDSDYQPSDLVKLDILLNGQAVDAMATIVHNSKSYRVGRELVEKLKKVIDRQMFEIIIQAAIGSKIIARETVTAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDVPQEAFHELLKVS >RHN50156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4554593:4556664:1 gene:gene34411 transcript:rna34411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MSDSKPHAVLIPYPVQGHINPLIKLAKLLHLRGFHITFVNTEYNHKRLLKSRGPNAFVGFTDFTFEAIPDGLPSNEGDGDGDVSQDIYALCESIRKNFLQPFRELISRLNDSATSGLVPPVTCIIADNSMSFTIQAGEELSIPVVFFSPANACTFWTGFHLLTLFDKGVIPLKDESYLTNGYLDTKVDCIQGLQNFRLKDLPGYIRITDPNDCIVQFTIEAAGRAHRASAFIFNTSNELEKDVMNVLSSTFPNICAIGPLSSLLSQSPQNHLASLSTNLWKEDTKCLDWLESKEPKSVVYVNFGSMTVMTAEKLLEFAWGLANSKQPFLWIIRPDLVIGGSVVLSSEFVNEISDRGLIASWCPQEQVLNHPSIGGFLTHCGWNSTTESICAGVPMLCWPFFADQPANCRYICNEWEIGMEIDTNVKRDEVEKLVNELMVGEKGKKMRQKAIELKKKAEEDTRPGGCSYMNLDKVINEVLLKQNQT >RHN61952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39598735:39605179:1 gene:gene24468 transcript:rna24468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLAIRKLKKGPDLPYVLCLMIANCNYGTAQVSNKRSLQSIVKFVGPCLAKSSSTALLLGFRNRFFLQGLYMSSMAERILVQAQDPAKVTIEIQNAINDNQLDYSWKLLEQHKHMEGFPRKSLFNVIITSYVESLDTQWLQKAYDLVQRAGEDGRKDLLEKELLIYLSYGLAKVRLPVLASTILRKMIDMEHFPPVTAWSAVLAHMSQTADGSFLAAELILEIGYLFQNNRVDPRKKINGPLIAMKPNTNAFNIALAGCLVFEKSRKAEQLLDMMPRIGVKADANLLITMARVYERNGRREELMKLQRHIEEAPNLTDIQFRQFYNCLLTCHLKFGDLDSASNMVLEMLRKAKEARNSLGSAKFLINTTKTDHSSSPRPASAHNLSNSKDLESVKIDQLIKNDVLSYEEFSKDRNFLKLEAESKAVLSSLFAKLQTQVDLITTVHGILQPTETIYVKLVKAFLEAGKTKELAVFLLKAEREDSPFSNDNSALVHVINSCISLGWLDQAHDLLDEMRLAGVRTGSSVYASLLKAYCVANRAADVASLLRDARKAGIQLDSNSYEAMIQSRVLQEDTQGALQLFKEMKEARIPKVSQQYSGKLAKSVSDSDSDEAALMTKLVQEIKDGQKVDCGVHDWNNVIHFFSKKRLMQDAEKALKKMRSLGHTPNAQTFHSMVTGYAAIGGKYLEVTELWGEMKSLASKSSIKFDQELLDSVLYTFVRGGFFIRANEVVATMEKGKMFIDKYKYRMLFLKYHKTLYKGKAPKFQTESQLNKREAALTFKRWIGMI >RHN65141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64454994:64462904:1 gene:gene28044 transcript:rna28044 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEIVAMKLKLLPSSSRSLAVAVLCDSNYKYNYNYNASSSSSSSSSCCYVKKRHSMIVYSSKSSKKNDSSNSQPTPPPPPPRITSNAKQNLRFLKIWKEYQKRKSSTPRPSTRYRKKKAEKEDLADDQTHLYRDPTTSLYRTNHQLGGLIDNAAAVPVLLVDGYNVCGYWLKLKKHFLNGKLELARQKLIDELITFSILREVKVVVVFDAMMSGFPNHKEYSSGIDVVFSADASADTWIEKEVSALKEDGCPKVWVVTSDHCQQQAAHGAGAFVWSSKALVTEIKASQKEVEDMLQEQRSTSFQGRLLKHNLDAEVVDALKNLRQKLSDNELK >RHN60178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22554948:22555529:-1 gene:gene22426 transcript:rna22426 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSNKKGVYTDLSMEKKMLLKIQKEDLLPKGGGSDQPSLERIIFLHFFITKEKANVPKYIFKHMIKELRESQHNKRCWVPYGRLILEILHQGGILKALSKVNFFSDEQLGTETGKIINERTLRNMNLIEKEAYTKLSTNLKEFDVMSNLIENFPPICKQDPLDVHMNFIKDHFATTSTKIRLKDVPQKMNIG >RHN40303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15110817:15115847:1 gene:gene46446 transcript:rna46446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diadenosine hexaphosphate hydrolase (AMP-forming) MSDLVARTGRHQQRYEDGYRLVAGCVPFRYKSCDDESSSEKIVEVLMINSPSGPGLLFPKGGWENDETVEEAAVREAIEEAGVRGDLMECLGYYEFRSKTHQDEFSPEGLCKAAMFALFVKEELDLWPEQNTRNRSWLDVSEALDSLRHEWMRDALERFCKWHEEKFVDGTDGTE >RHN46112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28731255:28735702:1 gene:gene40552 transcript:rna40552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-VIIa-2 family MGACWSNKVKSVSPSNTGFTSRSVSRSGHDISSTSRNSSASISVTSRSEGEILQSSNLKSFSYNEVRAATRNFRPDSVLGEGGFGSVFKGWIDEHSHAATKPGMGIIVAVKRLNQEGHQGHREWLAEINYLGQLQHPNLVKLIGYCFEDEHRLLVYEFMPKGSMENHLFRRGSYFQPFSWSLRMKIALGAAKGLAFLHSTEPKVIYRDFKTSNILLDSNYDAKLSDFGLARDGPTGDKSHVSTRVMGTRGYAAPEYLATGHLTAKSDVYSFGVVLLEIISGRRAIDKNLPSGEHNLVEWAKPYLSNKRRVFRVMDPRLEGQYSHSRAHAAAALASQCLSVEPRIRPNMDEVVKTLEQLQEPKDSQKKGSDHRARNSNLGLNASGKGNADATRKASAYPRPSASLLHV >RHN46898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35645921:35646217:1 gene:gene41433 transcript:rna41433 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative remorin MSFYQIIINVGVSASISCRCFIYNSRITYYLHEQEQLERKKARYGEIMRNKIALVHKEAEEKRAMIEAKRGEEVLKVQEMAAKYRATGTTPKKTIGCF >RHN59552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11905276:11908469:-1 gene:gene21596 transcript:rna21596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADPH:quinone reductase MAIKLMHAVQYDSYDGGPSGLKHVEVHIPTPKANEVLIKVEASSINPVDWKIQDGLLRYLLPRKFPFTPCTDVAGEVVDFGSQVKDFKVGDKVIAKLNNQDGGGLAEFAVASESLTTLRPSEVSAAEGAGLPVAGLAAHDAITKMAGIKLDRTGEPKNILVTAASGGVGVYAVQLAKLGNNHVTATCGARNIELIKSLGADEVLDYKTPEGASLKSPSGRKYDAVIHCTAGIPWSTFEPNLTENGIVVDLTPGPSSLMKYALKKLTFSKKLWVPFIVTIKREGLEHLAELVKDGKLKTIIDSKFPLGKAEYAWSKIIDGHATGKIIVEPSRVLF >RHN65971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7165513:7168031:-1 gene:gene13879 transcript:rna13879 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEDYRFSRVPLLVGLYSLMNDSFELYLESLVYLQLFESRNTIILGYTNFGYVVSQIQLDGICL >RHN81719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48443296:48445340:1 gene:gene5802 transcript:rna5802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MLSHTFIIFHFFLFTIFILPSLSLPSQNITLFGDASYTDTSITLTKQQHTCLSSSSSSSSGIGRAFYLYPVRFLDPLTNSTASFSCRFSFSILSSPSCPSSSDGIAFLISSTTDFSSLSNGYMGLPHSDQDSYFAVEFDTSFDPSLGDINGNHVGIDLGSVVSFASADLLSRRIDLKSGKIINAWIEYRDDMKMVRVWVSYSSTRPPTPIIASFIDLSERFKEFMHVGFSASNGKGSSIHLVHHWQFKTLSYSHSVGPMDNVEEGDCFLCYAGDSKASKKKGENSRTNISEIALGIGGITAFVEKGGVIRKIREGQSSRFQTNKVPVKLSLSEITSATMGFNRDRLVGEGASAKVYKGSLPFGGDVAVKRFEKIDELDRLHNPFATEFATMVGCLRHKNLVQLKGWCCEGNELVLVYEYLPNGSLDKVLHRNLSSSFVLSWKQRVNIILGVAAALTYLHEECERQIIHRDVKTCNIMLDVDFNAKLGDFGLAEVYEHSSSTRDATIPAGTMGYLAPEYVYSGVPTVKTDVYSFGVVIIEVATGRKPVADDGTVIGDYVWSLWEKNRLVEAADPKLMGEFDVIEMERMLLVGLVCVHPDYEKRPRVRDAARMIKKEAPLPLLPTTKPWVRIMPVCPNDTPETQDVVGDWVSNDEAPYLTPRSQFY >RHN42848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41439256:41439618:1 gene:gene49345 transcript:rna49345 gene_biotype:protein_coding transcript_biotype:protein_coding MCFMTTYECLIILLKLSLVNAIVVSFAVPCLIMASLRSALLQFLSRKSSSSLRNYFLSSRLSRCVVLQLTSPISTRMTDLVPYVKRYGDSHLVECGVDMFLQYWIQFVDPISFGLIESSL >RHN79020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21141844:21149360:-1 gene:gene2700 transcript:rna2700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDILISVVAKIAEYTVVPIGRQASYLIFYKGNFKKLKDHVEDLQAAREIMLHSVARERGNGREIEKHVLNWLEKVNEVIENANRLQNDPRRPNVRCSAWSFPNLILRHQLSRKATKITNDVDQVQRKEVFDQIGYLPPLDVVASSSSTRDGEKYDTRELLKEDIVKALADPTSRNIGVYGLGGVGKTTLVRKVAETANEHKLFDKVVITEVSKNPDIKKIQAEIADFLGLRFEEESILGRAERLRQRIKMERSVLIILDNIWTILDLKEVGIPVGNEHNGCKLLMTSRNQDVLLQMDVPKDFSFKVELMSENESWSLFQFMAGDVVKDSNLKDLPFKVARKCAGLPLRVVTVARAMKNKRDVQSWKDALRKLQSNDHTEMDPGTYSALELSYNSLESDDMRDLFLLFALMLGDDIEYFLKVAKGLDILKHVNAIDDARNRLYTIIKSLEAACLLLEVKTDGNIQMHDFVRDFAISIARRDKHIFLRKQSDEEWPTNDFLKRCTQIFLKRCHTLELPQTIDCPNVKLFYLGCNISSFKIPDAFFEGMRSLRVLDLTRLNLLSLPTSFRFLTELQTLCLDYCILENMDAIEALQNLEILRLWKSSMIKLPREIGRLIRLRMLDLSHSGIEVVPPNIISSLTKLEELYMGNTSINWEDVSSTFHNENASLAELQKLPKLTALELQIRETWMLPRDLQLVFEKLERYKIAIGDVWDCMELKH >RHN43945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49677063:49678024:1 gene:gene50585 transcript:rna50585 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLDRLDESVSTENNLEQSPQNNVSILHFFKIGYDYSSMKNSSCGSASSSDSVVSDGKK >RHN59713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12697414:12698949:1 gene:gene21814 transcript:rna21814 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpA MVTIRADEISKIIRERIQQYNTEVKIVNTGTVLQVGDGIARIYGLDEVMAGELVEFEEGTVGIALNLESKNVGVVLMGDGLLIQEGSSVKATGIIAQIPVSEGYLGRVVNALAKPIDGRGEISASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQQGQNVICVYVAIGQKASSVAQVVTTLQERGAMEYTIIVAETANSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDPSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSSQLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFASDLDKATQNQLARGRRLRELLKQSQSAPLTVEEQIITVYTGTNGYLDSLEIYLVRKFLVELRAYLKTNKPKFNEIISSTKTFTGEAEALLKEAIQEQMELFLLQEQVEKIN >RHN64996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63517887:63519610:-1 gene:gene27885 transcript:rna27885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative voltage-dependent anion channel MGEVAVKPTIDIIVCATTNNTTNAKPITKPSHSQSQPFLTKIHAGYFFICVSFGAQALLWKSLSEHNNESQTLWHGFNFMPSVAYLLLWCLAVLIAATLSFLYMLKSILHFNAVNDEFAHHIGVNYMYTPWISYLLMLQASPPWIVSRTCYYEFLCLAFSFVIFLLDVKLFGQWFTTEKRFLSVVANPVNLVSVIGNLVAAQVMTEIGWNEIAISMYSLGMVHYLILFVTLYQRLTSSNQFPVVLRPAYFLFFAAPSMASLAWKSISGAFLISSKMLFFLSLFLFISQACRPALFKKTMKRLNVTWWIYSFPLTFLGLACAEYAHEVKSSMASALMLVICIVSVLVFVFLMLTTVLKVEKLLHKKAPSK >RHN54486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9952099:9955259:-1 gene:gene29536 transcript:rna29536 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVTHFLELCSKRFCQGYEGSKVIFFPAVLFTVSVEVVLGGPWFVVAAVLSGWWQLFSGRGCILVLTQEYACVLLYVYVGVITMLGFLVFLGGF >RHN72814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10949766:10951277:1 gene:gene8574 transcript:rna8574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MWLILEPNQMVKPIPQNPSLLHGTKLVPSTIYVPHGKFLLGRVTFRGECTNKDISIIIDGTLVAPSDYHVIGEASYWLTFENVSGVKIHGGVLDGKGTSLWDCKNSGKSCPMGAAVSNTWNFNSDNIIITGLTSLNSQMFHIVIRECRNVKVDGVKIIAPKNSPNTDGIHVQSSSDITILKPRIRTGDDCISIGPSTRNLWIEHVECGPGHGISIGSLGWKLNEPGVKNVTVKSTTFTKTQNGFRIKSWGKPSNGFVRHVHFVHATMIDVQNPILIDQHYCPLYKGCSNEASGIMISDVLYKDIQGTSATKVAVRFECSSKQPCRRIKLEDLKLSYKNQVPKALCNHVAGTASGMVQPQSCL >RHN53441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1674398:1675345:-1 gene:gene28348 transcript:rna28348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MTGSPSNCFVKHLTVLAHNSCDSPLCHKLDTGVCSPEKRCNYTYGYGDNSLTKGVLAQDTATFTSNTGKLVSLSRFLFGCGHNNTGGFNDHEMGLIGLGGGPTSLISQIGPLFGGKKFSQCLVPFLTDIKISSRMSFGKGSQVLGDGVVTTPLVQREQDMTSYFVTLLGISVEDTYLPMNSTIEKGNMLVDSGTPPNILPQQLYDRVYVEVKNNVPLELITNDPSLGPQLCYRTQTNLKGPTLTYHFEGANLLLTPIQTFIPPTPETKGVFCLAINNYTNSNGGVYGNFAQSNYLIGFDLDRQVVSFKATDCTKQ >RHN43116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43342998:43348130:1 gene:gene49642 transcript:rna49642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MPVWWSKKSSKNKQERNNINNEEEDDEEEQTRGGGVLQFNFMMKSPINAIRNNGDIKKKSNCNNKKKPKSFDEVFNRNSPRTSREFDGGAATEKQGVPLPLPVNSDQALGSFSVSGSSVSSSTSFDDHPISPHFISNNRGQDEVKFNVRSRSPGPASRGPTSPTSPLHPNASRGPTSPTSPLHPAASRGPTSPTSPLHPNATRGPTSPTSPLHPNATRGPTSPTSPLHPNATRGPTSPTSPLHPRLQGLNLDSPTGKQDDGRSQCHPLPLPPGSPTSPSSALSNTRSPFENSSPNLSKWKKGKLLGRGTFGHVYLGFNSENGQMCAIKEVRVGCDDQNSKECLKQLHQEIDLLNQLSHPNIVQYLGSELGEESLSVYLEYVSGGSIHKLLQEYGPFKEPVIQNYTRQIVSGLAYLHGRNTVHRDIKGANILVDPNGEIKLADFGMAKHITSAASMLSFKGSPYWMAPEVVMNTNGYSLPVDIWSLGCTLIEMAASKPPWSQYEGVAAIFKIGNSKDMPIIPEHLSNDAKNFIMLCLQRDPSARPTAQKLLEHPFIRDQSATKAATRDVSSYMFDGSRTPPVLEPNSNRRSITSFDGDYATKSAIAAPRTTRSPRDHSRTITSLPVSPSSSPLRQYGQEHRSCFYSPPHPSYTILGQNSYTLNDTPSYPVRSNAPFTLDPWHETSRYKAHTPPGGSPRMRFI >RHN68784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38586181:38588643:1 gene:gene17181 transcript:rna17181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbonic anhydrase MANQSSELAIEQLKKLLREKEELNGVATAKIEQLIVELQGCHPNPIEPADQRIIDGFTYFKLNNFNKNPELYDRLAKGQSPKFMVFACSDSRVSPSVILNFQPGEAFMVRNIANMVPPFNQLRYSGVGATLEYAITALKVENILVIGHSRCGGISRLMNHPEDGSAPYDFIDDWVKIGLSSKVKVLKEHERCDFKEQCKFCEMESVNNSLVNLKTYPYVDREIRNKNLALLGGYYDFVSGEFKLWKYKNHVTEPVTIPLKGLDMTI >RHN77580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7845613:7846276:-1 gene:gene1047 transcript:rna1047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative auxin response factor MHIGVLAAAAHAAANRIPFTIFYNPRACLSDFVIPLAKSVYGTQLSVGMRFGCLRPRNRAEWDEPGCGDKQNRVSVWKVETPESHLIIP >RHN47290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38525217:38525572:-1 gene:gene41868 transcript:rna41868 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKGDCGLFGFDGSDLAFFLAIKVPQKEEIVDLDGNISQHFRLMVPKKKKKKKLKQQQVDASKEFKVCLFNRSPRQFFDGKPPLMLLNIQSVLVFIF >RHN57694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41098765:41099782:-1 gene:gene33274 transcript:rna33274 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NtN2 MGGSKYERRTSLTAPGIQSYTWRTERFSRVRATVLYSDVFEAGGYKWRAIIHPRGNNTDYLSIYLCTADSASLPDGWSSYVEFTLKVVNQIEYKYSVTKGAIFNLFFTVVTNELPCMYVEIQTKCGNAHNFWA >RHN72355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7036476:7039754:1 gene:gene8057 transcript:rna8057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MTLLLEWEVKVEFSQMSRASEFSGTAILPNVGGYLPIIEQGMTTAGDCRYRQAIPVGFGGRLDIDTNYGIRKAFLPRGKRKYTPLRQPTAQQVLIDKISAGNHCKSNRVGKCSNNRCNL >RHN74305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31680718:31682673:1 gene:gene10343 transcript:rna10343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MRAMTSSLRYLKSSSRVLTARNQNQVSHFSSSSFSLPLWNRSKDLLCFHNNHQKHYFSSKPNMILERVFTNDWSQVGSMSAMKEKGFYLDEETHVTISRSLKGEKLKSDVVALSHFYKGMLEQNAMQNVVKKVLLEFFLEWSQLKSFGVFLRRRRVSVMNWRISRQLQKNKMMEDVVKLYEHMMDSSYKPSVLDCIMLLKSTSASDKLDLDLVFRVAKKFELAGYTLSKAVYDGIHRSLTSARKFDEAEKIVETMKNAGYESDNITYSQLIFGLCKTGRFEEALKVIDDMQANNIWVDIKTWTILIQWYCDACKLDDALLNLYKMIETNDADAELLEVLVDGFLKQKRVDAAYKLLLEISAKCRTCPRQATFKKLIDSLLGVRKFEEALDLLRLMKSKQYPPYHEPFVSHISKFGTMEDAAEFLRVLSLKNYPSHTVYLQIF >RHN41164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27685568:27697082:-1 gene:gene47455 transcript:rna47455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MVNILSCLLLFLLSLHCFVACLASNTKNSTTDQSALIAFKSLITSDPYDMLANNWSTSSSVCSWVGVTCDERHGRVYSLILRNMSLRGTVSPNLGNMSFLVILDLKNNSFGGQFPQELCRLRRLKVLNVRYNKFEGGISEALGNLSQLQYLYLGANNFSGFIPESIGSLHQLKLLEISKNNLSGLIPQMVSNMSSLEYLDLSSNYFSDLIPEEIGDLHQLKFLELGNNSFKGSIPSKLLNISSLTYLHLEQNYLSGIIPSKTGYSLPKLQQLSLYQNNFVGNIPNIIFNASDLILVDLNYNAFTGTVPNVFENLRFLESFLIVENYLTIDDSHQFFNSLTSCRYLKYLELSGNHIRSHILSSFPNSIGNISAEFFWLDSCRIEGNIPIEIGNMSNMIFFSINDNNIYGSIPGTIKELQNLQVLDLGNNRLQGSFIEELCELQKLGELYLENNKLSGVLPTCLENMTSLRMIDIGSNSLNSKIPSSLWSVIDILEVDLSYNAFIGNLPPEIGNLRAIVVLDLSGNNISRNIPSTISSLVTLQNLSLAHNKLNGSIPSSLGEMVSLTSLDLSQNMLTGIIPKSLESLLYLENINFSYNRLQGEIPDGGPFKNFMAESFIHNGALCGNPRLHIHPCGEQVKKWSMGKKLLFKCIIPLVVSTILVVACIILLKHNKRKKIQNTLERGLSTLGALRRISYYELVQATNGFNECNLLGRGGFGSVYRGNLRNGEMIAVKVIDLQSEAKAKSFDVECNAMRNLRHRNLVKIICSCSNLDFKSLVMEFMSNGSVDKWLYSNNCCLSFLQRLNIMIDVASALVYLHHGSSISVVHCDLKPSNVMLDKNMVAHVSDFGIAKLIDEGRSKCHTQTFPTIGYIAPEYGSKGIVSVKGDVYSYGIMLMEIFTRKKPTDDMFVAELTLKTWISGSLPNSIIDVMDSNLVQITGDQIDDILTYIPSIFGLALSCCEDLPKARINMADVIKSLIKIKTLVLRANRV >RHN40576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17774586:17777083:1 gene:gene46739 transcript:rna46739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MLYHNTKAMAYFLLLASMIVGIGMSDSSKEKQECTAQLTGLASCLPYVEGEGKTPAPDCCDGLKTLLKTNKKCLCVIIKDRNDPDLGGIVINVTLALNLPTVCNAPANISKCPELLHMDPNSAEAQVFYTLNNGSSNISPSAAPSPSDGASSQARSTTQKNDAFRKEKRLIGLEFLAIGVLVWFLFGSAAGNFFI >RHN66174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9485470:9485933:1 gene:gene14104 transcript:rna14104 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSATTIKFFFIFVIVFAAVASAQDLSPSLSPAPGPDVGAASSATSYVAMIGASIVLSMLAIFKN >RHN60920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31597865:31598913:-1 gene:gene23315 transcript:rna23315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone H2A.1 MDKKGAGGRKGGEPRKKSVTRSIRAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLNGVTIAHGGVLPNINPILLPKKTEKAVSKEPKSPKKAGKSPKKA >RHN72420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7476278:7484840:-1 gene:gene8128 transcript:rna8128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L34Ae MQEGIVLTTAMPSSSSSSSSSLRHHCNNLCNCKHAPSATLDLLILLLVLFSGAFLLSSYILYIFNSLSLLLPSFSLPIPYILAFIIFSIGFVFGFEICCGARSRKCERKGCKGLKKATEFDLKIERLGLGFGEYEKLPWKGGSEGNPDYECLRSELRKMAPVNGKALLLFRAPCGCPVAKLEASGPKKGKRQKRSTPNATLNGGGDHR >RHN58605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3162778:3164470:-1 gene:gene20529 transcript:rna20529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UBX domain-containing protein 2/7 MMLSSSEKTSVSIFLEIARGATAATAKHFLESTSWNVEEALEWYLSGDYDDSIMCGDDDAPETVAEQEEPIKFKKETTTTRRRSVCESDLGAAAASTTVAETEDNLASLYRPPTHLMFNASFKKAKCAASMQNKWLLVNIQSTKEFNSLMLNRDTWTNDAVSQIITTNFIFLQVYDDTTKGKKLCTYYKLNSIPVVLIIHPITGQNMHSWEGLVQPQTLLEGLLTFLDATPKDHHNTLSHKSPPGTSTPPDTEGLLTFLYHPLLWSFIAVQLKEDETKPFKLTHEIHGASKNLDYRSNATFEESGLAGSMISVTWD >RHN60016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16631723:16631947:1 gene:gene22204 transcript:rna22204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-transporting ATPase MEKYLRENFHVEPKRPSEAAQRRWRSAVSVVKNPRRRFRWVANLAQRADAEQKRKKLQVSFLLPLFIIVFCLFS >RHN54852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12905123:12905797:1 gene:gene29946 transcript:rna29946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative encoded peptide MAEKIMFVTYLLILIIMQQYLGSMEASRFINDNNKDGDDAFRPTPSGHSLGVGHILPPPSSIIPKVLLKSQQPPSSDYLYTIKDDNKDGDDAFRPTPPGHSPGGGHTLPPSPPSIVPIISLKSLQPPSHDYWYSINDDNKDGDDAFRPNPPGHSPGGGHTLPPSPPSVIPTVLLENPQPISSDYFYNIKDDNKDGDDAFRPTPPGHSPGGGHTLPPSPPIVFMN >RHN60830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30712431:30713370:1 gene:gene23215 transcript:rna23215 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVIVSGHIRTSAICIPDYVPPSNKPRRLLNINLFFQVTMQESIFDI >RHN71953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3907958:3911632:1 gene:gene7614 transcript:rna7614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S6e MKFNVANPTTGCQKKLEIDDDMKLRAFWDKRISQEVLGDALGEEFKGYVFKITGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGHGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDVEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKAGKKQSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAADYQKLLASRLKEQRERRSESLAKKRSRLSTAKQGVAA >RHN71346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58427617:58432024:1 gene:gene20019 transcript:rna20019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MALAKLLLFVLLLAIGERSVNAHDYAEALSKAIMFFEGQRSGFLPEDQRQKWRANSGLSDGWTYNTDLTGGYYDAGDNIKFGFPMAFTTTMLSWSVIEFGENMPPNELRNALVAIRWSTDYLLKTVSQSNRIFVQVGDPISDHNCWERPEDMDTNRKVYAVDAPNPASDVAGETAAALAASSMAFRSSDPGYSETLLRNAVKAFQYADSYRGAYSDNADVKDGVCPYYCDFDGYQDELLWGAAWLRRATQDENYLNYIQSNGKTLGAEDNINEFGWDNKHAGLNVLVSKEVLDGNVESLESYKTSAESFLCTLLPETSSSHIEYTPGGLIYRPGGSNLQHATSIAFLELVYAKYLSRTSQTINCGNVYVSAQTLRERAKRQVDYILGDNPLGLSYMVGYGNNYPQRIHHRGSSLPSIKDHPQQIACKEGSIYFNSTNPNPNVLVGAIVGGPGEDDVYVDDRADYRKSEPTTYINAPFVGVLAYFVAN >RHN66250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10025078:10029536:1 gene:gene14195 transcript:rna14195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNQQHPLPSASSSMADWSQLPKDLLQLISSKLDSEFYQLRFRSVCSSWRSSVPKNHHHHLTLPSHLPTPSDSNNLHHSKSITFPLSKRTIFLITPPTNHHHHHQQTLNNNPWLIKIGPDSRDRTRLWNPLSRDKQLSIYLPQVINFNEHRVIDLGREFVIGNFNEYSSLYMEKVIVLDADMWGGKERCSVLFTIHISGKIAFFRCGDERWTIVPEMPSPFDDVCVFKGRPVAVDGTGRTVALRPDLSLDLVAEPVFGGDKKFLVESDGELLLVDKYLSCFRDGNFVDHTDVPYGDVNVVNFDVDVDVDDDDDDGEIFRIGSERAVRFDVFRLDEKEKKWVEVRNLGDMVLFLGEECAFSASASDLCIGNGNCVIFRDYVLNDFHSTEVGNRVFHLDQRRISPLSDFPIYSKLFWPPPEWVGLH >RHN80423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38014565:38018166:1 gene:gene4351 transcript:rna4351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative G-protein gamma MQSDGSQSDNPTTHFVQSQSFSTSSDTRGKHRIHAELKRLEQETRYLEEELEKLERMDKASASCKEMLSNVEKRPDPLLPLTVGPLNPIWDRWFEGPQDSKGCCRCWIL >RHN81824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49173880:49174516:1 gene:gene5915 transcript:rna5915 gene_biotype:protein_coding transcript_biotype:protein_coding MCHFHSFTFVISFSATSLHTQSTFLPPSEFLSLSPSLFSLHYRSSFLFLFFFFFCGHLYSGNHISQVKFTGSDENNDTGKPDGGDGDADGEEEHEFN >RHN41285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28876895:28878257:-1 gene:gene47590 transcript:rna47590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M20 MFDFTNNIKYTCTVCTHLHARACVCRGWFEDKVFIALMMRGNNWVQPNVFFGEKVFIEGVVMVMTTTDISNCYQENIAQYVCSCQIFNLVYTSCPQELVDWDHKSKVDGKIHACAHDAHVVMLLGAAKILQEMKDILKEKGTGAKLMVEENVLNKVEAIFGIHLMPHKFLPQGVVASRPVELGAGCGSFLVKIERDQQCLNPVLAVASSIVSLQQLVSREVDPLDSQVVTVAMVHSGTDESVAFGVSFRAFGRQSFNNFRTRIKEIIQGQTGVYMCSAEVDFESNHATIPPTINDEGVYQLGRKAACMIVGEENVRLASKISGSEDFAFYLEKVSVTFFQLGSNSNHSTHNPYFSLLDEEALPIGAAVHAAFVVLCVLARTRVRMRARLCRGWFQEKDFTELMMRDNNWVQPSVFFG >RHN61526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36169302:36169796:1 gene:gene23999 transcript:rna23999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 2-beta-dioxygenase MIDSNPPLLNHYGALLRNSAEPQKAKSSNGQDNTVVECELPLIDLNGLKSCNVSERLACTAAICKAASEWGFFQVINHGINPDLLRNMREEQMKLFRVPFEKKVTCGLLNNPYRWGTPSATSSNHFSWSEAFHIPLTMISEAACWGEFNTLRYYLSQYYTLVLT >RHN45010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10709755:10709982:-1 gene:gene39203 transcript:rna39203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRMLLLYIGRNSPCHIPHCMLNCADINKIKGLISPLKN >RHN63686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53202050:53207265:1 gene:gene26419 transcript:rna26419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MDTEELIDLNSKELNCGGNNSKSGDGFIDRSKVRILLCDSDSKSSEEVFTLLINCSYQVTSVKSARQVIDALNAEGQYIDIILAEVDLPIKKGMKMLKYIARDKELRRIPIIMMSAQDEVSIVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRRMLGLIENNILNYDFDLVASDPSDANTNSATFFSDDTDDKSKRSNNPEAGISIQQEQAEISIQQEEASIATAAAVIEEHPYVHVSEYQPDVPGISDRRRTGSSAPHPPCNRVTNSSFDGPKKSELRIGESSAFFTYVKATTMKRNLEEIAHLDNSGTLLVRVEDMNQACSQQGGNDLIRHENGETIESHSQDDLPRSNSIPDSFSVERSCTPPASMEVSQQNSNHKEKHPQGVVHPRNGSYGSELNQSGMPAQHAYPYYMPGVANHVMMQSATHMYQKNIQNLQSHVSSSMISQYNQLPQCPPHATGMAPYPYFPMSICLQTGQVSTVHPWPSLGSSTSCEANLRKVDRREAALMKFRQKRKERCFDKKIRYVNRKQLAERRPRVRGQFVRKLNGINVDLNGQPASTDYDDEDEEEEENNDTRDSSPKDA >RHN42553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39261258:39271401:1 gene:gene49005 transcript:rna49005 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKKTRTDEVDLLKEEKQREKKHKKDKKDKEKKEKREKEGRDKKPKEKDKKEKHREKKKDKDKDKDKDKDKGRDRDKDKSKTSTADGKGLSGQAEGLNAGKLQKEIKPDKKGLFVDNKIIKQYNGHNGEMARENNHLAEENKDPKFLLEFERRIKDDNGGAGNQLVQKFTNSNHRMDEGTVKFMARSSGTLRDGGEKLRDKGLDAKKIDGKGMLAEVRPLGNTTVQNHAGNFHPKADGIPIPMGKYFDKNLEATIGGKEKVDEKKDEGKEKVKEKKDSRKEKVKEKKEEGKEKIKKNKDDKRGEKRKNKEEKKGHGKDKDRDKEKKDKTKEHTELKTTELNKLKESNIGGPIHSNSFTQISKNGHENSVGVENPKKRKETESNGVLRANENWPSKLPRPSSSHPLTENGRILEACQISIPNASDGPGIATSSAKIENKECKINGFVEAQPLAVPSNKTPTATVPIVPLIEASSKPPHPDTKYLSRIYSVPKVEWSDLDGQEWLFDSSISQEREPVVKSSEAGETPQVWAKAVHVEPADIFALPYVIPY >RHN48395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47209018:47210979:1 gene:gene43107 transcript:rna43107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UPF0014 family protein MESILLKTMLVQNHTLQQQVPFVGMDLSWLSEFLKGMVKPVCATAVVFLALGLSFSQKLGLEVEMIIAVLRAFLQLSIIGFVLQFIFNQDNSGWILLAYLFMVSIAGYTAGQRAKQVPRGKLVAGASILTGTAITMFVLVALSVFPFTPRYIIPVAGMMVGNSMTVTGVTMKRLRDDIKAQMNLVETALALGATPRQATHQQVKRALIIALSPVVDNTKTVGLISLPGAMTGLIMGGASPLEAIQLQIVVMNMMIGAATISSIMATYLCWPAFFTKAYQLETKVFST >RHN63243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49636379:49651140:-1 gene:gene25922 transcript:rna25922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MSSSSSQRMFQLKFDPLTGNSEWVIIDEDDDDEQTFQFHQPLLATTSYLDMLNDSTRNTAFREAIEKTITEPCHVLDIGAGTGLLSMMASRAMGGKGTVTACESYLPMVKLMKKVMRINGLEGRIKVINKRSDELEVGVDLSSCADVLVSEILDSELLGEGLIPTLQHAHDNLLVENPLTVPYRATTYGQLVESTFLWRLHDLHSNEAGASDGIRLTPPGLESVLSVKRQQYAMHVDPIGEELKPSYGETELCINATDDGRVHAVVSWWVLQLDREGTIYYSTAPRWIRSPTTTSPVDWCDHWKQCVWFVPGSGISVSKGEEIHLHATHNDTSISYNLDTQVSTNEDLHRGLTTGDFQLVLPPERAAIYGDKGWRLSMLKAVESVLQGRRPSLCLVADDSVFLPLLVAKISEASHVISSFPRLKENGLRYLQAAACANNISPNSIEVVGKGVKKLTMHDTNQKKVDLLIAEPFYFGHDSMLPWQNLRFWKDRTTLDYILSEDAIIIPSKGILRACAIHLPDLWKSRCCLNKIEGFDHSGVNATLGACGHLPKSEEGPCLPFFPWQSGEFDVLSETFDVMEFDFTKQICKCQGKSQVKFTKTGVCHGFVLWIDWVMDLQNSVVISTGPDKRYWKQGIKLLATPRTVGPQVSTSVQGCCSAAALEAFFNPSNGELKIILDFL >RHN55985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26479348:26481214:1 gene:gene31290 transcript:rna31290 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRENNMAKTIKFVYTMILFLSLFIVAKEVHAYPGCETDAECPKIYELYPLIYKCENKFCILSQVLPYIV >RHN63286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50083854:50086036:1 gene:gene25969 transcript:rna25969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MVEAQTWTTRRMSNPRLHTLDTNDQLQLDIPQTPPSDQRNNGSNINNNNLVTTSLIIASWYFSNIGVLLLNKYLLSFYGYRYPIFLTMLHMLSCAAYSYAAINVVQFVPYQQIHSKKQFLKIFALSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAIFAFLITCKKETAEVYLALLPVVLGIVVSTNSEPLFHLFGFLVCVGSTAGRALKSVVQGIILTSEAEKLHSMNLLLYMAPLAAMILLPVTLYIEGNVFAITIEKARSDPFIVFLLIGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAAVVSVLIFRNPVTVMGMTGFGITIMGVVLYSEAKKRSKGASH >RHN42305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37293514:37294127:1 gene:gene48732 transcript:rna48732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger, cation/H+ exchanger, CPA1 family MMDQQQNALSASASPLDAVIFFFLSLILGAACRHLFHGTRVPYTVSLLILGIAIGSLEYGTHHRLGMLGNGIRLWSEIDPELLLAVFLPALLFESSFSMEVHQIKVSILGYEY >RHN61308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34700136:34701373:-1 gene:gene23757 transcript:rna23757 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNETNIKSSLHIRSNSLPSTPHPLISYFENNLQILKSYEGDSSVSSSSVCNNLNGMQDLHDCIDKFLQMPIEQQALSQECNEKCVDDLLESSLRILDICSTTKDCLSLSKENIQELQSVIRRKRGVETGLAVEGVKYLALRKTTKKQIQKAFLKLKEMKEELIASSLNKKDNNSSPMLGFLKKAEEVTVSSLEHLLLFISNPKGHSNNKRWSAISKLMHSKRVVCDSQYSDTNEFEKVDATLLSLISHNPSSTENFQSHLEDLEMCIQDLEIGVEQISRKLIRNRVSLLNIFNH >RHN40648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18796221:18798000:-1 gene:gene46831 transcript:rna46831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NTF2-like domain-containing protein MAFPLCNLNLNLSLLPQSKSRFKHKPFHQSLSSSKPISQCSLFFTSSSSVESFTAQVAQVNSPLATQDKQNQHQKDEFYLNLGVAVRTLREDMPLIFVKDLNYDIYRDDVTFLDPLNTFTGIEKYKLIFWALRFHGRILFREIGLDVYRIWQPSENVILIRWNLRGVPRVPWEAKGEFQGTSRYKLDRNGKIYEHKVDNLAFNFPQKMMKPVSVLDLVTACPASPNPTFSWGPLDSSSSSWIELYQAVKGTLDEERQLIPQDGLATCS >RHN75557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43262818:43265998:-1 gene:gene11783 transcript:rna11783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MGDEVVESREWYLAGYSTEGVPTSDHLKLRTVSLSLADDSIPDNHLAVETLLLSVDPYLRGRITGTSEGLFISQYQLNQVITVFAVVRVIRSKDSKYSEGDILLNPNAPVAEYSIVPSSQITRKIDTSNGISLSDYLGSLGVPGFAAWVGIEVLADPKPGSNVFISAASGAVGINAGQLAKIRGCRVIGSTGSDDKVKLIKEEFGYDDGFNYNKESDFDAALSKYFPDGIDVYFDNVGGEMLEAVLNHVNKHARIPLCGMISQYNKVWTEREGVRNLLNMVGKQVRMEGFMLESYWHRFGDFAKDMEKYLQEGKVKSKNKINIGIEGFLESLNSLFSSSNIGKVVVQVKA >RHN76700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:524218:527619:1 gene:gene66 transcript:rna66 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase transcription regulator PHD family MVSPLRRRRIPAPKKQSTTFNNNDDDVVCQKCNSGKSPTKLLLCDNCDNGYHLFCLTPILPSVPKSSWFCPSCSHNPKIPKSFPLVQTKIIDFFKIQRTSDASQILNHDSKKKRKRSSSLVVSKKKRKLLAFVPNDDLKRRLEQMASLATALTATKTEFSNELTYMPGMAPRDANSPVLERGGMQVLSKEDTETLNLCRSMMERGECPPLMVVYDPVEGFTIEADKSIKDLTIITEYVGDVDFLKNREHDDGDSIMTLLSASNPSQSLVICPDKRSNIARFINGINNHTPEGKKKQNLKCVRYNVDGECRVLLIANRDIAKGERLYYDYNGLEHEYPTEHFV >RHN78977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20517478:20540822:-1 gene:gene2652 transcript:rna2652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative importin-beta domain-containing protein METLAQLEALCERLYNSQDSVERAHAESTLKCFSSNADYISQCQYVLDNTSSPYALMLASSSLLKQVTEKNLPLQLRIDIRNYLINYLASRGPELEPFVLGSLIQLLCRLTKFGWLDDERFWEVVKEATNFLSQAQCHYAIGLRILNQLVSEINQHTIGLHATRHRRIASSFRDQSLFLIFQISLTSLFKLKADAGSKLQELSLMLSLSCLSFDFMGTAYDESSDEIGTVQVPLTWKPVLEDSSTLQIFFDYYTMNQPFSKEALECLVRLASSRRSLFSDDAARLKFLSHLMQGTKEILQTGIGLADHDNYHAFCRLLGRFKINYQLSELVNAEGYSDWIRFVAEFTLKSLQSWQWAGSSVYYLLNLWSKSATSVRYLKSDKPNLLEEYVPKIIECFVSSRFDSLQSELSDELGEDPLDNVEVLQDQLEFFPYLCRFQYESCSSYLMKIVEPIIQSYMKEANLPIPMNSYELSITETKLAWFTHIVAAILKTKQMSGFSGESHEVLDAEISACVLQLINITDSGVHNKRYGDVSKQRLDRAILTFLQHLRRCYIGDQAVFSSKQLYTRLSELLGLHDHLLLMNVIVGKIATNLKYYTKCKEVIDHTLTLFLEMASGYMTGKLLLKLDTVKHVILNQNREQFPFLENWECFRSRTTLYYTIGMLVFMEDSQLKFKSSMEPFLQVFGRLNSTPDALFQSDAVKYAFIGLMRDLRGIAMATNSRRTYGFLFDWLYPAHMPILFKGLVHYADIPEVTTPLLKFVAELVLNKSQRLAFDSSSPNGILLFREVSKLIVAYGSRIISLPNKADMYASKYKGISICMIILVRALSGNFVNFGIFELYGDRALFDALDMTIKLMLSIPLGDIFAFRKIAASYFAFLETLFNGHLSFVLKLDKNALMLIVGSLELGIKDLSEKISSQCASAIDNFATFYFNHVTVGESVTSPVALSAVGLIAEFPELFSRILRTLFEVVVLEDRGNQWTLGRAILSMILISEEMFVNIKAQILASYPPDRHQRLSLCFDKLMQDVTLSLDPKNREKFSQNLTRFKSEFCAK >RHN49411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54634996:54635466:-1 gene:gene44238 transcript:rna44238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MECDVYETFASCCNSQSSLIYDTKKNSLICSYLKGFEPRNKEKWNRQKWTGGCVRRTPLQQCERYRNQNINVDSKADGFYKLQWMVKVPDFADRSSLTLSSETCRSHCLENCCCVLHILMILTLVVCPGLGIKLTYNNSQMEDDLYFRVAHTELGK >RHN47584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40803712:40806392:1 gene:gene42202 transcript:rna42202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription elongation factor Spt6, Spt6 acidic domain-containing protein MVVDREELECRGMDHEDEEEDEGKNEYEKDGFVVDDIEEEEEEEQERDQEERQKKNKKRTTKEEYVLDDDDYELLEDNNINVHRPKTKKFKRLKKGSDNEEQEFSGSGRTADDEGTHLQDIAEEEEDAYTGDEMADFIVDEEEVDQNGAPVRNKKPKCVKRPISSSALAEAQEIIGNVDELLEARNQTREKNDNRETRLEDEFEPIVLSEKYMTERDGRIRELDIPERMQISEESTGAHSLDGSSIDEESQWIVKQLKHGAIPWIRKKDSSSQNKEELPIDQDDIARFLELHHHHVQKLDIPLIAMYRKEKCSSLLKDLEQPEAGDDNWDKNIKTPILKWHKILWALQDLDRKWLLLQKRKSALQLYYNNRFEEESHCVYDEKRLNLNRLLFESVMRSLKEAESEREVDDVDSKFNLHFPPGEAGVDGQYKRPKRKSMYSTFSKAGLWEVASRFGCSSEQLGLCLSLVQLQELEDPKETPEEVASNFTCAMYDTLEEALKCARHMPLSKFEDAQWLLIQKAEEEKLIQVTIKLPEEYLNKLTEQFSELYISEC >RHN81170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43815300:43817864:1 gene:gene5176 transcript:rna5176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MFAGAFATALTNPMEVLKVRLQMNSDMGKGGPILELRRTISEEGIKALWKGVGPAMARAAALTASQLATYDETKQILVKCTSLKEGFHLHLISSTVAGILSTLITAPMDMVKTRLMLQRESTGGRIYKNGFNCAYQVLLTEGPRALYKGGFTLFARLGPQTTITFILCEELRKIAGLEAI >RHN67689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29348893:29353465:1 gene:gene15904 transcript:rna15904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MMKMYILWLFFIQILLHVSISNSGKVPAIIVFGDSSVDSGNNNFIPTLARSNFPPYGKDFPNGDATGRFSNGRIATDFISEAFGLKPIVPAYLDPTYDISDFATGVCFASAGTGFDNATANVADVIPLWKEVEFYKDYQKKLKASFGDEKAKEIIRESLYLVSIGTNDFLENYYTQLERRMEYKTVQEYEDFLIVLAENFLREIYDLGARKISLTGLPPMGCLPLERAINIMGLHSCVDYYNDVALEFNAKLGCLVSKLNKDLHGFQLVDANAYDLILQIVAQPSQFGFEDARVGCCGTGRFEMGFFCDPKSPFTCKDANKYVFWDAFHPSERTSQLVASYLIQKHIGKFL >RHN67953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31819080:31819629:1 gene:gene16218 transcript:rna16218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQKARNMAKLVKLVYVIIVFYTLFLVATEIVSGIPCNDDVDCPQTLCEQLIADFKYMIDFKSECVSRMCACTGSPV >RHN45321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16546710:16546841:-1 gene:gene39590 transcript:rna39590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP synthase, F1 complex, delta/epsilon subunit MTLNLCVLTPNRTVWDSEVKEIILSTNSGQIGVLKIMRLLPQL >RHN56712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33070828:33071853:1 gene:gene32150 transcript:rna32150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MFRTNFIYIPHSYYDDTTLILQEIVETPLKCYIHSLSGERFENRLKFHMPNPFQVENPLLYILESGSANGTLCLCEPPDELVLWNPSTDELNVVTSSSMVSMPPYRDPYPALHGFGYDHVRDDYKIIRCIHFFPLEDEDLFRLNLLKEDVQRDEISYAPVWEIYSLRCNTWEELHVDIPPLCFSGLLYTDGICHWLSRNNAQYYMVSFDLSNHVFFTTFTPLEIPTDIDPNLDFGDVMKQLVMLNGSIALISWYEYTATFHISVLGELGVSESWTKLFIIGPLSDLLTYPIGAGSNGDIFFKAGDGKLVFDLRTQMIEELDGVEKAYSEIIIYKKNGGIDH >RHN58907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5852525:5855800:1 gene:gene20863 transcript:rna20863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MRITLVSLFSFLLCYYCIYLTFQITVASAKCLEDQQSLLLQLKNNLTYNPETSTKLKLWNKSTACCYWNGVSCDSKGHVIGLDFIAEDISDGFDNSSSLFSLHHLQKLNLADNNFNSVIPSGFNKLVMLNYLNLSYANFVGHISIEISQLTRLVTLDLSSQSNYVCIKKGLKFENTNLQKFVQNLTSLRKLYLDGVSLKAQGQEWSDALFPLRNLQVLSMSYCDLSGPLSSSLTRLKNLSVIILDGNYFSSLVPETFSNFKKLTTLSLSSCGLTGTFPQNIFQIGTLSFIDLSFNYNLHGSFPEFPLSGSLHTLRVSNTSFSGAFPYSIGNMRHLSELDLLNCKFNGTLPNSLSNLTELRCIDLSSNNFAGPMPSFGMSKYLIHLDLSHNRLSGEIPKSSHFEGLHSLVSIDLRDNSINGSIPSSLFALPSLLEIQLSSNRFSKFDEFKNMSSSVINTLDLSSNNLSGPFPTSIFQFRSLYVLDLSINRLNGWVQLDELLELSSLTALDLSYNNISINVNVENADHTSFSNISTLKLASCNLKTFPSFLRNKSRLSILDLSHNQIQGIVPNWIWRIQNLQSLNVSHNMLTDLEGPLQNLTSNLIALDIHNNQLEGPIPVFPEFASYLDYSMNKFDSVIPQDIGNYLSFTTFLSFSNNTLHGTIPQSLCNASNLQVLDISINSISGTIPSCLMSMTQTLVVLNLKMNNLTGTIPDAFPPYCVLRTLDLQKNNLDGQIPKSLVKCSALEVLNLANNIIIGTFPCLLKNISTIRVIVLRSNKFNGHIGCPNTSGTWQMLQIVDLAFNNFSGKLPGKFFTTWEAMRSDENQADLKVKRVQFEVLQFGQIYYHDSVTVTSKGQQMDLVKILTVFTSIDFSSNHFEGPIPYSIGNFKALYILNISNNRLSGKIPSSIGNLKQLESLDLSNNTLTGEIPVQLESLSFLSYLNLSFNHLVGKIPTGTQLQSFQSSSFEGNDGLYGPPLTEKPDGKRNDELLSCSTDWKFLSVELGFVFGLGIVIGPLMFWKQWRIRYWKLVDKILCWIFSRIHLEYVTHRGQTYIVLRWH >RHN77450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6930891:6936331:1 gene:gene910 transcript:rna910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MEKEKMWLEFNQFPKKVPLMVVMKAMGMEIDQEVVQLIGRDPRYSFLLMPSIEEYINCRVFTQAQALEYLDSKAKGPRFSNMAAEKDGRAFNILKNEFLANVPMHGDNFRPKCIYLAVMMRRIMDAILNKDAMDDKVCGACGLLGYYNHKLKAGTCSSCKNGKQISNVKVPYACKLLIQELQSMNIVPRLKLEDTKV >RHN79828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32634371:32638694:1 gene:gene3671 transcript:rna3671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding protein MGKNKPNWFSNVKKALSPDSKQNKHQNSSGSKKKWFGKKKLNTSDSYPETDQAPPFHPPEAEEEIILTHVQNQNNHDHVEVVPDVDVDVPVPDVQIETVEVQAAPIVQVASKPNDEVAAIMIQTTFRRYLARRAFRALRGLNRLRALMEGPVVKRQAISTLRSMQTLGHVQSQIRSRRVRMLEETQALQKQLLQKHTKELEIQIGEEWDESIQSREQIEAKLLSKYEATMRRERAMAYSFTHQKNGRNASKSINPMFMDPTNPSWGWSWLERWMAANQSLMEKENNSSAKSSIRGITSAEISKSFARFQLNSENHSPTASQNPGSPNFQSNSKPPKPAIVKKLNKASPKVSCIMDDDTKSMTSVQSERVRRRHSIAGSIVRDDESLASSPAVPSYMVPTKSAKAKSRLQSPSAAENSTPEKGSFGNAKKRLNFPASPARSSRRHSGPPKVESSLNAEITVGNGVAV >RHN52103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31539741:31546875:-1 gene:gene36724 transcript:rna36724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, Clp protease, ATP-binding subunit ClpX MSRFISRCKKMKEMTSFHGTHMEFGSGNRRTGFSLLNRFNRVQAISTHLNHIIVAGCGKQCRRVAVVGVQERYKWGRGGSDGDMQNPTRKIRAEANCPRCSKDMNLFFSNRHMQSNGGDSGGGNGENLGSNGDGGYQAVNLCPNCKTAYHFRPYNTSPLQGTFVEIGRVSNNNGGSSKTQLSRRFKNGIGKDSNSIKSGHHGSEDLGLNGSASNWLEVSMWETLRNYNGGSGNAAGGGNNGEPPETWPLPVGGGNGNGLAVHTPPGPPFAPGLNVIRARGPQEGGPGGGGNGEKNTWGGSNLGKDLPSPKEICKGLDKFVIGQGRAKKVLSVAVYNHYKRIYHATLPKGSGADSGISGILDDDENVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAAADYNVAAAQQGIIYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKNPRGDNIQIDTKNILFICGGAFIDLEKTISERRQDSSIGFGAPVRANIRSGRIIDSAVASSLLESVESSDLIAYGLIPEFIGRFPILVSLSALTEEQLMQVLTEPKHALGKQYKKLVGMNEVKLHFTDKALRMIAKKAMAKNTGARGLRALIENILTDAMYEIPDIKTGSDRIDAVVVDEESVGSLTAPGCGGKILRGDGALEQYLAKIKDSEDVVAESELQDRDSDTSSRAMSM >RHN76723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:704603:705535:1 gene:gene93 transcript:rna93 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase/kelch, beta-propeller, F-box associated interaction MQMVMQSDDQVIITILSIHDNVPTLNPIGNMFFKEPIGIFSYVHCNGVFCLHDCMEDQDDLILWREVQLLPQPSYNIVVDEDEEAFIGFGADPNTNEFKVVKVSIHCPLRCSRSSSFSLAELYNHSTKSWTIIPLHVPPSETIIYHGHRHFNMYNTLVNGVYHWLIGPNYDDHDFNILCFDFHTNQFQLLESPVRYSPIHHDIAEINGSLAYVNKIFDRFDSIYEIRIWVKDQQGWVKKYNINVSLDCRLDIERVLSKGKDDVQVLDRKFQQDLMKIYDKDCNLLHQFKCNLTSDSWIHEYVQSIVPLST >RHN66599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14711411:14715911:1 gene:gene14622 transcript:rna14622 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSQTHLSETFGTNKTSNSKALHSSNISSSHAHNLRRHLHPSPCRARPSEKKLATVIVLFSAKSNNRRNRTLSRIPPIHLCDQKKVSAPSPTFRITL >RHN77228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5212231:5214703:1 gene:gene659 transcript:rna659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucosamine-phosphate N-acetyltransferase transcription regulator GNAT family MLLRGNIYAPVPSSLRLNFKSQTHPRNLTISCQASLDYPLSISDETLEKRGFILRRTAEGLNLEALNKIFVAVGFPRRDPEKIRVALEHTDSLVWIQERKSQNNAVAFARATGDGVFNAIIWDVVVDPSFQGLGLGKAVVERLMRDLVGRGITNISLYSEPRVLGFYRPLGFVADPDGIRGMVYSTKNKRKK >RHN44069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1412574:1418588:-1 gene:gene38126 transcript:rna38126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MPQKRVRKSSNQDDVVPHSSKRKKAKQSPVEEELVSLLPSRRKKSKQSSVNSDDACFVGEPIPADEAQKKWPHRYTKNDELSEGESLKAKFHYHEAKVDGILYKLEDNAYVKGEEGKEDYIARIVEMFETPDEEQYFTAQWFYRAEDTVIKDHGNLVDKKRIFISDVKDENPLDCLVRKVNIVQISPDAAKKKKIPPCEFYFDMKYTVPYLTFSNVGNESETSTLSSESGYNVHAADKNAVKEKSSQIKECNRPEWTLLDLYSGCGAMSTGLCFGASISGIKLVTRWAVDINDHACESLKLNHPETHVRNEPTEDFLSLLKEWAKLCEKFVLNGAESTDSDLNAGEEAGEEADGEATNNSPDSEVFEVERLLSICYGDPNEDEKPGLYFRVQWKGYDSSYDTWEPIEGLSECKDAMKDFVINGYKEKILPLPGQADFICGGPPCQGVSGFNRFRNKNAPLDDEKNKQLIVYMDIINFLKPKYVLMENVVDILKFAGGFLGRYAVGRLVAMNYQARMGMMAAGSYGLPQFRMRVFLWGALTTEKLPSYPLPTHEVESRSVIPIEFEAITVAYSTNEKCQLAKALYLGDTIDDLPPVENDNSDDEKSYGTTPRTDFQKYIRLKRSEMVNYLADSQSAPSGMLYDHRPLKLNTDDYERVCHIPKEKGANFRDLKGVLVKENKVEWDPSFKRVYLKSGKPLVPDYAMTFVRGTSSKPFGRLWWDEIVSTVVTRAEPHNQALLHPDQDRVLSIRENARLQGFPDCYKLCGPVKQRYMQVGNAVAVPVALALGYTLGLAILGHSDDGPLTTLPFKYPSCLARPLDVVNDDGSS >RHN59463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10972736:10976151:1 gene:gene21494 transcript:rna21494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSQSQGCCSSSSSGNRSDASGVAELKLYRAFIFSVPIFFTLILLFLFYVFYLRPRRVDWSSIRMRSVSVLQHHHNNNATSTSDLGLKKELREMLPIIVYNESFSVKDTQCSVCLLDYQPEDRLQQIPACGHTFHMSCIDLWLSSHSTCPLCRLSLLPTAKSSTEISEMQATSNEEMEMQQSDEETLAMEFSDSRSTRHLETSVIQNVSGEAAIDDHRIEVEERNNH >RHN67900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31272545:31275038:1 gene:gene16145 transcript:rna16145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MSQYMDKDSVVLLDFWPSVYGMRVKIALEEKGVSYECRQEDFQDKSSMLLEMNPVYKMIPVLVHNGKPICESLNIVEYIDEAWNHKPSLLPLDPYKRSQAKFWGDYIDKHVYSIRRKLWKGKVEEQEEGKKKFIEGLKTLEDELGDKPYFGGDEFGYVDVALIPFTSWFYTYEIYGKLSIEKECPKLVAWAKRCMEKESVAKSLPHPHKIYGFAMQYKQKHRLE >RHN60334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25371434:25371919:-1 gene:gene22633 transcript:rna22633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MGYLKQCSVLLLVVLLVACANGEDPYRFYNWNVTYGDIYPLGVKQQGILINGKFPGPQIESVTNDNLIINVFNSLDEPFLISWLFLFHVYSTGKALVADSNIIF >RHN80644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39736123:39737603:-1 gene:gene4591 transcript:rna4591 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYMKIMLVFTTIILLLSSQQIRARPLLIEKPLLLLQKIVAIQSLQRGPVQGSQTNPCSTVPGRSRGRCALADVNLSDHVAQPPPPQPPIQP >RHN75501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42757641:42761424:-1 gene:gene11719 transcript:rna11719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-IX family MGVMLIEQISKLICFCSIITICFGATNPNDLKVLNDFRKGMENPELLKWPEKGNNDPCGPPSWPYVFCSDDRVTQIQAKNLGLRGTLPQNFNQLSELYNLGLQRNNLTGMLPSFRGLSKLEFAFLDYNSFEAIPFDFFNGLTSLRVLSLEENQLNVSTNGWLFPLDLEKSVQLTNLSLVHCNLVGSLPDFLGTLPSLTNLRLSNNKFSGPIPATFAQSSIQVLWLNNQEGEGGFTGSIDVIASMVFLTQIWLHGNKFSGTIPYNIGNLTSLKELNVNSNQFVGLIPQSLAEMNLDLLVLNNNMLMGPIPKFKAANFTYDDNLFCQTEPGLECSPEVTALLDFLNNLNYPLFLISDWSGNKPCTSSTGPWFGLSCNSNSVSIINLPKHKLNGSLSPSLAKLNSLLEIRLAGNNITGTVPSDFTKLKSLKLLDLSDNNLESPLPDFHDGVKVITVGNPFLNNQTGGSVSPTISGPSSAKNPSHSPSSLNQLVPSSNHKSFKTVATVAGVAVFAVVAFVVLYLFLCFFKNKKTSLDVPSSIVVHPRDPSDSNNVFKIAVSSNNTRSLSGKTGTSSLSSLSGETQNSYFIESGNHVISVQVLRKVTNNFASENELGRGGFGTVYKGELEDGTNIAVKRMENGAIGSKALDEFQSEIDVLSKVRHRHLVSLLGYSIEGNERLLVYEYMPLGALSQHLFHWKKFEFKPLSWAQRLVIALDVARGMEYLHGLARETFIHRDLKSSNILLGDDFRAKVSDFGLVKLAPNGEKSVVTKLAGTFGYLAPEYAVMGKITTKVDVFSYGVVLMELLTGLTALDESRSEEIRYLAEWFWRIKSNKEKLMAALDPALEPNDETHESITIVAELAGHCTAREAYHRPDMSHAVNVLSALVEKWRPVDDEFDCYSAVEDTRQLPQLLKIWKDAESSEFSYSAASLEDSKGSIAVRPTGFADSFTSADAR >RHN75748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44757439:44757684:-1 gene:gene11990 transcript:rna11990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I MSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDYVGCKRCESACPTDFLSVRVYLGPETTRSMGLAY >RHN46684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34034855:34035277:-1 gene:gene41203 transcript:rna41203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MCESLNSAVVPLFEKTLTASDVGRLGRMVLPKSCVETYFPPISEPGGVYLQIEDVKGKKLVFKFRFWPNNSSRIYVLEGVHAWIQSMQLQVGDFGIFYYPLIVQ >RHN57374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38481634:38482852:1 gene:gene32909 transcript:rna32909 gene_biotype:protein_coding transcript_biotype:protein_coding MELKYTGINFETSETISLEVSEEDEDHLNRSAMELKYTGINFKSSETISLEVSEEDEDHLNQSAMKLKETRINFKSSETSLEVSEEDKDDIIELVIEDHEHALPQSAMVVKKTGINFKTSETSLKVSKEDEDHLNRSAMELKEAGISFKKSKTRSLKDVSFNRGVLRLPKLVVDDHTECMFLNLIAFELLHVDGTRKEVISFVCFIDTIIDSAVDVAILIRSGIIINYLESDKAVAKLFNSLAKEIPMDREGELEEVTKSMISYCKKPWKSWRASLIQTYFRNPWAMVSLVAAFFLFALTIIQTIYTVGQFYQKD >RHN44317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3483470:3488961:-1 gene:gene38420 transcript:rna38420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CRK7-CDK9 family MGCVNSKKALTGEIDTLSPVGPYVHSSSSRKRSNGSGRSMVVEASAHSSREQHSRQHSGVVTQQPVDTKPEEWKKGDLNVRIGSHRFAQGEQIAAGWPSWLTSVAGEAIHGLVPLKTDAFEKLDKVGQGTYSSVFQAREVETGRMVALKKVRLDTLQHESIRFMAREIIILRTLDHPNVMKLEGIITSQLSKSIYLVFEYMEHDLAGLLSNPDVKFTDSQIKCYMRQLLSGLEHFHLRGIMHRDIKVSNILVNNEGILKIGDFGLANTVSPNSKHPLTSRVVTLWYRPPELLMGSTNYGVTVDLWSVGCVFAELFMGKPILKGRTEVEQLHKIFKLCGSPPEEFWKKNKLPLATMFKPQISYESSLEDRCQGFLPATAVSLLQTLLSVDPSKRGTASSALMSEYFNTAPYACNPSLLPKYIPSKEMDAKNRDDANRKKNGGKVREREAVTSGRQRRVHKVLQDPNSAGKPALKEEMQNISQNARRHDDGKAHHTKGKVGPVNKEQQKHLFDSKSDQAAQKSNAYNGYSAYSGPVPVSGSSGFKWAKSRKLDASSILSDGSRSKISAMDPTFAKGTYDLTKHGMEVSERRHNYNTSHDDETSKRVVKKQQGRNVESFDVSDIYQSNYFMDFDLTDKPDAQMNPQDHRNHAEPVEQSVPTTIQTNKNDELLGWNENKVRRQSGRKSRLGIDK >RHN75640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44002202:44002491:1 gene:gene11874 transcript:rna11874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanin 6''-O-malonyltransferase MSTFVVTCSLIWFCMVKSEQSKSDCVGDDDLVYFMFFADCRDRSEFSLAKSYFGNCVASYNVVVKRGELVEKDGIVAANAI >RHN73180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14150544:14150906:1 gene:gene8968 transcript:rna8968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MLKSVKEVKDLGFNPSKTTFGAALIAKTFVHKTLWKEKVDALKKWGWSEEDSLEAFRKKPYCMLTSIKKINLVMNFWVNQLGWDAMAIAKTPFILCSSLEKRIILRAAVVQFLEEKKFLP >RHN50750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10120214:10128772:-1 gene:gene35075 transcript:rna35075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I, cationic amino acid transporter MEFVGSDYGGQGSSCSWRFLTRRKKVDNSHVENINKNSHGVQLAKELTVPDLMAIGVGATIGAGVYVLVGTVAREHAGPALPLSFMVAGIAAALSALCYAELASRFPSAGSAYHYAYICLGEGVAWLIGWSLTLEVVIGGAVIARGITPNLAALIGGMDNLPGFLSYQHIPGIDIVVDPLAAIVVFIVTWILCTGIKESAMIQSIVTSMNICALMFVIVAGGYLGFKTGWIGYELPKGYFPFGVNGMVASSATAFFAYIGFEAIASTAEEVKNPQRDLPIGIAASLSLCCGLYMIVSIVVVGLVPYYDINPNTPISSAFAVNGMQWAAYIINAGALTALCSSLLGTMLPQPRILMAMARDGLLPPFFSDINKHSHVPVKSTIVTGLVPAALAFFMDVSQLSGLVSVGTLIAFTISAITVLIVRYIPPIEVPLPHSRQEPIDSESMEYSWSHLETNKNDANRKPLIVKEDASTDYPLIAKYLAIDKYNGNRKKIVGCVIASICLGVFVLTFAACSAYLLSSVRFTLCGVGGILLLSGFVFLTCIDQDDERHNFGSTGGFKCPLVPLLPITCILINSYLLISRGGATWLRVSVWLAIGVIIYVFYGRTHSSLRDAVHVKAAQDNTTSLLA >RHN70675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53143886:53147559:-1 gene:gene19285 transcript:rna19285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative enoyl-CoA hydratase MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLAAQQVQENELLQAANQPLPDDDDDTFE >RHN63695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53269141:53281654:-1 gene:gene26429 transcript:rna26429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, formin, FH2 domain, protein-tyrosine phosphatase MALFRRFFYRKPPDRLLEISDRVYVFDCCFSTDVLEEDEYRVYMGGVVAQLQDHFPDASFMVFNFREGERRTQVSDILSQYDMTVMEYPRQYEGCPLLPLEMIHHFLRSSESWLSVEGQQNVLLMHCERGGWPVLAFMLAGLLLFRKQYTGEQKTLEMVYKQAPRELLHLLTPLNPQPSQFRYLQYISRRHLGSEWPPSETPLYLDCLILRDLPLFDDGKGCRPVVRVYGPDPSKPANRSSKLLFSTSNSIKHARHYQQAECVLVKIDIHCRVQGDVVLECVNLNEDCTGEEMMFRIMFHTAFVRSNILILSRDEIDILWDAKDQYPKEFKAEVLFLDADAVIPDLNTVTVNKDANETESPDVESASPEEFYDMEEIFSNVIDAQESKSEDDSHIFHDNAGDDENRKVIWREDSDPLAFQDCTLDDGINKQVGKMDSGINGVKDISVDDVNYKFNESMDSDPQAVKDIAMDDVEIKLTSTAITYDMTIPLETKEITEDADRGLATIHEKCDEGDEATEEELDYKEGKPMPDSSKQKSGKLLPSTAKKQLPSNSKPGDTVGKQKIKENPAFQAKQAKPTAVLRWIPSNKGSYTNSMHVYYPPTRINSAPAGLSNLTTSKEKTEDSKARSLSAPFGSGVVVSADMKIDLKSRKVGASKSFGHTVSEIGAKCPPPPLSVKETSLKLAAQAQEQRSEQLLQPPPQSPPLPPPPPPPPPPPRALGDSSHYFGPLTPLATPSSVVGKGSTVPPPPPPPPPSLTSFSRQDIKVNLQAATSHPPPPPPPPSWKSVASSIVGETCGSLPLSPPALPFASSEITSKFSEVLTAVAPSRPPPPPPPPPPPIPPPRYEISAIPPPPSTVPPTKHGISSIPPPPPPPPPSFSTSSTNMSPFSPPPVASHKALPPPPPPPPPPFANSYTGSPTPPLPPAPFCKAPPPPPPPPFTNAPPQPPLTKAPPPPPPPPPPPFTSAPPTPPPPPPPPFSTSQSPPSPFSRAPPPPPPPLPQSGGSPPPPPPPPMSKAPPPPPPPMSRAPPPPPPPMYGSPPPPPMSRAPPPPPMSGAPPPPPPPMSRAPPPPPPMHGAPPPPPPGGQGPPPPPPPGGRGPPPPPPPGGRGPPPPPPPGGRGGPPPPPIPGGAPPPPPPLGSKGANVGPARPGAPGRGYARPGAVAPRRSSLKPLHWSKVTRALQGSLWEELQRHGEPQTASEFDVSELEKLFSANVPKPTDSSSKSGGRRKSAGSKPDKIQLVDLRRANNTEIMLTKVKMPLPDMMAAVLALDDSVLDVDQVENLIKFCPTKEEMELLKAYTGDKESLGKCEQFFLELMKVPRVESKLRVFAFKIQFQAQVTEFRRSLNTVNSACEEVRKSDKLKDIMKKILFLGNTLNQGTARGSAVGFKLDSLLKLTDTRASNSKMTLMHYLCKVLAERSPGLLDFHKDLGSLEGASKIQLKSLAEEMQAITKGLEKVKQELAGSENDGPVSEVFCKTLKEFITASESDVADLLNLYSMVGKNADALAQYFGENPAQCPCEQVTATLLNFIRLFRKAHEENCKQAELEKKKAEKEAETEKAKGVNLTKKSAKDS >RHN75037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38888930:38889641:1 gene:gene11185 transcript:rna11185 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTNCFLVSAVLADHKLRCLPQYCQLIVRTWDFLLQQLMRLQPIALSLFSITHITCVSIQICHTLSKLLEEEKARMTNIKDHGTQSKALLQASNQKRNLLSGEVRHLKAVLLEKQNKLKFCELETIKVEAHFDDIKKMLEVDITVKDKTREVETTRIKTVERDTKQISAKTALENAKRALEN >RHN74139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28996072:28997870:1 gene:gene10136 transcript:rna10136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RanBP2-type MSWSGGDWMCNACQHINFKKRDACQNCGYPKIGGPDPETYRYNWTETLAGDWYCSGMNCGAHNYASRTSCYRCGALKNDNSYGSDCNYPPGWKTGDWICTRYGCGVHNYASRGECFKCKTPKSFGGSD >RHN54081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6792842:6793921:-1 gene:gene29064 transcript:rna29064 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEATAETKPFTTPSHTSGNNFVSQDSGNDIMNDIVLWRRKKLSAIVLIVATSSWMLLEVCQFNFLTLISWLAIFVVTSIFLYSNMLTFFGKEPPNLLRLELKEETATRMAKTVRAWIEKSIRWLFVVSIKEDWPVFVGVMVRLLAISYVGTCMDFLTFIYIGILTGMTLPITYMKNEDKIKRCMEWLREKYKKSYEIIDEKAINKFKSRILNDEKQKEKKIE >RHN58182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44394016:44402139:-1 gene:gene33799 transcript:rna33799 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDETALQWINKQVSQQQVQVEECTTRSPLTDKKSPLPRSPLFASNNNLTPKSPSLPPLKFNSSLLPRINLAFGFNHNDSVSDDDDDDDDDESVDSLSCPAAETDDENEEDQRILDNLDTPIAQCYDEEQLFGFGNGTKYKTLKPSGILRKGLVNETLIIQVPNSVNARRFTDGELGFNKCVQKKMTPCGSEIGTAGRGVRFQNTSNLNDSVDLATPSAPPIFIDGEGGEGDVHYSEGSVANEVDEMTQQDRRSWQSRDSVNCDDGGGRSECSIEQKPNTVAESQETTSTQGMERQAPPPHLQYLNNSCSSQDAWQTLITYDACIRLCLQAWARGCTEAPEFLKDECLALRSAFGLHEFLLQPRGIKPTEGSTRNSEQTVPLKTKKVVGKIRVEVKKLRIIQRRKLKGTFSNRGSMYKQAGMEYVRHVSSIVKSGINSIKSASFSVTEEEPLYCLIQLKSATEENESESCSAIFLRPGSGDYHDFFPLSQGDALLVEVQDSKKGVHGEARIPISYLNDNPNDRIRWWEINHDDNECVGKIQLSIGSTMTSGDNNHIKSAPVVETQAYDVLLEGAMRSQCFHSRNLRLNGPWKWLLDAFADYYGVSDSYAKLRYLLQVMNVATPTKDCLELVKELLDPLMKARSERSLTRQERSILLDCETQIERLLATVFENYKSLDENSPTGLTDHFGLASHSAAPALHPALQVYSILHDILSSDAQTILQNYLQTAARKRCRKHMMETDEFVSGASESYQMDSITIATAYLKIKNLCISIRNEIQADIKINNHNTIHGQHIFPSSIDLANITAAIYSTELCKRLRTFLSAWPPSSPQPHVNELLVATADFERDLESWNISSVQGGVDSRNLFHNYIMVWIQDMQLILFDLCKAEKVPWAGVTTNHSTSPFAEKMYENIKDNLIQYEVVINRWPQYSLYLENAVANIERAIVKSLEKQYSEILTPLKDSIPKRLHLQVQKLARRQSATVQLVPNQLGIFLNTIKRILDVLHCRVEDILNSWASCLPVMGDKKLFGEQMNGITVLLRTRYKTYLQAIIGNLVNNIQGNKSTRLKKMLEETREADGEAEVRERMQLLNSQLADFISNLHEVFTSQIFIAICRGLWDRMGQIVLKFLEGRKENRIWYNGSCYALGILDDTFASQMQRLRGNALQEKDIEPPRSVIEARSILCKDTTNAADPSTYFYI >RHN65839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5722896:5725187:1 gene:gene13731 transcript:rna13731 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAFQLSCDDPTTILIFFSFRSQTTWQEHFLHKSVTLSTNRFILSNRKVRK >RHN45295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14761333:14763325:-1 gene:gene39546 transcript:rna39546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MSFVFVNKRIFQQGEIAFVTNRTLTPHILFENRFRTVERVPCISSRIADIPADEYSWRKYGSKPIKGTPHPRGYYRCTMSKNCPARKRVEKAKDDPNILVVTYEFEHHHQSFADTLYDILCLILH >RHN46792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34930288:34931764:-1 gene:gene41320 transcript:rna41320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MASSQKKLHRSIFQLPPYFFDHCRFLPSPPSQTLQPPSNHIDHIQNAVVSGSSLTCNTCKAQFDSFQDQRSHLKSDIHRFNVSETDNCWEKYREGRGF >RHN55862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24812955:24813206:-1 gene:gene31139 transcript:rna31139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II cytochrome b559, alpha subunit MSGSTGERSFADIITSIRYWIIHSITIPSLFIAGWLFVSTGLAYYVFGSPRPNEYFTESRQGIPLITGRFDSLEQLYSKLQIF >RHN44490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5343760:5346426:1 gene:gene38616 transcript:rna38616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hyoscyamine (6S)-dioxygenase MDGKLVSSWYNLHSSVPQSYVQPPERQPCNAVHVLSTNQKVPVIDLGGHDRDDIIRNIIKASEEYGFFQVVNHGVAKELMDDTYKIFKEFHAMLANEKVRESSKDPNGSCKLYTSCGGNINDVAKYWKDSLEHRCPPSGEFTWYWPEKPDGYRCGWNLGCVLAELQLLLLCCHVQLGVVGFGSWAGSCCSCAAVCRCVLGMAGLGSLRHLSLWGLGFLCLDPFSVFCSGSVLLLLGQCSLGFSGVRQGEIVGRYTQELRALALRILDLISEGLELNPNYFTGELSDSPVVISHHYPPCPEPSLTLGAPQHKDPNILTILFQQPEITALQILKDGAWIPIEPIPNAFVVNMGFML >RHN65188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:117862:120723:1 gene:gene13005 transcript:rna13005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamyl-alcohol dehydrogenase MNSNVVCVTGASGYIASWLVRYLLHRGYTVKATVRDPSDPKKINHLVKLEGAKERLQLFKANLLEQGAFDSAVQGCHGVFHTASPFYHHVKDPQAELIDPALNGTLNVLKSCAKSPLLKRVVLTSSAAAVAYNEKPRTPDVVVDETWFTDADFCAKLNLWYAVSKTLAEEAAWKFVKENNIDMVTINPAMVIGPLLQPVLNTSAAAILNLINGTQTFPNSTLGWVYVKDVANAHILAYENASASGRHCLVESVAHYSEIVKILRELYPSLQLPEKCADDKPYVPTYQFSKEKATSLGIEYTPLEVSIKETVESLKEKKFVNF >RHN60254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24290056:24291675:1 gene:gene22527 transcript:rna22527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MEFRRESMITQTNVSLKIAKHLFSKQPNNNIVFSPLSIQVILSIIAAGSEGSTQQQLLDFLRFKSIHQLNSVISRLVSVILKDAAPSGGPCLSVANGVWVEQTLSLQTSFQKIVSSDFQAKLASVDFQNKAVEVTNEVNSWVEKETNGLIKGVIQPGSVNSLTRLIFANALYFKGLWYQKFDASKTKDYDFHLLNGSSLKVPFMSSKEDQYIGAFDGFKVLCLHYKQGQYDRRFSIYFILPDAKDGLSALVEKVASESELLHRKFNLSKVKVGDFRIPKFNISFGFETSDMLKDLGVVLPFSPGGLTKMVDSLAGQDLFVSNIFQKSFIQVNEEGTEAAAATYGIMTAMGISPPRLDFVADHPFLFLIREDLTGTILFVGQVLNPFAG >RHN62885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46486912:46491596:1 gene:gene25518 transcript:rna25518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate carbamoyltransferase 2 MAASSSLFSCSMHMELFSPKISKCPTDFVCCRSKISYMFDTDTLNAIFKVARDMENIERNSPESQILEGYLMSTLFYEPSTRTRLSFESAMRRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDLIVLRHFESGAARRAAAIAGIPIVNAGDGPGQHPTQALLDVYTIEREIGKLDGIKVGLVGDLANGRTVRSLAYLLAKYKDVKIYFVSPDVVKMKDDIKDYLTSKGVDWEESSDLVEVASECDVVYQTRIQKERFGERLDLYEKARGKYIVNQNILKAMQRHAVVMHPLPRLDEITVDVDADPRAAYFRQAKYGLYIRMAILKLLLVGW >RHN42652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40000032:40001471:-1 gene:gene49119 transcript:rna49119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKPSPPPPLLPILLFTIFFLFPTIITSVSLPLPPKSSPSSPSTSTSTLEPNQLKALQSLNIPTSKNPCIQPSFHNATQCDSSKPFSHLISLTLSNCSTSLSLSYNALKSLSTLQSLHFLNCPIAPIHFPPQLTSSLLSFTSINSLHKISGVWLSHLANLTDLTVSNTPIKASGPYVILAHMKKLKTLTISNSNLTGFLPKYIHSNLTHIDFSSNNLKGNIPFSITMLDGLESLNLSSNGLKGEIPSSLGDLISLKNLSLASNSFSGSIPDSISAIPGLVHMDLSSNQLNGTIPKFISEMKNLKYLNLANNNLHGVVPFNLSFIKSLTMFKVVGNSNLCYNHSVLSSKLKLEIAACDKYGRPMSPPPAKDSSGDDSNDSDYDEEDDESSIHKREHHHGPNKFVLGVAIALSSIVFLIVFLILCSKCCR >RHN62105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40765513:40767863:-1 gene:gene24639 transcript:rna24639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfiredoxin MANFVLQVPNTLRSFTVFASSNPNGALSGGSGSGGVGPVILELPLDKIRRPMMRTRSNDQNKVQELMDSISEIGLQVPIDVLEVDGNYYGFSGCHRYEAHQRLGLPTIRCKIRRGTKETLRHHMR >RHN51003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12789275:12790097:-1 gene:gene35363 transcript:rna35363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lupeol synthase MVWGLLYHVGEPLLNYWPFSKLRHSSLQIAINHIRYEDENGRYIGVGSAVKALCLLAHWVDDQDSEAYKHHLARIPDFFWVAEDGLKIQGFGCQTWDAAFSIQAIVGCNVSEEYGRTLRKAHEFLKASQVVDNPSGDFRAMYRHISKGAWTFSIQDEGWQASDCTAVGLKVR >RHN42383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37892796:37894678:1 gene:gene48819 transcript:rna48819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MNLVEIYQTFYVSNFDRFNVSFNNFSGPIPDLQGYFFADSFLGNPRLCGYPLQKNCTSQPLSTVSKISEEESKGASKEQILMYSELNDDIEKPSYVSSESKAEELSKSGFSVTSESGMISQQSLIVLSRPVANELKLEELLRAPAEMIGRGKNGSLYKVMLPNGIQVVVKRIKDWSISSVEFKQRMQLLNQAKHPHVLSPLAFYCSKQEKLLAYEYQQNGSLFKLLHGTSKTFDWGSRLGLASTIAEALAFMHQELGQHGIIHVNMALMPKDDQQSSTFATPNDANACKEDVYGFGVILLELLTGKLVKSNGIGIDLTEWVQSVVREEWTGEVFDRSLLKEYASEERMVNMLQVAIRCVNSSLEARPTTNQVALMINTIKDEEEKSLIYQV >RHN69138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41295521:41295805:1 gene:gene17569 transcript:rna17569 gene_biotype:protein_coding transcript_biotype:protein_coding MSINSNDTLFYEIKRLNETVYKICFEAPPHIVSDGLWSGHENERRPMKSFLTLFELQLVIIFALTQICSFLLKPLRLPKFLSQMIVSISNLHTF >RHN67407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26938733:26941708:1 gene:gene15596 transcript:rna15596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-fatty-acid--CoA ligase MGAYIENFEENEHIFCSQYSPVPVPENVTLPEFVLQNAELYGDKVAFVDAESGKGVTYNEVVRDIHRFSKALRSLGLRKGNVVIVVLPNIVEYAIIALGIMDSGCVFSGANPASHTSEIKKQVESADAKLIVTNSSSHEKVKSLGLPVIVLGDDNVEDAMNWNKLLEAGDRAGNDLKKEPIHQNDLCAMPFSSGTTGMSKGVMLTHRNLVANLCSTLFGVTPEMIGQVTTLGLIPFFHIYGITGICCATIRNKGKVVVMGKYDLKTFLNALITHEVTFAPIVPPIILGLVKNPIVDQFDLSKLKLQAIMTAAAPLAPELLNSFEHKFPGVIVQEAYGLTEHSCITLTYAQKLSGSTHKNSVGFILPNLEVKFIDPETGRSLPRNTPGELCVRSQCVMQGYYKQVDETAQTIDKNGWLHTGDIGFIDDEENVFIVDRIKELIKYKGFQVAPAELEAILLSHSSVEDAAVVPLPDEEAGEIPAASVVLSKGAKESEEDIMNYVASNAAHYKKVRVVHFVEAIPKSPSGKIMRRLVKEKMVEKIKENNSLTKSNKF >RHN51151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14505069:14509576:-1 gene:gene35537 transcript:rna35537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MQLSTHNIQSNYIHILLQKLVMTTMSMMDPFCLKLIQILFLMSLVLQADLVCSKEAVRCIQSERQALLQFKAGLTDVYDNMLSSWRTEDCCQWKGIGCSNVTGHVIMLDLHGNYNDGADTFYISGDIHKSLIELQQLKYLNLSGNNFEGKDIPSFFGSLRNLRNLDLSNCYFGGRIPIPLGSLSHLKYINLSNNRLDGVIPNRLGDLSNLQFLDLNNNGLEGSIPSQLGNLSNLQFLDLSINGFEGSIPSQIGKLTNLQELYLGRRDADSALTIGNSTDHSGGQWLSNLTSLTHLHLMSISNLDKFNSWFQMVGKLPKLRELSLRNCDLSDHFIHSLSQSKFNFSNSLSILDLSLNYFTSSLIFEWVSNISSNLVRLDLSYNQMVDLPSNKFSHRLPKLRELILSNNKFTSLMILQSLSNISYNLVELDLSVNNLEAPPSSDYGIVMKHLERLDLSINRLQDGVFKSFVNLCALRSLDIKFNEVTEDLQSIIHNLSSGCVRNSLQVLDLSFNGITGTLPDLSIFTSLKTLHLSSNQLSGKIPEVTTLPFQLETFSIERNSLEGGIPKSFWMNACKLKSLDLSNNGFSGELQVIIHHLSRCARYSLQQLNLRFNQINGTLPDLSIFPFLEIFDISENKLSGKIAEDIQFPAKLRTLQMGSNSMSGVISEFHFSGMSMLKELDLSDNSLALTFTENWVPPFQLHIIGLRSCKLGLTFPKWIQTQKYLLILDISNAGISDNVPEWFWAKLSSQKCRSINVSNNNLKGIIPNLQVKNYCSSLSLSLNEFEGPIPPFLQGSHVIDLSKNKFSDSFPFLCANGIDVMLGQFDLSNNQLSGRIPDCWSNFKSLVYVDLSHNNFSGKIPSSMGSLVNLQALLLRNNSLTEEIPFSLMNCTDMVMFDLRENRLNGLIPYWIGSKLKDLQILSLRRNHFFGSLPFELCHLQNIQLFDLSLNNLSGKIPKCIKNFTSMTQKDSPDGFIGHSYIISQGSTSFQEDYELSAFLTWKGVEQEFNNNGLYLLKSIDLSSNHFSEEIPPEIADLIQLVSLNLSRNNLTGKIPSNIGNLTSLDFLDLSRNNLFGSIPPSLSHIDRLSVLDLSHNQLSGEIPTSTQLQSFNPSSYEDNLDLCGQPLVKLCVEGKPAHEPKAEVQDDKDLLLNRGFYISLTFGFIIGFWGVFGSILIKRSWRHAYFKFMNNLVDTIYVKCRWGLKG >RHN49294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53870176:53871566:1 gene:gene44109 transcript:rna44109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative short-chain dehydrogenase/reductase SDR MTTGGQKIPPQKQDTQPGKEHAMNPTPQFTCPDYKPANKLQGKIAVVTGGDSGIGRAVCNLFALEGATVIFTYVKGHEDKDARDTLDMLKMAKTANAKDPMAIPADLGFDENCKRVIDEIINAYGRIDILVNNAAEQYECGSVEEIDEPRLERVFRTNIFSYFFMTRHALKHMKEGSNIINTTSVNAYKGNSTLIDYTSTKGAIVAFTRALSLQLVSKGIRVNGVAPGPIWTPLIPASFNEEKTAQFGSDVPMKRAGQPVEVAPSFVFLASNQCSSYITGQVLHPNGGTVVNA >RHN46797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34961571:34962513:1 gene:gene41326 transcript:rna41326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative legumain protein MFASDVQVRDRVISHRNFASHVTQLGDLNISNDFLVTYISAAPHNNVSDNYNLSNTTSFVSQDDAYLLHLRLKLKKALNGSEDKLKVQNELDAEIAHRKHVDNNIDLIENILFGEKKKSSAMMFDFRSIDQPLVDDWNCLKILFKTYESQCGILSTYGRKYSKAFAYMCNIGISEKQMIAVVSQVCPGI >RHN79508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29708152:29708571:-1 gene:gene3307 transcript:rna3307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MSLLTQKFLLLCVLTLLSAHDVFAAHSDSFIRRTQHVNVTNYLSDNLDLTLHCKSADDDLGVHLLHHGGNVRWGFGLSVFGETLFFCSFKWNDELHRFDIYRQDRDQDVCRSCNWYIMKSGPCRFNGDKSDLCFSWNNN >RHN47237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38215342:38216170:-1 gene:gene41810 transcript:rna41810 gene_biotype:protein_coding transcript_biotype:protein_coding MCCISSSFKYSQILISLYSYLISLYSMHLGLRMCLRDDVGGFVLAKTAWFSPLYDVRRGRSRRVAYNS >RHN50677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9323543:9323749:-1 gene:gene34995 transcript:rna34995 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYINLYGKYPPGLFANQCKEGKEGLDCENVKITNTTNPSSSVHVAAPHYMLIVSIVGFFGLIFHLF >RHN57914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42539195:42540578:-1 gene:gene33505 transcript:rna33505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MDVEGMLSLYEATHVRVHGDDILEEALAFTTTHLESIANQTSHSNAIQVRHSLRQALHKNLPRLEAHNYIYIYEQDPSHNEILLLLAKLDFNMLQSLHRKEFGNFCKWWKELDLHGKLPFARDRIAESCFWALGIYFEPQYSTGRKIMSKLIAILAVVDDTYDAYGTIGELELFTKAIERWDISCLNNLPDYMRFLYKVILDLYEEIEVETRKERKEYALTYYVKEVRVVFKLLIIEQKI >RHN39018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3575368:3579441:1 gene:gene45003 transcript:rna45003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ER lumen protein retaining receptor MGSKRDSPVNVMFGWLRKRSMKVKIFLGILLAFCAIVVLKHTIREPNFFFKASETVHIIGLIVLIYKLYVHKSCSGISLKSQELTALFLATRLVGRAYIMANLHSVLDLILLLSTLLVIWMIRFKLKSSYIKEFDNMWLSLLVAFCAILAIIIHPLFTHHSWIARVFWVFPTYLETISILPQLRYMQNAKIVETFTGYYVFALGVSRFLSLAFWIIHTYDTRGKYLFFFGHGYFWMLSLFLSEIIQSFILADFCYYYIKSFMQGKLLRKMPV >RHN43699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47803934:47812525:-1 gene:gene50315 transcript:rna50315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Arf GTPase activating protein MTSRLKEDQKNERTIRGLLKLTPNRRCINCNSMGPQYVCTNFWTFVCTNCSGIHREFTHRVKSISMAKFTAQEVSALQEGGNQRAKEIFFKEWDAQTHSLPDSGNVDRLRDFIKRVYVDRRFTGDRSYDKPPRVKGDKDDSYDTRKMESYQGGPKSPPYEDTYEHRYSDRSSSGGRSPGYDQERHYADHKRSPGRPPIINDWRREDRFGDGRKFEDNRITDGGNKMESQSPERAKDLGSSSPPPVVRPVREILGENVVPLRISEPPKANSGQAANGSTLTQRTAASSSSASNNGTSSEVKLETIRSLIDFDDVPEPPIAPVIPQATQTTVAQIVNPTNSGDNNWASFDVAPEVKVSQGLSNVNPLESMLSQLSVPSSLPDQVSGAQGPLAGSALTATAGAPTVNSFATFPSSFASLASSGLTMESPFNNALPWPNLQYQQQQPLFTSAVGQPTIQQSTPPVGGALNNQGHPSTLVPHFSKVVNGEKSNFASQTSTVDTKPSGRNELPEDLFTIKYSSSPAPVPGWQMGAPPGMGVSVQYNNVMSMPSFPQPSQSTNPFDVINEPTPVQAPTFPSMSSLQGALPTVAPSAAVNPSNMGNQSLSWNPPSSLSYAPTLPPQAHIHASAMGPRAYMGQQLPTNVPMPRHQGIGTFGNEGAAFGFSNIDQQLNGRSSASATLNPFPAGGNPFG >RHN43148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43547422:43557039:1 gene:gene49679 transcript:rna49679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MNDFDNLLSSSDFGIKPQGKSAPMSQPPKSSSSNSNSTSLNFDFGSRSARTSDSVFGSSTHRHNRDSSSFDDLFTTTTGSNSKSNPRDAPFDLDSMFPGSAGNSSPPPVYDKPVYDDDVFDGVPGLKSTGKVNFENVFASPKSDSGAFDDLLGGFGKDSKGSGRKGLEKNDRSGSDFDDLLAGFGKSKSSSSDRRAPDIGLSSDPTVSASKTTSIEAEDPFKVFSSTSAPMDSSRSNFTDPLEEISKFSSSRSTKNNSSSTSNGRIYEDIDPFDGLGRSVPAFSSERNSSKDNSAPGLNTSTSWTGDKEPVDKFSGMSPERHSQKDIPVESDQDFLQPPFYTPTFSSDSNKPVGQRSTSPPNNNSGFGQANIPADMSPKYEENLESCEDVWLTVSEIPLFTQPTTAPPPSRPPPPRPVNIPRSGTGSPASTNTRKKANEFSSFPGSTRFSHDPRSATAAASASPTSQFDELDDFAAGSSHSNDAESGNGLPDDELEMNTAAAAMKEAMDRAEAKFRHAKEVREREHTKAAKSKEFGQSEKDDRAIPEEREKQERLERDRQQKEREEKEQRRLMKEREEREKARQAVERATREARERAAAEARQRAERAAVGKANAEARERAERAAVQRAHAEARERAAAEAKERAEKAAAAAKEREARERATAARAETEARVKAERAAERAAAEARERAAASARMNQQKNGDDLESFFGAGSRPSSAPRPFRDYSTEADATRKSGSSNMKKAPSSSNIVDDLTSIFGAAPSSGEFQEVEGESEERRKARLERHQRSQERVAKALAEKNQRDLQTQREQAERSRLGETLDFEIKRWSAGKEGNLRALLSTLQYVLWPECGWQPVGLTDLITAAAVKKAYRKATLCIHPDKVQQKGATLQQKYIAEKVFDLLKEAWNKFNSEELF >RHN45112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11916491:11921754:-1 gene:gene39321 transcript:rna39321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cholesterol monooxygenase (side-chain-cleaving) MVEFMTIILAFISILTFAYLVKYLSNQGSLHKLPHGTMGWPFIGETLKFLKPHRSNSLGSFLQERCSRYGRVFKSHLFGYPTIVSCDFELNMFILQNEGKLFPVDYPKVMHKILGKYALLLVTGELHKKLRSTVISFVSASKSESNFLHFVEMLALSRINSWGSNCKQVAFYKEAKRFSINVMLKHLLNINPDDPLAVKILENFENYIKGFITLPINIPGTTYSKAVKARIRLSSIINDIIIERRKVNIAGPVEGGDLLNLILSKQNLSDEEMVSIVMDLLFGGYETTSKLLSLIVYFLEGAPNALESLKEEHQVIRKSKLEGELLNWEDYRQMQFTQNVINEAMRCGNVVKYLHRKAVQDIKFKEFVIPAGWKVLPVLSASHLDPNLLENPLEFNPYRWNDENSTSKKVAPFGGGPRLCPGADLAKVEIAFFLHHLVLNYRWKMKANDNPIAFPYVEFKGGLLLDLEPTGTTLRKHS >RHN76091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47595638:47598718:-1 gene:gene12367 transcript:rna12367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MGMVSMVYVVTLIMSLTMWMVDARIPGVYNGGAWQTAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGSCFELKCANDKQWCHSGSPSIFITATNFCPPNFAQPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVAFRRVPCRKRGGIRFTINGFRYFNLVLISNVAGAGDIVRTYVKGTQTGWMPMSRNWGQNWQSNSVLVGQALSFRVTGSDRRTSTSWNIAPHNWQFGQTFTGKNFRV >RHN54719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11566698:11566913:1 gene:gene29797 transcript:rna29797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S13 MNMRAGKLSAAELDNIMTVVANPRQFKVPYWFLNRKKDYKDGKFSQVVSNQLDMKLRDDLERLKKIRNHRV >RHN67010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22822963:22823917:1 gene:gene15139 transcript:rna15139 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHISQYFLLGILCIVLVLALGPTPVLSQCIWPGMCLGPAICDARCKFLLRPGGRCLGFVCCCT >RHN47968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43902150:43902806:1 gene:gene42629 transcript:rna42629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPM-type phosphatase domain, protein phosphatase 2C family MARDHNCENSDIREDLAILHPNDDSICTYDFGKWRVKGLTRCIGNAYMKKAEFTTTRFSQAPPGEHVTSIFTIYTRVLKDTDKFVIFGYIVDSGNYS >RHN43394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45436061:45436480:1 gene:gene49962 transcript:rna49962 gene_biotype:protein_coding transcript_biotype:protein_coding MENKGKSVKVESQHNERVEDSFDSIQYERVEDSFDGLQSDTNITLQEHGDCGEASSKATKDFDLNTKADCGFDLNEYPVEEEEEEEEEGGGEGGGGGGGGGGGGEGEGEGEGEGEGEGKGGGGENVVNKMLKIYLPNLN >RHN38508.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:208772:209578:1 gene:gene50619 transcript:rna50619 gene_biotype:protein_coding transcript_biotype:protein_coding MEIETTQPMIVTKKVWNTLRLILLMLKKNIAKSKKVAQLNLLLKRSKLAAIKAIANPLTLRHHLFASFISPNDYEFSCSNSPAVIKFLNKNKNHHRGCHHDDVSTIQKVLEILNKVDASSFSSPSPLVTFPGFGKSPVGKKFRVTDSPFPLKEEEGDDDHSHVDVAAEEFIKKFYKNLNLQQKLAAIQSPYNNSRDK >RHN66661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15821962:15824665:-1 gene:gene14701 transcript:rna14701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, non-heme dioxygenase domain-containing protein MSTPNNLIDFLVNQANGVKGLADLNLPNIPHQYIQPIQARLDSCKIIPHDSEEQSIPIIDFTNWDDPDVQDSIFSAATKLGFFQIVNHGIPINVLDDLKASVHKFFELPVEEKKSVKENSPPEVVRLATSFSPHAESVLEWKDYLQLVYTSEEKIHAYWPVVCKNQALEYMKYADAFIRKLLKVLLKKLNVKELDKEREYALMGAMILGFNYYPACPEPELVSGVGPHSDISSITVLLQDDIGGLYVRGKDGDSWINVPPVNGALVINIGDVLQIMSNGRYKSIEHRVVVDGNKTRISMPIFVNPAPDAVIGTLPEVLENGEEPHYKQVVFSDYFNYFFSKAHDGKKTIEFAKI >RHN45767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25423528:25423773:-1 gene:gene40163 transcript:rna40163 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAIFLHLRKGWLELVKREAHISEIEQECVKLEIVNVKKHVASDEVLEDEVNVSYADVTVRKRKPSANVLSEAKKTLDRV >RHN80356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37397880:37398593:1 gene:gene4271 transcript:rna4271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MSSGKKGQGRQKIEMKKMSNESNLQVTFSKRRSGLFKKASELCTLCGAYIALIVFSPSDKVFSFGHPDVYTVIDRYLSQVPPQNNRILQFIEAHRGAELRELNAMLTQINDALGIKKKRKNELSDLCKKNEAQFWWACPIEGMNKVQLQSLKDALLDLKKRIEEHVGMVVSQGTPTQTLPLFVDNGSSSNMPMEHQPNHQQASIFPAGFCQNPMLQPHLFGFNNMGGDGGHGPSGFY >RHN57056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36155949:36160660:1 gene:gene32548 transcript:rna32548 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIEEDDGRVRRMHGKKKMIFIRKIGMIGCMTFHHSLWSVQHIKGLFGEQNRKETFL >RHN82492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54211908:54216086:-1 gene:gene6661 transcript:rna6661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I, cationic amino acid transporter MTSHGSSFSSLPNYLQAVAKTPSRFARRGFSVSTSYEEMSRVRARSGNSMRKSLRWFDLVSFGIGGMVGAGVFVTTGHATRVHAGPSVVLSYAIAGFCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFITGANLIMDYVMSNAAVARGFTKYVGTTVGVSSAKWRITVPSFPEGFNQIDLVAVVVVLLITVVICYSTKESSVVNMILTGLHILFIAFVIVIGFWKGSWKNLTQPANPENPSGFFPHGAAGVFKGASAVYLSYIGYDAVSTMAEEVKEPVKDIPIGVSGSVIIVTILYCLMAASMCMLLPYDMIDPEAPFSAAFKSDGWGWASRVIGVGASFGILTSLIVAMLGQARYMCVIGRSNVVPAWFAKVHPKTSTPVNASAFLGIFTAAIALFTDLDVLLDLVSIGTLFVFYMVANAVVYRRYVVAGTTNPWPTVSFLLSFSFTSIMFTLIWKCVPTGVAKAGMLSACGVLAVVILQLFHFTVPQARKPEFWGVPLMPWIPAISIFLNLFLLGSLDGPSYVRFGVFSAVAVLFYIFYSVHASFDAEGDGSLGQKNGEINVESKESVDQSFKV >RHN70439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51439285:51441351:1 gene:gene19018 transcript:rna19018 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDNILDVIYGDDNLDDDVDMIDVEEGELVEPNLQNVLEQSSAGDINEANQESYSENSKCGASKKKKNNKRKRKGSGPKVKNRQV >RHN52319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33878347:33879683:-1 gene:gene36982 transcript:rna36982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, pentacotripeptide-repeat region of PROPR MVNENKLEDAHSLFVESSCGWEVKSRVQALNLLMYALCRKSRSDLALQIFQEMDYQDCYPNRESYLIVMKGLCQDKRLHEATHLLYSMFWRISLKGNGEDIVIYRTLLDALCDNGKFDEAVEILGKILRKGLKAPKRCYNRLDITQCGDGKDVEVTKRWIHEALVRGSVPSTASYTSMAVDLYEEGKIDEADKVIIEMKDRRFRPKHSIFEAKVAALCKVGKVDEAIKVIEEDMVEVNCLPNARVYTILLKNIRSVGNSTSVLESLNKMSKKVNCMADKETYCILLEMLCREGKYLQASQLLEQMSIKSYWPSANSYNLLIEGLCSLGRQYEAVMWLEDMISQGKLPEISVWNSLASSFCNSGMMKVSAETFNRIKSL >RHN41982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34510501:34516526:1 gene:gene48363 transcript:rna48363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mediator complex, subunit Med4 MLQHQIVQSPARLGLTNPNSPSILNPNPQKLPPSQTNHHQDRHSATPSAALISLLPPLPRAQALLSQMASLASKLFEVSPNRSVWVTAFRGSLPTFLSSQTQPHSFSSLESSLPSTTKEIISLFTTLQTQIFEAVSELQEIIDLQDAKKKIDGEIRSKDSALLAFANKLKDAERELDILVDDYSDYRRSIKRLKSGDGSEDDSLTTSTVSSQLKLSDILSYAHRISYTTFAPPEFGAGTAPLRGAMPPAPQDEQMRASQLYNFADLDIGLPKAVETKEKTVEAIIEPPPSVDTNPLGNLSAIQGMLPPNFAIPPGWKPGMPVQLPIDMPIKPPPGWKPGDPVALPPIDSLPVPRFEEQKLPPHIPQPRQPEIIQVQHVNLDLGGESDSSDYSSDEASSDDED >RHN76242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48806926:48812806:-1 gene:gene12535 transcript:rna12535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed linoleate 9S-lipoxygenase-3 MFSGVTGILNRGHKIKGTVVLMRKNVLDINSLTSVGGVIGQGFDILGSTLDNLTAFLGRSVSLQLISATKPDANGKGKLGKATFLEGIITSLPTLGAGQSAFKIHFEWDDDMGIPGAFYIKNFMQTEFFLVSLTLEDIPNHGSIYFVCNSWIYNAKHHKLDRIFFANKAYLPSETPAPLVHYREEELNNLRGDGTGERKEWERIYDYDVYNDLGNPEKGDNHARPVLGGSDTYPYPRRGRTGRKPNPKDPKSESRSDFVYLPRDEAFGHLKSSDFLTYGLKAVSQNVVPALESVIFDLNFTPNEFDSFDEVHGLYEGGIKLPTDVLSKISPLPVLKEIFRTDGEQFLKYPPPKVLQVSRSAWMTDEEFAREMLAGVNPNVICCLQEFPPRSKLDSQVYGDHTSKITKEHLEPNLEGLTVEEAIQNKKLFLLDHHDSIMPYLRRINSTPTKAYATRTILFLSSDKTLKPLAIELSLPHPDGDEHGAVSHVYQPALEGVESTIWLLAKAYVVVNDSCYHQLVSHWLNTHAVVEPFVIATNRHLSYLHPIYKLLYPHYRDTMNINSLARQSLVNDGGIIEKTFLWGRYSMEMSSKVYKNWTLPGQALPADLIKRGMAIEEPSSPCGVKLVVEDYPYAHDGLEIWAAIKTWVQDYVSLYYTTDDILRQDSELQAWWKELVEVGHGDKKNEPWWPKMQAREELVEVCTTVIWIASALHAAVNFGQYSYGGLILNRPTLSRRFMPEKGSAEYNELVKSPQKAYLKTITPKFQTLIDLSVIEILSRHASDEVYLGERDNPNWTSDTRALEAFKKFGNKLAEIEKNLAQRNNDEKLRHRLGPVQMPYTLLHPSSEEGLTFRGIPNSISI >RHN80879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41507684:41512288:-1 gene:gene4855 transcript:rna4855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-fructofuranosidase MNTIILIRNRAINSARRILTSSSNSSIFRPPLLPHANDFLQPRLNLNHTNNHNPFRILGFQGFNVQCFSTSVETRVNENNFERIYIQGGVNVKPLVVESVVVKEEEESHVEKQAWKLLKDAVVTYCGNPVGTVAANDPGDKLPLNYDQVFIRDFVPSALAFLLKGDTEIVKYFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVALDGDTREEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYSLQERVDVQTGLKMILKLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLVVTDGTNDLVRAINNRLSALSFHIRQYYWVDMKKINEIYRYNTEEYSMDAINKFNIYPEQIPFWVMDWIPEKGGYLIGNLQPAHMDFRFFTLGNLWSIISSLSTPRQNEAILNLIEAKWDELVGHMPLKICYPALDNEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRIELAQKAVDLAEKRLPVDSWPEYYDTRSGKFIGKQSRLYQTWTIAGFLTSKLLLKNPKMASMLFSEEDYDLLDICVCGLSKRGRKKCSRGAAKSQILV >RHN39111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4186020:4189700:-1 gene:gene45107 transcript:rna45107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casparian strip membrane protein MVSEQKPAALECSGSTRMSGPLVGDGEKTNRRRRTEVMLLILRGLCMGSSVVSLSLMITAKQSSSVSIYGFHLPVHSKWTFSPSYEFLVGVSVAVAAHSLLQLLIGTSRFLRASSVIPSRKHAWVIFAGDQAFAYALMSAGSAASGVTNLNRTGIRHTPLPNFCKPLHKFCDHVAISIAFNFISCFLLATSAVHDVIWLSQH >RHN46295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30431528:30436966:1 gene:gene40771 transcript:rna40771 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSGSAALSEVCDLFDETCCVIARNCAAMPCCVRVCVRACTCVYVCTCVLCACAVLRCRVWVFVRCRVAIPFPLLFLVFCFVSFCFFVFSAVLLAVVSIYRVRLRLEFVTDEQKLCLDWDPGETEQNAQCSRIGKTNACHAVLDFWIRTAFGLIT >RHN42437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38357063:38360762:1 gene:gene48879 transcript:rna48879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-B-type family MVHNRSLVYDNMDNACFPSPHLDAFPAGLRVLVVDDDLTCLRILEKMLKKCFYRVTTCCLAIEALKKLRESKGTYDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKEVRNIWQHVLRKRIREAREFESLEGIHLMRNGSDHTDDGNLFAVGKEMNLMKKRKDFDSKHDDQVFLDQTFIKKARVVWSVDLHQKFVKAVNQLGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLSRLQKKNDQKSSSGGIKHSDLPSKDPGCLSFLNSFNKQQKEGAIDSFGYSDGTLKIQMDTTSHEKRRASTSDIPNPKIRKSSEIGLNQSLESAESEANHTVFDSVIPTQYSWNEVPKRPLGEELNTLVQLKDNLSHLPLNVTQQHFQNDRSLSINSNPSGYKSDYIRPVNSTVSSVDTFPSQSKSDIIFTSNLSLKTPKFNLGCASDMEIYQRNLLLGIESASTPLDEDLNLFLLQGEYYDMNFDMQNIEMSEYYDPRLIPEVPTNLYASGDYS >RHN48788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50273850:50275818:1 gene:gene43541 transcript:rna43541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDHDEVEVPSFFVCPISLEIMKDPVTVSTGITYDRESIEKWLFSKKNMTCPVTKQLLSDYTDLTTPNHTLRRLIQAWCTLNASQGIERIPTPKPPINKTQITKLIKEASHSSLTVQLKCLKRLRSMASGSETNKRCIEDAGAVEFIASIVINNSCNIDFVNNSGSLSETNTVDEALSILHNLHVSEAGLKKLLAFRNGEFIESLTKVMQKGFFESRAYAVFLLKSMSEVAEPVQLLHQKIELFVELVQVLKDQISQKVSKAALQTLIALCPWGRNRIKGVEAGTVPVLIELLLENCKDRKPNEMMLVLLENLCQCAEGRAELLRHAAGLAVVSKKILRVSTLANDRAVRILLSVSRFSATPNVVQEMLKLGVVAKLCLVLQVDCGSKTKEKAREILKMHARAWRNSPCIPSNLLSSYPNYE >RHN53406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1498892:1500576:1 gene:gene28309 transcript:rna28309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone synthase 3 MIKRRYMYQTEAILKENPNFCTYMTPSLDARQDMVVRDVPRLGNEAAVKAIKE >RHN75805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45239575:45244298:1 gene:gene12058 transcript:rna12058 gene_biotype:protein_coding transcript_biotype:protein_coding MMDIVEATHKPKFCVGDEEYLNFKWLASFDKNTSQSASREDDDDDALSARFHKKRDTTTLSVKRVFDDNNSLCGSMKKSKVSPTPYDDDDDDDDDDDDDDDDDDDMSTDKSFSTLKEKLVLVEKSFEDIRSKTQVEERRLQSIKRDIEECCEDLENKKKEIRDVGRIIEARKKMQGKIDECVKDFVAKEGQLGLMEDLIGEHKKELKTKELELRQVMDNISKQKELESQVKELVNDLVSKQKHFESHIKELESKERQLEGRLKEHELEEKEFEGRMNELESKERHFKSEVEEINAKLMPLKGQIKELASKEKQLNGQVKELESKKNQFENRIKELESKEKQHEGRVKEHASKEREFESQVMEQQFKKKLFEIQVKALESKENQLVDQMKEFKSKEMEFEGQMKEMVLNQNHFDSRMMDLESKVKEHELKEKEFEGRMKEFESKKKGFAGRLKDLVNNLVSKQKHLENQAKELHSKEKQHEGRVMEHESKVREFEVKMMDLESKMKQFESQVEELKSKERHSQGQFKELESKEKKLDGRLKELKLKEDEFEGRVKELESEKKHFESRQKQLETQEKQYEEQMKEFQSKEEEFKVHVKDFESKDEEFEDQVKELKSKKKQFENQVEDFKSKEKQLESQVEDYKSKEKQIEERWKELESKENKFKVLVKELKLKEGQIKDPGSKLDKFDGQLKEPELTEKQFESLINYFDEEKESVASNTDDQLSPTIDGTSLQLLPSDETVILVNLQESSDPAKVVLDIIQKPIIPRCKNGEHAVIIDDGCIFLLEQLMRISPKIKPDVREEALKLALNLKANMKNTENSLVVLGFLLILSVYELLTYFDEDEVLELFTFVAQHKTAVELFKTLGFANKLSEFVEDLIRKKQFVVLTAWLRRIKKFLC >RHN49727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:666473:672487:-1 gene:gene33934 transcript:rna33934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase STE-STE7 family MSGLEELRKKLTPLFDAENGFSSTSSFDPNDSYTFSDGGTVNLLSRSYGVYNINELGLQKCSTSRSVDESDDNEKTYRCGSHEMRIFGAIGSGASSVVQRAMHIPTHRVIALKKINIFEKEKRQQLLTEIRTLCEAPCYEGLVEFHGAFYTPDSGQISIALEYMDGGSLADILRMHRTIPEPILSSMFQKLLRGLSYLHGVRYLVHRDIKPANLLVNLKGEPKITDFGISAGLENSVAMCATFVGTVTYMSPERIRNESYSYPADIWSLGLALLESGTGEFPYTANEGPVNLMLQILDDPSPSPSKEKFSPEFCSFVDACLQKDPDNRPTAEQLLLHPFITKYETAKVDLPGFVRSVFDPTQRMKDLADMLTIHYYLLFDGPDDSWQHTRNFYNENSIFSFSGKQHIGPNNIFTTLSSIRTTLIGDWPPEKLVHVVEKLQCRAHGEDGVAIRVSGSFIIGNQFLICGDGVQVEGLPNFKDLGIDISSKRMGTFHEQFIVEPTSQIGCYTIVKQELYINQ >RHN42492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38796040:38797823:1 gene:gene48939 transcript:rna48939 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQGPTNIMYSSTMVIEMCNVLVNYSCVGNWNTKHIHQAMK >RHN45274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14123422:14124105:-1 gene:gene39519 transcript:rna39519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVYYMRRRKNMAQILMFFYSLIIFFFLFLVETKRTNIPCFSDDDCPKTSPPLVLKCDDYFCRYFREKNLI >RHN77823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9628429:9638516:-1 gene:gene1316 transcript:rna1316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, peptidase, FtsH MATLQASLLSKPLLFPFLIPNPYHSTLLKPHHFNPTRLHPRVPFTPLLCTFQPDTTLPHSEPNPEPISDSPNPESVTILDSNSSNESNSRFESVDEEKVESVEKKDGRLPIVVFLIGLWVRAKEGLKRAFSKLVDWWPFWRQEKRLAKLITEADANRLDAAKQTALFVELNKHSPESVIKRFEERDRAVDSRGVAEYLRALVVTNAIAEYLPDEESGKPSGIPTLLQELKQRASGNIDETFLNPGISEKQPLHVVMVDQKVSNKSRFTQELISTILFTVAVGLVWFMGAAALQKYIGSLGGFGSSGVGSSSSYTPKELNKEVMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPAKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLHDKPTADNVDIKAIARGTPGFNGADLANLVNIAAIKAAVEGAEKLTASQLEFAKDRIIMGTERKTMFVSEESKKLTAYHESGHAIVALNTDGAHPIHKATIMPRGSALGMVTQLPSSDETSISKKQLLARLDVCMGGRVAEELIFGRDNVTTGASSDLQSATELAQYMVSSCGMSDTIGPIHIKERPSSEMQSRIDAEVVKLLRDAYDRVKALLKKHEKALHTLANALLESETLSAEEIRRLLLPYREGKLPEQQEQEEAEGDLVLA >RHN58946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6118005:6118591:1 gene:gene20907 transcript:rna20907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQIGKNMAETPKLVYVLVLFLFIFLSIIVCNSSFLKFFDGRCETDKDCPKVPGANVRCRKGHCVQI >RHN72401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7318949:7321234:-1 gene:gene8107 transcript:rna8107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanylate kinase MIRRILNSSLTLRPIPSLPSPLPKPKPNNFSFQILNSFSSSRMGDARRPSAVPIPSLENSNRSELLRALESSIGTSFSSSPFLPNPNPLILVISGPSGVGKDALISNLRSSRPNIHFVVTATTRPPRPTETHGIDYLFLSKQEFIHSIEKDEFLEYALVYGDYKGVPKSQIREFMAKGCDVVLRVDIQGAETLRKVLGKSAVFVFLMAESEMAMVERLVDRNTETKESLLVRIATAKEEMKHVRNFDYVVVNAKGKLENAVKLLESIIDAEKAKWLFGEIFGH >RHN78234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13001495:13007884:1 gene:gene1768 transcript:rna1768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, thioredoxin-like protein MGFDVEQHNPNSTIKTTQNIVSTENNTNGNITVTKKTLSLIQPHSLLPKPTPPISNSHPPNETIPSTLKTFFQQRSNDLSSAISRTITSLKHSIDDKNEFNDVTEFNLSGLKVVVTTPVFSNDRVSLGKVQITMFSKSNCRDCSAVRRFFKERRLKFIEINIDVYTEREKELKERTGNSNSTVPMIFFNDKLIGGLVELNAMRKNEGGELEKKLIEIANGKYSGDIPVAPEYGFDEMVEMEKEDEIVKVVRVLRQRLPIQDRLMKMKIVRNCFDGNELVQLLVRNHGYVHNKAVEVGKQLCKKHFIHPVFGENGFEEGNHFYRFLEHEPFISKCFNFRGATNDSEPKSAAAVCDRLTKIMYAILESYASEDRRHVDYVAISKSEEFRRYVNMTQDLQRVDLLELSENEKLAFFLNLYNAIVIHAMIRVGCQEGEINRKSFSDFQYLIGGHPYSLATITNGILRSNRRSPYSLVKPFGTKDRRLELAVVKMNPLIHFGLCNGTKSSPKVRFFSPCRVADELRCATREFFEDDGIEVDLEKRTLHLTPILKWYSVDFGNERNVVKWIMNYLDANKAGLLTHLLADGGPVHISYKNYDWSINS >RHN52548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36552227:36552550:1 gene:gene37238 transcript:rna37238 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMNRSRQIITYTLDFHPYSLLTFTIILPSVFFFSSAFHHWIQRRNRPPPYRCHPLPPPNPVVNRTQGHGNAPNLQSQVHDGSLFVSLIMTTRLSPVYQRMSLIEN >RHN55480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18860598:18860930:1 gene:gene30668 transcript:rna30668 gene_biotype:protein_coding transcript_biotype:protein_coding MKISMVSLVGTMSFLFFSIVVGDLGLGYIPHPPPLPSHIVKMLIPSPLLHKNFNFGMLENESNPTSGLTNKHSNLNFEMLPKGDRVPPSGPSNKTSDGPPPPPHFLPHGF >RHN58800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5006334:5007184:-1 gene:gene20747 transcript:rna20747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVKIIKYVNLLILFISIFLVVTDVSAHKRCRVDFDCRMRMCVYPTVSVCIDRLCRCRRPPNM >RHN42256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36774344:36776185:-1 gene:gene48675 transcript:rna48675 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:UGT73F19 MEDGVGVERPLKLHMLPFLSPGHMIPLGDIAALLASHGQQVTIITTPSNAHFFTKSLSSVDPFFLRLHTVDFPSQQVDLSDGVESLSSNNDPATMAKICKGAMLLHEPIKEFVEKDQPDYIIADCVYPWINDLVNKPNISTIAFTGYSLFTVSLIESLRIDRSYSNKNSSSLVVPNFPHSITFSSTPPKQFVDYEERMLDTIRKTKGLIINNFAELDGEDCIKHYEKTMGNKAWHLGPACLIRKTFEEKSVRGNESVVSAHECLSWLNSKEENSVLYICFGSIAYFSDKQLYEIASGIENSGHAFVWVVPEKKGKEDESEEDKEKWLPKGFEERNIENKKGFIIRGWAPQVMILSHTVVGAFMTHCGWNSTVEAVSAGIPMITWPVRGEQFYNEKLITVVQGIGVEVGATEWALHGFQEKEKVVSRHSIEKAVRRLMDDGDEAKEIRRRAQEFGRKATRAVQEGGSSHNNLLALIDDLKRLRDRKPLE >RHN49592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55840597:55841055:1 gene:gene44439 transcript:rna44439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MLISLCSKIIIKFTLFIFLLVIYGVISTPPEDPIKCSSNNTNCTITNSNGAFPDQSICKASEVVYPTSEVELISIVALASENNRKMKVATRFSHSIPKLTCPDDDTQNGLLVSTKFLNNVLKIDVDAMTISVESGVTLRQIISEAAICSDRQ >RHN73823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20396792:20397305:1 gene:gene9697 transcript:rna9697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb/SANT-like domain-containing protein MAKDKGQSVEPRQDTKEVLRWTDDMDQILLNALIEEVHKGNRHDGSFSPEAYSNVVAVLRSNIDPTMTKQHIKNRMKTLKDRFGEAYDLFGSLSGFGWNSTTRRFTAEEVVWQELIRVSKTTCC >RHN46218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29747208:29750218:1 gene:gene40672 transcript:rna40672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thebaine 6-O-demethylase MSLNGGDVVVSTQMEEAPTFAPCLPVPNIQETVRKNPLKVPERYVRSEEEIEKVLYMPHFSSQVPVIDFGLLSHGNKNELLKLDIACKEWGFFQIVNHGMEIDLMQRLKDVVAEFFDLSIEEKDKYAMPPDDIQGYGHTSVVSEKQILDWCDQLILLVYPTRFRKPQFWPETPEKLKDTIEAYSSEIKRVGEELINSLSLIFGLEEHVLLGLHKEVLQGLRVNYYPPCNTPEQVIGLTPHSDASTVTIVMQDDDVTGLEVRYKGNWVPINPIPNALVVNLGDVIEVLSNGKYKSVEHRAMTNKNKRRTSFVSFLFPRDDAELGPFDHMIDDQNPKMYKEITYGEYLRHTLNRKLEGKTQTDATKIKECGI >RHN39861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11154491:11159077:1 gene:gene45939 transcript:rna45939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferric-chelate reductase (NADH) MAREAQSIIRLLVVLLFLGCIMIWIMMPTNTFNLKWFPKIRGKADPTYFGAQGETILMYTFPVLLIATLGCVYLHIAKKSSNESNIEIRNGKKHGTTIWNRPMLVKGPLGIVSITEIAFLLMFIALLVWSFTTYLHIGFKTVAKTAAEYGNTVEQEKLYSVGIWLGLVGNICLAFLFFPVTRGSSVLPIFGLTSEGCIKYHIWLGHILMAIFTTHGICYITYWASTNKMSEMLIWTKDGVSNLAGEISLLAGLFLWIATIPRIRRKFFELFFYTHHLYIIFIIFYIFHVDISFSFTMLPSFYLFLVDRFLRFLQSRRGVRLLSSRILPCEGVELNFSKGHGLTYNPTSVMFINVPSISKLQWHPFTVTSNSKLEPEKLSVVIKCGGAWTQKLYQLLSNPSPIGRLGISVEGPYGPASTNYLRHDTLVMVSGGSGITPFFSIIRELIYLSNTFKCKTPNIVLICSFKNTSCLSMLDLILPISGTCDISNIQLQIEAYITRDKEFKPDTPIHPQTLWLKPNPSDEPIHAMLGPNSWLWLGAIISSSFIIFLIIIGVITRYYIFPIDHNTNAIFSDPLRAFLNMLVICVSIAVVSSVAVLWNKQNAKEAKQIQNLEGSSPTVSPSSMIYNADRELESLPYQSLVEATNVHYGQRPDLKRLLFEMKGSSVGVLVSGPKQMRQEVASICSSGLVENLHFESISFTW >RHN44515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5593200:5596647:-1 gene:gene38643 transcript:rna38643 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSSKSSSSTWKQVSELLTVASITKTLSKNPTQTPPQTNLTQTLIHKILSNPSLHISHKLNFFNSNNNIHHSSLSYSLIFNNLCNPKTPFSLLHQHLPHLLHSMKQNGAADGFVGLIEVRCFVFAGIGFVCCYFGWGILCFCFLTVAVSVICQLRGLFNSASVFVWVLRCRLYTAPCIAVLISYVRLVWGALIIYAVSKKKKKN >RHN82299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52652258:52655805:-1 gene:gene6455 transcript:rna6455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MGTKAKKALKKKSLKRVNAINNKPSEHAADFLPLEGGPGRKLPELKQLENSSPVLYIGRIPHGFYEKEMEAYFGQFGTIKRLRISRNKKTGKSRHFGFIEFESPEVAKIVADTMHNYLLFEHLLQVFVVPSEHVHPRLWKGFNYRYKPLDTLQIERELHDKERTLEEHKKLVDRVMKRDKKRRKKIEAAGIDYECPEIVGNLQSAPKKIKFED >RHN49169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52986780:52989383:1 gene:gene43971 transcript:rna43971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-fructofuranosidase MTAINLICNCTMKPFSRFLVICRNNSSFLTRCHHSRTMSTSISNNPLLLNLDHKTKHHPSSILKFGRIMNGFQKVLRSPSWSFCHSRAFLGLKATKTECISSIGGVSFKAREFSNSFETTRVLRIDKDDNKGGGDEEDLKEKNCDSLKNVIVAKNGDEEETDVEKDAWKLLQKALVTYCDTPVGTVAANDDSGSPLNYDQVFIRDFVPSALAFLLKGEHEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVKTMEFDDKKTEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKLTGDYSLQEKLEVQTGLRMILNLCLSDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRSAREMVVKNDESKSLVGEINNRLSALSFHIREYYWLDMRKVNEIYRYKTEEYSLDATNKFNIYPEQIPMWLMDWIPEEGGYLIGNLQPAHMDFRFFMLGNLWSIVSSLGTPRQNKSVLNLIESKWDDLVGQMPLKICYPALEHDEWRLVTGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRTDLAEKAVGLAEKRLPFDSWPEYYDTKTGRFIGKQARLFQTWTLAGFLASKMLLKKPEIATLLCWDEDLEILETCVCVLNKSGRTKCSRRVAKSHILV >RHN65325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1224190:1231643:-1 gene:gene13151 transcript:rna13151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) chromatin regulator PHD family METNFGLVAGSHNKNEFIIIRQDGDYARTDLQELDGDTCQLCGEDIGVNADGDPFVACNECAFPVCRNCYEYERREGNQVCPQCKTKFKRLKGCARVEGDEEEDDIDDLENEFDEGRNEQDMQIPMSPEGEELSSEEHHAIVPLINSTIMRKEITLLQARPMDPSKDLAAYGYGSVAWKDRMELWKQRQNQLGNMRKDDNEDLDKSVDDDNEFPLMDESRQPLSRKLPIPSSQINPYRMIIIIRLIVLGFFFQYRIMHPVDNAYALWLVSVICEIWFTLSWILDQFSKWFPVMRETYLDRLSLRYEKEGQPSQLSPIDIFVTTNDPLKESPLVTANTVLSILAIDYPAEKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFHEKINYLKDKVHSSFVKERRAMKREYEEFKVRINSLVAKAKKVPEEGWTMQDGMLWPGNNIRDHPGMIQVFLGENGGCDMDGNELPRLVYVSREKRPNFNHQKKAGALNALVRVSSVLSNAPFVLNFDYNHYINNSKAIREAMCFMMDPLVGKRICYVQFSQRFDGIDSNDQYANQTNTFVDINMKGLDGIQGPTYVGTGCVFRRQALYGFDAPRKKKAQNKTCNCWLKCCCCGLCCMGKRKKKKMKKSKFELMDSTHRKVHSESSVAGSTKGNENEDGLSIISSQKLVKKFGESPIFIASTQLVDGETLKHGGIASQLTEAIHVISCGYEEKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSIYCIPERTAFKVSSSNNLSNGLQQVFQWALGSIDIFMSKHCPIWYGYKGGLKWLERISYINAIVYPWTSIPLVAYCTLPAVCLLTGKFIIPELSNTAGMWFISLFICIFTTSMLEMRWSGVTIDEWWRNEQFWVIGGVSANLYAVFVGLFKVLTGVNSNFIVTSKSTRDDEDKEHNEIMFGLKWTTLLIIPTTLLILNIIAMVAGLSHAINNGFESWGPLFGKLMFSFWVIVHLFPFLKGMTGRNNRTPTIVLVWSILLASFFSVLWVKIDPFLPKSTGPILEECGLDCN >RHN59054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7124385:7124786:-1 gene:gene21038 transcript:rna21038 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLPLAPESHDSSLHNAPQVQVQVPNQRNSIDLTLQNSFELLENDAEQVIGDAKISDEESNPILLDMYIDKNQNGAENLLRKEEFTHNTILESTGNMTNMKSNLVDLSTCNPFLGPVNGKKASLITGPSSLA >RHN68213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33720547:33721682:1 gene:gene16531 transcript:rna16531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MYLKFICLICKMAPCFRSTKKRKRESTMGPNWLELPRDITSNILQRLGVVEILTNARNVCPYWWSICKDPRMWRTIHMINNETSPDVDLVKICRYAVEQSCGELEDIEIVSFCTDDLLQYIASCGSHLRRIQLTKCRNILHKQFSEVANKFPLLEELDISFSNLCKDSLEVIGRSCPLLKSIKFSRMFSKDIELNDDAFAIAKTMTKLRHLSMFGNLLTNVGLHAILDGCPLLESIVLRDCIHLDLSGSLGKRCCDQIKDFVVVLPTYVDENYDDEDDDSFYLRSVMEDQNLDYSFISRVIKKTTIKSALRSAVRSAFRTHRKEIHSAFGEAFGDTFIR >RHN78125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12098966:12106210:1 gene:gene1646 transcript:rna1646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MTMDEVDNSSEWSWEQDKAFENALVTYPEDDSDRWEKIAVDVPGKTMEEIKQHYELLVDDIGQIEAGCVPLPSYNSSSEGSTSHASDEGVGGKKGGHNGHKNDESNHGTKGSRSDQERRKGIAWTEEEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNNGDVSTPQGPITGQTNGSSGNSAGKSVKQAVPSTTGLPGAGMYAAPTIGQPIGGPLVSAVGTPVNLSAPPHMAYGIRAPVPGTVVSGAPVNMVPMTYPMPHHTSAPHR >RHN74478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33849684:33849974:-1 gene:gene10563 transcript:rna10563 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQVPHLFRKCNDVFKVIEEANAGEIVAVFNAPGSYSCHSRRNVFRETAPM >RHN67506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27814686:27820339:-1 gene:gene15701 transcript:rna15701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MLYRGKFADGGDGREMASKRQRTVDAGSSFYGSPGSSYMYNPSPYGYVSQPPPPPFPVVRLRGLPFDCTETDVAEFFHGLDIVDVLFVHKGGKFSGEGFCVLGYPLQVDFALQRNRQNIGRRYVEVFRSKRQEYYKAIANEVSDARGGSPRRSAPRAKSYDEGKDSAEHTGVLRLRGLPFSANKDDIMDFFKEYVLSEDSIHIVMNSEGRPSGEAYVEFENADDSKAAMAKDRMTLGSRYIELFPSTHGEMEDAISRGR >RHN50251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5507312:5513380:-1 gene:gene34520 transcript:rna34520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MMMTNEVEGERTNMPNWLALPRDITVNILQRLDTIDVVTSACQVCPLWWNICKDPRVWHTMQINMTNRRFFSRFFPPDLVKICRYAVDRSCGFLIDIDIEFIGTDRLLQYISENASNLRCMKLVECSKISDKGFSEAVRKLQYLEDVNISKCNLSKDSLEVLGRSCPCLKSLQFAKARPSFMLGADDTEALIIATMSTLTRLDIKGNMLTNAGLLAILDGCPLLESLGMEECYHLELSDSLRKRCLEQIKDLRLPVLDSNYYHLSYVLYGCSNNTTLKTTNTHVSFLLKPQNHHSTDRAQRPPPTDDDGVHQLQNRTRQPAPNISNLGPPLMMMMMMANEVGGASTNMPNWLALPRDITVNILQRLDTIDVVTSACQVCPLWWNICKDPLVWRTMQINMTNRRFFSRFFPPDLVKICHYAVDRSCGFLIDIDIEFIGTDRLLQYISENASNLRCMKLVECSKISDKGFSEAVRKLPHLEDVNISKCNLSKDSLEVLGRSCPSLKSLQFAKARPSFMLGSDDTEALIIATMSTLTRLDIKGNMLTNAGLLAILDGCPLLESLGIEECYHLDLSGSLRKRCLEQIKDLRLPVLDSNYYHVMYNGNSNCHSLCGLLL >RHN77999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11139857:11148211:-1 gene:gene1513 transcript:rna1513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MHPYNRLPSSSNTNTPSPPPSPLRSPRLRHTRSKTAPKPSPRTIPQRLSYLFLSGLLRRQGIFLFAPLLYISCVLLYFSSFSFDVVPFINHRSAPGSVYRSPQLYAKLKFEMDEDNSSVDAISTIWKSPYKGREWKPCVHTSSEGLPESNGYIYVEANGGLNQQRISICNAVAVAGYLNATLVIPNFHYHSIWKDPSKFSDIYDEEYFVNTLKNDVQMVEKIPEHLMERFGSNMTNVFNFRIKAMSSIQYYRDVVLPKLFEEQVIRISPFANRLSYDAPPAVQRLRCLANYEALRFSSPILTLAESLIARMRKQSIKNGGKYVSIHLRFEEDMVAFSCCVFDGGKEERDDMIAARERGWKGKFTKRGRVIRPGAIRIDGKCPLTPLEVGLMLRGMGFTKNTSIYLASGKIYNGEKTMAPLREMFPNLHTKETLASEEELAPFKNYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLLGHRRYLYGGHSKTIKPDKRKLALLFDSTNIGWKSLKHQLLSMRSHSDSKGVELKRPNDSTYSFPCPDCMCHAN >RHN55798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23914957:23915780:1 gene:gene31061 transcript:rna31061 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEAESALLDASICLHASALWGVGCVPRCWFLQTRGHVSGSVDVCVCWLNALALNGLHMILIIFHC >RHN40663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19087693:19089620:1 gene:gene46849 transcript:rna46849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myrcene synthase MTKINALITTVDDVYDLYGTLEELELFTEAIDRWDLNALDSLPYYMQICFHAVYNFVNEISFESLKKNEKYITTPYLKKAWTDLCKAYLIEAKWYYSGYTPTVEEYMANGCVSIGAHAVFTHGYFSMPHSIKMEDLVLLGEDSNIIRLTATIARVANDHGSYKRENETGDIPKLNKCYMNETGASEIEARDYMKSMMSTLWKKMNKEAQSSSFSQNFIDTNMNAFRICMFMYQYGDGYSIPDPKMQNRIMSLVFEPIPN >RHN76583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51429736:51432442:-1 gene:gene12933 transcript:rna12933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative double-stranded RNA-binding domain-containing protein MDKSNNSSHSTFKSKPKKSRTKVYAAKMVKPNINNQMKMVETVESIVQNPVLKNIDDVLEKIQSSKESVILGKTTTSDEGMKKGCGRSKLYETCAVNHWKPPVFECFKEEGPCHCIMFTFKVIVEMETGKASKNIETSEVTKTTVEVYGAPHKKKKMAADNAAEGALWYLKHIDFVLKNK >RHN49310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53974957:53975568:1 gene:gene44125 transcript:rna44125 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKELHLEHVNICYKLYDFIMKILSAQASKTVTLGRSSNYGSSSLGVPISDKMVRHVTPCIGLNSNELRKSKYFFGDNRLSPQTEHVEEENNLCRNIVDEELCHQASKKMVSINDMAEEIILTSTKKRNKVLP >RHN45012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10735348:10738610:1 gene:gene39205 transcript:rna39205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isomerase MVRELEGVTDLERHLRHYQLGIKGSTKKTDNKLALSQSREFLLSYLLILHLVHRLDRETSGILLMGRTKDSVSHLQWLFSNTNNAKSSCKAWNDACEATHQRYWALVIGTPKEKEGVIHAPLSKVLMNDGKTERVMLAHHSSIEPHQEAVTEYRVLGPMINGCSWIELRPLTYRKHQLRVHCAEALGTPIVGDYKYGWLVHSRWKQMARVDMEPTTGKPYKLRRPEGLDVQKGSVLSKVPLLHLNCRELVLPNIAKFLHVLGKSSEELHPSLSLQPDILRFVATMPNHMRISWNLMSSYLV >RHN59704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12681941:12685168:1 gene:gene21804 transcript:rna21804 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta MGKLKMLGVGNEGMSTLPGLNQIQFEGFCRFIDRGLTEELFKFPKIEDTDQEIEFQLFVETYQLVEPSIKEKDAVYESLTYSSELYISAGLIWKNSRDIQEQIIFIGNIPLMNSLGTSIVNGIYRIVINQILQSPGIYYRSELDHKGISVYTGTIISDWGGRLELEIDRKARIWARVSRKQKISILVLSSAMGSNLSEILENVCYPEIFISFLNEKEEKKIGSKENAILEFYRQFACVGGDPIFPESLCTELQKKFFQQRCELGGIGRRNMNRRLNIDIPENNTFLLPRDILTAADHLIGMKFGMGTLDDMNHLKNKRIRSVADLLQDQFGLALVRLENIIRGTISGAIRYKLIPTPQNLVTSTPLTTTYESFFGLHPLSQVLDRTNPLTQIVHGRKLSYLGPGGLTGRTASFRIRDIHPSHYGRICPIDTSEGINVGLIGSLAIHARIGRWGSIESPFFEISERSKRIRMLYLSPSRDEYYMVATGNFLALNRGIQEEQIVPARYRQEFLTIAWEQVHLRSIFPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERQVALDSGVPAIAEHAGKIVYNDTDKIILFGNGNSLSIPLIIYQRSNKNTCMHQKSRVHKGKCVKKGQILADGAATVGGELALGKNVLVAYMPWEGYNSEDAVLISERLVYEDIYTSFHIRKYEIQTHVTSHGPERITNKIPHLEAHLLRNLDKNGIVILGSWVETGDILVGKLTPQMAKESSYAPEDRLLRAILGIQVSTSKETCLKLPIGGRGRVIDVRWIQKKAGSSYNPETIHIYISQKREIKVGDKVAGRHGNKGIVSKILSRQDMPYLQDGRPVDMVFNPLGVPSRMNVGQILECSLGLAGSMLNRHYRIAPFDERYEQEASRKLVFSELYEASKQTSNPWIFEPEYPGKSGIFDGRTGNLFEQPVIIGKPYILKLIHQVDDKIHGRSSGHYALVTQQPLKGRAKQGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHIKARQEVLGTTIIGGTIPKPVDAPESFRLLVRELRSLALELNHFLVSEKDFQIQRKEV >RHN47189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37757351:37762473:-1 gene:gene41755 transcript:rna41755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine protease ATG4 MVLKDLCDRIVAAKCSSKSSTEIVDNTQVPASSKAGSSDIHSRNSGWAAAVRKVVSGGSMRRFQERVLGSCRTDVSSSDGDIWLLGVCHKISQHESTGDVDIRNVFAAFEQDFFSRILITYRKGFDAIEDSKYTSDVNWGCMLRSSQMLVAQALLFHKLGRSWRKTVDKPVDKEYIDILQLFGDSEAAAFSIHNLLQAGKGYGLAVGSWVGPYAMCRTWEVLARNQREKNEQGEQLLPMAIYVVSGDEDGERGGAPVVCIEDACKRCLEFSRGLVPWTPLLLLVPLVLGLDKVNLRYIPLLQSTFKFPQSLGILGGKPGASTYIIGVQNDKAFYLDPHEVKPVVNITGDTQEPNTSSYHCNISRHMPLDSIDPSLAIGFYCRDKDDFDDFCSRATKLAEESNGAPLFTVAQSRSLPMQVTSNSVSGDDTRFEEDDSLSMNLVNDAGNEDDWQFL >RHN57999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43015619:43016178:-1 gene:gene33603 transcript:rna33603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MARFFSLVVVFLLCASFSCVASNKIVDVDTICKDAMDPKFCSTLIKSKPGAERDLVSLASYTLDVAHRNASNILKRIKKLMAQSGSNRKQVFLYKRCLHYFGSNVGVLYVIGQITQLLKSKDYFDVRSNGSSIIAYVEYCVSGEYPAEVVHFDVPSFPKYARVVEKVVDALILISINLQK >RHN40542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17416274:17419961:-1 gene:gene46703 transcript:rna46703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MELTHLSVLAIFFLALVGINGSKSGEEYWKSVWPNTLMPKSLSDLLLSESGTSVPIQGKEEKQYWTVFFEHDLYPGKKMSLGIQKHSDIQPSQSHTQVSVKKASQSFGTRKWLRKTTEKVNQPFGVLTWVDKATKTQTKNRNQPFETRTLIDKSNQPFGFFSWTEKETEADSKKANPAFEAHTLIDKTNQPFGFFSWTEKEIEAETKNTNQHFETPTWNDKTSQPFGFFSWTEKATESEGEIEKANEPFETRTWNDKTNQPFGFFSWTEKEIEADTKNTNQHFETPAWNDKTSQPFGFFSWTEKATEAEGEIEKANEPFETRTWNDKTSQPLPAHKWTDKAIAKETEKTSQHQHFVTHTSDENEAHILEDYCGRPSAIGEDKHCAPSLESMMDFAISKLGKNIKVMSSSFSKNHDQYVVEEVNKIGDNAVMCHRLNFKKVLFYCHQVNATTTYMVPLVASDGTKSKALTICHHDTRGMDPNVLYDVLKVKPGTVPVCHFIGNKAVAWVPNDDVTDSNGHPSVF >RHN42481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38709408:38711727:-1 gene:gene48928 transcript:rna48928 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIMEFAENLVLKLMEDPNERDRRFREHVYKTKDRCAKTKEMWSYPMRPYGFWTFERHNSQLAWDAQISQVAGRRDPYDEILSHYPASSSK >RHN77686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8633374:8647880:-1 gene:gene1167 transcript:rna1167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MYSSSSAEKKPNPKNVYHIGGLPVEFPYQPYGSQFAFMGRVISTLNRAQNEGHSHALLESPTGSGKSLSLLCSSLAWQQHYKPTPPQTAADPLANGGGFLLDEVLLPLEPTNKKLKKKTVPTIYYASRTHSQITQLVRELRKTSYRVPMALLASRKHYCTNKNIRGRENIDEECKQLLKDKVIGCPEFKSVQKVKAHPSLQKGGCNEVHDIEDLVKVGQSVKGCSYYAARSMSNDAQLVFCPYNYIINPVIRGAMEVDIQGAIVIFDEAHNIEDISRDAGSVDIEEDTLDKLQMELEQLCHSDIAIYLPLYEMTQGLTSWMEHKKTTLEKRDFQHYVSCWTGDKALQELEEANISKQCFPILLECATKAIKVYTDLEEDEPHLSGMSVITLEGLFSSLSYFFSKNGSHMLDYQLALQRTVRKHDRRASGNWTHTLSLWCLNPAAVFREVADLSLSVILTSGTLSPMMSFSSELGVKFETNLEAPHVIDTDSQVWPAIISTGPGNYPLNASYKTADVYAFQDAVGRSLEEIFKIVSGGCLVFFPSYKLMEKLCTRWSETGQWSRLKAEKPLFVESKGGSQDDFELTLKGYYDSIHHDKKPASGRKRRIKKTDLNHFHAVDSIQDTKKGGAALLGVCRGKVSEGIDFSDDNARAVIIVGIPFPNMYDIQVALKKKYNDTFKSSKQLLGGNEWYCHQAFRALNQAAGRCIRHRLDYGAIILLDERFREERNKAFISKWLRKPLRVYDSFELSLEKLKSFFENAKERYGLDTVHATTNLNLNDDDGVQNKDGNMWFPKKKNQKLNKSRNGAEKETSVIEDKISIPTPSLSSHNLGESQPSAPRNSNTYSFKDHINLQCCNLTERFTEASSVTFTHDETPTVKESPSSHIASGAGSAYYSKDDNSDCTIIEDHSSSFPVSSTTGSKISNTVTPEKSVTANNIPESSLNSSVNSHNQKRRKPTVVPYIDLIEEENHEASSVSTPKWYTKSSVEVREATHETECSLGRISNLQSPQLPTSNLPGSCSLTIPLLDKRLLLFCSLCKNPLGRHENHLSLTCSLISSSIVHLRFLLKKRLATYTADAEKSVPVIVTDSSFVDQRVCNRVPKSTQEEGIWCPEDGCVFSTIFCPFCSNTNNLLGVQIMATNSSNAKLLDKILFFFDSLEVKYQEEAGKSASEEVDFSPITDSGMDGVAALNSFEKYSYVPQPGKSEVWKSRKSKPKLRGSPYAEC >RHN63375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50772170:50776260:-1 gene:gene26068 transcript:rna26068 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator SWI/SNF-BAF60b family MNNSNNPPKNPPPAPPSFSSIPVNPQPIHLLTQSHPQMQNPSQFPGHFQLSQPQPQPHVISQQQSQFVNPRSHPQTLQQHHQQHQQQQQQQNVASPATASTTSSVKRSHHKANSRPQGSPSGNQTSAFKTMELTPAPLRKKRTLPENLIPEKVAKIVPESAIYTRLIELEAQIDAALNRKKVDVQEAVKNPTSVRKTLRVYVYNTFSNQTKESGKVGGVELPSWSLRITGRILEEGGKDPVVGGISKRGSIVYPKFSAFFKKITVYLDQGFYPDKHVIVWDSARSPVQQDGFEVKRKGDKEFTAVIRLGVNYSPEKFMVSTPLAKVLGIEFDTRPRIMAALWNYVKFRKLQSPNDPSFFMCDASLQKVFGEEKMKFSMASQKISQHLSQPQHIHLEHKIKLSGNSPAGTTCYDVQVDVPLSLEKDMSAFLTSMERHKEIDAFDEVISASVKKIHEHLKRRSFLLGFSQSPAEFINALIASQSKDLKLVAGDASHNAENEKRSEFYNKPWVEDAVIRYLSRKSARTDAP >RHN75770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44915266:44917301:1 gene:gene12017 transcript:rna12017 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAMVTVVPIGMAEEIIKGRDTETMTATHMSPQKEHQIMNLEGTLTIRTLTMNLDQKKIHGFGRVVILMMRRKMIVKDGVNQNVILNQADMH >RHN53765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3954996:3958544:-1 gene:gene28709 transcript:rna28709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pleckstrin-like, plant MMEQRNESDMFQHWRKGSWQWQGLELEDMKENDELNKLMLSLPEIPEPPTPLEPMEFLSRSWSLSASEISKALTEKHKQSFLDKTHETLPEAIPAPQLTPGKLIPFTNCRMGTIGKWLHLHQKQHGNANTSVKKKDRARVENAHVHSALSIAGLASALASVVASSDNHSKLDVAMASATQLLASYCVEMAELAGADHDRVASTVKSAVDIQTPGDLMTLTAAAATALRGEAALRARFPKEAKKNASISPYDRGIAETHCSPASECQMFDENHSPCEGDLLQVTEKGALRWKHVAVYINMKYQVKIKIKSKHIGGAFSKKHKCVVYGVCNEDSAWPYRKEREASEDLYFGLKTAQGLLEFKCESKLHKQKWVDGIEFLLGRVNSAETTENL >RHN53907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5232578:5233782:-1 gene:gene28872 transcript:rna28872 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYSTTSYPKSHNNSLLHSVRKPQSKPWKKASMAPQPLTAVRVYEVDVMNFRELVQQLTGAPEFKPQQHQQNQVVSTSWNKGVQSQESSGVTKQSGLLELNLSSPSSQSWWSSLS >RHN57954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42730255:42730751:1 gene:gene33547 transcript:rna33547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spo11/DNA topoisomerase VI subunit A MRFIYSTKSKVLLLLPLQIFNFPKPNNSIHSFFSSEFTRSLLINLTNGNSSIILINRFRNHCTLSDSNCFCGSDLPVGNEVLTLCKETRVHRLGHSLYLLLSSMCACSLIILLLFKLGFENKHSSKRDIYYMHPSVFLGE >RHN54190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7685332:7688832:-1 gene:gene29188 transcript:rna29188 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLKNPFLLMTNWVGFCEITFTPTVRHCSMVTVIGLCLRVKLKHYFPAHYKVDIKVSQGSHANEESVNKQLNDKERIAAALENPNLRQLVDECLESNEL >RHN60198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23348361:23351819:-1 gene:gene22453 transcript:rna22453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MDKILKFVHIVILFVFLLLVLVAAEQHFVTLYKKKEKCALDVDCLELFPNSYKYLMKCVGGDCISLSKGFSHDEIKE >RHN76967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2807437:2815342:-1 gene:gene366 transcript:rna366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TRAPP II complex, Trs120 protein MEPEVSIEGSSTIQIAVIPIGQIPPPLLRDYYSLLLPLHTIPLSAISSFYTEHQKSPFAHQPWDSGSLRFKFVLGGATPSPWEDFQSHRKTLAVVGIVHCPSSLDLNDVVDQFASSCKSYPSSLVDRCFAFYPNDSQLEDGSKRGGNLRLFPPADRATLEFHLNTMMQEVAASLLMEFEKWVLQAESSGTILKTPLDSQASLSSEEVIKAKKRRLGRAQKTIGDYCLLAGSPVDANLHYSTALELGRLTGDYFWYAGALEGSVCALLIDRMGQKDSVLEDEVRYRYNSVILHYKKSQDNTQRVSPITFELEATLKLARFLCRRELAKEVVELLTTAADGAKSLIDASDRLILYIEIARLYGSLGYQRKAAFFSRQVAQLYLQQDNRLAAISAMQVLAMTTKAYHVQSRSSVSDHSIHTKGIGSDKPDGGKIYHQSVVSLFESQWSTIQMVVLREILLSAVRAGDPLTAWSAAARLLRSYYPLITPAGQNGLANALSNSSERLPPGTRCADPALPFIRVHSFPVHPTQMDIVKRNPAREDWWVGSAPSGPFIYTPFSKGDPNNIKKQELVWIVGEPIQVLVELANPCGFDLRVDSIYLSVHSGNFDAFPVSISLLPNSSKVITLSGIPTSVGPVTIPGCIVHCFGVITEHLFKEVDNLLLGAAQGLVLSDPFRCCGSPKLKNVSVPSISVVPPLPLLISHVVGGDGAIILYEGEIRDVWINLANAGTVPIEQAHISLSGKNQDSVITYSSETLKSHLPLKPGAEVTFPVTLRAWQVGVADADSTRHSKDASCPSLLIHYAGPLKTSEDPNAVSPGRRLVVPLQICVLQGLSFVKAQLLSMEFPAHVSENLPKLDDVNNSSNGGHVNSVDRLVKIDPFRGSWGLRFLELELSNPTDVVFEINVSVKLENNSNEDNHLADRDSTEYGYPKTRIDRDCSARVLVPLEHFKLPVLDDSFLSKDTQTDGIGGGRNSSFSEKNSKAELNACIKNLVSRIKVQWHSGRNSSGELNIKDAIQAALQTSVMDVLLPDPLTFGFKLVKDGSDSDNIDPVKESDLPESPASKSSVLAHEMTPMVVVVRNNTKDMIKMNLNITCRDVAGENCVDGTKSTVLWTGVLSEVTIEIPPLQQIKHSFCLHFLVPGEYTLLAAAVIDDANDILRARARATSSAEPIFCRGPPYHLRVLGNT >RHN81314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44788374:44795010:1 gene:gene5332 transcript:rna5332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEETHNNDALSNNKPSTEQDIADELELRSKKYLRGEAANLKGLKDKKLKSQLIAKEKLYGQSAKAAAQAEKWIMPSEGGYIEAEGIEKTYRIKQETIAKEVDISSSRNQYDITLPELGPYTIDFTSSGRYMAIGGRKGHLAIMDMMNLSLIRELQVRETVRDVVFLHNELFFAAAQRKYPYIYNRDGTELHCLKEHGSVLRLQFLENHFLLASTNKFGMLLYQDVTMGTIAGKYRTGLGRTNVMELNPYNGVVSLGHSAGTVTMWKPTSSSPLVKMLCHQGPVSALAFHSNGHLMATAGVDKKIKLWDLRKFEAFQTLPGHANTLEFSQKGLLACGNGSYIQVLRDVSGAQNYSRYMTHSMVKGYQIGKLAFRPYEDVLGIGHSMGLSSILIPGAGEPNFDSWVANPFETPKQRREKEIRSLLDKLPPETIMLDPSKIGTVKYSKTEKPTAQEIEAEMEAAVEAVKGKKLKKKTKGRSKAGKIMPKKQDAIANAKRPYLEQKIQEEKNLAKKKQKTNEGGELPKSLQRFVHKKPSS >RHN82684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55658953:55665180:-1 gene:gene6863 transcript:rna6863 gene_biotype:protein_coding transcript_biotype:protein_coding METEEETTTTPTTNGGVKLGMWETILRNHHNSLKSLFHLKKPDSIITDHSSVNSPKPIPQLSPIANEVVSTSSKILGVSTNELQHAFDSELPLGVKELLTYARHLVEFCSFKAIQKLSRTSDYLSDSEFRRFTFDVMLAWEAPSVHADQLESPTSNDETVGDEDDASLFYSSSTNMAVQVDDKKTVGLEAFSRIAPVCILIADIITVHNLFDALTNSSGRRLHFLVYDKYIRSLDKVIRNSKNVLASSVGNLQLAEEEIVLDVDGIIPTQPVLQHIGIAAWPGRLTLTNYALYFESLGVGVHEKAIRYDLGADMKQVIKPELTGPLGARLFDKAVMYKSTSVAEPVYFEFPEFKANLRRDYWLDISLEILRAHRFVRKFGLKDTQKLEVLARANLGIFRYRALKEAFKFFSSNYKTLLTFNLAETLPRGDRILQTLSNSLTNSTAASGKRDISANVERKKQSAVSPAAVVALFCLGFKSKKAADIYEETTAVCDIRVGEINPLDMAVKQSLLDTGKAEAAQATVDQVKVEGIDTNVAVMKELLFPVIESANRLQLLASWKDFYRSTAFLILGCFVIIRGWIQYLLPTIFLFIAIIMLWRRHFRKGGALEPFTVRPPPNRNAVEQLLTLQEAITQFESLIQAGNIALLKIRALLLAILPQATETVALFLVFIAAVFAFVPPKYILLVIFIECYTREMPCRRESSKRWIRRFREWWIRIPAAPVELVKPEECKKRK >RHN60705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29728365:29731233:1 gene:gene23060 transcript:rna23060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monodehydroascorbate reductase (NADH), Carbonic anhydrase MAKLTSLVLIFSLLTAIVLLSSCPAMSEEVEDETEFNYDEASPLGPPNWGHIKPNEWFLCKNGTMQSPIDLRDEVVQISNLGPLQTNYNPSNATLKNRGHDVKVELMSNSSYLQIDGTQYELLQFHWHTPSEHTINGVRFDLELHLVHQTPLNETAVIGVLYTIGMPDPFLSLLEDDLEAISNNTAGEERAVGVIDPNQINFNTTKYYRYMGSLTTPPCSENVTWTVIKEVKSVSQEQIELLQAAVHDDYDYNARPLQPLNNRLVQLNCYGAGP >RHN67835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30614347:30617519:-1 gene:gene16074 transcript:rna16074 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRLILIFLSATLAGIFVLRNFRNQPKIDDEDEDLAPTNPKISDSSNASSNENSKVRAVIESGFWTFVDMASGRYLWRHMVSSSSKKSS >RHN74907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37696827:37701792:1 gene:gene11041 transcript:rna11041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-glutamyl hydrolase MSNDAVSFLFLITIFFGCFLSTDSYSEILLPTQLHRISSPVTCPAPDPNLYYQPVIGIVSHPGDGASGRLSNATDASYIAASYVKFVEAAGARVVPLIYTEPEEILLKKLELVNGVLFTGGWAKDGLYFETVRRIFKKVLEKNDAGDYFPLYATCLGFELISMIISEDNNILEEFSARNQASSLQFVKNAYIEGSVFQRFPPDLLKKLSTDCLIMQNHRYGISPAKLLGNKKLASFFEILTTCNDEDNKVYVSTVRSRNYPVTGFQWHPEKNAFEWGTPSIPHTEDAIRTTQYVANFLVSEARKSSKRPVAQELRDNLIYNYRPTYCGKAGKGFDEVYIFE >RHN39745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10196342:10201437:1 gene:gene45806 transcript:rna45806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rhodanese-like domain-containing protein MEALNSTILTPLSVLSDRTKHKHPTKFSCKASNFSTSINKKQNLREFLSKNFQKGLFLAASVVNVNNGEFANALTYEEALGQSPSASGGGDIDVNGFVDSVIGFATENPVILAGGVAILAVPLVLSQILKKPKAWGVESAKNAYAKLGADGNAQLLDIRGLAEIRQVGGPNVGGLKKKAVAVTYKGDDKPVFLKKLSLKFKEPENTTLFILDKFDGNSELVAELVTLNGFKSAYAIKDGAEGPQGWQNSGLPWVEPKKALSLDFGSLTDTINDAIGESDGLAVTLGIAAATGLGVLAFSEVETILQLVGSAAIVQFASKKLLFAEDRKKTLKQVNEFLNTKVAPKELVDEIKDIGKALLPTSTNDKALPAPTENIPELATAGGTVLKAEATPEIVPETKVEAAAEATPEIVPETKVEAAAEATPEIVSETKVEASAEPAPEINSVPKTETEAESIPVQPKPLSPYPYYPDFKPPSSPSPSKP >RHN67035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23120742:23124041:1 gene:gene15167 transcript:rna15167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MKHPYIEVVPFDDGEHDEEMKEKARCKREGKDLQEREGNQKIEDSAKNVEKDTTFLAVAKSGVVEIMEELNSKVKASDKKGLLLVAMKELEAKKSDTNDTAYLRAAKHGITEIMIALESKLKSVIHETNSNNENALLIAVKYRQPRVVEGLRNRLSMETFQSLILEMDNNENTILHLAAYPCIDNEDTAWKISGKGIEMMWNVKWYEYIDGLVPDDFHYIRNKEGKTPGEIFKEENKQLLQSSIEWLKNTTESSSIVAALVAGVSFATSCTVPGGNDQSGKPNLKGQPAFDLFSTCSLTGLYFSVTSLMVFLSILTCRKQAKDFGNILPFKFFMVLNFLFIAIFAMLFSFFAGQYLLLTDKYDKSSSLLYFSLAGSLPVMYYAFLQFPLYIDLAVVISRKVPLNPPMH >RHN58744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4439448:4440272:-1 gene:gene20684 transcript:rna20684 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSKRHRKLNLNAPIMSTRRLGSVVADTSLSSSSLGTFQKTSERVPFSWEKEPGKPKDTERNGSKLPPCHRLFPRKEAADQSDVENGCDEEDYIDNNDDLYSDAMDDVFSLSEALDIVQRKSEKAHSDNNNSLKLKLAECSGYQSPTYMINRFLPDATALAASSSALNFHSDFEENVCNTCSYPECYLSESGRHSHSYAYASCSSSSPKGCGLETFFPWRTMKHKFCAIESPVLPCSTTTTNLQKHQRNSRESGQKKQRSSPYIPCTNVKKDV >RHN58472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1978959:1979652:1 gene:gene20389 transcript:rna20389 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIYYTNNGSDETSDKFTLLKPGIVAESAMNFSDNSCLRFLSAII >RHN77014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3173643:3174326:-1 gene:gene421 transcript:rna421 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIRCNLFYTILNCDDTVILLFQHILYLPELSSSDKVLCALVPVLFLIELQIGYMLDDFLSLFELKRIFNMLRTFL >RHN44082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1494929:1495960:-1 gene:gene38140 transcript:rna38140 gene_biotype:protein_coding transcript_biotype:protein_coding MCARVRTRVCVYKATPFIWPHTFTMMFTCKLMFITSITVTGPTHQSLLILSLF >RHN68098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32834581:32840502:-1 gene:gene16395 transcript:rna16395 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKFTFPSKNRHRTSTSASVTSPPPPPPPPPLESSLRNPFEPDINPPPRNYLEEIVNKRRRIEENQDESLLHGFELQQHVATILQSNDLSSLQEVIDEYANSKDESLFTIFKSFAHHYPNAFALKLAKLLEFQPPIQTRIETVNHLLQVLPEGINGPFNSIILLELKNPLLHSLKAESEEILFLSLCEAIGLLADRFYRCSLGGWVELLEYVLACFSSEVQSENKKGLLLLTVIPVDVANERAFWLNQGNFDLVFGSILEWTYRDKELKGLAYNASISLMLLSQELKRTDVCDFLLPNLLSIIDEHGEEEVLVDRVKRLGDLVTLDDDGKIFAGVHREVFWCMIRAVEIEAASEEVMCEAIVVIKEFDTVDVETMESVIGNLSLEEVKRVFAVAMKMLSCVIDDPLWYDVDDKNCTEAGFSDAFHRGQFLFNFLSLDGDEYVFVPTAIGMITTKYASNVDWRLRHAAMLAIASIAEKNLNEMDMMLYFNEVAILVLKSLDEPNHRVLWATMHAVKCLSECKELLMRSQYHKKFLEKLVPVIRCNSCARVQVEAIDTLKSFAVSMQEIFRQNHYDTTMEALKAILSNKYSLPKLLLCAKCQECMVYLVRKVGPDDFKEQEAVQVVESLISLDGKLSNTEYLTKCIILKALDQICQCPKVSVDKFIDKIMPMLIACAQPLLDLTGEETNDDSLSNEDKRLVETMRARACNTLSHCAVRSSINFSPHIAKVTPMFIRLLGCSSSEIRKASILGLPKLLLSAILGDKSNDTKRDTTFIIVQALTQVLKTETDRDLSTLVLRLLGRCIETSSTFFTDQLIKIVTDEINDTIRRIIKFEIEKAQESGRRIIIEKAQEVGTSEDVFRSLSVQETIEEVVNLTATAIHTFEDQLMVPVNDLMSNVSVFLVWSFHLNVF >RHN65372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1571701:1571973:1 gene:gene13202 transcript:rna13202 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVQLGFNHLLPKRKGCLCREEGNLQKDFEMALEKAYVTSLGEVPSSILHPGRYFIFFLQ >RHN53824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4441851:4443333:1 gene:gene28775 transcript:rna28775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zf-FLZ domain-containing protein MATSSKRHCFLNDEEDDGLASITTTDIEPGFSGHNHFSHQHGFVSRTLGYGYATVYNRGLRNNANSPRSGGRFYDARFEDHQPHFLQSCFLCKKALGNKDIFMYRGDTPFCSEECRQEQIEIDEAKEKNMNIASSMALRKKEQRKSSSPNKAQDYSFRTGTVAAA >RHN77890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10254665:10255718:1 gene:gene1393 transcript:rna1393 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDHSTLRVTSKTNSSLKNVYNKLLMLSKKHQSTVFVSSTQIQKMDNKPMFLLLLFTLSIATPSASSISCPMDLSYVETFPWDTSSCRDPIDTQHCCQTLLSLFGIGLAKHLKETSLFQLPNKNTLKSCLQDFKLKLSCLKIQPSLVPSCFHNSTQFINNSSCAGITNIKDWKQKVGRISPLDTSCKGDLKSDTSCSMCTDAGFKVTSQLTSIDPKNATKCFFFSVLYAIGIVNHFGPTDPAAASCILGIPLRR >RHN43949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12171:12708:1 gene:gene37986 transcript:rna37986 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTLKFLRVHISFLTILLMIIICAFYFIPDSGPCVTNKDCEQEIGYIVKCDTNTGFCVKILQRS >RHN60576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28411494:28411927:-1 gene:gene22907 transcript:rna22907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation HMG family MTEVEGNGVGPAGPDTGAKSKSVGSESAPVKRGRGRPRKYEVGGKPLSPVTPTPGLAIQPCGSEEKRGRGRPRGSGKLQILASIGSFLHLKLVEMIVCCIVNLV >RHN52555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36590229:36590762:-1 gene:gene37245 transcript:rna37245 gene_biotype:protein_coding transcript_biotype:protein_coding MSITAVSFSSMIICISINFYRFFFMFRKVGAAFTRIITRRNFCKSGQTKELYEYDFDTTFSYIRRST >RHN63031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47754408:47756963:-1 gene:gene25680 transcript:rna25680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MTQFTHSLPYLHQTTTTFSNPSSSMNKNNQVPPDKPTKPWFPTSKALTTFGDTNCMEQLLVHCANAIETNDVTLAQQILWVLNNIAPQDGDSNQRLAYSFLRALTNRAVKTGTCKMLVEQVYSNAHNNLTIDTHRFSVIELANFVDLTPWHRFGYAAANAAILEATEGFSVIHIVDLSSTHCMQIPTLIDAIANRHEVAPLIKLTVSDHANCNSDQLIPPKLDLSYEELGSKLVNFARSRNVTLEFRVVSSSYTNGFASLIEHLRVQRLVYSGEALVINCHMMLHYIPDETLSNSNSYVYDSMSSTTTATTITTTTTSLRSLFLNALRGLDPTLVILVDEDVDLTSNNLVSRLRSAFNYLWIPYDTVDTFLPRGSKQRQWYEADICWKIENVIAHEGVQRVERVEPISKWEQRMRNANFQGIGFGEECVGEVKAMLDEHAAGWGLKKEDEHIVLTWKGHNVVFASAWLPA >RHN51488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18751656:18763258:-1 gene:gene35929 transcript:rna35929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutaminyl-peptide cyclotransferase transcription factor WD40-like family MAKTRSLKKRPRESRLHPNPSMATPSPPTRRRQPQHLATVSAILAVLLVISVFCLLLVSSNTWRAFQQSVSIDTITVVNVFPHDPQAFTQGLLYAGNDSLFESTGIYGKMSTVRKVALHTGKVEKLQKMDNLLFGEGLTLLGNRLIQVTWLQTKGFTYDPKNLSELGTFNHDMKDGWGLATDGKVLFGSDGSSTLYKIDPQTFKAISKQVIYYKGHQVHNLNELEYINDEVWANVFTTDCIARISPNDGLVVGWILLPNLRKELIEAGNNDIDVLNGIAWDAEQERIFVTGKLWPKLFEIKITPIKSRIEEGMVEKLCLRKPFNFS >RHN68911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39573766:39580349:-1 gene:gene17332 transcript:rna17332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MSRLLQGDVGCGKTIVAFLACMEVIGSGYQAAFMVPSELVTIQHYEHLLALLENSDEVKFKPTVALLTGSIPIKQSRLIRKGIQTGEISIVIGTHSLFADSVEFSALRIAVVDEQHRFGVIQRGRFNSKLFYKSSISSLEDAITDKSSKTDDYMAPHVLVMSATPIPRTLALALYGDMSLTQVLIFPVHHSNAKLPPPSICVHFA >RHN64130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56825052:56829160:1 gene:gene26916 transcript:rna26916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysozyme protein MSGPECCSNPPILNPNAGSGHVEKLGALNAYIAGSPNSKSSVLLVSDVFGYEAPNLRKLADKVAAAGYFVVVPDFLNGEPYNPENPDRPLPIWIKDHGTDKGFEDAKPIIEAIKSKGVSSVGAAGFCWGAKVVTELAKSRLIQAAVLLHPSFVSVDDIKAVDIPYSILGAEIDRLSPPELVKQFEEILSAKSAPSFVKIFPKVSHGWTVRYSPEDAEAVKAAEEAHQDLLDWFAKHLK >RHN56016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26836585:26837040:-1 gene:gene31331 transcript:rna31331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MFLFLFFLLQILPCIISAVNLFVDALTDEVFAKLLLTPLTAQEPPPPPPVVPGQEDDDGNNLVSYFKTLTTTETKSVFNISHECADLIFPKLDLEKSQIIIVTDLKSQEWGCTYVKNSRLRTGWSHFRKEKKLVAKDSVVFMKNSAGKILV >RHN48366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47013765:47017076:-1 gene:gene43076 transcript:rna43076 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCSSVNDMHENCISNLESTFSESLHIQDTEKSEHLSEGDAMCNVGKENLSGVNKQKKSKPNKVCLNKSATFPTPHVMLPSSSSDEDADSSVTESLNEHSAHQAYSRSISLPAPSELKSALKGSRDKNGEPHGKLTVKWAADVYDPVPTLVSHTVKNKKQPKSRKKKNEKKNAKKGNKGNSTRGGNGKDKKQSRNVCGQSDLCSKSWDSQVIEGSSEFDSLDVCSQDSNCGSSFLKKSVTEVHYSVAEAQ >RHN75423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42193023:42199704:1 gene:gene11630 transcript:rna11630 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase AGC-RSK-2 family MDPWLDDLTDDLQSLSFASTTTTTVTTADIKRSTSFSSSSTSAHLSGRSLPFPPPPPTTTKHHAPSSDPRWSAIHRIQSESPSRRILPSDLRFSHRLGSGDISSVYLAELNDDGKIPAMFAAKVMDKKELVSRSKEGRAKTEREILESLDHPFLPTLYATIDAAKWLCLLTEFCPGGDLHVLRQRQQNKRFNESAVRFYASEVLMALEYLHMLGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCDDSSTPAQIIISNQNTPQVALPKNPHTEHSQFASSSCIIPNCIVPAVSCFQPKRKRKKKQNQFNGPEFVAEPIDVRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGIFIFELFYGVTPFRGLDNELTLANIVARALEFPKEPTVPATAKDLISQLLVKDPSRRLGSIMGASAIKHHSFFQGVNWALLRCTTPPYVPPPYTKDKEDVSDDSCPQTPVDYY >RHN53425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1586369:1591296:-1 gene:gene28329 transcript:rna28329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease P MAAESRKRAMEALERRIQTEHKLKEKKNKRDINHEHILKEKKIIKSINEDVKSPIPPPSTSNDPSLPLCRPSLDTPNKGNFGLFGRAISQEKEDGPEYAQLSVAVNENLLTTNGEFSSERGGSVSGILHELLQKGDAAQKYMQGSRSMRIDSYILLDNFVQGRALSSSSQTRALQLHSKRSKKHMSMKQHKKHGSMDLPKEFHKFDIFKPMHDMWKDYIKLLLKSTGNNQLAQCLLGADLHGAIILVVECKLTHFIGTGGIMIRETAEAFGIITEDNKFRVVPKKGSVFVLQVDCWKATLIGDKLDSRKVGL >RHN61394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35391172:35391447:-1 gene:gene23858 transcript:rna23858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRIAKLIRKPSFSSTQASSKGFEVPKGYLAVYVGDKMRRFVIPVSYLNQPSFQELLNQSEEEFGYDHPMGGLTIPCSEDEFQNLTSRMN >RHN66114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8631715:8633026:1 gene:gene14031 transcript:rna14031 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLMMMRSKLTPMWMDGHKKDVEESEDESEQESPKPMVLKC >RHN50168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4680819:4683305:-1 gene:gene34426 transcript:rna34426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTGRKRGGTSTRTLTPLPPTNSPPLLPFDLVAEILCCLPVKHLLQLRCVCKSWNSLISHDSKFAKNHLRLSTSNHDRHDLILVSASLFYLSGCPISSIFSSAASFTSFKWLNNHRLILNLKGDYIGRVTTCDGMVCVRIDESLAFLCNPSIRKFKILPPLINPSQKYLQTSFTLVYDRFTSNYKIIALSVRDYQKNREINVHTLGTDYWKGIHDFPNHHLIQGPGIFLSDSLHWLPYDGRSGSSGKVIVSLHLQKESYQEFSHPLYDIQSETYNTLGVLRDCLCIFSNSDKFFDVWIMKEYGNGQSWTKLLSVPQMGDAYIYILTKPLYISEHDQVLMYFMKRRKFSLAVYDSINDTYKIPEIQGNIQVPMEGRFYFPYVYIESLISPFFQD >RHN77344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6166750:6170730:1 gene:gene790 transcript:rna790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heme oxygenase (biliverdin-producing) MLLTAKPTQLQQPWLLFPPSSTLPNRTRFNTRRRIIILNNNNNNETNNNNTSSSSSSYPPLVRRRNRYRKLYPGETIGITEEMRFVAMKLHNDKTNTVNNTTSVVVEDAEGQIPDTWYPSMKGFLRFLVDNQLVFSTLERIVDDSDNVSYAYLRKTGLERSEGILKDLEWLKEGVEIPNPSSPGTTYAKYLEELAERSAPLFLSHFYNIHFSHITAGQVITKKVSEKLLEGKELEFCKWEGDVQEMLKDVREKLNVLAEHWGRDEKNKCLRETKKSFQFMGQIVRLIIL >RHN71045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56066180:56072157:1 gene:gene19688 transcript:rna19688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MNATSVSKLLLVVVTTLFVLFLGCHSKVTYDRKSLLINGQRRILISGSIHYPRSTPEMWEDLIRKAKHGGLDVIDTYVFWDVHEPSPSNYNFEGRYDLVRFIKTVQKAGLYANLRIGPYVCAEWNFGGIPVWLKYVPGISFRTDNGPFKAAMQGFTQKIVQMMKSEKLFQSQGGPIILSQIENEYGPESRATGAAGHAYLNWAANMAVGLGTGVPWVMCKENDAPDPVINSCNGFYCDDFSPNKPYKPSMWTESWSGWFTDFGGPIHQRPVQDLAFAVARFIQKGGSYVNYYMYHGGTNFGRSAGGPFISTSYDYDAPIDEYGLVRHPKYSHLKELHKAIKQCEHALVSSDPTVTPLGTLQQAHVFSAGTTGCAAFLANYHLKSAATVVFNSRHYDLPPWSISILPDCRTSVFNTANVGTQTSVVKMRPVDSRFSWETYDEDLSSLDESSRITAAGLLEHLNVTRDTSDYLWYITSVDISSSESFLRGGHKPNINVQSAGHAVHVFVNGQFLGSAFGTRKQRSCTFNGLVNLHAGANKIALLSVTVGLPNVGRHYETWETGITGPVVLHGLDHGPKDLSHNKWSYQVGLKGEAVNLVSPNGVSDNDWVQDSPATPSRSELKWYKAYFNTPEGNEPLALDLGSMGKGQVWINGQSIGRFWMAYAKGDCNSCNYSGTFRPAKCQLGCGQPTQRWYHVPRSWLKPTKNLIVVFEELGGNPWKISLVKRTVHTAAAR >RHN67668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29060824:29061537:1 gene:gene15877 transcript:rna15877 gene_biotype:protein_coding transcript_biotype:protein_coding MWQTPTRIHTTVPTLQMSSNRLKAALNARDNTDLNMKFLENRHMPKLIIEESNRLAGVNPTNHEDIFGSSIQSSKDMLVHQNVNQELCGYPSDLTNTNVIGSPEFRGSPFVNSRYDAFSNRSQSFFECSSVASFNSKLPSATSVALEPSTAFSDWHSPDRKVDWSIPGDKLNEMTTSYSFGFLNDTSNASTIAAASNVDDHYALLSQESWVSSLVKDDDPTLESDQLQCHNPDVVPS >RHN67943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31683018:31685067:-1 gene:gene16207 transcript:rna16207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome ATPase MNLSDEVDLEDYVSRPDKISAAEISAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFEFYK >RHN42402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38059905:38060243:1 gene:gene48839 transcript:rna48839 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIDILEPNTVVVKSILLTSIRIRGLNLILENAFRFSFKVHSSSAPDA >RHN49891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2051319:2054094:1 gene:gene34119 transcript:rna34119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MLQKMVSKSIFLLGIFLVLATKVYSYDEDLKIVVNYVNPTAPPSPIVTPPSPVKAPPTPPLVKSPPIVKAPSPPLVKTPPYQSPPAPSPPLVKPTPPIVKSPPSPPLVKTPPYQSPPIVKAPPTPPPIVKTPPYQSPPIVKPPVAPSPPPTPIVKSWKDCIPLCGYRCQKHSRQNTCIRACMTCCDRCKCVPPGTYGNREKCGKCYTDMVTHGNRPKCP >RHN80586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39217800:39222149:-1 gene:gene4526 transcript:rna4526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MMQMERNVSTLFVVLIFTLIFSLSEGLNAEGKYLMSIKVTLVDKYNHLVNWNSIDSTPCGWKGVICNSDINPMVESLDLHAMNLSGSLSSSIGGLVHLLHLNLSQNTFSGSIPKEIGNCSSLQVLGLNINEFEGQIPVEIGRLSNLTELHLSNNQLSGPLPDAIGNLSSLSIVTLYTNHLSGPFPPSIGNLKRLIRFRAGQNMISGSLPQEIGGCESLEYLGLTQNQISGEIPKELGLLKNLQCLVLRENNLHGGIPKELGNCTNLEILALYQNKLVGSIPKELGNLDVLKKLYLYRNELTGNIPREIGNLSVAIEIDFSENLLTGEIPIELVNIKGLRLLHLFQNKLTGVIPNEFTTLKNLTELDLSINYLNGTIPNGFQDLTNLTSLQLFNNSLSGRIPYALGANSPLWVLDLSFNFLVGRIPVHLCQLSKLMILNLGSNKLAGNIPYGITSCKSLIYLRLFSNNLKGKFPSNLCKLVNLSNVDLDQNDFTGPIPPQIGNFKNLKRLHISNNHFSSELPKEIGNLSQLVYFNVSSNYLFGRVPMELFKCRKLQRLDLSNNAFAGTLSGEIGTLSQLELLRLSHNNFSGNIPLEVGKLFRLTELQMSENSFRGYIPQELGSLSSLQIALNLSYNQLSGQIPSKLGNLIMLESLQLNNNHLSGEIPDSFNRLSSLLSFNFSYNYLIGPLPSLPLLQNSTFSCFSGNKGLCGGNLVPCPKSPSHSPPNKLGKILAIVAAIVSVVSLILILVVIYLMRNLIVPQQVIDKPNSPNISNMYFFPKEELSFQDMVEATENFHSKYEIGKGGSGTVYRADILTDHTNMNSIAIKKLTSNSHNNSIDLNSCFRAEISTLGKIRHRNIVKLYGFCNHSGSSMLFYEYMEKGSLGELLHGESSSSLDWYSRFRIALGTAQGLSYLHHDCKPRIIHRDIKSNNILIDHEFEAHVGDFGLAKLVDISRSKSMSAVVGSYGYIAPEYAYTMKITEKCDVYSYGVVLLELLTGKKPVQSLDQGGGDLVTWVTNNINKYSLKLDNILDAKLDLLHEIDVAQVFDVLKIALMCTDNSPSRRPTMRKVVSMLTSSSQRKEQSLLSPCQESSNIEE >RHN80339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37290722:37293657:-1 gene:gene4253 transcript:rna4253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase (ATP-hydrolyzing) MDRLEVNIIPEVNEIYVYMKGVPLLGDETFDNVIKKFHPTNLYSSYCYVEIADGQRFWSQEFHNATPVATKCFNLCDNGKWTNVMFKPDLDKFDMKNLEKDVIALMKKRVLDMAVCLGETVTVVLNGTVIPVKSFKDYANFFLDRAKPFPLPRTHAKLGDRLEICLSLSDGKFQQVSFVNSIATIKGGTHVDYITKQITTYIKKEVLKKKHVNVNADTVKNQLWVFVNALIDNPAFNSQTKEMLTTKPARLGLKFFPGSMLNDVQKSQILDNLLPRIPFKHRTHVDAKLAGRPDSGKCTLILTQGQYVKDLAMSGLSAVVDPDLYGVFPLSSKLLNATRDSRKLFKKKEIQNLMAILGLVRNKKYSNAKCLRYGQLMVMANQDEDGAHFKGLLINFFYSFWPSLLKVSKFMSVFTFPIIKASDSKKGKELSFDSMQQYEDWKKELGNTANDWEIKYCQVCCWKTSIFLYL >RHN53001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41009495:41012053:1 gene:gene37744 transcript:rna37744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAGGAFADGSTLKRAHLYEHKITGYLIFSCIIGALGGALFGYDLGVSGGVTSMDDFLVEFFPHVYARKHEKLQETDYCKYDDQILTLFTSSLYFAALVSTFGASSLTKNKGRRASILVGSVSFFCGAIINAAAKNIAMLIIGRILLGIGIGFGNQAVPLYLSEMAPAKIRGAVNQLFQLTTCLGILIANLVNYGTEKIHPWGWRLSLGLATVPAIFMFIGGIFCPETPNSLVEQGRMDEGRVVLEKIRGTRNVDAEFDDLIEASREAKSIKNPFQNLLLRKNRPQFIIGAICIPAFQQLTGNNSILFYAPVIFQTIGFGSGASLYSSVITSVALVLATLISMALVDKFGRRAFFLEAGTEMIICMVATAIVLATCFGDGKQLSFGVAIFLVLVIFLFVLAYGRSWGPLGWLVPSELFPLEIRSSAQSVVVCVNMIFTAIVAQFFLVSLCHLKYGIFLLFGGLIVLMSCFVYFLLPETKQVPIEEIYLLFENHWFWKNIVKDENKGSETQA >RHN65172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64671959:64699936:-1 gene:gene28080 transcript:rna28080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MAPKPKRNWKEENRRKGPQLQISAENENRLRRLLLNSGRPTIPAATEFDDTLTKDQKAKKLKSVYEKLSCEGFSDHQIELALSALKECATFESSLDWLCLNLPGNELPLKFSSGTSSHPSQGGSVGVIFNQQQQHHNNSRTYPSITDTVTTKDQYPLLIHKRHDDDDDDDALHSSQADWIRQYVEQQEEEEEDENYTWEDGIFDGSGAANKLCEPRPYDVIAKEYLAARLEATKAKEKKDKKHQEQASRIIRKLKQELSALGLSDDNLALEYEQISTNHASEGASMGHEPLIEKDPIDEESNSAIVFPSNEGAINGSDAEHHSVEENLAKSCLPAVHVEKDSAEGVAGDIELGGFFLEDVPSNEIHPDILKAQKIEKVKRLSEKNLDKLDGIWKKGDPQKVPKAILHQLCQKSGWEAPKFNKILGRGKSFSYTVSILRKASGRGKNRKAGGLVTLKLPDQNEIFESAEDAQNKVAAYALFQLFPDVPIHFPITEPYASVVMKWMEGESLTKLEDSVEDHKSRFVESLLSGDGSGKTASADVTDYKFPQNNGRLDENKSSPIDGHQSFAQRGTYSKELESTNLRQGQYIKMGSQRYQDMLNFRATLPIATLKGDILQMLKENDVLVVCGETGSGKTTQVPQFILDEMIESGHGGHCNIICTQPRRIAAISVAERVADERCEPSPGSDGSLIGYQVRLDSARNEKTRLLFCTTGILLRKLMGNRSLTGITHIIVDEVHERSLLGDFILIVLKNLIEKQSSESSSKVKVILMSATVDSGSFSSYFGHCPVVTAEGRTHPVTTYFLEDVYDQIKYRLASDSPASLTNETFPKGQNLQRAPVTNSRGKKNLVLSAWGDESVLSEEHVNPYFVPSYYQSYSEQAQKNLKRVNEDVIDYDLIEDLICYIDESCGEGAILVFLPGVFEINHLLIGLFLCIRQLHQRNRKRCFTPSRKYTKGNPVVIATNIAETSITIDDVIYVIDCGKHKENRYNPQKKLSSMVEDWISQANARQRQGRAGRVKPGICFRLYTRYRFEKLMRPYQVPEMLRMPLVELCLQIKLLSLGYIKPFLSTALEPPKIEAIDSAMSLLYELTPLGHHLAKLPVDVLIGKMMLYGAIFGCLSPILSVSAFLSYKSPFVYPKDERQNVERAKLTLLNDKQDGPGEGNDIDRQSDHLLMIIAYKNGRLF >RHN54460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9786629:9790711:-1 gene:gene29509 transcript:rna29509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CMGC-SRPK family MSCSSSSGSEEDDEGFESYRKGGYHAVRVADQFAGGRYIAQRKLGWGQFSTVWLAYDTTTSSYVALKIQKSAAEFVQAALHEINVLSSIADGDPSNSKFVVQLIDNFKHTGPNGQHHCMVLEFLGDSLLRLIKYSHYKGLPLNKVREICKYILIGLDYLHSELGIIHTDLKPENILLFSTIDPSKDPFRSGLSPTLERTEGNTNGGLTSLIEKRLKRRAKRAVAKISGRTASIEGRGEAAKSSRNIEGIDMRCKIVDFGNACWADNKFAEEIQTRQYRAPEVILKSGYSFSVDMWSFACIAFELATGDMLFTPKGGGQGYSEDEDHLALMMELLGKMPRKIAIGGAQSKDYFDRHGDLKRIRRLKFCPLDKLLIDRYKFSANDAQEFSEFLLPLFDFAPEKRPTARQYLQHPWLNCNESAPNEMRSESTVEKVNVGMSNLQIKVGK >RHN63870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54648201:54652896:-1 gene:gene26625 transcript:rna26625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MILCCYRRLLNKYNSTYTFLRHYTFSHSQLQQLDSEFDRYKTSSSLYDANHLHLQLYKTGFTDDVFFCNTLINIYVRIGNLVSARKLFDEMPQKNLVSWSCLISGYTQNRMPDEACSLFKGVISSGLLPNHFAVGSALRACQQCGSTGIKLGMQIHAFICKLPCVSDMILSNVLMSMYSDCSGSIDDAHRVFDEIKFRNSVTWNSIISVYCRRGDAVSAFKLFSVMQMEGVELNLRPNEYTLCSLVTAACSLADCGLVLLEQMLTRIEKSGFLRDLYVGSALVNGFARYGLMDCAKMIFKQMYDRNAVTMNGLMVGLARQHQGEEAAKVFKEMKDLVEINSESLVVLLSTFTEFSNLKEGKRKGQEVHAYLFRSGLVDARISIGNALVNMYGKCTAIDNACSVFQLMPSKDTVSWNSMISGLDHNERFEEAVSCFHTMKRNGMVPSNFSVISTLSSCSSLGWLTLGRQIHGEGFKWGLDLDVSVSNALLTLYAETDSINECQKVFFQMPEYDQVSWNSFIGALAKYEASVLQALKYFLEMMQAGWRPNRVTFINILAAVSSFSVLGLGHQIHALILKYSVADDNAIENALLAFYGKCEQMEDCEIIFSRMSERRDEVSWNSMISGYLHSGILHKAMDLVWPMMQRGQKLDGFTFATVLSACASVATLERGMEVHACAVRACLESDVVVGSALVDMYAKCGKIDYASRFFELMPVRNIYSWNSMISGYARHGHGQKALKIFTRMKQHGQSPDHVTFVGVLSACSHVGLVDEGYKHFKSMGEVYGLSPRIEHFSCMVDLLGRAGDVKKIEDFIKTMPMDPNILIWRTVLGACCRANGRNTELGQRAAKMLIELEPQNAVNYVLLSNMHAAGGNWEDVVEARLAMRKAAVKKDAGCSWVNMKDGVHLFVAGDQTHPEKEKIYEKLKELMNKIRDAGYVPETKYALYDLELENKEELLSYHSEKLAIAFVLTRKSELPIRIMKNLRVCGDCHTAFKYISKIVGRQIILRDSNRFHHFGGGMCSCGDYW >RHN41598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31394993:31395848:1 gene:gene47936 transcript:rna47936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanylate-binding protein MEADIQCSNAIHSMEKRLRAACNASDARVDNVAKVLDALLTEYEKSIQGPGKWKKLAVFLQQSFEGPVLDLFKRVIDKVESEKSSLALQRRLNEDKMTLLTKRLEASEGEKSEYIKRYEDAINDKKKLTDEYMNRITELQANRRSLDERYSSIFFETTNSPSFSSSLLPRWY >RHN79609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30581515:30582317:1 gene:gene3423 transcript:rna3423 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLESEAVKDVCLESYLSGENLSGARDVRPERLGSTGSGSGGGSVSEGAVGTCLMRCLTYVNPGL >RHN49134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52681854:52685192:1 gene:gene43931 transcript:rna43931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinacetylesterase/NOTUM MAKLFWLFIAIGLVIINLVYGQQHHFFNETEELFLLEAHEHAASFLEEGNGNPLLVGLTLVHNAAAKGAVCLDGTLPGYHLHRGYGSGADSWLVNLEGGGWCNNVRSCVYRKKTRRGSSLYMEKEIPFTGILSNKPEENPDFFNWNRAKLRYCDGGSFAGDGEDQDAELQFRGQRIWAAAMEDLISKGMHFANQALLSGCSAGGLATIIHCDEFRGLFPRTTKVKCLSDAGLFLDSIDISGERTLRNMYNGVVGMQEAQKNLPQICTNHLDPTSCFFPQNLIASVRTPLFLLNTAYDSWQIQSSLAPPSADPHGYWHECRLNHAKCTRPQIKFLQGFRTHMLNSIKDFSRSNKNGLFINSCFAHCQTERQDTWFSDNSPVIRNKVIALAVGDWYFDREGVKVIDCPYPCDNTCHHLVFS >RHN66148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9067099:9071741:1 gene:gene14075 transcript:rna14075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endonuclease/exonuclease/phosphatase MSLVAWNCRGVGSPSTILDLKYLVRHFNPDLLFLSETLAHRNKIEELRFLLGYDACFHVDRTGRAGGLALFWKNSLHCQLVNFSNNHITVEIVNTSLGTWRLTGYYGYPNGGRRTAAWNFLRQLSAEFSGPWCIFSDFNDILDASEKRGHTTRSPWLINGFRRAVLDSGLSDVPFEGYPYTWFKSLGTTRAVEERLDRALANDLWFTMFPNASVETLVAPASDHYPMLVILAPLPRFHMHKRHFRYENAWQLEPGFKELVTNSWQAHSSSTLMPKLSSCAEDMCEWKRNHCNKLKRDIEDCRKKLHDTRLHSSGEDQTRMVELRKRMQRLLSQDDAYWRQRAKTH >RHN78609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16692702:16695216:1 gene:gene2234 transcript:rna2234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MQTGFSVSRSLLGYFEGVITLFYNPRGILAVETISNLGIMYYVFLSGLEMNSDTILRSRKKGTSMAIAGIVTSMLFGVGFLTLQQKLLDKKEKTHIKAYLFWCLTLSVTGFPVLARILAKLKLLYTKLGKDTLTAAMLTDAYGWVLFTLLIPAANNWGEGYYLSVITTFLFIAFCFTVVRPILTPIIENRTNKNMWRKSHMLDVFIGLFICSYITDFLGTHPIVGAFVFGLILPHGKFADMVMEMSDDFVTGILCPVYFSGFGFKLDLPVLWNTPNSVLMMLIMVLLCIPKVLSSLIVTFFFGMPARDGLSIGLLLNTKGVMAVILQNVAWDKRFLDPCSFMVMTLAIILMTVIVSPLINAIYKPKFRFMQSQLRTVQKLRFDMELRVVACVHNAKHATNIIHVLEATNATRISPVHVSAVHLLELTRHGTAILVSQMADLNNIAGAETTNYGPKAEFEIITTAFKEFVEQYNAVRFDTSSVVSSYTTIHEDIYNVAEEKRASLILLPFHKEYSTVGGSPEISHNEHCEINENVLQHAPCSVGIFVDRGLGSLLKTKMRIITLFIGGPDDREALSIAWRMAGHSGTQLHVVRIHLLGKAAEEKVLKKKISKSPHGMLSTVMDGVMQKELDEEYIFSFRHKAVNNNDSIVYLEKEVHSDTGEEIPTLLNEIDKPGYDLYIVGQGSGKNKTIFSKLLEWCDHPELGVIGDILASTSFGTHSSVLIVQQYMVGRKRVVYKCHNEMKNSPEVL >RHN55567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19545636:19550120:1 gene:gene30768 transcript:rna30768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ureide permease MYMVESRGGAIVCMLVSLFFLGTWPAVMTLLERRGRLPQHTYLDYTITNLLAAVIIAFTFGQIGTDHPNFLSQLSQDNLPSVLFAMAGGVVLSIGNLSTQYAWAFVGLSVVEVITSSITVVIGTTLNYFLDDKINKAEILFPGVGCFLVAVCLGSAVHSSNTADNQAKLSSHHKDVVQGTNLSTLNEESKDDSKDLENGTANKAKAGTAVFLIELEKKRSIKVFGKSTFIGLAITFFAGVCFSLFSPAFNLATNDQWHTLKKGVPHLSVYTAFFYFSVSCFVVAIILNITFLYHPVLNLPKSSLKAYLGDWNGRGWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVILFGEYRKSSRRTYTLLGSMLLMFIAAVAVLMASSGHRK >RHN75740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44698159:44698551:1 gene:gene11982 transcript:rna11982 gene_biotype:protein_coding transcript_biotype:protein_coding MQELALPLPDQVDEAINYIKSLETNLKGAKEKKESLMGNKKRSRGGYGAKGSIIKLPKIEIHEMGSTLQVIVTCGVDEHFIFCEIMRILHEENVEVISSNSSLTGDSLLHTVHAQVYIHIHTCKPLHMQM >RHN82116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51367845:51374085:1 gene:gene6242 transcript:rna6242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MISRRCLLFLLLLFFILKSEASDQLIFRYHECGNFTGDSAYQINRDTVLELIYSDKKIDYGFYNFSYGEGPDKINAIGFCRGDINQEECRGCLKKSATLLTDRCGEQKEAIGYYDLCILRYSNDSIFGIMETKTSKYFDMEGKTVGNDAFNQTLIDLLDELKSVAIDGDSRKKFAEKSVKVMNESSSRSDVNDTIYGLVQCTPDLSKQECTECLDSAFGNFSRWCMKGCMYLGPSCSFRYDIVPFFQSIFNNTESPAPQPSKVIAPALSPKPRKGKSRKITTAIAIVVAVVVAGILVMGICIYFERRKSRPEFEGQGKNEDESEAETGDDLKVGDLLQFDFETIRIATSNFSDANKLGEGGFGTVYKGTLPDGQDIAIKRLANNSKQGETEFKNEVLLTGKLQHRNLVKLLGFCLQKRERLLIYEFVSNKSLDYIIFDPIKRADLNWERRYKIIKDIARGLLYLHEDSRLQIVHRDLKTSNILLDDELNPKITDFGIAKLFDANQTHGMTSTVIGTYGYMAPEYIKHGEFSIKSDVFSFGVIILEIVCGRRNTKIRDGDNTEDLLDMAWKNWKAGTSSDIVDPILEQGLNKNETMRCIHVGLLCVQEDIDVRPTMSSVLLMLNSTTFPLPEPSEPPFLMQPKRALSISLSEQYSGPTKSTDSGSGSQFTQGSTSKSSVTDQ >RHN46708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34214776:34220334:1 gene:gene41228 transcript:rna41228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-galactosidase MRSKIGFEMVVVLVTLLHVCLVCVTASSLGNNYDGEHLRRNLLANGLGKTPPMGWNSWNHFACQINEKMIRETADALVSTGLSKLGYTYVNIDDCWAELNRDNKGNLVGKKSTFPSGIKALADYVHSKGLKLGIYSDAGYFTCSKQMPGSLGHEFQDAKTFASWGIDYLKYDNCYNDESKPTVRYPVMTRALMKAGRPIFFSLCEWGDMHPAKWGAKVGNSWRTTGDITDTWESMISRADMNEVYAELARPGGWNDPDMLEVGNGGMTKNEYIVHFSLWAISKAPLLLGCDVRNVTKETLEIVSNKEVIAVNQDSLGVQAKKVRMEGDAEIWAGPLSGYRVAVVLLNKGTQKHIDITANWDDIGIPPKTVVQARDLWEHKTLKTPFVNKLRATVESHACKMFVLKPVA >RHN69393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43106854:43109257:1 gene:gene17858 transcript:rna17858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MGDISPERKAGCGLMTTVFGRRSKKTSSVGSAPIPNNVNDPKRRRGGSKEVVSVDAPSQNVPNTSTTRSVSKTSSCSSNNNPQKTTMPRGPSKVLNSSTTEGYVNQGRRVPKEAVGISGELESMINDHQKSKGSSTLIRASSGNVMLYGNLGNLRQGDKNNSYSNAMDNYNQYFENANSNARGGHTNNVTSVRKETKSSTKLKEEKSGGGSLCRAISTRMDPEQLKIMGNEDYKNGRFAEALSLYDAAIAIDPKTASYRSNRSAALTALGRLLEAVFECREAIQIDPHYHRAHHRLGNLHFRLGETDKALYHYKQAGPEADPDEVAKVKILQAHLSKCTEARRLGDWNTLITETSKILSSGADSAPQIFALQAEALIKLRRHQDADNVMSKCPNFDVDDCTKFFGPIGNSNLLVTRAQVDIAAGRFDDALEAAQKAARLDPNNKVANKVLRKARAVTAARGRGNELFKASKFSEACVAYGEGLEHDPYNSILLCNRAACRSKLSQLEKAVEDCTAALNLRPSYTKARLRRADCNDKMERWEASIGDYEILLRETPEDEELNRALLEARAQLKKQRGG >RHN53616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2878009:2878755:-1 gene:gene28543 transcript:rna28543 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTPLVFHYLVPFIYILVHCHHSSHHMLYTHLPIFTYIRFHLILELRRKSYMMDVFIPEDYVRKRRLEKKLAAKVASIKGSQTHSHWNNSNERIKDNVKTMSFTHHQYSLVDDHLFTCLSA >RHN53174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42527932:42529827:-1 gene:gene37948 transcript:rna37948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide diphosphatase METKKVQAEDEESLPTSTTPLLLNNINTSSSQNKPTLLLNLITCMSLITAITFSLLFFSLSPPSTTTATARHLNKLHHPTVILISSDGFRFGYQHKTSTPNIHRLITNGTEALTGLIPVFPTLTFPNHYSIVTGLYPPHHGIINNFFFDPVTGEKFTMASHESKWWLGQPLWQTVVNSGKIAATYFWPGSEVKKGSWTCDDVKYCKHYNGSVSFEDRVDTVLDYFDLDLDDIPVFMTLYFEDPDHQGHQVGPDDDEVTQAVARIDGIIGRLIHGLEKRGVFEDVSIIMVGDHGMVGTCDQKLIFLDDLASWVEIKSNWVHSYSPLLAIWPPSDSNYSLTDVVAKMNEGLSSGKVENGNKLKVFLKEDLPKRLHYAESDRIPPIIGLVHEGYKVEQSRTGKKECGGAHGYDNEFFSMRTIFIGHGPQFERGKKIPSFENVEIYNLITSILNIKGAPNNGSDSFPQSVLLPKA >RHN70956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55374936:55375794:1 gene:gene19592 transcript:rna19592 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPTSRTVTRSIGARREGSSVLVPPRSGEGFTVGILWFGIALGICCEEARFLCAARRGTSFHVALQTGQTRVAWLRTCAAMQGK >RHN81353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45142167:45144460:-1 gene:gene5375 transcript:rna5375 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSTTQITTTQQQQLVESSSSSSSSFSASESPKNPFIPFFPNFNFNFQLPHFFNLPAKKHQHDDGGDKNKATAIIPKLQEGPNVVTFPKTQLAVVSEQPLQAESQISSTKTSNPLILYQVYAVGAFFISRWIWARWNERKAQGRSSDEDGDGDGRGSQDNE >RHN73402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16013131:16014051:1 gene:gene9207 transcript:rna9207 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQKAKRKRVYSVEPNKVVQAKFTKNYINYLAPALMKIKERRTSIEAKNVESVVKYEVDMAMVFSSQGFAWSNALKTKLQKDGDEGSSRINYQQNEMVPLDFSKKICSKSEANKILVEENIDGDEDEIVDDQLRCLRKLIPGGEEIICDEEMVNELESYVSCLQMQVNILQCLTHQTS >RHN65986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7287616:7288565:-1 gene:gene13896 transcript:rna13896 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVAGGDCSEHGGDWRVSELRYVTSVVAGEKKKHAFQFFTKRSFNTFGSIAT >RHN66994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22591325:22591762:1 gene:gene15123 transcript:rna15123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MASSHKIFVLVALALVFIALNSSTSIVESRKLSNPNSNLMSLEARLKVSGDEPSNCWESLFKLQACSGEIITFFLNGETYLGYGCCKAIRVIGHDCWPNVVASLGFTNEETDLLEGYCDQVEDVHSPPPPPTPLASLVKTKEILP >RHN78393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14718418:14722726:-1 gene:gene1942 transcript:rna1942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MVVSSWHEQRLNTVEFLIKSAQHLQVSPIVKYSAFSFFADRFFSSLPTFIQRGSSSSWLLKPVTESTLQLFVLISLWISTKIHDLKPLSVASLKALADKSIKEQHFTNRNFLEAEVLFMQVLNFEIGTTNIVFSILEELWIQIKGVAKVGELINFEACMEIMDLLYEKEKTTFLYRSPRSLAASILVVSYVMTVPKQRWEFPVLAWVNFAASCKEEDIIKMVTEILNHVLEPS >RHN81553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46962760:46965727:-1 gene:gene5618 transcript:rna5618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNPTGSLCANNWARSSSSLAPTRNGLQTNLSSDPSPFYRVGIETPFKEINQPSRTPSVIPGESNIDIKITDQETSKLQDWDSSVMLNKLSFLEEKVHQLQDLVHLIDTKKCQPFEQPCELVTQEQQLITADLTSIIVQLISTAGSLLPSARNTVTNTSPLLGQLSQLHGISLPFGTGTSCGIQPQNNSANTFFDQSIQNNVPNNCEMGQNNTVEEHEHKDEEDMEEGENLAPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPHKETGSEPKLIKRYSCPYGGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYTCSRCHTKKFSVMADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLEDNKVSAEPPDRCDTRENSAMVGSTNFCFGSNPSSENGVDGIMDVKGNIDDPINYFSSLNFEGCNFGGFNEFPQPPFEDSEGSFSFLMSGSFNYAPKAGGESCSDNL >RHN71905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3494332:3497799:1 gene:gene7555 transcript:rna7555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleophile aminohydrolase MLGIFKEKLVNAPKELNSPASNSHTKAKPSHEILRDFMSCNSSNAFYMTFGNDALLAYSPSNKPSIHQRLFSGLDNIYCAFMGNLHNLSQLNKQYGLSKGGNEAMFIIEAYRTLRDRGPYPADQVLKGLEGSFAFVIYDHKDGTVFAASGSDGHIGLYWGIAADGSVVISENLELVKASCAKSFAPFPTGCLFHSEHGLLNFEHPTKKMKAMPRIDSEGVMCGANFNVDSQSRNQMMPRVGSEANWATWGS >RHN59603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12419162:12421966:1 gene:gene21654 transcript:rna21654 gene_biotype:protein_coding transcript_biotype:protein_coding MPLILTSLNLNTSLYLKSDLISASHPQPHPRFNQVQHHTTTPLHQKSCLLTAASGGASNNF >RHN82797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56475023:56476399:-1 gene:gene6985 transcript:rna6985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MHAGVISGALLYIKDDFESVRESNILQETIVSMAIAGAIVGAAIGGWINDAYGRKKATLIADVIFIIGAIGMAAAPDPYILIIGRLLVGLGVGIASVTAPVYIAEASPSEIRGSLVSTNSLMITSGQLLSYIVNLAFTRVPGTWRWMLGVSAVPALVQFILMLFLPESPRWLFMKNRKNEAVDVISNIYDLARLEDEVDFLTAEAEQDRQKNMNVKFKDVFKSKEIRLAFMVGAGLMVFQQFTGINTVMYYSPTIVQMAGFQSKELALQISLFVAAMNAVGTVLGIYLIDHAGRKILALCSLGGVFTSLVLLSVAFSNQTSAAASNATGWLAVLGLILYIAFFSPGMGPVPWAMNSEIYPKEYRGICGGMSATVCWVSNLIVSQTFLSVAEALGTGPTFLILAVITVLAFLFVLLYVPETKGLTFDEVELIWKERAWGGTNINNNSDSRNLLGTDNDQ >RHN74171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29794228:29794736:-1 gene:gene10177 transcript:rna10177 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSTLFLSFVHSSMMRINIGWIESWSKRKRIGADLVQKYEDSEDKICLPQVRTLARPVLGLARPCHLPMPLLLLLLRF >RHN61839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38756491:38757202:1 gene:gene24340 transcript:rna24340 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CLE12 MENSNKVPISKIGLIMLMIFSTFFMSPHARRLEGGSNIDSQRLLHELMVDRIKQKRSRTDLEDKAVPGDRLSPGGPNHIHN >RHN70860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54561810:54565167:1 gene:gene19489 transcript:rna19489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PH domain, pleckstrin-like, plant MEFLARSWSLSATELSKALHSTNSTTSTGIDVQLLCPSDQFYTKGFTASKEDPLSNGHCCQILPRESSETKELFLLHQALSPEFLSNQNLLRNGLYRSLIRGRTTGRWLKDQKERKKQEIRTHNAQLHAAVSVVGVAAAVAAVTASIASSEKPNPNQKNPTMASAAIASAAALVASHCIEIAEDMGAEQDQIITAVDSAINAKTNGDIMTLTAGAATALRGAATLKARLEKGLGATTIPTVDEKIGVAKEANILTALDCVFRGGVLLKRTRKGVLHWKQVSFNINSNLQVVAKMKSKHIAGTFTKKKIYIVTGVCSDIPAWPGREKEDNGKKRAYFGIRTADRSIEFECGSNEDKQFWLEGIHYMLNCGAKVTS >RHN59351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9780765:9781836:-1 gene:gene21370 transcript:rna21370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MLKLFNYFYKTMNFTIHYKEIKSFIIIWMQIGKNMVETQKLVYVILLFLSIFLFTNSPLSQIIFSECKTDKDCPKYQRANIRCRKGQCVRI >RHN77719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8933190:8937014:1 gene:gene1202 transcript:rna1202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MWWMRVILVLLLPFSFLFQQGTCSASTEQEHTCLPSSCGKISNISYPFRLKDDPIHCGDSRYELSCANNVTTLYLYSGKYHVQSINYNNFTIRLVDPGVQQSNCSSLPRYFLSRSDFCDTYEYEDKHCKDPYQAVVEEDPSALLFEHIVYLNCSHQVTNSPKYVNTSSCLNLNSKSKGYYIYAMAGDLIAQDFQVGCHVKLVTPTSWSGLQRNQVLSYDVMHKALVYGFDISWFNLPCKNLCGDSDYCSFNYTTEKLHCYRFCHNFWGGDRDDRFCGVRGIWYQLRVYAKDITIAIWIGLIEVIKGPNLKYEGDTEYKLGLRTGRYVLPSLVAARFLFGMTLFIALLIYKWKKRHLSMFDYIEIYLQQENNLMPIGYSYKEIKKMARGFKDKLGEGGFGTVFKGKLRSGPCVAIKMLGKSKGNGRDFISEVATIGRIHHLNVVQLIGFCTEGSKRALVYEFMPNGSLDKFIFSKEGSVNISYSQIFEISVGVARGIAYLHHGCEMKILHFDIKPHNILLDENFTPKVSDFGLAKLYPVENSIVTMTAARGTIGYMAPELFYKNIGGVSYKADVYSFGMLLMVMAGKRKNLNAQAEHSSQLYFPLWIYDQFGHEGEIEIEDVTEEEKKIVKKMIIVALWCIQLKPDDRPSMIKVVEMLEGDVESLEMPPKPTLYPHETVVDDQRTNLDQTMSSDDYTSSYDSVERETSSLIENIA >RHN66931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21765747:21767261:-1 gene:gene15053 transcript:rna15053 gene_biotype:protein_coding transcript_biotype:protein_coding MFQEDAAKVKLQNSVINSPGEIAVHNFLKSCAKQKILNHLAKKSKPGPDEGTANLKVNTNDENIAKNMHKRKEVCPIENTRGEVAVQNILKSCAKQEKSKQPVKKCKSLSKVSFSDDNLIQFDKGDTTKVHFSPDVELSRPLSAFQEEGINSINTEVVKSESSQPSATDRDASSRTYKAPNEDMIGKSCSLLEEEKKGVGNYNMEHNFNGLSLNSSGKLIKFGLSGKVEMKHQGGSQLQISTVNMIQPVKVHSNETLQNQSLGVSGNAISNIPEHIIARTNPKGIISRPKLRNSKMNDRKRIHRGTSSASGIPFSSPPRTSRMFSPAHQGPTWSHDFPNTNVCPVQVSQPTHIPTTHVPNPPSATNRNITKAAAKVTHIPVTRAFSNSRTRPNKFPEVEKQENLTQMNVDQDSSATDSDRDLQGNTREVDSLDPNGMPKHSENVDQDSSATDSDRDFEDQKKLTKPSKQSVRLILFFNSKFVLLYNNIVHISGLCLSAYWKYVR >RHN74662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35567304:35573241:-1 gene:gene10768 transcript:rna10768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MSFHIQNIIMIIFLMTSMADKVILLDYWVSPFGMRVRIALAEKGIKYEYREEDLSNKSPLLLQMNPIHKKIPVLIHNGKSICESLMAVQYIDEVWNEKSSLLPSDPYQRSQARFWADYVDKKIFDVGRNLWAKKGEEQEAAKKEFIEVHKLLELELGDKTYFGGDKLGFVDVALIPYYTWFKSYETFGNISLEKECPKFIAWAKKCMQIESVSKSLPDQDKVHDLIVELRKMSY >RHN63264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49795438:49796345:-1 gene:gene25944 transcript:rna25944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MASKTCSSLAIFLTINILFFTLVSSCGTCGSGPNPNPKPKHKPSPNHSGGSSHSGGSSPYGGSTPSGGSSPSGGSSPSGGSGTASCPRDALKLGVCANVLNGLLNVTLGQPPVTPCCTLLNGLVDLEAAVCLCTALKANILGINLNLPISLSLLLNVCSKQAPRDFQCY >RHN72745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10386222:10392977:1 gene:gene8498 transcript:rna8498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MAQYHGDEMEYVQDDNEMAEVDDDMYFRGGRALGESDTDEDDDDEYDPLENRITDTTAAEARRGKDIQGIPWDRLSITREKYRETRLEQYKNYENIQRSGELSEKECKATQKGGLYYDFWQNTRSVKSTILHFQLRNLVWSTSKHDVYLVVHYSIVHWSSLSSKRSEVLNVSGHVAPCEKHPGSLLEGFTQTQISTLAVRDNLLIAGGFQGELICKYLDRPGVSFCSRTTYEDNAITNAVEIYEHPSGAVHFMASNNDGGIRDFDMETFQLTKHSCFPWPVNHTSLSPNGKQLVIVGDNPDGLLVDAQTGKTIAPLCGHLDYSFASAWHPDGFIFATGNQDKTCRIWDVRNLSKSVAVLKGNLGAIRSIRFTSDGRYMAMAEPADFVHVYDAKQGFEKEQEIDFFGEVSGVSFSPDTESLFIGVWDRTYGSLLQFNRHRNYTYLDYL >RHN62048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40305474:40306057:-1 gene:gene24577 transcript:rna24577 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRIARFFMEVAPPQYVSVMRRRTSMMMETITEEDMEMSSNDSVISPPKNSSTSSLAASACASSTNAVVNSRYFLKEVHRTLSSLNH >RHN64157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57006483:57007433:1 gene:gene26943 transcript:rna26943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycoside hydrolase superfamily MFSKHFAIIIPVFLFFTSYSKSDTTFWVKAGYYFSSNEMEASEIKSTLFTHLLCAFAFINSTDYIIFTNDSEYSKFDSFTTRVKLQNPSVTSLLSIYTGGQNSSLFNSLLNQSSYRKSFIDSSIRTARRFDFQGIDFCGAGLKQGKVLVNFTTLLKEWRVAITSEASNTKRSELVLLMTGYYLKPSDSLTIFLKGTMSHVFMHLYMVHLAGKILIQVSKSGEKEDFLLTNL >RHN68830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38906796:38912724:1 gene:gene17240 transcript:rna17240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative succinate dehydrogenase (quinone) MQSVALKLGKRLSNSSHPHWRISPNFIAHASTTSSSPSPPSPPISAAENATTTASTLNNLLTAPWSASQTRGFTFSGSDVRVGNLIENRGRAYEVLRRYHSLEGTGKAAIKVPPHTVAGYATAATAGEVDIKRERNSGLRNQGPKTKREQLLKVTAAVPLLLIYPNAYSLLAANFFIFWHINAGIEEILADYVHHEMTREFVLISLRLFLIIAIKDVFLNFVFV >RHN51270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15612203:15616868:-1 gene:gene35681 transcript:rna35681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SAWADEE domain-containing protein MATFHGHKQSYVTEFRNYEDGAWYTVTVTLQEKETLRVGYEEFTDGDDNLFEQSSFDSLEKLHEFEKRFRPLSIQAQDHECHKLVHGVRVCASLHFNSDDLRFYDAVVDRVEEHNHSRTKDAECLCTFILSWLQGPNEGKLSAAGIGDICIVQPISEPVPAVASFLEITRRRIESKSGQELVADCHTGTKTKTKMGFFERMEKGKQRAKRSVLGSSSPEESMEDKELEGKRNVCMILIGNVDKELCPSTAVEFLYKHTQVSASIFIFPSLSFEIYTRGAIMTHTEQDFQKLCDFLTDQNYIITSLTGRPWVVIEKQVGLKNVKASIGTLFPKSENATQEGKNKTSNTLRIVNSGTQEFKIASDKRDLFTEFADHQVRLHKKLAFLEGSVSEI >RHN65241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:495686:496878:1 gene:gene13060 transcript:rna13060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MERRKTKHKNDNKHEEDFISDLSDCILLHTLSFLNAKEAVQTCILSKRWINLWNTLPTLTLSSSNFRTCTSFDQFLSQIFSLRDHSSAIRALCLHYNHFMGIRLYKKIIEYTFSHNVQRFRINYNSIQHLPPCFFSSHTLTSLHLSSYSLFRSGSTQIFPNSLNFPALTTLSLERLAFRCGTSDDDGCVDPFSTFNMLNTLIIDLCVILDAQNLRISSTKLLNLTICMYDNDPRKNFRSSFGIELYAPTVHTFEYSGGEYIPKLFGSKSVISSIKHVSIHLLSFRRNKTSILFSWLVELANIESLTANSSALTDLLVFPDLLKVKLPSLLCNLKSLKLKLFQPWAHAKLVDFLLQNSPSAKVEFSKSLL >RHN70135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49033918:49034120:-1 gene:gene18689 transcript:rna18689 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCDVIYCFMTCTYLDYSGLYSAATVEVYIHVYWFLNFECGVASIS >RHN76017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47016173:47017358:1 gene:gene12287 transcript:rna12287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative coactivator CBP, KIX domain-containing protein MVTNNWRPNQGAEPNMDTSDWRGQLQPESRQRIVNKIMDTLKRHLPVSGQEGLHELRKIAQKFEDKIYTAATSQSDYLRKISLKMLTMETKSQGTIANNIPPNQVGPSNQPPYPGLHLRALAC >RHN47382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39320499:39321747:-1 gene:gene41975 transcript:rna41975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MSPLKGKKKQTISTSSETTTTTTTTTQEQQQQQQQTAWGGRYLGVRRRPWGRYAAEIRDPSTKERHWLGTFDTAEEAALAYDRAARGMRGSRARTNFVYHDTPPGSSVTPILSPDQQQQQQQPQSFYDLSFVLQPEPVPIQQNPGLSIDPVSIITSSGSYGYGEGNDNNYFNKYSLPHQVPLDTLEAGINNINNNGNSYFNNDNVELPPLPPDITSSACYSPGDSVFNGGDHGYFYSSWEQNASEDCYNNQMLGTNMGGAENNIMAGSVNGTFDFGSSSFFF >RHN70389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51103113:51107938:1 gene:gene18963 transcript:rna18963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BSD domain-containing protein MSSWFARSLANSLRLDDDDDGDIQNDIVTESPTTSPRNNDYQQQQDNNAIESEEENDETLQGRGVKEDLDEIKQTLTRQFWGMASFLAPPPSSTISQDEHEQQRQVDDDIISNQNSEMEQGVFRRDDPEPNSNTFGSDSEGEHEREFDIQCAVGITEEVLTFAMNIAMHPETWLDFPIDEEDDNDDFEMSEDQRDHAMVVERLAPRLAALRIELCPCHMSESYFWKVYFVLLHSRLNKQDSEVLSTPQVMVARSMWMQELQKQTKPEFEIFGRSDLYSRDNAQHHDSTPSLSDDTYSDDMPHRTYGYRTTSLSMMADNESEKYTIESSGSHLSDKSVIEENPSNKTENKDLKSGRASQIMIQDYDDDDDDWPDDDSDLGGYSGTPLPIVNEEDISFSDLEDDDYGIKHVSSNSDSKVV >RHN58141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44035267:44036935:1 gene:gene33753 transcript:rna33753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MDMKLSITAIVLSFATLGIAQPNIFDIKSFGGASNADITMAFTNAWKAACGSTTASKVIIPRGIYKIHAVDVKGPCKAPIEVQVDGTIQASQNPDELNDASYQWVKFGYVDYFTLSGKGVFDGNGETAWTQNDCGKNSTCKRRSMNFGFNFLKLSIVQDIISKDSKNFHVNVLGCTNFTFDGLTITAPATSKNTDGIHIGRSTDDVKVLNTNISTGDDCISLGQGSRQITVQNVNCGPGHGISVGSLGKNPKEEATEHVLVKNCTISNTDNGVRIKTWPSSPGTSPITDMHFEDTIMVNVLNPVIIDQEYCPWNQCSKQVYLIKTYSKCYYYTLLKHST >RHN52133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31890268:31899329:1 gene:gene36762 transcript:rna36762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle transport protein, Got1/SFT2 MEKMNKAFEKVKIMVGMEVEDEEAQAAALENESSFAFMDDFNRNCTLSRTQRLYGFAICFAAGVTCTLLSMLVFLKPIKFGITFTLGNLLSLGSTAFLIGPKRQVSMMLDPVRIYATAIYIASMIIALFCALYVHNKLLTFLAIILEFGALVWYSLSYIPFARSMVSKIMVSCFDTEF >RHN64760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61621991:61622613:1 gene:gene27615 transcript:rna27615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNNFHPNTFLHLNQPDNENIHHLNLDLVLEPSSSSSSSSSSMEQRIFSCNYCQRKFYSSQALGGHQNAHKLERTLAKKSREMSSAMQSSYAELPEHPSNFSTNYHLGSHGNAHLDNNYRQGHVMRHGGRKDQFSYGNSKEGGASWSRGYNSNSENVQEDISQLDLSLRL >RHN60974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31978001:31978795:1 gene:gene23380 transcript:rna23380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MMDSIWSVLLLAGGPQSNSSLPPIEQFVLEDLITEVLSCLNVKTILRFKCVSKSWNTLISDPAYVKKYLNKSSNNPHLILKPPTFKYLMSCVNTIHVHRFLKNRCIIFSRDNCRGGLNSNNCEVVGSCNGLLCFLLSSRNMECYKYSFRLWNPDTGTRYAEFGTCYEYDLCGISLMFTFGCDILTGTYNVLEFHKEQDKDNHGLLKSQVRVPNLDDDCWRNINIFPMIIWNSVVHLCGEVYWLAMQNYFILFMIRHVLLMLINS >RHN40899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23933857:23938980:-1 gene:gene47143 transcript:rna47143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MAEEEENNADVIDRMSSLPDSLLCHILSFLPTKTSVMTTSLVSRRWRHLWEHLNVFVFDDKSNCNCRNPKKFRKFAFFVSSVLSLRKSRHIRKFHLTCCTSDVYSFPGECVYMWVRAAIGPHLEDLSLNITNCHGDDMVYLPPSLLNCTNLVSLSLFGLIHLKFQPSAIHFPSLKMLKVEFSILEHNIDIEDFGKHLTDSILVFLSGCPVLETLDTYFSPYFLTRVPVPPSAKRLKLTDVKFSWTCLEIDSDGLGIEYGGCNIKPTFGIIGNLQSMEEAYLDFFSLCESEFIDPMLKYLRDLDGDLHLLLRHSTSKKPLRSPILNYPEFCNLHHLKFIIPCFNTNLLLNVLEKCHMLKVLIIQSSKDELSPSRTWEPKSTSVPKCLESHLTYIHIEGYQGFEDELAFAEYILRNGIVLHTMLIFFDTSMDLSNKNCSIKSLTDIPRGSVTCQLKFDSAVSS >RHN80607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39366071:39370051:-1 gene:gene4549 transcript:rna4549 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPCISSVNTLNLKFLNIPPSSSNLNRSFYRFKSISAVLNNPSSHSKKQRQVQQQQQQQPQKQLYQPFRPPPTPLPSKYDNLDIPGRIDILANRLGAWHEYAPLITSLLREGFSPPTLEETTGITGVEQNRIIVATQVRDTLVQANTDEEILSYFDSGGAEVLYEIRLLSTSQRAAAARFIVEKRYDGKGAQDLARSIKDFPSRRGEKHWESFDYHLPGDCLAYLYYRQSKENKNPSDPRTAFLQQALSAVESDKARNVILEELNGKAEEEKVEDVVLKVPVNVVRLKIGEVAEATSVVVLPVCKAEEGERVIMEAPSEIRKEGEFGIVVAEKGWEKWVVLPAWGPVVNLGKGVVVSFLDARVLPWKANNRWCKEEPILVVADRSKREVENDDGFYLVKDDGNDVGLKVQRGSILKEMGVNECLGNVVIVVRPPTENDGDMLSEEDWD >RHN45093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11712363:11712641:1 gene:gene39296 transcript:rna39296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerol-3-phosphate dehydrogenase (NAD(+)) MWVFEETLPNGDKLTDVIKQTNENVKYLPGVKLGQNVVADPDLENAGICISIKFFKLLHVL >RHN62770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45723580:45724521:1 gene:gene25379 transcript:rna25379 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVFILCSLTGATLIVCGLYMLLWGKSKEAREVDNMNEIVSAKDSIQCDSIPIANSSLTCIQKEHDKKIPIVASGVSLNGNNTLGKIQQLNI >RHN49491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55182446:55183178:1 gene:gene44329 transcript:rna44329 gene_biotype:protein_coding transcript_biotype:protein_coding MKTANTKLWNNVSETSTQVKCVKAIQLLDDWKESCARYSSACSSATFLNSTQTS >RHN44455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4913132:4913788:-1 gene:gene38576 transcript:rna38576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MQRGTLCEHLYGSNNQPLPWRQRLEILLGSARGLHYLHAEVKHKIIHRDVKSTNILLDHKWVAKVSDFGLSKVGPTGISTTHHVSTMVKGSLGYLDPQYYMLQRLTLKSEVYSFGVVLLEVLCARPPLVRDLDKNTTSLVCWFKRCYDEGVAIEQIVDPSLKDSITDECLEYYCKLALSCLHDDGTQRPSMSQVVGGLEFVLQLVVSEGDSQFGTTQK >RHN73959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22176635:22177387:-1 gene:gene9862 transcript:rna9862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLMGSIPQCIGNLIAMVQGSKPSVYLAPGEPKYIEWYEQDVSQVIKGREDHYTRNLKFVANLDLSNNNLSGPIPKEITLLTALRGLNLSHNHLSGEIPTTIGDMKLLESLDFSHDQLSSSIPNTMSSLTFLAHLNLSYNNLSGPVPQGNQFFTLNIDPSIYDGNKFLCGAPLSNHCDADDRDESGDDDDGDGKQNRSEKLWFYFVVALGFATGFWVFIGVFLLKKGWRFAYFKFIEEAVHRINVTLRSET >RHN58947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6120404:6121105:1 gene:gene20908 transcript:rna20908 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLPLSLSPVRSSLSPVRFSPFCSGSAFSPLSSGSAFSPLFRFGASSCCCGCVMYSNLWGLCVKNDSENLCVHHVHMIFFFMNFG >RHN65872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6118798:6120126:1 gene:gene13764 transcript:rna13764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-locus glycoprotein MKKHNKVLILMVCTFLLCFKPTLSKQNTLTPNQFMQYHETLVSSAGMYEAGFFNFGDSQRQYFGIWYKNISPRTIVWVANRNTPVQNSTAMLKLNNQGSLVILDGSKGVIWNSNSSRTAAVKSVIVQLLDSGNLVVKDASRSFKNEDFLWESFNYPGDTFLAGMKLRSNLVTGPYRYLTSWRSSEDPADGEFSYRIDTHGFPQQVIAKGKTILYRGGSWNGYHFNGVSWQIVHRVLNYSFMLTDKEVTYQYATFNSSMITRFVLDPYGIPNRFIWSDQKQNWVAISSRAVDQCEDYAFCSINSNCNINDFPVCECLEGFMPKFQTKWKSSNWSGGCRRRTKLNCLNGDGFLKYTSMKLPDTSTSWYDKNLSLEECKTMCLKNCSCIAYANSDIRDGGSGCLLWFNNIVDMRKHPDVGQDIYIRLASSELGIFISKDIFYLFS >RHN44291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3249401:3256604:-1 gene:gene38391 transcript:rna38391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SPX domain-containing protein MKFGKEFVSQMVPEWQEAYMNYNSLKSILKGISKFKEKNESETPMASTPKGSLKRRLTLYRAFSGLNSKQGRSSSKNEDEVILVRSEGGDDSKGLYQTMFLKPSEDGAERELLFFKKLDFEFNKVNAFYRKMVKEVVDEAEELSKQMNFLIAFRIKVDKVGFGNVDSNEISSLTSFMHHVDDAEHVFEGHSHLHMDVIHEVEMSNESQFNDEDGNYVAQTNSKSSTEGFKPASLEILDHVKINVITPETPVSTIKGLLLSSKSDQTFSKKELRKADVQLSTALKEFYHKLRLLKRYSFLNLLAFSKIMKKYDKVSSRNASKDYLNTVDSSYVGSSDEVNRLMERVEHAFIKHFANGNHRKGMNTLRPTAKRERHRKTFLLGLLTGCSIALIIALIILIHARDILYSEGRTKYMDNIFPLYSLFGYIVLHMIIYSANTYFWRRFKINYPFIFGFKEGTELGYREVFLLSSGLAVLALAAVLSNLDMEMDQRTKSFSAFTELVPLSLVIVVLVITFWPLNIIYKSSRFFLIKCAFRSICAPLYKVNFPDNFLADQLTSQVQAFRSLEFYVCYYFWGDFKTRSNKCSESDVYKAFYLIVAIIPFWIRFLQCLRRLLIEERNTMHGLNGLKYISTVVALVMRTTNEFHKGMGWKILAASSSGIATIVNTYWDIVIDWGLLRRDSRNPWLRDKLSVPYKSVYFLAMVLNVILRLAWMQSVLGIKEAPFLHRTAMTALVASLEIIRRGIWNFFRLENEHLNNVGNYRAFKSVPLPFNYQVDDDEDSSDT >RHN80669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39927407:39931084:-1 gene:gene4624 transcript:rna4624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Galactose-binding domain-containing protein MSGESATTAVHKGQVDLLDFIDWTGVECLNQKTNNSLPNAIKQGYREDDGLHLESDADEQLLLYIPFTQVIKLYSVAFKGPEDEGPKTVKLFSNKEHMGFSNVNDYPPSDTLVLSPENLKGKPVLLKYVKFQNVRSLTIFIEDNQTDSEITKVQKIMLIGSTVETTDMKGLKKIEDH >RHN77990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11091719:11092087:1 gene:gene1504 transcript:rna1504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase MVLRKNLNALAEQSSQIYFPFWIYDQLHDGSEVTIENDTDEEMKLAKKMMIVALWCIQTKPSDRPSMDKVIEMLEEEDGDLQMPNKPYLYPQDIPADDVRDDSTSVSWSSSGASRIDSMEQA >RHN69896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47158406:47161842:-1 gene:gene18427 transcript:rna18427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TLDc domain-containing protein MGASTSSETVSAEQKEAENVAASTGALPILQKAFSKFADSETHAIPIEKLQKCFNFAREGRNYHTENTTDSLPLLLDHLGSSLVDQFFISDDNGINWVEFVKGYNKCCSRVSASIFLNKFIRVFVDVTKKANLDVHLEFESDDVDCKVSGYLLPKHVFLLLSICWAMSWDCRNLKGKGNLSVPDLSHLVTSAVDDKDEGGFDVLDCDVLSLEVQIPVGKFVTWVMSTVPCLPDCLKRYFHARLQIAVTEGDELASSDSSSVGEISSTTVCDILTPGRAWAISITQRNTVNEEISRAFIGSGAGLNDNLIYRSSTHGRGLNRFWSHVEGYHGPLLILVAASSRNGHEGNSAIRKFVIGALTNEGLENKDIFYGTSGCLYSLSPVFHLFPPTGKEKNFVYSHLHPTGRAYQSHPTPVGVAFGGTPGNERIFIDEDFSKVTIRHHAVDKTYQSGSLLPDQGFLPTEAHISEVEVWGLGGKAAKEVQNSYKKREELFTDQRRKIDLKTFANWEDSPEKMMMDMMSDPNAARREDR >RHN50129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4307310:4310563:-1 gene:gene34384 transcript:rna34384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MACEGVRDEVKKEMLEIHTNLQEINEEGCVGVGEKTSGNDEVVVRSSKDGFKRRRVGSEASVVDEKNEKTSREEACQAIARFFYNNAIPFAAAQSDEFKTMFDLVSRQGEGFKPPSYDEIRGKYLTDEVKLTNEALEEHRAMWKVTGCTIMVDGSVDAESRTIINLLVNSPNGTFFLKSIDATDMLESPDKLFRILDNIVEEVGEENVVQIVTDNTPFCRAVGEMLMEKRTRLYWTPCATNCIQMILADCETNIPIHSEITKKCQDLIAFIYVSPSVKSLMRHFTKGKDILKVGMYQSETSYFTLCCIHENKGALVRMFTSEEWKSTSNEFAEPKSRKWAEDMILDKEFWKYVMICYKGVKPLLNLLLMVNSTVVPMMGFIYEDMERAKDKIRRSLSKSAIERESFMHLQKIIDERWDKQFHSPLHAAGYFLNAQYHYSPGFRDDVKVKRGLQHCITRMVTDHEERSKIEIQLDDFDKQANQFGHPIAIITADMEIPPIWWGSLVDGPPELQKFAIRVLSLTCSCYGGFPLQDAFEMVHSKSMMYRTDRDVLFVMANSKLAEKKRTSAELNIDDNGDVEGLNVDDSDLDVPCLQCQADAGIADLHDENANGDEDEDGNEDEDEDEDGDEN >RHN48986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51584502:51591431:1 gene:gene43764 transcript:rna43764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor DP, helitron helicase-like domain-containing protein MQLVHFDFNRTLFELHDDYYVLKAMEFCDRPRRDIATLNVTEGGEGSSLSGLHQLQVPPSVSSVSIRPLASPPLPGILKLTGHHYNHHYNNDTKCYVEIDSLAKVNDTQFPSENSEYVSTAATVASLSDLSQFSNRNVTRKLTPISPGRYVLKRPRNLHCGDLNKATVTGSNENQSFYLECDENGEDCDVPDNDLDPNVEIDGLPKVNDTQFPLENSEYVSAAATGATVVTSQYWDIGEPTCICEHCGAMMWYEERVQKQYRSTTPTFAMCCSHGRITIPHYLPLPQPLNDLFHKHDKRSKYFLDNIRSFNSMFAFTSMGGKVNKSINDGNAPPTFVMNGENYHQIGSLLPLPGIQPKFAQLYIYDTENEISNRMSVVRMKDNNSSLKATIVDDIMKVLDNHNPYAQTYRMIRDKMSENDVPILKLRILAKRGCDGRRYNLPTTSEVAALIVGDFDAADFERDIIVETQSGSLKRVSVFEPSYLPLQYPVLFPRGEDGYRNDIQLNDDSNAPTIKRKTITLREWFAYRIQQRIIEQSTLLFSRRLFHQFLVDAYSMIESSRLKWVRTHQKDLRVEMYKGLTEAILRGEITPSTVGKRIVPPSSFVGGARYMFQNYQDAMTICGWAGYPDLFITFTCNHKWPELCGFLSKYKLKSEDRPDLVCRLFKIKLDHLIKKGEIFGKVKAVIYTIEFQKRGLPHAHILIFLLHPGSKYVHPHDIDKIICAEIPDKDSDPELFNIVSSLMIHGPCGDQNRKSPCMQNGKCTKYFPKRFVDNTIIDADGYPVYRRRDNGVFIRKGESLVDNKFVVPYNRHLLLKYNSHINVEWCNQSRSLKYLFKFVNKGHDRVTASFYNNARANNDSKCDDEIKIYYDCRYLSSCEAAWRIFSFDINYRKPSVERLTFHLPDEQPVVFDDVDPINEVVNKPFIHNTKFLAWMDANKKYEEAKNLTYSQFPLKFIWNDSERQWYPRKQGHTIGRLHFVPPGIGEIDVLS >RHN69532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44184386:44185836:-1 gene:gene18012 transcript:rna18012 gene_biotype:protein_coding transcript_biotype:protein_coding MDREQEEMQFLGLFGIYKESYKIIISWRKIFSQITLTLILPLSFIFLIHIQISNILFRKIMNNTNEILETQQGTPQYQKLSDIITSEWTTFLLFKLVYFTSLLIFSLLSTSAVVYTIASIYTAREVTFKRVFGVVPKVWKRLMVTFLCTFFAFFVYNTLAILVFIIWALSINYKSGGVAILVIIGILYFVGILYLTIIWQLASVVTVLEDSYGFKAMMKSNNLIKGKIGLSTLIFLKLNVSFFGIQFLFTKLVVKAWGLTLVDRTAYGILCFVLLSHLFLFGLVIQTVLYFVCKSYHHQSIDKSALSDHLEVYHGEYEPLKDKDVQLENYHV >RHN47244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38277525:38280178:-1 gene:gene41818 transcript:rna41818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyl-tRNA hydrolase MLHLASSPTLSLTYPNKNLQHIRYTTKFAVKTSSFSVRCSVPQANNNGDNKMEYTPWLVVGLGNPGNKYHGTRHNVGFEIIDSLSQSEGILMNTIQSKALIGIGSIGEVPVLLAKPQTYMNFSGESVGPLAAYYRVPLRHILVVYDETSLPNGVLKLQPKGGHGHHNGLKNVIGHLDGSRDFPRLAIGIGNPPGTMDLRAFLLQKFSSVERKQVDESLEQGVQAVKTLVLNGFNHHVNRFNLGQKYKYNKV >RHN79270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26891708:26892043:1 gene:gene3038 transcript:rna3038 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSMHQPWTSYPLASINPVTSLLRLGVLVPTPVSYAGEQPHITNPFHQCNPPLVQEIQWRNNGDLNLEPPWKFPHLKSMLNKVSIPARKCVASSNRSLGEASTIGSQLYR >RHN82371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53258066:53258934:-1 gene:gene6534 transcript:rna6534 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQWKEIGLTRHHVGGSLVEEIASLVFMLCAVLVTLSLFAAIIFFCADGVSKTSTKASGATANNYAGGSACAAAGCGAGCGGGCGG >RHN73413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16151150:16156650:-1 gene:gene9219 transcript:rna9219 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSQSKLDDEESVQLCKDRKRFIKQAVEQRTRFATGHIAYIESMKRVSAALRDYIEGDEPREFSLESVITPPFTPVKKKTKPRIGNGNGNGNDNGNGFIPISAKSFTPSTIDYGVGENDTLRMNYHRPGGNPAILVQERLPSPETVRITSIMNYHRPGGNPAISVEERPPSPETVRVTSGMNYYWPGGNPANSVEERPPPPETVRITSRMNYYWPGGNPANLVEERPPPPETVRIETYSPMQHYGTADGYFTMQSPPTNPSIFPYSPPNIRPNIPPPSPQNAQWDFFWNPFSSLDYYGYPNRSSVDQSGMDDEYRGLRQVREEEGIPDLEEDETEHEDYAVKRNVIEERTRNDINFSRRNVVEERTRNDISSSRRNVAEERTRNDISSSRRNVAEERTRNDISSSRRNVPEERTRNDISSSRRNVVEERTQNDVNFSKEQVIVEDIDDDEEEEEEEEEDGEEDEEEGSDDETETEHDAKDSQQARDNPSFEVSKNQAAGHMESNHREMAIGKQEDKEEMAGFTVYVNRRPTSMAEVINDLEAQFKTVCNAANDVSVLLESKKAQYLLTSHEHSASKLLNPVALFRTASSRSSSSRFLVNSSSTRMESNEGTKDISEEHCRLSGSHQSTLDKLNAWEKKLYEEVKSGERVRIAYEKKCKQLSNYEVKGEDPSADKTRAAIRDLDTQITVSIHSVEAISRRIETLRDEELYPQLLELVQGLEKMWKVMAECHQTQKRTLDEAKILLAGTPSKLHPKRQTSISMADPNRLARSASNLEIELRNWRITFESWITSQRSYIQALAGWLLRCVRSEPDDISKSPCSPHRSSSSHPLFGLIVQWSRRLDGVREKAVLDGMDFFAAGMGSLYAHQLRQDSRTNSYGSKQTDGSMEMVEVGHVEEDAMAPEKLAEVAIKVLCAGMSVAISSLAEFAFESAEAYCEVMKQWDSVKGQHNSSETRT >RHN44954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10236228:10236437:-1 gene:gene39141 transcript:rna39141 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAPIVIGLILFVLFSPGLLFQLPGKGRVVEFVNFQTSAISIFVHSLLFFGFMVIFIVAIDVHIYSG >RHN47040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36685755:36687062:-1 gene:gene41590 transcript:rna41590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTPSLVFLLDDLIAEVLSFLPVKPLLRFKSVSKSWKILISDPTFVKLHLKRSAVQNPHFTLIMGHEKFIPGESFYGIDDESERDYNLVPYPISRLLDNPSFTLLLDDPYNSVTYYHVNNDICSRIIGSCNGLICLAETSLTHDGYQENWRREYWFRVWNPSIRTTTSEKFGYFYDFGPISGYGGDFNFKFGFDNSTDTYKVLAFRYNRLKGNRNIKILGSGDHVWRDIAFFPVVPLRLDYSDHIHSEHCMCDGVYVSGTFNWLAIHNDLPYRVKNITVEHFVIVSLDLGTETYNQYLLPLDEVPSAEPTVGVLGGCLCFSYAYKETDFVIWQMKKFGDEDSWSQFLRISYQNLLIDYDIFDTYFRLVPLLLSKDGDTLILKSSQEFEAIIYHWKDNRVQRTKITPSRTITYDRRITNWVCWESAKDYVESLVPIF >RHN50118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4197209:4199058:1 gene:gene34373 transcript:rna34373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MPYYHSWIAWTLLICKSTYFFPFFFKHIFKNIVIIIQFSLLQVSSKMSYFADNKKPHAVLIPYPAQGHINPLFKLAKLLHFKGFHITFVNTEYNHKRLLKSRGENAVDGFIDFTFETIQDGLTPVEGDGDVTQDVPSLSQSIRKNFLQPFGELLAKLQDSATTGIVPPVTCLVSDCYMSFSIQAAEEHALPIVFFSPASASTFLSVLHFRTLFEKGLIPLKDKSYLTNGYLDTKVDCVPGLKNFQLKHLPDFIRITDPNDVMVDFFMEAADGFCRASAIVFNTYDELESDVMNVLYSMFPSLYAIGPLPSLLNQTPHNHLASLGSNLWKEDTKCLEWLEFKEPESVVYVNFGSITVMTPDQFLEFAWGLANSKKPFLWIIRPDLIIGGSFILSSEFESEISDRGLIASWCPQEQVLNHSSVGGFLTHCGWNSTIESICAEVPMLCWPFFADQPTNCRFICNEWEIGIEINTNVKRDEVAKLINEIMSGEEGKKMRQKVMELKRKAEENTSPSGYSLMNLDKVIKQVMLKQY >RHN66837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19847940:19848302:1 gene:gene14939 transcript:rna14939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MAGKKFISLSMLVMILGMLVTKFDARQIDDVSCTSALFLLLPCLPFLQGVGPATPTSYCCAGANDLNQKADSTQSRRDVCNCLKPAASRFGVKFDRSTQLPKLCNITLNVPFDPSVDCNT >RHN50108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4121387:4122580:-1 gene:gene34363 transcript:rna34363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTTMTGRKRGGTSTRTLTQLPPTNSPPLLPFDLVAEILCCLPVKHLLQLRCVCKSWNSLISHDSKFAKNHLRLSTSNHDRHDLILVSAAPLFYLSGCSISSIFSSAASFTSFKWLNNHRLILNLKGDYIGRVTTCDGMVCVRIDESLAFLCNPSIRKFKILPPLINPSQKYLQTSFTLVYDRFTSNYKIIALSVRDYYQKNREINVHTLGTDYWKGIHDFPNRHLIQGPGIFLSDSLHWLPYDGRSGSSGKVIVSLHLQKESYQELSHPLYDIQSETDNTLGMLRDCLCIFSNSDKFFDVWIMKEYGNGQSWTKLLSVPQMGDAYIYILTKPLYISEHDQVLMYFMKRRKFSLAVYDSINDTYKIPEIQGNIQVPMEGRFYFPYVYIESLISPFFQD >RHN50974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12501844:12502581:-1 gene:gene35333 transcript:rna35333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I, cationic amino acid transporter MKWGKYLVSICSLKGMTTSLLVGSMGQARYTTQIARSHMIPPFFALVHPKTGTPINATLLTTLSSCVVALFTSVDVLASVFSVSTLFIFMLMAIALLVRRYYAREATDKSDLWKVLLCLFVVVGSSAVGTGLWNSGLFGWIGYTVAACVWFSATLVMSLLPKKRQPKVWGVPLVPWVPSLSIAKNLFLMGSLGSEAFFRFLICTGVMLVYYLFVGVHATYDVDHGIGQESNNDERMEGANVVVFA >RHN46144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29175062:29175867:-1 gene:gene40587 transcript:rna40587 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDVTLFRRTFMNHHHQQDLFLYNEGIVFHDTSDSIQGLGGPMTRARTKKVKEALTQLMAKVLEFKPTLESMEDKMVMCIKPLEDGWGAYLAAHFI >RHN74820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36909129:36913667:-1 gene:gene10940 transcript:rna10940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MVNLLYGLFLLCLSMHCFVAYCLASETSNHPLANQTFRSEETHKLKKMITSRLQQINKPAVKTIQSPDGDIIDCVVSHKQPAFDHPLLKGQKPLDPQERPRGHNQTDLLSDNFQLWSLSGESCPEGSVPIRRTKEEDILRASSINTFGRKLNQVGMDTTKYKHVHSTGYVTGDLYYGAKATINLWSPHVEGEKEFSLSQIWLTTGRNSNTIEAGWQVSHQIYGDYLPRSFVYWTADAYKETGCYNLRCSGFVQTSKTFTLGGALSPSSTYNGRQLGINLLIYKDRKNGNWWLEYGSGNIIGYWPSSLFTSLKDSATTVEFGGEVYIKSTGTHSSTQMGSGHFADEGFSKASLFRNLQVVNSDNKLIPLSNLNAYATEPNCYNIIKGINNEGVYFYYGGPGRNRKCP >RHN52512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36249283:36249780:1 gene:gene37200 transcript:rna37200 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYSLYISRGFSLPRCPSFFSWSQDLIHHRPGRCNVLSQYKNHLSAYVISFIQWPISSNLSLAMCVQYLVVSIHFNF >RHN40494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16857522:16858288:1 gene:gene46650 transcript:rna46650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MWGEKERQPLTTSMWNEKEKQPLTTRMWGEKERQPITTHMWDEKERQGLDNYCRSPSAIGEDKYCALSLESMVDFAISKLGTNIKVISSSFAKNKDQYVVDEVKKIGDKVVMCHRLNFKNVVFYCHQVNATTTYMVPLVALDGTKAKALTVCHHDTRGVDPDVLYEILKVKPGTVPICHFVGNKAIAWVPDSDVNDHPCVI >RHN70552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52253420:52256361:-1 gene:gene19142 transcript:rna19142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase METTKSMFLFIAVSILFVSSVTANLAFDFYAASCPTAELFIRNAVSTSSSNDPSIPGKLLRMVFHDCFVEGCDASLMLQGNNTEQSDPGNRSVGGFSVIETAKRVLEMFCPGTVSCADIIALAARDAVEIAGGPRVQIPTGRRDGMVSIASNVRPNIVDTSFTMDEMLKLFSSKGLSLLDLVVLSGAHTIGTAHCNTFRGRFQQDRNGSLRLIDQTIDTNYADQLIKQCPINAQPSVAVNIDPETSMLFDNQYYRNLLDRKVLFQSDSVLMNNDDTRKLVEDFANDQELFFDNWGVSFVKLTSIGVKTDEEGEIRRSCAATNIV >RHN43616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47260775:47261303:1 gene:gene50223 transcript:rna50223 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYNRRICCVVFHVWSIIFKVTSRYINKVNLEAKTFAEFRSNFMVVNGVDKGTIFRLNQDLNTKLLMRQLPVHRYPKFALDVDNYSFSQCSIATFKFQLALTLLTSRTLSELDIPWLAILRRQAMLLHHSQSAEPL >RHN74796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36728459:36729223:1 gene:gene10913 transcript:rna10913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MQQATNCFDAELIIGKEGFGKVYKGTLENGEVVAIKVANPESRQGLGEFQNEIELLSGLSHSNLVSLVGCYNEDSELILVYNYMANGSLSSHLYGRDFVPLSWKQRLAICLGAAKGLLYLHTGAKQSIIHRDVKTTNILLDKKIVPKVSDFGISKKGPILDKSHVTTNVKGSFGYVDPEYFRTKFLTKKSDVFSFGVVLIEVICGKPALDDALPTQQMNLALRALSCDKKGTFHEMMDPYLIGKVNMDSLNKVL >RHN44008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:777735:778978:1 gene:gene38052 transcript:rna38052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MKTVGNLVESMFDSEVVPSSLVEEIAPILCVANEVEKTHPKVAYLCKCVAFTPLRKLIRFDPTSSGHGVRQFKTAFLQRLERENYPTLKGRVKKNDAREMQSSYPHYYKKYIQALQNAADKTDCTQLTKAYQTANVLFDVLKAVNVTKSIEVDLEILDRRG >RHN61332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34876545:34879937:-1 gene:gene23785 transcript:rna23785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nascent polypeptide-associated complex NAC domain-containing protein MKMAGSVRTGGKGTVRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPQIEEVNIFKDDVVIQFLNPKVQASIAANTWVVSGAPQTKKLQDILPSIIHQLGPDNLENLKKIAEQFQKQAPEAGTGSATVQEENDDDVPDLVPGETFETAAEEAKDS >RHN48243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46066675:46068238:1 gene:gene42938 transcript:rna42938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MWYCFHRSLIKFVIHIGVWPESPSFGDEGIGPIPSRWKGTCQNDHTGFRCNRKLIGARYFNKGYATYAGSEVVQNGTLDTPRDNKGHGSHTLSTLGGNFVSGANFVGLGNGTAKGGSPKARVAAYKVCWPPIDGSECFDADIMAAFDMAIHDGVDVLSISLGSPAVDYFDDALSIAAFHAVKKGITVLCSAGNSGPTFGTVSNVAPWILTVAASTLDREFDTVVQLHNGQHFKGASLSTALPENKLYPLITAAEAKLAEAPVENATLCMNGTIDPEKASGRILVCLRGINGKVEKSLVALEAKAVGMILFNDRSHGNELTDDPHFLPTAHIIYEDGVAVFAYINSTK >RHN66197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9633168:9633671:1 gene:gene14134 transcript:rna14134 gene_biotype:protein_coding transcript_biotype:protein_coding MIENRVEVPLASLAMVSNIAEGTTAALRSGRVRPPLFQKKAATPTTPPIDNATLTNVSPVTKDVSRPSHSIEDFNLDKILRIIKRSDYKIVDQLLQTPSKISVLSLLLSFEAHRNTLLKVLEQAYVDHEVTVDRFGDIVGNITACNNLWFSEDELPEVGKLQAGETL >RHN82626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55261800:55268422:1 gene:gene6801 transcript:rna6801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MENDTTATNNGTAKVGSGGGGVKSNNHIREEEEEHSNLNSVENLPEKIEENDEHEAEQQQTGSETNTQQPSRTPFTNLSQVDADLALARTLQEQERAYMMLRMNNDGSDYGSWEGGSYLHDDGDDFDDLHDGTDVDDEDDDEDDDNEEDYEDEDEDAFDVHDHASVGDRENNPVIEFDPELFSSDEAYARALQEAEEREMAARLLALAGIHDLSFSITEDAEDMEEHAANSQDAWEDVDPDELSYEELLALGEVVGTESRGLSTDTIACLPSVNYKAGSDQLGSNDSCVICRVDYEDDESLTVLSCKHLYHPECINNWLKINKVCPVCSTEVSASGSNL >RHN62835.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46133788:46135210:1 gene:gene25454 transcript:rna25454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb/SANT-like domain-containing protein MGDSQQENNRGKGKDKDGYVVWTMEETSELLYLLVDAINRGLRDANGSLSKQNVERAILPQLNAKTESHKTYNHYLSRMKWFRNQYNKMSTFMRNNSGFGWDSVAKTYTATEEVWNNYLKSHPSHKNLKGKSMIDYDYLKIVVGGGVSSGNNSIALDPEDTDATTFEQESESFGMEDFSYDPNSDTFIAPDNFWLYFC >RHN59268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8922058:8925012:1 gene:gene21272 transcript:rna21272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, Agenet-like domain, Agenet domain, plant type MVRFKFDPGCAVEVNNIDGGIYTPWFNGTIISRVSSNEFLVDYNDLELEQTVVGIHQIRPVPSPVSDFELKIGDDVDVFWKQGWWKGHIKEDLGYGKFRVTVTGTPTKVFSKEKLRIHRNWIIDNWVPPIPQKLRGTEETEENRRNRIGELPDCILLHIMSFLEARDAVRTCILSKRWKDLCKRLTTLAYIPSWDESSFKNFQSWVLSSRDQSCSLRNLTVDTQFLEGEEDLHTLVQYALFHNLQHLNIKINQSLTPKPELLPLILTSHSLTFLELSYRRGKAAVKSVLPKSFHLPVLRTLHLEYVNFVATHDHYVDPFSNLHALNTLVLRFCDLIEDAQVLCISNQTLSSLTIFHISSADEFSLSTPNLSSFTIWKIPIFKKLLSSTSNLSFLQQVNIDGFFESIERCSIFKDASVFLSWLQVLANVKILKIGYSVIQAIDYEHLANLISKKVQPPHFARLESLTVVKYFRQSVSVPEDDIIKVVEHLLQNTTPMPRVNITEIYEDYDQYYRC >RHN41209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28201023:28201466:-1 gene:gene47506 transcript:rna47506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSLRGIVSLNLGNLSFLVMLDLKNYSFDGQFPKVLCRLRRLKVLHINYHEFEGGIHVILGNLSKLQYLYLYTNNFTSYIPESIGNLQWLKELDTSHNKPIPQTISNIYLLKVLYLFSNCFSGTPTSDIMRRLSLFFTIYDLRNLILN >RHN78862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19302407:19302813:-1 gene:gene2523 transcript:rna2523 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSTSTFPFRFGSSALSPAPVRFVSPLFRFGCSGSFLAVWVRICCFFLFGSVRCVMCGFELVL >RHN42100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35394829:35395297:1 gene:gene48495 transcript:rna48495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MLMVQILSFYACNDLSVRNVRITNSPGAHGTINGCNRAKISNLDVQSPSHSPNTDGFDISSSKNILIEDSTIRTGDDCIAINGGSSYISVSRFRAVKTGGSALTGFRLYRAGTKSPVRKRA >RHN65643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4045668:4046710:1 gene:gene13508 transcript:rna13508 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCFSLGSVLILIALAASMVVLPLMLPPLPPPPLALLFFPVGIMAALVVLAFSPSENVKNVVVYSSSSSGIANSKR >RHN69038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40516832:40519296:1 gene:gene17465 transcript:rna17465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MATFVALLLLQVLLFTPPYANSQKMNPLDLKALLSIKNTLTSISPTNSFFSTWNFTSPNPCTTFSGITCTFNRVTILSLGIDRHPLAGSLPSSISSLTELTQLILSPGIVTGSIPPQLAQLTNLRVISLPNNRFTGTIPATFSSLTNLHTLDLSHNQLAGTIPPSLTALPQLRILILASNSLTGTLPENVFSPLLHLDLKNNVLTGTLPTSFPLSLRYLSLSQNQMWGPLTNGTLESLSDLEFLDLSMNQFTGPIPAQLFFRPMLSSLFLQRNYLSGGLPLKPEDGEPLVGSNGQGSIVDLSHNLISGELSTVLDGVESLFLNNNRLMGKVPEEYVKSVCRGSTRTLYLQHNYFTGIPLNEGIVLPDTVSLCLSYNCMEPPAKQMTCPANAGEELSRPAAQCSVFNNDDSG >RHN81432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45860152:45860417:1 gene:gene5472 transcript:rna5472 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCEGTPRGKKGAATAIFNLCIYHGDKARAVKAGIVAPLIRFMNDVGRGMVDKALAIMIILASHHEGRTSIGQCIASI >RHN67015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22836555:22837328:1 gene:gene15145 transcript:rna15145 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYIFQYFLFGVLCIALVLASGPTPVLSFCFNNNPWYCDNPDFCKSMCHGLLFTKGVCDGHICCCQYG >RHN39266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5412246:5414087:-1 gene:gene45274 transcript:rna45274 gene_biotype:protein_coding transcript_biotype:protein_coding MISSPLLPRVRLPSTSTLHFSHIGEPLTVIRGGVMEDHQVIGEGEGEGEGKMWEKIKFFPSPTLNATDS >RHN81406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45632646:45638830:-1 gene:gene5441 transcript:rna5441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MIQLPHFFFSFLIIITTTTTISTSTPTPSFPFGNLSSYHINCGTSTNITDPFNTTWLSDRYYTGGATTTVSEPLRFNLPSEKTLRFFPSSSSGKKNCYSFPSLPSARYLLRTFTVYDNYDAKSRPPSFDVALSSTIIFNWRSPWPESTARDGAYADLFAFLHTPSAADLCFYGFATDAPIISSIELIPVNSASYDSNSTGENFILVNYGRVSCGSGDHWGAGFTNDTDKFGRSWQPDETFRTEPEEIVRVLSTENTVNGTEKEPNYFPMKLYQTAVTTEEETLEYELSVDAKMDYMVWLHFAEIDSSVKKEGERVFDVFINGNNVTRVDIYKEVGSFAAFTWHYTVKNLSSNVLGIKLVTVSGAPLICGFENYALVLNEPSTHPLQVSAMKALKESLRVPGRMGWNGDPCAPTTWDAWEGVSCRMSDDKTALLITEINLGSQGLKGNISDQISLLSDLVTLNLSSNSLGGEIPSGLGQKSLIQVDLSNNQLTGSIPDSLASSALQLVLLNGNLLEGRVPEQLYSVGVHGGAIDLSSNKGLCGVPSLPSCPMFWEHGGLSTRGKIAIVFSCLFVFCVVLLLVYIYIRKKRNDYDFGLPHEIMALAAKRNKYQRQKSLMILELESQHAKGFPSPFTPL >RHN68603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36986472:36989004:-1 gene:gene16975 transcript:rna16975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trehalose-phosphatase MTKQNNAVTPEFKSCINNLISTVVLPSAATVPESMALINGFLGFSRKNFTKRLEESKVNAWIDSMRAASPTRVKSSENQEKCSWILYHPSALKLFDQILYSAKRKQIVFFLDYDGTLSPIVADPEKAFMTRKMRATLKDIARNFPTAIVTGRCREKVFNFVKLAELYYAASHGMDIMGPTKNRSAEKSNVNKAVLFQPASQYLPMIDEVYKILLEKTKSVSGAKVENNKFCLSVHFRCVDEKSWAPLAEKVRLVLNEYPKLKLTQGRKVLEIRPTIKWDKGKALEFLLESLGYKNSNDVFPIYIGDDRTDEDAFKVLRRRGQGVGILVSSVPKETDASYTLQDPSEVEHFLRRLVEWKRSSIVNPTSA >RHN40386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15874056:15874281:1 gene:gene46534 transcript:rna46534 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMGERWKFRWRRELFVWESNRVLAMMERLEGVVVSEGVD >RHN54384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9159890:9162347:1 gene:gene29408 transcript:rna29408 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLFKIKTTFLKFLSKQPPVSLAGYQNPTLSPCRSPTTHVSLFPKEARRKHKRGISFSPKEPTSPKVSCMGEIKSKKKKKKKEKKLHKGIQNVVPNKNVLLWISKGSYEGGRQGGKEKESAIVSVPPTLNSMKKFTSGRGSLCDFDATLSERWHLVWEREVVSHHGKAILYHLINLEH >RHN69455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43562199:43569281:1 gene:gene17931 transcript:rna17931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MPASPSDNRAKWRKRKRESRINQRQQKLQEEEEDDDEENPNADEDHDRDYDSDDQHHPNSQPQQEIEVLSDHAVQISQFPMVIKRAVNRPHSSVTAIVALERAMELGDSKAQLQNTPFLENVSHGQLQALSAVPSDSLALDQDRAESSYVITPPPILEGRGVVKRFGSRVLVLPMHSDWFSPGTVHRLERQAVPHFFSGKSQDLTPEKYMECRNYIVALYMEEPGKRITASDCQGLQVGVGHEDLTRIVRFLDHWGIINYCARTPSHEPPNAVSCLKEDTSGEIRVPSEALKSIDSLIKFDKTNCKLKAEEIYSPLTMHSGDVPDLDSRIREHLSENHCNYCSCPLPAVYYQSQKEVDILLCTDCFHDGKFVVGHSSIDFLRVDSSRDYGELDVESWTDQETLLLLEAMEIYHENWNEIAEHVGTKSKAQCILHFLRLPMEDGKLENINVPSMSVSSNVMNRDDNGRSHHYSNGDSAGPVHHIRDSDSRLPFANSGNPVMALVAFLASAVGPRVAASCAHAALSVMSEDNTGSQTESSGHDNRTNPENTHSRDGGSRGETAISNNHNEDKAKAPCSREQSEGRTTPLSAEKVKDAAKAGLSAAAMKAKLFADHEEREIQRLCANIINHQLKRLELKLKQFAEIETLLMKECEQVERAKQRFAAERTRVISARFGTAGTTPAMNASGVGPSMASNGNNRQQMISASPSQPSISGYGNNQPIHPHMSFAQRPSMFGLGQRLPLSMIQQSQSASSAPMFNAPSNVQPGTNHPLLRPVSGTNSGLG >RHN76805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1392658:1396103:1 gene:gene182 transcript:rna182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MAVELMGFPKIDEQKAIQEAASEGLKGMEHLILTLSHQPTQLNTQLTDHTVSKFKKLISLLNRTGHARFRRAPVHSSSSSAPVQPVQIQSTPSPVQTPTVSLPKHFPSPSQAPAPISVRHAPASFVQPQSHSMTLDFTKPNDVVLSSNTKNSMVELEFSKDTATFSVSSASSFMSSAITGDGSVNGKQGSSIFLNPAATPAISGGKPPLSAVPSKKRCHDHGEHSDDVSGSNKCHCVKRRKNRVKRTVRVPAISSKTADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERATDDPTMLIVTYEGEHRHTIQAAMQENAAGIVGLVFEST >RHN59288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9127078:9130785:1 gene:gene21293 transcript:rna21293 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTAAKETQLRENNSQKVHPQPMEEATNQNPEAVETLVSKIFTNISSLKSAYIQLQAAHTPYDPDKIHTADKQVISELKNLSELKHFYRENNPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEIHQLEKQIEEASQKRAKLEKNLKLRGLSAKESEDGNGFFPVDLTPDLFTSSVEAAAKSVHDFSKPLINMMKAAGWDLDAAANSIEPNVVYAKRAHKKYAFESYICQRMFGGFEQESFSVKSDNITINKESFFHQFLALREIDPLDMLGQNPDSIFGKFCRSKYLVVVHPKMEASFFGNLDQRNYVMGGGHPRTPFYQVFLKLAKSIWLLHKLAYSFEPNVKVFQVKGGSEFSDVYMESVIKNLIMEENDEKPKVGLMVMPGFWIGGSVIQSKVYLSGMKVAE >RHN39983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12058640:12062345:-1 gene:gene46070 transcript:rna46070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MGEHRERYAVVTGSNKGIGLEIVRQLASAGIKVVLTARDEKRGLHALETLKASGLSDFVVFHQLDVADAASVASLADFIKSQFGKLDILVNNAGINGIEIKDSDLYSQVLITNGAQSDEELRRTMTYTFESAKECIEINYYGAKRTFEYLLPLLQLSDSPKVVNVSSGLGKIEFVSNEWAKGVFSDVENLTEERIDEVIKEFIKDFEEGSLERKGWPRYLAAYTVAKASMNAYTRITAKKYPNFCINCVCPGYVKTDITANTGFFTVEEGAAHPVRLALLPNGSPSGVYYIRNEVYPF >RHN80233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36347755:36350278:-1 gene:gene4133 transcript:rna4133 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLIQPFISNFVKRVHSFNVCIVNSNALVTNVMHIVKQQPLRPTLFIVAFSPPSLFLFHKFSPKPFSSDHFLTTKPFSSAAAVFDSNPTLSSCSNQNR >RHN38435.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000015.1:1907:5319:-1 gene:gene50735 transcript:rna50735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MKRRITKLLSLSFSSLQSQIQNHHQPLSKSLPPTSSFSTFSNSERDSLILQQFKQRKLKGSSNSVLNSSFDDTNDEKMIQNGLKNGTMVVGGFKELGMSDELIEVMEEIGEFVPSEIQCVVIPTILDGKSLLLSSPSQHDRTLAYLLPLIQMLRRDRELLGSNSKYPRAVVLCASEEKVEQCFNAARYIIHNAEVKSAKNRASSDTEKSNSSIGLMIGTPYEILQYIEEGTIVPAELKYLVLDEADSMLGSSNIGPEINKIIRPLQHNESKSSVKRLQTIMAISTIAEVLGEDSPIVK >RHN43640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47422663:47423871:-1 gene:gene50249 transcript:rna50249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MDECNHLSLPKTSSSAHLPDPVEKRPKRPRESNHPVYRGVRMRAWGKWVSEIREPRKKNRIWLGTFATPEMAARAHDVAALAIKGNSAILNFPELAASMPRPDSNSPRDVQAAAVKAAAMEVPDQQTSSSTFSPSPPSHSLSSSSSSCSLAVSSSDEPSTPDELDEIVELPALGTSFELPDPSNMVFSDPWLYSHSWYNLNSIYDERDYFMEDQISMQQENNNSENISKYDSFWF >RHN79346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27821856:27823085:-1 gene:gene3123 transcript:rna3123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative universal stress protein A MKMKVMVAIDESDGSLYALKWALDNLFNIMATDTMNGTTSENEEGIVFLVHVEPNFHTYAYPIPMGPAGVAFYPSIPEVGDSLKKAQQEKSAAIASQALQMCKDKQVKAESVILNGDAREMICEATEKMQVDLLIMGSRGLGKLKRAFLGSVSDYCAHHAKAPILIVKPPEDHHKKH >RHN74803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36767792:36769121:1 gene:gene10923 transcript:rna10923 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWAPAKQRRTFPLIPTFIYHHRHNNIYIKCEFTESICKPDKIMTNQ >RHN38982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3275508:3281191:1 gene:gene44965 transcript:rna44965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MDMRNPRSKPQSKWTYDVFINFRGADTRKTFISHLYTALTNAGINTFLDNENLQKGKELGPELIRAIQGSQIAIVVFSKNYVHSRWCLSELKQIMECKANDGQVVMPVFYCITPSNIRQYAVTRFSETTLFFDELVPFMNTLQDASYLSGWDLSNYSNESKVVKEIVSQVLKNLDNKYLPLPDFQVGLEPRAEKSIRFLRQNTRGVCLVGIWGMGGIGKSTIAKVIYNDLCYEFENQSFLANIREVWEKDRGRIDLQEQFLSDILKTRKIKVLSVEQGKTMIKQQLRAKRILAVLDDVSELEQFDALCQRNSVGPGSIIIITTRDLRVLNILEVDFIYEAEELNASESLELFCKHAFRKAIPTQDFLILSRDVVAYCGGIPLALEVLGSYLFKRKKQEWRSVLSKLEKIPNDQIHEILKISFDGLKDRMEKNIFLDVCCFFIGKDRAYVTKILNGCGLNADIGITVLIERSLIKVEKNKKLGMHALLRDMGREIVRESSPEEPEKHTRLWCHEDVVNVLADYTGTKAIEGLVMKLPKTNRVCFDTIAFEKMIRLRLLQLDNVQVIGDYKCFPKHLRWLSWQGFPLKYTPENFYQKNLVAMELKHSNLAQVWKKPQLIEGLKILNLSHSKNLKRTPDFSKLPNLEKLIMKDCQSLLEVHPSIGDLKNLLMLNLKDCTSLGNLPREIYQLRRVETLILSGCSKIDKLEEDIVQMESLTTLMAANTGVKQPPFSIVRSKSIGYISLCGYEGLSHHVFPSLIRSWISPTMNSLPRIPPFGGMSKSLFSLDIDSNNLALVSQSQILNSCSRLRSVSVQCDSEIQLKQEFGRFLDDLYDAGLTEMRTSHALQISNLTMRSLLFGIGSCHIVINTLRKSLSQGLATNFGDSFLPGDNYPSWLAYKGEGPSVLFQVPEDRDSCMKGIALCVLYSSTPENLATESLASVLIINYTKFTMQIYKRDTIMSFNDEDWQGIVSNLGVGNNLEIFVAIGHGFTVKETAVYLIYDQSIATEVEPSSTIEVDPSTSTNIEPLYEVEVQSSLSVKLEASIEEQLQPSLDVKTEPSAEEDVQPSPNVKTEPSAEEEVQPSPNVKMEPSLVVKNEPLPNTNRKIFTRLAKRVGECLCMNQNVYA >RHN57171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37063555:37066087:-1 gene:gene32675 transcript:rna32675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rad21/Rec8-like protein MFYSHQLLARKAPLGQIWMAATMHAKINRKKLNKLNIIKICEEILNPAIPMALRLSGILMGGVVIVYERKVKLLYDDVSRLLVEINEAWKVKSAPDPTVLPKGKSQAKRNEITIPNKERGSIEEDIGNSYQSTATTATRFHRSAYFSMRLDTLDLGNERIEEEDPSVHHHQADPDNITLPERFQADAVPHNQYERFEGDEETQVNGTSGDCAQFGKPSPPPPNETTIGE >RHN57486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39484637:39486485:-1 gene:gene33033 transcript:rna33033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MSLLTPHKSTIIWLSLRPMIFHLIIFIGYCFCLGIAIDCGGNHVTNTIIVDQQGRGAFKMIQPAIDSIKNKNDHWVKIHINPGKYVEHVNIPYDKPCIILEGSDRKTTTITYGDENIATPTFFSFPPNVILSGITFENTFGNSEPAVAAIINGDKSAVFNCGFLGYQDTLFDAMGRHYYKNCYIQGEVDFIFGEAQSYFEVIIIII >RHN74073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:26240702:26242372:-1 gene:gene10030 transcript:rna10030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-lipid omega-6 desaturase (cytochrome b5) MSCMLNLADSSLLSKDSHKRPIMNSIIPAQFTSGICKVNENCSFNKGFRQQRISIYRKKASIIKAVAIPAAPSPAESTGEYRKQICERYGFKKIGEPLPDNVTLKDVINSLPKKVFEIDEMKAWRKVLISVTSYALGIFLISKAPWYLLPLAWAFTGTAATGLFVIGHDCAHKAFSKNKLVEDIVGTLVLLPLIYPYESWRFKHDRHHAKTNM >RHN70570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52351322:52354272:-1 gene:gene19163 transcript:rna19163 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDSSVRSMKRLFFKSPNPITLSPFPDSHSTHNRRLRVADILLADEDAAAADSVDSSMNVVNQTPPHRSSRSAKCYSPRRLVAWLLAAMRPMKKRRILKHREKIPSSPMTNELKDNEEESRQLDWNNTSFKLGVGCGLLYVIATTKNELSKMVELRKEMEIILQNMKGELQSKDVLVKSLKQCDDALAFSITDIQEVSCSSSHPSINSQKPYVQLELKCNTVCDRFLEYDISEQDECAEEINDLQAEFEYELQRLQLYLDAEDAFEDAPQERVEVAVNDSSSKSESSSFGEIIMEPQEASYDMSFGVPPVELERRLHELLETRLQERIVELESALEYATQKLNEKEIRSSWWEDSARRIPDHVPETARFTFPLDPEAALKLVKL >RHN73219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14490898:14494386:1 gene:gene9012 transcript:rna9012 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MTGNLPELVKELKDLGFHPSQSIFSVALIAKTYLSKSRWNEKVDVFKKWGWSDEDVREAFKKQPQCMLTSIDKINSVMNFWVNQLGWDALAIAKTPRVLGASLERRIIPRASVVEYLLKRGLHKKEASLTSPFIVIDKTFYDMYINRFKEESSYLLKLYEENLNLAQTKEKTGMI >RHN47958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43824320:43832782:1 gene:gene42616 transcript:rna42616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-structural maintenance of chromosome element 4, Nse4/EID family MGEHKVVYDDNKPTEFFQWIDLKKENIAKTDSKTFGSIFSKIEKLHKSVTTPREQVADAQALLDITKSLALSVKAHSSGGLTPSTFVTHILEKFGQGGGTSTSREDCSRNSIAWQDIGIAVSSIFGAGYGCSTMIGPMESKIKPKRVYRRRSVKPTQLARPAEVVESSKNGRNDTDKNMLTMFNILKKNRSVNLENLVLNRTSFAQTVENLFALSFLVKDGRAQIKVDKSRRHLVSPRNAPAAKSVISMDVALTHFVFRFDYNDWKLMVRTVVGEELMPQRNSQSQI >RHN67199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24822295:24824380:1 gene:gene15357 transcript:rna15357 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQTCITRERKCDKRETANMKEQLEALISKTTTSQIFPYNKRCKHICFNYVWIKVC >RHN78161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12400989:12403424:-1 gene:gene1686 transcript:rna1686 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDLVDKELIHLQDCGFNSCYRCQDFCKYLSKLSLSLKEFYLNCMNGNNQCMIGI >RHN65132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64412669:64420406:-1 gene:gene28035 transcript:rna28035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine aminopeptidase, S33, alpha/Beta hydrolase MIEQFINFVIRPPRADYNPDQYLWEKEFSLAGRTYQRQDLELKNARGYTLKCSHYLPFPFPEDTSLPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDYVSLGWHEKDDLKIVVSHLRSNKQISRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLYNLMMELVDVYKIRLPKFTVKMAVQYMRRVIEKKAKFDIMKLNCVLVAPKTFIPVLFGHASDDKFIQPHHSDLISESYAGDKNIIKFDGDHNSSRPQFFYDSVSIFFYNVLRPPQVSIAEKLEKYYDLGDLKLGSGVDESVLYEILSSLRSATTDAASSSSTFPTISATKSVSELLSEVAPLTDVAPLLEGETTDDNDGIGPDEAASVQGKLNGQIEDCCSYTSSNRESWGRCSSLGGSDQEFCADLSADDKLSQNTVKVFATPLRSMKEKSSDSKEDEKKQKKGKKKKKKAETVAKKPKSDRFEKLEALSRRLRLCLLKGSTHRRNKSS >RHN40470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16587942:16588121:1 gene:gene46623 transcript:rna46623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MRWSGVGIHEWWRNEQFWVIGGVSAHLFAVFQGLLKVLARIDTNFTVTSKASDEDGDST >RHN52124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31744933:31750507:1 gene:gene36752 transcript:rna36752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, alpha-ketoglutarate-dependent dioxygenase AlkB MEKIEPKHQNLSDFKVGSLPTLFYIPDFISDTDQTLLLNNIYGAPSSKWKLLKNRRLQNWGGVVHEKGLLPQPLPSWLTNFTQKISEESGLFPSPINHVLINEYQPNQGIMPHQDGPSYFPVVAILSLGSPVVMDFTPHAKLKLDSQEVTDKESDGETFEIGKDKWLDDHRPFSVILMPRSLLIFKDKAYSDYLHGIKDCALHGYDGAVNEIEALKHNESDKHLFGSEDALEAIGKEEYKNISRTSNRISLTCRLVPKVHKNLFRF >RHN60700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29650822:29655587:1 gene:gene23055 transcript:rna23055 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMFTNNQRQQERTGRYGTSRLQYLQELVTQFQNSSEDEIKEKILANLANFAYDPYNFNFLRQLNVLELFLDCVTEPNEKIIEFGVGGICNSCVDPANATIVAGVGGIPLIIQCLSSPVRNTINYALGALYYICNESNKEEVLKPEVIDVIKRYAAAEEVSVSFSNLAKAFLDKHLSRNY >RHN59024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6775842:6777969:1 gene:gene21005 transcript:rna21005 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLSPQFLTEDEKSFINKLFTNDAVSSSTTLFPYDDVSISELTRQMTHSSLHNKGVFENGSYAKSSSGNDTVLKQNLQKARFDLLHAAAGEDARVHRAKYEEEEAFYGFTPKNHHGFHTQNHHHQHAISQKQLQFQIAQFEMLKKQWMKQREEFSRAISQRRVENHRNIPGGRRNNETVFPSNVGLSSSAWSSVKKGNPNLRRERNGTGVFLPRVVDSTESRKTLGGGSKNVVVPERVVYAWNRKVEEGMIRGYMQQKNRFYGTSNFENGVGSDYEFSQQKRNVKQQLTEVNREIRLPQEWTY >RHN44846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9072261:9074681:-1 gene:gene39012 transcript:rna39012 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MARKYEGRAVGIDLGTTYSCVAVWLDDHNRVEIIHNDQGNRTTPSVVAFTDYQRLIGNGAENQSATNPENTVFDAKRLIGRKYSDPIVQKDIMLWPFMVTSGVNDKPMITVKYKGQEKQFCAEEISSAVLTKMREIAEAYLGSPVKNVVVTVPAYFNDSQRKATIDAGAIAGLNVIRILNEPTAAAIAYGLDKRSNYDGKRNILVFDLGGGTFDVSILTIKGDVFDVKATAGNTHLGGEDFDNRMVYYFVEEFKKKNKVEISGNPKSLRRLRTACERAKRILSFTFVTTVEVDALFMGIDFSSSITRAKFEEINMDFFNECINIVDSCLRDSKIYKNDIDDVVLVGGSSRIPKVQDLLLEFFKGKALFMNINPDEAIAYGAAVQAAILSEDFKNVPNLVLRDVTPLSLGIATATYWENIMDVVIPRNTSIPVKNTKGYCTAIDNCGASIIVYEGERPRASDNNLLGLFTLSCLPGPRGQPLEVCFSIDENGILTVSGKDITTGILNEITITNEKERLSKFEIEKKIEEAEKYRVEDMKFLRKAKVISALDSCVYNIKSALNMKDVDLILSPQESEQINNAIIVAMNLLEMNKNNQHIEIDVLEHHLKEMNSMLNMLLKIVEDMKFLRKATVMSALDSCVKNITNALNTKDVNLIISPQESEKINNAIFGAMNLLDKNKNNQQINIDVLEHHLKELTNMLKMLLKIVSLFCHIS >RHN49135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52690263:52692307:-1 gene:gene43932 transcript:rna43932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRHSCCYKQKLRKGLWSPEEDEKLLNYITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSEQEENSIIELHALLGNRWSQIAAQLPGRTDNEIKNLWNSSLKKKLKQKGIDPNTHQPFSENNDNDTPNIQIQKTSVGSNEVKNLFDDTPNPNSIPMDNCNYPLEINSKINNSVNCFIPCDNMVGLGGGSYLNFQQLNYGINNMTLSPPNSNSNTSLCFIPSTSGSTSTCSQMMSELNSSTMFHSMYTNHPSNSISSGEVDGIQNWEFGASKSSNGSSINNYLDHQVVEDIKWSDYINSTPFFLGNNNTFQQTTTSTHSSIYEVKQEMGGFINTNESIQSSSSTWHPHFEADIYSNKDLQRFSLAFGQTLN >RHN70161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49200329:49204814:1 gene:gene18716 transcript:rna18716 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MRKKRKGSETDASTDLGVVNLDLDHAGSVGSSNLKSHYSLEDCCRLKKRCKEDADIHIEFEGSFKSRLAGIATAPPFGTSSLITPTRGLKRKIGCIDVATQMGRKKKIDDDYVTGEAIGQGKFGSVYLCRSRGSGAESACKTLKKGEETVHREVEIMQHLSGHSGVVTLQAVYEEAECFHLVMELCSGGRLIDQMLKEGPFSEQRAANTLKEVMLVIKYCHDMGVVHRDIKPENILLTKLGKLKLADFGLAMRISEGQNLTGLAGSPAYVAPEVLSGKYSEKVDIWSAGVLMHALLVGSLPFKGDSLEAVFEAIKTVKLDFQAGMWESISKPARDLIGRMLTRDTSARISADEVLRHPWILFYTAQSMKMLPIKIKLKNQTGAASRQLVASPEPRLGRNMIDNGSVSELPSSESCYSDDQDECALIDALATAISHVRISEPKRTRLCSPTGPIVQQGSSNMKANNLCKAF >RHN66985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22426829:22429219:1 gene:gene15112 transcript:rna15112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MTILTPKMSFILLLLLYVTRFDKSMCSNHTTRCNEKDRETLLTFRHGINDSFGRISTWSTEKDCCVWEGVHCDNITGRVTKIDLKPNFEDEPIRYLKGEMNLCILELEFLSHLDLSLNDFDVIRITSIQHNFTHSSKLVYLDLSNSLITSMDNLDWLSPLSSLKYLNLSFIDLHKETNWIQAVSTLPSLLELQLSNCNLNNFIIGTSFKYVNLSSIVTLDLSYNYFTSHLLDGFFNLTKDINFLSLSGNNINGEIPSSLLKLQNLQYLLLAKTQLKGSIPDGIGQLINIKGLDLSGNMLSGFIPSTLGNLSSLNDLSIGSNNFSGEISNLHFAKLSNLDSLDLSNSNFVFQFALDWVPPFQLSLLSLKNTTQGPHFPSWIYTQKSLQDLDLSNSGISLLDKNKFKDLIERITGQLILSNNSIVEDISNLTLNCFDLRLDHNNFTGGLPNISPMANFVDLSFNSFSGTIPHSWKNLKILYHISLWSNRLFGEVSLHFSDLNQLEIMNLGENEFSGTIPILISQKLEVVILRANQFEGTIPPQIFNLSNLFHLDLANNKLSGSLPHCVYNLTQMDTDRVYAWRPATIDLFTKGQDYVYDVNPERRTIDISNNSLSGEVPLEMFRLVQVQTLNLSHNNLIGTIPKEIGGMKNMESLDLSSNKFYGEIPQSISLLTFLGYLNLSYNNFDGIIPIGTQLQSFNASSYIENPKLCGAPLSNCTTKEKNSKTATPSTKNEDDDSIREWLYLGMGVGFAVGFWGICGSLFLIRKWRHAYFRFIDRVGDKLYVTLNVKLNSFLRN >RHN54154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7368797:7373452:-1 gene:gene29145 transcript:rna29145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MGLIRTHNKHTTTSVLQSKSSFSTPQTMKTTIQIYGVSLSLILINLAAIMERADENLLPAVYKEVSEAFHAGPSDLGYLTFIRNFVQGLSSPLAGILVINYDRPTILAMGTFCWALSTAAVSACHDFKQVAFWRAINGFGLAIVIPALQSFIADSYREGVRGVGFGVVSFIGTVGGIGGGVMATVMAGQKFWGIDGWRCAFVLMASLSAFIGILVLLYVDDPRKRFSPIQDASESSERDDSIYNGNASVTSTWRYSWAATKSVIKVQTFQVIVLQGIIGSLPWTAMVFFTMWFELIGFDNNTSATLLSLFAIGCAMGSLIGGSIADQLTQIYPYSGRIMCAQFSAFMGIPFSWFLLRVIPQSVTSFLTFSITLFFMGLTISWNGTAANAPMFSEVVPVKHRTMIYAFDRAFEGSFSSVAAPLVGILAEKMFGYNSKSVDPIKGSSAEALALSKGLLSMMAIPFGLCCLCYTPLYYIFKKDRENARMQALKEEEMM >RHN82799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56488666:56490779:-1 gene:gene6987 transcript:rna6987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MATSKGRRSARMLLFCLTLATISLTHCDANRSIRLLKAPKHRRIVINKNPKKLKIMKHFDFDPSIYSSNAQPYGISSPLSLPPYESLAPGKSPPYCVYPPPSSSTPSTTIPTPTSSQPTQPSPPYTSPDLPSQSPPPGPTTVTPSPPENFPTPTPEIVPSPPDNFPTPTPEIVPSPPSNIPGSPEPILNPPIIFPGPPGPSMSPPYFEPAPPYYEPTPPFIPSPTGGSGSIPSPPSTFQSPSGGTIPSPTVYQPPVVYPPPSVPPRSNTAPQASLWCVAKASVPDPIIEEAMNYACWSGADCSSIQPNGPCFQPDSVFAHASYAFNSYWQRTKASGGTCEFGGTAVLVSVDPSYDGCHFIYN >RHN60442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26811218:26816448:1 gene:gene22754 transcript:rna22754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5-3 exonuclease, 5'-3' exoribonuclease MGVPSFYRWLLNKYPKAFEDINPNTNTTNVEYDNLYLDMNSIIHPCFHPNDDDNNNGTPPTTFVEVFANMFEYIDRLVTIAKPRKLLYMAIDGVAPRAKMNQQRTRRFRNVKDDEMREVEEERLRKEFEMEGKQVLPKQECEVSDSNIITPGTDFMHQISNALKSYISSKISSDPLWKGIMVILSDANVPGEGEHKVMSFIRKQRGLPDYDPNTVHCLYGSDADLIMLGLSCHEPHFSILREDPPDRYEKLPPNAPMRFKLLHIWLLREYLEIEMKIEDPPKNFVFDLERIVDDFIFICFFSGNDFLPHLPSLYIHEDAIDLLMTVYKKEFSKIGGYLVDINKIGEKHGAYVKLSRVEKFVLMVGTYEEKIFSKRTAIRDKKLRRLISDNENSKQEELNSHDCMDFDNENSSNCALRIKKAEASDNVCPQSDEEILKNTKDLKEKLKKCIKDKGDLFKSGDFLTDKIKLGNVGFKERYYKEKFSVEGSTNIELKRKEIVQKYTEGLLWVLQYYFSGVASWTWFYPYHYGPFVSDLKGMAQVRVNFEKGVPFLPFDQLLSVLPQKSAYALPKAYAQLMLDEQSKIFDLYSQDFEIDIEGKRFMWQESEAKRNSVEVDRLLVSGTTKLAEKICSLKLNKLDTSISDGIGGIISLCHECVENNKHEDIVFCVKYEHPVGGTSHVQHLLCGVNLPEKVKFCWLI >RHN74021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23716226:23717514:1 gene:gene9947 transcript:rna9947 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAGDAFLRPIFEGCISGYDHSVERRPYHRKCGCVLHSKSKKSSTTQKSPMMCNKVKYPIRRAFSEGNLALIASPLTSPSPAPLGGVKSRRGSVDIDHGDMDEQLNRNTSGFV >RHN63373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50763597:50764501:1 gene:gene26066 transcript:rna26066 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTTFPSTLFFLRRGKGLFRPPYFVFLLPVIGHLRIPLLSLSTGAPPSTTTTNLLSPIRRKPPLSRSRSSLRGFFSKLWIPWKNECYVEEEEEY >RHN61511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36070516:36070989:-1 gene:gene23984 transcript:rna23984 gene_biotype:protein_coding transcript_biotype:protein_coding MLHIVDHAKLLHDVAEKIEKIKTTLNKYPCMIRVSGSLDLGLRLFCASTNTLVFCPSFYTILVLKKVIILFTKKIYMIVPLNTE >RHN73779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19848695:19849708:-1 gene:gene9641 transcript:rna9641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MVACWYLWKWRNKSIFEEDFQRPNNPTNVILKMAMEIDSCEQTHVTGWSHQMDTILIGWKWPQDGWVKLNCDGAHKNSINLFECGGLLCDSNGICLIGYAHKIGACDAFHAEMWVMYLGLELARGRGITHLQVVSDSKVLVDMIT >RHN63464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51473027:51477219:-1 gene:gene26163 transcript:rna26163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDPHPNPGTYPILNYVMSRLPSFTSKSSPSKSDVEQPPPSSSIIGQMPNLTDPKLLAAMTHAISDVSQARSVLNLIGDRPTHEEVDNAKAKLVDLEAHLLRQLEEIVALPRPPEIDEQKWIIHVTERETKCRENVENEKRGYKELLQKDEMHEAYEKLLKDAEIRLVKIYENDGGDGNDDNDVVEDKECEERVMRILQEVREKDGQRVDLSGQRLTMLPEAVCQVSSLVVLNLSTNKLSVIPDTISGLLNLEDLNISSNILKSLPDSIGFLQKLKILSVSGNKLSALPDSIGQCRSLVELDASFNSLSYLPTNIGFELQNLQKLLIGLNKIRSLPSSICEMKSLRYLDAHFNELHGLPIAIGKLTSLEFLNLSSNFSDLQEIPETFGDLSSLKELDLSNNQIHALPDTFGRLDSLIKLNLEQNPIELPPMEIVNEGVQAIKSYMAKRWIDILAEEERKKTHESEEQGQSGWLTRSLSMLKNVPGNVTEYIESVVSPKTPKTPKTPRSNFLDQEL >RHN72948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12078431:12078724:1 gene:gene8716 transcript:rna8716 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNTCHGTLPSNFSKESALETLNLYGNQLEGHIPRSLPLCKGLKFLNLGSNKIEDEFPDWLQTLQDLKVLLLRDNKLHVLIVNLNTKHPFPSLTIFDI >RHN75673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44214895:44215311:1 gene:gene11910 transcript:rna11910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chloroplast protein import component Tic20 MSRAGYYLEPLLETSIFYIPTSVNQLPTWFPFLYIYLAIELVVKYRDFPLILRFHVMMGMLLETAFQIVSVASNYMPLIHFKGTLGMYYWAGLALAYIVIIMHSIRCALLGTFSNIPVISESALLHCLFNIGGFQRPF >RHN56695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32955257:32971741:-1 gene:gene32133 transcript:rna32133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGRQKGEGARSKARPSSSSLAASLLSSAPSSAAAAAVGFGGFVGSSRLDPSPSNEDSSLPFADVDSEIAVHLKRLGRKDSTTKLKALSALSTLLQQKSAKEIVPIIPQWAFEYKKLLLDYNRDVRRATHDTMTTLVTSVGRDLAPHLKTLMGPWWFAQFDPASEVSQAAKRSLQAIFPAQEKRLDALILCTTEIFMYLEENLKLTPQSLSDKAVAMDELEEMYQQVISSTLLALASLLDVLISPQQEQPAFENITTEPKHASKARVAAVSFGEKLLIDHKHFLEFLKSQRPTIRSATYTVLKSFIKNMPHAITEGNIKSLAGAILGAFNEKDPTCHPSMWDVILLFSRRFPGSWSSLNVQKNILNPFWNFLRNGCFGSQQVSYPALVLFLDNVPPKAVQGDKFFLDFFKSLWAGRKTSLSADRLAFFQSFEECFLWSLKNASRYNGGDDSISHFRVTLIDNILVKLIWRDFLTTGSSKGYDIITGKESDSSEKTLSHSKKVDVQNTKYPMPYLQALGKCFVEILLGIHVLDINLLSVFTVELEDNCMSVLQQAGNVEMVEQIISFMLLLEKHTVTKGATWPLVYIVGPMLAKSFPIIRSSDSANTVKLLSVAVSIFGPQKTVQEVFNQKRGHCSSQLSYGGDELLEAEEFLQIFKNTFVPWCLQPNSSSTNARLDLLLTLLDDRHFSEQWSFIVNCVINQSNSGCPAGLINSDQTAMFAMLLEKARDESMKRKVRDGSSYRPGANAEDWHHECLESYAIAASHSLPPYSTSHVQFMCSLLGGSEEGRSIPFLSIDALIVVYEEILRKLVRFIHDSSFSWAQDTASMLSIDAEISAEHDSSLNIVEMAKVSLEILDGSFFCLKTLDEVGRTVSGILAAIFVIKWECNSSKALDYSLDDSARRSLGEYAHTFHNKINVPFLKSLCIENYRSLWKVLIESVKSAIFVEDSRVNNGITSLCCTWVLEILERVCVDENDEQNLLHQLLIKEDRWPVFVVHKFSSIKASGHQKFVALIDKLIQKIGIDRVIAGCAMPNSSMLERGQDIASSAWLAAEILCTWRWPENSALSSFLPSLCAYAKRSDSPLESLLDDILSILLDGSLIYGADSTKSSVSMWPVPADEIEGIEEPFLRALVSFLSTLFKENIWGTKKASYLIELLANKLFLGEEVNTNCLRILPFLISVLLEPFYGYMEPIKGVEPCSLVEGFVQNTMIDWLERALRLPPLVTWTTGQDMEGWLQLVIACYPFSAMGGPQSLKPARSISPDERKLLYQLFLKQKLVAGVSAMTNQLPVVQVLLSKLMVVSVGYCWNEFSEEDWDFLLSNLRCWIQSVVVMMEDVTENVNGLVDDSSGNLDVMCKKIEKIISISDPFPIKISENALLSFSLFLKHCKHHQTEETDNLNTMKTEKLDSAKDRIVEGILRLLFCTGISEAIANAYFKEAASVIALSRVQHASFWEFVASAVLNSSPQARDRAVKSIAFWGLSKGSISSLYAILFTSKPIPLLQFAAYYVLSNEPVLSMAVIEDSACNSDINAASDQDSSRFDTSIEEKVSLKKEISYMVERAPYEVLEMDLHSHQRVNLFLAWSLLISHLWSLPSSSSDRERLIQYIQDSATPVILDCLFQHIPVDISMNQSLKKKDAELSGSLSKSASAATLATNTGSLLFSVKSLWPIESEKISSLAGAIYGLMLHVLPAYVRGWFNDLRDRNISTAIESFTRTCCSPPLIANELSQIKKANFRDENFTVSVSKSANEVVATYTKDETGMDLVIRLPASYPLRPVDVDCTRSLGISEVKQRKWLMSMMLFVRNQNGALAEAIGIWKRNFDKEFEGVEECPICYSVIHTTNHGLPRLACRTCKHKFHSACLYKWFSTSHKSSCPLCQSPF >RHN45009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10707415:10713743:1 gene:gene39202 transcript:rna39202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MVFLGFMQFSVLSLCFIVLTTFPYQASSRVSFGRNQNGVNPHLDDGICASAVIVHGYKCQELQVTTKDGYILSLQRIPEGRLEGRNDVSRKQPVILQHGVLVDGMTWLMNPPEQDLPLILADHGFDVWIANTRGTRHSRRHVSLDPSNPAFWNWSWDELVIYDLPAVFDYVFSQTGQKINYVGHSLGTLIALASFSEGKLINQLKSAALLSPIAYLSHMNTALGVIAAKSFIGEITTLFGLAEFNPKGLPVDAFLKSLCADPRIDCYDLMTALTGKNCCLNSSTVERFLMNEPQSTSTKNMVHLAQIVRHGVLAKFNYVRPDYNIMHYGEIFPPIYNLSNIPHDLPLFISYGGQDALSDVRDVENLLDRLKFHDVDKRSIQFVKDYAHADYIMGFNAKDIVYNSVLSFFNQVL >RHN80745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40533937:40536587:-1 gene:gene4705 transcript:rna4705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MCLPEEERDRVKDMALEAVVYPQTQDPFSYGIKDFYNFNFNTLVAKEQEQEHRSFSFVENQTENNCPPYVDWNNNNSSTFSPPHLNEVQETTTDPSSNTNNTQNFHASPSVNTVIRPKRRRARSRKNKEEIENQRMTHIAVERNRRKQMNEYLSILRSLMPDSHIQRGDQASIIGGAINFVKELEHKFHFLGAKKERVVKSDEAGGSNNMPFSEFFTFPQYSTSGSVCDNSNSVATIGEKVGEIQSCIADIEVTMVENHANLKIRSRKRPKQLLKIVSGLQNMRLTILHLNVTTIGEIVLYSLSVKVEDDCKLGSVDDIASAVYQTVTRIQQEAMLN >RHN79133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23727551:23734084:1 gene:gene2850 transcript:rna2850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MALDKEKLEEESTIHRFYKIILAWDYVTLEAEAILSPFLFFFPFPNSYLLFTFCVCVCVCFRIRKETMGKLLLPNSSRSRINTKMFKIMRTPMSLSFLKKPNHKSLVAKMKKVEPATLVPLANKCKKVFLVGDPAQLPATVISDIAKNHGYGTSLFERLKQAGYPIKMLKTQYRMHPEIRSFPSMEFYDNSLEDGEGVKIQTERDWHRYRCFGPFSFFDIHEGKEAKPSGSGSWVNVEEVDFVLLLYQKLVSLYPTLKSGNQVAIISPYSGQVNLFKQRFEETFGVSAEKLVDICTVDGCQGREKDVAIFSCVRASKEGGIGFVDDKRRMNVGITRAKSAVLVVGSASTLRRSVQWNKLVESAEKRDCFFKASKPYPSFLSDKNLESMKKKTDEPPEATESAD >RHN69801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46352950:46360203:-1 gene:gene18316 transcript:rna18316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine--tRNA ligase MASVDNRKKSLVLALSKHLSLDPSVFPADSAGGDIKTLYLNIIAASGHEVNHSDEVLKWVEFAETLPVSLDGCFEILKRLNDELSGKSVLLGNGLKPSEADVIVFSVIHSSLISLPDTNKEKLAHVLRWVDYIQHKLEFVGLFEEILLQKPEFEPPVTKSAAAVESDLKSNKTEQSVKNVNKAEPETSKDKNKAEVKSTGDTEPAKAKAKPAKPAAKEVSEKENEVSVSLLNIQVGLIRKAWKHPSADSLLVEEIDVGEAKLRQVVSGLAKYCSPDELTNRRVALITNVKPGKLRDVVSEGLVLCASNEGSTIVEPLLPPEGAKIGERVSFAGIDGKPEDVLNPKKKQLEKITPHLFTDDNGMATFKGIPFMTSGGPCTSSISRATIK >RHN82380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53313490:53314303:-1 gene:gene6543 transcript:rna6543 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYKSFLFFIIFVHFLAFALAVLAELGHREVQGIPNVDQTFCLYYTFASTIHGFGACVLMLISQVYVNTITKCLCFSRDLVSSRSATCAAFFFIDCFLGSRSMLAGSICYECNPKCVFNEYFLGHDLSCLILSKGVFAAGAALILFSMLTSILYYWVYSKADTGYWERHHGKIHNLVPELEMA >RHN67700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29447084:29471947:-1 gene:gene15917 transcript:rna15917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MKNLMKEKIENVEVTRCLPGAERYSSQYYISFKSRELKYEELFDALKDDNNYIGLHGMGGSGKTTLAKEVGKQLKIQEHFKYVIDTTVSLNPDTKKIQDDIAGSLGLEWGDINESDRPKRLWSRLTNGEKILVILDDVWENLNFDDIGIPNSDNHKGCKVLVTTRNLEVCNKMGCGKTIQLELLTEEDAWIMFKKHADLSNNSSKSILDKGRKIAIECKCLPVAIATIASSLKGEKSGAAWDAALNCLQNPVSMGSVNDDLFDIFKCLKFSYDYLKDEKAKGLFLLCSAFPEDEVISVERLTRLGIGVNLFGEGYKKYNDARNQAIVAKNKLLRSCLLLETEDGDVKMHDLVREVAQCIANKEILAVDLSKENQKSLLERRKNIKYLFFQGKLMDLFSTRFDASKLEILIAKKSMDAFEDVRTSFSENMAGLRILQLSHLSLPKSIQLLENIRSLLIENVNLGDISILGTLQSLETLELHSCKIDEFSPEIAMLNNLRLLSLRWCQIRSNNPFEVIHRCPSLEELYFSHSFNDFCQEITLPTLERYLLGDYSGDWNVPISKYVYLAHNYFSEATFKYLMQTSEYFMLEGIKGCRNLMPEMVPIDQGMNDLIELHLREISQLQCLVDTKHINSQVPIVFSKLVVLKLERMEALEELCNGPISFDSMNTLEELYIKECENLQSLFKCSLNLSNLKTVKLKSCSRLVSVFEMSTSQSFPLLEKLKIIDCEKLENIITFERRVMDDTVEVSADGYNDNKSCYSLFPNLKVLHIVNCRQLQFILPILSAQDLVSLEVITICCCHKLQYIFGQHQDVKLTSLKTVAIGDLPNFIDIFPPNASSISKHDSKTKTQLDPIKGKTFSMCCYRYKMSCTKIPLVSEDQPQNCSISLESNSYFLNISEPPQCLLRQSHIPCNIKEIEISNLPKIKSVFILSIALRMLKTIKIEKCDELKQITIDIGAHNSSSGNNFGNVFTKLKTLYVEECMQLEYIFGYNHDHQNHTEMAKLELNECPQLASNGDFIKELSGNEENGQQMNLSLEDIKLINLPMMRSLFVGLKYSFVLENLREIIIVQCEKLEIVFSTSILRWLPQLVSLQVEECEELKHIIEDDLEDKISRSSNTFFPKLETLIVTKCDKLKYVFPISICKEFPKLKAVLIREANELEEIFTSDKKDEVEEISKSKVQIPNLRVVAFVNLPRLCHAQGIHFQEVKYRVVDICQKLFLTSCNDCDGDPFNFFNFWTERDLRRRFEEIQLHYHVRCHAQEIRREYLKAASEHKLISPQLEGSTSEISEAATVSIITETKNKLPTQVVDPKQKGIQINVEEGNTSVNAKTIASSTHLDVIGSSSGQLITSECKTSSQVDGDSQIAMTSFSISAAETNDQGSLNDDSFKKVSSNIEEQFPMDDDIIVSKSKPSLSITSPVVYQFPPVPCKEDPSQKVEDLSSSLVVKCDLEQLDSKNHLDCGNLSLLTDFFVKHPSICLKDTSLSNTYKGCAYNVLAKLLKFLKTHSILEVLGTFHSEFVELLQDARSFGFDKDWLDGVERRALFPDIERQKLLDYKQQVTKDVELLHLKIGILSQHVEDLKQQLTSSEVVLERIIQQEVVLSAPIGY >RHN76474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50667213:50670280:1 gene:gene12806 transcript:rna12806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Galactose-binding domain-containing protein MQKFKVLLVLFLSVCQGALSYTDGLLPNGDFEVGPKASNLKGTVVTTHDAIPNWTVSGYVEYIKSGQKQGDMLLVVPEGAYAVRLGNEAYIKQKVKLNKGSSYSITFSAARTCAQEEKLNVSVVPTSEKRDYGIIPIQTMYGSNGWESFACGFRADYPEGEIVIHNSGVEDDPACGPLIDSVALKVLNPPIRTRANLLKNGNFEEGPYVFPNASWGVLIPPHIEDAHGPLPGWIVESLKAVKYIDSEHFTVPEGKRAIELVAGKESALAQEVFTTIGKVYVLTFAVGDANNACEGSMTVEAFAGRDTVQVPYQSKGKGGFVRGKLRFKASTRRTRIRFLSTFYTMKNDNSGSLCGPVIDDVKLLSVRYPNNHT >RHN45576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23166983:23168613:1 gene:gene39948 transcript:rna39948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MTKTKPSSSTTNPQHKPFQVSNRNFTERALLFKNVSTRRVLSKVQRNTETKETPIKKLHLKRRCEDATSGNKPSLTSDDSRRKKVKTTLGNFRALCGKLGKELTTDGLHIEVSQILKNRGKFIHDGKKIIGKVSGIEVGDKFFIGKNSMLLDGNLIATSVVSCHFDDMDDTNVFVYTGEGGNVINSGKCDEETLALMNSYHVKNPIRVIIKFNSKNCGGGARGGGEVYCYYGLYKVESIWKKKGKLDFKFCLVRLPDQKIFVKDISNGKEAVPICVVNHIDSGKVPYFQYITRNIYPEWLFNDSSVGRTCVDHCSDSMKCSCALKSGGKIPSVKKNEIKFKLQIFKTKAKGWGVRSENAIPSGNFIICEYLGEIIEDEEALKKVDNDEYLFNIGNYIHKVYSSWEEDSYVVDSKEMSSYQPLEASGGKEGVDDRCDSGRFTIDAAKYGNVARFINHSCSPNLFVRNVLYDDDVPRIPHIMLYAAENIPSMKELTLDYNYKIDQAIDSNGNLKTKACYCGASECIRRLY >RHN59987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16172717:16174166:1 gene:gene22165 transcript:rna22165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosylmethionine decarboxylase MAMTNSAIGFEGYEKRLEITFFENGVFSDPAGLGFRALSKDQIDEILKPAECTIVDSLSNDYVDSYVLSESSLFIYAYKLIIKTCGTTKLLLSIPAILKLADGLNIAVKSVRYTRGSFIFPGAQSFPHRSFSEEVVVLDSYFGKLGSGSQAYMMGDADKSQIWHIYSASAKLEASPEAVYGLEMCMTGLDKEKASVFFKSDASSAGLMTKNSGIRKILPKSDICDFEFEPCGYSMNGIEGSAISTIHVTPEDGFSYASFEAVGYDYEEKSLNELVRRVLACFYPAEFSVALHIDTNGEKLDKFPLEVKGYNCGERISEVLGEDGAVVYRTFVRNDGCTSPRSTLKCCWSEDENEEEVKEI >RHN41894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33934030:33935045:1 gene:gene48258 transcript:rna48258 gene_biotype:protein_coding transcript_biotype:protein_coding MANKYSVRSISLPSRFHPSTIRVHEELNKLKTWEGTSTSTSSSIQTGLSLIEELYISLEDLLNMASTQQVISHHRGEKCIEEVLDSSMRILDICGITKDTILQIKENVQALHSCLRRRKGDSSVERSVEEYKFFTKLMKKNVTKLITSLKHMDSKFGVSQILELDHHLCSIIRVFREVITMNLSVFQFILSFLNVSSSKSKATKWMLVTKLMHKGAITFEDNSENINELQCVEATLNTLLSESTIGEKFQAAHERLEALENAIESIENGLENLFRHLIKIRSSLLNIISQ >RHN54967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14067875:14068963:1 gene:gene30081 transcript:rna30081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MEEALNRLNGTTPPTIQEPDTKKSTNKRTSRDNTASAAGGGGALRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAACAYDCAARAMRGLKARTNFVYPTSPPPNTTFPSFNFPKHSQAFEKTSTNNRHVASCGWSEPHGVDFTHQRNPSTSSLDMLLFREFINSSNSNSSSAHNHFHDNFPYSYNKSSTSSGSGFVAGCCMANSCGGGANSNHQNTGVDGNNGSKISTDEDFEFFPKESSDSGLLEEIVNRFLPKPKQEIKTEILPKTTFCDTFVPNAPVFDHTLGKSVTKNEFSGVGFDQNGFNMQQFENFHNGYNGSFQSLPLGNEQIMVNHTENSVIQYQELLNAFALRMQNA >RHN59654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12592960:12593325:-1 gene:gene21725 transcript:rna21725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase Clp MPIGVPKVPFQLPEEDEASWVDLYNRLFQERLLFLGQEVNTEISNQLVGLMVYLSLEDKTRDLYLFINSPGGEVISGMAIFDIMQVVEAEVHTVCVGLAASMGSLLLVGGEFTKRLAFPHA >RHN70029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48160258:48174419:1 gene:gene18569 transcript:rna18569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sodium-exporting ATPase MSTFHVGGKVVDKVDLLRKKQLPWRLDVFPFAILYGAWISVILPSLDFIDACIVLGALASLHILVCLFTAWSVDFKCFAYYSKVKNIDQADSCKITPAKFCGSKEVVPLNSRKSSAGSSLAVDLEEIYFDFRKQCFVYSKEKGTFCKLSYPTKETFGYYVKSSGHGSEAKVLAATEKWGRNVFDYPQPTFQKLMKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLGMLFMFESTMAKSRLRTLTELRRVRVDNQIVMVHRCGKWVKISGTDLLPGDVVSIGRSAGQNGEEKSVPADMLILAGSAIVNEAILTGESTPQWKISIAGRHIEEKLSAKRDKTHVLFGGTKILQHSPDKTFPLKTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVVFALIAAGYVLIKGLEDPTRSKYKLILSCSLIVTSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFSGVVGLAETTDLESDMSKVPVRTVEILASCHALVFVENKLVGDPLEKAALKGIDWSYKSDEKAVPKRGSGHPVQIVQRYHFASHLKRMAVIVRIQEEFFAFVKGAPEIIQDRLINVPPSYVETYKRYTRQGSRVLALAHKSLSDMTVSEARSLDRDMVESGLTFAGFVVFNCPIRSDSAAVLSGLKESSHDLVMITGDQALTACHVASQVHIISKPTLILSPASNGEGYNWVSPDENENIRYSGKEVESLSETHDLCVGGDSFEMLQQTSAHLLVIPYVKVFARVAPEQKELILTTFKTVGRVTLMCGDGTNDVGALKQAHVGVALLNAIPPTQGGNPPSDSSGEDGTKSAKQKKSKLALETSAKTVSPTGEGTSRARVASKSDSTSNSSVNRHQTAAELQRQKLKKMMDELNEDGDGRAPIVKLGDASMASPFTAKHASVSPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLPTLSSERPHPNIFCAYVLLSLLGQFSVHLFFLISSVKEAEKYMPDECIEPDASFHPNLVNTVSYMVSMMLQVATFAVNYMGHPFNQSISENRPFRYALIAAAGFFTVITSDLFRDLNDWLKLVPLPVGLRDKLLLWAFLMFFVCYAWERLLRWAFPGKVPAWKRRQQVAVSNLEKKKQ >RHN72354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7026906:7034324:1 gene:gene8056 transcript:rna8056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MFSMRFWMLAFVLIISEIFGASLNIVEGKPQRILLDTDVDTDDLFALLYLLKLNRSEFLLEGVTLSANAWTNAGHAVNQVYDILYMMGRDDIAVGVGGEGGILPNGTILPNVGGYLPIIEQGMTTAGYCRYRQAIPVGFGGRLDIDTNLGIRKAFLPQGKRKYTPLRQPTTQQVLIDKISAGPITLIVTGAHTNLAIFLMNNPHLKKNVEHVYIMGGVIRSKTCCTKNASSSCIPSKCGDTGNVLTNYNANPYAEYNIFGDPFAAYKVIHSGIPITLVPLDATNTIPISEEFFDEFEKSQDTYEAQYCFKSLKMAHDTWFDNQFYTSYFMWDSFTSGVAVSIMRNSNRKKGENEFAEMEYINITVITSNKPYGISDGSNPLFDGLKVPKFNLKKGGVHSGHIQQGLTDPFCFVKNGKGRCQDGYTKEVNGQESVKVLVATKAKPNKDIRSSLDREYFKSFLNVLKQPQQAGKFNFTTQFPYYEEVTHKPDFWNKILGKPVVFDMDMSAGDFLALSYLLKVPVEVINLKAIIVSPTGWANAATIDVIYDLLHMMGRDDIKVGIGDFFAMNQSNFSPVGDCKYVKAIPHGNGGFLDSDTLFGLARDLPRSPRRYTAENSKKFGAPRDTDHPELRQPQAMEIWESILQTLKPGSKVTVLTNGPLTNLAKVVSIKNISSIIQEVYVMGGHISRSSNDKGNVFSVPSNKYAEFNMFLDPLAAKTVFQSEVNITLIPLGIQRKASSFSSTLNWLSRTEKTPEAVFSKRLLSRLRRLKKIHHRYHHMDTFLGEILGAVVLANGHSSLLDAKFELKSVKLLAEGIESTDGKMVVDEKYGKLVRILRHVDAKTYHEIYAKRLGDPNQSAKVGSFKEQKRKWSHPHDRSLKAICS >RHN75340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41433438:41437272:-1 gene:gene11525 transcript:rna11525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-Extensin family MVEDEDYRRKAKIAVVAIVVLASLAVFATFVAFSYYCYISHKVSKRRRKSHKVEEAIDNLNEKSDFANLQVVAEKGLKVFTFKQLHSATGGFSKSNIVGHGGFGLVYRGVLNDGRKVAIKLMDQAGKQGEEEFKVEVELLSRLHSPYLLALLGYCSDHNHKLLVYEFMANGGLQEHLYPVSNSNSSVMSVNLDWETRLRIALEAAKGLEYLHEHVSPPVIHRDFKSSNILLDKKFHAKVSDFGLAKLGPDRIGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEGVLVTWALPLLTDREKVVKIMDPALEGQYSMKDVIQVAAIATMCVQPEADYRPLMADVVQSLVPLVKTHRSPSKVGSFSSFQSPKLSPGPAQYPVDANV >RHN42314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37357874:37359079:-1 gene:gene48742 transcript:rna48742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ANTH domain-containing protein MRVLKKATGVIKDKNSIWVAKFSRKGSWRNADLETIVIKATSHDENRIDCKNVQRVFKWLKVSPLYLKPIVWALSMRMQKTKSWVVAIKGLMLIHGIFCIGIPSVQKMGRLPFDLSNFNDAHLNPSKAWGVNAFVRSYFAYLDQKSAFVSSELKNLAKNNNKDVEVEETLMEELEKLQKLQIMIDMLLQIKPRHMNNNMNVALILEAMDCIIVEVFDVYSKFCDRIAGVLLRIYDVGGKMEACVGLKVLKKAIVQGEKLAYYIEVCRDIGVLNASQCPEIERISEEDIQDLERIISGAYSAKKSLEGANDGVENENQDKSIVVRDCLQLKESKNGLTTVITHQWEVFYDDILVDDVKGNSVINGEKGNIFITTNPFEESYSMVPYCHVQVNHQVLPDLISL >RHN38817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2225785:2229009:1 gene:gene44785 transcript:rna44785 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGRNKYDQGGYYPPAGSYSYPPQGCYPPQSTYPPQGGYYPQQYPPNAGGGYPPSGYPHHQPSYHAPHGYPSGHGAGIGGLFAGGVAAYGSHHLSHGHGGYHHGHGKFKHGKFGKHGKFGKHGKFGKGWK >RHN48356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46908419:46914712:1 gene:gene43065 transcript:rna43065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MPVNITAIKTSSDGIWQGDNPLDYAFPLLIIQTVLVLVVSRSLAFGFKPLRQPKVIAEIIGGILLGPSALGRNTSYLHRLFPEWSMPTLESVASIGLLFFLFLVGLELDLNSIRRSGKRAFSIAACGITLPFVCGIGVAIVLRKTVDGADKAGFGQFIVFMGVALSITAFPVLARILAELKLLTTRVGETAMAAAAFNDLAAWILLALAIALAGNGADGGDKKSPLVSVWVLLSGVAFVAFMMIVISPVMNRVAQRCSVENEAVDEVYICLTLAGVMVSGFITDFIGIHAIFGAFVFGLTIPKTGSFAERLIERIEDFVLGLLLPLYFASSGLKTDVTKISGGKAWGLLVLVIATACAGKILGTFVVAMMCRMPVRESITLGVLMNTKGLVELIVLNIGKEKKVLNDEIFAILVLMALFTTFITTPVVMAIYNPARGIASKTIRKLGDMSSHSKESNNVVNTLRVLACIHGPTNIPSIINLIESTRSTQKSLLKVFIMHLVELTERSSSIIMVQRARKNGFPFFNRFNRDEWYNRLAGAFQAYSQLGRVIVRSTTAISSLSTMHEDICHAAEEKRVTMIILPFHKHWRMEVDDENDKEAHEVLENAGHGWRGVNQRVLKNAPCSVAVLVDRGYGLGLKNLGSDGRVAQRICIVFFGGPDDREALELGKKMVEHPAVVVTVVRFVEQNELSGNNFVLRQSPGKSTEENYSFSIAKINRQKEQVLDENAMEEFRSKCGETVKYIEKGSGNVVEEVIALGESADYDLIVVGKGRFPSTMVAELAEREAEHAELGPIGDILTSSMGHKMASSVFVIQQHDVALTEDVPMYKVKVHDENVAEVSSGRHEISVANAV >RHN51813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25801587:25802249:1 gene:gene36348 transcript:rna36348 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRRSISSVIEIQIRSAGFKQAKKHILPFFRFFLQTGFPIFIPF >RHN63209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49378710:49383083:-1 gene:gene25885 transcript:rna25885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MHIEELKGGEVVVEGGEEGKWSFVGSDPKRVLVGAGARALFYPTLVYNVVRNRLQAEFRWWDKIDEFILLGAVPFPIDVPRLKDLGVRGVITLNEPYETLVPTTLYHAHGIDHLVIPTRDYCFAPQLNDIRRAVDFIHKNALSGRTTYVHCKAGRGRSTTIVICYLVHHKLMSPDAAYEYVKSIRPRVLLASAQWQAVQEYYRHLIVRRAVGFAPAAELFVKASEFAAASQDLVPFDDNSVVVVTEQDLEGYDPSCQSDTTAREIWADLSVVYRVRVAGQAALARISCLWLRYGTDQKISAGKLSMENSCSIRTNHLGEINVDIHVY >RHN42773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40867924:40874630:-1 gene:gene49260 transcript:rna49260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP dissociation inhibitor, FAD/NAD(P)-binding domain-containing protein MDEEYDVIVLGTGLKECVLSGLLSVDGLKVLHMDRNDYYGGESTSLNLIQLWKKFRGDDKPPPHLGSSKDYNIDMNPKFIMANGTLVRVLIHTDVTKYLYFKAVDGSFVFNKAKVHKVPSNDMEALKSPLMGIFEKRRARKFFIYVQDYNESDPKTHDGMDLTRVTTKELIAKFGLDDNTVDFIGHALALHRDDRYLNEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDDQGKVIGVTSEGETAKCKKLVCDPSYLTNKVRKVGKVARAIAIMSHPIPNTNDSQSVQVILPQKQLGRKSDMYLFCCSYSHNVCPKGKFIAFVSTEAETDQPAIELKPGIDLLGPVDEIFFDMYDRFEPVNEPTLDNCFISTSYDATTHFESTVLDVLNMYTLITGKVLDLTVDLSAASAAEE >RHN39381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6632503:6633096:1 gene:gene45399 transcript:rna45399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MRGNLGLIATVIATMTFQMILNPPGGVMSIKDGENPPSTDASPPSTNANPPDADNYDKICTFVYKERLCPGEAVLAVRDSSGYLEFLISNTICFIASLSVCLLLVSGIPMHHRFLMWLLSIGMWVTLTSLDYSYLIAAVMTIPDSVYVRATEVVNKVFFTWIGLSAFIGLCHTLRLVTWGVIVFLERNKKPKETPIC >RHN59568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12077225:12079882:-1 gene:gene21614 transcript:rna21614 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLLALSLFITSLTTASIFSPNPKQQPNTIVKEGHKVVVVEYDQDGYQNTKISILPEQQQTHQQDYTNMDSGFIENTKDRIKEAASVLPNMGQGISQDASSSHYNLHTPNAKNAKELICDAYGKCKHVMEKAKDKASETIDKKRDILHSNKEAVKEVGNNVVDAVDNAKERVYDKANDVYDNVQEYTKGSLEKGKEMGQTFKEHVVHNVTEAKDGVKKFMSLSMERVESMMSLLNLLGFSSGYGMNVWITFVSSYVLSRVMPRQQFAVVQSKIYPVYFRAMGYCLLVALLGHVFGHGMRNNNGGGVMQSWNLLASLLTVFVNSVYLEPRATKLMFERMKIEKEEGRGREDVTTTTERSRTEEHQNSPDPKKTSTTTTVAAEGTESQTQRKEHDDAVRAKIMKLNNKLKKLNSYSSFLNILNLMSLTWHLVYLAQNVHQSC >RHN71823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2831933:2836356:1 gene:gene7460 transcript:rna7460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative equilibrative nucleoside transporter MLLSNTVWLKTCLLCGVSSFRELVMTITLDQNGAPRSLKGKFHAGVVCFILGLGSLIAWNSMLTTGDYYYKLFPRYHPSRVLTIIYLPFTLVTLIILTHNESRINTRMRILVGYTLFFVCTLLVLVLDLATSGKGGIRHYIGLCSISASFGVADALVQGGMVGDMSLMCPEFMQSFLAGLAASGVLTSCLRFLTKVVFEKSEDGLRRGALLFFGISTFFEFLCIILYAIYFPKLTIVKYYRSKAASEGSKTVSADLTAAGIQNEISQQVGFDHKQHERINNKQLLLQNIDYSTGLFLIYMLTLSIVPGFLYENTGSHQLGKWYALVLIAVFNVSDLISRYIPLLKFLKLESRKCLLAAILSRLLLIPAFYFTAKYGDQGWMIMLVSFLGLTNGYLTVCVLTVAPKGYKAPEQNALGNILVLFLMCGIFVGDALDWLWLIGKSQGF >RHN74909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37709589:37719551:1 gene:gene11043 transcript:rna11043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma-glutamyl hydrolase MSSHFVLYLFLITIFHCFFFSNSHLKILLPTQLRRISSSVNCPAPDSSLYYQPVIGILSHPGDGASGRLSNATDASYLAASYVKFVEAGGARVVPLIYTEPWEILLKKLELVNGVLFTGGVANDGLYFEIVGRIFKKVLEKNDAGDYFPLYATCLGFELITMIVSEDNDILEDFAAENQASSLQFVENVNIKESVFQRFPPDLLKKLSTDCIVMQNHQYGISPVKLLGNQKLSSFFEILTTSNDQDDKVYVSTVRSRNYPVTGFQWHPEKNAFEWGSPSIPHTEDAIRTTQYAANYLVSEARKSLNRPVAQELLDNLIYNYRPTYCGYAGKGFDEVYIFE >RHN78402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14794383:14795379:-1 gene:gene1954 transcript:rna1954 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGFELAIPHFNTLKIKTMYFTFIKHYTNIQVLKILRKRKLFSTIMTTNLLIRSKNQINSPLRLKIPTFKNPNSFKILHTNTLHILGPSCINPSFIIHMGLERMMDPLIMFSGDHISVRVEHDGRQLRACTGPFENDDGFSGYQFDCLGFEYCWKREMMFDSSEDDDDED >RHN67755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29995788:29998391:-1 gene:gene15980 transcript:rna15980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MMQMASSPILFTILIFLFRFQHSSSFSLSVEKFEDDIIVSPKGTFTAGFYPVGENAYSFAIWFTQKHKNLNNATVVWVANRDQPVNGKRSTLSLLKTGNLVLTDAGVSNVWSTETNSSKSLQLFLYETGNLVLREQDINGFVLWQSFDFPTDTLLPDQDLTGYMNLVSSRSVNNYSSGSYMLFFDYHNSLCLRYNGVQSSSLYWNADRFTYNSSRVATLNRLGNFHFFYYFTFKTSDYGTVLQRRLTLDIDGNVRVYSRKHGQENWSVTGQFLQQPCQIHGICGPNSACSYDPRTGRKCSCLPGYSIINNQDWSQGCKPSFKFSCNKTKSRFIVLPHLEFDNFDNHVFYENYTYKQCKHLCLRLCECIAFQFRYMTEEGFSYCYPKTQLLNVRRSTEFEGSVFLRLPKNNTVFSEQYDSLVCLGNKGVKQLGRSYITSKENESVKFMLWFVSGLGGIEVLCFFLVGCMLYKKNRKQSIVVIHGNDLEEVTGFRKFSYSEINQATKGFSEEIGRGAGGTVYKGVLSDNRVAAIKRLHDAIQGGNEFLAEVSIIGRLNHMNLIGMWGYCAEGKHRLLVYEYMENGTLADNLSSSELDWGKRYNIAMGTAKGLAYLHEECLEWILHCDIKPQNILVDSDYQPKVADFGLSKLLNRNDLDNSNFSRIRGTRGYMAPEWVFNMQITSKVDVYSYGVVVLEIITGKGPTTSIPNKDGEDFCDESLATWVREKSRKGSKFGCWVEEIADPKLGSNYDAKRMETLANVALDCVSEEKDVRPTMSQVVERLISH >RHN64221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57386173:57387991:1 gene:gene27011 transcript:rna27011 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGKSKPLDVASANTVLKSKSKKSIVDDSKVGLNNGTETVQTKTADNNNVDNEISKPEQKRHENVKMVVEEPNVVVVPNEITNFKQENALEKNLEGEGDVSQNKTQEIIVAEEKNDSLENKNQPEPAEEAKIVTENQKEEGTLVKEVKEEEKQEEALVKEEEETKDTNQEETLIKEEEKEEIKDTKEEDNVAKEEVKAQEEETEETAQEVETKEVPKEEGTKEMAQQAETKVTTQEEETKVMAQEEETKEVSKEEETKEPVHEEEIKETAKEEETKEAVQEEIVAKEEETNVTKVSTSEGDEQNDIKSEQQGQ >RHN42048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35056154:35059075:-1 gene:gene48437 transcript:rna48437 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S11 MAEQTEKAFLKQPKVFLCSKKSGKGKRPGKGGNRFWKSVGLGFKTPREATEGTYIDKKCPFTGNVSIRGRILAGTCHSAKMNRTIIVRRNYLHFIKKYQRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSSGAGKKAFTGM >RHN41759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32695620:32701950:-1 gene:gene48114 transcript:rna48114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mechanosensitive ion channel MscS, LSM domain-containing protein MDTAESEPQVVLFLDQQPNPKPSTMDTETHHYTEPHQEKPKHPSRAKTLTRLSFSKPKSRILEYNHPPRNKLPTSEEEDTQLPIYKISSDVEDDSDYEYQEEESEDEDEEVLRDSKSHNKKKKFKVKWRLVFEWILFLNILTCLVCSVTIRGITNMHLLGLEVWRWCLMAMVTFSGRLFSSWLVSFTVFFIERNFMLREKVLYFIYGLRNSIRNCLWLGLVLLSYWSVVFDDVQKKNHKFLNKVFQALVAVLIGATIWLVKIVLVKMLASSFHVTTYFDRMKESVFHHYVLDALSGPPVENGEEVSKEHPLKGSKTMPPGVGRWKKMKRFGSRRIDMEKLKKLSMESSGSVWSVKRLVNYVRSSGLSTISRTIDDFGNSESEISSEWEARTCAQKIFNNVAKPGAKYIEEVDLMRFLKRVEIHTIFPLFEGALETGKISRSSFRNWVIRAYYERKALAQSLNDTKTAVQQLHKLASCVVCVIIIIVTLLVMEVATMKIILFCITQTVLIGVAFQGTCKTVLEAIIFVFIMHPFDIGDRCVIDGVQMIVEEMNILTTVFLRYDNEKIYYPNAVLLTRPISNFYRSPEMSDAIDFTIDVTTPIETIIALKKSIQMYIESKPKYWNPKHSVMAKQIDQVDKLKLCLCVTHTINHQNYGERSTRISELLLELKKMFEIHGIKYHLLPQEIHLTQMNIGNGRVLFQS >RHN49441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54818145:54830630:-1 gene:gene44271 transcript:rna44271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MGAPKKSAARVSEDHDELVRVPLQAILLADSFTTKFRPITLERPKVLLPLANVPMINYTLTWLESAGVEEVFVFCCAHAKQVINYLEKSEFNQPNFTVTTIESQNCVSAGDALRVIYERNVIQGDFVLISGDTVSNMSLTQALLEHKERKKKDSNAVMTMVIKRSKTHPAIHQSRLGTDEIFMAIDPNSKQLLYYEDKADFSKGTLHLENSLLADNPSLSLHHDKQDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLLDDIMGYKIFVHEIRADYAARIDNFRSYDTVSKDIIHRWTYPLVPDVMNFGNTATKLERQGIYRGSDISQAQSAVVGPFSVIGSGTKIGKNTKILNSVVGIGCKIGSNVHIEGCYIWDNVTIEDGCELRHAIVCEGVIMKSGSALEPGVVLSFKVVVGQEFVVPPYSQVSLLQQPVEEDSDEELEYADSTSAITSTVDKSDGETASELLDGHFTPASELGFGGAGYVWPKCEGGHEEEWRHSVAPITEDKILEAVKAMEDELELIHDGSILPPSGELIPNPNDSDDDDNDDLRDDFDKEVEATFLRAVLENIQENHLTLEVNSLKLSYNKVAADCAGALFYAMMKYAVDLPHSSADGLVQNVHVVLTKWKKVLASYLNDTDEQIEVILKFEEICLESAKEFAPLFTKILHYMYNEDIIEEDAILSWEDEKKDADEADKVFVNQAQPLIQWLKEAPEEDDEDEE >RHN75783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45013537:45014359:-1 gene:gene12031 transcript:rna12031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutaredoxin-like, plant II, thioredoxin-like protein MHQAIPYMSSHNNNFGNINENYYSNKKKENHASSKGEEKMILNMVSENAVIVIGKRGCCMSHVVKRLLQSLGVNPAIHEVEEEKDEVIVARELESIIEGNVQFPMVFIGGKLFGGLDRLMATHISGELVPLLKQAGALWL >RHN45303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:15996419:15997736:-1 gene:gene39566 transcript:rna39566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MHLTGLNRCRKSCRLRWVNYLNPYINREDFSKDEADLILRLHNLLGNRWTLIAARLQGRSANDVKNYWNTHLRKNVVLGAKENTEKEKPNEIIKAHDAIKEPRLNVKPNPVTHPLLTSKTFGDVSRDRDDSSDTMVPDQIDSQPNLDNAPILCLQSGCSSSQEGKYKLFKWL >RHN75780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44992302:44995038:-1 gene:gene12028 transcript:rna12028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysine methyltransferase, S-adenosyl-L-methionine-dependent methyltransferase MKFTDSPVIELPVAGTTLSLHQNNLSMHVGTSVWPCSLVLVKFIDRWIHTPPNTTNPYTHLLNFQSKRAIELGCGCGVAGMGLYLLGLTDIILTDIPPVMPALKKNLKSNKPVLKKNLKYSILYWNNKDQINAVNPPFDFVVAADVVYIEESVPEFVNAMEVLVAEDGVVLLGYQIRSPEAHTVFWEMCGVVFDVEKVPHEDLHPEYAYEEADVFLLRKKKKQ >RHN68284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34254547:34261374:-1 gene:gene16618 transcript:rna16618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Sec7 domain, guanine nucleotide exchange factor MGHLNMQMPAGVNGIEDQSQQFGAGYPNKTTIACMINAEIGSVLAVMRRNVRWGVHYMSDDDQSEHFLVQSLKTLRRQIFSWQNQWHSINPALYLQPFLDVIQSDETGAPITGVALSSVYKILTLDVIDQNTVNVVDTMDLVVDAVTSCRFEVTDPGSEEVVLMKILQVLLACVKSKASVILSNQHICTIVNTCFRIVHQAGTKGELLQRIARYTMHELVRCIFSHLQEIDIAEPALINGSTTSKQETRELNNEHASESRQFENGSLNSAYDGQPSSTGLASSTAYVGTVTVMPENTTTASSDKENDIRELQLMTEPYGVPCMVEIFHFLCSLLNVVEHMGMNPRSNTLTFDEDVPLFALTLINSAIELGGPSFRHHPRLLSLIQDEVFHILMQFGLSMSPLVLSLVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSKYGASYQQQEVLMEALVDLCRQKTFMVEMYVNFDCDISCSNVFEDIANLLSKSAFPVNNPLSSMHILALDGLIAVMQGMADRIGNGFVNSEHSPVNFEEYIPFWLEHCENFGDPNDWVPFVRRRKYFKRRLMIGADHFNHDAKKGLEFLQGAHLLPDKRDPQSVACFFRFTAGLDKNVIGDFLGNHDEFCVQVLREFARTFDFQDMTLDTALRIFLETFRLPGESQKIHRVLEAFSERYYEQSPHILANKDAALVLSYSIIMLNTDQHNVQVKKKMTETDFIRNNRHINGGNDLPREFLSEIYHSICKNEIRTTPESGFGSPGMTPSRWISLIHKSKTTAPYIVSDSRAYLDYDMFVILSGPTIAAVSVVFDNAENEEVYQTCIDGFLAIAKISAYYHLENVLDDLIVCLCKFFTILDPLSVEESVLAFGDDTKARMVTETVFTIASRYGDYIRTGWRNILDCIFRLHNLGLLPTHLASDAVDESEPSTKTGRGKLNSNSFSSTHLQSISTPKRSSGLLSRFSQLLSLATDEPRAIPTEEQLAAHHQAVQTIQKCHIDSIFTESKFLQAESLLQLVRSLINAGAQSQKGSKKSQNEDTSVFCLELLVAITLNNRDRIGLIWKGVYEHISNIVKSTVMPCALVEKAVFGLLRICHRLLPYKENMADELLKSLQLILKLDALVADVYYDQITQEVSRLVKANASRIRSHIGWRTITSLLSVTARHLEASEVGFDTLSFIMSDGNYLLPANYILCIDAARQFAESRVGLVDRSIGSLDLMVGSFNCLQKWTSDAKQAAKEEEVAKMLQDIGEMWLRLVQGLRKVCLDQREEVRNHALLSLQKCMTEAGKTHLPGDLWLQCFDQVIFTLLDDLLDISQERSQKDYRNIEETLTLALKLLFKVFLLLLQELSQLEEAFCKLWVGVLSHAEKYMKVKVRGKRSEMLQLPVPELLKNALVVMKSGGILVESSGLAESNLWELTWLHMKNIAPSLQSEVFQEHDAEQLQQKQIETVGDLESDAKISVPSNETLGQDGAGIG >RHN67398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26835165:26836824:1 gene:gene15585 transcript:rna15585 gene_biotype:protein_coding transcript_biotype:protein_coding MIMMKANKQRLCSKLNSNLSTFLTIMLILVFLSFFFSISKYFSFLLAIPIALVSTLFLVTLKKKKGSKNESVVQEKLLKEKLQSALDVSETEYNIQSENAENHKEQAEAQLEYSFPLDSESRNFLVMDRTFEFSVPEHMQQDDLRLDSSFPSDSERSNGSIVGETFEFDHNRYQNLSHDRLVSDTDDEDGEYDYDDHDEGFNRIIVDTNNNLNGKEGSLVSYSSLVYPISDDNDYEDYEVEDEDEEDNLIEIHLPSRNLSNLTEESMQKLEARPDFISESIFNQQSLMQLLAEMNDMNEDENLIEIDISR >RHN48529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48228954:48232670:-1 gene:gene43256 transcript:rna43256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MADKTTVTVENPEQSKEKPTLQGAKAMETSLPDPLLLHHSDTPGISLVNQPLNGGNYGEWSRSMLLSLSAKNKLGLIDGTVKAPSADDPKLPLWKRCNDLVLTWILHSIEPDIARSVIFSDTAAAVWSDLHDRFSQGDESRIYQIRQEISECRQGSLLISDYYTKLKSLWDELGSYQEPITCSCDMLKKVAVREEKEKVMQFLMGLNESYSQVRGSILMMNPLPDTRKVHGLILQQERQMEVVIRRETPPISHAMQIARTPTPQSGTSSYRKDSRCTYCEQGGHSVDRCYYLNGFPIGHKWHGKNVKPRNKKVVTNNVEVKRESTNDSPTFTAEEYRQIMAMLHNRNGNDQPLANASGILTSYSNNIGYDAYSTLYWIVDSGATDHVSHLSPTHNKNKAPRDFVGLPNGEKAAIENIGSIQLSSDLSLDGVLHVPKFHVNLISVLKLIRSGGSSVLGL >RHN68310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34486374:34487746:1 gene:gene16646 transcript:rna16646 gene_biotype:protein_coding transcript_biotype:protein_coding MWFQYWLDSVEKRALFPDLQFSQNALQKLLNSQQRVTKEVEEMRLKINIFNQHEEDLKHQLQVSEAVLESLIQQKASFRN >RHN47279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38454383:38457154:1 gene:gene41856 transcript:rna41856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MDDTKVGMRRVRSVKDVISLYDDRNHRTADSSSLKKTQIDFEADFSANPTSRTRELHQARRDIGRYKESRWTAESAKSQAESELSNAKKTMKNLSSMIEESSYKAKAKMKDIETLEKKGKGQHGVMVVRRNENYEYAQVMRELEYLKKELFKLKLDVASVLQEKSRAEKEIEASSSKMIDCSKKEEVLRKEIEEANEEQVLAELARIEALKELEDIRVQREREANEFSFKLENTRKTLKEATEEIDISKELEMKLAMTVSDVDLLQIQLSLVKEMEKRVQGDESVKLLEGSLRKGDESGDSTELQTVKEELEAATKELALIRDEGFQFMASMDVIRNELKHVTKEIARLKKNDSSVQNLNSKVLRTKSKLEAASAAEEKARSLVVSLSHSLETLKKETDEAKTEKELISQDIITTKLEIEKTEFEIDTSEEKLQGVMKELEEAKTTEALALEKLKTLSETTMKERALTAKHSSSITISKFEYEYLTNHAAAAEELADKKVAAAEAWIEALKASEKEILMETKIVQRELKENILKEEREVYIKEKMAARRVSSEEFDRTRKREKGSSQRAISRKSIKSNGSMTPAKRIKFQKSAASPATRHISPFTLKKRKKVIPNLAKLFSSKKNTMPKE >RHN41284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28860467:28860982:1 gene:gene47589 transcript:rna47589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sieve element occlusion MSSDDTFSSPSKSLMLNDQSSDIIFTPNLSCGVMAKPPKPPDAKYETMMITTPRGEHYVPQTTMWKLQQLKTYSRDAKALVALAAFTLKYGNLLHLIETSTSSDQLVNSLKQLNQIQNRKVIVPVTDLVELIMEVLLHITRGPLSLVLVILLLKFLVCLMHCKIFLLLFIG >RHN62982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47261443:47264104:-1 gene:gene25625 transcript:rna25625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MGQSPSTAVPSPSLDVNRFRYGDGNGYGNGVSSDDFINGVNYSEEIPDECLAGILHFLDAGDRKSCSVVCKRWFRVEGESRQRLSLNAEAKLVDIVPSLFNRYDSVTKLALRCNKKSTSINDDALILISLRCKNLTRLKLRACREVTEIGMLGLARNCKNLKKLSVVSCLFGVKGIRAVVDNSNVLEELSVKRLRGVNDVNEVNEEGVESGCGNGSSSLKSICLKELVNGHSFAPLIIGSRKLQTLKLIRCIGDWDSMLGTVGKMNSGLVEIHLEKVQVSDVGLVGISKCLKLETLHLVKTPECSDHGLVVIAERCRMLKKLHIDGWRTNRIGDEGLVSVARNCPNLQELVLIAMYPTSLSLGAIASCCLGLERFALCGIGTVTDADIECIASKCVALKKLCIKGCPVTNVGIAAFASGCPNLVKLKVRKCVKVTGEAAGWLRLKRSSLAINFDHSQVEAMDGSGSDVGVQETTMAFLQNDTEVTLIDEPSSSSNNNNRLSMFRTKFGFLVGRNFVPCAFRRWANVDDTIDDSSFS >RHN50453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7237291:7237889:-1 gene:gene34736 transcript:rna34736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAAVDWSKLPTELLNLISQRIDDEIDFIRFRSTCSTWRSSTGNKLFD >RHN44878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9376424:9376684:1 gene:gene39045 transcript:rna39045 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSNSTRRGHEKYEKLDKESGGHNETSNEEYLKRSTSVPSGP >RHN40750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20266660:20273355:-1 gene:gene46947 transcript:rna46947 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFNAAGVDSLVASNNQDLNLLGNLTKDGALFDASQYAFFGKDVAEEVELGGLDDVNDYIPSVTFNEEEFFHNEEEMDDVRSLSDIDDLTTTFSKLNKIVSGPKSAGVIGDRVSRENSSASEWSQRDDVPYWVDEGSQDGKRWSSHPHSSVAHMQESKPLYRTSSYPEQQRQLQHQLQHRSSEPVPNWFDQQLYDSETADADRRWSSHPHSSISHLEGPMPLHRTSSYPDKQQELHRFLSEPIWAPKSSFTSYPPPGGRSQQSSPNYSTGQLNIPYAGGAHMALSSQNRSHLFNSASHLGGPKYEPHLNGNLAQFNTGSPLNNRIQNQWVNQTGLYLGDNSNLLNNMLQQQLYHHNGSVSPHLLPQLQQQQHRLHYPVQASAGLMSGLQPHLFNHHLPSGSSIVRENRQKPTRKHRVSQQGSNASSQKSESCSIQFRSKYMSSEEIESILKMQLAAVTHFNDPYIDDYYHQASLAKKPSGAKFKFSFCPTKMKDLSSRARANTEPHRFLQVDTIGRISYLPIRQPRPLLEVDPPNSSASGGSERIISEKSLEQEPLFAARITIEDGLCLLLDVDDIDRFLKCNLLQDGGTQFTRRRNVLLEGLATSLHLVDPLGKNGHKAGLTAKDDLVFLRLASISKGRKLLAKYLRLLDPGSELVRIVCMAIFRHLRFLFGSIPSDSAAAETASDLAMVICQCIEGMDLGSLGACLAAVVCSSEPPPLRPLGSPAGDGASLLLVSVLESATELLTDSQVASNKKLGNRSFWQGSFDEFFDLLTKYCMNKYQSIMQSLVIQGEQNVAAIGSDAAKVVSKEMPVELLRASLPHTNDRQRKLLLDFAQRSSPVAGFNSYAGSSSSSRVNSETVLS >RHN57619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40582873:40583904:1 gene:gene33195 transcript:rna33195 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKEVMEDRRRRPVMVVKVSQEHVCHLRTFFDVEQIIVMNCFEPLSGVKRIERNDQGFTFYFNSLDHASCLVEYIRDIVPSKIHCHQIPSECSYNFFVEIVPICCGDLIFLPPDVAASFGANIVICTRVAKKITTLLDPFTLTAFSLKADHYWNAPFTHSFNRTQLVKYFVLNIVLQEDGEEIAADSSTAAKKYRLADAVVARVENFGNNDTTFQIRTHLGHILKSGDYALGYDLSGGGANTNIINGGYLPAAILIAKTNCDDGSVVIPDKWQSDYQLFLEDLRQGPHLTFNAAAMYRNQPNHNKTNLISVKAAKEAQASARPSCPLETLPDYIVTSLNKS >RHN79749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31839021:31839362:1 gene:gene3581 transcript:rna3581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MLSSFVEKVQKSLSVFVPRKHALSYWSEDHATTTGHELADDVMKGYFAVLAKKGLETRRFIVGLDYLTDPAFLGLLDDAWEEYGFRQKGTLVVPCRPIDLQIILEKKAAPASR >RHN70149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49124004:49126736:-1 gene:gene18703 transcript:rna18703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:bHLH1 MALETVVFPQQDPFTYSYKDNYFNSLNNDYDHLHAEEQENVLLGIINNEQQNLHANWDHQWEYSHSSSPEICTVDQTITAPPSSTMEEATVTASCRRKRRRIKSAKNKEEIENQRMTHITVERNRRKQMNEYLNVLRSLMPSSYVQRGDQASIIGGAINFVKELEQHLQSMGGQKKTKEPNENIGLNNGPPFAEFFTFPQYTTSATQNNNNNNNVTMEQHNYQEQKQWAVADIEVTMVDSHANMKILSKKKPGQLMKIVVGLQNLRLTILHLNVTTVDDMVLYSVSIKVEEGSQLNSVDEIAAAVNRLLRTVQQELAYQLN >RHN67522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27937849:27938139:1 gene:gene15718 transcript:rna15718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial import receptor subunit TOM7 MVCMISLKAKGKSSKKRAEDRSMIDSVKEWKTWGLKKTKVIAHCGFIPLIIIIGMTSDQKPQLYQLLSPVRNRCCLFDGVLWNQSGFSVVCFWDKF >RHN42464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38562256:38571272:1 gene:gene48908 transcript:rna48908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MSGNVRTTARSRVRSSSAEPETDPLRQETSSNYHRTKYESNSTSNFKLFLTITFFFIIIIFFLVHHFVTSAAQPHLPRVVTPFPAPKIMDLPQFQGEHKESLYWGTYRPHLYLGIRARTPQSLMAGLMWIGVKDGSNHLRHVCRQEDALSAYGWTKHNGRDYGHQVLVDHGMILTTEFIKSKGEGSGYGWDWAVRIDVQIDKSKWNEDFGRDAQLFFYLADEGGNVLDAGRENLNIRESSLLASGSRTDIGNWQLHLKSTDDLKLHYSGFHTPHFHNLSDLVEENLASQTRKHGQMQLPDSSDDSPNVLVFQIIGGFPFTTDIVFISATNSESSRVEKRVNSLTGDSLSNELKYKEQAYDEKIEKIFNLAEKVDSESISVGKAAVGSLLGAIGYFYGQSKIDLSRTLIHSEHAAYISYWPAELYTAVPCRSTFPRGFLWDEGFHQLVIWRWDIQISLDIIGHWLDLMNIDGWIPREQILGAEALSRIPEEYVPQHPTNGNPPTLFLALNGIINGLKNNEFNVMDRSEISLFLERAFGRLDAWFQWYNETQSGEQMSSYYWRGRDNMTTLQLNPQLSQ >RHN80706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40264141:40273304:1 gene:gene4663 transcript:rna4663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-CTR1-DRK-2 family MSERGKKERMKNIFKKLHIGGSNNHDPNRSNDTPPRVPSPTDDAQSSAAAASTLTPSSSSPSATGVAAAASGGGGLSAMVNRQDFFSSEEEFQVQLALAISASNSEFRGGDDPEKDQIHAATMLSLGGNRIDSTRSKDDVAEALSRQYWEYNVLDYEEKVVDGFYDVYGLSNDPAMQGKMPSLADLEANPGDSSFEVVIVNQTIDPALEELVQIAQCISFDCPVTEIGILVQRLAELVTSHMGGPVKDANIILARWTERSTQLRTSLHTSVLPLGSLDIGLSRHRALLFKILADNIKMPCRLVKGSHYTGVEDDAVNIIKLEDEREFLVDLMAAPGTLIPADILNAKDNAFKPYNPKTVVSVPSSVETELSYSKPILPSNGEGSSQTSAIKGHTPSWNGKSYTEKSEYMPSNFGLSTDAGVGPSKIPNKGSPNQRENLPPSYGNSLYKGTLGMNAVGDGTRLNVNVVPYAQNNHNDPQNLFADLNPFLIKGTGKSSVHNKPVENKPLEYGTKNNTISGRPVSPLMWKNRHAFNEVPRKTNQNPNEYKPPLFVSNISSTSGNTDLSTSNSSYNSNINNHISSQTSAQITGSVSPAGISELNRTEGLNADFKRVGLGSSQNVMLDAVYEPENTELRHHDRRKCTHDRFMGSNFQLKDSDRPISSIDSITNRADQILDDADVGECEIPWEDLVIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFSGAALSEFKREVRIMRRLRHPNVVLFMGAVTRPPNLSIISEFLPRGSLYRILHRPNCQIDEKQRIKMALDVARGMNCLHASTPTIVHRDLKSPNLLVDNNWNVKVCDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLRLPWSGMNPMQVVGAVGFQNRRLEIPKELDPLVARIIWECWQQDPNLRPSFAQLTVALKPLQRLVIPSYQDQVASPLPQEISVNSTP >RHN73121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13603478:13608585:1 gene:gene8904 transcript:rna8904 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDCEIYEQATRYVLPKFYKYHSSPSHKPTSQAKLVVFILYISLFIFFLYIDPF >RHN47822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42801153:42805766:-1 gene:gene42468 transcript:rna42468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial glycoprotein MARARAVRKGLKALQDLELLKLLKSEINFELSSNHFQKAQTGSLGEFVVDSDSPNSKDVILRRKCNSGEEIALSAILGPPNYEKDLIFVRDVFMKVCIKKPTLSSILQFDCKVYQKNDESSEFDINNACYLGSPTSLSSSIYRGPLFSELNNNLQKAFKEYLIDKGIGGSLTNFLLHYLHTREQKQYANWLKKGEAFLSKNKSLNQFSETRSA >RHN66878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20728287:20729922:1 gene:gene14991 transcript:rna14991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MKIFILFSITFACGFLKIVSNANPLPYEAIFNFGDSTSDTGNAAFGREPMDKKSPYGSTYFKHPAGRMSDGRLIIDFIAEAYGLPFLPASLNVTKSQGDTKRGVNFAYGGSTALDSKYYFDRSGLDKPTTNNSLSVQFDWFKNQKPLLCKSKEECDSFFKRSLFIVGEIGGNDVFLHISKTITELREIVPLIVESITKTTNALIEEGAVELVVPGNFPMGCNVDILTKKISQKKEDYDEFGCLIAYNSFIEYFNEQLKKSIETLRQKHPQAKIIYFDYYNDAKRLYEAPQQYGLWSNYFTSLLSFTSDKVEVLKACCGGIGPYHRDANWCGMPGTTVCSDPSKLINFDGPHLTEAAYKQIAKGLIEGSFANPSLKPAAFKIV >RHN79114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23415484:23416142:1 gene:gene2830 transcript:rna2830 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNWVLKLKCQILLRVSILLFSFLCPSMSTTFPSSHVDLMVKHTKALHENFTALSDFRLINRRILNDCSILSPYLKLDIHSNSNLSDEEFVTVTVTGVFTPSYGDWVAMISPSNSNVETCLNNLFYYQQTGDTAAILPLLCHYPVKVCVSMHTIRIKIKYFSFILSFSF >RHN43636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47388066:47389499:1 gene:gene50245 transcript:rna50245 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQSFGRYQKPKGSNIKQVLKLMIVLAICVWLLYQINHTETKNYSSQTKFAVGSGAKFFGRKGIMSQSDERAFPDSGKVDSVGEVADEFKSDDNEKEVQLRELQNDMHVGMNAKPKFSLKVKDEDIEENGIKEKGNIKMQKNVVESGVNDDEETNAVQSFRDENGVPPDVTEENFSTFSKVNWLKKINIYEVAYGEDNEVDMNLDELMNSATVDEEINAGSTTNVFTSGLQSRNKWR >RHN74532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34450635:34450893:-1 gene:gene10620 transcript:rna10620 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVSTSLPQVESVTVLLPLLPVRFVLLLAPVRPLLSGSPIPLFSGPVPSLFSGSVLFACLCLFVICVII >RHN51292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15888080:15888595:-1 gene:gene35708 transcript:rna35708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKWKKRTSSLKSYPNEKEKTYSYLPDECWERVFRFIINYSDENKKHCNLNCHPLVYKQFLSIANGLLFSLGVKLEKRLFLPRLFNRFTKLNTLDLTHFNDDRNLDMLLHQISNFPLKLTSLKLPKTCTFPAKGLQDFSQNITTLASLTCSILFLSNNEISLIADCFPFNTT >RHN75397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41953155:41963004:1 gene:gene11589 transcript:rna11589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MGSKVTDPNLSTVRSIVGSEFTDMEIIRALHLAKNDVTSAINIIFDTQRVSLPKSTPRASNTQRGSPPNSTPRAVVPGAVTATATSKSNANAENNKCSVELESKDWWFVGSGEVAGLSTCKGRNIKAGDKVIFKFPPKKVSVSPSPGKGFGRAAASCSEIVRFSNEQDWEIGRIPNEWARCLLPLVRDNKVRVEGKCEFAPNVLGIMDSINLSISVFINRSMFVKHHQVSLKDATNSTDESVFHPLPALFRLLGLSPFKKAELTPGDFYSNKRPFSQTATLLHAKSEHPSQNGNENEDEDSVSEFDLDNIVGVASSSELEEMDPPGNLLCELRPYQKQALHWMVQMEKGRARDETATTLHPCWEAYRLADKRELVVYLNAFSGEATTEFPSTLQMARGGILADAMGLGKTIMTISLLVAHSGKGGSLGSQPIAQSFIEGGEVSDTDTIPNLSKVPKKTTKFTGFDKSTKKNTSLTSGGNLIICPMTLLGQWKAEIETHVHPGTLSLYVHYGQGRPKDAKSLAQCDVVITTYGILASDFSSENGENNGGLFSIRWFRVVLDEAHTIKSSKSQVSMAASALIADNRWCLTGTPIQNNLEDVYSLLRFLRIEPWGHWAWWNKLIQKPFEGGDERGLKLVQSILKPIMLRRTKHSTDREGKPILVLPPADMQIIYCEPTEAEKDFYEALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEFADLNKLAKRFLRGTCNASEGEVKDALSRAYVQEVVEELRKGEQGECPICLEAFEDSVLTPCAHRLCRECLLASWRNSTSGLCPVCRKTVSKQDLITAPTESRFQIDIEKNWVESCKVTGLMNELENLRSSGSKSIVFSQWTAFLDLLQIPFTRNKISFVRLDGTLNLQQREKVIKQFSEDSDIQVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKKVAIKRFIVKGSVEQRMEAVQARKQRMISGALTDQEVRSARIEELKMLFT >RHN66387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11727152:11728348:1 gene:gene14357 transcript:rna14357 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWEVDSIEGYLNYTKSLLDVLNSISSSLSHLGHARLSLAHGLTLVENKKPLSLARKHLKAIQPTCFSSNFGKYFHTQDDIAKIVSGKDLIVREGVKEMKSIGFWVCGVFLSCLYGDAKPYTELRKIGGGFESCIVSTLDLKISENLVKKIPCVSEIKEINNFVARLVAGDEVKDDATNEFQRNLCDVGKIFDDISTEVNHLFDDVMTQRTELVDGFRLKKYQK >RHN52440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35309098:35312881:-1 gene:gene37121 transcript:rna37121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MCSRGHWRPSEDEKLRELVESYGPHNWNAIAENLRGRSGKSCRLRWFNQLDPRINRNPFTEEEEERLITSHRIHGNRWAVIARHFPGRTDNAVKNHWHVMMARIRRERYKIYAKGPLDHHTIFSSNDHQTNFERTNLHSFVEKYHEKHNHPRVSHSSFQFPKFHFHGPNSCSTMLQDKKSQSIEFYDFLQVDSNKSEVTDNARRDDEEVNQDVMELKNKENNVQFIDFLSTGSCKHSCKIK >RHN40830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21952050:21958905:1 gene:gene47051 transcript:rna47051 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYKKLYSKYTTLKTNKLSELEDVHKEQELKFLKFVSAAEDVIDHLRTENDKLLGQINDLGNELTSVRVAKDNELVEHKRLLLEEKKKNEALFEEVEKLQKLLKEGTSGDLSNRKVVNNTSNNSSIRMTRKRMRQEQDALDIEARCIPSENEGNSVDRESTRSFLKENASNKRQECSSSKANDQSGVDTQESDHQNWLVHALFEYTLDMKLSTDCQTGRLCLSAMHQSSGYSFSISWISRAPGEEAELLYHVLSLGTLERLAPEWMREDIMFSPTMCPIFFERVTHVINLKQ >RHN60782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30372013:30374252:1 gene:gene23154 transcript:rna23154 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLLPEKTNDDSKPKMKQKRNKATKMGMGRETQTQFRQNRAFGTPWNTNDNIPKKPISDNITTKPKPAVPHKQPKQPIPATQVPTEITTLTQPNDKKSPENVKPIKNKKSDTFPQKLAEKAMLKESDSAEEPKTPATTSHGRGKSKVQATPFYSAVNCSKCRFDKLETSSYWIGQIKLAESVGKHFVASGFFKLALKSQAESIRNLRIELKRYLSRHGHLSDHMNHDS >RHN63121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48625221:48628026:-1 gene:gene25781 transcript:rna25781 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSPSKKESSEVAARESLIAISNTSPDKILNSNSASEGKKSDGAVLPNCDQDEQFRSELISISYAESPDVKIGSIAMGV >RHN40466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16540553:16546283:-1 gene:gene46618 transcript:rna46618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MMRSNQQRKKQSFIQKVLTMRERNGRTIERGSIDSHEEISDPSIQNQGSVPSMSSCEAVQNFNVFAATWNVGGQCPSGNLDLSDFLQVRNEPDMYVLGFQEIVPLNAGNVLVLEDNEPAAKWLALINQSLNGPSDFSSNKGLKPTASFGGSLYFQKPSLKKIKKTFKKLNGKRLKSCNCILEMERKAAKDFCFRCQESNVNLDDSSTEEEDDSYPISVALATNQMKYSLVTCKQMVGIFVSVWMKKELIQYVGHLRICCTSRGIMGCLGNKGCISVSMSFYQTSFCFICSHLASGEKEGDELRRNLDVIEILKNTQFPKICKNQYSRMPDKILDHDRIIWFGDLNYRISLSRDVAKRLVEMKDWPALFNKDQLKMEREAGRVFKGWKEGKIYFAPTYKYAFNSDTYYAEGVKVSKNKRRTPAWCDRILWHGRGIQQLSYVRKEFKFSDHRPVCATFLVEVEVMFRGQKKKVSTFNFQIHDLVPTRTSYYS >RHN50542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8019539:8019937:1 gene:gene34839 transcript:rna34839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltetrahydroprotoberberine 14-monooxygenase MIALLSKIMRNIYTPSKMDIFLSQPINTVLAILLVLIYNIWKIKKPSNKFKGMKPPEPLYALPLIGHLHLLGKQIPLARIFASFSDKYGPIFQIRLGAYPALIISNQNAIKECFTTNDKILSSRPKSSRRYL >RHN70666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53072626:53073221:1 gene:gene19275 transcript:rna19275 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDKSMIYLPSTTELLEAGVRFKVNTKTTCLLDLRFSGRVLEIPQLRVEDRTVTLFGIWLLHYPHDSYIYDYISVLDYLINIGRDADILVQRGIQDNMLADSDSVANLYNGLWKNATLRRDYGSTPWQTAASIAGILLLVLSLLQSVCSILQVVQQYNVSTSSKFNHC >RHN52524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36374071:36377128:1 gene:gene37212 transcript:rna37212 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFRNPSSLKSNRMDQSLGVAKLVLMSIGIISTLILFKVAIIPYTFDLVLSTLPQLWFSIRTWFTIPFLYIIVNFIIITIVFSSNFSHKSNSSITFSDLKQTTTILETTTNPIEQENQTNEPHQEEKVVEEIEEQEQDEKRVVDVKDSELFCDEFITHPSQKKCSKEDYSLTDSDDKVKDFELFFNKFITDPIQEKRCNDYNSPDSGDKGDDDSLEATWKAIMEGQEKTKKPYLKKSDTWTARIVKAEPFRNNGGCGFGSGDDDPVAWAERELKKSETFNDRASLKREKSMSPEELNKRAEAFIKKFNNQMKLQRLESYQRFLKLVNPGA >RHN43665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47598575:47601451:1 gene:gene50276 transcript:rna50276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CCRK family MEPGRATKSWSIHTRSEIIAKYQVMERIGSGAYADVYRGRRLSDDLTVALKEIHDYQSAFREIEALQMLQGSPNVVVLHEYFWRDDEDAVLVLEYLTTDLATVISNAAKEGIPIPVGELKRWMIQILCGLDACHRNMIVHRDLKPSNLLISDCGVLKLADFGQARILIESGFDAFEENPPPCEEDGSNHESSLHHPEAFPHTNNLSQLGFENQEQGSSHEEYFSVLDELKTKKSVDDVDKNTNIPDGNTSCLATCTTSDIDNDPMRTSFSYEAMEGEDKEHGCLTSCVGTRWFRAPELLYGSANYGLEIDLWSLGCIFAELLTLKPLFPGTADIDQLSRIINVLGNLDERAWAGCSKLPDYGIISFSKVENPAGVEACLPNRSPDEVALVKKLVCYDPARRATTMELLHDKYFNEEPLPVPVSELRFPLTRNTEDDDSVGVWQDYNDIGSDSDFDDFGPVNITKTDTGFSIQFP >RHN70299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50402995:50404726:-1 gene:gene18866 transcript:rna18866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MMTSPIIQRVSECFIKPLHPIPESNQNCYLTTWDIAMSSMNYIQKGLLFKKPNNQQHFIHNLLEKLKHSLSLALFHFYPLSGRLVTQKSQDPPTYNIFVDCSNNNSGARFIHATLDATVSDIMTPIDVPSVVKSLFDLNKAINHDGHTMPLLSIQVTELVDGVFIGCSMNHYIGDGTSYWNFFNTWSEIFQGQGHDVRISRQPSHIRWFPEGYGPLINLPFKHHDEIITRYEPPKLRERFFHFTAESIAKLKAKANMESNTNKISSFQSLSAHVWRGITRARDLTNDQVTTCMLAVNNRPRMKPPLPKEYFGTSVDTVSVKTTVGELLENDLGWAAWKVHSAVANHVDLEIRKMVKKWLESPMILSLKHHFDAGSVAMISSPRFNMYGNEFGMGKPVAVLSGYANKCDGNVTAYEGHEGGGSMDLEISLSPNVMRALELDQNFMNAVSL >RHN81229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44231506:44233213:1 gene:gene5242 transcript:rna5242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MEDTFPKMEIFTQKELPTCFQEIATTESKYLEDTIIRRGSYSLTSSSTSSPQKLFWCTSNSTSMKQEEPSMLYSSTSNIKSSEIMSTSSNPTYPMSQFGLTQHHQQSAYEFYDSNKMDRNMITNLQSKSFNENWLSATKTQPMKYGTRGKLFKGVRQRHWGKWVAEIRLPRNRTRVWLGTFETAEDAAIAYDTAAYILRGECAQLNFPNLKHVIQANSLNGTTASLVEAKLQAISQGVSSSHRKQGDSSARLNNRHIDEIKNGKDESIKELKVVGDITERSKSTQNEICDVETVQLSRMPSLDMDIIWNELLVSDS >RHN51792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25204216:25211887:-1 gene:gene36315 transcript:rna36315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MEVSSTGSSKTYNRLKELKAFEETKAGVKGLIDSGITKIPKIFVMPEEIGNTNTNTTPISGKLTSTQFNIPVIDLNDIVEKRSDIVAVIRQAAGTAGFFQVVNHGIPVKLLEEMMAAVREFHELPQEMKAEYFTRDGHKVKRVVYRSNFDLYQSKFANWRDTLSCVMGPEPLDPQELPPVCRDVTMQYYKQIQELGSLVFELLSEALGLKTNHLEGMDCTKGHIIKCHYYPPCPEPNLTMGTTKHSDPDFLTILLQDHIGGLQVLSPNGWIDVIPVHGALLVNIGDLLQLISNNIFKSVEHRVLANHNKCPRISVACFFALDFHPTTRMYGPIKELLSKDNPAIYKEITLKEFATYYDNKGLDGNSALPHFMLKH >RHN69935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47448928:47452609:1 gene:gene18468 transcript:rna18468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA ligase (ATP) MPIEMPQGLPFSVDTWTPSSKISKRHHFITHAHKDHSSNITSHSSFPIYSTSLTKTLLLQHHPQLDASLFLVIEVGQSLIVDDPDGNFTVTAFDANHCPGAVMFLFEGKFGNILHTGDCRLTLECLFNLPVKYVGTKGKKPRCPLDCVFLDCTFGDFARAMPTKHSSIQQVVNCIWKHPDASTVYLTCDILGQEDILVQVSQTFGAKIYVDKAQNPECFKNFMVTVPEIVCEDPCSRFHLFDGSPGLYERAQSKLVEAKATLQTEPLIVRPSAQWYACEELSDVQNTKKKRMNEAVKDQFGVWHVCYSMHSSKEELEEALQLLAPRWVVSTTPPCRAMQLNYVKKYCFNSKVSLNNSVVKLLGMAVETYGDVDAFVKPVNCYPVLQGTAQPCAQTKSPVKQCTDVKALEKLTLPVNRSPVTLFGRARLGLKDVDFLRGGCNLLPVNVPLQTVSSGPKQEFLDDAVEVKWERSPGRKEDLHNVDQQSEVQENTKVHKSASYENIGSPGFSEHVRKYYRSMNLPVLRPLPSLVELMNSRKRAKRGINH >RHN43802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48592964:48598457:-1 gene:gene50429 transcript:rna50429 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFLGGVGTVEHIDETRDSRTGVVMRGSDEILISVPPHPSPLYKVDKIMRRNLWGFN >RHN53961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5766402:5768619:-1 gene:gene28936 transcript:rna28936 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFAISKVLWHLKRISIQQPVSQYRIKNVSSPFIVWKVTDNFGLSQNHGCVRTKSRIKLLAALVHPHNRVTRL >RHN53301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:819896:822998:1 gene:gene28192 transcript:rna28192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAEREPAYTVASDSETTGEEKSSPLPEIAIGIDIGTSQCSVAVWNGSEVELLKNKRNQKLMKSFVTFKDEAPSGGVTSQFSHEHEMLFGDTIFNMKRLIGRVDTDPVVHASKNLPFLVQTLDIGVRPFIAALVNNVWRSTTPEEVLAMFLVELRLMTETHLKRPIRNVVLTVPVSFSRFQLTRLERACAMAGLHVLRLMPEPTAVALLYGQQQQKASQETMGSGSEKIALIFNMGAGYCDVAVTATAGGVSQIKALAGSTIGGEDLLQNMMRHLLPDSENIFKRHGVEEIKSMALLRVATQDAIHQLSTQSSVQVDVDLGNSLKICKVVDRAEFEEVNKEVFEKCESLIIQCLHDAKVEVGDINDVILVGGCSYIPRVENLVTNLCKITEVYKGINPLEGAVCGAAMEGAVASGISDPFGNLDLLTIQATPLAIGIRADGNKFVPVIPRNTTMPARKDLLFTTIHDNQTEALILVYEGEGKKAEENHLLGYFKIMGIPAAPKGVPEISVCMDIDAANVLRVLTGVVMPGSRITVVPVMEVRMPMVDDGHGWCAEALNRTHGATMDLVTLKKA >RHN63797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54069596:54070832:1 gene:gene26548 transcript:rna26548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MSKVKVDQLNQLREIFRRFDMDSDGSLTMLELAALIRSLGVRPSGDEVQILLTKMDSNGNGSVEFDELVEAIMPNMNAEVLVNQEQLIGVFKCFDRDGNGFISAAELAGAMAKMGQPLTYKELIEMIREADMDGDGVISFSEFATIMARSASDLLGVFEYRNKTHSFQS >RHN40796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21275990:21276358:1 gene:gene47007 transcript:rna47007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAEESKRKAGDEDRISNLPDGLLNHILSLLPTKTAVTTGRLSRRWRHLWKHLSALNFSDNSHLSFIPYTQQSELF >RHN52057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31097567:31100305:-1 gene:gene36674 transcript:rna36674 gene_biotype:protein_coding transcript_biotype:protein_coding MILADLLGVSPLPQSNSETFGPPFFFRYSSICLLVWIFSPDGAVKTTSYFVGVPDFGKKTFLVQPDGAGRSNQTGSSDAPASLTAAEPSFSTELAIFTCQFPPKNSNRTTIRELIIIIMMIINLTILYN >RHN45921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26790076:26791169:1 gene:gene40348 transcript:rna40348 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGRTGPWNGGGFTGILTTTNAHFYGFQGGNDREGNINVYFIIQINKEFITYILGLRGIKCKLHGLVGFQSVMVMVYAGYLQAVVH >RHN42910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41870200:41878607:1 gene:gene49416 transcript:rna49416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-II family MDFLFVLLLLGCLCSFVLPDSQGDALIALKLSLNASGQQLSDWNENQVNPCTWSRVNCDFNNNVNQVSLAMMGFPGRLTPRIGALKYLETLSLQGNGITGDIPKEFGNLTSLIRLDLENNRLTGEIPSSFGNLKKLQFLTLSQNNLSGIIPESLANISSLSEIQLDSNNLSGRIPQHLFQVPKYNFSGNTLDCGVSYGQPCAYNNNADQGSSHKPTGLIIGISIAFIAILVIGGLLLFWCKGRHKGYKREVFVDVAGEVDRRIAFGQLRRFAWRELQIATDNFSEKNVLGQGGFGKVYKGVLADNTKVAVKRLTDYESPGGDAAFTREVEMISVAVHRNLLRLIGFCTTPTERLLVYPFMQNLSVAYRLRELKAGEAVLDWPTRKRVALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDIRKTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKRLEAIVDRNLNKNYNMHEVEMMIKVALLCTQATSEDRPLMSEVVRMLEGEGLAERWEEWQHVEVNRRQEYDRLQRRFDWGEDSVYNQDAIELSGGR >RHN66231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9917135:9918301:-1 gene:gene14175 transcript:rna14175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MASVDWTELPRDILFLISQRLDVELDLIRFRSVCSTWHSSPIPNDHNILPFQFPLLKYVPAPDSINNNNEIIDNINNANTSFCYLSKRSFFLVKPPQEQQQQETLIRCRPWLIQITKNSSGKTQLLNPPFVSLKSTAFSHLPNAVNFIKFSAQHLRTDFIIDEDDFTFQNQHGSYLYPQTVLAVTCHGKKPLVLGTLSYCSSKPVLFHDQDKRWTPVSNLSTANGDICLFKGRFYVLDQSGQTVTVGPDSSTELAAHPLYQRCVRGKNRKLLVESEGELLLLDIHQTFFQFSMKIFKLDENRKKWEKLKKLGDRILFVGSGCSFSASALDLCLPKGNCVIFIDISVLSTYNMAHGNHVFHLDRGQLSHVSEYPECENLFLPPEWILKI >RHN39894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11489305:11505163:1 gene:gene45976 transcript:rna45976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mediator complex, subunit Med12 MQRYHAGSCTSAVNNSTIGGPSSRDTGRSDSSFPAHFPVNSRSSIHKSNPDLITMGSRSKQNIKGQPKRFPIMRRQPQLNINPYKLKCDKEPLNSRLGAPDFLPQTPNCPEETLTKEYLQSGYRDTVEGLEEAREISLTQVPHFNKPIVHNCREAIKKRLRAINESRAQKRKAGQVYGVPLSGLQLAKPGIFPELRPCGEDFRKKWIEGLSQPHKRLCTLADHVPHGYKRSSLLEVLIRNNVPLLRATWFVKVTYLNQVRPGSVGISSGTADKIQPSRTEIWTKDVIHYLQTLLDEFFSKNNSHSTLQNRERSPQMPYAGTLLHKSDPLSSFSAGEEPSSHFRWWYIVRLLQWHHAEGLILPSLVIDWVLNQLQEKDLLEVWELLLPIIYGFLEIIVLSQSYVRALAGLTLRVIRDPAPGGSDLVDNSRRAYTTYALIEMLQYLILAVPDTFVALDCFPLPSSVVSHTMNDGSFVLKSSEAAGKIKNSSDYFGRIVLCIQKRAEDLAKAASPGNPSHCLAKVAKALDNSLVLGDLREAYKFLFEDFCDGTVSESWIVKVSPCLRLSLKWFGTVKTSLIHSVFFLCEWATCDFRDFCTTPPCDIKFTGRKDLSQVHIAVRLLKMKLRDVKSSLRRAKRSIHRASYAAKHESQRHNQNYITTGSSVISESPGPLHDIIVCWIDQHVVHKGEGLKRLHLFIVELIRAGIFYPLAYVRQLIVSGIMNMDVNVVDVERRKRHSHILKQLPGHFMRDALTESGIAEGPQLIEALQTYLTERRLILRNSPSDPRDDANANNAKLPTLKRKRNPASSKDGTSTVSTDQWKTVQSTASSKSAKDGASMEELKETISVLLQLPNSLSNLNSTGCDESESSVRKPTWPHYGKTEPVEGTPGCEECRRAKRQKLSEERSSSVPADDDTWWVKKGLKSTEPLKVDQPQKTTKQVTKSRQKNVRKMSLAQLAASRIEGSQGASTSHMCENKVSCPHHRTPVDGDALRSVDSMRTCDSRDIVFIGKALKKLRFVEKRAVAAWLLTVVKQVIEETEKNIGKVGQFGRAYSMVDDRNSIRWKLGEDELSAILYLIDISDDLVSAVKFLLWLMPKVLSSPNSTIHSGRNVLMLPRNAENQVCDVGEAFLLSSLRRYENILVAADLIPEALSSTMHRAATLIASNGRVSNSGATAFTRYLLKKYSNVASVIEWEKTFKSTCDARLSAELESVRSVDGELGLPFGVPAGVEDPDDFFRQKISGSRLPSRVGAGMRDIVQRNVEEAFQYLFGKDRKLYAAGIPKGHALEKWDNGYQIAQQIVMGLIDSIKQTGGAAQEGDPSLVLSAVSAIVGSVGPTLAKMPDFSSSNNQSNIMSLNYARCILRMHITCLRLLKEALGERQSRVFDIALATEASNVFAGVFAPSKASRAQFQMSSAEVHDTSATNSNELGNNSIKTVVTKATKIAAAVSALVVGAVIYGVTSLERMVTILRLKEGLDVIQCIRTTRSNSNGNVRSVGAFKADSSIEVHVHWFRLLIGNCRSLCEGLAVDLLGEPSISALSRMQRMLPLSLIFPPAYSIFAFVRWRPFILNANVAVRDDMNQLYQSLTMAIADAIKHSPFRDVCFRDCQGLYDLMVADGSDAEFAALLELNGSDMHLKSMAFVPLRSRLFLDAMIDCKMPPSIFTKDDVNRVSGPSESKTKLANGDSKLPDKLVHVLDTLQPAKFHWQWVALRLILNEQTLIEKLDTHDASLSDAIQLASPGPDKAASEKESNFIEILLTRLLVRPDAAPLFSQLVHLFGRSLQDSMLLHAKWFLVGPDVLVGRKTVRQRLHNIAESKKLSSKTQYWEPWGWCSPCTDPGTIKGDKKKFDAASLEEGEVVDEGIDMKKSLKRLSHVSQVFDSESSRINQQHVTERALIELLLPCIYQSSDESRNSFANDMIKQLCSIEGHIAAVTGGVKPVGSTPPGVEGQTNKVNTKKGIRGGSPGLARRPAGATDSSPPSPAALRVSVSLRLQLLLRFLPILCTEREPSVRNMRNSLAPVILRLLGSRVVYEDAYILSNAVHSKKDLELSSEAAASAFVDFSAEGLFDRLLLVLHALLSSYPASWLRLKPGSKSINEPTKEVSGFDREFLERMQNDLDRMQLPDTIRWRIQAAMPVLFPSTQSSFSCQPPLVPTSAVVCLQASNTIPGFNSSSSANPPLRSPVLSRVAANTSAKSKQQDSELDIDPWTLLEDGAGSCPSASNIASIGGGDHTNVRAASWLKGAVRVRRTDLTYVGAVDDDS >RHN59477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11094533:11094803:-1 gene:gene21508 transcript:rna21508 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGKKNNSTFLSPSIFLGCAGQLSTTSRTLLPCIIWSNERTYSSKSTLVIHAFLLARY >RHN66650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15685056:15686409:-1 gene:gene14688 transcript:rna14688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-PERK-2 family MPHEDDIGTTLLTNNYQAEHLHMSQDQTKNASVCSMCTVCKIRRPNIEWQKEFTYEEIEAATDGFSLKNCISESGNPFSAFKGKLEGDLKIVVKQHEITNIQVKEKMKSEVQTILKARHNNVVMLLGSSTKDRFMLIVYEYACNGSLDMFLSRESGKTLAWSERMRVAIGLSRGLKYLHDKNIIHGNIKPNNILLTHDFKPMVHNLDNNCLGDFDLGKKLEPKKSCNNKIIGNSEYIAPEYQEKGKLSTKTDVYSFGVVILELITGRKAEDKISGDKRLVEWAKPLLGGKKYSELVDPIISKTYEEDQLRWLVKVIAQCLKKKPKERFSMNMVSPIYYDMSNKKFKLQTEET >RHN59386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10155157:10158335:-1 gene:gene21412 transcript:rna21412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MESVAAKKRKVSTTYIPDEIAFSILSKLPFKSIKRFECIRKAWSLLSENPHFMNMFYKNLLSNSHQCPYYDGGSLLLRDFELGKDVFYSISGERFENKVQLDFSNAYADRFKFRIFGFGSINGTFCLYQDYYYGKTLLWNPSAHAIKLVPSQDELVESSIEDVVDFVSIHDTYYLHGFGYDNLRNDYKVICHVTITGEHAGYGCMSLDPIWVIYSLRTNSWRILDVSSMPCSLARIDGTQVYMDGVCHWLAEEVDDTLEGPCVVSFYLSNEEFFITYIPSYLDDCFNLHTLWINLAVLNGSIALISYHEETTNFHISILGEYGIKESWTKLFMVGPLSCIERPIGVGTKGEIFVIRQDKELVCLDLSTQMIVELAYKEVNSIDRIVMYKETFFQLEE >RHN66581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14234716:14235599:1 gene:gene14594 transcript:rna14594 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVKLATLAVFMLTTFLIVQTKNVEAGECPSVGRGCTQLLLNPCGNILECICVSRWIYGGSICQSL >RHN79837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32694835:32696998:-1 gene:gene3683 transcript:rna3683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative riboflavin synthase MAASSASFSVTLTAKTLTITSHTPHFRFKPSPLRIFLQSTRKPITLRTNAYIPIQCLFTGLVEEIGTVKKLGESPNGGFDLQLNAKTVLDGVSLGDSIAVNGTCLTVTEFDQIASDFTVGVAPETLRKTSLLELEPGSPVNLERALTPLSRMGGHFVQGHVDGTGEIVSMIPEGDSLWVKIRVEKKELLKYIVPKGFIAVDGTSLTVVDVFDDEGCFNFMLVAYTQNKIVVPMKKIGQKVNLEVDVLGKHVERLLSSGFVPSIAPNSLWSSSQEQ >RHN67012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22827986:22828251:-1 gene:gene15141 transcript:rna15141 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFYSFDFNRSCLFFVLLSLFLSLYMICTSSSFLKKKKKMYII >RHN43340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45023585:45025716:1 gene:gene49897 transcript:rna49897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipid-hydroperoxide glutathione peroxidase MSTEPSNSKDPKSVYDFTLKDGMGNDVDLATYKGKVLLIVNVASKCGMTNSNYVGLNQLYDKYKLKGLEILAFPSNQFGEEEPGTNDQILDFVCTHFKSEFPIFDKIEVNGDNSAPLYKFLKSGKWGIFGDDIQWNFAKFLVDKDGQVVDRYYPTTSPLSLERDICKLLGVE >RHN82372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53264779:53266097:-1 gene:gene6535 transcript:rna6535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MNPHKAPGEDGYPAIFFQKCWDTVADSIYQFVNQVWVTPSLISSINNTLIVMIPKIDKPEFVSQFRPIALCNVIYKIISKVIVNRIKPLLDGIISPYQSSFIPGRSIHHNIIVAQEMVHSMARMKGNKMFMSIKIDLEKAYDRINWNFVENCLEDCKFPPNLIQIIRDCISSPSYKILWNGDKTDTFTPTRGIRQGDPLSPYLFVICMERLSHIISDRVEAQYWKPMRAGRGFY >RHN68792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38636954:38644125:-1 gene:gene17191 transcript:rna17191 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASLGVSQAIFFSNTTTTSSRFNLHPNKPPTFSFQNNPSISIFSRRNRTPHFLRCTPNSTNDASSLNWDWNRWCRHFDDIEQAESFASLLKFQLEDAIEKEEFQEAAKLKRAIVEATSKDSVAEIMSQLKNAIDDERYHDASKLCRYTGSGLVGWWVGYSKNSEDPFGRIIRISPGMGRFVGKSYSPRQLLTASTGTPLFEIYVVKNADDTYHMQVVYLRRAKGNSTSNLPPSLLAKRPSKPEVENLSSAEVQEPEDKVEERNDEKNSNVEAATEDGIKSVINFLKEKIPGLKVKVMNINVEEEAREGNDSIKQIMEEDGNKTDGEDDNLDEPDEVTLESDGDASDEEKDLDMKLFIGGIVHNNEDNSSKDEFIRLPAEIKNMERESFLLHIPRRNLDNDRKEDKVRNIKVAALAAQGISELMPSDVAKAFWGSDKVSSKVSKSMREIVKLAISQAQKKSRLSEDTYFSRIACPRGDFDPFDGLYVGAFGPYGIEIVQLRRKFGHWNDVDSENNTSDIEFFEYVEAVKLTGDLNVPAGQVTFRAKIGKVNRNANRGLYPDELGVNASYKGQGRIADFGFRNPKWVDGELLQLNGKGMGPHMKGADLGFLYAVPEQSFLVLFNRLKLPE >RHN39059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3838937:3839359:-1 gene:gene45051 transcript:rna45051 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFITTSFVLVLIVVAFLNGAYSVDEGEGRGNNKMGNDDPNLYKSEKFGPTIDCAILLYNCLASPIPFVPNPACLLYNQFCAQKPPTPSTLP >RHN74739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36264467:36265432:-1 gene:gene10847 transcript:rna10847 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIFYLFHMQKRESMNPNIRLFYDLIIFLSLLLVLTDGDLINGGSVPCLTSFGCPRSTCYPPSTPNCILRICECI >RHN55033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14575602:14580094:1 gene:gene30155 transcript:rna30155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal recognition particle receptor, beta subunit, small GTPase superfamily, ARF type MQELEQWKEQASHLLNVANDYLREVPPNQLYAAAAIAVFTTLLLLLRFLKRTKSNTIVLTGLSGSGKTVIFYQLRDGSTHQGTVTSMEPNEDTFVLHGETTKKGKIKPVHIVDVPGHSRLRPKLDEYLPQAAGIVFVVDALDFLPNCRAASEYLYDLLTKGSVVRKKIPLLILCNKTDKVTAHTKEFIRKQIEKEIEKLRASRSAVSDADVTNEFTLGVPGEPFSFTQCSNTVTTADASGLTGEISQLEEFIRAHVKP >RHN72024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4438809:4439710:-1 gene:gene7691 transcript:rna7691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MISISLIPHLCVYTNMENIHIHIPNSNDHHATSEGSILENIWAKITSSDARTNGNEAECSNTWEELPNLGERDGSMEILQRLPSLGRWISMGADYWEELLDDILPINAISNKEDFSCNKKMEIESVNKSKEKVEEDGVRKEKKRVEKHYRGVRRRPWGKYAAEIRDSSKKGSRVWLGTFDTAEQAALAYDKAALRIRGSKACLNFPIESVANTNTLGYGKKGIVDVTKRESLLDSRKRGLRDRGEFVDVMNIEETANKKMAVLELEDMLENDVFVFQDLGIDYLDSLLSSF >RHN52077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31325503:31327058:1 gene:gene36695 transcript:rna36695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, SWIM-type, FHY3/FAR1 family MKDIVLMVFFNEKDFVLKCTCCLFEFKGILCRHILCVLKLIGKTDFVPSNYILARWRKDIKRRYTLIKCGFDNLAGKTELQRVGKACDAFYEFASTRINSEDDLVKVMNLIQNMKIELPCNETSPRIVEEDCSAQNQAIILDPKLARSKGRPPSKRKTSIVDQIVKKKLAQKKTKKSNQSSKNIQVQEEGQCTSRGQEIEDEVFYKSQLGDRIGTQESIQRLRLHVVQNTTNEEFLRYQIFDGTGTQDNIQEHNYVCSSENGSVNTVASFNPNQEHFGQVNKAPYYSQVTKP >RHN43713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47911790:47930532:-1 gene:gene50331 transcript:rna50331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSRIFEYFVVCGIGPEIRSIDGNKGYHGPGWMYLPSLLDQFPPSTHSLYPPPPPQLSTCVLPAGVEFHASGFDPNDPSTFPRSYPIVLTEGDGSKIYVSCISFRDHVCEDVIEAYRIQGNSYADKCICLVSRSPCFSVLRSTLEELFVLCFSPTGSSKPLWDIIAHTVSNVPLPTPGKERVLFAIENCLLSVEAPPNCGLPHVDISFQPLVQCLDVDNLINLFTAVLLERRILLRANKYSLLTLASEAICHLIYPFRWQHVYIPLLFYSGVDYIDAPTPYMMGLHSGVDTTGLTMDGVVVVDLEYNRITTSEEIPPIPEPELSFLRGEIMKLLHPNVIGIDEMKAGIYSASEHFPKLSAKQWGEDHDLQLRMIFLKFFATFLSGYRNFLENSATQVFNTQAFLKQRSRSTNQPSEPMIAQFLDSHGFLDYLERGVGFDENNNTVLDKLQDAIGRGQNPMSVFPSSSVEPEILTVSDSAVGISESGAKYTYDRFPANIRTEEQEEKRKQILATISNAFEYSGRHTPSKDPLADNLSPLERAAERELMVLDIKVKLQGLWLRLLKLGSTDDPLSSFEYGTILALIESDAEGIGGSGFVECIREHMHSGWDCQLTEEQFIAVKELLKTAINRASSRSDWLTIRDALEVSSDMYKKDNNNVPDYVQRHLISLSIWEELRFWEGYFDFLMEQSSNKSANYASLVTAQLVVLASHMAGLGLPDNDAWYMIETIAERNSIGSKQFIKIRGFLSHIQQLRNGYWGFTSTKAQSALALALPSPHSKNAKDEDQQPTEGTGVGRSWVQSMFSRNTTSRSSSFSRVRRWTSDGGNSATNENGTPRKQDSSTGGQKKLQTNVRMLRGHNGAITALHCVTKREVWDLVGDREDAGFFISGSTDCSVKIWDPSLRGSELRATLKGHTRTVRAISSDRGKVVSGSDDQSVLVWDKQTTQLLEELKGHDGPVSCVRTLSGERVLTASHDGTVKMWDVRTDRCVATVGRCSSAVLCMEYDDNVGILAAAGRDVVANMWDIRASRQMHKLSGHTQWIRSLRMVGDTVITGSDDWTARIWSVSRGTCDAVLACHAGPILCVEYSSLDRGIITGSTDGLLRFWENDDGGIRCAKNVTVHNAAILSINAGEHWLGIGAADNSLSLFHRPQERLGSFSGTGGSKMAGWQLYRTPQKTVAMVRCIASDLERKRICSGGRNGLLRLWDATINI >RHN40382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15818590:15822842:-1 gene:gene46529 transcript:rna46529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MKKKLDTRFPAARIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYEITLQRGAKTMNSLHLKHCVQSYNVFDFLKDVVSKVPDYGHGHGHTDAGGADDQTIPKRRKAAGDDGNDSDEEAKRGKMLELGHTSPTGRGRGRGRGRGRGRGRGRAIQREGHHQETESEPCPSVQQVSQNTTDTNVAILDGTESNELPKNNVVVLPADNSDSLLNIDLNANMNENDDKKASTVANLTVTIPEAANPEAANPPVSEPPPPDSSHHEEIPGWSLSEVDKMAIDSMQLAQLGTRMDEDDEDYDEEG >RHN64445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59138218:59139046:-1 gene:gene27264 transcript:rna27264 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDSPQIMYYFILSRLQRWFSICKIYLAECESFTRHESQCCYSVLFKRLWEALAT >RHN80519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38767126:38774953:-1 gene:gene4456 transcript:rna4456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S59, nucleoporin MFGSTNPFGQSSSPFGSSQSVFGQQNNTNNNPFAPKPFGSPTPFGSQQTGNSVFGGTSTGVFGTAQTSSPFSSNTFGASSSPAFGTSMPAFGASSTPAFGNSSSSFGGSSVFGQKPAFGGFGSTPTQTSPFGSATQPSQPAFGSNTQQSQPAFGSSIFGSSTPAFGSTGTPAFGATSTPAFGATSTPAFGAPSTPAFGAPSTPAFGAPSTPAFGATSTPAFGSTSTSAFGNTGGAFGMSNTTVFGGGGGFGASSSPVFGSSSTPAFGASSSPAFGASSAPGFSFGSTTPAFAQSSSAFGTSSPFGSTTSAFVGQSSAFGSQTPTQAFGSTGIGQSGFGGQRGGSRIASYSATVEADSGTSGQTAKLESISAMPVYKDKSHEELRWEDYQLGDKGGPHASAPPSTGMPGFNSSTSQTNVFGQSPANPFSSSTTPNSNPFGQKTFGTSAPAFSSPAFPSSSSSAAAPSIFGTPAPGLFGANSSSQPVGSSTSLFGGQGTSSQFSTNIFGNTQPSLFNTSAPTNAQSGFGQNTSQFGQTGAFNQPSMFNQPSSGSLFSSSPSLTSNAPTGFGQAAPSMSTPFQSTPSFQSTGTFSFSNYAQTQPAGASSFAGTQTQNMFGQNNVGLQAIPQSSMVAQAAPITNPFGTLPALPQMSIGRVGTTPSVQYGISSMPAQDKPATVRISSLLTSRHLSQRRVKFPIRKYKNEGSKVPFFSDDEDTPTTPKADALFIPRENPRALIICPMEQWPGRTSEKASSFKDRYASVNENGISSREAGTTPNDRTRSEEKERTGAENGVVKEQVQQPVPTKHASNGNNEDHSLQKADMYKTLSGHRAGEAAIVYEHGADVEALMPKLRRSDYYTLPRIHELAAKERAEPGFCSHVKDFVVGRQGYGTIRFLGVTDVRGIDLESIVQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCFDKKTGQQYTEGPRIGKYEEMLKRKAEDQGAEFVSYDPSKGEWKFRVNHFSVYKLVDEDSWIQADV >RHN44881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9379488:9386927:1 gene:gene39048 transcript:rna39048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative six-bladed beta-propeller, TolB MSLSLRFRRVKQISRFLEYSGDCFKHQCDKGLNSLPFSTKPVVNDGLVRGFHFHQHSTRFQLSTLVDVLHKYAPEVDLLSFIKSSLDTLEGTDHYWLNRSVKNEEFFGIHGTFLVLAANNFDCGIMFQKLKTIQERFPHITIMGIKLINSSDRENQIQFLMTENITFPILLSQRTFPQIKEGACYILFRNFKNPKIYNENDVSPEILCQDIQELKMQPSDDSDWLNVVRSTTWRQDLIAKDEYICSPLQNLVLYYPGCVSADESANRLFISDCNHHRIIVCDDNGKIMDCIGSSPGFEDGDFESAKLRRPAGSYYNATEDCLYFLDSENHAIRRADMEARLVETLYPISTDNKGGGIFNWILNKLGLETSVRNMEKSEVLDPKRLYFPWHLLKSDDDDTIYIIDRRFQTLWTMDSGSGKVDKIFEGSPKILEICGQLIRQNLSTFDKIPCDQFQQKTNNVFALDGLPHSDRLSSLTTLQNHMFICDKVRQRILKVNIESGVCLDFELSNFGLLGFPYWLNSPLETCYAGGNGLSDTAIDHLQQFDLLPGNIDIKLSVDVHADIEVVEPLRESCIWRQARGAAAEITGMDDPRSMDKVLFANGNFRQ >RHN73745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19547880:19554110:1 gene:gene9606 transcript:rna9606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translocation protein Sec63 MAASEETSALFPIFILTIMAIPIVPYTITKLCRAASKKSKSIHCQCNDCSRSGKYRKSIFQRISNVSTYNNLTLLLLWVIMIILVYYIKSMSSEITVFDPFSILGLEPGAVESEIKKKYRRLSIQYHPDKNPDPEAHKYFVEHIAKAYQALTDPVARENYEKYGHPDGRQGFQMGIALPQFLLNIDGASGGILLLWIVGICILLPLVIAVVYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFTKAAEYMEIPVRRTDDEPLQKLFMLVRSELNLDLKNIKQEQAKFWKQHPALVKTELLVQAQLTREFAALSPSLASDFRRILETAPRLLEELMKMAVIPRNAQGHGWLRPAIGVVELSQCIIQAVPLSARKTTGGSPEGIAPFLQLPHISESVVKKVARKKVRTFQELYEMDSQERAELLTQTAGLSSEEVQDVEIVLDMMPSLTLDVTCETEGEEGIQEGDIVTIHAWINNKRGNGLIAALPHAPHYPFHKEENFWFLLADSVSNNVWFFQKVSFMDEGAAVTAASKAIAESKEGSGASPKETSKAVAEAVEKVKGGSRLVMGKFQAPSEGNYNLTCYCLCDSWLGCDRKTNIKFKVLKRTRAGTRGAVLADEGPIMEDGVEEDEDNEDEEYDDDYESEYSEDEEDDQNSKNKNQAANGTTNKNGEAAESSGSDEE >RHN69730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45887932:45894952:-1 gene:gene18242 transcript:rna18242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NUDIX hydrolase domain-containing protein MSPPLQPLTNLNLPSLPDLLLTALSVCFLFTSSRSHNTPTTTNCPFLSFPLKPRRFLKIPAMSNNISTSSLSSSSSSNPNRNNRRNFVSPQSLSEWLKPRLPSDSFATWGVKPGTKNVHNLWLELSQGETSLDDSEPPVRTVQVVTVRVVGKDGKILVESHQELSDGKVRERGRPLSEKMKPNEDPESAAVRGIKEELGSVINGETEICDIVMIDPNSYEMRVEERNSGSYPGLPGCYVLHILSATVEGLPEGDFCTYEVDEYDDSDDKKVAHQAVSVKKHFWKWELSSAINCHRQINLIDSINVLIVRLIQ >RHN80324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37184351:37190453:1 gene:gene4234 transcript:rna4234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-binding, CRM domain, CRM-domain containing factor CFM3 MALLPTRQLHPFHTSPNFHHSIRFFTTISSSSIQKSFIFKTPTKNFTYLSSKNPIFHLKSFCTDTWLKRWNEQNRPKPPRGVLNYQGSGNGHSSKSDFDSSDDEDFGGSRMDRIVEKLKKFGYESDENENIKEEGVIEKGSMEDIFYVEEGMLPNTRGGFSPESPFGIGSYGSGDGGEVRFPWEKPVVDEEVEERTSSRKKSKTSMAELTLPESELRRLLKLTFMKKHKTRIGGGGVTQAAVDKIHERWKTSEIVRLKFEGDAALNMKRMHEILEKKTGGLVIWRSGNSVSLYRGVSYKDPSIQQNKQLYRKNEKSLKFLSAPSDDFEVEPSEFTTDSETKTSLEKLESTNDQKEKVNLPKISYEDEVDKLLDGLGPRYTDWPGCEPLPVDADMLPPTVPGYQPPFRVLPFGVRPTLGFKEATSLRRIARGLPPHFALGRNRQLQGLAAAMIKLWEKSSIAKVALKRGVQLTTSERMAEEIKKLTGGIILSRNKDFLVFYRGKNFLSPDVTQALLEREKMAKSMQDEEEQARLRASSLILPAINTSELSAEAGTLGETLDADAKWGKTLDECHEQKVMREVEQLRHANIVRKLEEKLSLAERKIRRAERALMKVEVSLKPSETRADPESITDEERFMFRKLGLRMKAFLLLGRRGVFDGTIENMHLHWKYRELVKIIVKANNFEHVKKIALALEAESGGVLVSVDKVSKGYSILVYRGKDYQRPSMLRPKNLLTKRKALARSIELQRHEALSSHISTLQSKVEKLRSEIEQIEKVKEEGDEALYNRLDSAYSTDDDNSEAEDEEEEVEAYLQSDNDENDSEVESDAIYFQPEEFASQS >RHN61242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34092775:34097432:-1 gene:gene23683 transcript:rna23683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c biogenesis protein Ccs1/CcsB MCHMMSLRSPMNQCLLKVPTFHPLFKYNRIHSHRHNTFSFTISCNLKNKGKNNSLLSQKIVLSESSPPPLTQDNDDINNGNVPASSKNQKGPYGVVKKLSKKVLQILSNLPLAIGEMFIIAFLMGLGTFIDQGEKPEFYFQNYPEDHPVLGFFTWRWIFFLGFDHMYSAPIFLSMLALLGASLIACTYTTQLPLIKVSKRWSFIHSAEAIRKQEFSESLPRASIQDVGTILMGAGYEVFLKGPTLYAFRGLAGRLAPVGVHIALLLIMGGGTLSAAGSFKGSVTVPQGLNFVVGDVLGPTGFLSLPTDAFNTEIHVNRFSMDYYESGEVSQFHTDLSLLDMDGKEVMRKTISVNDPLRYDGITIYQTDWSISALQILKDNEGPYNLAMAPLKINGDKKLYGTFLPVGDVNSPDVKGISMLARDLQSIVLYDKEGKFAGVRRPNSKLPINIDGSEIVVVDAIGSSGLDLKTDPGVPVVYAGFGALMLTTCISYLSHSQIWALQDGTTVVIGGKTNRSKLEFPDEMNLLLDKIPEIVESSSLSKQTDSMVG >RHN53167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42487478:42489078:1 gene:gene37940 transcript:rna37940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminocyclopropanecarboxylate oxidase MAIPVIDFSTLNGDKRGETMALLHEACQKWGCFLIENHDIEGKLMEKVKKVINSYYEENLKESFYQSEIAKRLEKKENTCDVDWESSFFIWHRPTSNIRKIPNLSEDLCQTMDEYIDKLVQVAETLSQMMSENLGLEKDYIKKAFSGNNNNGPAMGTKVAKYPECPYPELVRGLREHTDAGGIILLLQDDKVPGLEFFKDGKWIEIPPSKNNAIFVNTGDQIEVLSNGLYKSVVHRVMPDKNGSRLSIASFYNPVGEAIISPAPKLLYPSNYCYGDYLELYGKTKFGDKGPRFESIKNKANGN >RHN53714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3548041:3549230:-1 gene:gene28651 transcript:rna28651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MNYNKMYNCRMYVMLLMVISMSVISILDAQVLPPCGKPLLPCIEYANSTSHSIQDIYPPDICCTAIKDVFDATQETCFCQLVYTPGLFEAFGVKFTVGYRILRTCGVKFDTSFCNASSPTLPLSSGKPPAATPIGDEGGAGRIALTGLCFIMFIWPFFLFG >RHN80813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41010410:41012808:-1 gene:gene4780 transcript:rna4780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MAGGDAQKGRRIAIIGVSTLLLVAMVVAVTISINQNQNDVKDDFKDDLADNKKNHVASTLKAVQTICHPTTYKKECVESLVVEAEAGNVTDPKELIKIAFNVTINKIGEKLKETEMFSEIEKDPRSKDALDTCKQLMHLSIGEFTRSLDGISEFDLKHMNQILMNLKVWLNGAVTYMDTCLDGFENTTGDASKKMKHLLTSSIHMSSNVLAIVSNFADTVSDMNVSKLFGRRLLQDSEIPSWVEHRILLDAMTNKSKPKPNVTVALDGSGDFKSINEALKKVPGEEDETPFVIYIKAGVYREYVEVLKNMTHIVFVGDGGKKSIITGNKNYMDGVTTYHTTTVAIQGDHFTAINMGFENSAGPQKHQAVALRVQGDKTIFFNCSMDGYQDTLYVHAMRQFYRDCTISGTIDFVFGNAESVFQNCKFVVRKPMSNQQCIVTAQGRKEITGPSAIVIQGGSIVADPEFYPVRFDHKSYLARPWKNFSRTIIMDTFIDDLIHPDGFFPWHTEVGPINMDTCFYAEYHNYGPGSNKSKRVKWAGIYNINSKAAHRFAPSKFFHGGDWIKDTGIPYFPTIPEHKKHKKTVLKW >RHN39005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3470312:3479212:1 gene:gene44989 transcript:rna44989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin-dependent kinase CMGC-RCK family MEWYTILKELGDGSCGHVYKARDMRTFEIVAVKRLKRKFCFWEEYTNLREIKALRKMNHQNIIKLREVVRENNELFFIFEYMDCNLYQLIKEREKPFSEEEIRCFMKQMLQGLSHMHKKGFFHRDLKPENLLVTNDVLKIADFGLAREVSSMPPYTQYVSTRWYRAPEVLLQSPCYTPAVDMWAIGAILAELFTLTPIFPGESEIDQMYKIYCILGMPDSTCFTIGANNSRLLDFVGHEVVAPVKLSDIIPNASMEAIDLITQLLSWDPSRRPDADQSLQHPFFHVNTRVPRSLSDPLELKLSNKRVKPNLELKLHDFGPDPDDCFLGLTLAVKPSVSNLDVVQNARQGMGENMLFCSDFNDHSDQSVFWTLLSPDQNGVHSSAETSLSLSFGSVQHQPIGVPQTAGFSFQPLQPNILTTPFLTLSSPYQRRHCL >RHN64902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62810674:62823548:1 gene:gene27775 transcript:rna27775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, formin, FH2 domain, protein-tyrosine phosphatase MAMLRKLFYRKPPDGLLEICDRVYVFDCCFTTEAWNEEKYKVYMDGIVGQLRENVPDASILVFNFREEETKSLMANIISEYDITIMDYPRHYEGCPVLKMELIHHFLRSSESWLSLGQHNVLLMHCERGGWPVMAFMLAALLIYRKVYSGEHRTLDMIYRQSPHQLLHLLTPLNPIPSQLRYLQYVSRRNVALDWPPLDRALMLDCIILRFFPNFDGEGGCHPLFRIYGQDPFSADKSPKMLYSMPKRSKNVRAYKQGECELIKIDINCHIQGDVVIEGINLNDDMEHEMMMFRVMFNTAFVRSNILMLNRDEIDVLWDAKDHFPKDFRAEILFSEIDAAAAVILDNTSFFEEKDGLPIEAFAKVQEIFSHVDWMNPKADAALNVLQQISTSAIMNDKLDKVSDQHVETATSLGETGPKTPQRNSYAAIRSLSSTKRTPNNDMSRKEEKTNKVDSIPQRANTSDIIGQEKICSSEKSLESSKCPTGSTNFDIKPQESNLASSSSADSSLSPGTPPPRPPLTTRSKEVHDSPPHTELPPHHILPSQSGPQSQDRSYSPISSSTPETYHSLAPDSSIEPSPPLSSRKPLNDIPPVKTRPKSPSSQPPTPPPPPTPPLKDQKVVRAGPLSPPPPPPSPKKDIHVKAGPPPSPPVPSHMNEKPHVRDGLSPPPPPLPPSPPLKAEQPTRFQPPPPPPPPRLSVEAASPITAPPAPPPPPSATLSSGNPNASLQKSSPAPPPPIPFGKGLKPGSAFPMSLSVGVDGNKVSGPQSSSLAGSKGRVLPRAIGSKNDSKKLKPLHWMKLSRAVQGSLWDETQKSGEASKAPEIDMSELESLFSAAAPSSGPAKKSNVQSSVKPKSEKVQLIDHRRAYNCEIMLSKVKVPLHDLMSSVLALEESALDTDTVENLIKFCPTKEEMEIIKNYNGEKEKLGRCEQFFMELMKVPRVEAKLRVFSFRIQFYSQVSDLKNSLKVVNSSAEEIRNSVKLKRIMQTILTLGNALNQGTARGSAIGFRLDSLLKLTETRARNNKMTLMHYLCKVLDDKLPEVLDFSKDLANLEPAAKIQLKFLAEEMQAVNKGLEKVVQELSTSENDGPISETFRKKLKGFLCSAEAEVRTLASLYSGVGKNVDALILYFGEDPSRCQFEQVVTTLLNFTRMFNKAHEENRKQLELEMKKTAESDKKKCESERILPTTIRTGNVK >RHN55879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25057066:25063733:1 gene:gene31158 transcript:rna31158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TFIIH subunit TTDA/Tfb5 protein MVNATKGVFISCDIPMAQYIVNMNASLPASDKFIIHILDSTHMFVQPHVEQMIRSQIAKFREDNTYVKPS >RHN68530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36265542:36265751:1 gene:gene16894 transcript:rna16894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MSNGKYKAILHRTTVSKDATRISWPVFIEPQPEQEVGPHPKLVNQDNPPKFKTKKYKDYAYCKLNKIPQ >RHN55404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17976707:17978014:1 gene:gene30577 transcript:rna30577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MKLSSISFLSKLLILQYLSFQCLSTQDFHFFTFILQWPGSYCDSKLGCCYPKTGKPAADFTIYGLRPSFNINGTSPTNCDIQSVFNKSKISDLIEDLEINWPSLRCPRLNNIKIWSHEWMKHGTCSESKLSQHDYFQTALKLKKKLNLLQMLKDAGFEPNDQFYDIGNPLSIIEDATGFLPGMECNRDSAGNDQVLKVYMCVDISGSNFIQCPSLVDNCGAKVQFPKF >RHN40524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17176335:17177264:-1 gene:gene46684 transcript:rna46684 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLSFTIPQEDFLLIHQMDRDLYKILVTDLSRDPSESMRLLAMWLWLEKVGFHNVVKNIMSLPIILINEIADESMTCLTCLTNNYNTSVFSMSSSEANDIPLLQSLIENEISLKFFLHNRVEAIQGVEKTRREVCMRAFGDIMQQAMMRNLAERMVENNNFLFGSAGPMNLQFGSVGIAAEMVQQQSNNNGRRGRIIPADERTLFVTFSKGYRVEEWEVREYFTMAYGDCIEALFMQETQPNEQPLFARIVFHMVSTIDMILRGASKVKFSINRKHVWVRKFVPKRTKTDRNILLGETSDFGFGATR >RHN46728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34382298:34385518:-1 gene:gene41250 transcript:rna41250 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRQGISRASTFKEDFHSSLDDPKDSDQQNSYSRSLSSSHSSSLAAQAIKASTARRQPALSFAFDPSHPDHHRSTSFDAYGNDNSKSGFWGVLAHKAKSMLDENNPAPQQQETMPQTLKSHSFNTFTSPFSTQPLYQPSDYNKRMDNPSIRKGLDAITTSLNQLGDTFEKAFEDGKTMVESKTADLRYQIRRRGNGPEDINQASEMRNPWQQSDQTQSPSRHETQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKARSAQLEEENKLLREREGSDKGHNREDDDLIRHQLETLLAEKARLANENETYSRENRFLREIVEYHQLTMQDVVYLDEGMEEVTELYPMDVNGVTRLLSVSPHSLSPTSPDEVVLGSAKDDDDKSTSEENETPASESPPQHAAK >RHN77086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3943298:3947623:1 gene:gene504 transcript:rna504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MVIYEAAQMALHIVFSYLDQTNLCRAARVSKQWRTVSTHEDFWMNLNFENRNISEEQCELERYPNATSLSISGPTIDLLVMKAMSLLRKLEVLTLGRGQIGDAFFVVLPDCSMLRELYIDDSTLGNSIPEISVVHERLCHLELIKCRGTRIQVRCPQLKTMSLKSSNMAQVVLDCPLLHELDIGSCNKLPDAVIRAVATSCPQLVKLDMRNCSCVSDETLREIAQHCPNLGFLDSSYCPSISLESVRMTMLTILRLHSCEGITSASMAAIAHSSMLEVFYLLADLNLRAISLSSIQVSDCSVLHRINITSNSLQTLALQKQDSLTSLALQCQSFQEVDLSECESLTNSICDVFGDGGGCPMLKSLVLDYCESLTSVRFISTSIISLSLGGCRAITTLELTCPNLEKVILDSCDHLEYASFCPLALRSLNLGICPKLNILRIEATLMVSIELKGCDGLSEASLNCPLLTSLDASFCSQLNDDCLSATTRACRLIESLILMSCPSIGLDGPCSLYWLPNLTLLDLSYTFLVTLQPVFDSCKQLKVLKLQACKYLIDSSLEPLYKGGVLPTLQELDLSYGTLCQQAIEELLSCCSHLAHVNLNGCVNMHDLNWGQSRGTFPELPGISILSIASSYENNHVSSE >RHN62753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45644286:45644960:1 gene:gene25358 transcript:rna25358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ccc1 family protein MASQLGPKTIGTSPNNFVVEIPIHANSSLKVEKESNNIDYFQRAQWLRAAMLGANDGLITVASLMIGVGAIKEDIKVMLLAGFAGLVAGACSMAIGEFVSVYTQYDIEIAQIKREREANNNNNDDESSEREKLPNPFQAALASALAFSIGAVMPLLAAAFIKNHKTRMGVVAAVVSFALLVFGGVGALVGKTPLMRSCVRVLIGGWMAMAITFGFTKLIGNTGL >RHN65873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6120607:6122662:1 gene:gene13765 transcript:rna13765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MKMLFLSKHKKEKDVDLATIFDFSIITSATNHFSNKNKIGEGGFGPVYKGILADGQEIAVKRLSKTSGQGTEEFKNEVKLMATLQHRNLVKLFGCSIQQDEKLLIYEFMPNRSLDYFIFGTTLQSKLLDWTKRLEIIDGIARGLLYLHQDSTLRIIHRDLKTSNILLDIDMIPKISDFGLARSFMGDQAEANTNRVMGTYGYMPPEYAVHGFFSIKSDVFSFGVVVLEIISGTKNRGFCDPQHNLNLLGHAWRLWIEERSLEFIADISYDDAISSKIIRFIHVGLLCVQQKPENRPNMSSVVFMLKGENLLPKPSKPGFYAGRDTTNSIGSSSINDASISMLEAR >RHN39461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7273869:7274819:1 gene:gene45485 transcript:rna45485 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin MKMIQIILFFLALISYTSNAIDSDDFCVADLSFPDTPSGYPCKSENNVTADDFVFSGLNIAANTSTNSFKVAFTTVKVDKIPGLNGLGISVARIDLGFNGSVPMHTHPGVGEFIIVIQGQMTAGFITPKKVFMKTLNPGDVWVFPTGLLHFILNTGPGNAVAYSAYASSNFNTHLTDFLLFGNNLSTSTVQKTTFVDPAQIMKLKVNFGGSG >RHN45340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17082618:17083025:1 gene:gene39620 transcript:rna39620 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLTLFLLFLQLTYFTAFSEEEFDIGGGGGGFRMPLTKPPSGLKPLLRHMPPVKNNAPPVNVNHPVPSKPNVENNPPSSHYGQA >RHN43227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44172867:44178391:-1 gene:gene49767 transcript:rna49767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calreticulin/calnexin, concanavalin A-like lectin/glucanase domain-containing protein MGERKRIPLGIFAVIFFVICSSSLHLLRASDDADDAIFYESFDEDFDDRWIVSDKDEYNGIWKHSKSEGHEDYGLLVSEKARKYAVVKELDEPVILKDESVVLQFETRLQNGLECGGAYLKYLRPQEAGWKPKEFDNDSPYSIMFGPDKCGATNKVHFIFKHKNPKSGEYVEHHLKFPPSVPSDKLSHVYTAILKPDNELQILIDGEQKKKANFLSSEDFEPSLIPPKTIPDPEDKKPEDWDEREKIPDPSAVKPDDWDEDAPLEILDEETVKPEGWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKITNPNCETAPGCGEWKRPMKKNPAYKGKWHAPLIKNPAYKGIWKPQDIPNPDYFELKKPDFEPIAAIGIEIWTMQDGILFDNILIAKDDKIAASYRETTWKPKFTIEKEKQKEEEKDTESSGLASIHKKVFDLLYKIADIPFLSAYKFKIHDLIEKGETQPNLTVGILVAVVVIVLTIFLRIVFGGKKKPARVEKTNTPAAETSTNQDSGENEENKEEETSKAPRRRVKRDN >RHN44557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6156090:6156368:-1 gene:gene38691 transcript:rna38691 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIVGDDLDGREGVGACVYAVVFIELSVLPRRVFSDSSRCAPSKAFCHGLLTGLEQKKIQKENEKDNADVTAIFECYGTLQQFSLPDVSKS >RHN44843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9058876:9059917:1 gene:gene39009 transcript:rna39009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MASLHLQRSSHHFYQTDIGDAAKNQASSNPTNTVFGRFFVSVVQNDMKLWPFKVIGVNDKPTIIVKYKGEEKRFCAEEISSMILSKMREVCFDINENGILIISASEKSTGNRTEIPITNDKERLSSQQIKEMTRDAENYRIEAEKFLRKANLMNGLDYCIYKMKNALKNKDIKLKLSSQRK >RHN71678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1875545:1877186:1 gene:gene7303 transcript:rna7303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGLQLHHRKLMAKLCETICHTNCSSGKNCTACFNFCITSPKIPYYYPPSPPPPQQDHHKINNYFILTLSLLAFAFFLVCIRAIYVSFRSRRRRSSLRAQPSSTTQQNDRNFDDEEQQHQHGSVVDHPIWYIRTLGLHQSVINAISVCKYKRGEGLIEGTECSVCLSEFEEDENLRLLPKCHHAFHLLCIDTWLRSHTNCPMCRAPIVNNPTVARVESLESVIVVDDSSSSSLEHTQIDVFDENSGESETNLGFENSAFDSESRNWEENDGGQSEVCENERQVVDVVSIVRPRRSVSMDNFAFATVLSIESNGNGDSSKMSFNDDAISKVNGNENLATTSKGSSSFSFRPTRYLQGVPSPMKRSSSYNGKFLLSWFSSNQKKPNDIFRNL >RHN73736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19460650:19461438:1 gene:gene9592 transcript:rna9592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAPGSSSRRRNKAPAEVIDLESFRLSGKRPISAVIDLSDEEDDDIKIINFIPKNTPYGKRKRNKFDKGESSNSSITPFVCEICTDTKTMKDAIYISGCSHAYCSDCVASYIGSKLEDNIVNIRCPFPKCKGSLEAEFCRFILPAEVFDRWGQGLCEAMFDVSEKFYCPFADCSALLINDGIDTVKNSECPNCNRMFCAKCKVPWHEGIECSEFEKLNADERKKEDVMLMRLAKDKKWRRCPKCRIYVAKSEGCNSMLCRFSF >RHN64609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60394692:60398109:1 gene:gene27438 transcript:rna27438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylmalonyl-CoA epimerase MASLLKVSSFISPLHHKLNYVSFSPKFNHVSVRNERWNAPSITVKAQTAVEGDVINNESLSSNEQSDYGVVSVHHVGILCENLERSLDFYQNVLGLKINEARPHDKLPYRGTWLWVGSEMIHLMELPNPDPLTGRPQHGGRDRHTCIAIRDVSKLKAILDKAGVPYTLSRSGRPAIFTRDPDANALEFTQIDD >RHN44067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1403844:1407755:1 gene:gene38123 transcript:rna38123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L2 MSGGVKRSLRQFTFGAGKTAGRNCYGRITSFHRGGGHKRLHRVIDLKRNTSSSVGIVERIEYDPNRSSRIALVRWLNGINPPPQRTTTTATAAAVSTASSSSRFLKHDPASNGEIRGVFGLNSLLPQIHAGSSSGKVFLSAFSGKGDESESVSSALGLPRIAVAASRPEFFGVKGDGEKLEIRNWKKNSDVWMNRNKRKTAISWQNIA >RHN55721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21688561:21690369:-1 gene:gene30950 transcript:rna30950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MGDFVSRPELQVIGAKQLVDSDTYLYLSDGIHFKPGLLQLDDDFNLSSLHVGSIVRLTDYFVDKWIIFINDLEVVQDKCDLIGEHVTPLYSGERADLTEGAIARMCCDKFSCDEEFKPTLLQVIHVYTHQLLLSDGLNFNIATLPMNLEWNSKLQVGSVVELKSFTVCAIQNTRVIHIFDLDVIQEKRMLIGKPLPLPKNAPVLDVKLDKGVQNLMLLEFNKCHVCDV >RHN76066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47394706:47399746:-1 gene:gene12341 transcript:rna12341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MASQASLLLQKQLKDLCKHPVDGFSAGLVDETNIFEWSVTIIGPPDTLYEGGFFNAIMSFPSNYPNSPPSVKFTSEIWHPNVYPDGRVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSGPNDESPANVEAAKEWRDRRDDFKKKVSRCVRKSQEML >RHN39783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10475101:10481147:-1 gene:gene45845 transcript:rna45845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MSSPPLLCLFLSLIVVFAGTVSPARASDELERGGGGGGKQREFDYFALALQWPGTFCQRTRHCCANNGCCRGSNSPGIFTIHGLWPDYNDGTWPSCCTKSHFDPKEISTLNEALEKYWPSLSCGSPSSCSGGKGTFWGHEWEKHGTCSSPVFHNEYDYFLTTLNVYFKYNVTSVLNDAGYVPSNTEKYPLGGIISAIENAFHISPQIVCSKGAIEELRLCFYKDFKPRDCAIGADAKIDMVTKKASCPKYVSLPETVSIGRDGLQSWESNDAAL >RHN72124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5169322:5176128:-1 gene:gene7799 transcript:rna7799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MIVKRKKEEEDSWIRMSQPSVLLATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRYLAAAGNPHIRLFDVNSNSPQPVMSYDGHTSNVMAVGFQCDGNWMYSGSEDGTVKIWDLRAPGCQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNNGTCYVWRLLRGTQTMTNFEPLHKLQAHNGYILKCVLSPEFCDPHRYLATASSDHTVKIWNVDGFTLEKTLIGHQRWVWDCVFSVDGAYLITASSDSTARLWSTTTGEDIKVYQGHHKSTICCALHDGAEPGSS >RHN57747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41465705:41467537:1 gene:gene33331 transcript:rna33331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MCFKSREETSDQLLEAFKDDDCSMIGLYGKQGSGKTALVKAMGEKVKYLNIFHEILFVSVTKNPNITAMQDEIADSLNIRFDEAERARLISSTIENMDRPILVIFDDVREKFNPEDVGIPLKSNRCKVLLITFFQQDCDLMYCQRKIQLNPLSTEETWTLFKKKSGSIHDEYLCSIDLLNLAREVASKCEGLPRKVEDVGHRLRGEPIEKWKVLLDSLKHSLTKYQIFLSFRGIDTRDTFTGSLYHALDQMEFTTFFDGDGLHTGDQISPTLLNSIEAARLSIVVLSENYASSTWCLDELVKILECRKSNNQLVWPIFFKVEPSEIRYMRECYGKDMARHERRFGIDSERVQKWRSALIEVSNISGKTYRSGYEYKLIQEIVEDAKTHVQNKTCTYGLQRLQRY >RHN51044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13343479:13346658:1 gene:gene35414 transcript:rna35414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MKSFSLLSPTLLYLHPLFMLTLNLMWFGPNKIRALAAIGNQTDHLALLKFKESISSDPYNALESWNSSIHFCKWHGITCSPMHERVTELSLKRYQLHGSLSPHVCNLTFLETLDIGDNNFFGEIPQDLGQLLHLQHLILTNNSFVGEIPTNLTYCSNLKLLYLNGNHLIGKIPTEFGSLKKLQSMFVRNNNLTGGIPSFIGNLSSLTRLSVSENNFEGDIPQEICFLKHLTYLGLSVNNLSGKIPSCLYNISSLITLSATQNNLHGSFPPNMFHTLPNLKFLHFGGNQFSGPIPISIANASTLQILDLSENMNLVGQVPSLGNLQNLSILSLGFNNLGNISTMDLDFLKYLTNCSKLNVLSIAGNNFGGQLPNSIGNFSTELQQLFMGGNQISGKIPAELGYLVGLILLTMESNYFEGIIPTTFGKFQKMQLLRLRKNKLSGDIPPFIGNLSQLFKLQLNHNMFQGSIPPSIGNCLHLQYLDLSHNKLRGTIPAEVLNLFSLSMLLNLSHNSLSGTLPREVGMLKNIKGLDVSGNHLSGDIPIEIGECTSIEYILLQRNSFNGTIPSSLASLKGLQYLDFSRNQLSGSIPDGMQNISFLEYFNVSFNMLEGEVPTNGVFGNATQIEVIGNKKLCGGISHLHLPPCPIKGRKHVKQHKFRLIAVIVSVVSFILILSFIITIYMMSKINQKRSFDSPAIDQLAKVSYQELHVGTDGFSDRNLIGSGSFGSVYRGNIVSEDNVVAVKVLNLQKKGAHKSFILECNALKNIRHRNLVKVLTCCSSTNYKGQEFKALVFEYMKNGSLEQWLHPETLNANPPTTLNLGHRLNIIIDVASALHYLHRECEQLVFHCDIKPSNVLLDDDMVAHVSDFGIARLVSTISGTSHKNTSTIGIKGTVGYAPPEYGMGSEVSTCGDMYSFGILMLEMLTGRRPTDELFEDGQNLHNFVTISFPDNLIKILDPHLLPRAEELGAIEDGNHEIHIPTIEECLVSLLRIALLCSLESPKERMNIVDVTRELTTIQKVFLAGEMN >RHN51336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16395439:16398804:-1 gene:gene35760 transcript:rna35760 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIERVVEREVANVSDDEAHHASNLLTIIKDKPRNRVKSGFLHSSRVKDGRIKKMI >RHN55428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18207886:18208622:1 gene:gene30607 transcript:rna30607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MVVLLRLLVILNGDMANQTKSLTAPYSFGRSNDRFFWSPSSAHQCVISSSFSSNVMGRVKLKIKRLENTNGRQATYAKRKNGIMKKASELSILCDIDIILLMFSPGGKPSLCTGRRRYMFLINLLLCNN >RHN59563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12005887:12006368:1 gene:gene21609 transcript:rna21609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MSQCLCFSLFHKQCDIVIDQCHLTLYHPSAILKIWVLHDWDDELSLKILKNSKEAISGKGKKGKIIIIDISIDETSEDRELTELQLHFDMVMMTLHNGKEREKKEWKKLIYDAGFSSYKITPICGFKSLIEVYP >RHN60752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30149252:30156960:-1 gene:gene23109 transcript:rna23109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (uracil(54)-C(5))-methyltransferase transcription factor C3H family MAATSELSPSKILETPINAHDSTSEPHPTPPKSPQINEPINDVVTTEKRKRDDGDGNADEDDKPSLHPLWKTSLCSYFRKHSTCSHGDTCRYAHSEEELRQRPDNTWDPTSERGKKMLKSVTGEKIAVKDGVMMTELVDEVDGDGGGEEGFASNQALSKCLVHLPMKWTSENLRTFLNEQGIPFKHAKTRKGMSIGFVTFEDEEQMKSSAKDLQGKQIGNKTLEVADVNPRSFEKKSNSNVPSSGTLGDETNDDNLVIDGSESKKKSSREVVTPLAHLSYADQLEQKKYSLMQILKKLPRNARKACPNGVSVPEWILKSREIGGLPCNLEGIIESPIVNGYRNKCEFSIGNSLEGKVTVGFSLGNFREGVTAVEEPVDCPNISTIACKYAAIFQEFLQHTELPVWNRFKNCGFWRQLTVREGRSNGNVVDAETFDGIAEVMLIVQVSTASFDNAQVDAEFKRLAQAFVTGATSHCPTLPLTALIVQDHQGISNVAPSDAPLHSLPITAGDPERDVNISAADVRIHDYISNLRFSISPTSFFQVNTLAAEKLYSLAGDWACLGPDTLLFDICCGTGAIGLTLAHRVGMVIGIEMNAAAVSDAHKNAENNGIKNCRFVCSKAEQVIGSLLKEYLDVPKEQVDVPSICGSVSDIPEDSACPDPGNGENASPCSENNNLEIENEVPKESTPENGNTPVQQFKNVVAIVDPPRAGLHPTVIKALRTHTRLRRLVYISCNPESLVANAIELCTPSPTEMERGNKDNRGWRRMSSAGLARHRAKSMPISEAFKPVKAMAVDLFPHTPHCELVMLLER >RHN68093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32795370:32796612:-1 gene:gene16390 transcript:rna16390 gene_biotype:protein_coding transcript_biotype:protein_coding MYNVFHSIQDAGFLIATTIDSFKIRDATYIVSLVTNVAQFLRDDMPDRVMALAISIFNILVPQFPEKITGHHIKYVGTACYALRNDYPHAQLHATRAIGISVKFGKDEIGISVSECLARLYAVIAKRLSISEQSEDVASLCDTAVAALGKLCEYHRDSIDGPTYILVRWTLKSYCQGQLLLDILLEDQKIGAEIKWKDCS >RHN48044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44490868:44497880:-1 gene:gene42715 transcript:rna42715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative short-chain dehydrogenase/reductase SDR MATSLPQSPPLQDRVAIVTGSSRGIGKEIALHLASLGARLVINYTSNSHLADSVAAEINANQTTPRAITVRADVSDPEGVKSLFDSAEQAFNSPVHILVNSAGVLDAELPTIANTTVETFDRIMNVNARGAFLCAKEAANRLKRGGGGRIIFLTTSLAAAFKPGYGAYTASKAGVEAMTKILAKELKGTGITANCVAPGPTATELFLEGKSEERVKMIAESNPFGRIGETKDISPVVGFLASDSGEWVNAQIIRVNGGFRKSDIS >RHN78593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16505147:16514747:1 gene:gene2217 transcript:rna2217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative snRNA-activating protein complex, subunit 3 MDDDELELEFSIDDPSISIPRGGPIYVSNMSGPIVRVPLFQDSILTQLHSLQSELPPDSNHDISVDDLKVFTEDDLMDMALKQVFQGRDNNQDPPNAELNIEFGCRGQKKQFRRKSRLTNKPILDSNCKEKVEEVVRIKQKQEEDKAQVRLHSFHPDCRINQSANKSIKTQRMMSLRSTSSARKVNTLGLQEHIPVQDSEVVLSVEIFHNFRKGVKLSNAKKKTQELLVLGGQNLSVLRDKINCSTDQVMQKAGQHDPSGYFLIEDVFYTDLRDPSAIDLTRPILDWLQNSKEEAQKKWEYIINGELQQKQKAIVGEASVSHLPRFASFEMHKIHFCDLGFRLGAGYLYCHQGDCTHTLVIRDMRLIHADDVQNWAVYPIVTFQLKIRFQKCGVCKIFRATKVTVDDKWTPDNPCYFCDECFSLLHLAEDGGSPMYTDFIEYDYNHD >RHN51678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22574547:22578561:-1 gene:gene36170 transcript:rna36170 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKCYKFKEVDLVSLRELALKVKSQTGFRLRYGGLLTLLRTDVEEKLVHTLVQFYDPSFRCFTFPDFQLVPTLEAYSNLVGLPIAEKTPFTGPGTSLTPLVIAKDLYLKTSDVSNHLITKSHIRGFTSKYLLDQANLGTTRQDTLEAILALLIYGLILFPNLDNFVDMNAIEIFHSKNPVPTLLADTYHAIHDRTLKGRGYILCCISLLYRWFISHLPTDYGSHS >RHN72779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10634049:10638937:-1 gene:gene8535 transcript:rna8535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MSMYGRDPWGGPLEINATDSATDEDRSRNLNELDRAALSRPLDETQQSWLLGPTEQKKKKKYVDLGCIIVSRKIFVWTVGTILFAAFVAGFVTLIVKTVPRHHKKHPPPDNYTLALHKALMFFNAQKSGKLPKHNNVSWRGNSGMQDGKGDGVSAAIKDLVGGYYDAGDAIKFNFPQAFSITMLSWSVIEYSGKYEATGELNHVKELIKWGTDYFLKTFNSTADTITTLAAQVGSGVTGEDSTTPNDHYCWMRPEDIDYDRPVTECHSCSDLAAEMAAALAAASIVFKDNKAYSKKLVHGATTLFKFSRDQRGRYSPGRSEAATFYNSTGYFDEFVWGGAWMYFATGNNSYLKLATTPGIAKHAGAFWGGPDYGVFSWDNKLAGAQVLLSRLRLFLSPGYPYEEILRTFHNQTSIVMCSYLPVFTSFNRTKGGLIQLNHGRPQPLQYVVNAAFLATLYSDYLDAADTPGWYCGPNFFSTEKLREFARTQIDYILGKNPRKMSYVVGFGNHYPKHVHHRAASIPKNKVKYNCKGGWKWRESSKPNPNILVGAMVAGPDRHDGFHDVRKNYNYTEPTLAGNAGLVAALVALSGDKSIPIDKNTLFSAVPPMFPTPPPPPAPWKP >RHN55159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15690200:15691214:1 gene:gene30296 transcript:rna30296 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGSWVDPFGGYIRAVGPFFFHFSFSFLSLPHRFSLFFSVLSLTLFFSGGVGWGFRRDSGAVAGEPSRLRRRAGAKKTPPFLFFRSFSSSFPPLRDTKPKNPKTFTQTSRSKKKEIYTFLFFC >RHN45071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11367709:11374338:1 gene:gene39270 transcript:rna39270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rad21/Rec8-like protein MLKSKKGLCSSKNPLWVAAFFFKQLKKAQILDSDISSAVDQILHHEMDAVSYRLLGYLLSGVVKIYSKQVEYLLDDCNKVLFGINKFVIKTKSNTPVEKLRMSFIMPETFDLDAIDLGTPEDTSRFHTALPEQITLKDVLSNTAGFMQFSQERFDDFGLGETSCSLDHFMAENVLETPLLNFDFEAFPSSSSTNLLASKDILQSRISGQNVDEFHEENRISREESIDKSMFLGRQKEAVLPSASFNESRQIGIEHNMAMESLRSLCQMDQENVSVDETLAFRVSSQNVDEFHEESRISQEESTDISMFLGTQKEVVLPSASFNESRQIGIEHNMAMESLRSLCQMDLENVGVDETLAFQENENFLHNQSSVVKECTGELIEESIVDPTPQSKFQGNLEVQKKVSFEHGQSSVNPAESTILDPTPQSKFQGGSIGRPKPGSTSTTSEFKLIPTPTATESARFSRKRKFVIDKTMVLPTEVLKRSILDASDLVSVRRPLGLSLLDKHRKYQLSSLLDRFNESLFTCHSAKLKSLFSSKKMKIPNSLKIKETLPESGVSESRAVRIPDRIEIPPRFSETIGELDVSQSQTCGSSDHTAAAPETPPLCPNVKVRTVKQHERTETQNSDDFVPSSPHEREQSLERSADMVEEFNEETSNTYEPMDNEQCFAVDEDLNLVNEEMNPSDTENSKMSGWSERTRKVASYLSKSFQDAGKQKESGSVNLSQVSQGRTRKESARLFYEILVLKTTNYVDVQQNEAYGDIAVKKLPKLDKTFGV >RHN45136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12148587:12155253:1 gene:gene39349 transcript:rna39349 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPTRSGNIKKPNEAMKLIIAAIVGVVFGFFVGVSFPTISLTKMNLPSSLFPSIDLTYVEDKYSKIQTNSIWDALASFRGDRNLYDELHKVDEKTKIWVPTNPRGAERLPPRIVESQSDLYLRRLWGQPHQDLTIRPKYLVTFTVGYDQSDNIDAAVRKFSENFTIVLFHYDGRVSEWDKFEWSKKAIHISARKQSKWWYAKRFLHPDIVAPYDYIFIWDEDLGMEHFDAEEYLKIVSKHGLEISQPGLDPSSSSFTWQMTRKKDGSEVHKDAEEKNGWCSNPPVPPCAAFVEIMAPVFSRDSWRCVWHMIQNDLVHGWGIDFALRKCVEPAHEKIGVVDAQWVVHQSVPSLGNQGQAEGGKAPWEGVRERCQKEWSMFKERLANAEKDYFKQQIKGFVYPPN >RHN78923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20032050:20035496:-1 gene:gene2591 transcript:rna2591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiol oxidase MSENPVQALFHHFEQVTNFVQHHVSNFIIHIQLSGPSGNASVEVPFLKATSVQPRDPVLKAKSSTPVTKEDLGRSTWTFLHTLAAQYPDNPTRQQKKDVKELDMFLLYVPYVFWFIRKFLFEYN >RHN81102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43333324:43335051:-1 gene:gene5099 transcript:rna5099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA5-type MARNFNNVKAISALVADGFSNSIRRGYATAATQNATRGVATSTKSGEDKVANANKVAWVPDPVTGYYKPENTSEVDVSDPRVTVLGKKIINTEQ >RHN47134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37396194:37401227:1 gene:gene41693 transcript:rna41693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metallo-dependent phosphatase MVFMASSTTHNHDRKNCSSMNLFIATIVIAFRIYCCNGETVIEPKGTPDSAVWVVQLSDLHLSVHHPNRALDFTNLVGHALSFINPSLVLITGDLTDGKSKDLLTMKQNEDEWVEYRNVMDGVIERSGLHKSLFFDLRGNHDSFGVPVVGGSFDFFSKYSVNGQLGRNRSVNSVTLETKERKHLFVGIDTTMSAGAGLRGPTNVFGHPTDQLLKDLDLELSHWDSQSEKPVTKISFGHFPLSFSAPSSSGRTLKEVFLKHSISAYLCGHLHSRFGKNLKRHHQLSNRFLSLQNFFQFNVHQNSFESTVNCSIGAPPQEFWEWEIGDWRKSRAIRILAIDRGHVSYVDLDFKSGAKHAIILPTFPLDSRFMQTSSWHHNYECQSVASSSYETIRALVFSASPVESVVARVYDSRYGDLVLVVEAHMTKRADENSRGNLYVAPWNYKAFEDTSPDRFWFQIESNDIMGRSTLTELRPFSINGHSFRLSWSWKEFFVMGCQWASLYYPLLWSALGFMFSFLLVPKALLFFQMNLYTYRNFIANKGVVNGALWILQELCRVPTLWFGWIGYLFYLILFPWFMGQVFTEGKSTVYMTYMGWAVETSNGKGKFEWVGFPDIMVLVLPHILFVVLPAILVTGALTAERAIYRERVLALSGKKKDDIDLNSRRPLLNGNHNSTISTLHLGKRWIRKLLCVVCLAICWKHIMNCRNLVKAYDMNPVLHFLGYGLSIPLLLAYAVSKTRNAQ >RHN49791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1147250:1149476:1 gene:gene34005 transcript:rna34005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIIQFSICYIQRRRNMTAILKVAYIIMIICLFLLHDAASDDYLKYIYRCQNDGDCDQICATHGISKCVATMCFCNLNL >RHN55703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21384505:21386599:-1 gene:gene30929 transcript:rna30929 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDFSRYEDEDITLDLDDYRRPVNLDLSQNLNIASSSKMNHVRDSVRDQIIEFRKNN >RHN58743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4428991:4431411:1 gene:gene20683 transcript:rna20683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gamma interferon inducible lysosomal thiol reductase GILT MSSPTSFRFLSLISLLLIIINPSQSHSSEFNRRNNGVVYVSLYYESLCPYSKDFFLNVLENFIKLDVMSIVHLHLVPYGNALTMANGTVSCQHGPDECYYNTIEACALKTYPMRFSLAFIFCIENGLPATSKKPSLWRTCCNRLRLNPKPIKNCYSRHGNQLHRHNGRETNWLNPPLTHTPWLLVNGQYVNADFGDLVKSVCNAYKGPLRFRACKRWKVENMSNKRVFP >RHN76477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50690658:50694664:1 gene:gene12810 transcript:rna12810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysophospholipase MSHAHSHIGSGSRTTRRTFEFGKTHVVRPKGKHQATIVWLHGLGDNGLSSSQLLESLPLPNIKWICPTAPTRPVAILGGFPCTAWFDVGELSEDGPDDWEGLDASAAHIANLLSTEPADVKVGIGGFSMGAAIALYSATCYAMGRYGNGIPYPVNLRAVVGLSGWLPGSRSLGNKIEVSHEARRRAASLPILQCHGISDDVVHCKYGEKSAQSLSSAGFRYVAFKSYEGIGHYTVPREMGEVSTWLSSRLGLEGFSS >RHN54025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6306114:6308528:-1 gene:gene29000 transcript:rna29000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative remorin MKKNSGPSQKLGSFLSPKEPNYREKNIENQKGWNSERVLLHPTTSSNIRRQAYVAGVSPFNSGRTIPSKWDDAERWICSPVSSSGYVQHQRNPKSKSGPIVPQGTTYYSNFSPTIPLRNGLVVKNLMMSSPFTTGVLAPDIVSLQHYYPHDNLYGARYDIDDDSSVVNENGVAHTSASNAPSWSELLSDPSSPNSHDEKFDGTKNEDTVMSPMSKIDKGTQMSSPETENEDHSSPKSSSPILAMNPKSCHSEKLEIKDVQVDCQANVIKWSKSYASKLSSFNGKELKKSGTEASGLDIAETTSDTSSSKFERDDEAKIIAWESLQKAKAEAAIRKLEMKLEKKKSSSMDKILNKLRRAQMKAEKMRSLTPVQQEQHVSKTWKVFSFTKYGKIWSPSSCFASHAP >RHN81511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46614891:46617137:1 gene:gene5568 transcript:rna5568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rubber cis-polyprenylcistransferase MQKSAGSITSHLLGGLYCCLRRCIFAVLSAGPLPNHIAFIMDGNRRYARRRNMGEGDGHKAGFSALLSVLRYCYELGIKYVTVYAFSIDNFRRKPKEVQTFMELMREKIEELLQQESIINEYGVRLHFIGNMQLLTEPVRVAAEKAMRVTAHNQERVLLICVAYTSRDEIVHAVQESCKDKWNEIQPSKEDKVSNGEFTRINQGLKRNGLDLNFHNSCNENETKACSILHEEAEGAEEKDALLEQNVKKHDDNYSEGEITSCNGTVEITEERKYKQHQIASIKLVDIEKHMYMAVAPDPDILIRTSGEARLSNFLLWQTSACPLYAPKVLWPEIGLRHLVWAVLNFQRHHFYLEKKKKQF >RHN50595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8396124:8397574:1 gene:gene34901 transcript:rna34901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MQKYFLDTCYILGCYCDFDYFLCSKDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSSIVIFATNRGICTILAIRAQVEELVVDEESLAFLGEIGQWTSLRHAVQLLSPASVVTKINGRDNICKADLEEICSLYLDAKSSAKLLHEQQEKYIS >RHN47000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36361757:36363366:1 gene:gene41545 transcript:rna41545 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHNHGGTLQRREDSRFRTIDPLQSQRRLLVRSNSKRFTPSQADEEAPQLSYLQKHLANIVSLLAEPVEGESEKSLVCLFTVWLP >RHN61254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34173165:34175841:-1 gene:gene23695 transcript:rna23695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H dehydrogenase (quinone) MGKGRGCIPSKKKAPTTEENEPLSVESQIPETETTSRSIDVATTSEAVKKLKVYIVFYSMYGHVESLARSLKKGVDSVDGVEGFLYRVVETLPKEVLELMKAPEKDDEVPLISAENLAEADGLLFGFPTRFGSMAAQMKGLFDSTGQLWKEQKLAGVPAGFFVSTGTQGGGQETTAWTAITQLVHHGMLYVPIGYTFGAGMFEMDSIRGGTPYGAGTYAGDGSRQATEAELALAEYQGRYMANIVKKLGQKS >RHN64044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56137675:56139348:-1 gene:gene26821 transcript:rna26821 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVINHLYMQRSNPIIKIWSSLHIRQWSSSCIRRWSSPYVGIVEDLMIYLFSRDTRVMLRVGCGMVRSAVSKRS >RHN63364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50705137:50705809:-1 gene:gene26055 transcript:rna26055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MFNYLSYIVYLGSHSHGPNPSSFDIESATNSHYDLLGSYLGSTEKAKEAIFYSYNRYINGFAAILDEDEAAQLSKHPNVVSIFLNEKYELYTTRSWDFLGLERGGGFPKDSLWKRSLGEDVIIGNLDTGIEI >RHN73970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22641482:22644746:-1 gene:gene9881 transcript:rna9881 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYLSILVLFLAVISLTNGRNYSPPNGIPHIHLPNPPLFQQPIVTPPIPVPGTPHIHPPKPRIIKPPIQPPIPVPSAPHIHPPRPSRPPPKIYHFTTKHQIHAQPNGGPTDYQPPTIDDLNPSPPMVPPPSSDPIIRSPEIPHIQPRWPIRPPPNY >RHN60618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28908295:28908930:1 gene:gene22959 transcript:rna22959 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperonin ATPase MTNYFCVPSVQVIKSILRDVVADLSLHYLVKAKFLISGIRDMGKTTTVLVRGSNLLVLDEGERSLHDALCVEFINDKRGIQRLFVYEFGN >RHN41416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29815773:29826054:-1 gene:gene47734 transcript:rna47734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MDINMQQRLRDYMSGLCYANSGGVTVSYFEWVQGVASLLNGMFGFVIGSATSVLQE >RHN82680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55645718:55646644:-1 gene:gene6859 transcript:rna6859 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MMHSASTSEAAAQPWKKRQKSSEGQDIISRLSDCIIAHILSFLPTNYAVRTSALSQRWRYMWTFVTKLCFSDNLLHFSVPFEEAKNRYIDFVCRVLLLNSATIQKFSLDISENLDPYHINLWISAISNKRVKNISVSVRSWINFNLSAYPLFKCQSLEELVLEMMCQCNIEVPTFVSLSSLTVLDLYGITLTLNLPVLRKYKTTYCIWFGVKSVTIEAPLLEVVSLNCNLLSPPKPDDYESRAEIKFCASHLTRFSYEGIIPSDNIVLDADITSTSIGLSISFNGSCFIYCFNFTLYDCCRRNTLHII >RHN56635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32470032:32471212:-1 gene:gene32067 transcript:rna32067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MTSAITTRAAFGKRNKHQQVFQSAIKEIASLMGGFCIADVYPSIKMLQRVSGVKTKFEKFHKEIDMILQDIVDDHKNIHKEESKDEDLVDALIKIQQENDLSHDHTLTDDSMKSIILDMFVGGTETSSGVVLWGISEMIKNPKIMKEAQAEVRKVFDKKGHVDETELHHLIYLKSIIKETLRLHPSLPLLIPRESRERCQINGYEIPAKTRVAINVWAIGRDERYWAEAESFKPERFVNSTIDFKGTNFEYIPFGAGRRMCPGMAFGLSNIELPLAQLLYHFDWKLPNGMKNEELDMTESFGLAVVRKHDLCLIPITRRL >RHN61735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37916717:37918084:1 gene:gene24226 transcript:rna24226 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLIWFNRNRYHHILFWFKWRSFRLLLQLFIFGENLMNLIIYIQGLTEQCFIFANSRNDSMDGRLGLMALLY >RHN46121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28899633:28900883:-1 gene:gene40563 transcript:rna40563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MISFLFVCIVSVALMNFMPPPMTRRRRQSNMSQLPEVILPNEVITEILSWLPVKSLMQMKCVSKSWKTLISHPPFIKMHLSRSARNPYFSSIVPTRGYYFLENRYSFVHFPVSCLLENRWIKHPKDPYYRLSDKNCRVVLGSCNGLICLAGYSINECAKYKTVWFRFWNPATRNISQVLGSDVYFNDMHISRFTVFVFGYDSSTDTYKVVALSSTGNEMRVFSLGDNVWRYIQRFPFGARPVFRNSSLCDGVYLDGTVNWLAYRSDRNCVKKFVIISLDLATETYTEMLLPFDEGLHVWGNVCVLMNSLCVYQDLKETDFVIWKLMEFGNENSWIQFLRFSYHDVQLYHEIGPSTLFRIRPLHLSENGDTLVLANNQNDRAILYNRRTNKARKTIMFNNISWLSIHDYVESLVLIR >RHN73956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22079470:22088275:-1 gene:gene9857 transcript:rna9857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CDC50/LEM3 family protein MELDGGSSSTISTGARTIPGRPARHGALYQFTQQSLPACKPVLTPKAVIATFLLMGLVFIPVGLVTLRASYSVVEIVDRYDIDCVPEEYRSNKVAYVKDDSISKNCSRFLKVPKSMRAPIYIYYQLDNYYQNHRRYVKSRSDQQLLHGLGYNDTSPCRPLESSNDLPIVPCGLIAWSLFNDTFKFRRGPSELKVNRKDIAWKSDRNHKFGKQVYPFNFQNGTFTGGAKLDPSIPLSDQEDLIVWMRTAALPTFRKLYGKIEEDLDADDVIVVNLKNNYNTYSFGGKKKLVLSTSSWLGGKNDFLGIANLFVGTFSILISIVFLVLHMKSPRPYGDTAYSSWNKKSISS >RHN78697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17643134:17643757:-1 gene:gene2335 transcript:rna2335 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSNIVLVKEKKNIVWNDILEDAEEARGELSDKCTLIIVDSDYDNHFVSDLSLIGKQF >RHN78101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11890560:11891480:-1 gene:gene1618 transcript:rna1618 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNGYVSGLGTTGRFPPPPLSLTNLNFDMFSKSHVQWSVPSGRSPPPPLLSTNLNFGMLAKGHVPPSRPSGGTNVSPPLPYLGMLSKGYVLGLGTSGRSPPPPLPPTNFNFDTLSKGHVQWSAHSGRSPPPPLLPTNLNFGMFAKGHIPPSGPSGGTNVSPPLPYLGMLAKGHVPPSGPSGGTNVSPPLPYLGMLAKGHVPPSGPSGGTNVSPPLPYLGMFSKGYVLGLGTSARSPPPPLPPTYLNFDTLSKGHVQWSAPSGRSPSSPLLSTNLNFMLAKDHVPPGSSGKINVSPPLPTISSLRL >RHN65256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:594608:595135:-1 gene:gene13076 transcript:rna13076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MKKLSVGDALSLLAAVKRRFGYEEREKYGSFLQIMKDFKAERIDARDVKLRVYELLDGHEDLISKFNIFLPTEYEIKLPLDRDDDDDELQGHMLATKDAFAFLEKVKDVFHGKNEEKYNEFLKIAKDFKAGRIDISVAAARGNELLQGHTDLILGFNAFLPKKYRITPPVQLDTG >RHN74366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32233365:32234649:1 gene:gene10417 transcript:rna10417 gene_biotype:protein_coding transcript_biotype:protein_coding MQYVVPQPRTGLNKEDTNTEHSYLHHVSKHITIEVRDVTCNSCIIFDTNVNNTPTQLTWMQRYKN >RHN44571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6275602:6276069:-1 gene:gene38706 transcript:rna38706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MADPKAITLKLSILLIVMFNARYTISAIFPKVVVTIKNDIAPNPTPLDLNVHCKSKDDDLGFHTIKFREIYMFSFRPNVIPDLNTLYWCSFTWKGSPYRHYLDIYDERWDDCIHCNWKINNTNGGCKVLKEGPNFDKCIHWKSVELMDANNTSKI >RHN50052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3614125:3615674:-1 gene:gene34300 transcript:rna34300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ZF-HD family MMEVITATATTTNTNTNTTSNSTNTTTSLTTNLTKEQQPESENPTRIHQPILSNITKPLSFSNGVLKRHHPNHHNNNNNNVNNLNNVVVTYKECLKNHVATLGGHALDGCCEFMPSPTATSDDPASIKCAACGCHRNFHRREPEEPISTVFEYQPHHRHHPPPPPLFQSRSPSSPSPPPISSYPSAPHMLLALSGAGVGLSIPPENTAAPLNHLSSPMGTSRKRFRTKFTQEQKEKMHEFADKVGWKMQKRDEEMVNGFCNEVGVDRSVLKVWMHNNKNTLGRKLSLDHVNGNGDSAAVNASVDGGSGGGCENENNGSNVAACGTTNGSSSSS >RHN81478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46273332:46274681:-1 gene:gene5527 transcript:rna5527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol O-acetyltransferase MDITITSRETIKPSFPTPNEHKFFKLCLFDQLQLATYLPMVMFYPKKQGLTEISHFIAQLKNSLSETLTIFYPVAGRRNDHTFITCNDEGAIYLEAKVNQTMVEFLTPPKLEFLNKLLPCEPNKMHSNEEKDLPQVLVQVNIFNCGGIAIGTCNLHTLLDGCSGSLFQTIWAAICRGTSKDELPCPDFFSASSFFPPINHVGKNTNEDIDVQKKCSTRRFVFGSESIETLRAKAKDDDDNDNVYENYKFPTRYEALAAFIWKHMTLACKMEGGVDSNKPTLVMHILDMRRRMGEPFSKYTIGNILWPVMVFCESVTLQTDIKYLVRICKEKFGKISKELFLRVKSDPSMLGSNECVDLPQGIETISLIPIVLTSWCGLNFSELDFGWGKPFWVGVRGGDQETLPNVAVIMETDEGMEAWVTMEMHNIAYLENDREFLKFALPNPCVTNI >RHN41217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28323805:28324224:1 gene:gene47515 transcript:rna47515 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEVAAPESFKFGEEPQETESVFAGDGVGEIPFCSIGGVDDWELLLLSTSDRVYSEYGNHIFPMYEVVFKDMGFQLPFSEFQREVLRWTKLSPSQIHPNSYAFMRAFELMCNYLCLPASKNVFFLLLRFRLSHNCCW >RHN53041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41442758:41447823:-1 gene:gene37788 transcript:rna37788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MSNMHYIFSMLLFLLLLVQNLTTIHCHTKGIRPIRSARNGLSTYNTTRADLAEQQFMKWVSFVGGLKHTVYKAAKNKLFASYTLHVDKNPSFGDFDTIQNAIDSLPSINLVRVVIKVHAGVYTEKVNIPPLKSFITIEGDGADTTIVQWGDTAATPGPRGNPLGTYGSATFAVNSPYFIAKNITFKNTFPLPAPGAIGKQAVAFRISADTASFLGCRFLGAQDTLYDHIGRHYYKDCYIEGSVDFIFGNALSLFEGCHVHAIARNTGALTAQGRSSMLQDTGFSFVNCKVTGSGALYLGRAWGPFSRVVFAYTYMDNIIIPKGWYNWGDPNREMTVFYGQYKCTGPGASFAGRVSWSRELTDEEARPFLSLTFIDGTEWINF >RHN78236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13021617:13024566:-1 gene:gene1770 transcript:rna1770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MSKFYDMQIHINDEEIFFIHEKLISKYCGKLKKMLNDERRIFHINDFPGGSYGFELALKFCYNNGKITINASNVLILHCCAHYLEMSEEVCSNNLLQQTQTFLDGIYDWKWNEIIVSLKCCECEKFYTYANSYGILEKIICVLLAKLVQNSDFNFITSSSSSSISSPESNFAKKLSFSTKVSSPKKIVPDCAEIQLGSIIKSSSPNKVWWFEDLSTLPPKIIEKFLKGIGAYKSDNKNLIVTRFLLYYMKKITPNCKSISEYASLGETAAHGVINVGDKNFSCRGLFCVLRILSKFGISKDCRNEMEKLIGGMFDKATLDDLLVCGHDMGLYYDVSFVVRLIKVFVGINENDVEKMKKVSGLIDKYLIEISPDQNLEISKFLEVVECLPDFARDCFDGVYRAIDIYLESHPMIAFEESSRLCRCLNYNKLTFEVCKDLAKNQRIPPRIAMLALMSQQKNVPPCDYAIDESEMSPSQIILYHQDKNDNFLEDKEDMRINLERMQWRVRELEKLCMEMKVQITKLNGYNV >RHN69370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42957248:42962595:1 gene:gene17826 transcript:rna17826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MGGSGSKLEKALGDNFPEGEHYFGLENFGNTCYCNSVLQALYFCVPFREQLLQYYGKNKNITDAEENLLTCLAELFSQISSQKRKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNYLLNELVDILEREAHAEKDDQGTLLPSEKIANGPKSGLANGAKKAPLATWVHKNFQGILTNETRCLQCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKRPPRVLVIHLKRFKYMEQLGRYKKLSYRVVFPLELRLSDTDEEADIEYSLFAVVVHVGSGPNHGHYVCLVKNHNHWLCFDDETVEVVDEASVQTFFGSTQDFNNNTDHGYILFYESINRN >RHN78292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13736724:13773200:1 gene:gene1828 transcript:rna1828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEILISVVAKIAEYTVVPFGRQASYLIFYKGNFKTLKDNVEDLEATRERMNHLVEGETQNGKVIEKDVLNWLDKVNEVIEKANGLQNDPRNANVSCSAWPFPNLILRHQLSRKATKIAKDVVQVQGKGIFDQVGYLPPLDVVASSSTRDREKYDTRESLKEDIVKALADSTCNIGVYGLGGVGKTTLVEKVAQIAKEHKLFDRVVETEVSKNQDIKRIQGEIADSLGLRLEEETNRGRAERLRQRIKMEKSILIILDNIWTILVLKEVGIPVGDEHNGCKLLMTSRDQEVLLQMDVPKEFTFKVELMSENETWSLFQFMAGDVVKDSNLKDLPFQVARKCEGLPLRVVIVARAMKNKRDVQLWKDALRKLQSNDHIEMDPGTYSALELSYNSLESDEMRALFLLFALMLCESIEYFLKVSMGLDILKHINVIDNARNDMDDARNVIDDARNRLYTIIKSLEARCLLLEVKTDGIIQMHDFVRDFAISVARRDKHLLLREQSDKEWPHKDFFERCTQIVLYRCDMHEIPQTIDCPNIKLFILFSKNQSLEIPDTFFKGMRSLRVLDLTYLNLLSLPTSFRLLKDLQTLCLDQCILENMDALEALQNLEILSLLKSSMIKLPREIGRLTQLRMLDLSHSGIEVVPPNIISSLTKLEELYMGNTSINWQDVNLTVQNENASIAELRKLSNLKALELQIRETWMLPRDLQLVFEKLERYKIAIGDVWDWSDIKDGTLKTLMLKLGTNIHLEHGIKALIKGVENLYLDDVDGIQNVLPHLNREGFTLLKHLHVQNNTNLNHIVDNKERNQIHASFPMLETLVLLNLRNLEHICHGQPSVASFGSLSVIKVKNCVQLKYLFSFTMVKGLSHLCKIEVCECNSMKEIVFRDNNSSANNDITDEKIEFLQLRSLTLEHLETLDNFTSDYLTHHRSKEKYQGLEPYAYTTPFFNAQVAFSNLDTLKLSSLLNLNQIWDDNHQSMCNLTSLIVDNCVGLKYLFSSSLVESFMNLRHLEISNCHMMEEIIAKNDGNNALREVHFLKLEKIILKDMDNLKTIWHHQFETSKMLEVNNCKKIVVVFPSSLQNTYNELEKLEVKNCALVEEIFELTFNQNNSEEVMTQLKEVTLDGLLKLKKIWSGDPQGILSFQNLINVQLKGCARLEYLLPLSVATRCSHLKELWIRDCYNMKQIVAEEKESSVNAAPIFEFNQLSTLLLWSLYKLNGFYAGNHTLACPSLRNINVARCTKLKLFTTLSTRSSNFRDGKHSVLTKQPLFIAEEVIPNLEALRMGQADADMILQTQNSSSLFSKMTVIGLSDYESEEARFPYWFLENVHTLESLVVQWSCFKKIFQDKGEITEKTHPHIKRLSLNQLPKLQHICEEGSQIDPVLEFLEHLVVDSCSSLINLMPSSVTLNHLRRLEIIKCNGLKYLITTPTARSLDKLTVLKIKDCNSLDEVVTGVENVDIAFMSLQILMLECLPSLIKFCSIKCFMKFPSLEKVIVGECPRMKIFSAGNTSTPILRKVKIAEIDSEWHWKGNLNDTIYNMFQDKVGFGSFKHLKLSEYPDLKEFWYGRLEHKAFRSLKHLVVHKCDFLSDVLFQPNLVGVLMNLEELDVKDCNSLEAVFDLKGEFTEEIAVQNSTQLKKLKLFNLPKLKHVWKEDPHYTMRFENLSDVSVVDCKSLISLFPFSVARDMMQLQSLRVRSCGIQEIVKEEEATKEIVKFVFLQLTSIILQYLPKLKAFFVGAHSLQCKSLKTINLFGCPKIELFKAEPLRHRESSNNDELNISTNQPLFVIEEVLANVENLHLNDKDFGMILQSQYSGVQFNNIKHISVCEFYNEEATFPYWFLKDVPNLETLLVEWSSFTEIFQGEQIIRTEKEPEIIPQLRKLTLRNLTRLQCICKEGVQIDPVLHFVKSIRVHQCSSLIMLVPSSVTFSYMTNLEVTNCNGLKNLITHSTAKSLVKLTTMKIKMCNWLEDIVNGKEDETNEIVFCSLQILELISLQRLCRFCSCPCPIKFPLLEVVVVKECPRMELFSLGVANTTNLQNVQTDEGNHWEGDLNRTIKKMFCDKVGFGSFKHLKLSEYPELKEFWYGQLEHNAYRSLKHLVVHKCGFLSDVLFQPNLLEVLMNLKELDVEDCNSLEAVFDLKDEFAKEIVVQNSSQLKKLKLSNLPKLRHVWKEDPHNTMRFQNLSDVSVVGCNSLISLFPLSVARDLMQLQSLQVIKCGIQEIVAKEEGTDEMVKFVFPHLTFIKLNNLTKLKAFFVGVHSLQCKSLKTINLFGCPKIELFKAETLRHQESSRNDVLNISTYQPLFVNEDVRVLANVESLSLNKKDFGMILKSQYSRVQFNNIKHITVCEFYNEEATFPYWFLKDVPNLETLLVEWSSFMEIFQGEQIIRTEKEPEIIPQLRKLTLWNLTRLQCICKEGVQIDPVLHFLESIWVYQCSSLIMLVPSSVTFSYMTNLEVTNCNGLKNLITHSTAKSLVKLTIMKIKMCNWLEDIVNGKEDETNEIVFCSLQTLELISSQRLCRFCSCPCPIKFPLLEVVVVKECPRMELFSLGVTNTTNLQNVQTDEGNHWEGDLNRTIKKMFCDKVAFGKFKYLALSDYPELKDVWYGQLHCNVFCNLKHLVVERCDFLSHVLFPSNVMQVLQSLEELEVKDCDSLEAVFDVKGMKSQEILIKESTQLKRLSLSTLPKLKHIWNEDSHEIISFGNLHKVDVSMCQSLLYVFPYSLCPDLGHLEMLDISSCGVKEIVAMEETVSMEIQFNFPQLKIMALRRLSNLKSFYEGKHTLDCPLLNTFNVYRCEALRMFSFSNSDFQQPYLVDENQAMLFQQPLFCIEKLSPNLEELAVNGADMLGILNGYCQENIFHKVKYLRLQCFDETPTILLDDFHTIFPNIETFQVRNSSFETLFPTKGTTSYLSLQMSNQIRKLFVFEMEKLEHIWQEDFPLNHPLFQYLEDLRVLNCPSLISLVLSSTSFTNLTYLKVDNCKELIYLIPYSTAKSLVQLKTLKIMNCEKMLDVVKTDEEKAEENIVFENLEYLEFTSLSSLRSFCCGKQAFIFPSLLSFIVKGCPQMKIFSSALTVAPCLTKINVGEKNMRWKGDLNTTIEQMFKEKSRILINMLNETIIYPRTFSLKKIYPHICKTQETCEK >RHN50411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6893262:6893870:-1 gene:gene34693 transcript:rna34693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MQLINPPQHTKNNIFNYLYTHQANHMKLVLSLTLSFLIFAFITTLSLAFSNDEQVLDTNGNPIVPGGEYYIFPATQDPYKGGLRLAKTGDSKCPVTILQNENITGLPVKFTIQGISNDIIMTEIWKCL >RHN47639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41185973:41191194:-1 gene:gene42261 transcript:rna42261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MIRSRNSQIGSSPSGGGAHDMKPRRLYQVWRGGNKFLCGGRLVFGPDVASLFLTTFLIAAPAIAFCVKMYLKAKHEKANNGDHLFWCPVVIVGSVLTILDFLFLLLTSGRDPGIIPRNSTPPDYDDAFNIPTPSMEWINGSTPHLKLPRTKDVLVNGHTVKVKFCDTCLLYRPPRTSHCSICNNCVQRFDHHCPWVGQCIGRRNYRYFFMFISTSTILCLYVFVFSCINLSQKDFWDGISHDYVSDFLIIYCFIAVWFVGGLTAFHFYLICTNQTTYENFRYQYDKKGNPYNKGSLRNIGETLCSSIPASMNNFRSFVQQDEHTMVGCLTPNLADGILTPKEKIDVEMGSRRADDGGFPIPELLRNFDFDSFEDDMKFEDEEGQHSFDPFYSVEEDVKDSSRTSNATVLNFHSIAIEDSTEESVCSSHAGFEVTESDQRLVTVDEINATKATDDRNDSC >RHN63725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53551828:53553810:1 gene:gene26464 transcript:rna26464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA N-acyltransferase MKLSQNLNLQTNPPIQTRIHRLPLSPNITTSHLFTPPLQKNRFKCITLKAINESISLPTMSEILESSSAQKLNLQLQTLGPFFRITARSLVTDNELGKAEGLVRMWFGRGNILHLDSIKLQRETLGMEKSIFGIGLYIGAVAIRHGFDCGCKSAQLLAINDSDLYHSKLIRFYTRLGFKPVYEVTGSSVGDLTHMLVWGGVGTRMDASVEELMIKWCKRFKKTSVREIPK >RHN76546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51131369:51139143:-1 gene:gene12889 transcript:rna12889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSSLSRELVFLILQFLEEEKFKEAVHKLEQESGFYFNMKYFEEEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKGVEILVKDLKVFSTFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANMPLLGSLPKVGGFPPLGAHGPFQPTPAPVPMPLAGWMSNPTPVAHPSVSGGGAIGLGVGGPSMPAALKHPRTPPTNPSVDYPSGDSDHISKRTRPIGMSDEGNLPVNVLSATFPGHGHGQAFNSPDDLPKTVLRTLNQGSSPMSMDFHPVQQTLLLVGTNVADIGLWELGSRERLVLRNFKVWDLSACSMPFQAALVKDPAVSVNRVTWSPDGALFGVAYSRHIVQIYSYHGGDEVRQHLEIDAHVGGVNDLAFSHPNKQLCVITCGDDKTIKVWDAATGLKQYTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESSIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDNIQLLTTVDADGGLPASPRIRFNKEGSLLAVSANENGIKILANGDGIRLLRSLENSSYDASRTSEAMTKPIINPMSVATAATSAALERASSVAAITGMNGDVRNLGDIKPRISEESNDKSKIWKLTEINEPSHCRSLKLPENARVTKISRLIYTNSGNAILALASNAIHLLWKWQRNDRNSSGKATASVPPQLWQPSSGILMTNDINDNNTEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKSKLKGHTKRITGLAFSHVLNVLVSSGADGQIFVWNTDGWEKQKNRFLQFPAGRTPPAQADTRVQFHQDQFRFLVVHETQLAIYEATKLECLKQWFPRDAAAPISHATFSCDSNLIFASFLDATICVFSASNLRLRCRINPSAYLSANVSSNIQPLVIAAHPHEPNQFAVGLSDGIVHVFEPLESEGKWGVPPPIENGSASNAVANSVGASSDEVQR >RHN39904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11577650:11581187:-1 gene:gene45987 transcript:rna45987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller MEHLDDREVSENNVDEVEVTNNTPLICGLPDDISLLCLARVPRKYHSVLKAVSKRWKDLVCSEEWLYYRRKHKLDETWIYALCRDKLDHVYCYVLDPTSSRKSWKLIHGVPPHVMKRKGMGFEALGNKLFLLGGCGWSEDATDEVYSYNASLNLWVEAASLSTARCYFACEAMDEKLYAIGGIGSNSSDPQSWDTFDPCTNGWTSHRDPNIVPEIEDSMVMNGKIYIRGGESPLTPHVYAVVYEPSNGTWQHADTDMVSGWRGPAVVVDGIPFVLDQSSGTRLTMWHKERREWILVCKLSPLLTRPPCQLVAVGKSIYIIGKGLSTVVVDVGDIGNMGRAITGSSIPKLVSDYNVISCKCLSI >RHN51404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17303893:17304249:1 gene:gene35834 transcript:rna35834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MLIDLRMMDITRENMERSEYLRSYYKCTYPNYPVKKKVERSLDGEIAEIVYKGEHNHGKPQHQKRNSGATSGMTSDGMVQDKVWSIPQFFNPSSSSVLLTLKHPCRESVQRTRFLELM >RHN56265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29348671:29362807:1 gene:gene31637 transcript:rna31637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Actin family MYGGDEVSAIVIDLGSHTCKAGYAGEDAPKAVFPSVVGAIDQMDVDESDDAEKNSGSGESKNNIRNVDGDKAKGKRKLYVGSQSLGYRRDFMEVVSPLKDGIVADWDIVDSIWDHAFRECLLIDPKEHPMLLAEPSSNAQQQRERAAELMFEKYKAPALFLAKNAVLTSFASGRATSLVVDCGGGSTTVAPVHDGYVLQKAVATSPIGGEFLTDCLMKSLESKGIAMKPRYSFRRKEIRPGEFQTVDLDFPNTSESYKLYSQRVIASDIKECVCRAPDTPYDESAYSNIPTTSYELPDGQTIEIGADRFKIPDVLFNPSLAHSIPGMESFAEIAPSVRGLPQMVIDSINKCDVDIRRELFSSILLAGGTASMQQLKERLEKDLLEESPQAARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKSEYEEHGASYIQRKCP >RHN50868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11297560:11298015:-1 gene:gene35210 transcript:rna35210 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNKECSWTMLRGLHGDASVDWKMSIHKPHLVAKTLGDTSDEILDVAESSPVGGGGFLGTKPGIDLELLLAFFIGDEIKIKVKMLEITNKLPARSFDFDDLSANLVNAILDPNKLEDFRPKRDDLSFNLRLRTSLKNLRLFREGWVHYRG >RHN51916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28357161:28358966:-1 gene:gene36486 transcript:rna36486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MSNQTMHPPPTTDPYQLLKIQHHPNDTLTRYFEDPHTSPSLDTSLPVLTKDLFINQSNQTWLRLFLPKKATNVSNLNNKLLPIIVFFHGSGFIVQSAASTNFHDLCVDMADTVEAVVASVDYRLAPEHRLPAAYDDAMEALSLIRSSQDEWLTKYVDYSKCYLMGNSAGATIAYHAGLRVLEKVNDFEPLKIQGLILRQPFFGGTNRTESELRLENDPNFPLCVSDLCWDLALPIGVDRNHEYCNPTVGNDVDEKLDKIKDQGWRVLVSINGGDLLADRAKELVQLMDEKGVEVVKDFQEEGFHGVEFFEPSKAKKFIKLVKGFISTFDA >RHN72327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6820626:6821237:1 gene:gene8025 transcript:rna8025 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit alpha MLQIRLDGLLIQEGSSVKATGRIAQIPVSEGYLGRVVNALAKPIDGRGEISSLKSRLIESPAPGIISRRSMYEPLQTGLIAIDSMIPIGCGQRELIIGDRQTSKTVVATDTILNQKGQNVICVYVAIGQNASSVTQVVTILQERGAMEYTIIVVETTNYLATLQYLAPYTGAALAEYFMYRERHTLIIYGIILFVYIESLYLF >RHN54545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10377030:10379217:-1 gene:gene29609 transcript:rna29609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator SWI/SNF-BAF60b family MAPARVFGAFAGRMLMAAAKGATKKAATSAGAASTTVVKKTAVKKTVTSKSTGGIQKVVQVTSELGNFIGAPEVSRTEAVKKVWEYIKLQNLQNPNNKKEIFCDDKLKTIFDGKDKVVFTEIAKLLATHFVKST >RHN71358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58493111:58497703:-1 gene:gene20031 transcript:rna20031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSNLIIQYLHQCQVTNNLSSIKKLHAHLLTKGTLFILHDLHTQLISTYTSFFPSNNLQTLTNSFFKCMNSTNPLHFNVIISHFCRKGFPFLALTTFSFMHTNHVPLDTYALCSTLTASSKFKDVNFGKQIHAHVEKSGWSSSVFVGSALIDFYSKLLNVKDAALVFDEIPDRNTVCANALLSGYCEFGLWVKAFELIRKMPLLKLKYDHFTLSAALRACTGLSSVEMGRQLHSYLLRTMADIESDVFLQSTLIEMYGKCGMVEKAQLVFKLDGMDIRKERSRDVVFWTSMLGVYGKNGHYKEVIDLYSEMLREGIKPDGISFLTIISACGHTGQVDAGVKYFESMTNDFKLDPGPEHYSCLVDLLCRAGELNKAWELLNETCHHKGIGNCSVSMWGALLSACVDSGNIELGKLAAQRALELDPQNAGICVMLSNVYARLGMWDEIGRLRVLINQRGLRKDVGCSWVQVTS >RHN39106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4142611:4146603:-1 gene:gene45100 transcript:rna45100 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDGVDESYRSLPSLYLTFLSIWFVSACSWTAYTYKTRHFQWNNLQWALTSIPLIKALQLMLSFLFWYSCFNFQACSLWMSFGVYVTGVLFQTAAFVSFLLIAHGYCIMCEHLSLNERRSTAALACVFYLTLVGYKASVPYFTVLLLLNYFISFYVIFHHISQNLLVLREQLGIIENEDVRAMHDAVYKKYIMFKKFQGAMQMVAMAETMIYMNIYDSSENYWLRLLIREWTQFCIFVYIGWIFRSQDLAPHFSVMPATKSKGVTLVPPIYSIEMDAATFKEFSSHEWHIGLPTSTRHDECSKNEVLVIIQHPSAQRPRKLDAISDSTNCFAVSNLNTNLSSCQTQQTQLPT >RHN55851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24730132:24734279:-1 gene:gene31126 transcript:rna31126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MITIFTMLVSLLSQISYATDTITQPTSIRDGSSLISKDGSFELGFFSPGSSSNRYVGLWYKNIPVRRVVWVLNRDNPIKDDSSKLTISQDGNLMLLNQNESLVWWSTNISTNASNRVVQLLDNGNLVLKDVINSDNGESFLWQGFDYPCDTLLPGMKIGIDKRTGLNRHLTAWKNWEDPSSGDLKNVVEFTSNPEGIFWKGSTKYYRTGPLIGAESRGSVGLRDNPIYGFEYSVNENEVYYMFILKNASLISAGVLNQTLSVRQRLLWIPESRTWNVYQSLPIDNCDVYNVCGANGYCIIEGSQTCRCLDGFKPKSLELWNSLDWKQGCVRNGNWSCGVKNRDGFRKFIGMKFPDTTNSWINANMTLDECKVKCINNCSCTAYTSLDPVGAGKGCSIWLGDLIDLRISQDGQDLYVRMDSAYIDANHGPGKKFILPVSITLSMVLVILFAFSYFCIYKGKCKVIIDKIMMIKEKDEDGHDDFELPIFELATVLKATNNFSNDNKLGEGGFGPVYKGTLQDGQVIAVKRLSKNSVQGSIEFKNEVILCAKLQHRNLVKVIGCCIEGDEKMLLYEYMPNRSLDLFIFDPVQSRFLDWPVRFNMLNAIARGLLYLHQDSILRIIHRDLKASNILVDNDMNPKISDFGMARMCGGDQIEGKTSRIVGTYGYMAPEYVIHRLFSIKSDVFSFGVLLLEIISGRRNRALTYHEHDHNLIWHAWRLWREDIPHELIDECLRDSCILHEALRCIQVGLLCVQHVPNDRPNMTTVVMMLGSEITLPQPKEPGFLNQRVSIEETSSSSREEIPSINGITISRLNAR >RHN69863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46917711:46924252:-1 gene:gene18390 transcript:rna18390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MSSASKGDRKASLDAASWLFNVVTSVGIILVNKALMATYGFSFATTLTGMHFATTTLLTVVLKSLGYIQTSHLPKSDIIKFVLFANCSIVGMNVSLMWNSVGFYQIAKLTMIPVSCLLEVVLDNVRYSRDTKLSIILVLAGVAVCTVTDVSVNTKGFIAAVIAVCSTALQQYYVHFLQRKYSIGSFNLLGHTAPAQAASLLLVGPFMDYWLTNKRVDAYDYGLTSTLFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLFMGFIFFGKEGLNLHVVLGMAIAIAGMIWYGNASSKPGGKERRSFSLPTSKTQDYAAVPVSSESVEKV >RHN39786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10492943:10497757:-1 gene:gene45848 transcript:rna45848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-(apurinic or apyrimidinic site) lyase MFLCFCLPSPTSTLSLISNINMSEKTLNKNKTRKRNINSVTKKTPTLVKTEDIEDSPSFFSKDETHKLRTVLLDWYDRNQRVLPWRTTLNDEHEEEEVEKRAYGVWVSEVMLQQTRVQTVIAYFNRWMLKWPTIHHLAKASLEEVNEIWAGLGYYRRARFLLEGAKQIVAEGGSIPKTASTLRKIPGIGDYTSGAIASIAFKEAVPVVDGNVIRVIARLRAISENPKDSVIIKRFWEIAAQLVDPLRPGDFNQALMELGATVCTPLNPSCSSCPASEFCHALSIAKDNSTAAVTDYPIKVVKVKPRSDFCAVCVVELLGGENQSSSRFVLVKRPDEGLLAGLWEFPSVLVDGETAPLARRKATDCFLKKSLKIDIRKTCDVVLREDVGEFVHIFSHIRLKLYVELLVLQLKGTVGDLLKSKDDETTTWKCVESNALSNMGLTTSVRKVYDMVKKFKQKRLPSNLVPTKKRTRTTKKN >RHN68238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33939999:33943725:1 gene:gene16562 transcript:rna16562 gene_biotype:protein_coding transcript_biotype:protein_coding MWETIPNSIHCISQMNVINEQDEKLNELKESIGEAAYDAVAIALKEMNEYNPSGGCLISELWNHKEERRATLQEGIQFLANNPSNKRKRGSVLERVIDVDDREEA >RHN52561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36646682:36648577:1 gene:gene37253 transcript:rna37253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GRAM domain, PH domain-containing protein MKSFGASPSRCFFVIDHDDNFLKTPTDKENSKRDCTRKERSSFAYSIHEHVKLGPKFSETLKGKLSLGAKIIQEGGRGNIFKHIFGMQEEEKLLKASQCYLYTTAGPIAGILFISTVKVAFCSERPTSFSSADGDLVKAPYKVLIPMEKIKEVNESMNVNKLEQKYIEVVTKDDSEFWFMGFLRYEKAIKNLNNAISMANKF >RHN72198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5690438:5691132:1 gene:gene7883 transcript:rna7883 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLGLKCAKILDKNAPGTFSAKKIIKKDWTAIKNGQKIKIKKFWTKL >RHN56081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27475764:27476425:1 gene:gene31408 transcript:rna31408 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNTNFKSNFTFSSSFYRHTLKPYQLFYWISISTFLWLHKQQHRMFPSHASSICNSQCDRN >RHN72311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6683833:6692993:-1 gene:gene8009 transcript:rna8009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium/calmodulin-dependent protein kinase chromatin remodeling ISWI family MAKLSKQQPSSDEEPVNSLSEEEQVNEEINEEEDQEELEAVARAVSSDDDDEVAGENPPDSDADVAGEDGDDDGEGEGGPEISKREKERLREMQKLKKQKVQEILDAQNAAIEADMNNRGKGRLKYLLQQTELFAHFAKGDQSSQKKSKGSGRHASKVTEEEEDEEYLKGEEDGVSNTRLVTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLMGYLHEFRGIKGPHMVVAPKSTLGNWMNEIRRFCPILRAVKFLGNPEERRHIREDLLVAGKFDVCVTSFEMAIKEKSTLRRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQLQKQYYKALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITSAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYQYCRIDGNTGGDDRDASIDAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTAELYDFDDAKDENKFDFKKIVSENWVEPTRRERKRNYSESEYFKQTMRQGGPSKPKEPRIPRMPQLHDFQFFNTPRLSELYEKEVRYLMQTHQKNQVKDSIDVDEPEEVGDQLTAEEMEEKERLLEEGFSSWSRKDFNTFLRACEKYGRNDIQSIASEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKVQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKNMTPTKRALARQTESPSSAKKRKQSTMDDYASTGKRRK >RHN74056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:25480634:25494472:1 gene:gene10001 transcript:rna10001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diacylglycerol kinase (ATP) MDSPSSSSSSSTTTTGDATKLAAARSSIVDSIRGCGISGQRIDKEELKRNLTMPQYLRISMRDSIRLKDPTAGEFGFGFIRAEESAAVAPLKPIVVFINPRSGGRHGPVLKERLQDLMSEEQVFDVIDVNPREFLQYGLGCLEALAASGDTCAKETRERIRIMVAGGDGSVGWVLGCLTELNKQGREPVPPVGIIPLGTGNDLSRCFGWGGSFPFAWKAAIKRTLHKASIGPICRLDSWRVSLSMPEGTIVAPPYSLKQTEEFILDEGLEVEGELPEKVICYEGVFYNYFSIGMDAQVAYGFHHLRNEKPYLAQGPIANKIIYSGYTCTQGWFFTPCTSEPGLRGLKNILRMHVKRINCSEWELVPVPTSVRAVVALNLHSYGSGRNPWGNLKPEYLEKRGFVEAQVDDGLLEIFGLKQGWHASFVMVELISAKHIAQATAIRLEVRGGEWKDAYMQMDGEPWKQPLSKDFSTFVEIRREPFQSLMISGD >RHN77734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9038110:9044775:-1 gene:gene1221 transcript:rna1221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MKIKIQSKPHIIQVTSQNYYIPLIKIQFSIQFKTHKTQFTYSKFSYPLKPKKNSIAPLSPFCQSSLHLQEHPIASIACISFPLGSVSNIFAFGIGLYEIVKGPIQVTYTSFKVGYSLGHYVLPSYCAARILFGMTLFFALSIYKWRKRHLSMYECIEMYLQQQNTLIPIRYSYNEIKKMARGFKDKLGEGGFGTVFKGNLRSGPCVAIKMLGKSKGNGQDFINEVATIGRIHHLNVVQLIGFCVEGSNRALVYEFMSNGSLDKFIFSKEGSINISYGQIFEISVGIARGIAYLHHGCEMKILHFDIKPHNILLDENFTPKVSDFGLAKLYPVENSIVTMTAARGTIGYMAPELFYKNIGGVSYKADVYSFGMLLMEMAGKRKNLNAQAEHSSQLYFPLWIYDQFGQEGEIEIEDVTEEEKKTVKKMIIVALWCIQLKPVDRPSMNKVVEMLEGDVESLEMPPKPILYPHETVAEDQRTNLDQTMLSDDYTGSYYSVERETSSLMENIA >RHN43717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47946747:47949836:-1 gene:gene50335 transcript:rna50335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MIKMQWNLAGATLSKGFNRGLGTFSAGGLAVGMGELSALAGEWEEVIVIISTFIVGFCATYAKLYPTLKPYEYGFRVFLITYCYITVSGYHTGEFLDTSISRFLLIALGAAVSLGVNICIYPIWAGEDLHNLVVKNFMGVATSLEGVVNHYLNCVEYKKVPSKILTYQAAADDPVYSGYRSAVESTSNEDSLLGFAVWEPPHGHYRSLKYPWKNYVKVSGALRHCAFMVMAMHGCILSEIQAPAEKRQVFRNELKRVGFEGAKVLRELGNKVKKMEKLDRGDLLYEVHEAAEELQQKIDKKSYLLVNSEFWEIGNRPRDENDDHPKGLFHMDEDSKFLEYKSLSEAVLDLRSIEVQNNWDEKTTDNNGNNHDVPPSIANENMSVKQMSWPAHVYYKAKEEESKTYESASSLSLTTFTSLLIEFVARLQNLVDAFEELGEKANFKDPLEQQSVVTSGGFWTRLFNCFKS >RHN71860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3120965:3122001:1 gene:gene7500 transcript:rna7500 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMTTFLLLTTLLLIVTSSLATRPVSSGSSPSTQVKHPKDKNNNQGGGGGSIPGVGGIPGGYFGPGGGFNIPGFGNGFGNGVGGGYGSGYGGPNGGHSKNGIVRPTVVCKDKGPCYQKKLTCPAKCFSSFSRSGKGYGGGGGGGGCTIDCKKKCSAYC >RHN57283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37822048:37822326:1 gene:gene32806 transcript:rna32806 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVATWDPHTNTLSFMTISPLSSVRLSSFTASALLSLSLSSFTLSPTPATTTSGLCHHDSRPPPLVAISAALLLSIQICLFFPIPSSLSLL >RHN42996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42464985:42466275:1 gene:gene49514 transcript:rna49514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MSPPSIYGDSPSSISHAQAFEAMSLEQLETGDEVDQFDSRFLPICASMILSNDFPFEFFEREGMRNFMKVLNPNIVLPPVDVIEAYVSDLYMKEKLKLKQELATIPNRISLTFDLWESNTAETYICLTAHFVDADWKLNSKVLNFCVVLPTVAEMCERMVEFLSDWGIEKKIFSLNLEDSFKDNILQEQLKSHLGLENGLLCDGEFFHRHCSARVLKLIVEEGLKLVSGDVSKIRESILFVRDSKSRRKKFKECVEKVGGIDSSVRLHMDMSMTVNSTYLMLDSALKYQHVFESFHLYDGDYVSCPSAKEWKRFVHSCCLFVKLPT >RHN73438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16418817:16419934:1 gene:gene9252 transcript:rna9252 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQMSKSFSFFAIFVLVAAVKLIQVEGDGGECTKIVGRCDEINCAVHCQSYAKDVAVLGSSCSFYDLCICAFDRSPPGLVQPACEVGLAQCNAPCSDSCCNANCVSKYKNFGGVGKCVFFALNKAFCLCTYRG >RHN53787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4151804:4152175:1 gene:gene28733 transcript:rna28733 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHLSCIVNNDAFCRTFQIYVLDFELGKWSLYHEMGPFDFPTACGHEIHILCVVFCFWINHQIILRVSLLKRRNTSVTSRETMHFSYNVKIKRLTKIDNIVVGDIKVWLHTNSLVSLPSTPL >RHN59242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8723390:8724169:1 gene:gene21245 transcript:rna21245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MAITLTTNTAADLYLPDECWERVFKFLTDDDHYLLKDDYDNRGILNCISLVSKEFLSIINRIQFSLTLNTLAVSERIFRRFTNLTSLNLSCYNSDLNVLLHEISRFPLNLTSLNLSYQPIIPADGLRVLSQNITTLNTLK >RHN39053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3782896:3784247:1 gene:gene45045 transcript:rna45045 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPCKFYTIFLFLSFALILFSTLEVQASICPRLSATWNKP >RHN79846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32780926:32785295:1 gene:gene3693 transcript:rna3693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylate kinase MLFTFYTLINGKLTTNLKYSKHDFSKKQRHRKINYQCNQSFHFIIIIGLPLSRSYKIPMAAFTTRLTTRASFISSVARRYLSSELNSHAPPYTSAPFRLVAPRGEDQKNSHVRWVFLGCPGVGKGTYASRLSNLLGVPHIATGDLVREELSSSGPLSSQLSEIVKQGQLVSDEIIINLLSKRLDDGEAKGELGFILDGFPRTIKQAEILEGVADIDLVINLRLPENVLLEKCLGRRICNQCGGNFNVASIDIKAENGIPGIVMAPLLPPTDCMSKLITRSDDTEAVVKERLRIYNETSRPVEEFYRSRGKLLEFNLPGGIPESWPKLLHALNLDDYEDKHSAAA >RHN73052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13042379:13045903:1 gene:gene8829 transcript:rna8829 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDEGVEMVVDSKDMQQQSKAFDKLTDRVEDRQLDSTRVQEAMASIAASAEADWNAMRLREKELAAVKINAADVDIIANELELDKKVAERTLREHKGDAVAAIRHLLH >RHN76204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48515010:48517701:-1 gene:gene12495 transcript:rna12495 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator Znf-B family MKIQCDVCEKAPATMICCADEAALCAKCDIEVHAANKLASKHQRIHLQSLSNKLPRCDICQDKTAFIFCVEDRALFCEDCDESIHLPGSLSANHQRFLATGIQVAMKSNCAKDDEKTHLEPPKRSTHQVSLETTSQQVPDFTPPWGVDDLLELADFNSHDKKDSMQFGEMEWFTEEGLFGDDFTQEAMAAAEVPQLPVTHASNNYSSYRNSKSHMSNKKPRIELIRDYDYDDEDEYFTVPDLG >RHN40823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21714083:21717530:-1 gene:gene47040 transcript:rna47040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-methionine (R)-S-oxide reductase MMKPLIWRMASHSLSLSLSAAAQILPNTQIQKFDSKLLLWPSRLHTKPTTKLSSSIRAMGSSASSNSNNPNTTEIQSGSSPVDYKSLSDAEWKKQLTDEQFYVTRKKGTERAFTGEYWNTKTEGTYHCICCDTPLFESSTKFNSGTGWPSYYQPIGKNVKSKLDLSIIFMPRQEVLCAVCDAHLGHIFDDGPPPTGKRYCINSASLKLKPRK >RHN58212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44662437:44673388:1 gene:gene33832 transcript:rna33832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MASSSSSAPNVDLFDAYFRRADLDRDGRISGVEAVSFFQGSGLPKKVLAQIWEFANTNQSGFLGRAEFYNALKLVTVAQSKRELTPEMVKAALYGPAASKIPAPQINFAATVTPPSAPNLGPRGPLPNQNFPAASQPTPLVRPLQNMSAGTQGLPAVSGPRPATSSAFPGYGNMGSSGPLQQPQVTSSQLPVRGTSPVAITTSASSVAPLTPTQPQHPLSASKPSDTSVNGIMASDSFFGGDLFSTTSSQPNQNSSSQGFSSAIVPVSGGNQSSIRTTTPDSLQTSLATHSVRPHLLQLNQPAVNQNQHASVQAPNIPTSSGLPVRLQDSASGQPQPPWPRMTQTDVQKYTRVFMEVDRDRDGKITGEQARNLFLSWQLPREVLMQVWDLSDQDNDSMLSLREFWIALYLMERHREGRALPSVLPNNILPDIPTTTGQPANLHTPVTWGNQSGVQQQQGMTGSGARQLNPTAGRPPRPAAVPPSDEGTQNKQQKSKIPVLEKHLINQLSSDEQNSINLKFQEATEADKKVEELEKEIAESREKIDFFRSKMQELVIYKSRCDNRLNEIMERISADKHEVDNLAKKYEDKYKQVGDVSSKLTTEEATFRDIQEKKIELYQGIAKLEQDVNTDDTVKVRADRINSDFDELVKSLNERCKKYGLRAKPTTLVELPFGWQPGIQEGAADWDEDWDKLEDKEFTLVKEYTLDVQNTTVPPKQKQPKAVNAKALDIDSPKFVASPKSDDKSEKPQTTNEQGIGNGSVYNKSDDGSAKSAPNSPFASSTIGSPHRDFVDSDIPKTSGEDSSPRNQDEAQETQSDHGGEKSVFSEERVFDEPNWGTFDTNDDIDSVWGFNASSITKEERELDGAGDNYFFSSGDLGLNPIKTSSPQAADLFQKTSGFSFDDSVPSTPLFSSSSSPQRPKDWLENAFDFSRFDSFSTHDSVSLPAREAQPPVRFDSVRSSADFDHGFPAFDDSDPFGSGPFRTSSESQTPRKGSDNWSAF >RHN78850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19187677:19187823:1 gene:gene2511 transcript:rna2511 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKALLAEVDIDAGVTSKFGELVMDAGMSALRVLLLGHMMEKKHGDL >RHN64295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57972617:57974539:-1 gene:gene27098 transcript:rna27098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MGTFFSKLRTLLLSNKATDTVINVFHDSKDSHREMELEGIEHRTVTVNGINMHIAEKGQGPLILFIHGFPDLWYSWRHQITYLACHGYRCVAPDLRGYGDTDIPSSPTSYTSLHVVGDIIGLLDAIAGDQEKVFVVGHDWGALTAWYLSLYRPERIKALVNLSVAFTPRNPKRKPLDTLRAVYGNDYYISRFQEPGDIEAEFAEIGTQRVLKEFLTYRNPGPLYLPKGKGFGHPIDSPILLPPWLSEQECNYYASKFDKTGFTGALNYYRNIDLNWELNAPWTGAQVKVPVKFIVGDLDLTYNAPGAKDYIHKGGMKRDVPLLEDVVVIEGAGHFIHQERADKINTYIYDFFKKF >RHN67642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28926053:28928645:-1 gene:gene15850 transcript:rna15850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CR4L family MTPTSLTLIFSFIFTITTVNSLGTATTTAIIHNTSTICGIVAGKPYQYIQCYQNKKLIPPILPNVSFQSISGGRSFFCGLRSNGLSLHCWNTRTRKSFLKPKRVYHSENVQLNDVAVGDDHVCARELHSGVVRCWRGYGETNGFKFPSPDENFRFRSITCGTGFCCGILKENSKVFCWGVNGKKGVEKEIQKQFGNLSMSTLVAGVSHVCGLTLDKVLVCKGEKNNDSGKLNVPLNSGSVFSGLALGKNFTCGIRKKNGFVLCWGGLFDSGGVNNHVMMKSVSFESIVAGLDFVCGLSIKNLSLICWGNTNEDVNVPLGMILPGPCVSDSCSTCGVYPNSDFLCQGFGSICYDCRTELPFAVPLLPPSTSPPKNQSSKGVEEKTNLRGWKLMVFMIIGSVGAFSGLCTFLYFLMIGARKLLKAKIDNSVQPTSSESDDAYVDIAPMPNIGTTALRSFSSKRHSSSRLRSGSSSKQLDRTENFTFWELVAASDNFSLGNKIGAGSFGCVYKGKLLDGREVAIKRGDTSLKKKKFQEKETAFDSELTLLSRLHHKHLVRLIGFCEENDERLLVYEYMSNGSLHDHLHDKNNVEKNSSILNSWKMRIKVALDAARGIEYIHNYAVPPIIHRDIKSSNILLDSKWNARVSDFGLSLIWQETEQDSMSNIKAVGTVGYIDPEYYVLNVLTTKSDVYGLGVVMLELLTGKRAVFKTEGGSSPIGVVEYAGPKIGSGEVWNLLDHRVGIPEVNEVESVEIMAYTAMHCVNLEGKERPNMVDVVANLERALAFLEGSPSSFSMSSFSATLT >RHN54544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10376052:10380677:1 gene:gene29608 transcript:rna29608 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHGVTLQKKEAIWSQQEIVSMTNVDPKNDKTGRRSKLLFRAMSKLNLERRKLLEEKGALKCALKKVRQYMQVLMSLNSKKNMKWTDPT >RHN64959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63298632:63300407:1 gene:gene27841 transcript:rna27841 gene_biotype:protein_coding transcript_biotype:protein_coding MNQHNQGLGVQSSVPMKRKRGRPRKEDNVVHGVQKPENVLNFNQTAGTTNNSDSGMLGKTVTGVIEATFNDGYLVNVKATDSDSFLRGVVFLPGQVMPVTAENDVAPHVQMINRTEFPIPMPNSQTNEVQVMPDTEENVVVPHVSQMIKQMFAIPKPNSQTHVVQVMPVTAENDVAPHVNQVINTKEFPIPMPNSQTNAVQVMPDTEENDVVPHFSQMIKQMFAIPKPNFQTNEVHGSLPPLQSIKPQIPVPLPGENVLPTEVHSSISVPPGISDAEHVNQSSCLNSKMDCDKTAEQSDKLHELDASTQVEESGAAKESRPASETMNLFPTIENTDKQLRNEQVFPSVNQLNELVHDDPNNSNIELNLVIVSAEPESMPSEQISKPVENFVEKQNLAETNVQEDSNTTLVSIDTLSNVYNTPNSNGNPSTGIANILEMVPNQAVETKQPESMQSEQVGQSDPYGNKLSSEGCKFMDKIDPQNCSSLGDVNRVDFNHPTESLVDAGPSDNQIGAGTL >RHN71818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2799093:2800690:-1 gene:gene7455 transcript:rna7455 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLDANPHAVDVVTLLAEQLFSKWAQSGTVTNPPPPPKPPRVKMANPRLK >RHN58915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5908771:5909529:-1 gene:gene20871 transcript:rna20871 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFGEGFTVEKTTISLLYDKPIKEEDVNVYGEEDNNVCAYGGDNVDVPADDNVTGPGQNENISDDKHWYAVNENTIVSGDDVMESNKNYVVSGCENVHDNKKNWDAVDKYVIVSGDVDKNAVGDKNIFRGLLTNFPSLFCAVLMSRPFWSSLVGILVWITFRHFKKGRSPKLLTR >RHN70870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54660307:54667707:-1 gene:gene19500 transcript:rna19500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcriptional regulator TACO1 MLRVALSLHAFSTRGVFSTRLSSSSFFLLHRNIHIISPSLLDANVINFTNYHNDNNRRVRVRRRTIWTSTPLCMGRRSCKIAGRKEANNAKKMKLYSRIGKEVVSAVKKGGPNVTSNSALAAVLEKVKELDVPKDIVERNIKKATEKGQEDYIEKIYEVYGYGGVSMVVEVSTDKITRSVAKIREVIKDYGGKMADSGSVLFKFRRARVVSIKVTNADKDHLLGIALDAGAEDVIDPPTYEDDTEEDRSERYYKIVGSSENYSSILSKLREEGIEFEPDNGSELLPNTTIEVDDEAMDLNKELMSKLLELDDVDAVYTDQK >RHN69544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44283794:44286616:-1 gene:gene18026 transcript:rna18026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MHVAHLLFGIFGNASALFLFLAPVITFKRIIVNKSTEKFSGLPYVMTLLNCLLSAWYGLPFVSPNNIPVTTVNGTGAGIEIIYVLIFIIFAPKKEKIKIFALFTLVLSVFSAVVFVSLFAFHGNHRKAFCGFAMAIFSVIMYGSPLSIMRLVIKTKSVEFMPFFLSLFVFLCGSSWFIFGLLGRDLFVAVPNGLGSVLGTMQLILYFIYRDNKGSPKQQEPTEGESMEMGNGKNHQMKQSYENEIQG >RHN48169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45549925:45550298:-1 gene:gene42856 transcript:rna42856 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSHKKMLFTVQQILLILFTIFIASPQSGVACRPLLVHNDQWSSEYGLVWQLLANSPAPPSGGGEPTHP >RHN59484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11163694:11164983:-1 gene:gene21515 transcript:rna21515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKRQYQPDLVSQQILPDELIIEIMTWLPVKPLMQFKCVNKFFKTRISNPDFVQMHLNKSSRNPHLALMWKHDFHSRTFEQFSVITFPISLLLQNMYTHLHFSRPNLRSPQRLGRYENTTLRCNPYYRLDENYHTWWVVGSCNGLLCLIDVQCFGYNDWPHEYYWLYLWNPATRTKSRRNILSFPSNFKFSFGYDISSKTYKVVAFRVDLDKERGNATSVVKVFNMADNSWRNIQCFPVLPLYWFKREKNNGVYLNGTINWLTLRDYFYSDYEIGNVSNISVAQYGIVSLDLSTESYTELLLPRGFDKVSRVQPTLVGLINCLCFCHDFKGSHLVLWKMTDFGVQESWIQLLNISYENFHSSEYLLKFETMELLPLYLSKNAETLIFANDENDTTFIYNCRDNRGEQIRITNKIWWLWAKDYVESLVPTR >RHN57633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40657451:40658198:-1 gene:gene33210 transcript:rna33210 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRLHIVTGKHQCNNSRMPSGHIHYPEQSQKVSTISLSFSA >RHN45163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12530301:12536857:-1 gene:gene39381 transcript:rna39381 gene_biotype:protein_coding transcript_biotype:protein_coding MTESSSSPFPPSFHAFSLDRRLKRTLSDFANLSISDSSQNWLEINERPFKRSLLDFGNLSISDSSSSQNVGADSETNVAESSPAAPPSKHVFVRVKRKPFQPPLDAFWLEINERPLKRPLLDFGNLSIANSSQDKFHNKKVLVQHLKTISSSEVTIDIVRSFLEPRSRSASKSESKVEKRKNFKKVNSSAKDARFEQILKNRTAIKKTAAEKALHEICHFYDIVRIDCEEKIREVQQEDICLEDQRLLANFMPLLIDVIPKAAAEIEADTSVHSTQDTEDYVYDLYTVMDEMIFEEDSSCCYPLLRVQVDDEHFYDGPDNLDYETDDSSGISLCQVDDEPFFYGFDVPDCENDLSNDNSVNDCAAMFSEEEDSPKFSEEEGSPKFSEEEGSPRFSEEEGSPKFSEEEGSPKSEEEVLPKFSKEGSESKSEERKNDSSCNELSDVKM >RHN51843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26554111:26555767:1 gene:gene36386 transcript:rna36386 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKLGGSPREHSSIDIDLHCYIHNRSLNPDTTLVQLSFLFRFNKRTRVTFWNFFHFAHQRRSPTVFSTASMLLLSSSRKEYLMN >RHN66218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9833353:9833997:-1 gene:gene14161 transcript:rna14161 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit a MINDYKISLQGLANTILLISTILVVRNPQTIPTSGQNFFEYVLEFIRDVSKTQIGEEYGPWVPFIGTLFLFIFVSNWSGALLPWKIIKLPHGELAAPTNDINTIVALALLTSVAYFYAGISKKGLAYFGKYIQPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >RHN45452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20940608:20943762:-1 gene:gene39795 transcript:rna39795 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIQLLRAYALECLVIQIVEGSCPGMLIIQPLRAYALECLVIQIVGGLCHGMLSHSTRWNWCYLDVVVVVVVDGEFVVMELL >RHN55179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15775500:15776931:-1 gene:gene30316 transcript:rna30316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative envelysin MITQNQRYYYHIFYITLISITLTSVSARFFPDPSSMPAWNSSNAPPGAWDGYKNFTGCSRGKTYDGLSKLKNYFNHFGYIPNGPPSNFTDDFDEALESAVRTYQKNFNLNITGELDDATMNYIVKPRCGVADIINGTTSMNSGKFNSSSTNFHTVAHYSFFPGQPRWPEGTQTLTYAFDPSENLDDATKQVFANAFNQWSKVTTITFTEATSYSSSDIKIGFYSGDHGDGEAFDGVLGTLAHAFSPTDGRLHLDKAEDWVVNGDVTESSLSNAVDLESVVVHEIGHLLGLGHSSIEEAIMYPTISSRTKKVELESDDIEGIQMLYGSNPNFTGTTTATSRDRDSSFGGRHGVSLFLSLVFLGFGFLSL >RHN74647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35458888:35464974:1 gene:gene10751 transcript:rna10751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MEDNELNLYDHDPLLLNDASFQQQQQEITSTRVLKSSFSSLNSAMEAADPQSCGGNPSYGLCVKNGSSERNSLQFDDPIVKNLVDNGRSILGFSMTSPDLVICSASPDNAGISYCDSPELFKKNKNCYNLDSSMELSLENGINGPEVEVYNVHKTPTVKFSNVCQTIEPEEELVSPEASFELHPPPVTKDDESPRDYSLNDDEMLEDGRVSQETESEGSEDEEDIGVEEKFQKLKRDFECQRKELAVTRRELGELKRENHKKSSECQEAFNSLNELQNELMRKSMHVGSLAFAIEGQVKEKSKWFTSLRDLMRRLKIMKMEHMKLLEEAEAYKKYEADISEMGLIIKSKMNEQIELHEDLKSKYVEGAKVQKELYNKVLELRGNIRVFCRCRPLNAEEMKGGASMALDFDSAKDGELTVLSNGSPKKTFKFDAVFGPQVEQADIFEDTVPFATSVLDGYNVCIFAYGQTGTGKTFTMEGTEEARGVNFRTLEKMFDIIKERQKVYRYDISVSVLEVYNEQIRDLLVSGNHPGMSARRLEIRQAGEGMHIPGLVEAHVNNMTEVWEVLQTGSNARAVSSTNANEHSSRSHCIHCVMVKGENLLNGEHTRSKLWLVDLAGSERVAKTEVQGDRLKETQNINRSLSALGDVISALATKSSHIPFRNSKLTHLLQDSLGGDSKTLMFVQISPNENDLGETICSLNFASRVRGIELGPPKKQWDTIELLKHKQMAEKTKQELKLKDFQIKKMEETIHGFESKMKEKDHKNKTLQDKVKELESQLMIERKLARQHVDSKIAEQHQMKHQEEQNNSILRSTSLTNRPLATLKNFNDPAKPLMENILKPYSIPFSTIESSIKCIDHSEKENNPDLADNKSLLPKRASRASMCTMMTPRIPSAATSRRNSLIPLPSLTQFQTPFIPKLSNQEMNEESETTNCSQPLQQGHSESPKEVKSGVKKIGSILRRSIHKKGQVRSPLQHHMRKVGGVNVGMEKVRVSIGSRGKLAQRGCKLEMVVEEVVELKRLNTRIVTRTRKGHGYKR >RHN52353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34214658:34215035:-1 gene:gene37017 transcript:rna37017 gene_biotype:protein_coding transcript_biotype:protein_coding MYFDEVSLCNSVSTLFSNFMIPASAFFFILYPFFPSISLQWLIATTLISCILPIIPALSWCRINNKYSLRIVLLFVICFFSYFYIEKFVGVSVIAGDMGLMAIRCRTLVFEAACLWSFRICVYMF >RHN47754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42281965:42282463:-1 gene:gene42391 transcript:rna42391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MVVFSRTASASLLFLFLLFGFSAAKELLVGGKIDAWKVPSSEADSLNQWAEKSRFKVSDHLVWKYDGGKDLVLQYNDGNTKVKLDRPGPFYFISGAKGHCEQGQKLIVVVMSPKKRSIGVSPAPSPAELEEGPAVAPTNSAPVLRTGL >RHN74137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28972266:28979405:1 gene:gene10134 transcript:rna10134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysophospholipase MSFAASSVGGRSAATAYEFGRTYVVRPKGKHQATIVWLHGLGDNGSSWSQLLETIPLPNIKWICPTAPTRPMSLFGGFPSTAWFDVAELSEEAPDDLEGLDASAAHVANLLSTEPTDIKLGVGGFSMGAATALYSASCFTAGKYGNGNAYPANISAAVGLSGWLPCSKTLSNKLQGVDEATRRAQSFPILMCHGKGDDVVPYKFGEKSSKCLTSNGFQDVTFKAYNGLGHYTIPEETDDVCAWLTSKLGLEGNAA >RHN69945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47493779:47494042:-1 gene:gene18478 transcript:rna18478 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPSTPPLDPDLSVVRRKCGFPGASVLSDGCAGGLGLLRSCHRDCYLVCSVFNLLKFWCGLLCRRICIRFWVSCGFLRLYLLQIGF >RHN77275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5625999:5626268:1 gene:gene713 transcript:rna713 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLFKMIYFHSNELESPLFYHLSRGILGHSSYQEAHYFECSVKCLVIFNAFCDGIEQILLRQRQKLLEIVIYTLRLKVTLVKYIENMC >RHN77003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3112763:3117075:-1 gene:gene410 transcript:rna410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid hydroperoxide glutathione peroxidase MVSMASSTTFFTPLHNFNQARTNSIPSISLPFVKSSIPSSKSPFFQHGFSQPTSFDFPKAVLKSRSFSVNARAVTDKSIYDFTVKDIDKKDVPLSKFKGKVLLIVNVASRCGLTSSNYTELSHLYENFKDKGLEVLAFPCNQFGMQEPGSNEEIKKFACTRFKAEFPIFDKVDVNGPFTAPVYQFLKSSSGGFFGDLVKWNFEKFLVDKNGKVVERYPPTTSPFQIEKDIQKLLAA >RHN77056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3597734:3598789:1 gene:gene466 transcript:rna466 gene_biotype:protein_coding transcript_biotype:protein_coding MELEQDHDLSSAYIRSLVKQITTTKPKETMNPIKDCVFDGSDSPRQQSLRKHVKVQHQHHKKQVRRRLHTSRPYQERLLNMAEARKEIVTALKFHRAAMKQASEEEQQQKHQQQVEEESLLIVSSNQLSHVLSFDIEKDLSFNSRINPRIYPSCNDKFSNDFSYSSFSHPSLSLPHFHTWPISPSFSPTLLDENLNFTLPNQTLGLNLSLHDFNNLDDTLLLNNNNNDNSSFCSYSSQTSSFPSLSLPNDHEVPSINKVSHEEGVTLEVDTIESRVTTKVDEGECHSAVDDKLMEEMRSLGEQYQMEWNDTMNLVTSTLWLNFLKKMEHDAYTTQEDDACHVFESWNFQLG >RHN63036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47848681:47850623:1 gene:gene25687 transcript:rna25687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator GNAT family MGEVVVVNVREFDPNKDRESVEAVEKICEVGPSGKLSLFTDLHGDPICRVRNSPTFLMLVAEIGNETVGMIRGCIKTVTCGKKLTRPTKNSTETNQNSNHVPVFTKLAYVLGLRVSPNHRRMGIGLKLVEKMEQWFRENGAEYSYMATENDNVASVKLFTDKCGYSKFRTPSILVNPVFKHRLKTSSSKTTILKLTPNDAETLYRYKFSTTEFFPRDIDSVLKNKLTLGTFLAIPRDGKYGAGSDNWSGSESFLMDPPSSWALVSVWNCKDVFTLEVKGASRVRRVLAKTTRLIDKALPWLKLPSIPNFFKPFGFHLMYGIGGEGAEVLKMVKALCGFAHNLAMENGCSAVATEVSSCEPLRFAIPHWKVLSCEEDLWCIKRLGEDYSDGSVGDWTKSKPGFSIFVDPREF >RHN73125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13625957:13627436:1 gene:gene8909 transcript:rna8909 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA-responsive protein ABR17 MGVFTFNDEHVSTVAPAKLYKALAKDADEIVPKVISAAQSVEIVEGNGGPGTIKKLSIVEDGKTNFVLHKLDAVDEANFGYNYSLVGGTGLDESLEKVEFETKIVAGSDGGSIAKITVKYHTKGDATLSDAVRDETKARGTGLIKAIEGYVLANPDY >RHN39378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6609519:6611774:1 gene:gene45396 transcript:rna45396 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCIMSESVKKFRIATCKPISFLSFARKCTTNLLEKLQRCFQIHFTEAKR >RHN59146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7879822:7886623:-1 gene:gene21137 transcript:rna21137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEEENNVFKDEQEQEINESFVCCVCLDLLYKPIVLSCGHISCFWCVHKSMNASRESHCPTCRHPYYHFPTICEMFHFLLLKTYPEAYKRRENQTLEEEKETGHSSPQVICDPPGDSLTGTTINQTSNSGSTLSFESMEQSGSANHKGDEGIILEYSSDRKHGTILPQNGHIQQPKILVADLMCPTCKQLLIHPVALNCGHVYCETCITDLSHEMFRCQVCQSPHPEGFPKVCLALDQYLEEQFPEEYTQRRDAIQLGQIKVQPETTSSCSLSTDNRERIAWGSNPELLIHPGVGCDFCGLYPIIGDRYKCVDCEESIGFDLCGDCYNKRSKRPGRFNQKHTLDHTLMLVQYRRMLISRGQDSSDLIVIPDDPDSSSDEE >RHN72320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6740838:6745921:1 gene:gene8018 transcript:rna8018 gene_biotype:protein_coding transcript_biotype:protein_coding MESMILVYLLQTKIMELILKNDLHNFFTMVWSLSVIVLSNGSMMLVECGSKDWGCKVHWFLNGYPCLKTNKVCGYEMFSAKLMTLVTLDRGARFGRL >RHN60998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32190685:32191321:1 gene:gene23405 transcript:rna23405 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPKSNVPLFLLLLIFILPHIGIAEESSLVEVLRNHGLPAGLFPQSVKSFKLDQMGHLEVHLDHPCLAQYETTVFFNTVVKANLSFRQLKVLDGMSREELFLWLPVKDIFVLDPSSGVILIDIGYALKYLAFSHFDEPPVCRSPNGLAFLMGGRKGIGFSDQ >RHN63298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50171849:50173113:-1 gene:gene25983 transcript:rna25983 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPQANRRKFSGKAPLNSCRKLHNSQPEKHSGNVDRRFVSTPMMPKMQTNDTVLRPSKLLLKVTIENSLGAIQMLMLSEDTVEDLIKAALVFYEKDKRRPILKNTDPRCYDLHYSQFTFQSLKRDEKLVGLESRNFFLCSKPPVSSCTMQNENMAMDSSFPWMILLNFLL >RHN73473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16786879:16789098:1 gene:gene9289 transcript:rna9289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAKKHEGRAVGIDLGTTYSCVAVWMDRHNRAEIIHNDQGNRTTPSFVAFTHDQRLIGDAAKNQVAANPQNTIFDAKRLIGRKFSDPVVQNDIILWPFKVIAGVNDKPMITLQYKGQESQFCAEEISSMILTKMREVAEAFMESPVKNAVVTVPAYFNDSQRKATIDAGAIAGLNVIRIINEPTAAAIAYGLDKRSDCDGKRNIFVFDLGGGTFDVSILTIKGDVFEVKATAGNTHLGGEDFDNRTVNYFVEEFQKKNKVDISGNSRALRRLRTACERAKRTLSFAFVTTVEVDSLFQGIDFSSSITRAKFEEINMDLFNECMKTVENCLRDSKMHIGDIDDVVLVGGSSRIPKVQDLLQDFFKGKDLCKSINPDEAVAYGAAVQAAILSEGFKNVPDLVLRDVTPLSLGILADVDHVMSVVIPRNTSIPVAKIKRFFTAKDNQCKVSINVYEGERARAADNNLLGFFSLSCVPGAPRGQPLDVCFDLDENGILTVSAKEVSTGNTNKITITNEKERLSTLEIKKMIEEAERYHVEDKKFLQKAKVMNALDYCVYNMKNALKKDVNLKLSSQEIEKINNAITVATSLLDKNNKQNETDVLEFHLKEMESMLKYVIAKTG >RHN69432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43359323:43361540:-1 gene:gene17902 transcript:rna17902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein MAQTMLLMSSVSSTYSVDLKKDPLLQLQCQRLRPRFSDVSFNPLPSNSKCFSSRTFTTLALFKSKTKAPAKVVKKPKPKVEDGIFGTSGGFGFTKQNELFVGRVAMIGFAASILGEALTGKGILAQLNLETGIPIYEAEPLLLFFIIFTLLGAIGALGDRGKFVDDEPNTGGVIPPGKGFRSALGLSEGGPLFGFTKSNELFVGRLAQLGFVFSLIGEIITGKGALAQLNIETGVPITEIEPLVLFNVIFFFIAALNPGTGTFVTDDEED >RHN56161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28340025:28340824:-1 gene:gene31518 transcript:rna31518 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIDQHIEFQNFQPKCMRTCFSFHLRVHHKKNHVFHTYLNKNRQLTHQRLLGQR >RHN64213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57308946:57330703:-1 gene:gene27003 transcript:rna27003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MASPQNVELEAAKFLHKLIQDSKDEPVKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALKSSRLPLTGVPQIGSSSQAVGGAKDSRPSLAESEAPKMEPFTSGRPPIAPTGGAPDYYQGSVAQRSNQSFDQESPSSLDSRSANSLSQDKRDTVIWDKQANQKDGKKGNTKRKRGDSTSPVEMHVDSSSLVEPRNTGVNTRKGKMTKTEPSDGIPAKSGEMTNFSVVPNNSQMENISTFSGNMKTMLRANPEGHHLLAKQTDSTNIGNPTGRAPNSKYPEDLEVSSAHIAPGKQQGGAYARVHGGMVVPANVSAMNEPVFSSSMQYGVPLNRDGGSSNTLADGHQISQIGRQNSGSEMTMLRQGVPPRDTGKSPVPAASSTMPFKENQLKQLRAQCLVFLAFRNGLPPKKLHLEVAFGTFFAREDGSNKDSNDPKGKSQSFSEPGNMPGVIMPFGSSSNLRPTDKNPSGSSAGKFLEAESFMKGTDGTRLLEDKGNLHSDIQTPSEDSKHLAAKRDVERRIQERVAAQSSSATPYQQKDSSSSRGIVVGNSNLDDSDNGILTAGRANQPSVVGPNNWTGFAGPSEASKGPPQVSTSQHELPIERRENIPTHFQSVVNSRGSWNPNSVNHLTSYSLKEHWKPVPGIDSNHHGGVTTMNGNVLGKNVSAEQGGNDKLASADLPSKKFTMSERWIMDQQKKRLLVQQNWMQKQQKAKERMTTCFHKLKENVSSCEDISAKTKSVIELKKLQLLDLQRRLRSDFLNDFFKPVTSELEHLKSFKKNRHGRRVKQLERYELKMKEERQKRIRERQKEFFTEIEVHKEKLDDVFKIKRERWKGVNRYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKATEKYLQKLGSKLQEAKAAAERSGQDVDEGGSTNFLENSETTLVDEDESDQAKHYMESNEKYYKMAHSVKESIAEQPSILHGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWESEINFWAPSIHKIVYAGPPEERRRLFKERIVHHKFNVLLTTYEYLMNKHDRPKLSKVHWHYIIIDEGHRIKNASCKLNADLKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESAGDNSPDEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPSKIERLIRCEASSYQKLLMKRVEDNLGAIGTSKARSVHNSVMELRNICNHPYLSQLHSEEVDHYIPKHYLPPIIRLCGKLEMLDRVLPKLKATDHRVLFFSTMTRLLDVMEEYLTSKQYRYLRLDGHTSGGDRGALIDLFNKPDSPYFIFLLSIRAGGVGVNLQAADTVILFDTDWNPQVDLQAQARAHRIGQKKDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLEDDALNDVLARSEAELDVFEAVDRNRKESELATWKNLVLGHSADGSDVIPPLPSRLVTDEDLKQFNEAMKIYDDVPKGEIDSNGVKRKRGALGGPDTQHYGRGKRAREVRSYEEQWTEEEFEKMCQTETPDSPKVKGSEVSHPTNTTGSVVSATVKKPAAVPPVAPMLPPVAPILPSVVPILPSVESLPVQHVKEITPPAKRGRGRPKRIASDKSPAAVIPPVTSRIAEVQLQKGNEPGHLTSSAPDTVGHSAEVTGVGGPMQQSTTGVTANIPPATPMPTNPLNSQSAATPMPTNTGPVQQSNTEVAANVLSATPMLSQSAAASVPIHAKGRGRKTQSGREWPRRRGKKQVVMSPPVPASSVGPDVKINEQLEDKIVSPSGQVIPQSETVPSATAVHHPTAVSVSASNCGNDNLGVDVVLNSQLPLLPLPSVTTLSPTVPSDPSVQMQSKGQIGKSQVGAGTPRRRGKKQATMSPPVPVVLGLQSMDPTSNLPTSSDAVSGDKRTELSNLLENNVQESKCIIQDQASQNNQALKTLDESDDLAKQAVISPSCEDSTVNSQGQDLEKVKNADVHDSSVKINSSETTPSKIAVCDNSENESLSVTTLATTEVTKDQHSDDKIHQTAVASKISPSVVDPQTNSLAGSATTESISQSVDPVTAKIVPSTLTTVYPSPPGSESNPSSYESVSAKRQGRKTQNRLEPPRRRGKKSAPALPVASDALIGQDPKLSHHAQISPVNSLVGIDTSNVTQAKALEVLLPSGVANDSKRKQRTTNPAQNKQQKVASPRIDSAPVSSDKVAPFGRIQNVNDVARVMKEVFSGTCLPKPKSHDPIGSEDRNTPFVHVTTKAAADASGSQSVEDKACSDIETAGVVCQTGNVAVNVDEKQSEGEGASDMQNLEGKPSLDAPTTGAPSLAPAMPVKGNKQESDIASDKNMILENMDLPNVSKPETICSGEVKAKAEQTQYYIENSTTKSEMEALDITPLNDEQKIDGSSERLRTSGCCTDISIETAPHEIGLSAASPVAEPPLVGDHNLGSQSDSLEKCSRSSPVAIDGTGCSTNPLGPEIYSNNPESSQADICVQSHLSANEAPDIIENTSNEKLEPSEPSSSFACADNTSLFGQAEILSDQPKVTPPSPAVDPQSRTIVISTISESAEINSRSETESSLKASAELSLGEGIVGDKISASGTEPPSLSLDPASPSEPSSKSPEPSMKRGSESASEKEGSVSPKAVQAQKHLDALEPSDLRETPLVESISESLVQERRDIDDSVSEVVVTDTVGVSGLGGETMSETAVLPPSTLVKEQNNGSVPLEKSMDKAVANCSGVQEEAKVDKVETDDPIDSSTRGIYTSSSSDELKDSKIEQGDDCIVEVGDELKDSKIEQGDNCIVEVGDDTLKSSSPLVKTEVGTSSSGNDCSESHSMPLGVSLCSDDSFGKPGVPQVDELITVPDTVRLSLSQLKDEENVGVSESKSVELSESQNDTEGSNADQRNCSDRLQSGHLVTVSHTSEDALSMKGTKLEVEISDKINATPISELEGDPERLTSKNIDALPFCSLVKEDNDVLIQDEQKDPLILEGSCTDGTKVQDSIVSPLPQEKSECSEAEMVHQIKASDCDMVDPGLTSKSKELTSLSVMEEDKVDASPERDVLCNPLAATENEENQMDDNEESKPLEVETGHQIEASTDISESSAAEIANVSQAPNSSASVEKEEGLSEKGVDESTAKMQADVGDGMDISSVCSSAAVSELVELSEKDSIGNSETDVTKEKDDVAQEENAPRD >RHN39229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5118630:5123572:-1 gene:gene45233 transcript:rna45233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative occludin domain-containing protein MTDKQDTNVVSEKEIPTRESNAMRNKPGGKGNNGSKPSDLQSFLISLLKDKPNGMSHKALEKSVIESLPSSIKDFVPIIKRIATFQPPGRYILKPAVDSESFKKPQTESGSSPEENHNQIPAHEEFHNQTSVPLGGFEDKLPNDDLEETVQVESKVEEASNTLEKIDTQHVSPDIFGDRKGSDYSEGRAGSSSASGSDSDSESNSSDSGSDSGSHSRSRSRSPAGSGSGSSSDSESDASSSSKEALEGSDEDVDIMSDDEKEPKNKAEAFDQRISIPVKSPDGRSMQIEINEKQDGNESDAVDIEKDSPAEQEANMGLTTDTISNKVGKYAEDTKPSSPDYQQLQERKNYIGSLFDERESEVTDSSRNERSDRLSKGKHKRGSELRNIDEKSEGTKRLKAGNLTRESYPPGTDVQMFGNSRNFSPFEFTEDTGKGPNTQVGNRADRQGNSDLGFQKGFNRALPGKSSSDLPQTGQRSFDQSPLGNPSYPLEKSNKLEGRRHSRKHSGKDFRAREVSYAQENKSHRDGQNEDIYATEKKVPRNSRDGSIGSKQSLLSMDSNYQKQGEMVGKLKEGQQSTQSHLGTSPKDNNRTGLNKSPAVNGQGISLQRELSDLELGELRESTPDETIAAKQFERKGSFKHMENKANTSEDVNSNINKVKPSSKATLDSGRPSSVLSSGFPSNLEVTNKKNADYHFEDSTKSRSRVMQTHSQHLKADNVDVGSQNKLTEMSTKFRNSESGMNHDIDLDGRSESNRRVPANGSKQEAKRGMVSYPVKESKRQTPNSREEVPDGRKDSVFADRNNGDQKKRESSSDENSCSYSKFEKEEPELKGPITTFSQYKEYVQDYQDKYESYLSLNKILENYRVEFQKLGDDLEYAKSKGDMDRYADIAAQVKESYRRCGAKHKRLKKIFIVLHEELVNTKQMIRDFVQSNKD >RHN48777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50132252:50133073:-1 gene:gene43530 transcript:rna43530 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEPQNERNHVYRFSTLPQSENEANNEDEPLSISTNTTIPAVSDNNYQSDEIFNISAHACDKIQDLLALTLFMLVIFLPLYRRFGSPKQDPIPPIFVLNSMYISNFTVGTKGLAATWDAKFTVRNTNVSSIYFRTIDFTIFYKQNPEDALSTTSSYPFYLDQGEFVKLHLKFTTMETAHWEDDQEQPFVGSKLVEEIGKDRDKNNGSLSFGIQMKVQAIYYGETWVSDVVMTPYCEDLMVQFLANKDSAARLVDPNRNFSVPIQWKPLPFF >RHN59867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14190980:14191365:1 gene:gene22032 transcript:rna22032 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLIHKTRCAAVLIRPDVVQMSRHCSQSLFWSVDLSVLAVSSYTMSEKMGLVLFRSVSGLIMMLANVLLLQDHDVLRNMSSRLEIVMYMLSIVVANGGYGCYRVGGAQTR >RHN49799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1193114:1195565:1 gene:gene34018 transcript:rna34018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MKKWFVLLKALLGSQGSWRIMEKRCKKSQDKEVDFDTLFMMLYFEVTADEVKNALSSMDPSKAPGIDGFNVHFFKSTWNIIGASVTDALIEYFRTGFMPRIINSTYVTLIPKIPNATSIKNYRPIACCYVIYKIISKVLTNRMQGVLDSIVSESQSAFIKGRVIFDNIILSHELVKSYGRKGVSPRCMLKIDLQKAYDSLEWPFVKYLMLELGFPYKFVNWVMACITTASYTFNVNGDLTSPFQAKKGLRQGDPISPYLFVICMEYLNRCLMQLTKNSEFRYHPRCKRLNLMHICFADDLLLFSRGDVGSVTQLFEAFDLFSSASGLKANQSKSSIYFGGVAISTQEAILTKFSLTKGDLPFKYLGVPLSSKKLTVMQCQPLVKKIISRIENWSSKLLSYAGRLQLIKSVLFGVQTYCSQVFPLPKKVLKLIQTACRVFLWTGKSGISKRALIAWEHICLPKSGGGWNVIDLHCWNQAALSKQFWNLANKKDVLWVKWVHEYYIKGRNVLLMEVPSQASWVVKKVFAGANTFSNVNGDMFQQANFAIKRMYNALRGDFVKVSWMKMTCNNPAPPKCLFVTWLAIHGRLPTYERLNKVGIYCNQTCILCKKENETHSHLFFTCEYSKAV >RHN54568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10541547:10541966:1 gene:gene29632 transcript:rna29632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ClpP/crotonase-like domain-containing protein MKEIPHRRIWIGKDAASQGLVDAIGGISRAIAIAKFKANIPQNKQVNLVELSRSGPSLRILLRGIGYALVGDPRLLNQLLEDNDGTIPAYMKNDTSLSEVEEDTSFVYHLGGKIKFIYYYFKFYAVDVFFPAFLYCFSL >RHN43181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43820646:43822288:-1 gene:gene49719 transcript:rna49719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MRYGFVLIVSMLVLSTSLSSAYKFNVGGNHGWAVKSSRHYYNNWATRTRFRINDILFFKYNNGFDSVLVVNKHDYDSCNIKNPIHKMSDGDSTYKFDKVSLFYFISGNLVNCQNGQKLKVVVYSPRHHHGPSLSPAVAPVHSPSSSPSWNSPAQPPARNAPSPNVAPTHSTTQPPVWNAPSPSAAPARSPTQPPTWNASSPSATPPRSPTQPPTWNAPPPSDIIWTAPARSPVQPPAWNAPTQLPRNSPSPDNESSSNEDDDDDTFNILSICNNIE >RHN73177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14142620:14144358:1 gene:gene8965 transcript:rna8965 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKEEDAIFNDGSMQQYCINHPNKKKPGGICAFCLQEKLGKLVSSSFPLPITPSSSSSLSSSPPSFRSQTLPSSSSSSLSSIIVPQTSTKHDHHSRSRIPFLLPKKNNKNKPSSSINMNMKPSSSANVTSDIVFKRSKSTATPRRTKLLDDDGDDAEGNFNTRKRNRFWSFLHLSSSSKVPSSSYNKKSEDKSSRADINSSPRISTVKPKFCSSVGRNCDMVVEEEEEEEASGSSSGSGGLDQEQRKVSRSRSVGCGSRSFSGDFFEKISTGFGDCTLRRVESQREGKGSKVISSSVVAGNGNIQHCMKERVKCGGIFGGFMMLNSSSSSYLVSGDDGRGSRGSWGWALASPMRAFSSKSSSKDSKNTSEKTPNLSAVPSLLTARG >RHN53215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:157273:158218:1 gene:gene28103 transcript:rna28103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding pseudobarrel domain-containing protein MSSSRKLDFDASSSSVDTKKIDVAEDVVAEKSEIVIVDNYREPVEVPPFLNVKRNSWEIIVNEYHVHPNHKLRLPHHLTYEGVLSSDKNIMLTQLDRDQDAVDAFGCEVVADEVDPHKRYIASGWHAFVKASQVEVGDRLLFSIENYDKNIYVRFVYESDIDSEYDNWSD >RHN64877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62635718:62636880:1 gene:gene27745 transcript:rna27745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAKPDPDLYGAKRKALTLDAPNDDPYAIGMKKKPKEEWSCELCQIKATSESGLNAHLNGKKHKAKEAGQKRKIDKCSRKSQKTAEKITDTVVVETDQQAPQPCLALEVMDETMVDKGLTESKKEEQLVETMVDNGVTKSNNEKLVEMMADNGVSITTSKNEKNPVEMKADKNVTESKIEEQLVEMVADNGVSITTSENEKKLVEMNADKDITESKIEEQLVEKSQKIGFSECRSDAATDEAWKESALAKRRKVGRLWCEHCQIGAFSQAVMEDHMKGKKHLKNMKKLHQNNASPTSTSSISQKTHLLINDTDDVNKETDQVMTLVGKGEPILKMENNF >RHN43355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45114396:45120429:-1 gene:gene49915 transcript:rna49915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MQWEQEGSVNQIEEEGSRLAPLITWINAVLPNFNLPLEISEEELRACLRDGSVLCTILDKLVPGSLEGSGSSNEPMSVERFLVALDELGLSGFELSDLEQGSMVPVLQCLQNLKAHFVYNAARENIRGCSRKRWDQPVLTSFEETDSRLKDASNFQSAVDGYVESDGIASLDHLGFKSNELLKLKQGLRVDISDAKLNELLTSNNLDSVSTQFLFDIVNRILSDIFERKNGDIPQAQRAACLLGKILQVIELRFSNQAESMKNQNNIFKAREGKYQTKINALETMAVGTTKENEVVTGWVQQLKFSVQLEKTKFEEKKKLEEQDFSQLKKDKVRNEIEISALKQDLEMAKRSHEEHVLQLEVQASESKAEYEKRIRELKCQLADAKTQVKELETFSESRYLNWKNKEHTYQSFLNQQFGAFKELKAVMKSVKDEVIKTKRSYLEEYKYFGIKLKGLAEAADNYHVLLTENRKLYNEVQDLKGNIRVYCRIRPFLSGQSQNHTTVEFIGDDGELIISNPLKQGKESRKLFKFNKVFGQATSQEEVFLDTRPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPNLSSKSDWGVNYRALHDLFHISQSRKNSIVYEVGVQMVEIYNEQVRDLLSSNGPQKRYPFPFLFPDPTTIVTALCTRPPFLF >RHN74853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37168989:37169541:1 gene:gene10979 transcript:rna10979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKILKYVQVMFIFLSLFLVVTDASTSSFLIKENTFVIECYTNTDCVHRVCKPPAAPKCLNCLRCICL >RHN77782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9390338:9391851:-1 gene:gene1272 transcript:rna1272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MYEDIEVFLQGSTLMPIRYSYKEIKKMTRSFKDKLGEGGFGAVYKGKLCSGPFVAIKMLGKSKGNGQDFISEVATIGRIHHTNVVRLIGFCVEGSKRALVYEFMSNGSLDKYISSREDTISLNYQKMYEISLGVARGMAYLHQGCDMQILHFDIKPHNILLDENFIPKVSDFGLAKLYPNEISIVTLTAARGTIGYMAPELFYKNIGGVSYKADVYSFGMLLMEMASKKRNLNPHAERSSQIFFPIWIYNQLADEREIEMDEISYEENINVKKMFIIALWCIQLKPCDRPSMNKVIEMLEGTIENIEMPPKPSLYPNEMIQEDLDINSNEIESENYGSTSLIDDEDATKSLLMNSA >RHN69001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40190992:40192942:-1 gene:gene17427 transcript:rna17427 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTLLRSTEDIIKSLIPPEDRHLVSNECTFHLQQLCSSG >RHN48712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49634899:49638946:-1 gene:gene43456 transcript:rna43456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein phosphatase methylesterase-1 MAAYLRNRNNSLLLTRFLNSPIHLRSRWIETIAYEESRAQPDKPYTSTAVIIHGFLGSSRNWRSFSRNLLASLSNSSPSSNWRTVCMDMRNHGKSTEQKLDPPHDLVNAAKDLANLVKAEGWSWPEVVIGHSMGGKVALQFAHSCKNSDYGDSVQCPKQLWVLDSVPGEVNIGNKSDEVRDVLMTLESLPSQIPSRKWLVSHLMGLGYSKTLADWIGTNLKKVGDHETWIFDLQSAKEMFNSYWDKSYWNLLENPPQGMEIVIVRAEKSDRWDQEAIERIQKLASQKGTDSVGKVSFCVLPNAGHWVHVDNPKGLLEIVASKMASL >RHN73526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17371161:17371978:1 gene:gene9349 transcript:rna9349 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTKIYHYMELLILLCMELFLALFIVSSIGQVAANFARYEELYNCQMM >RHN41973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34430073:34435278:1 gene:gene48354 transcript:rna48354 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLWPTQEKELDINARLPTLNQNSITKMSQSSPYLLSTQIEPRPEEESEVITFTTNMDLNEELGHAGQTFTKREEDLTAKKTYEENKQDPMVMRTKEKQEALNVFGQIILAIGDVIVEVQKGKVSLRHGNEDDVLNVLNMTENFSDFLSSYNVVIHNSFNELSMQDPLEKSSILQDPYKMVDVVELKISLYLKFIRHEEKLIM >RHN41951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34296921:34298793:1 gene:gene48327 transcript:rna48327 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKSMHRHNLFLHSALVLSRKIPCSKCGINVDIELPEYRRSALKRSTWHWRDSVDKV >RHN48200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45737063:45741272:-1 gene:gene42890 transcript:rna42890 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMYKKKQAHGRGPPREQNNKQENEMDIRKIMEDVQNFSNSHMTWKERKKVEDRKVVSLGGKPLKNQRLPLSVARPMMKKQKQREEKMLQERMILGRFGGKDGGSSSKKPAGKHKPEDRGLKLSEGRFRNGILDVKHLLKSTPTRGHDTGKNMSNTGKRKGSIWKHDKKGGGKKDQMF >RHN61590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36654790:36657670:-1 gene:gene24069 transcript:rna24069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MSGSGTATGCYKCGRPGHWSRDCPFTSPASNSNLNPNPNATTSTADPPPPTSTFKPSGPRSAVEKPKKPPRTRPKLTPELLLSDDGLGYVLRYFPRNFKYHGRGHEVRDLGKLLHLYSDWHSRLLPYYSFNQFVNKVEKVAATRRVKTSLRELRERVANGGDPSKLREPPVVDDVPDGEQENGEASHQDNEMFAEPETVNNIQEDLFNDIYDKATEEPSQPVQNVISVSTDPKSSTTEKTSNEVPNNGTSLSSSAEITAEQRARMEANRLKALERRAAKASIPQSS >RHN67097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23835379:23839262:-1 gene:gene15242 transcript:rna15242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (3S,6E)-nerolidol synthase MDAIYVKQALMCKQVHKKLVTSEDPTENFHLIDIIQRLGIEHYFVEEIKVALEKQFLILSSNPIDFVSSHELYEVALAFRLLRQGGYYVNAELFDCLKCSKKSLRVKYGEDVKGLIALYEASQLSIEGEDGLNDLGYLSCELLQAWLPRHQDHIQAIYVSNTLQYPIHYGLSRFMDKSIFINDLKAKNKWICLDELAKMNSSIVKFMNKNESVEVFKWWEDLGLAKEMKFAGYNPLKWYMWPMACFTDPCFSNERVELTKPISLVYIIDDIFDVHGTLDQLTLFTEAVNRWEMDGAENLPNFMKVSLSSLYKVTNNFAEMVYKKHGFNPIDTLKISWVRLLNAFLKEAHWLNSGILPTTEEYLNNGIVSTGVHVVLIHAFFLMDHAKGITKETLSILDEEFPNIIYSVAKILRLSDDLEGVKSGDQNGLDGSYLNCYMSEHQDISCEDVQRHVAEMILNEWKCLNQEILNPYVFPSSFTNFCLNAARMVPLMYHYKSNPSLSSLKEHVKSLIKSC >RHN68535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36308586:36310927:1 gene:gene16902 transcript:rna16902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MDLNHIQIAFRYCIRFRSIKNAKSLHSHIIKSGFCNHIFILNNMISVYSKCSSIIDARNMFDEMPHRNIVSWTTMVSVLTNSSMPHEALSLYNEMIESKIEQPNQFLYSAVLKACGLVRNVELGKMVHYHIFQAKLDVDIVLMNALLDMYVKCGSLRDAQRVFCEIPCKNATSWNTLILGYAKQGLIDDAMKLFDKMPEPDIVSWNSIIAGLVDNASSRALRFVSMMHGKGLKMDEFTFPSVLKACGCSDELMLGREIHCYIIKSGFESSCYCISALIDMYSSCKLLSEATKIFDQYFRNSSVSESLALWNSMLSGHVVNGDYVEALSMISHMHRSGVRFDFYTFSIVLKICMNFDNLSLASQVHGFVITSGYELDCVVGSILIDIYAKQGSINNALRLFERLPDKDVVAWSSLITGCARFGSDKLAFSLFMDMIHLGLQIDHFVISIVLKACSSLASHQHGKQVHSLCLKKGYESEGVVTTALIDMYAKCGDIEDALSLFGCLSEIDTMSWTSIIVGCAQNGRAEEAISLLHKMIESGTKPNKITILGVLTACRHSGLVEEAWDVFNSIETNHGLIPCPEHYNCMVDILGQAGRFEEAVKLISEMPFKPDKTIWSSLLGACGTYKNRDLANIVAEHLLATSPEDVSVYIMLSNVYAALGMWDSVSKVRETVKKIGKKRAGKSWIEISS >RHN52349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34195067:34197845:-1 gene:gene37013 transcript:rna37013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFHQNMKRMLLRHTTLFHRSTAAKTSSLPRFINSFHSHSSLAHFNKHLTCLMKTNQHSTAIALFNQNEYNNFITPCIITLTIIITCFFHLGHVSFSFSIFGKILKRGYGLDTVALNTVLKGLCVNGGVLKALEFHDEIVNNGFSLNEVSYGILINGLCENGRVNEAVNLLRMIEKEKEKEKDGFFVKGNVVMYSIVIDCLCRNGFVDEGFEFYNEMMGNGVCPNEFTYGSLIRGLCGVGKFLEGFGLVDEMIRRGLDVSVYVFTVLIDGLCKNGMLVEAREMFDEMVNRGYEPNIVTCTALMGGYCLKGNVDMARELFDAIGEWGFKRDVWTYNVFIHGYCKVGRVRDAVRVFDEMCREGVVPNIVTYNSLIDCLCKAGEVSGAWEIVKTMHRSGLTPDIVTCCILLDGLCKSKRLDQAILLFNQLVESGLTPDVWSYTILIHGCCTSRRMGEAMNLLRDMHLKNLVPHIVTYSCLIDGLCRSGRISNAWRLLNEMHVKGPLPDTITYSILLDALWKKQHLDEAVFLFNQMIKRGLEPDVMCYTIMIDGYCKSERIDEAINLFREMHMKNLVPDIVTYTILFNAVFKSGSNSYEWKFVNVIRDINPPPRVLKYLAALCKSEHLDYKCLASANC >RHN38812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2194225:2196363:-1 gene:gene44780 transcript:rna44780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MNVLSANVVQQLSFSFSKSLSSFEWENKNKNALFLTVTVAKPPSRSTVIRMGGGPRTYPGGVSKWQWKRMQAKKAKQLLKARLCRERQIYEMRKRAELKAAVSDLERPWEVVEKPPKLFSIKADEQLKVLADRFQKPGGFDLWTENDGPQLFQTPDELPSARFFPKGVVHSIKPYMKVTSDDLLEGSDVLENDGGEGYGSDDVHDGEGGSSSPLNYGRNEVNVGSRLQKNGNGRRYLSDNVDRSHDGERSSHLSAEGSELNVRGGLKNNGNGRRYLSEDVVRSHDGERSSHLSTGRSELNVRGGFRKNENGRCYLPEDVDQFHDGERSSHLSTRKTELNVRGGLRKNGSRYSLGDVDRPDNEDPYSSLNSGRTGSNIDARMRKHGNGRKFIPKGVDGSDDAERSSPSHARNGASFDGNFGNKGSARRALSNDGDAVRSNGSGDIRLRRKESGKRFMSKDVNGSNGMYAGRDASGRTHRGSNSIAGRRYGKYTQRSSNNVSRRVRDADSEVYDMGLQQDGSYQFLQNEQPDSTSW >RHN74567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34781880:34782656:1 gene:gene10656 transcript:rna10656 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQESTLLNLKACKEGLKGFDFICRTYEEKLKHLCQKLKEKCNGKSLSEEEIALEEYIMSGIDRSKVASMIYSIYRNNGKGIGFSEGKPNEISLKAYCECIKEGLKTVFVPEGAKNETVAQSEPKASSSKAKITSKPKNFKPTTMINYDSKTSKIKILKRSEPVPQSLMKPEAGILKLKSQRKKAVVFTENSEPKGIKPKAMSNRKQSNSQHKVQEVKSKTSNTNSKGPIRQWVPKSENVNIADMSKSKSKKKNHGT >RHN54354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8937204:8949789:-1 gene:gene29373 transcript:rna29373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein MMIDGTEDEEKFLAAGIAGLQQNSFYMHRALDSNNLRDALKYSAQMLSELRTSKLSPHKYYELYMRAFDQLRKLEMFFEEETRRGCSIIDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQNPVRGLFLRSYLSQVSKDKLPDIGSEYEGDADTVSDAVEFVLQNFTEMNKLWVRMQHQGPSREKEKREKERNELRDLVGKNLHVLSQIEGVDLEMYKDVVLPRVLEQVVNCKDELAQFYLMDCIIQVFPDEYHLQTLDVLLGAYPQLQSSVDIKTVLSQLMERLSNYAASSAEVLPEFLQVEAFSKLSNAIGKVIEAQPDMPTAGVVTLYSSLLTFTLHVHPDRLDYADQVLGACVKNLSGKGKIEDKKATKQIVALLSAPLEKYNDIMTALKLSNYPHVMEFLDVPTNKVMATVIIQSIMKNGTRISTSDKVESLFELIKGLIKDSDGTPDDELDEDDFKEEQNSVARLIQMFYNDDPEEMLKIIETVRKHILTGGPKRLPFTVPPLMFSSLKLVRQLQGQSQSQEENPFGDDASTSPKKIFQLLNQTIETLSGVLAPELALQLCLQCAEAANDCELEPVAYEFFTQAYILYEEEISDSRAQVTAIHLIIGTLQRMHVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDHDNMKDGERVLLCLKRALRIANAAQQMANAARGSTGSVMLFIEILNKYLYFFEKGNPQVTVAAIQGLIELIMNEMQSDTATPDPSADAFLATTMRYIQFQKQKGGTVGEKYEPIKV >RHN57189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37197391:37203698:1 gene:gene32697 transcript:rna32697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein 17, Vps17 MMNQDHVLRASQDDEMESLVLHDDAENGGDSSSGNVQQPPQSPKAPFNSFLDPPSYAEAIFTSFDSNGHDQTLENLTRAGSGSGTESDSIHISVSDPQEEQEVTNSLVPGGSSYHTYLITTRTGKSEYGVRRRFREVVTLSERLSEVYRGYVIPVRPEKSSVERKVMQKEEFVEQRRLALEKYLRKLGLHPVIGKSEELRVFLQVQGKLPLMRTTDVASRMLDGAVRLPRQLFGAESGVVDLNDVAQPAKSGRDLLRIFKELKQSVSNDWGGAKPLVVEEDKEFMEKKDKLMEFEQQLSNVSQQAESLVKFQQDMGETMGELGLAFVKLTKFETEEAEFESQRVRAADMKNVATAAVKASRLYRELNTQTIKHLDKLHEYLGTMLAINNAFADRSSALLTVQTLSSELASLHSRIEKLEVASSRIFGGDKSRMRKIEELKEAVRVTESAKICADREYERIKENNRSELERIDKERQSDFQNMLRGFVVNQAGYAEKMAAVWEKLAEETSTYSSDSS >RHN49381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54420107:54422069:1 gene:gene44203 transcript:rna44203 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFPSCFGENGVQVADSSSSSSSAARNAQNNMVTCVYQCRIRGRSCPITVNWSKNMVGQLLTVGIHDCLCKVDVKPWVFSKKKGCKSLEAFSAKIDVFWDLSSAKFGSGPEPLGGFYVGVVVDRQMVLLLGDLRKEAFKKTNAVPLPCSSNAAVLVAKKEHVFGKKLYATKAVFCNNGQIHDLVIECDTASGVSDPSLIIRLDSKIVMEVKRLRWKFRGNHTILVDGLAVDVFWDVHNWLFGASLADAVFMFRTCLSADKLWTTQAQPLSSDDDSLLQWSFSERFSDTRFSDLGFSLILYAWKNL >RHN62447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43483293:43487228:-1 gene:gene25026 transcript:rna25026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MYVASSMRKSFKDSLKLLQADIQHANTLASDFPREYDGACLQMRMSYSPAATLFLFFVQWTDCHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAVIYPSLLQLEKGVTDSEDKKQKAVCMERYRRRDDDDCRQSSDIDIERDDECGICMEMNSKIVLPNCNHVMCLKCYREWRTRSQSCPFCRDSLKRVNSGDLWVYTDRRDVVDMATVTRENLRRLFMYIDKLPLIVPDSIFDAYDSHIR >RHN46479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32115380:32119542:-1 gene:gene40970 transcript:rna40970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylinositol-3,4-bisphosphate 4-phosphatase MFSLNRDLSFQTAELKLKDSCAKLSLISRRCTRRLGTRMWRRGANFEGDSANFIETEQLLEVEEFKFSFLQVRGSIPILWEQIVDLSYKPHLRVISHEQTPNIVDRHFHDLSQRYGEVLAVDLTDKHGEEGKLSAAYAAEMKNQQSARYVPFDFHCHCRGSNFDDLKILYDQISDDFEKQSRYFMIDRKGDILEEQKGIMRSNCIDSLDRTNVTQCYMAQKALDLQLQRVGVLTCSECISMFEEEYGKFRILWAEQGDEISLEYAGTNALKGDLVRYGKKTLSGLIKDGMSALSRYYLNNFHDGIRQDALDLISGHYTVSRNIPSHFQRNSFEPLTYLPVASALIIGGLTVTTFSLQQVGRNAQQYVSSVLCAGITAGVMAIVKANGRQFCSRPRLCGLL >RHN67594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28449999:28456062:-1 gene:gene15797 transcript:rna15797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphate-transporting ATPase MSSRALLFSFSPPSPSSSSSSSSSPQSRTRTLFNHSPYPFPLHLLCHRRGRGKVWADVKSEKHGPEASSKYQDLAVHKKVVSGLDGVDVEEEVTESSSWWEVFPKRWVIVILCFSAFLLCNMDRVNMSIAILPMSAEYNWNPTTVGLVQSSFFWGYLLTQIAGGIWADTVGGKQVLAFGVIWWSVATILTPVAAKLGLPFLLVARAFMGIGEGVAMPAMNNILSKWVPVAERSRSLALVYSGMYLGSVTGLAFSPFLIHQYGWPSVFYSFGSLGTVWFCIWLSKAHSSPLDDPEMRPEEKKLIATNGFSKEPVKEIPWGLILSKPPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAISANFGGWIADTLVTRGVSVTRVRKIMQTVGFLGPAFFLTQLSHIDSPVVAVLCMTCSQGTDAFSQSGLYSNHQDIAPRYSGILLGLSNTAGVLAGVLGTAATGYILQHGSWDDVFKVSVGLYLVGTVVWNLFSTGEKIID >RHN73509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17215982:17217334:-1 gene:gene9329 transcript:rna9329 gene_biotype:protein_coding transcript_biotype:protein_coding MFRARSLLYKMISFVPYNFMLPFMSIDREPPEGVASLVPETLGVVVFEISM >RHN78838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19025076:19026578:1 gene:gene2497 transcript:rna2497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MNSVDEVAVAPVGPPSPLEWKFSQVLSERVAGVELQRVDIISAIEFDKSGDHLATGDCGGRVVIFERTNTKDHGEFRYKTEFQSHIPEYDYLESLKIEEKINKLKWCQTTNGALFLLSTNDKTIKFWKVHEKKVKKIAEMNVDHYKSIGGIPSLRLPVVSSHETSLVAQCRRVYARAHDYHINSISNNSDGETFISADALRINLWNLEISKPSFNIVDVKPANMDDDLTEVITSAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDSHAKLFEAPRSSSLFKEIIASISDIKFGKDGRYILSRDYMTLKLWDINMDSGPVATYQVHKYLKSKLKEYLYENESILDKFECCQSGDGLRVATGSYNNLFRVFGCAPGSTEATTLEAGKIPTGRQVPIPSRLSRSLGNSITRVVGQGAENNRVDANGNSFDFTKKLLHLAWHPSENSIACAAANRLYMYYAKEKEMIEDDIHAF >RHN52534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36444525:36445601:1 gene:gene37223 transcript:rna37223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MCRMEEHITLILHHGGDLVRNENRRLQYVGGEFCVWEKIYVDELCLWDIEKMVKHCKSYFKVSKLGYMKPYEGVANDLNICLTPLTTDQHILDILDGNEEPVCGSQMKKTYNDTQCGRCGLLGHNARSCMMQGVSRRPKENPGNVDAVDENAGNVDVVDENAGNVDAVDENAGNTPNEVLENAPNFVPGNAPNEVPENVVPTIDPNEVPANVVPENAPYFVQHNVVAHAGQSLAPRRYGLRGPVPSANRPKNTPTRGPAPAHPTPAGMIRVPYPTYGPPGSTQPQFMEFIPTPGFSKK >RHN72421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7487012:7487471:1 gene:gene8129 transcript:rna8129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T2 MDTKCFHEAKKLYTSSFETTYRSWFVAFKHSVASTPTFHICAICFQIYVGGTCDSFCQRPWEKHGICTPFSQYDYFCHTLYLWYIHNVTVMVDEKNIKPGTPMITNRSTQI >RHN50387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6663986:6668673:1 gene:gene34665 transcript:rna34665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MQIPTDFQPHVSYIQRKRAFDELSNQYLIKQRGFSTNWRDRLPVIYSRNWMRNEISKLIQFAVNPINNEKIATVLEWKLFVSADSKEAYMNVETLNQRVAAELDDDAISFADAYYGVQPSVAHELPRLPESIPTIADTDMLYNVTISGDGNYVPQQHAHNFEIDMTMGKPLQPKDFSDFFSECQSQKLDIKVNLAHDISNKRRKMESGCDVSIQHKDVIIIDDDDEEDIQDRTVFSQEGGDDLERHGMTDKTNHNPMEIDIGANDNMHAFISQVPITIDVEEKEDRNGFNQEKIDADQVNELIIKKIDADQVNDAVISKVPIPIDVEQKEDKIGFNQEGTDADQVNDDVSLIDMFTHDQITEHITSLKKQSVQSTTEVDNDTCNLCGMNELPFSPVQIFCSSCGKCINRNVNYFGKKGEEFDPVCCFCSDCYKMSKGGHITFNGTSVSKTLLEKKTNDEVINEPWVECSKCNKWQHQICALYNKDLDCNSVYTCPLCLLKEIENGKQIPLKEIWTDFGAKDLPKTVLSDHLEKRLFERLMQEREERQKIEGNENFDEVKVTESLTVREVISVDKQLTVKKQFQDITPEENYPAEFSYRSRVILLFQKIEGADVCIFAMYVQEYGSECGNPNQRCVYISYLDSVNHFTPRRQTTSGEALRTLVYHEILIGYLDFCKKRGFTTCYIHACAPKIKGDDYILNCHPKTQKTPKDDKLRNWYISMLTKATKENVVVGLTNMYDHFFVSTETRYSKVTTARMPYFDGDCWSGAAMDQAVIIEKECGSDYGNALKKVLKSRSLKAMGYVNPPKAKAKDILVMQKVLVDDIPFNTKENDIILENALFENRSNFLSFCQKNHFQFDTLRHAKYSSMMILYHLKSNVLSTV >RHN53227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:238375:239335:-1 gene:gene28115 transcript:rna28115 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVRAYAEVLRLVRRLPKDSRGYYAKYARENFVNYREVDPSDSTTLHDLFQRTYTHSLWVLHKYSVDESVADKLKVICCCD >RHN57563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40061868:40073494:1 gene:gene33131 transcript:rna33131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rho GTPase activation protein MSASLAAFERPRPGASNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKNDPSTLPQRGGEVNMTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEQALAQAPSAALVMGHNGIFRNDTTDSIDGSFHQWRDKRPVKSLVVGRPILLALEDIDGGPSFLEKALRFLEKHGTKVEGILRQSADVEEVDRRVQEYEQGKVEFDAEEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAYRIDRKEARINAMRCAILETFPEPNRRLLQRILKMMHTIASHSNVNRMTASAVAACMAPLLLRPLLAGECELEDEFDVSGDSSAQLLAAANAANNAQAIITTLLEEYENVFDEENIQRCSISADSRVENSGSEDSTDDDNIDVKENGYHDAENENDQETDDDADRVHSGKLSESSGYAGSDLYDYKAFGGDDSDVGSSTSNHAQAENSNLNTVPDTHLSEDKSKQRKVNENVVDDDPPIVLPSTESYRSMGEILSSMDPGNHLPVIEAQSGTGKQTTGKTSSGTSFSTKRSTFWGRSNPRKSPSVESVDSSGEEELAIQRLEIAKNDLQHRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQISNSRGMDSKTKAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSVTDVGDRYQHAQNLPQPRFLQQDFDSTLAYCNHERKQRTEESGLGSDWRNIKGQVLASGNGSRQPSRKPFIDSSPSDSKSTEASTSMSVDELGVVDSGSVPSTSRAAEVTEYNGRHPSVASSTLVELTTRLDFFKERRSQLMEQLHNLDLNYGSTTSQDMVYKPTSPSWS >RHN58705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4184319:4187543:1 gene:gene20638 transcript:rna20638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDFSGFLKELPDFSKATNLKVLSVTACDNLESVHPSIFTLEKLVHLDLSSCVSLTTFTSNSNLSSLHYLDLSNCLKLSEFSVTLENIVELDLSGCPINALPSSFGCQSNLETLNLSDTEIESIHSSIKNLTRLRKLYIRFSNKLLVLPELPSSVESLLVDNCESLKTVLFPSTVAEQFKENKKRVEFWNCFNLDELSLINIGLNLQINLMKFTHQHLSTLEHDEYAESYVDYKDNFDSYQAVYVYPGSSVPKWLEYKTTMDGMIVDLSPLHLSPLLGFVFCFILPETKEYCKKVECNITAIDVEGDGEKDGFNIYTDLKHVYKTPSDHVCMIYDQPCSQHLTRIAKNQTSFKIKVTAWTIPWFNEEDEPRRELPWKYLGGKTSVKIGQLQSPRKLSLYDNAFVDSITFSLGFLPNLRGIYLFNDKLSDSIPPSVANCPMLQSFDVSHNLLSGRIPFGLANSTRIFRINLSYNSLSEWTNEVFDLELMRDGPTIGDELLNTLKLALHCVDPSPSARPEVKQILQQLEEIKPELVEVEFDDDGGKVQTNE >RHN38605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:663763:666004:-1 gene:gene44562 transcript:rna44562 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETIPQIQHIINIPEIIEPMLHEKCCIYKVPHHVLKLNEDAYTPKFISIGPFHSQNPQLNQEKQKQRYFHAFWKRLSNKQTLALVQYKAFLEENRKNICNCYSKQELCTDEKFLEMILLDSVFIMELFLRKVKESEQENDFMFKTSWIYKMTQRDLLLLENQIPMFVLEELHRGVLLVENNGCNSNKENCVSFIDLAFKYFEDYYPQKLISQKLELTQNCKSCNHFTDLIRYTYLPREIQVKGVNPSKSFAPFRSEYVLRTATKLSEAGISFEKLQGRSYCDLKFKKTPILSWFLCLGCVPCFKFVESKLQIPHLNVDQATECVLRNLIAFEQCHYSEQPFICNYVSLIDSLIHTHEDVELLVDTEIISHELGSHAELATLVNGLCKYVVVTSNCYGKIIKEMNEHYNNWWKHYMGMLRSVYFRDPWRISSTVVGIAIFLFAAVNFLRVIGVFRLK >RHN38976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3183996:3195346:1 gene:gene44957 transcript:rna44957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MDRRRYRPRRPIPSPPSTPSPPLHSSSSIPRNEWLYDVFINFRGKDTRNNFVSHLYAALTNVRINTFLDDEELGKGNELGPELLQAIQGSQMFIVVFSENYARSSWCLDELLQIMECRANKGQVVMPVFYGISPSDIRQLALRRFGEAFNNNTDELDQLIYMALSDASYLAGWDMSNYSNESNTVKQIVSQVLTKLDKKYLPLPDFPVGLESRAEQSIRYLRHNSDGVCLVGIWGMGGIGKSTIAKVIYNNLCYEFEDQSFLANIREVWEKDRGRIDLQEQLLSDILKTRKIKVHSVEFGKAMIKERLVTKRALVVLDDVSEFDQFNSLCGNRNGIGPGSIIIITTRDVRLLDILGVDFIYEAEGLNSVESLELFSQHAFRETSPIEGFLILSRYVVAYCGGLPLALEVLGSYLFKRRKQEWQSVLSKLEKIPNDQIHEKLKISFDGLRDHMEKDIFLDVCCFFIGKDRAYVTNILNGCGLHADIGITVLIERSLIKIEKYNKLGMHDLLRDMGREIVRESSPEEPEKRSRLWYHEDVVDVLTDHTGTKAIEGLVMKLQRSSRVGFDAIGFEKMKRLRLLQLDHVQVIGDYECFSKHLSWLSWQGFPLKYMPENFYQKNLVAMDLKHSNLTQVWKRPQMLEGLKILNLSHSMYLTSTPDFSKLPNLENLIMKDCQSLFEVHSSIGDLKKLLLINFKDCTSLRNLPREIYQLTSVKTFILSGCSKIEKLEEDIVQMKSLTTLIAAKTGVKQVPFSIVKSKNIGYISLCEYEGLSRDVFPSIIWSWMSPNMNSLAHIPPVGGMSMSLVCLDVDSRNLGLVHQSPILSSYSKLRSVSVQCDSEIQLKQEFRRFLDDIYDAGLTEFGTSHGSQILDLSLRSLLFGIGSCHIVINTLRKSLSEGLAANSSGSFLPGDNYPSWLAYRGEGPSAIFKVPEDTDCRMKGMTLCVLYSSTSKNVATECLTGVLIINYTKFTIQIYKRHTVMSFNDEDWQGVVSKLGVGDNLEIFVVIGHGWTVKETNVYLIYDQSNAMEIESSNTMEVDPSTDAKMEPLHEVEFQPSPNVKTETSAEVEIQPSLNLKTEPSAEEEVQLSPNVKMEPSLVINNERLVVKNEPLPKTSRKIFTRLAKRVGKCLCLNQN >RHN57368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38419891:38423813:1 gene:gene32899 transcript:rna32899 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:lyk5 MEQPLKFRLSLLFLLLVLQSITSESKCSKTCDLALASYYIRPGTTLANISKVMQSNVVSKEEDILSYNTAITNIDAIQSDTRVNVPFPCDCINDEFLGHTFLYKLRLGDIYPSIAERTYTNLTTEEWMERVNSYPGTDLPVSAMVNVTVNCSCGSREVSKDYGLFITYPLSSKDTLESISKDTMIEAELLQRYNPGVNFSQGSGLVFIPGKDENGFYVPLPPRKGHLARSLGTAGISIGGLCMVLLLLLCIYVRYFRMKNGEEKSKLSPDDSMTPSTKDVDKDTNGDTGSRYIWLDKSPEFSYEELANATDNFSLAKKIGQGGFGEVYYGELRGQKIAIKKMKMQATREFLSELKVLTSVHHRNLVHLIGYCVEGFLFLVYEYMENGNLNQHLHNSEKEPITLSTRMKIALDVARGLEYIHDHSIPVYIHRDIKSDNILLNENFTGKVADFGLTKLTDAASSADNTDHVAGTFGYMPPENAYGRISRKIDVYAFGVVLYELISAKAAVIKIDKTEFELKSLEIKTNESIDEYKSLVALFDEVMDQTGDPIEGLRKLVDPRLGYNYSIDSISKMAKLAKACINRDPKQRPKMRDLVVSLMKLNYTIDDESRTGSAELSLAVEHDSN >RHN59391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10180458:10181915:-1 gene:gene21417 transcript:rna21417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MALIVDQQSNFKHFCKICKKGFGCGRALGGHMRAHGIGDETSQMDDDDPASDWEGGNVPPSNKRMYSLRTNPNKLKSCRVCEHCGKEFFSWKSFLEHGKCNSDDADEEFIISSPESDAMADDGDGVSARRGCGWSKRKRSMRTKVGSYNNNYNNPSSEEEDLANCLMMLSNAIVDPLEVEPEESCASASKDEERRNPMNFIAPLSYRIPYENNNNNNKAKGVAKGLFECKACKKVFNSHQALGGHRASHKKVKGCFAARLDQNPDDSIVEDDVITQDEFFPSKPNSTLQYDHGTSNNPTLMASSSKRKSKVHECSICHRSFSSGQALGGHKRCHWITSNAPDTSTLARFQQFQDQIEQIPKFDNSSEPIDLKLDLNLPAPTNTQIYLQPTWGANSSATKDNKIKEDNNNSQQNQNKNLVDQNNETQEKNKNNNLKTLVQNVDNEDDSKVKLAKLSELKDVINIGGSSSPWLQVGIGTTTDVRNDT >RHN78980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20563326:20567817:1 gene:gene2655 transcript:rna2655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative short-chain dehydrogenase/reductase SDR MGKEEVELEPWQKLDDKVVLVTGASSGLGYDFCLDLAKAGCRIVAAARRLDRLHSLCHQINNLYGNGNLLNLRAVAVELDVSADGASIDKSVHKAWDAFGHIDTLINNAGVRGSVKSPLDLSEDEWNHVFKTNLTGSWLVSKYVCKRICDAHRKGSIINISSTSGLNRGNLSGAVAYASSKAGLNMLTKVMALELGAHKIRVNSISPGIFKSEITEKLVEKAWLNNVITKINPLRSLVASDPGLTSLARYLIHDASEYVTDQ >RHN54911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13446333:13447554:-1 gene:gene30010 transcript:rna30010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain, type 1 protein MFIGISGADVTVFDPSSTGTSGGKVVISGTPDQTFAAQSLLQAFIQTAQAS >RHN44238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2679130:2681494:-1 gene:gene38331 transcript:rna38331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQIYMFVYVLIIFLSLFLVIINCTPIPCNTPADCPKRVCIYPLRAKCINFNFRFELKTSSKEKKIEKSTKRQKKEITRLQLAMASSKGEQFQNATKITRHQLAMASRRRAYSPWRMEGLARRVRAV >RHN55726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21777733:21777978:-1 gene:gene30956 transcript:rna30956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I MSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCRRCESACPTDFLRVRVYLGPETTRSMGLAY >RHN65221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:324013:325981:-1 gene:gene13040 transcript:rna13040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MKHFIFTFTCIWLSLSATKCLSQVLPRQPEGQQVPCFFIFGDSLVDNGNNNGILTLARANYRPYGIDFPQGPTGRFTNGRTFVDALAQLLGFRAYIPPNSRARGLDVLRGVNYASGAAGIREETGSNLGAHTSMTEQVTNFGNTVQEMRRLFRGDNDALNSYLSKCIYYSGLGSNDYLNNYFMTDFYSTSTQYTPKAFASALLQDYARQLSQLHSLGARKVIVTAVGQIGCIPYELARINGNSSTGCNDKINNAIQYFNSGLKQLVQNINGGQLPGAKFVFLDFYQSSADLALNGKSMGFDVVDKGCCGVGRNNGQITCLPLQQVCEDRGKYLFWDAFHPTELANILLAKASYSSQSYTSPINIQQLAML >RHN48775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50118198:50118806:-1 gene:gene43528 transcript:rna43528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MYQPQQPRSAVNSCLCLILSIMTAIVVSLGVIILIMYFIFRPRYPEIRVDTAQLTSLIVTSNQQYLTAKWDITFIASNPNKKLDITYNAVSVGVFYGEKKDNFGFLAKPHIQSFYQPKKSKTLFGVHVEVVDKLVGSAIVKGITDERFRGSVKFGVVFNAVIKRKGLFQPKERALQVTCSPLNFVPSNTPTWVLQHPVKCEI >RHN75764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44857340:44872235:-1 gene:gene12010 transcript:rna12010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipid-translocating ATPase MKRYVYIDDDETSHELYCDNRISNRKYTVLNFLPKNLWEQFSRFMNQYFLLIACLQLWPLITPVNPASTWGPLIFIFAVSASKEAWDDYNRYLSDKKANEKEVWVVRKGVKKLIQAQDIYVGNIVWLRENDEVPCDLVLVGTSDPQGVCYVETSALDGETDLKTRVIPPACMGIDDELLHKIKGVIECPSPDKDVRRFDANMRLYPPFIDNDVCPLTIKNTILQSCYLRNTEWACGVAIYTGNETKLGMSRGIAEPKLTAMDAMIDKLTGAIFIFQIVVVMVLGIAGNVWKDTEARKQWYVLYPHEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSMYAKFIDWDQKMIDLETSIPSHATNTAISEDLGQVEYILTDKTGTLTENKMIFRRCCISGISYGNENGDALKDVELLNAVSSGSSDVVRFLTVMAICNTVIPTRSKTGDILYKAQSQDEDALVQAAAQLHMVFFNKSGNILEVKFNTSILQYEVLETLEFTSDRKRMSVVLKDCQNGKILLLSKGADEAILPYARAGQQTRHFIEATEQYAHLGLRTLCLAWRELKKDEYQDWSLMFKEASSTLVDREWRVAEVCQRVEHDLEILGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEVCRSLERVLRTMRITTSEPKDVAFVVDGWALEIALKHYRKAFTELAVLSRTAICCRVTPSQKAQLVQILKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLSEETVLQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAIVVFIISIHSYAYDKSEMEEVSMVALSGCIWLQAFVITMETNSFTILQHLAIWGNLAGFYVINWIFSALPSSGMYTIMFRLCRQPSYWITIFLMTAAGMGPILAIKYFRYTYKSSKINLLQQAERLGGPILSLATIEPQLRSMEKDVSTLSIAQPKNRNPVFEPLLSDSPNSTRKSFGAGSPFDFFQPQSRLSLSNNYTRNSKDQ >RHN45494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21434042:21435659:1 gene:gene39843 transcript:rna39843 gene_biotype:protein_coding transcript_biotype:protein_coding MWGMFQPKGKSQQAIVKVFYTLNAWLRFSFQIVWLCIGCAENTHSLQWSSLKA >RHN73230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14558211:14559483:1 gene:gene9024 transcript:rna9024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MFKVFLFRNAFAISTTSFSIRFLTTTITSDSNSFTVSYLIHKFGFSHEFALKASKQLYFKTSQKPDSVLNFFKNHGFTDSHIRNVIKREPWLLSCDTQKRILPKFQFLLSIGASSSDIVHMVRCNPKFLELSLKNNQIKFEYFLSKGASSSHIISLLTSNPQILQSSLDKRIIPLFELLRMFFKTNKDTIVCLIRHSKWVASYPHHLIVANINLMSDFGVSHSVIARLLQIKPSIFCSKDLIKSLEEVKGLGFHPPITTFGAALIAKKGMSKKLWDEKVDVFKKWGWSDEDVIRAFRGRPDLLLTSIDKINLVMSFWVNQLGWDSLALAKRPHIFSYSLEKRIIPRASVLQYLVMKGLRKKNASLVAPFDYSGKMFLSKFVFSFKEESDYLLKLYEEK >RHN60683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29391515:29391925:-1 gene:gene23034 transcript:rna23034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MVVVGGILKLKNNVFIKLHKTLLMGRNDYKSRYVAKDVKKGHFVVIAKDEDEDEAKRFIVPLSCLANPIFVSLLEQAAEKFGFNGDGALTIPCRPNELKMLLVQQLQDEGSYCSDHVMFICYQRRPSGYFKLKQIV >RHN63063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48056001:48056732:1 gene:gene25718 transcript:rna25718 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSYSSSKSSYISKNTKKPNGSIKHECPCQTHYSWLHSVRKSPVKTWNKKLPIAPMAPTPAKVYKVDPINFKELVQSLTCAPQFIPSQPHHKLDLQSTTNHTIANDSVPPSLPMKNFTNKDTVEVSPPLEPVSTTNSWYQYFQAEYFGKNNDQEEEVITPSLLELNLLSPTSFGNWCFVPPIITPRV >RHN60274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24508232:24508660:-1 gene:gene22558 transcript:rna22558 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDDELDGVLADQVLKFDAMKKELEGKLAEMKKLMEEEIETVKKESEMEISNMNKILEAQVHLADEENKENLLEVKKNVDVEIASFKKIAMEELFLVKESLDDEVSLLKEELGWSNSSLWKLKLVVLMMMFMLGWLAFLKS >RHN62055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40348402:40353056:-1 gene:gene24585 transcript:rna24585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine-rich element binding protein family MEGNSGGGGGGGGGSSGGGGGGGADVELLCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPFSGISWFLDLFNYYVNSEDQELFSKELQLDTKVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSSRDEGWAAFRNILAEINEASRLFLLPNQQNSESSERLLSDDVGAGFISGHNTQPATSSELNVDRSVDLPAQDEIGNLGVSKVIRADQKRFFFDLGNNNRGHFLRISEVAGSDRSSIILPLSGLKQFHEIVGHFVEITKDRIEGMSVANVRTIDPPQR >RHN44292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3261428:3262057:-1 gene:gene38392 transcript:rna38392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MKIIHILFLFTFFSFTISQAFVNDFCVADLKAPNTNSGYPCKPLASVTSDDFVFHGLVAGKNNNTFKLGATLASVTNFPALNGLGISAMRVDIGEGGSAPMHTHPDATEFIILVQGEFTAGFITPTSVYSKVLKPGDLFVVPEGMLHFVLNSGKGVATAYVFFSSENPTIHLLDFLLFGNKLPSNLVSQTTLIDVDQVKKLKAHFGGSG >RHN45797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25729607:25730113:1 gene:gene40201 transcript:rna40201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MIDISLAGIVDLGMAYQNPIALKFSILIIVVILAFEAREITAGLYSRVTVTIINGVQKDPYPTDITLHCQSKQDDLGFHILKLEESYVFSFKPRFPTGTLFFCSFTWKESPQRHYIDIYDFKRDKCKNCTWRMNKGGGCRDSDGTGAFDDCMPWKSVELMDVNNTSKM >RHN76101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47695726:47698046:1 gene:gene12379 transcript:rna12379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MDKGVVDPLHEQSKSEFTSTVLGFINKFLMEDDDSEEDYNMFQHHEHEGSSTVLGSINQFLMEEDFEKEYTQFQDSFALQLTEKSFHDVIAHTPLPPPPPPTAVTAITTTLPSSIQHEYQQNYNFVDSPNFSDYSLSSDSTSSFELDPIIDSYNYNYNPFLLPNTPPFSPNNFVSQSNSTIFPSFNNALSHEVLQTENFEEEHFLNVSQNGSEQVYVDDSGELSELFDKVLVLGTKFTKGPLQNTSFQQNEELSNRFYGSRRQRSYEEVVDLRTLLMLCAQSISCNDISNANQLLNQIKKHSSPTGDGTQRLAHFFGNALEARLAGTGSHVYRALSSKKKSAADMVKAYQVYSSACPFEKLAIMFSNDAILNVAKETESLHIIDFGVGYGFKWLGFIYRLSKRSGGPPKLRITGIDLPNSLERVNETGLRLSSYCKRFNVPFEYNGIAKNWESIKVQDFKIRKNEFVAVTCVFKFENLPDETVVSENPRGAVLDLIKKANPNIFIHSIVNGGYDAPFFVTRFKEAVFYYSALFDMLDNNNVEREDPVRLMFEGDVWGKDIMNVIACEGCDRVERPETYMHWHSRHMGNGFRSLKLDKQIINKLKCKLRDDAYNSDFLFEVKENWMLQGWKGRILFGSSCWIPT >RHN53123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42164317:42168700:1 gene:gene37892 transcript:rna37892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MGSRKNNNQTNNTNMDNNNNNNNNHLRFEDADEVIEDVAETSNLDQSMGGCDLDDSNDKTSADYYFDSYSHFGIHEEMLKDTVRTKTYQNVIYQNRFLFKNKVVLDVGAGTGILSLFCAKAGAAHVYAVECSHMADRAKEIVETNGYSKVITVLKGKIEELELPVPKVDIIISEWMGYFLLFENMLNSVLFARDKWLVDDGVILPDIASLYLTAIEDKDYKEDKIEFWNNVYGFDMSCIKKQALMEPLVDTVDQNQIATNCQLLKSMDISKMSSGDCSFTAPFKLVAARDDFIHAFVAYFDVSFTKCHKLMGFSTGPRSRSTHWKQTVLYLEDVLTICEGETIVGSMTVAPNKKNPRDVDIMLKYSLNGRRCNASRVQYYKMR >RHN61069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32689697:32693185:1 gene:gene23482 transcript:rna23482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator A20-like family MEHEKTECQAPPEGPILCINNCGFFGSAATMNMCSKCHKDMMLKQEQAQLAASSLGNIMNGSTSNTEKEPVVTATSVDIPAISVEPKTASVDIPAISVEPETISKPFLFGSGSEESDDPKPKDGPKRCSNCNKRVGLTGFNCRCGNLFCAVHRYSDKHDCPFDYRTSARDAIAKANPVVKAEKLDKI >RHN70877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54702152:54705675:1 gene:gene19508 transcript:rna19508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyl-tRNA hydrolase MLSNLKSTEQKQQQKQKGEWLSGSFKPENFIPGLVIGFICGLLIDLSKPTRNHLSNKIFSSTKLQNQLSVSSNGADQELKMVLVVRQDLKMKSGKIASQCAHAATGMYAELMRSNRSLLRQWEQCGQPKIVVTCKNQQEMNKLTEAAESIGLPTFVVSDAGRTQEHALIRKASIK >RHN48071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44739816:44740501:1 gene:gene42743 transcript:rna42743 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQTAPLNDQAPQVTTEPPPVQNDLTETAQLAEPANNVAPIVPTKSRHKKSKTVASKYLSAKPKNVKTRDVNSLSEVVEPVNNYVSAQAANHNVNAQAAKVHRKKKHAEMVYDVNHLKKKAKTIMTWHGRRCEPSNQLSAAKVPKYNLNNLRRSGRTCYFGPQPKPGAAGTMETNPIEIGKEDGELTQEN >RHN66304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10527296:10527674:-1 gene:gene14257 transcript:rna14257 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWCWICAHNSFCICFSVCEVFLCCVRCLEIRTCDVDYDALLCELSLSF >RHN79243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26491309:26495118:-1 gene:gene2998 transcript:rna2998 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MNENKIDYLGSVQQNHILNGDFNSEFGNCSSQYFDMRNASNIGNFSQPLVMEQSSYIVQSQNQNQGQGKSSSSSSSSTIMRSFESPTSAFYATEICMGFPQYDYQVGNESSNPLLISQFSNKVNDLEFPLYQRENHYLDSTNQSSHNFELSNLNTLQPILRSPEKSNRIECGNFPRENYLSVEQHKFFIDDAASVSMSPLIHSNGNQDHKVSCGSYDFPGSQLNFSYQQDKLSPTMSTGNVSTNSGNPACNGSSVSSKTRIRWTQDLHEKFVECVNRLGGAEKATPKAILRLMDSDGLTIFHVKSHLQKYRIAKYMPEPAQGKSEKRTHVENVNLDAKSGLQIREALQLQLDVQRRLHEQLEIQRKLQLRIEEQGKQLKMMFDQQQKTNSTCQLNTQNLDNTPNNDTPISPKDIEVTIFEGSHSQYS >RHN50257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5574444:5576925:1 gene:gene34527 transcript:rna34527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein DnaJ, cysteine-rich MDNITASEIAGLAVGVLLLSATIAAPKIDTFFSSSQRSSLGLCKRCGNVRRTACAKCKGTGTIKEGGLLSFNLVDDLYETIGNRESQVKKIACDKCQAKGYFPCPECSKL >RHN68756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38297098:38300813:1 gene:gene17146 transcript:rna17146 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVAHQPQGLYVTSSTRHLSWSKRMKLKQCLTKHHMIGRTDWHHLLKQNICLSVGPPCFCVSKIKPLRISCFQGRTQNDNSGSRANWLRAPKTSVGLEESGDTHNVPFSYASEAGDNLATSSAFHGLFKKWLKMLRTQSSCQEVEEVFGRPTTPTVLPETLQMTHSKDGSEVLKLALSHFLALDAAITIPLLIFVPLYLAVNVKYGAEVSKELTPLWVFGPLIVALHIIIIRGLCALYASSFNRTVEILRKVPSWCILANYYIFGGGLKEQIAVYLLRPISSLKHIDYIQLTRRILKVLQEWLMDKYLDYVESIWPYYCRAIRFLKTSNLI >RHN41156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27641403:27642226:-1 gene:gene47446 transcript:rna47446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MYLSFPSSIIHTHYGKMVNLSSHLFLFSLSVHCCVIVCLAANTKNITTDQYALLAFKSLITSDPYDVLANNWSTSSSVCNWIGVTCDERHGRVHSLILRNMSQGGTVSPNLGNLSFLVILDLKNNRFGGQFPKELCSLRRLKVLHISYNEFEGGIPPA >RHN76312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49317296:49322077:-1 gene:gene12614 transcript:rna12614 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:IAR32 MGLFKWVNFFTIIIFIFFFSATPVFSDFSSTSNDHLSIPNFLDLAKEPKVFDWMVSIRRKIHENPELSYQEFETSKLIRTKLDELGVQYKHPVAVTGVIGYIGTGLPPFVALRADMDALLIQEMVEWEHKSKVPGKMHACGHDAHVAMLLGAAKILKDREKHLHGTIVLVFQPAEEGGGGAKKILDAGALEKVSAIFGLHVLNNLPLGEVASRSGPIFAGNGFFKAVISGRGGHAAIPQHSIDPILATSNVIVSLQQIVSREIDPLDSQVLTVAMIQGGGAFNVIPDSVTIGGTFRAFSNESFTQLRHRIEQIITGQAAVQRCHATVSFLEEEKPFFPPTVNDGGLHDYFQSVAGSLLGADKVKGMQPMMGSEDFAFYQEAIPGYIFLLGMEDVSVERLPSGHSPYFKVNEDVLPYGAALHASLASRYLLKLRQEVPIVEGKYHDEL >RHN59284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9091564:9094008:1 gene:gene21289 transcript:rna21289 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYSYHQSYLMAEQIPHGVAQSLINGLASVALREFGRINNVKDELESLTKTVESIRAALLDAENKQEKSLCVQNWVTRLKDVLVAADDLIDEFLLDTFGAFNYSLSILGIDFNLCIVILILIRI >RHN63460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51452488:51452775:-1 gene:gene26159 transcript:rna26159 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIVDDNDLNDLMRQRFKFWRIFVRGNGILPLEFSQWRNMMHKSVGKIMRKDEAIYYGSAVVAWVGLVSAIIFSKPNVQVIHPPPPPVSKGWFK >RHN60430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26663501:26671408:-1 gene:gene22742 transcript:rna22742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAMQSPSSSSSFSYRFTYQVFLSFRGTDTRDGFTGHLYKALTDKGIHTFIDDRDLQSGDEIKPSLDNAIEESRIFIPVFSINYASSSFCLDELVHIIHCYKTKGRLVLPVFYGVDPTHIRHQSGSYGEHLTKHGKSFQNNKKNMERLHQWKLALTEAANLSGYHFSSGYEYKFIGDIVKYVSNKISRQPLHVANYPVGLQSRVQQVKALLDKGSDDGVRMLGLYGIGGMGKSTLAKAIYNFIADQFECSCFLENVRENSASNQLKHLQEELLLKTLALKIKLGGVSEGIPYIKERLHTKKILLILDDVDNLEQLHALAGGRDWFGCGSRVIITTRNKHLLTNHEIEIIHEVKGMSTENALELLRWMAFKNYKVPSSYEEIFNHAVVYASGLPLALEVVGSNLFGKSFAECDSTLDKYERIPHEDIQKILKVSFDALDEEQQSVFLDIACFFKGCSLAEVEEILQRHYGYRINSHIRGLVDKSLIKCHLNEVTLHDLLEAMGKEIVRKESPKEPEKRSRLWCRDDIIHILQENKGTSKIEMIYLSSPSTDPVIDWNGKAFKKMTNLKTLIIENVSFSKDPKYLPSSLRVLKLNGCSTEFEDMKVLTLDNCQYLTHIPNVSGLPNLEEFSFEKCNNLIAIHDSIRNLNKLEIINACGCSKLESFPPLWLPSLKELDISYCRRLKSFPELLCKMTNTKEIGMCTTSTRELPFSFQNLSLSLKGCKMLRFSKHDDVMYSTMFSNVEALHLNPYYLPYECLQIVLKSCVNVKYLNLSLGKFKILPECLSECHLLRTLLLDFNRHLEEIRGLPPNLKYLDAYRCKSLSSSSRRMLLSQQLHEAGCTKFSFPNGTEGIPDWFEHQSRGQSISFWFQFELNWLQCSRHTYLFDMNLEENVELCKIFHGINLESEMDKALLKNEWINVEFKLRVFSGNPEKVIKGLRSTEMGIHVWKEKSNINEDVIFTNPYSRKRELDEYLNASLSQFHPTLKKHRFMEVGVSEREILQQQHLALVRKKIKIKKGQHIYKKYIN >RHN77618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8178594:8179070:1 gene:gene1088 transcript:rna1088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MKLLSTVVLMMIFLASAYSVVDESPTITLSEFESYPTLSEASVDKLFHDWMLEHNRTYSSSNEMKKRRELFKKKLEHVKEFNKGNHSYTIGINQFSDRTEEELSVSCVEDDDDNYEWYFQ >RHN73046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12996221:12998208:-1 gene:gene8823 transcript:rna8823 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQQTPTKLSAPRSAENRTARSNDFPSKKSRKISNKSVNSSSASFSEESVDLSLISEITEENLNEDVSTFLLEEPSSVTLLQPEKKTDTGENSSSNCFDVCEFDKFTSVEDDITVNFLKNFKPDEILPLDNADPPYKKLRDEIIEYVLQDLRRDALPKEEIQMQSQPQVVSRKKKPFLFLFVILFIALLAILFFTPDDDCRLVPT >RHN39516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7822128:7822715:-1 gene:gene45543 transcript:rna45543 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLASTDITLITLLFLPTKGKTHHFRKSRCVSLISLNMKTKHSHFSLVCFLFKLNSKREQLDPDVQRALLDRSTTVVFLVNEALVFDSMPKKRRKSLK >RHN49691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:332769:333206:-1 gene:gene33896 transcript:rna33896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MLANAHRLFGISNMVKTLKSIDDDHHKDEAMKSIIFESEMRARYPSHGCLGLIMEYQDMIIESMKELDHVKQLLNLCKLSHQQNLRHFSSSDHSSVPSTSSSIPIFNNVGDFPYYDRNSENNNDTQVLGNLDMIKYEEELDYVKK >RHN64048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56152666:56161190:1 gene:gene26825 transcript:rna26825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein S-acyltransferase MSSEIEVVEEVQSRRDQQSPPSSSSSSSAVVDEVASRNDVYTAAAYGDLEKLHRLVEIEGCLVNEPDGLGYYALQWAALNNRTAAAQYIIEHGGDVNATDHSGQTALHWSAVRGAIQVAELLLQEGARVNAADMNGYQITHVAAQYGQTAFLYYVISKWNADPDAPDKDGRCPLHWAAYKGFADCIRLLLFLDAHRGRPDKDGTTPLHWAAMRGNLEACTVLVQAGKKEDLVVTDISGLTPAQLASDRKHRQVAFFLGNARMLLDKSFDSNSRLGKISKLGLAPVLWCIIFVLLVTYIHSVILATNMPKLTASAGLFAWFGVLLATVGLVMFYRCSSKDPGYIRTNGHDTQNMKDDEPLLKIEKNNPALLAGNWSQLCATCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWDFFAFLILEVSAMLVTGGVCLTRVLTDPLAPSSFGAWINYAGKNHIGAISFLIADFFLFFGVFALTAVQASQISRNITTNEMANALRYSYLRGPGGRFRNPYDHGIKKNCSDFLINGYNEDLEYVEESGNSEEGLGMMHMARGSTITNGDSHSHSDHANGNGNGHVVINVDSNSTNSKTHHGHSNGHVHSSHCSHSNQGKTRNDSIPVGLGLGLGRNTRSVSS >RHN74451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33471913:33473082:-1 gene:gene10525 transcript:rna10525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAAMNEKVSIYVPEDIVFSILSKLPLKSVKRFTCVNKLYTLLFENPYFVNMFYKNMVSKYNSLYDEPCFLLNHESSNLESKLYLISGKRIENKVPLNWPHPFNQNPGYNYYQPFIASSSVNGTLCIYDENDYHPTIVLWNPATDELHIVPKDHDYYVISDFSDDRDITYTVHGFGYDNVSDDYKIIRYVDYHGKLDTLWQGPYWEIYSLESNFWETLYVDMRHRFWSSVGAGVHLDGVCHWWSKEDCETYVVSFNLSTEVPVTTLLPSELHDLDQQHVNRDLAVLNGHVIMISRYVKTTSFHISISMLGEPGVNESWIKLFDVGPLSDIKGFIGAGRKADIFLKKADDELAYFDLNTGVIQNIGVKVNSSCSQVVFYKKKIPPIGGIQN >RHN56442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30904130:30908666:-1 gene:gene31847 transcript:rna31847 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNARDVQRHLFKVLHLSVEKSYILMKKHPKVSCALLVFIIMYIFLSCIYNFLVFLSPFIVFTLILVKIFWSSEEKLVRCVEKKEDEKKVEANKPPKVPKNERRGLLYKYPSQNATSRRRNFTGKKLDVYGDLEQKAKNLSAAFCNEFTRKNTEIRSGFRYFEKEIDPFDYKFPPRKVDGMDPFDYKFPPRKVDAIDPFDYKFPPRKVDAMDPFDYKFPPRKVDAIDPFDYKFPPRKVDAPKNQILLSEPSMVDLVTCGTSYYDCQEKSTEKMEDEKKKVEDNSTNKVIDLKEDDHKKLMDLGICEMESNKRLESLIARRRARKLLKLEIENGLIDMESITPTQIAPLFIAARINPFDSPRYFDDIEIPGSAPSVLRSPFDIPYEPFEERPNLKWDSFDQEFTNDMLLELRQDLHVRENRIPHSRVRRLSGRRNHDKPEKLNSKEGSESELQAPSPSNGEEETTHEEEEKCKIDIDGMKGEEVDNSDPTNAISDHASEPNIIPTTKIVEVLDFPISSTDVSNINDSLYDSLSTPEDKNKENTLFTNGLILHAPSVSLASDLQVEFSEIGSPTLTTDESHEDLWGENEVSEQDDILEADNWSDIGSSSISLQNNDEENAAHVSFMSPTTDILDDSPTYAMSSDHNIHGNVRQTTGVSQYSSDVLGRWKRLMRLMDTHVEHLPQERLSENLEGCNQTENLMNNAQVMNDANNSAVTEQENTKDLRRNEETGASGARQEVVDEVSTITSSSSSSSSSPRSVLPIPHKTEADQEINLGVQQSDMENVIQESVNGEGSLESMSQNVQPSIDDSTDESHNGDLIHSQVPLISPILESSSESHIENEGESQASLREEAITQPVINAEVVDTSSAKDFEGKHNDLNENQTENHSSKEENYLKGESNQVVKDHIEKDQSDKGDISQDPSLPMVNEVTNSEDTLGESDKMDKNEVADEELHKNNQTMALSELEGETDKVTDIVHMNDQESTSS >RHN54322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8656987:8658000:-1 gene:gene29334 transcript:rna29334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MISEPHFAKLQFERAPLSLMIRTNDGRLVSRTMYLLECDHEKFEIGSNNHVKLAPIFKLPLRNANSYREKIENKPKRPIRAARLALEKNGENSNGDSQRLNIDFKPYYDKFGVANSCNGLLCLCCPSDEHPLSICNPVTGEFIKLPEATINTHDERSPLNMRGQVGFGFQPKTNEYKVIRIWGSDVKRGNRWVFDRMVLEIYTLGTPSWRNAEVDPQISIGSNIWLRYPTCVNGTIHWIRFKGQERSILCFCLENERLQSFPSPPVLQNQNNGFRHNECIRIGELRGLLYICDTSFFRDVAMWVMNEYGIGESWTKVYNIDTLVSPLGRPDSQRYGI >RHN49597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55860585:55861266:-1 gene:gene44444 transcript:rna44444 gene_biotype:protein_coding transcript_biotype:protein_coding MWCWCSNVVISVGTGRRRGHWKEVTTEHHRLGIHANMESSNSISSKQEKQEISGSDVLWALQKRVSTSRKKKKKKESSSSSAPSRMEEIHVDYYTDVRPLCINDYWGPKLDQLEKRLRHLSQDTL >RHN46635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33540903:33541238:-1 gene:gene41152 transcript:rna41152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MGGNVGSGSSGSKRSHEDSVGSSARPMGREAAKRKGKKKSKDADGLEQVEKEWVQFKEIKAQEIEQLKEFNSNQHEKNRLKKMKLYVKLSSEEHLDDRKKALLEELERELF >RHN62737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45542332:45543736:1 gene:gene25340 transcript:rna25340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 15-cis-phytoene desaturase MLLPAYPHPSSLPKTGVIIIGAGLAGLAAATHLNSQNIPFVLLESSNAVGGRVRTDIVDGFLLDRGFQIFITAYPEAQKLLNYQSLNLQKFYSGAKIFYNGQFHTVADPLRHFFDSAKSLTNPIGSVFDKLLIGTTRIGVLTKSDEQILTAEEIPTIDLLKKLGFSDSIIGRFFRPFFGGIFFDPELETTSRLFDFIFKCLALGENTLPAKGISAIPEQLAARLPSDSILLNTKAVSVDFDDSNPPRVRLQNGDVLSGELGVIVAVEEPALVKLLPGKKNPVFKKPVRSTVCLYFTANPDEIPVRDPVLFLNGSGQGIVNNMFFVTNVAPSYAPPNKALISVSLIGLFEDVSEDELVLKVIQELSGWFGDKMVKEWKHLRTYRIEYAQPNQCPPTNLKKNPTIEQGLYLCGDYLTSATFDGALVSGRRAAESLLNDRAFFG >RHN67460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27454372:27455910:-1 gene:gene15652 transcript:rna15652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLFSRSRFVAFSVFITNFPNFQFLNPIFLIHCFTSYSSFSNSTTLYSQLHNLVSSFNHLLHQKNPTPSIIQFGKILGSLVKANHYSIVVSLHRQMEFNGIASNLVTLSILINCFSQLGHNSLSFSVFSNILKKGYEPDAITLTTLIKGLCLKGDIHKALHFHDKVLALGFQLNQVSYRTLINGLCKVGQTKAALEMLRRIDGKLVRLDVVMYNTIIDGVCKDKLVNDAFDFYSEMVAKRICPTVVTYNTLICGLCIMGQLKDAIGLLHKMILENINPTVYTFSILVDAFCKEGKVKEAKNVFVVMMKKDVKPNIVTYNSLMNGYCLVNEVNKAESIFNTMAQIGVAPDVHSYSIMISGFCKIKMVDEAMKLFEEMHCKQIFPDVVTYNSLIDGLCKSGRISYALKLIGEMHDRGQPPNIITYNSLLDALCKNHHVDKAIELLTKLKDHNIQPSVCTYNILINGLCKSGRLKDAQKVFEDVLVNGYNIDVYTYNTMIKGFCKKGFVIPQFWTV >RHN80149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35584015:35599201:1 gene:gene4039 transcript:rna4039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase, protein kinase CMGC-GSKL family MIRKHLFIALGFLLLSTTIPFSHAESSTCLTVYKHGGAPAVFQSPKCPRWNLFDHNSRPQYTTRCHSAMLQGRRKSQEDRTLCVLDLRIPFPGAMGIKEVVVGIVAVFDGHNGAEASEMASNLLMEYFVLHTYFLLDAMYSVISKASTGTLLHGRDHDHVNILHRWKEILGWQLNEFHSERLQSTFSANFDDSFHLEILKEALLRAIHDIDEKFSEEASRNNLHSGSTATVVLVADDKILVANIGDSKAFLCSENFQSPKEAKASLLKLYRQTERDGSVSVWDRKKYKLASSQGLTHFAVKELTSDHHPDREDERTRVEAAGGQVLNWGGLPRVNGQLAITRAIGDVFFKSYGVVSAPEVTDWQPLTANDSYLVAASDGVFEKLSVQDVCDLLWEVHHLCDMRSDCTSSASYSLADFIINTALKKGSMDNMAAVVVPLESFKSSANSLRRSYTENEDAGFPLFGLQESAYRSSANGITSDRLHLEHPNLPDTKFKRIMVEVKHGDFGCFYLSENLGDLVDSKWLAKKDDWEDYLYELPQPLPDALHQQAAVDGPVILYNDQNFCFHLSSTINEANDQCINPEGFASFIGLLESIPLHDTGSDNRSSDYSMPDSRYVLRRSFGRGSYGEVWLAFHWNCNQGNITAKMSKSDNNRDSSSSNPECQDGPSNYTLYILKRIMVEKGSAVYLSGLREKHFGEIFLNASMCFEDVLLAGKSNCVYETSQYDSEYSFQNKFRLQGAIYEEGLDHIARYVESFESRSNEIWLVFSYEGVSLSKLLYTVEDANNTAEKERLEQVKQVRILRPSKWWRWLKTTEEGQEEMRNLIWQLLLALKSCHDRNITHRDIKPENMVICFEDPESGRCLKDAPTKLNNFSTKMRIIDFGSGIDEFTIKHLYASTGPSRAEQTYEYTPPEALLNATWYQGPTSSTLKYDMWSVGVVMLEMVLGTPNIFQINALTRALLDRHLEGWNEGVKELAYKLRSFMELCILIPGVSGSYSKKYHKVNQVGVSPASWKCSEEFFSRQIKARDPLKIGFSNIWALRLVRHLLMWDPEDRPSVDEALRHPYFHPPPRR >RHN79939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33690039:33692544:-1 gene:gene3797 transcript:rna3797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MTNMRVVLITLPMVFVTIISLVVTMRVQAASRAFFVFGDSLVDSGNNNYLLTTARADSPPYGIDYPGHRPTGRFSNGVNLPDLIGQHIGLSEPTLPYLSPQLTGQRLLVGANFASAGIGILNDTGIQFVGILRMFQQFSLFEQYKQRLSGEVGAEEANRIVNEALVLITLGGNDFVNNYFLTPFSARSRQFTVPQQYCTYLVSQYRNILMRLFDLGARRVLVTGVGPLGCIPAQLATRSINGECVNEIQQAAQFFNQLLLQMTKDLNTQLGCDVFIVANAYQMNMNLITNPQNFGFVTSKVACCGQGRFNGVGLCTALSNICPNRDLYAFWDPFHPTERALRFIVQDIFNGTSDFMSPMNLSTIIAMDSNV >RHN51195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14975041:14975551:-1 gene:gene35585 transcript:rna35585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative MRN complex-interacting protein MASSTLFIALQCFNCSTMQVKQKKKSSNKWNCVVCNQKQSVRKIFAQGYKAKDIRSFVQSVNMSRKSIEEDDQHQWLLAGTLNPTPEEHVRGEYEFPADFNNKKNCTTDWSLYLDNDDHRATERDEQQQHGYLLFTFLISII >RHN73784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19869709:19871986:1 gene:gene9646 transcript:rna9646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Coiled-coil domain-containing protein MREQSENKSKSAKPKTGTKEKKKSEFEFCKVCKINHDQGLRHKYFPKHKQSLSTFLSRFKNKLSDVRFFLKTPSPLTPQLASGNRFWCVFCDQDIDEHSSSFACENAIRHLASVEHVNNLKHFFWKYGGTVDQLDVFTVSHNDVAKWDKRCANLKKEASLQSEESPGAVFGPSSDIHNQSNNENIDSFKNNIYSNSVNSNVVLPLHCYTNEYQVSSSGHSGVGNTGLLDIGKSSLPSEACSSANTLALQDFAGIQMLTRISFVPAENGGGNVHSGAPPPWFETTEGVQMHPKPVLGDLVSHSNKSGKHKKLNPKRVGAAWAEKRKIEMEMEKRGETVRNECDASWLPNFGRVWQSGSRRESRKEFEKEKQELSNVEAQPKMPIKIQPYVSKRMVRFTF >RHN42558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39305994:39314601:-1 gene:gene49011 transcript:rna49011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MADRSLAVVKPVWMKQAEEAKIKSEAEKDAAAKAAFEATFKGLVKSHEKRASNSDSDSDDYEDLAHKPIGPVDPSKCTAAGTGIAGGTACAASSFAVVTKDANERKVSVGGAQITVKVTPGLGVGGSDQEGIVKDMGDGTYTVTYVVPKRGNYMVNVECNGRPINGSPFPVFFSAGNSNGGLLGLAPTSSYPNMVNQTMPNMPNYSGSVSGAFPGLLGMIPGIVAGASGGAILPGIGATLGEVCRDYLSGRCAKVDCNLNHPPHNLLMTALAATTSMGTLSQAPMAPSAAAMAAAQAIVAAQALQAHAAQSAKDSAGSPDKANKEDVLKKTLQVSNLSPLLTVEQLKQLFGFCGTVVECTITDSKHFAYIEYSKPEEAAAAMALNNIDVGGRPLNVEMAKSLPPKPTMNSSLTSSSLPLMMQQAVAMQQMQFQQALIMQQNMTAQQAANRAATMKSATDLAAARALEISKKLNPDGLEIEEKETKQKSRSPSPPPERSRSKSKSPINYRRRKSRSYSPPRYSKARRSRSPLRFHHHSRYEREWRSYRDSREHSDRYRRRDSDRFLDNHSSGSRRNRSRSVSPHARRSPVSPKRHGGSSSYRGRKQSRADSGSPSNRRGSRSSPKVDEKKARNRRRSRSRSSDDKLNFVVKNEEPVNEKAKQRERRRSRSASVDEEKPHRGRSSPRKVDESRPRHKKRSRSKSVDDKHHLSDKFDENRSRRSISSDDKLNSSDKNEEILHEMPKHRERRRSRSVSVGEKPQRRRSSPRKVDESRSRHKKRSRSKSVDDKRHLSGRSDENRSRRSRHGDKRHSRSRSIENRDRADVREDGRKHAKSKHHDTKCNRSESDEGKHHSKGKSGENRDKKSKHRDRRRSRSISSEGKHDKGGTSSRRDESDFEHKRFRSKSPNAKHHCSDDYENKDERSEHQEKTLSKSKSENHQQYDDGSVPSPINFKEYESKEKTKFGSGSAGDKPHMSDGENGTSEGNSKHLENATQEPILNVKDLTNMNANGILISENENTKFNGWTENAGADDNSGWKCVEEVRSGKC >RHN46123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28942771:28943606:-1 gene:gene40566 transcript:rna40566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MEFDSMEEANKFWLAYSLCVGFGVRVRFANKKEDGSVSSCRLVCCKEGLKRKEKRYAYEGKYTRVDVRTNCPVRITLSRKNGKLVILDFEEEHNHDLQNSETTHMLLV >RHN68950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39802865:39805819:1 gene:gene17373 transcript:rna17373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDIPPIKKRRQSNAPLLPVCIPRELIAEILLLLSVKTIVRFKCVSKSWNTLISDPTFIKMHLKKSSQNPHFILTPFEKYRMSTVVSLPVSRLLENLSITVAGDTCHSLTMGNCQVVGSCNGLLCLLFSTTCSLQNYYWFCFWNPATRTISEDLGFFVDSKPLLGPFKFSFGCDRLTGTYKVVALHTGRNEERELENESLWRSKVAVFSFGDNCWRYIQSFPLVPLIWNDGVHLSGAINWLALSGDFVSIDCGETSKAYIPLVEQLVIVSLDLSTETYRQFLLPAGFKEVPCVEPSLRVLMDCLCFSHDFKRTEFVIWQMKEFGSQESWTQLFRIKYINLQIHNLPINDNLDLLGYMECNIPLLPLYLSENGDTLILSNDEEEGVIIYNQRDKRVEKTRISNEICWSSAFDYVESLVSTRWKSENIDEEKEEVEKEDEEDQLSSGIDLGVD >RHN65856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5852624:5856694:-1 gene:gene13748 transcript:rna13748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MKIMRAIKSNTERMENNNKVLMLMVFCFFFCSMPNLSTQKTFTTIAPNQFMQFGDTLVSAAGMYEAGFFNFGDPQHQYFGIWYKNISPRTIVWVANRNTPTQNSTAMLKLNDQGSLDIVDGSKGIIWSSNISRIVVKSVVQLFDSGNLVLRDANNSQNFLWESFDYPGNTFLAGMKLKSNLVTGPYRYLTSWRNPQDPAEGEYSYRIDMDGFPQLVTVKGARILYRGGPWNGFLFSGSPWQSLSRVLNFSVVFSDKEVSYQYETLNSSINTRLVLDSNGISQRLQWSDRTQTWEAISSRPVDQCDPYDTCGINSNCNVDIFPICKCLEGFMPKFQPEWQLSNWASGCVRKTPLNCLDDGDGFLPYTNMKLPDTSTSWYDKSLSLEECKTMCLKNCSCTAYANSDVRDGGSGCLLWFNNIVDMRKHPDVGQDIYIRLASSELDHKKNKRNSKLAGTVAGIIGLIVLILVTSVYRKKLGYIKKLFHKKEDSDLSTIFDFSTITNATNHFSNRNKLGEGGFGPVYKGIMVDGQEIAVKRLAKTSIQGSEEFKNEVKMMATLQHRNLVKLLGCSIRQDEKLLIYEFMPNRSLDYFIFDTMRSKLLNWNKRLEIINGIARGLLYLHQDSTQRIIHRDLKTSNILLDIDMIPKISDFGLARSFMGDEAEANTNRVMGSYGYMPPEYAAHGSFSIKSDVFSFGVVVLEIISGRKNHGFRDPLHRLNLLGHAWKLWIEERPLELIADILYDDEAICSEIIRFIHVGLLCVQQLPEDRPNMSSVVFMLKGEKLLPKPNEPGFYAARDNTNSMECSSKECSINEASISLLEAR >RHN52175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32351835:32356361:1 gene:gene36812 transcript:rna36812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MCRGDIYSQLCHECIVNATQKLSSDSDCSFSKRAIIWYEECMVQYSNYYFFSTVAIRPGLYMWNAGNISNTKSFMALLFSTMNITAEEAVGPLTACNNKKFSTSDASVSNF >RHN78829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18813205:18813468:1 gene:gene2485 transcript:rna2485 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWHALRDQPCYGSQVGGNIGSGSSGSKRSHDGDACGSNSVGSSARPIGREAAKRKVKRKARTLPWRRWKRSGLNSNNSRSKSLNN >RHN67019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22890553:22900275:-1 gene:gene15149 transcript:rna15149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MSPSFIFDPPSDEEIELSEHDSDESDSEQSQPESQSGEESDSDSESEQRRKEPRVSKKKTQSPWDFTQYSESVAEEHARRSTTSVDDKISAVRQRSAPVVALPDSDDDRSSDSEADKQEDYRPEEEDEEDGNAGENKSFFAPSAGTSFSADSFLQLNLSRPLLRACEVLGYSKPTPIQAACIPLALTGRDICGSAITGSGKTAAFALPTLERLLFRPKRVHAIRVLILTPTRELAAQVQSMIKSLSQFTDIRCCLIVGGLSTKEQEVALRSRPDIVVATPGRMIDHLRNSMSVDLDDLSVLILDEADRLLELGFSAEIQELVRVCPKKRQTMLFSATMTEEVDDLIKLSLSKPLRLSADPLAKRPASLTEEVVRLRRMREVNQEAVLLAMCSKTFTSKVIIFSGTKQAAHRLRIIFGLSGLKAAELHGNLTQAQRLGALEEFRKQQVDFLVATDVAARGLDIIGVQTVINFACPRDLTSYVHRVGRTARAGRAGSAVTFVTDNDRSLLKAIAKRAGSKLKSRIVAEQSILKWSQVIEQMEDQISEVLQEEREEMILRKAEMEATKAENMIAHKDEIFSRPKRTWFVTEKEKKISAKAAKASLDKEDGSSHKMVSAQQAEDLKMKEKRKREREKNMPRKKRRKLEAAREMLEDDEHDDKPKGKGTDKNEKAGLTLVDLAYRRAKAVKATKRALDSGKIIKKPQKKSNKSNPSRKTSSSSRTEEMRELFQTDMKDKKPKQRGSGVGKKAQKSFKSKSRYKRK >RHN57759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41529435:41547495:1 gene:gene33343 transcript:rna33343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative U3 small nucleolar RNA-associated protein MATSLASQLQAIRSIALTDSAPQKRPFTRPSILFDPKEAADKSTESIYTIAAQGLEVLISTDERFRNYKNSLFSPKSKELDRGLKTEDENKQLNSLINPYLRLISGYFNLPAALQTLEYLIRVYKIHEHNVEDLILCSLPYHDTHAFVRVVQILKIRNRIWEFLKGVKDSGATLPRMVVVQQCLRDGGELLKSLCDYASPSKNPSRNVIGFCTAVVVEVLGVRVDEDIVKIIHPFVNSGLQPDKKDLSDHKASSLMIVCLLGHKTTLAPSLLNGLIRSVAGIARACEEAKDLHWFRLSLIALINLVQSQNVDTLPTKALDVLKKLRDLPGVLLELSKEFNIEKFLVVLLDSLIICSSKDEDCQQALLSLIEKVPINDSVHHVVTKILSTCVKLSEKVGDSTSSMSAGWAKEILKIVDMKYPSELRAAVHHFLQQHNTAHSKKDNSLYKILCKMLDGNLDSSSDISKSKVWFGLYHPKADIRRTTLLDINSSGILKNKAFVSEGLIDILEAILRQFDDEDLTVVQAALNVDGVENVPDSSKLLEALQNVLRRCVGKMLSGSTDNVSLTCEVAVSCLKKAISYFHDQKLKSIAAMIYPLLLAMPQTQDLNLKALVLVKEINWQLYDNIAEETTSIPGCLSSINLKVIEKLAGNFMVHHEDNIDWFVKSCNDTKLSKTLFFFVLLQSLVLIKPKGDNDFSALFESVFPILKAEWESSVNAGDVLLDEFNSEVLDLNSDCSAFFDQLLYAKLGPLNAKVMSCIFWRLIKALVSEKSSGNLLDDSKIKDLFVFFALSKFGNAFHEHLHFLAAQFRSAHLLSKFFTDEGVPAVVQVESLQCYGSLCTLQQNECQTDLLAEFPSLLVPLASDDKSVRDASLECIVKLHALWGRIEHGFQFLDELLTALVQIKKLILSDKKILSALFTSSLGSTPGSSYHNILLPPNMQNRFAKKEEILEFILGYTEKFSNYGKLMILSLLKGIGNPIIMHPKIASMLSNLMERYYDKHGNSFQKFSNTETRILCLLLENCVMSSPSGGDDLQNSLLKVLQLDGMTSDNPAYVEPCITVLKKLNSQFYTGLQDKAKEQLCLALVFLCRNANGDVQIATREALMRINIDFKTVGRILDPIIAPKYGKIRSADEKLKKRQKLTTHHEEELDDICRIDNLVDSLSSLLDVLLLKKDIANRHSLLDPLFKLLGMVFSEEWVNYTLSLEEGSSQPPSSLFETVNHIQQTLLIILEDIIMSHDSMAVLNEKMTNEINIKLLIDCARTTDVVVTRNHIFSLLSAVIRVLPEKVFGHLIDILPVIGKSAVTQIDSHSKHVFEDLISAIVPCWLSKTDDVEKLLKVFIDIFPEIVEHRRLSIVLYLLRTLGEGKKCLASLLRLLFSSLVSRNVTYFLNIETPDALTLCTIEWEYKLAVQICEQYASMTWLPSLVSFYEQRGNKNVDQSMFLELFLAMRFCLQKLQDPELLFKLESGVDSVVIQSQLRELMEHVVFLLHLVDARKKELNFPVIMRKELKETMRAVVRNITMVMIPSIYFKCIINLLHHSDKDVGEKALGLLCDAARNHATVSLTSKGNKGSRSRSSSRWLQLDESSQESLDNMCVEICKVLDDDSSSNSLKMAAVSALEVLAERFPSNSSTFVVCLESIITRCNTSQNSAMTSSCLRTSSALIKVLGPKALSKLDQIMAVIKSSKDLEPKANDVSPASNAPHLVSVLVTLEAVVDKLGGFLTKDLKNIMELLVLRPEYVSGIDAKVESRAHGLRKLLAEKIPVRLALPPLIELYPAAVEAGDTSLTILFDMLATFIGTMDRSSIVAFHGRIFDFCLVALDLRGSPHSVQNIDLVEEGVKNAMLALTLKLTESMFKPLFIRSIEWLVDETVSSGSMDRAISFYGMVNKLAENHRSLFVPYFKYLLSSCVHHLGDGGYLKLFSSSQKKKKAKILGDGDVKETDVLSIKGWHLRTLVLSSLHKCFLYDTGSPKFLDSSNFQMLLKPIVSQLDLDPPASLDDHMNIPSVNEFDDLLVVCIGQMAVTAGSDLLWQSLNHEVLMQTRTEKTRVRILGLRIVKYLVDNLKEEYLVLDETIPILGELLEDVELSVKSLAQEILQEMESLSGKSL >RHN45839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26184502:26185290:-1 gene:gene40260 transcript:rna40260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glyceraldehyde-3-phosphate dehydrogenase (NADP(+)) (phosphorylating) MLPCSELSLISLRLFVKLISLRKLKGAVKLPFLNVGTGLFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVVVNEQDYGHEVANIIR >RHN61639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37078360:37079117:1 gene:gene24123 transcript:rna24123 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMQFALPVLGIVAAAVVTFYAVSFNEIREKSLQDWDDSESDNGGFRPTSSSRERRARRQASKNTKK >RHN69758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46054443:46058084:1 gene:gene18271 transcript:rna18271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Band 7 domain-containing protein MANILKPNSARIALRAIPRPFAAAAASATPASIPSRFSSVRCICCFNSRHRYDHKPVYYWQPPINFGIHFVPEQTVYIVERFGKYFKTLPTGVHFLNPFVDEVVRVHKIWIETLKFHHDFLLTKDQHTLSIDAWVDFKIVDPKLASYVAQKVPLVLSMNWLKSVSVVKSAKLLWKTSIMKRRIFCLKTLWIPLMCVQKGGE >RHN56049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27175086:27178822:-1 gene:gene31372 transcript:rna31372 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPFAIQSEENRAMAKDCSIGIEPRISSERIILLDTQPVFSASVLAETIKPDGSSTIPVMRGESLSAELAHELMDIQVDLLKHDISDPSLLASSLSQSSNSGIEKDNKVPEREYLATPVFIHTKLQGRELSPRIVWLLSKALMHDFKSSSFVRENTGNNPDEHSSSKFHNTDMDSNPLKLFAIPFKKKEDNPRVQHESYISALWKLRDQILSMKPPSFKRPVSEREWLKSSAKIWEQVRNSSTISEYGRALQHSGIYRT >RHN55553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19472458:19472619:-1 gene:gene30754 transcript:rna30754 gene_biotype:protein_coding transcript_biotype:protein_coding MRCHMLIACDQMAMYWSFDQPISPQNRTNIITFCLDTFLSLSLSFSKFHQSYK >RHN63275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49992875:49998983:-1 gene:gene25957 transcript:rna25957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MNTFSHVPPGFRFHPTDEELVDYYLRKKVSSKKIDLDVIKDVDLYKIEPWDLQELCKIGSDEQNDWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYSKQFLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNQNGISQEEGWVVCRVFKKRMTTVQKMNEYDQSPCNWYDDQVSFMPDLESPTRTNSHPPNYTSYHSCKPELELIQYNTPHQYHDANFLQLPHLESPKITQSIIPYNHHAHANNNNIALTQQMQYLQYHQQNLHSLYGGNSSTGNDEQVTDWRVLDKFVASQLSHEDNDNNNNNQDHVSNKETSSFSNAAILHVAEQITLLDNGSKKNEVSEEHASTSTSSCHMELWK >RHN62264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42163780:42174392:-1 gene:gene24819 transcript:rna24819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MACYYKHHSFFLHSHFLFRTIPFPLRRIHTTATATAGSYSRSFQSPNLLRSSRAPLSKGFCCVSLDSHKDGVVSATSPSTGTVPPEISYGAAQPVHIGSVTNADAAANGRVMLIDGTSVIHRAYYKLLAKLHHGHLAHADGNGDWVLTIFSALSFIIDVLEFIPSHVVVVFDHDGIPFRQTLNSSKQSFTAKGQNFRHNLYPAYKSNRPPTPDTIVQGLQYLKASIKAMAIKVIEVPGVEADDVIGTLALRSVDAGYKVRVVSPDKDFFQILSPSLRLLRLAPRGDQMVSFGAEDFAERFGGLKPSQFVDMIALSGDRSDNIPGVNGIGDVYAVQLISKFGTLERLLESVDQIKEDRIRKALTENAEQAILSKELASISISDILCFYLYTLFSVSYSALLRCDLPSYMVPFTVKDLLFTKPEDNGSKFNSLLTAISAYAEGFSADPIIRRVHHLWEKLDSR >RHN80734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40492882:40494998:1 gene:gene4694 transcript:rna4694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MASTKYVVALQVVLFCICLVVANSVHFSYPAVFNFGDSNSDTGELCAAKGFQPAPPNGQNYFKAPAGRFSDGRLIVDFLMDAMDLPFLNAYLDSVGSPNFHHGCNFAAAGSTILPANAASISPFGFGTQVNQFLLFKAKVLEVLAGKKFDKYVPAEDYFQKGLYMFDIGQNDLAGAFYSKDLDQILSSIPTILLEFETGIKRLYDHGARNFWVHNTGPLGCLGQNVATFGHDKSKIDELGCLGAHNQAAKAFNLQLQALWAKLQGQYLDLNVTYVDIFTIKLDLIANYSKHGFEQPFMACCGYGGPPFNYDSRVSCGLTTTILNGTTITAKGCNDSGVYVSWDGTHYTEASNQYVASQILTRNYSNTDLS >RHN65770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5203707:5209647:1 gene:gene13658 transcript:rna13658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MATSTNETLSTHVKVLLDKIVSNHGFMDNFRTTKLDLSLLKKLEKKLLRILDVLHYASVADVNEWWLDTLRYALFEVDNLFYEIKTEALRCKVEAEHQIATTSQVHYLYSPFTRFDRVSNSQMQKLIGRLEFLSSIYRRNNDVKKLKHLLFSNDGDDQIRIISIVGMVGIGKTALAKLLYNDPHVKDKFELKLWVDMSKYYEDFVDISVFETNIKSITSHAIINHNLDAEGNIDVNIVYPNFLLVLDDVLDARSVNWTLLMDMLNAQKTGSTIIITTRDERVPKSMESFFYVHYLRPLESEDCWSIVARHAFGPRNYQKLSNLEEIGRKIAEKCDGLPLAAVTVASFLREEFSQDYWNNVLIRDIWELVQYVVQPALQSSYNSLSAPLKQCFEYCSIFPKKYILEKNVVVQLWIAEGLVESSADQEKVGEEYFDELVSRSLIHRQSIGNEEANFEMHSLLHDFATMVSSSYCTRLDEQNLHQRIRNLSYNRGLYDSFNKFDKLYAVKGLRTFLALPLQKQLHFCLLSNKVVHDLLPTMKQLRVLSLSNYRSITEVPNSIENLLYLRYLNLSHTKIERLPSATCKLYNLQFLLLAGCRRLIELPEDMGKLVNLHHLDISDTALREMPVQIAKLENLHTLSDFVVSKHNDGLKLAELGKFPHLHGKLSISQLQNVNDTFEADQAKIKMKEQIEDLALEWDRGTTFPDSPIQSVVLERLQPSTNLKSLTLKGYGGFSFPNWLGDFLFSNMVYLRISNCDDCLWLPPLGQLGNLKKLIIEGMQSVETIGTEFYGSDGSLSFQPFPCLETLYFENMQEWEEWNLIGGTAKEFPSLKTLSLSKCPKLRVGNILDKFLSLTEFELRECPLLVQSRPSSDPVFTTNSLQQLTIDVFPSYMSFPTVSLPKTLKSLIISNCESLEFLPHEYLHHYTSLEELKISYSCDSMTSFPLGALPVLKSLFIEGCRNLKSILIAEDGSQKSLSFLRSIKIWDCNELKSFMPCGLHTPNLIHFAVWKCQKLSSLPKAMNTQTDLQEMEIDDLPNLQSFIIDDLPISLRELTVGSVGGIMWNTEPTWEHLTCLSVLRINGNAMVNTLMEPLLPASLDTLCISGLNVASIDGKWLQNLTSLQNLEIVNAPKLKSLPKKGLPSSLSVLNMTRCPLLKASLGRKRRKEWRKIAHIPTIIIDDELIT >RHN62339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42740030:42742201:1 gene:gene24901 transcript:rna24901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-hydroxyisoflavanone synthase MLVELAVTLLLIALFLHLRPTPTAKSKALRHLPNPPSPKPRLPFIGHLHLLDNPLLHHTLIKLGKRYGPLYTLYFGSMPTVVASTPDLFKLFLQTHEATSFNTRFQTSAISRLTYDNSVAMVPFAPYWKFIRKLIMNDLLNATTVNKLRPLRSREILKVLKVMANSAETQQPLDVTEELLKWTNSTISTMMLGEAEEVRDIARDVLKIFGEYSVTNFIWPLNKFKFGNYDKRTEEIFNKYDPIIEKVIKKRQEIVNKRKNGEIVEGEQNVVFLDTLLEFAQDETMEIKITKEQIKGLVVDFFSAGTDSTAVSTEWTLSELINNPRVLKKAREEIDSVVGKDRLVDESDVQNLPYIKAIVKEAFRLHPPLPVVKRKCTQECEIDGYVVPEGALILFNVWAVGRDPKYWVKPLEFRPERFIENVGEGEAASIDLRGQHFTLLPFGSGRRMCPGVNLATAGMATMIASIIQCFDLQVPGQHGEILNGDYAKVSMEERPGLTVPRAHNLMCVPLARAGVADKLLSS >RHN47391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39398269:39400173:1 gene:gene41984 transcript:rna41984 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFCCIIIKKCPIGYSSCYRVFIFYSKFSISRNFQLKKNYYCDVWLLLAKIESVMGALDRPRCITGLAFQPQSQEV >RHN53108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42018466:42021442:1 gene:gene37873 transcript:rna37873 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQIGHIQAKNHDTFSKIFCTLFLDQNTHYLQNKKEMKIHIHNQQNIHVISNIFTMKLHTNHPHHMPTFYEKIYHKNTQT >RHN42147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35842197:35844174:1 gene:gene48551 transcript:rna48551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MNNSVLEQTHFFLRPLLIINNLHFLFSFFVVILLDSAPYSKIKLRNIEITIIYRIMVCHQVINSVFWCFILLVVMLTFFTTNASSTEKTKIEDKEMNVIDQCWRFNPKWRKHRQQLTVCSVGYVGKMTNNIGKDLIHYTVTDPRDHPLNPAPGTLRYEASRIQGKVWITLKRNMNIKLVRPLLISSFTTIDGRGVDVHIADNACLMIYKATNIIIHRIRVHHCRPQAPGMMMGPDGKIISLGQVDGGAIRLVSASEIWIDHNTLYNCQDGLLDVTRGSTDITISNNWFREQNKVMLLGHDDEFVRDKNMKVTVVYNYFGPNCHQRMPRIRHGYAHVANNLYMGWVQYAIGGSMESSLKSQSNLFIAHATGKKEVTWRKSSNGIGDTWKFYSVGDAFENGASFVETKGGQVTKPNYSHEQNFKVVDAKYVRSLTSSSGVLQCSKTSIC >RHN52435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35237856:35242286:-1 gene:gene37115 transcript:rna37115 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTKCSLVLGALTLGTVLPEISTRLLLTRESIPSLMTMIFKEEMKSHHHSSRPLMNLGFLFLCFLSTMPVLRFVWTNLST >RHN72104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5004740:5007006:-1 gene:gene7777 transcript:rna7777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MADVFLQKVKTSSNSKDKDKKETSTLLLGRFEVGKLLGHGTFAKVYYAKNIKTDEGVAIKVIDKEKILKGGLVAHIKREISILRRVRHPNIVQLFEVMATKTKIYFVMEYVRGGELFNKVAKGRLKEEVARKYFQQLISAVGFCHARGVYHRDLKPENLLLDENGNLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARKGYDGAKVDLWSCGVVLFVLMAGYLPFHDQNVMAMYKKIYKGEFRCPRWFSPDLSKLLVRLLDTKPETRIAIPDIMENRWFKKGFKQIKFYVEDDRLCNVDDGFGDNDDDTASITSVASFSDYAVSESDSEIETRRKNAPLPRPASLNAFDIISFSPGFDLSGLFEEKGDENRIVTAAPVSKIISKLEEIAQLVSFTVRKKDCRVSLEGTREGVKGPLTIAAEIFELTPSLVVVEVKKKGGDRAEYERFCNEELKPGLQNLTMEESAPASDLSLESATSSALSLPEGPSLLRVLSEPVHNFTSDVESPIYTPEE >RHN58475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2014840:2015894:1 gene:gene20393 transcript:rna20393 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLFMVPGNIWMLYDFVVCEFLVNLWLMENLWINIHELFIRCSWYFLDLIRVLDDVTAVEKSLFFLFAAKFFSSRGCFCFFCPILFLQP >RHN47006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36394130:36398669:-1 gene:gene41551 transcript:rna41551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLKYYSQIGVHSQVFVSLFKHMLQHCDIKPNASFYSVMMKSAGSESMLFLAHVLKSGYDRDHYVRNGILGIYAKYGPIEFARKLFDEMPDRTVADWNVMISGYWKCGNEEEASTLFHVMGDQEISRNVITWTTMITGHAKKGNLKTARMYFDKMPERSVVSWNAMLSGYAQGGAPEETIRLFNDMLSPGNVQPDETTWATVISSCSSLGDPCLSESIVRKLDDKVGFRPNYFVKTALLDMHAKCGNLEAAHKIFEQLGVYKYRSSVPWNAMISAYARVGDLPSAKHLFDKMPQRDTVSWNSMIAGYTQNGESFKAIKLFEEMISSEDSRKPDEVTMVSVFSACGHLGELGLGNWAVSILKVNHIQISISVYNSLINMYSRCGSMQDAVLIFQEMATRDLVSYNTLISGFAEHGHGMESIELLSKMKEDGIEPDRITYIAILTACSHAGLLDEGQRLFESIKFPDVDHYACMIDMLGRAGRLEEAMKLIQSMPMEPHAGIYGSLLNATSIHKQVELGELAAAKLFKVEPHNSGNYALLSNIYASAGRWKEGDKVRDTMRKQGVKKTTGLSWLEHNS >RHN77744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9138810:9141321:-1 gene:gene1231 transcript:rna1231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MAETHTTLIMQLLMILLIKSGNAHDQCRESYCGPNQPLIKFPFQIVKESSQDQCVYPKEFCLYCTENKKTMIVLSTSSGPIKFHVSDIDYESHSMSISDPDNCLPKKFLILNNSSFRPYRFDSELDIKIFFFNCFSVRKQHLRNQYQTSQESQDMITCPIYVADSDEDIVDLDLLSCTKMFDVNASMMGSDLRYNFLRLSWPKQSCAKCESKGMKCKWMNNTTKPGIECFYCNQKHKKFQPPKALIFSAIGSIILGMGTIVFIKIYLHFREKEEDQVRIDKFLEDYRAQKPARFSYSDIKRITSGFKEKLGEGAHGSVFKGKLSSEILVAVKILNNTQGEGKEFITEVEIMGKIHHINVVRLLGFCAEGVHCALVYNFFSKGSLQSFIFPPDNKDYFMGWEKLQQISTGIAKAIEYLHEGCSHPIIHFDINPRNVLLDDSFTPKISDFGLAKLCAKNLSIVSMTAARGTLGYMAPEVVSRNFGNVSLKSDIYSYGMLLLEMVGGRKNVDSSSAEDLHVLYPEWIHNLLEGDIHINVEDEVGNAKIARKLAIVGLWCIQWQPMNRPSIKTVIQMLETEDDSQLTFPPNPFHSTNSITSSEGSLPRRPLKMEAIQE >RHN62788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45849331:45853999:1 gene:gene25397 transcript:rna25397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MEWMRKTKTKKKPPPPRLQRSNAKKNINYQLPTTTTVPSPTPPSDQETSLRVLGIDGEFDRIFQSLGLSGPEDFSIPTHDWEARKIRSVNLDDAPSVVILRDSPSSSGEVDVRDVVDPVRNGERSMLFTDSGSFTTSHDCDSDGGGERSLFHYGMFKPAFSSWQKGCVLGKGSFGTVYECFTDDGFFFAVKEVSLLDDGSSQGKQSIYQLQQEISLLSQFQHDNIVRYYGSDKNESTLFIFLELVTKGSLASLYRSYHLNDSQVSAYTRQILNGLKYLHERDVVHRDIKCANILVDVNGSVKLADFGLAKATKFNDVKSSKGSPYWMAPEVVNLRNQGYGLAADIWSLGCTVLEMLTRQPPYFDLEGMQAIFRIGRGEPPPIPESLSADAKDFILKCLQVNPSKRPTAAQLSDHPFLRRRLSSASPRINNNVRP >RHN75962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46585663:46586206:-1 gene:gene12226 transcript:rna12226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CNGC15c MGLRSKTKLEAFALIAEDLKFVASQFRRLHSKQLRHKFRFYSHQWRTWAACFIQAAWRRHKKRKEAAELRAKESLVAASEAENEIAKKYGKGFVVYGTRVARSTRKGVNMHSGTNSGVVSSLQKPTEPDFSDE >RHN43323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44910445:44912476:1 gene:gene49878 transcript:rna49878 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMDVFIPEEYVIRRRLEKKKKKASTTSKRSYKSHSQRNDNKAASSSSSSHSPLSNKKGFGHLGDNDMFTYFSV >RHN68170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33349449:33352159:1 gene:gene16483 transcript:rna16483 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVFEKNKNSGDQKTSRINRFLVTINIMGSSGPLRFVVNEKELVSGVIDTALKSYARQGRLPVLGFEATNFFLYHPNSGFDALNPMEPIGSYEVRNFVLCKKQMYLSRKESQSELISPKRKGGWKSWLNKSFSFKI >RHN69183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41574897:41584600:-1 gene:gene17617 transcript:rna17617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-fatty-acid--[acyl-carrier-protein] ligase MSTIPFTSKCNNYTSSTFFSYSLPLLFPRHSFTTSINLSRTRIFCQSQSKIRRFSPLLESSLLSGNDGVVSDEWKTVPDIWRSSAEKYGDKIALIDPYHDPPSTMTYKQLEDAILDFAEGLRVIGVSPNEKIALFADNSCRWLVADQGMMATGAINVVRGSRSSIEELLQIYNHSESIALAVDNPEMFNRIAKAFDLKASMRFVILLWGEKSCLVNEGSKEVPIFTFTEIMHLGRGSRRLFESHDARKHYVFEAIKSDDIATLVYTSGTTGNPKGVMLTHQNLLHQIKFYSDIVPAEVGDRFLSMLPPWHAYERACEYFIFSCGVDQVYTTVRNLKDDLERYQPHYLISVPLVYESLYSGIQRQISTSSLVRKLVALTFIRVSLGYMECKRIYEGKCLTKNQKSPSYLYAMLDWLGARIIATILFPIHMLAKKLVYSKIHSAIGFSKAGISGGGSLPSHVDRFFEAIGVTLQNGYGLTETSPVIAARRLSCNVIGSVGHPLKHTEFKVVDSETGEVLPPGYKGILKVRGPQLMKGYYKNPSATNQAIDKDGWLNTGDIGWIAAYHSSGRSRNCGGVIVVEGRAKDTIVLSSGENVEPVELEEAAMRSSLIQQIVVIGQDKRRLGAVVVPNKEVLKAARELSIIDSNSSDLSQENVTSLIYNELRTWTSEFPFQIGPILLVNDPFTIDNGLMTPTMKIRRDRIMAQYKEQIENLYK >RHN54472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9873619:9874905:1 gene:gene29522 transcript:rna29522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MGIVSWLIKNQVLVSGTNVFCQGSNKVVKRGSLFSDAIVCDCCHVTFTITGFESHAGCTRHRPSTSILLEDGRSLLDCQREALSSSDHKGNHSVVNENQKKNHSIVKENRKKNHCVVKENSEAKNDNVCSICGFGGDLALCDRCPSAFHLGCLGLNRVPIGEWFCPTCCCKICYRPKCKQECKDHKDNNILVCVQCEQKYHFGCVKAVGIEFNHMENWFCSVVCGNMFLCLKKLLGKPIKVADNLTWTLVKNVSSVDDKEFNQKESKLNMALGVLYEGFNPTFDALSGRELIKDVVFSRESEHNRLNFCGFYNVILEKMGEVISVATVRIYGQKVAEVVFVATKEQYRRQGICHLLMDEIEKQLTRLGVEKLLLHSSEDAMNIWTKSFGFARMASKDKCQFIDHTFLEFQNSTMCLKALKTPIWSCIA >RHN54703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11457355:11462133:1 gene:gene29780 transcript:rna29780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MKEVTVEAEGGVLGHGHGHGHGQGGVAASEVAKGNNNNTTRPDLHNRAVKILRAREAYNGYEEVGEKPSRFETFGWYLYEFCFYFVQTVLVPVVFPLIISQLQSPPTVSLQEWNKTHPGTHCSQKEFHLYNKLTGHTISSKFSALEWTSIAWATGLAIAAPILGFLSFHLDGNFPKLITVAATGVGVFFCLPAGFFKVTGIFIPYIAGIIAASTVANAAHTQHLGLMIRAFTGTTLKKAQFFIRQGVSSRLSLHATAAGCFGGALISSFTYHMIHELDENKSDIMSLWVVSIFSGLIWLVGILHLATATSRTTDSISFSSRLHPFSIFKYPHAIGGLASVFLASFTTMAIFMGGVIFIVGQLCIRPLHLLLFWLTYFLFPLVSLSLLQPLLRVIKMNSVKMQIVGFFFSLLSSGFGFYYGHSHWKWGHLVLFGAIQSTSTGILHAFGRVLVLDCAPSGKEGAFSIWYAWMRAAGLCVGFTVGSVGPGRIRTSFGVAFCTAIAGIVVLLFGNISDAGGAVAAGNVSDDSERSSPVVSGLDSKEAARV >RHN61048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32501875:32504445:-1 gene:gene23460 transcript:rna23460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MRKPDIASGKNNTNNKLRKGLWSPEEDEKLMNYMLNSGQGCWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEEHIIHLHSLLGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNMSLNTSPNASDESSYDPNKDHNMGGFITSSTQDQQHIDNHFMPMFNTSSPSPPTMQNTVFNTIMSGSGCGFFNNSTTGTYLSQNNHDSKSFYLEKVFGSVNIINGVEGDEMEIYNVPPLESVNSTITSEHSVKMENACNGEDGNYNSSYNFDDINNIVINNCNVVSKRSENRVDDEVENLFHGDLSVGDWNLEDLMKDVSSFPFLDFSN >RHN75346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41459835:41460429:-1 gene:gene11531 transcript:rna11531 gene_biotype:protein_coding transcript_biotype:protein_coding MASISMAITPKCKEHGYDEGNGDWFGYTYVSCIEEDYRNGDRDSYMEGDDDDDGGYDYAPAA >RHN61028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32382725:32384333:1 gene:gene23438 transcript:rna23438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tropinone reductase I MIKMAEGNTSSRSSKWSLKGTTALVTGGSKGIGLLYFVLLLFFRQIN >RHN56050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27200889:27201276:-1 gene:gene31373 transcript:rna31373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MDSPNPLLADHFPNPFWVAEQTPFGIEKDQSAMTDIVDWKETSDEHVIMMGVVSVLRVIGERKKEQENKSDRWHRVERMCGKFWRQLRLPENVDLDSIKTKMEDGVLTLTFFII >RHN78942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20153558:20155330:-1 gene:gene2611 transcript:rna2611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MADDDDDEMKTGECKITDLSCIRLHHSQNHTVFSQPLSETTVKNEQPLPPTGDEAPILPEEVLFDILLRLPVRSLLQLKLVCKSWKTLISNSQFTKTHLLTSSTAYPQLFSGDDREIVCYAVKPLLENPSTLVQPVTIGMTHRYGILGSCNGLLCLYDDSQSNFRLWNPLINLKSDTFPIVASFDKKLVTYHGFGYDQVNDKYKLLLFVHNQDDFTERITRIYTFGENSWTTLQNFPSYPSMWSGKFVSGTLNWIVSKNAVNSNQRVILSVDLEKETYGELLLPQHDGYRVCDPIMYVLSNCLCVCSDNHSETHLAVWIMKEYGVAESWTILTIISHEKLFWGRPRHPIVEPLFVSKDGVLLLRTMRSKLVLCNLNNGGVDYPRTSDILGTGRELHIYYESLVSPF >RHN65649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4096366:4097346:-1 gene:gene13515 transcript:rna13515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MYREKNMAKTLKFVYVIVLFLSLFLAAKNIDGRVSYNSFIALPVCQTAADCPEGTRGRTYKCINNKCRYPKLLKPIQ >RHN41091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26918989:26923841:1 gene:gene47379 transcript:rna47379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MNSCQLVIHMFHTPIRSLTFPCTTKPPHLNIHLLLSLPAPTLLSSSLNDDSFSIPKSSQFGYSSPEDLFGLEVEFKPRKANSHTREPRSWFGPNGQYIRELPCPSCRGRGYTPCAECGIERSRSDCPKCNGKGLLTCHQCSGDCVIWEESIDERPWERAQSISPLKVKDDDEVDKLDIKLDAKKKSKRVYQSPSPEVGLKISRSLKSLNAKTGLFSKRMKIIHQDPTLQAQRVAAIKKAKRTVSARNHASKTMKDFFSDPINREKRSMAMQGVKFYCQNCGREGHRRHYCPELKDGLIDRRFTCRLCGEKGHNRRTCSKLRISHSDGRVIKHHRCKICRQYGHNRRTCPQVISNKRMDMTSQRVYKCRLCQKEGHNSRTCPSRIVGIEHSLE >RHN79821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32583507:32584405:1 gene:gene3663 transcript:rna3663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MDLGIPNIEMKSGRRDSKESYVTVVEESIPSHNASMSLVLTRFQAIGVDVEATVALLAHSVGRVHCINMVHRLYPIVDPTLDPTYVVYLKRRCPKPNPKAKAVQYVRNDLKTPLIIDNNYYKNILQHKGLLTVDEELATDPITSPYVQKMADDNGYFNDQFSRAVLLLSENNPLIGDQGEIRKDCRFVNAN >RHN78578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16412497:16412898:-1 gene:gene2196 transcript:rna2196 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWGSEVHHRFEPEHSLKLSLAPNCRATHSTILVSAMSMLFSHAIGKSAQGKQKGAEQIRSMQYVCAVICRWQCHNIDKNNMCICIAIEGRDDSDLGLMITSRALLVCILFAKYLIISLGVQASNFSIFFTS >RHN64107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56614056:56620232:-1 gene:gene26889 transcript:rna26889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MRVGGRRKRRRLIRGDTTSWVKAGYYFSSNEMEASEIKSTLFTHLLCAFAFINSTDYSIFINDSEYSKFDSFTTRVKLQNPSVTSLLSIYTGGENSSLFNSLINQSSYRKSFIDSSIRTARRFDFQGIDFCGAGPKQGKDLVNFATLLKEWRIAITSEARNTKRSELVLVMTGYYLKASDSLSYPFESMQKNLDWVHFVAYNYYLPKRDSVTRFHAPLYGSSGWENTDSGIKEWRKRGFSSNKLVIGLPYHGFAWTLVKPGEGGVGRPTSGPAITMDGSMAYKFIKSYIRGFGDGVVSRYNDTFVVNYFTVESTWVNFDDVEAIKEKVSYAKKNGLLGYSVFQVGSDDNWVLSTAAHEVNKDHHNRRLLIVVLVTALTATFLLAMVFFCYYHKGTVITITRMVYRLRIHLSAPDEDLNENGSDLIVFDYLTIKLATSYFSTENKVGEGGFGAVYKGKLFNGVEIAVKRLSKTSKQGLEEFKNEIALTAKLQHVNLVRLLGYCTKRNEKLLIYEYLPNKSLDHFLIDPRKSILLDWRRRVNIIEGITQGLLYLQEYSNFTIIHRDIKASNVLLDHEMNPKISDFGMARIFGKYELEANTSRIVGTYGYVPPEYVRKGIYSPKYDVYSFGVLLLQIISGNKTSCYYGPHENMNLLEYAYGLWMEGRGVEFFDPSLDDTASACKIMRCMQVALLCVQENSADRPSMLEVDSLIKNEGRPIGTPNMPAFSMNKHEDDKGDTFNSGFNLYSINDVTISQMLPR >RHN70506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51860610:51864595:-1 gene:gene19090 transcript:rna19090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative COG complex component, COG2 MGLLCSMLRMGQVSERLKACSKHASEMNRLNFYVTHAKNLPFIENMEKRIQNASLLVNASLGHCFVDGLEHRDATATYNCLCAYASIDNTKNAVETFRVTVVAPLMQKIIPHESSAVAAGSSGDGLINSYELIKECIYKDCKFLLDISSAENSGLHVFDFLANSILREVLFEECFLFINSDQASNLTDVGLNPPPQRQGNLGQIASQF >RHN55939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25797509:25802332:1 gene:gene31233 transcript:rna31233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MGRSHLQRNLSSSKLSGRIDAAFSKLTNLEILDLSNNELTGDLPEFLAQLPRLKILNLSRNNLTGLIPESLKEKSHTSLKLSLDGNLGLCQTGSCKSNKKKWNVKLIVSIAATVAVLIIVSVVVLIFRTRGPGPAMFPKSNMDEQLNTKCRAFSYSEVVSMTDDFRQMIGKGGFGKVYLGLIPDGENVAVKTLSLSELQGHKEFISEVNLLMPAHHRNVVSLVGYCADGGIRALIFEYLPGGNLQQRLSDKNPNVLEWNERLQIAFDVANGLEYLHNGCKPAIIHRDLKPPNILLDENTRAKISDFGLSRAFANDSDTHILTNCFAGSHGYIDPEFQNTGILNKKSDVYSLGVVLLELVTGQPALIGTPNNYIHILPWVNRKLEIGDVQGIVDPRLQGEYNRDSAWKLIETAMSCLSQFATQRPDIKEIVSELKDCLSLVMPIERSASQRRSLSVKGSMQIEINESDICGPNPR >RHN72257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6171431:6192022:-1 gene:gene7950 transcript:rna7950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MAFDPLVWYCQPVENGVWTRTVQNAFGAYTPCAVDSLVIGISHLVILGLCIYRIWLIQKDFSVKRFRLRSNVYNYVLGVLAAYCVAEPLYRLIMGVSVLNLDGQSQLAPFEITSLIIEALAWCSMLILLGIETKVYIYEFRWFVRFGLIYAAVGGAVLFNFIISVQELYSRSVLYLYISEVVCQVLFGILLLVYVPTLDPYPGYTPIASEIVNDAAYDELPEGELICPERRAGLWSKMVFSWMNPIMKLGYERPLTEKDIWKLDTWERTEALQNKFQKCWAEESQKSKPWLLRALNASLGGRFWFGGIFKIGNDLSQFTGPLILNQLLQSMQNGDPAGMGYIYAFAIFVGVVFGVLSEAQYFQNVMRVGYRLRSTLVAAVFRKSLRLTHEARKQFASGKITNLMTTDAESLQQICQSLHTLWSAPFRITIAMVLLYQELGVASLLGALLLVLMFPLQTVIISRMQKLSKEGLQRTDKRIGLMNEILAAMDTVKCYAWESSFQSRVVNVRNDELSWFRKASLLGACNSFILNSIPVFVTVISFGVFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNIITQVVNANVSLKRLEELLLAEERILLPNPPLEPGLPAISIRNGYFSWDAKAERATLSNINLDIPVGSLVAVVGSTGEGKTSLVSAMLGELPPIADSTVVLRGTVAYVPQVSWIFNATVRDNVLFGSVFDPIRYERAINVTELRHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVLVFDDPLSALDAHVARQVFDKCIKGELRGKTRVLVTNQLHFLSQVDRIILVHEGMVKEEGTFEELSSQGLLFQKLMENAGKMEEYEEEKVDIEATDQKSSSKPVVNGAVNDNAKSESKPKGGKSILIKQEERETGVVSLNVLIRYKNALGGTWVILVLFACYFSTEALRVSSSTWLSHWTDQSAVDGYNPAFYNLVYAALSFGQVFVSLINSYWLIISSLYAARRLHEAMLHSILRAPMVFFHTNPLGRVINRFAKDLGDIDRNVAPFVSMFLGQISQLLSTFILIGIVSTMSLWAIMPLLVLFYGAYLYYQSTAREVKRLDSISRSPVYAQFGEALNGLSTIRAYKAYDRMADINGRSMDNNIRYTLVNISANRWLAIRLETLGGLMIWFTATFAVMQNGRAENQQEFASTMGLLLSYALNITSLLTGVLRLASLAENSLNSVERVGTYIDLPSEAPSVIDDNRPPPGWPSSGSIKFDEVVLRYRPELPPVLHGLSFTIFPSDKVGIVGRTGAGKSSMLNALFRIVELEKGRILIDDRDIAKFGLADLRKVLGIIPQSPVLFSGTVRFNLDPFTEHNDADLWEALERAHLKDVIRRNSLGLDAEVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRVLLLDGGKVLEYNTPEELLSNEGSAFSKMVQSTGAANAQYLRSLVHGGDKTEREENQHLDGQRKWLASSRWAAAAQYALAVSLTSSQNDLQRLEVEDENSILKKTKDALITLQGVLERKHDKEIEESLNQRQISSEGWWSSLYKMIEGLAMMSRLARNRLHQSDFGFEDTSINFDQIDM >RHN75220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40298520:40302413:-1 gene:gene11393 transcript:rna11393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MESFKVLVYCFLVFHFIPTSNTLETIVPGQSLKHNETLISTNETFEAGFFNFGDSNIQYFGIWYKDISPKTPVWIANRDVPLGNSSGVLNLTDKGTLVIVDSKEVMIWSSNTSTTAVKPSLQLLETGNLVVKDEIDPDKILWQSFDLPSDTLIPGMRIRSNLLTGNYTSLVSWRDTQDPATGLYSYHIDINGYPQVVIKKRNTLLFRVGSWNGNFLSGISSTTLYKSFNISFVITEKEVSYGYELLDKSIVSRYMLTPIGQVSRYMLSDQTKSWQLVFVGPSDQCDNYALCGANSNCDIDNSPICECFKGFIPKSQEKWSSQNWTDGCVRRVQLDCDNRDRFLKRMGMKLPDTSKSWFNKSMNLEECERFCIRNCSCTAYANLDVRDGGSGCLLWFNNILDVRKLPSGGQDLYIRVAASELDHSTGLNKKKLAGILVGCILFIAIMVILGVAIHRNQRRKLENPEQNQVFSLSNHTDNKKNEDIDIPIFELSTIAIATNNFSIDNKLGQGGFGPVYKGKLENGQDIAVKRLCNTSGQGPKEFINEVKLIANLQHRNLVKLLGCCVQNDEKLLIYEFMINRSLDYFIFDQTRKSLLNWTRRFQVICGIARGLLYLHEDSRLRIIHRDLKTSNILLDENMNPKISDFGLARTLWGDEAEGETRRIVGTYGYMSPEFATRGFFSVKSDVFSFGVIILETISGNKNREYCDYDDLDLLGYAWRLWSETTPLELIEESLRDSTVGAEAEILRCIQIGLLCVQEKADDRPDMSAAVLMLNGEKALPNPKEPAFYPRQCDSSSGTSNLHSNNDVSMTLLQGR >RHN66292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10396250:10399599:1 gene:gene14242 transcript:rna14242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MAEEPSQCREKLTNLTVEVGKNFFLFMVMVIMCNGLHFLTKPYSHPRITSDILVGIVVGNIGIVRNLFEKFNRTFGFIIDFGMMCYMFALGIEMDPYILLKKPPRHVRVAYPGICITILLGIIFSPFVTYFPNRDKILEFTTALSLLLASTGSPVLTRLLTQLKIGKSDIGKLAIATAMYSDFFCYFLLSICYILVPLPETCDDLALDVETEKKIRMGFGVLGEVLFTLLFSPFFMSWVDNENPEGRHMKGPHLILSLAFVVLMCASSSLNGFSPLLSAFLVGVSFPREGRVSKWVITKINYLLNTIFFPIFFLWVGFEADLRHFEAGNINTWTQILMLIILSIIGKVGGALVSGATEGFRWPEATAIGLLLTTKGHLHIYLAIKVMGCGRATSKSTVIGMILAIFFTVLYIPSVVAQIIRRARKKVPTHRLALHSLDPSSELRILLCVHGPHNVPASINFMEISKGEADPGILVYVTDMIELTDEISETLERDEGLHTETVEDTEVTNAFQAHVLDSGEGITLKRTMALSTINNMPQDICILAEDLMIALVILPFHRRQRQDGTMDIGNQGFRYVNRKVLRSAVCSVGILVDRGFGSFEELSRSQKTVNVAVIFIGGKDDREALAYASRVTQHPAVKLTVIRFLVDTSAESSRLVGYRIILPDQEKEMQLDDECFAQFYEKHVVGGKIAYMEKHLASAAETFTILKSFEGKYSLVIVGKEGGVNSILTKGMNDWQQCPELGPIGDVLSGPDFSMTVSVLIIQQHRLKGDIDGLDEDFSIMSYNKF >RHN63580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52398263:52401578:-1 gene:gene26298 transcript:rna26298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MVAHPDGSNRAFFSSQMGKVWLATIPEEGSGGQLELDESSPFVDLTDQVYFDTQFGMMGMSFHPNFANNGRFFASFNCNKDKWSGCNGICSCNSNVNCDPSKIGTSNGAQPCQYQTVIAEYTANGTGSQPSSAKSAKPTEVRRIFTMGLPFTSQHGGQILFGPNDGYLYFMMGDGGGTGDPYNFSQNKKSLLGKVMRLDVDNIPSASEVSKLGLWGSYSIPKDNPFSEDKDLEPEIWALGLRNPWRCSFDSEKPSYFFCGDVGQDLYEEVDLITKGGNYGWRVYEGLYPFTTTESPGGNTSLKSINPIPPIAGYNHSQINKNEGSASITGGYVYRSMTDPCMFGRYLYADLYAGALWAATEDPSNSGNFTTSKIPFSCAHDSPIPCDSAPSSSLPALGYIFSFGQDNNKDVYILASSGVYRVVRPSRCSYTCSQEKASTTTTPPSPSPSHASHLSSFYGYMFLQLSSLLLVLMCFM >RHN79102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22704753:22705391:-1 gene:gene2808 transcript:rna2808 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLQGKHHNHDNDTPVIPPRNPREEIKIGMEFTGIIDGIIPAGYLLTMKKGNGVTFRMFAFDQPSLIQGDGNVNVPLVASNVSLNTNEEIPELEVDDDFSLDYSYFPMTSPSNKIQMPDVLQYFGKFEPVVFQPVNQTNSSNKGKGLSIDLNSAPTPNGHRISKDPFLARKFPSPTVNIRPPDDLIAKTSRPSKQGLSSHNHNPKITIAKQ >RHN79135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23749481:23751004:-1 gene:gene2852 transcript:rna2852 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQKSQANEKPTSCRKKKNEEATFIEDLKDHIDEFIHASMDEHKTCFQKTIKKMFGLSKAVAEKNSEGVESSLPLQRTLRD >RHN46009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27712218:27713589:-1 gene:gene40443 transcript:rna40443 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLVAAVRRINIYKMKKSSKVADENMFEEGNRGVEVAMFGGERGRRQHGHGWSGFSVIYAILQAPISILSCLSNPRVNGSDGVWSTGEFGQISEINHIMVNDSMRYAILM >RHN66053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8027981:8028877:-1 gene:gene13964 transcript:rna13964 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCWAWTLLLSASASLCSLEAPLCFRMQHMEMKTAIRVRELTEKEYWALGPKPVQCCFLIIREK >RHN79636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30804081:30806121:1 gene:gene3453 transcript:rna3453 gene_biotype:protein_coding transcript_biotype:protein_coding MKGETVNVTWLGGIWPVSRKSGSDENNEIGIMAFEVAGLMSKVVNLWHSLSDNELMNLREWIVSSVGVKMLVSDDEYFLMELTRNEILNNFQSLSQSVARLSKKCKDPMYHSYESFVHNPFENYVQWSGWEYRLKKMEKKVKKMERFVCSLSLLSQELEVLAECEQTLRRMKLTRDVVNKAKLLEFQKKVMCQRQQVQNVRDLSPWSRSYDYIVRLLARSLFTILERIILVFGNSHLPIENLKNDTNNRLARNHSSPALHVMHSSVHPSPETNLNEFCSGPIGRKNKSKKKKKDQPVLLHSQDSSCEKLLPSEGKQLTYIGSFKGCISVQNDSHVVQSCIPSNGSSMRKNIDVNTKSLVNKPSLFHRSRVYFKLSLKEKLKPIPSTLGDAALAIHYANVIVLIEKIVSSRRTNTIDVRTRDDLYNKLPTTIRTALRGKLKWYAKSKLETEWNVVLKQILEWLAPLAHNMVKWYSERNFEKEYTSLKANVLLVQTLYFANQAKTEAAMVELLVGLHYVCRIDVETRFRRN >RHN79803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32337999:32338639:-1 gene:gene3644 transcript:rna3644 gene_biotype:protein_coding transcript_biotype:protein_coding MMVYEKYQDKYLRGNQDAPEEKHQMMEEDMLPQNLQYKGRDEEQEQTNLFFQNLVSDPPEPVIWALV >RHN74246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31041589:31042116:1 gene:gene10278 transcript:rna10278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MIHKLNHFHLLGTFLKLFFVALKLGLPMPPPYMGVSTIKRYQIVSGINYASGSCGILNSTRYGECLSLNKQIEYFTSIVTNDLPRNFRSKAKLSHYLSKSIFLLSIGSNDYMLNYFKQEMVTNQKGNHEEFANYLLEQLGFNIKVTYV >RHN59449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10865651:10867505:1 gene:gene21479 transcript:rna21479 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRP4 MASISFLVLLLFALYIIPQGLANYEKPPEYKPPVENPQFYKPHIEKPPVHKPLVEKPPTHHPPIEKPPIYKPPVEKPPAYKPPVEHHPVYKPSVEKPPVHKPPVEKPPVHKPPVEKPPVHKPPVEKPPVHKPPVEKPPVHKPPVEKLPVYKPSVEKPPVYKPPVEKPPLHKPLVEKPPVHKPPVEKPPVHKPPVEKLPVYKPPVEKPPVYKPHVEKPPVNKPPVEKPPVHKPPVEKPPVHKPPVEKLPVYKPHVEKPPVYKPLVEKPPLHKPPVEKTPMHKPPVEKPPVHKPPVEKPPVEKLPVYKPPVEKPPVYKPHVEKPPLHKPPVEKPPVHKPPVEKPPVHKPPVEKLPVYKPPVEKPPVYKPHVEKPPLHKPPVEKPPVHKPPVEKPPVHKPPVEKPPVHKPPVEKLPVYKPPVEKPPVYKPPVEKPPVHKPPVEKPPLHKPQVEKPTEYKPPIEKFPVYKPPVEKPQVHKPPVEKPPVHKSPVKKLPVYKPPAEKPPVYKPPVENPPVHKPLVEKPPVYKPPVEKPPVHKPPFEKPPIYTPPL >RHN67691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29377190:29387416:1 gene:gene15907 transcript:rna15907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-phosphofructo-2-kinase, Fructose-2,6-bisphosphate 2-phosphatase MGTGSSKQTDGTSEETKDRTDDDDDQAGGQLYVSLKMENLKLTTHLVPHVYGSVPLVGSWDSSKALSMERESVSMWELSFVVPPNHETLDFKFLLKPKDSDTPCFVEEGPSRLLIGGALGEDARVALFKLDSGEVLEYQVFVKADRVSPFDLAASWRAYQDNFRPSAVRWMPDVSINSAPQTGGENGASAGLELDLEHYVVPAPSTSANSGQVYAANMTENPRSLISGSGGSSYSNKGMEVIVPDPSKVFQSSGMAESKSVGTFSPLHRQDSQRGLFVDRGVGSPRLVKSSSSNIFSSDLNLGTGTKNSMPAAAGAVAAAAVADQMLGLKEDRHLAIVLVGLPARGKTFTAAKLTRYLRWLGHDTKHFNVGKYRRLKHGANQAADFFRADNPEGMEARNEVAALAFEDMLSWMHEGGQVGIFDATNSNKRRRNMLMKLAEGKCKIIFLETICNDRNIIERNIRLKIQQSPDYAEEPDFEAGLEDFKNRLANYEKVYEPVGEGSYIKMIDKVSGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESQDNVRGRIGGDTAISEKGEIYSKKLANFVRKRLKSERAASIWTSTLQRTILTASPIVGFPKIQWRALDEINAGVCDGMTYEEIKKNMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEVPHIEMPLHTIIEIQMGVTGVVEKRYKLMD >RHN76513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50882989:50885099:1 gene:gene12853 transcript:rna12853 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MNTTLPVKRQFDDNNSLKISNKKSKTSLNINKKPGKSADNSFSMLRKELASLKKSFAECNGQQVEERRLRSIKRDIEKCCEELENMETQVKEFETEKNILDGQVNEFESKKGELEGLLRDFESEKTNFERRQKEFESKEKEFEIRVMEFQSKEEEFKVQVKVLFEAKEEKFEVKMQQFENQVEDNLKSVKALELKENQIEVQIKDLKSKLNNFGGQPKELELTEKQHDEEKEFDTSYMDDDGASEEIDILDNLRESSDPAKIVLDIILNPIIPLPKKGDKAVIIDDESRIYLLEKLMTISPNIKPCVRDEALKLARELKANMKENTENYLEVLGFLLILSIYGLHTYFDEDEV >RHN48827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50529475:50530250:-1 gene:gene43585 transcript:rna43585 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFIYPYNTIQILIAHLTNWKNMENSIRFGVMAVFAVSGSMVLLAHQVHKRILSNFMKKFEFEIYPHGQKKLHGNDKHQAKKKVRFSKQVTEPLMEKNKSNNNNHRNATKAQRVKAEQILVRKNAEKCGCGPKLEETMPPNRAVLYRGIMKYQNKTPRGKFRF >RHN47401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39449625:39459959:1 gene:gene41995 transcript:rna41995 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMMNKTAKLQKQIIAGLTKSKTMALKSKTNAIKARLIIFSLMKNKKFLMSSISDKFHSVWGSHSHHHSKEGGNYDDHNRAMVVYYNNAHTYEALRNPSETQVVDEQDQEDGHGGYYDDDNPFGSQGLDFDGSVIDKVKNCKEEAGKEFKLEDDIDEVADLFIRRFKRNIILQKQDSLKRKREMVQKGA >RHN58223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48400:61551:1 gene:gene20110 transcript:rna20110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MKLNQAGSQLPGLAQLNGNGQMPSLGGVSRSALNMDPEFPRAREFIQEKICETLFRRHQQPISEIQKRRIKDLAKRLEEGMLKNAPSKEEYMNLETLEARLSLFLRQATMNNRSQQYPQLVSSSPIGTMIPTPGMSHGPNSNVVVASSIDASMISSSGGNSVVSTTFNGVNILPTGGIHGSSLNRSDGLSNGYQQSSTSFSAGSVGNMSSMSVPRTSSQMIPTPGYTVNSNHSHMNVDSSTNGNVFSSAESTMVPLSQLQQQKQHVGDQSQVLPNIGSQMSSGMRSGLLHKQFTNSNGAVNSGLGLIGNNIQLPNEPVTSDGYASTYANSPKHIHQHFDQNQKPVMQGDGYGLNNVDPFASGNFYASATSSGSMMNTRNTNSVQLPSIPKTSSLISGHSNLHGMQQSAHIKSEAINQLEKLNFQSSSTSRDALLHSQQQYQQRPHQFQQSEQYPQSQQQFQLKLHSQQPRHLVNDNAFNQSQLSSNLENQVKSEPGIEHHKEVLSSHVPEQFHMSEIQNQFQQNSSEDCSRAQYLSFPSGQHNLSSSVPQSSQQMLHPHHLVAESQNKFSCLTVEAQCNSKQWTDSQDGNPMSNNSSHDHHLHVDFHQRISGKDEAHCNNLSSDVSMGQAVAPRGAAEPLDPGSTTKNAHRNQQRWLLFLLHARRCSAPEGRCQERFCSFAQKLCRHMDGCNLRHCPYPRCHHTKELFHHFIHCKDPCCPVCVFVKKCRRACQLKAQSQPPSESSLPSVVNGSCKSYNITATSSRLISKPTLVVETSEDLHPSVKRIKIEHSTQSVNLEKDNSASSVSANCDSVVSRDAQSQTYPNAEKSISIKSEITEVKAEVLAHAKLSEMKMDSSNADDKIPDGEPVKNDDTGNLARPENMKTEKEVGQDKQEHVMQPGENAAGTKSGKPKIKGVSLTELFTPEQVREHITGLRQWVGQSKSKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTTCGVRIKRNNMYYTMGTGDTRHYFCIPCYNDARSEHIVVDGTPIAKSRLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIEEVERGERMPLPQSAVLGAKDLPRTILSDHIEQRLFKRLKHERQERARFHGKSYDEVPGADSLVVRVVSSVDKKLEVKQRFLEIFQEENYPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGAESQFPNQRRVYLSYLDSVKYFRPEIKSVTGEALRTFVYHEILIGYLEYCKLRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLKKAAKENVVVNITNLYDHFFTSTGECRAKVTAARLPYFDGDYWPGAAEDLIYQLRQEEDGRKQNKKGTTKKTITKRALKASGHSDLSGNASKDLLLMHKLGETISPMKEDFIMVHLQHACTHCCILMVCGNRWVCNQCQNFEICDKCYEAELKREERERHPINQREKHSLYPVEITDVPFDTKDKDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICYLDIETGQGWRCEVCPEYDVCNSCYQKGGIDHPHKLTNHPSVADRDAQNKEARQVRVLQLRKMLDLLVHASQCRSPHCQYPNCRKVKGLFRHGMHCKTRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVANNAG >RHN45349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17621429:17622830:-1 gene:gene39632 transcript:rna39632 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGANLINKQIFGAVRKHNIVVSVPKQVVVPVDSLVNNNEWVTLDNFDEERPIKEGGNVEVAEISAIQKRGDTSTLPICNYFAPLHEDCERPSGEEKLDENDTNKLCVNTLLESVEIMDRVSKEMVCLNPVSQSKVSDVVENVSIESAILNTKHTMTVDKTLISNEPCNKTSTLPLTHFNTESGTNFDMPSAILEKVPDVITVYEEMLRPDKGKISAPSTVTNTAAACRTDEKILTKFWADALETVSDSTLDTDNNTDKYQECFPELNVEAQYLLQHSDSIKKAKRGRPKKTKSPKVPTGTKFKNKRFSEPVVDDGSDIVLTRSKTHTSTNISQ >RHN55519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19168094:19168432:1 gene:gene30714 transcript:rna30714 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MISEYTKVGDVDSAKLFFDEAPEKDKGIWGAMVSGYVQNSCFKESLYLFRLMQLTDIVPDESIFVSNSACAHLGALDVGLRFSPFKFIDIVPDESIFVSILCALYIMIFFYY >RHN76258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48938595:48939776:1 gene:gene12552 transcript:rna12552 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRLFCFILALQLLSSVSVAKRAFPSTDAMSHPGPGEAPAPHQTIESKKSEKMKEVVYDDDRDIGISSNKIGSSPPSCEHKCYGCNPCEAIQVPSTESSKRNHLGLQYANYEPESWKCKCGPSFYSP >RHN57845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42125925:42131714:1 gene:gene33434 transcript:rna33434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GrpE nucleotide exchange factor MFFHKLFSRVPVTISGTSILSAPRIPQQHFHNFSNQLHSLIIPHSTNKLFPVQVNFLCPSINSSTSKFGFSSSASVETEGKEGNNVHNGNFTSADPAKTSEEAEEIDQPGQAKSADQTEESGSISADSHSQTVKRRRRSIKRTAFSDSDSDSESDLSRDDLIKLVAEKEELLKLKKKEIEKMQDKVLRTYAEMENVMDRTRREAENSRKFAIQNFAKSLLDVADNLGRASSVVKESFSKIDTSSDSSAEAVKLLKTLLEGVEMTEKQLSEALKKFGVEKFDPTNEPFDPHRHNAVFKVPDGSKPPGTVAAVLKAGYLLHDRVIRPAEVGVTQAKENGNSAE >RHN80012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34315372:34317170:-1 gene:gene3885 transcript:rna3885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MMKVGFEVLHANPNMIHPHMHETWDYTIITNDLLLPPPIQTNPYPKQLTENHSLNLGGQNNEVSDWVEHFTKHFVEDHLPQNTSDNNSYNNLQQIQTNTSDLIQNHNSNSGYECDQGLNLITLLMECAVAISVENHGEAHRMLLELTQLASPYKTSCAERVVAYFAKAMISRVMNTWLGACSPLIDHRTIHSSLQVFNNISPFIKFSHFTSNQAILEAVNRCNSIHIIDLDIMQGLQWPAFFHILATRMEGRPSVRMTGMGASMELLVETGKNLSNFARRLGLCLEFYPIACKFGEVVDVSMLQIRPNEALAVHWLQHSLYDSTGPDWKTLRLLEELEPKIITLVEQDVNIGGSFLDRFVGSLHYYSTLFDSLGSYLHSDDSNRNIVEHGLLSKEINNILAIGGPKRSGEEKFRLWRSELVARNSFEQVPMSANSMAQAQLILNMYSPAHGYSLAQVDGMLRLGWKDTSLYTASSWTCRTSR >RHN48753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49979495:49982963:1 gene:gene43503 transcript:rna43503 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDFITRCLILLLGYAYPGFECYKTVERNKVEMDELRFWCQYWIIVAFFTVLEKFADVVIGWLPMYGELKLALFIYMWYPKTKGTGYVYNKVLRPYVSKNEIDFDKKFQEWRVRGWDLAIFYWQNCTELGQTAFFQVIDHLAAQSKRLSGKSSKKKKDGMNPVPSAPPLPEIRSALFELHQNDFVGRKKK >RHN49324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54038996:54044219:-1 gene:gene44141 transcript:rna44141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MDSKRIIESSSSSSSSPLLFRGNAESQSQQYDSSDDVASNDEQHKQKITSSSSSSSIPPPTLTFTTLVAVFGSYVFGTAIGYSSPTQARIMIDLNLTVAQFSIFGSILTIGAMIGAIVSGTIADYAGRRLAMGFSQLFCISGWLAITIAKDAWWLYIGRLLVGCGIGLLSYVVPVYIAEITPKNLRGGFTAVHQLMICCGMSLTYLIGAFVNWRILAIIGIVPCLVQLLSVPFIPDSPRWLAKMGRLKESDSSLQRLRGKNADVYKEANEIRDYTEALQQQTEANIIGLFQLQYLKSLTVGLGLMILQQFGGINGIVFYANSIFISAGLSESIGTIAMVAVKIPMTTLGVFLMDKSGRRPLLLLSAVGTCLGCFLAALSFFLQDIHKWKEVSPILALVGVLVYVGSYSLGMGAIPWVIMSEIFPINVKGSAGSLVTLVNWLCSWIISYAFNFLMTWSSTGTFFGFAAICGFTVLFVAKLVPETKGRTLEEIQVSLNSNSMKK >RHN45352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17630723:17631145:-1 gene:gene39635 transcript:rna39635 gene_biotype:protein_coding transcript_biotype:protein_coding MLETQISQVAQKVATSSQTLEVFPGQTEANPKGLINAIQLRDCKHLEDTIMKTKTIEGEIESEKQQGEKVIGESDKPIVSPPYKLKIHVPQRLAKPNFIVIESFSTPCVIESETIEKAMCDLGKNLRLMSLSLWERLGIG >RHN52261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33182136:33183857:-1 gene:gene36914 transcript:rna36914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MAAASKVFLSFLLINLSSFFNPCLCDGGGGSGSVDLDQILPGLGQNAIANAQCMHQLLPCQPFLKAPNDPPPTCCDPLKEMVTNSSDCLCQFINNPTMILSLEVSKDDIMKLPKACGIKVDISKCNANAVDGETSSKGASKEGSAESTSSASKESNDASVDSTSSTSTEASAESTSSAKLITPYGITYFGVPAFVAFFTTLLFSA >RHN59046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7043270:7043575:-1 gene:gene21029 transcript:rna21029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MSINSRVQDVIQLLKQSKSPLLIGIWGMAGIGKTTIAQAIYHQIGPYFADKCFLKKRQGSLGTRKWSSFFTTETYF >RHN48876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50845243:50846821:1 gene:gene43641 transcript:rna43641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L21e MPAGHGLRSRTRDSFSRPFRKKGTIALATYLRTYHIGDYVDVRVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEVNKQVRNKILRKRIHVRVEHVMPSRCTEEFRLRKIKNDQLKAEAKAKGEVISTKRKPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >RHN42815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41212920:41216760:1 gene:gene49307 transcript:rna49307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I, cationic amino acid transporter MEDAISSSPSCYPILHDMGTENSGARNEFFPEDSFKSLGDYGKAFMKTPYRLKDRMFTRSKDYMEIVEMKARSSHQMKKTLNGWDLIWFGIGAVVGSGIFVLTGLEAREEAGPAVVLSYAVSGISALLSVFCYTEFAVEIPVAGGSFAYLRVELGDFVAFIAAGNILLEYVIGAAAVARSWTSYFATLCNKNPNDFRIIFHNMNPDYGHLDPIAVIALIAIATLAVLSTRISSLFNKIATIFHLVVIAFIIIVGLINANPENYASFAPFGTRGVFKASAVLFFAYIGFDAVSTMAEETKNPGRDIPIGLVGSMVIITFIYCSLATTLCLMQNYKTIDVNAPFSVAFSSVGWGWAKYIVALGALKGMTTVLLVNVVGASRYLTHIARTHMMPPWFALVHEKTGTPLNATIAMVTATSVVAFFTDLRILSNLLSISTLTIFVLVAIGILVRRYYSTGVTTKDNQVKLIVCVVLIIGSSCGMSVYRAMSDGWIGWAFSAPLWLLGTGGICLLVPQAKKPKVWGVPLVPWLPSFSIAINIFLLGSIDKDSYVRFGIWSGILLIYYFLIGLHASYDASKEVESRHTMAQYVDKEINNVEEECKN >RHN43117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43353942:43357844:1 gene:gene49643 transcript:rna49643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small monomeric GTPase MDSSSGQQEFDYMFKLLMIGDSGVGKSSLLLRFTSDDFDDLSPTIGVDFKVKYVTIEGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSEIWAKEIDLYSTNQDCMKMLVGNKVDKEGDRVVTKKEGIDFAREYGCLFIECSAKTRVNVQQCFEELVLKVLDTPSLLAEGSKGVKKNIFKDKPQSDASASGCC >RHN58315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:721252:722595:1 gene:gene20215 transcript:rna20215 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVVFRHKISSSLAIHFRSPSCLNSCHLQPARFSQHLMGALKESHEQHEGTTKEYNN >RHN42110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35465759:35473197:1 gene:gene48508 transcript:rna48508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amine oxidase, FAD/NAD(P)-binding domain-containing protein MWRRKFTTTISGSAAALTDKKWDALIIGGGHNGLTAAAYLARGGLSVAVLERRHIIGGAAVTEELIPGFKFSRCSYLQSLLRPAVINELELAKHGLKLLKRNPSSFTPCLDGRYLLLGPDKDLNHSQISKFSKADADAYPKYESQLETFCKFMDLVLDSSPPESLQHKSSLNEQLKNKLQNSVFWASCLRHAASMGQKDMVDFMDLLLSPASKVLNNWFEADVLKATLATDAVIGSTASVHTPGSGYVLLHHVMGESDGERGIWSYVSLLLGGMGSISKAIASAAVAAGAHVATNAEVSQLLIKNSSTVSGVILADGTEVHASVVLSNATPYKTFMDLVPNNVLPDDFSRAIKHSDYSSATTKINVAVDKLPQFQCCKSNHSQAGPEHVGTIHIGSESMEEIHSASQDSVNGIPSRRPVIEMTIPSVLDKTISPPGMHVINLFVQYTPYKPSDGDWQDHEYRESFAQKCFTLIDEYAPGFSTSVVGYDMLTPPDLEREIGLTGGNIFHGAMGLDSLFLMRPVKGWSNYKTPLKGLYLCGSGAHPGGGVMGAPGRNAAHLVLQDIRKT >RHN47807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42668122:42669334:-1 gene:gene42450 transcript:rna42450 gene_biotype:protein_coding transcript_biotype:protein_coding MISEPQFAKLHFELSPTSLMVRTRRLDHVSRTLHLLECEPEKFEFGRNNLVKLDPIFKLPLRYGKLFKEKMHKIKNKSKRLFRAPKLLLEKKNDMNNIRDRQSLYISCDIGNSKFNIVNSCNGLLCLSNPYTEKPFSDLQPSHGGVHKTS >RHN51264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15530500:15531201:1 gene:gene35674 transcript:rna35674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Hemopexin-like domain-containing protein MTKSGYVNAVIRSSKSNEAYFFINDKYALLDYGVTGKSGGDKLLYGPTLVRDGFKSHAHTIFGSYGIDCAFDTDNNEAFIFYENFCALIDYAPHSDKDKIITGPKKIADMFPFFKGTVFENGIDAAVRSTVGKEVHLFKGDKYARIDYGTNRLVQGILYITDSFEGFSGTFFEAGMDAAFATHTANLAYIFKGDYYVAVSFTPGSSSNGTMLGPVARISKHWTALTALVPLKN >RHN71031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55948818:55952013:-1 gene:gene19673 transcript:rna19673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative omega-hydroxypalmitate O-feruloyl transferase MSKSMELPDCIYPCQPITSIPPSIPTPKHSLYLSNLDDQKFLRFSIKYLYIFKKSVNLDHLKCSLSRVLVDYYPLAGRLRSCSSNLDDEKKLEVDCNGEGALFVEAFMSITAQELLEPSKLPNKSWRKFTYKVESQSFLDVPPLIVQLTNLRCGGMILCTAINHCLCDGIGTSQFLHAWAQLTKNPQTNLTIPPFHWRHVLKPRYPPTVNLLNPGYTRTQPTPQVNLLKLIQSQPLVPTSFIFNPSHVLYLKKQCVPSLKCTTFEVVAAHTWRSWIRSMNLSLPSTLIVKLLFSINIRTIMNLPKGYYGNGFLLGCAESTIKDLVENNLHHGVKLVQKAKSKVNDEEYIRSTVDLLEDKTVKTDVSISLVISQWSKLGLEEVDFGEGKALHMGPLTSDVYCLFLPVIGGDANAVRVVVSVPESMVENFQYYMKESWEKKIEKNGDHVKNGYHVHENPFF >RHN49496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55212354:55212892:-1 gene:gene44334 transcript:rna44334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP synthase, F0 complex, subunit A MLNKTQIGEEYGLRVPFIGTLFLFIFVSNWSGALLPWKIIKLPHGELTAPTNDINTTVALALLTSVAYLYVGISKKGLAYFGKYIQPTPILLPINILEVPIPVMFLGLFTSGIQTLIFTTLAATYIGESMEGYH >RHN71972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4071183:4074600:-1 gene:gene7637 transcript:rna7637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl-phosphate beta-D-mannosyltransferase MELADRAVGFLLSFISLSIFTYYTFWVIILPFVDDDHFVHKYFLPQEYAILIPVSAGVALICLLCIFIGFVMLKSKKKA >RHN61003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32223504:32226324:-1 gene:gene23410 transcript:rna23410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSFAFRGSRGDIENGFSEYVPERTSMRVRPSRPVHSNCLVFLFAVIMIFVILYSPQMLYYFLRWIILSVFVMVTSLRAYAIYLHLQSQARAHAAAASGLLGHAELRVRVPPSIAFATGGRLQGLRLQLALLDRDFNEIDYDTLRVLAFGTRSMSEEEINALPIHKHKVTGPIKGGSTGSTSSSSEAAEIKQDFKGEEGSANDQEDGLTCAICLDQVQRGELVRSLPCLHQFHASCIDQWLRRKRTCPVCKFKIGAGWLSNNACESDDSDIV >RHN54714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11529809:11530078:1 gene:gene29792 transcript:rna29792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S13 MNMRAGKLSAAELDNIMTVVANPRQFKVPDWFLNRKKDYKDGKFSQLVSNQLDMKLRDDPERLKKIRNHRGLRHYWGLRVRGQHTTTIG >RHN69631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45076174:45079533:1 gene:gene18124 transcript:rna18124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MALSKATFLTHLKTLAHPHLRIRPPYSLCPRFLSFASPEEAAAERRRRKRQLRIEPPLSALNRNQQQSPNPNPKSQSPPYYLNPTDPKLPEHASALTGNRVNLHNTILTLIRQNDLDEAALYTRHSIYSNCRPTIFTINAVLFALFRQSRYSDLLSLHRFITQAGVVPNIITYNLIFQTYLDCRKPDTALENFKQFIKDAPFNPSPTTFRILIKGLVDNNRLDRAMDIKDQMNASGFAPDPLVYHYLMLGHARSSDGDGVLRVYEELKEKLGGVVEDGVVLGCLMKGYFLKGMEKEAMECYQEVFVEGKKMSDIAYNSVLDALAKNGKFDEAMRLFDRMIKEHNPPAKLAVNLGSFNVMVDGYCAEGKFKEAIEVFRSMGESRCKPDTLSFNNLIEQLCNNGMILEAEEVYGEMEGKGVNPDEYTYGLLMDTCFKENRPDDAASYFKKMVESGLRPNLAVYNRLVDGLVKVGKIDDAKFFFDLMVKKLKMDVASYQFMMKVLSEAGRLDDVLQIVNMLLDDNGVDFDEEFQEFVKVELRKEGREEDLAKLMEEKERLKAEAKAKEAEAAEAARNKKGSGILITKMLGLKETESENTDATTTESELAEKINEADGSDEGEAKSDRASEQLTA >RHN63095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48391668:48395053:-1 gene:gene25753 transcript:rna25753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEEINNTPMNVSEETSKWLSDLEMDEYNLFPEECNLNFLDADEEEFLPQEQTQQQCLSSESNSTTFTNSFTDETNFDSFDFDFEIERPTMELNTIFSDNSIIETISPKLSPSSSNSSLHSQILSFDNLPNSPATNTPQFCGLTPTLISKSKQNKTVLVSPPQIRNIHVSTQNPIGLSKNQNFATKTSQTKRSRANADDHIMAERKRREKLSQSFIALAALVPNLKKMDKASVLAESIIYVKELKERLEVLEEQNKKTKVESVVVLKKPDHSIDDDDDDDDNSSCDESIEGATDSSVQVQARVSGKEMLIRIHCEKHKGILVKVMAEIQSFQLFAVNSSVLPFGDSIDITIIAEMGERYNLSIKELVKNLHMAALKFMSSKITD >RHN57917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42557599:42558009:1 gene:gene33508 transcript:rna33508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MELDNLYSNITFISSFLFIFLLLKIVKRWRCNYSTINLPPGPWTLPLTGNIHQIISSSLPHHCFKNLAKKYGPLMHLKLGEISCIIVSSPEMAKEILKTHDLTFCNRPNLLLSTMLKIFPSQNMENIGGNYERYVL >RHN50120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4224635:4225042:-1 gene:gene34375 transcript:rna34375 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGPSKVSNSSTTHGYVNQGRRVPREVVGITGELESMINDHKISKEVIRASSGNALLYGYLGNLRQGDKDNSYSNAMDNYNKYFENANSNARGGHTNNVMSVSEETKSSTNNKLKEGNSGGGSLFVGLYQLEWI >RHN42842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41410850:41416264:1 gene:gene49339 transcript:rna49339 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGDVDADSVLSDVEDDGADPIPITSNSPPPDEVSVEKLREILAELDQEKQARIAAEKAKTELQTSFNRLKVLAQEAIRKRDEFGRLRDDAVREKEESTKLLEETVRQLEESAKERQGLRSEIEKSSHMMVTGIEKISGKVNGFGGSGSALPRSQKYTGMAAVTYGVIKRANEIVEELLKQNDASVKARDQAREQIEQRNYEIAIEVSQLEATISDLRDEVAKKTAAVEGLEKDLVVKDEKLNEVSESLRKEESAGLQLKEFVNNLESKMESLRPLLIDQLNFVSKIHNQICSVYKIVDDAGYSELSESLFVAQETDIDENVRASLAGMESIHELTRIVVQKVRDVVEEKNREIQSLDETVNRLVKEKDQIGSLLRSALSKRMASNPSSNELFLAAENGLREVGIDFKFSKILGDGKLAASNDKPNKTEKEEEDEIYTLAGALEDVVKASQLEIIELQHTVNELRAELSSLKQHIEAQAKELDHRMHRVEELEEKERVANENIEGLMMDIAAAEEEINRWKLAAEQEAAAGTGVEQQFVAQLSTLKQELEEAKQSMLESDKKLKFKEETAAAAMAARDAAEKSLKLADLRSSRLRDRVEELTHQLEEFENREDLRGRNRPRYVCWPWQWLGMDFVGIQQRTDTQQQTASNEMELSEPLL >RHN55808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24051984:24056763:1 gene:gene31074 transcript:rna31074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MAGPSWLVDKSRIATKIKSASGACDPENVTWKSNPTKACPNCQHTIDNSDVVKEWPGLPKGVKFDPSDQEVIWHLLAKCGVGNSKPHPFVDEFIITLEVNDGICYTHPQNLPGVKQDGNASHFFHRAIKAYNTGTRKRRKIHDQDFGDVRWHKTGRTKPVILNGVQKGCKKIMVLYMSSAGGGKAEKTNWVMHQYHLGTEEDEKDGEFVISKVFYQQQQVKLGDKDEQDIPEAIEATIAKVDPVTPKSVTPEPPYTERLYSDVDLGQDTPAIPQPPQMNCLDEIQPYCEEQAKDDLSMVETERNEGKDNNENNADDGQKWCEGTDNNENNADEGQKWWDSESQNLLDSQQLVDALSLCDDLLRSQSPTRDGKDEEQKNQPSLSIYAQLGPEHLKKDIEDCQNLVLEPSNVESNVENDTPSEFRLSQLEFGSQDSFIAFGYNKVVK >RHN61264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34305363:34306884:-1 gene:gene23705 transcript:rna23705 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSMLHLSAIQKEQFESADALLFTQEKYDHDASFISDNYRINVEGICRLTIS >RHN59198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8391707:8395256:-1 gene:gene21196 transcript:rna21196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MKYMDITESRELLGWHAFKTPSPTESYADLCKDYVEYCGGLSLALEVIRSFLLDRSVAEWKNALKKLKTIPNDIIIGKL >RHN54134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7192484:7194117:-1 gene:gene29124 transcript:rna29124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MVSKGPNFLRWHFVLAIVITIFFTSKFSFAKQSKLMGLKMNMIDRCWRPNPEWRKHRQQLATCSVGYAGKMTKNIGKGLNHYKVIDPNDDPIKPQPGTLRYGASVIQGKVWITFKKDMNIKLIKPLLISSFTTIDGRGVNVHIADNACLMISKATNIIIHSIRIHHCKAQTPGMVMGPNGKVIHLGQVDGDAIRLVTASKIWIDHNTLYDCEDGLLDVTRGSTNVTVSNNWFREQDKVMLLGHDDGYVRDINMKVTVVYNHFGPNCNQRMPRIRHGYAHVANNLYLGWMQYAIGGSMGPSLKSESNLFIAPKVGSKEVTWRKIGHTNEDKWEFHSVKDTFENGAAFAVTKGSRVPKPNYSKEQIFQVVDVKSIRSLTRSSGAFQCSKTSIC >RHN80314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37103066:37103959:-1 gene:gene4221 transcript:rna4221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MSATIKFIYAMSLILFIGMEFHLQFCETDEDCRRRGSNQYWVYKCINHGCEYVQR >RHN71119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56597067:56602796:1 gene:gene19766 transcript:rna19766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MDQLSTKLFSIFLIILNLSISGVLSTTFTLVNKCNYTVWPGILSNAGVAPLPTTGFVLQSGDSKSITPPASWGGRFWGRTVCSQDSTGKFSCLTGDCNSGKVECSGNGATPPATLAEFTLDGSGGLDFFDVSLVDGYNVPMLVVPQGGSGDNCTNTGCIRDLLGACPSELKVTTVDGKESVACKSACEAFGTAQYCCSGAYGSPNTCKPSSYSELFKSACPRAYSYAYDDKTSTFTCASAAEYTITFCPSTNNSPSQKAWQGQNPKSDGSDSSSSPQLNNGSNSMVYVGGFDQSEISWATCINVWESQTILTITTAMWLLCRLFHF >RHN57110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36572486:36575734:1 gene:gene32609 transcript:rna32609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MRNSMMFLFCFASQMLVYYFIPSTAAALSLSSQTDKLALKEKLTNGVPDSLPSWNESLHFCEWQGVTCGRRHMRVSALHLENQTLGGTLGPSLGNLTFIRRLKLRNVNLHGEIPSQVGRLKRLHLLDLSDNNLHGEVPMELSNCTTIKGIFLGINRLTGRIPKWFGSMMQLTQLNLVANNLVGTIPSSMGNVSSLQNISLGQNHLKGRIPCSLGMLSSLKMLILHSNNLSGEIPHSLYNLSNIQVFDLGLNNLSGSLPTNLNLVFPNLIAFLVSTNQISGPFPFSVSNLTELKMFDISYNSLHGTIPLTLGRLNKLEWFNIGGVNFGNGGAHDLDFLSSLTNCTQLSMIYLFNNNFGGVLPNLIGNFSTHLRLLHMESNQIHGVIPETIGQLIDLTVLEISNNLFEGTIPESIGKLKNLGILGLDGNKLSGKIPIVIGNLTVLSELGLSSNKLEGSIPFTIRNCTKLQKLYFYSNNLSGDIPNQTFGYLDGLIYLGLANNSLTGPIPSEFGNLKQLSQLYLGLNKLSGEIPRELASCLALTVLGLGGNFFHGSIPLFLGSSLRSLEILDLSGNNFSSIIPSELENLTFLNTLDLSFNNLYGEVPTRGVFSKISAISLTGNKNLCGGIPQLKLPPCLKVPAKKHKRTPKKKLILISVIGGVVISVIAFTIVHFLTRKPKRLSSSPSLINGSLRVTYGELHEATNGFSSSNLVGTGSFGSVYKGSILYFEKPIAVKVLNLETRGAAKSFIAECNALGKMKHRNLVKILTCCSSVDYNGEDFKAIVFEFMPSGNLENLLHGNEDHESRNLNLNFTQRLDIALDVAHALDYLHNDTEQVVVHCDVKPSNVLLDDDGVAHLGDFGLARFLHGATEYSSKNQVISSTIKGTIGYIPPENGSGGMVSPQGDIYSYGILLLEMLTGKRPTDNIFCENLSLHKFCKMKIPEGILDIVDPCLLVSFVEDQTKVVESSIKECLVMFANIGIACSEEFPTQRMLTKDIIVKLLEIKQKLPC >RHN71743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2363531:2366283:-1 gene:gene7375 transcript:rna7375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RuvA domain 2 protein MNTSSLGRDSTTPSHSPFSDTNGICLMRNAWKEDQHPSFIDFISTFLSANSFRLKFVPIAPDFIFNCGGLSVAFIFVTNWDSNNLAPIFNRVQKLKTQFSRFYVVITLPAKEEIDSFTQSYFKFGMVIGKPTFVPVKDFEMGFEKMLKIAHSTGVYKQQRIEEKLKAERKQLVQGMNFYLKVVTSIPGIDNHDANALSQAIGSVQAIAKVSKGQILENTDLSTDKAEMISRFLRDPKSYLRPKIN >RHN39852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11078588:11079446:1 gene:gene45930 transcript:rna45930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ste24 endopeptidase MVYLVMVDLTLPNIVLQDKNDEEVLAVIAHELGHWKLNHFVPLYVAMQFVPLLNGGGFTLARNSTDLFQSFGFHSQPVIIGLIIYLVLSKIITGMDGSKTSYFH >RHN39211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4997598:4997987:1 gene:gene45215 transcript:rna45215 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPAKKKLWFAFATAFTMARRAPKEVLALDELKVAVLAAFQEARNARDEAIALQKKEELEADKTLPTDMVPGADERRRKKNGMRKRKREKREEVEADRAVLADTSPSDDERRGKKNEMTERKRKKLNY >RHN55017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14437773:14438830:1 gene:gene30134 transcript:rna30134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MKKPVPLIFSQCLKLLLIKFVLLSETIIAEPRAKTVMITCGHQVEHNTSIFVPNFVATMEKISEQMRSTGFGTAVTGTGPDDNYGLAQCYGYLSLLDCVLCYAEARTVLPQCFPYNSGRIYLDGCFMRSVNYSFFSEYTGKEDRTVCGNTTKKSSGFQAAAKEAVLKSGSRCTEK >RHN62011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40040302:40041474:1 gene:gene24531 transcript:rna24531 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKMWDLLSESKRIINSQPRHYLNLYLIFLLPLSFLSQIFKHLQQQPPRNHSTITFLSIIFLLISSIFSYGAVITITYSVFHAFFDRPVKLKEAIKSITTSFFPLFATSIITYTLYFFVSFFLTFLIGIVLFLIVYLGNIDLIRVIANPQLIAIVLMLVIFPLILYLEVNLILVRVVVVVESSWGLEPLKRSWKLVKGMKWLGFSTMFLFVSLQMILAWISSYSWVLIFLVSPIAAVLSIYYIAVCTLLYIYCKEKHGEVEEELEVGKEKDEANLSLIPL >RHN67830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30576083:30576897:1 gene:gene16069 transcript:rna16069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSMGIFCSLDPDIIRAHILPRLDGTTLTILSCVSSELRHMICNNEDLWRNICTSTWPSLLLDPIANNVISTFPGGYRSFFSFNHHNNNSYSWYLFAELIHTIDIYLHGEPLLSRVLVGCLTTENTTLIYDSTLGPTIHIPLKEGWFDYLTKNLTLSWIVIDPTRKCAVDLFHSYRNPLWFTGSSGGRNMIQFGIGMTRKGQLWPKMDICKLELTFDCKGCNDVDGDWLQLHLRRVVMVNHMLKVG >RHN78553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16175109:16178051:1 gene:gene2137 transcript:rna2137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MDGQETSLEIFEKFTWKIENFSRLNVDKLYSEPYVLSGYPWRIALFPKGSSSAVDQLGIFLEAMKTANMSEGWKRDAKFKFAVFNQVEDNRTITKETSQEFSASEDEWGYFSFMTLAALRDPGRGFIVNDTCIVGAEIFVCKSAHEKQINQTVKMEVELPRPKPEDRGPNIETVSPVSSLVFIEPTKDPDAELVFAALGKVLYFLKTRKARDMNEQACKDLQVLWEELAKFKFDITWLESHVHYALGIKRYMEKALEAEKMKENMVVLELEMERLKVKSLAAEMNLDMERDLLKSKGFKELDLDSELGP >RHN47909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43490985:43494532:1 gene:gene42563 transcript:rna42563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MATTTFCIFLFLLSITFQIFNLTSSSLEVDTLLSFKSTIQDSKKALSTWSNTSSNHFCNWTGISCSSTTPSDSLSVTSVNLQSLNLSGDISSSICDLPSLSYLNLANNIFNQPIPLHLSQCSSLKSLNLSNNLIWGTIPSQISQFVSLSVLDLSRNHIEGNIPDSLGSLKNLEVLNMGSNLLSGDVPNVFGNLTKLEVLDLSMNPYLVSEIPEDVGELGNLKQLLLQGSSFQGEVPESLKGLISLTHLDLSENNLTGEVSKTLVSSLMNLVSFDVSQNKLLGSFPNGLCKGKGLINLSLHTNRFTGLIPNSTSECKSLERFQVQNNGFSGDFPIVLFSLPKIKLIRGENNRFTGKIPESISEAVQLEQVQLDNNLLDGKIPSGLGFVKSLYRFSASLNHFYGELPPNFCDSPVMSIVNLSHNSLSGSIPQLKKCKKLVSLSLADNSLTGEIPNSLAELPVLTYLDLSDNNLTGSIPQSLQNLKLALFNVSFNQLSGKVPYYLISGLPASFLEGNIGLCGPGLPNSCSDDGKPIHHTASGLITLTCALISLAFVAGTVLVASGCILYRRSCKGDEDAVWRSVFFYPLRITEHDLVIGMNEKSSIGNGDFGNVYVVSLPSGDLVSVKKLVKFGNQSSKSLKVEVKTLAKIRHKNVAKILGFCHSDESVFLIYEYLHGGSLGDLICSQNFQLHWGIRLKIAIGVAQGLAYLHKDYVPHLVHRNLKSKNILLDVNFEPKLTHFALDKIVGEAAFQSTLDSEAASSCYIAPEYGYNKKASEQLDVYSFGVVLLELVCGRQADQKDSSDSSLDIVKWVRRKVNITNGVQQVLDTRTSNTCHQQMIGALDIALRCTSVVPEKRPSMLEVVRGLQFLESRTCVANLQGANDEPSIPV >RHN57225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37402389:37404231:1 gene:gene32735 transcript:rna32735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MEDSSHKLSDPNQREIAKEIKLDPRLTSFIKKDNSFLTRWSWDPNASKNELGSTSTKGENLSFPPESYFSHEVLVFPCLMSSFVVSFKTLGLWRYLTVASLVPLFTKVQDLRPEMENINITLKVVNVKKVSSKGHMPVTESLVGDETGIIILRAVGADKINRVKEGSTIVLHKAKIIMYRGSMRLGVCRAEDIEEAPPAAFTIKEDCNLSLIEYERIQVQC >RHN59509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11542052:11554258:1 gene:gene21550 transcript:rna21550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Agenet-like domain-containing protein MSDLTFRVGETIESKTFITGYRGAWFRCKIVKIGKKDGVASYSLAYPDYPDQKISQIKVYQIPSHITKSKASKKELMVRPPFPTIYRESEKLDVNAFSEVIVIVNDEWKVGDLVDWFSDGCYWCGKVTEVFGNDKVQIDLLPPPLGEGSSYEALTKDLRPSLDWSPEKGWTVCMPTEEGCRCPARIMNPASSDGSVNVGQSSYRTVERREQRSSVGNSVDIEEAGSKSGGTSISSSHIMDASIENFERTASNSRCNDDDYPAKKMRSNLSLCLNSMSSNTMESAILDLEELVNKIKWLRAVLKSEVPLSGAKRPSWEFLQHHASCI >RHN55348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17531787:17532871:-1 gene:gene30518 transcript:rna30518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin protein, neddylation MMLFQYLQEKDLFEKYYKLHLAMRILSGKTVSDDAERSLIIKLKTECGYHFTSKLEGMFTDMKTSLDTMKDFYADHPKLGSGPSLTVQVLTTDMSALSEKFRSYYRSNHTGRRLYWQTNMGTAVLNATFGKGQRHVLNVSTYQMCVLMLFNNADRLSYKEIEQATEIPASDLKRCLQSLALVKGRNVLRKEPTSKDVSEDDAFYVNDKFSSKLYKIKIGTVVTQKESEPEKLKTRQRVKEERKPQTEAAVLRSRFLANPTEVKKQIESLIERDFLERDNSNRKLYRYLA >RHN70095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48722123:48724083:1 gene:gene18643 transcript:rna18643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MLSYFLMANKIPRAKPVHFFKIILTQNLHHGKLMMPRKFVEKYGECLPKTICVKTPNGVNWKLNLVKSDGKIWFQKGWKEFAEYHSLAHGHLLLFKYERTSLFHVHIFDKSALEINYPLTRVEDKRVFNCQGKKPSNNEDCRASQKRKTNSSFEIGSSSCVNVRKFQKAAVHHIDRKGKPVIVDADKVTTLERAKSFKTCNPSFVVVMGASYVEHHFLLTIPSMFGKRHFDLNKKRGDIHFQLSNGRVWPAKYRIRMSHTGLRFELSSGWKTFAKDNNLKVGDACNFELILSTNMTFQVHIFRETDKDNTNCSTSQSRINWCLIFFPIPFLI >RHN56064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27310751:27311789:1 gene:gene31390 transcript:rna31390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fascin, glycoside hydrolase, catalytic domain-containing protein MSNRLGPSEPKELFPLANGLMRSVIDVHYYNIFNDLFENMIAQQNIVFIYNNRSSELNFITTSNGPLTFVGEWASDW >RHN54718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11559318:11559587:1 gene:gene29796 transcript:rna29796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S13 MNMRAGKLSAAELDNIMTVVANPRQFKVPYWFLNRKKDYKDGKFSQVVSNQLDMKLRDDLERLKKIRNHRGLRHYWGLCVRGQHTTTTG >RHN65716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4689935:4691347:-1 gene:gene13590 transcript:rna13590 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWYNTVAEFSSRKYIKNLFSSLDLFQFYEGYFHYKYRLCIIVNIEIEGAETMVYKGNNKTRVCL >RHN42887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41696894:41700441:1 gene:gene49387 transcript:rna49387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFTRFYALPHLYNSSSMHISLVSISQKQPSFLLFATRLFSTQQDVYFANLNITALSRAGNITAARQLFDKTSQKDIVTYNSMLTAYWQNGFLQHSKSLFNSIPIKNIVSWNSIITACIQNDNINDAFSYFTAMPEKNVASYNAMMSGFVKMGRVEEAKKVFEEIPRPNVVSYTVMIDGYMKMEGGSGIKRARALFDAMPSRNEVSWTVMISGLVENGLHEEAWEVFVRMPQKNVVAFTAMITGFCKQGKIDEAWNLFQQIRCKDRACWNIMITGFAQNGRGEEALNLFSQMVRTGMQPDDLTFVSLFTACASLALLDEGRQTNALAIKHGLNSDLSVSNALVTMYSKCGEIVISELAFDQISHPDIVSWNTIIAAFAQHGLYDRARYYFDHMVTAGVTPDGITFLNLLSACCRAGKVDETVNLFDLMVHKYGILPRSEHYSCVVDVMSRAGQLLRACKVIQEMPFEADASIWGAFLVGCNIHSNVKLGELAARSILNLDPYNSGAYVMMSNIYAAAGKWKDVNRMRVLMKEQGIKKQTAYSWMQIGNKLQCFVGGDPSHPNIDDIHDASMMITLHMKAKGNSEEEAIS >RHN53214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:155160:156076:-1 gene:gene28102 transcript:rna28102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MESSSSLISELPQNQRSVNCSIAPMMTSESNRPFFIAVCTEVICELDPLTIAELFDELDDQWTLIDGLANVHEVEFNKSMLMPIIIRGWDKFRNYYNITSNPLMSFTYVGNSIFLIKLFNGSTPNNEYPRYHTLTTSCLKDLTFEVDMPDTSPITSKLILPPAFGIFFQTINHEYLRVCGGSNTITICKLVFKKDVKSNTRTVIITRGWRSFCFHNDISPKSLLQFKCDSIMAKNIIFVQKVYRRY >RHN58369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1186522:1187538:-1 gene:gene20275 transcript:rna20275 gene_biotype:protein_coding transcript_biotype:protein_coding MKILPQQQLNGFVNMDQLLTLLFNDLTNEGLVKKSNKHKAVFLGDQELEGVHQFQSFIDQYNMDYISLNDMEKQSSILDGTVDFVFTSNFPASSQFIDRTLKTNGIAAVVILNAAAFHKPSNYKVAYMRRFQKVVMAMKKITTSPVKLGSQRKLLGYATEAKRAALQKLEDVLLEPPRAASGKSRVYLKRIKYLPDLMGDTLESYPRRVFIDVGLPQKDGGSGTDWFSKNYPTRNKNFEMYKIETVVESSPTAQVEMSDWLMKNVKDEEYVVMKAEAEVVEEMMRSKSIMLVDELFLECKPQGLNLKRGTRGKRAYWECLALYGKLRDEGVAVHQWWG >RHN42668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40172114:40172901:1 gene:gene49144 transcript:rna49144 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLPTCIFIISITCTTTTTLAYYHSFFIFSIIVLITLSEMIAENHISHETYPQKIQIVSKSVSDRLLLKFYDEPQFDFDYEKSGLWSPPVPRTVFLSSPGSIFTDQEMLERLRSKNARSRTKKIRICFCVQ >RHN73658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18646070:18647810:1 gene:gene9500 transcript:rna9500 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKHLIFELFICTLMFISVVEIEQSKNGKQFGATKDVISKVETVPWKPWGGGWINYGEKGGAGRKNGEQGSGGNRGGKSSQGGGEQKGGSENGGNEEP >RHN73828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20472269:20481220:1 gene:gene9703 transcript:rna9703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MDADFEGKNQHLYEAVVPEMKGAVGRGSKDWDLNDWRWDGDLFTAKQLNSVPTDCRNRQFLPEIRENVDVSNNLISGEGSRELEKRRRGFGGEGLEMNDDFGSLNLNLGGQVYPIMDGEEKSGKKTKIAPVPTSNRAVCQVEDCRADLSNAKDYHRRHKVCDVHSKASKALVGSVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTHPDTAVVNGGSPNEERGSSYLLMSLLRILSNMHSNGPDHTRNLDGLSHLIGNLTSLAGTFNGRNIASLLEGPQELVKAGTSGAAQNVPNSNPNGAEPSRPDSSIEMTNGLIHQDPPESRLQCATVPANHLTQKCIPSSSVGVGCLKPPLIPQSSNLVPSRGSLPPRPVATETTVGRNRLCNIDLNNVYDDGQDYVENPENSNPPLALGVESRDHSSFVQYESLKSSPPQTSRNSDSTSTQSPSSSSGEGQSRTDRIVFKLFGKDPNDIPHVLRSQVLSWLSNSPTEIESYIRPGCIILTICLRLENSAWDELCYNLGPSLRKLLAASNDSLWRTGWIYTRVQHSVAILYNGQLVLDVPSRLGSPQNCQILCIKPLAVSANEDVKFTVKGLSLFLSSARLLCALEGKYLVEDMCYDLIDGADAAIGHHELQTLSFSCHIPNMTGRGFIEVEDNSLSSCSFPFIVAEQEICSEICSLETIIEAAETADDIQIKAKLMEEKTRAMNFVQEMGWLLHRIRIKFRLGPMTPVQDRFHLNRYTWLVGFSMDHDWCAVMKKLLDTIFEGEVDTGEHISAELALLNMGLLHKAVKRNCRPMVELLLNFVPVKASDGGDSKEMQVNKVPDGFLFRPDTVGPAGLTPLHVAASMNGYETVLDALTDDPGMVGIEAWKSAKDNTGLTPNDYASLRGHYSYIQLVQRKTSKSSQTQHVLDIPGTLVDGNTMKQSDGHKSSKVLSLHTEKIATTAIPNHCGICQQKLAYGSVGGMRRALVYRPAMLSMVAIAAVCVCVALLFKSSPRVSYVFQPFSWESLDYGSI >RHN42183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36208453:36210806:1 gene:gene48594 transcript:rna48594 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MKAMALKCYNILVLIFLLFNVSFYENVVLAQKNGLGASFIFGDSLVDAGNNNYLSTLSKANIPPNGIDFKASGGNPTGRYTNGRTIGDLVGEELGQPNYAVPFLAPNATGKSILYGVNYASGGGGILNATGRIFVNRIGMDIQIDYFTITRKQIDKLLGQSKARDFIMKKSIFSITVGANDFLNNYLLPVLSVGARISQSPDAFVDDMINHFRGQLTRLYKMDARKFVIGNVGPIGCIPYQKTINQLNEDECVDLANKLAIQYNGRLKDMLAELNDNLPGATFVLANVYDLVMELIKNYDKYGFTTSSRACCGNGGQFAGIIPCGPTSSICNDRYKHVFWDPYHPSEAANIIIAKQLLDGDKRYISPVNLRQLRDL >RHN60882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31365419:31367439:1 gene:gene23275 transcript:rna23275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MHYLFFFPPSILERSFNYTPFSLHYIQLLLLKKPLLPTITHSLNIYKPNKSINLTSIQLISMKGMDMFCSSPSSTAIIHSSIDQRSILRRSRTTKNYDHERRKNQLHHVPCSSQLPINPMPYFEKHRKSTTDKQKQSSSTEIRRKSSSHVNDMSSTRYLLDDAPAPFIDWISESSKMVSMHDVKDMSLDMKRKNDSHALIRTSSSPLASKDQVVVLRVSLHCKACEGKVRKHISKMEGVRSFTIEMETKKVTIIGDVTPLDVLASVSKVKSAQLWSSTISSSSSSLSSSSSSTLAFPRLTQ >RHN40611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18168708:18169366:1 gene:gene46781 transcript:rna46781 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFVPEGGSLIRPPYFDGTDYYYWKGKMRLFLISQDSHMWPVVESGNFVPMTTATTTSPSVVKPQAKWLKEENEKVLLNYKAQLFLTCALSREEYDRVEECTTAKEIWEALKIHHEGTSHVKEERIDMGVKKFETFEMKESETIDEMFARLTIIVNELCSLGKIYTTHERVRKILRSL >RHN55202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16074658:16076924:1 gene:gene30345 transcript:rna30345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Glycosyltransferase family 92 MDTEQRRKRKRVVPTKHLHISSRSLFLCFSFFIFLIFLSNYHGFFTHKPSTLSLLYSSASNSIFDPLQPSTKTTSKTTLSLSLQHQILFPNQYLMIFNKINQPHESLECVYYTLANNGSTKPVLDVHVEPVLSMDFYDEFRSIARCPFLQTNSTISGGVKVVDLRRSGDVGHRSFGVLKNQTPQSWDRVAYEASLDGDTVVVFVKGLNLRPHKISDPTNFRCHFGLRSFHKDGAGAAFLLSTKAVSVAQELVRCVLPQSVMNKPEKARGVRVTVSHLSGNLRHPVRTLLPSVARIGGGSDYRKKNGEKFELCVCTMVWNQGYALREWIMYHSWLGVERWFIYDNNSDDDIEKVINDLDSEGYNVSRKVWPWIKTQEAGFSHCALKAREECKWVGFFDVDEFFYFPNEFRRNKIGEGSSSGVPGEKSLRSMVANFSSSTTIAEIRTTCHSFGPSGLNSKPKQGVTIGYTCRLQSPERHKSIVRPDMLDTSLLNVVHHFQLKEGYDYYNMPEGSAIVNHYKYQVWESFKQKFYRRVATYVADWQEDQNKGSKDRAPGLGTEAIEPDNWRLRFCEVWDTGLKDYLLSYFAHPVTGLMPWEKSFL >RHN47923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43599575:43602037:1 gene:gene42578 transcript:rna42578 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3,9-dihydroxypterocarpan 6A-monooxygenase MVDYQGYILLFIIWLVSTIFVKAILTRKYKKSKLPPSPLSLPIIGHLHLIGSIPHQGLHKLSTKYGPIIHLFLGSMPCVVASTPESAKEFLKTHETYFSNRPQSSAVDYLTYGSQDFSFAPYGPYWKFIKKICMSELLGGNTLSQLLPLRRQETTRFVSFLLKKGKENEVIDVGRELLKLSNNVISRMIMSQTCSENDGEAEEVRKLVQDTVHLTGKFNISDFIWFFKNWDVQGFSKGLEEIRDRFDSMMERIIKEHQEVRRRRKEVGGGEGQIKDLLDILLDILEDESSEIKLKMENIKAFILDIFIAGTDTSALTIEWALAELINNPHMMEIARQEINDVVGNNRIVEESDIINLPYLQAIVKETLRIHPTGPLIVRESSEKCTIQGYEIPAKTQLFVNIWSIGRDPNYWDNPLEFRPERFINEVGNLDVRGQHFHLIPFGSGRRACPGTSLALHVVQTNLAAMIQCFEWKVKGGNGIVNMEEKPGLTLSRAHPLICVPVPRFNHFPSM >RHN53364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1208297:1211133:-1 gene:gene28265 transcript:rna28265 gene_biotype:protein_coding transcript_biotype:protein_coding MLICMITSAEKMKKPVAKAPAPSKKTPAKNGNVKKAQPETTSEESDSDDSSSSGEEEVKVSAANLKSHLEVYCMIFC >RHN62639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44862163:44864542:-1 gene:gene25234 transcript:rna25234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MLFASPKAMHVQFPNYLFLTIFLILNLNLFIPNASSLTFNFTSFDPNDKSIIYEGSANPASSAIQLTINYGSIGRATYYQPIHLWNKITNNLTDFTSHFTFTIDSQNRQMYGDGIAFFLAPYGSKKPNATKGGSMGLTLDNQRLNSTDNPFVAVEFDIYRNHWDPPLEHAGIDINSMLSVANVTWLADIKQGRLNEAWINYNASSLNLSVLFTGFNNVTSSIVNQHLSSIVDLRLYLPEFVTIGFSAATGNRTAVHSISSWDFSSTLEGQQDNNKTNTQDPVTKSPSSNKKAKTGLAVGLGTGGFVLIGVFGFIWVFLWRKRREQEDGEFQEYMGEDFGRGTGPKKYTYAELANAANNFKDEHKLGQGGFGGVYRGFLKDTKSYVAIKSVSEDSHQGIKEFASEVTIISKLRHRNLVQLIGWCHQRKKLLLVYEYMPNGSLDIHLFKKQSLLKWGVRYTIAKGLASALLYLHEEWEQCVVHRDIKASNIMLDSEFNAKLGDFGLARFVDHAKGGQTTALAGTMGYMAPECATTGRASKETDVYSFGIVALEIACGRKPIINAQENEINIVEWVWGLYGRGRIVEAVDPRLDGDYEEEQIKCMMIVGLWCAHPDPNNRPSIRQAIQVLNFEVPLPNLPSSMPVPTYLDGPLNSFTAPFNTNGSEEGPNMNIESFSSNTNSSGLTTTTTSNDVSPSM >RHN77431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6831355:6834352:-1 gene:gene883 transcript:rna883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MAGRRDALLTRDKNHSITASRIAVAVFIGVLLGCIFAFFSPHGFFISTTSTQTILSHKIVSTECESPEQVNVLKLNIQSAKEKNFELKKQVKGLMEKLRLAEQGKGHATEQFVVLGEKHKAGPFGTVKALRTNPSVIPDESVNPRLAKLLAEIAIYKEVVVVLANTNVLEMLQVWFTNIKKVGISNYLVVALDDRIEEFCKSNDVPVYRRDPDQGVDSIAKSGGNHAVSGLKFRILREFLQLGYSVLLSDVDIVYLQNPFDYIYRDSDVESMSDGHNNHTAYGFNDVFDEPKMGWARYAHTMRIWVFNSGFFYIRPTLPSIELLDRVADRLSKEKAWDQAVFNEELFYPSHPGYDGLHAAKRTMDMYLFMNSKVLFKTVRKDAKLKKLKPVIVHVNYHPDKLARMKAVVEFYVNEKQDALDSFPDGSD >RHN66105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8569445:8570612:1 gene:gene14020 transcript:rna14020 gene_biotype:protein_coding transcript_biotype:protein_coding MAYINLSKIIFSLMVLAILSTKSNTMVLGARNLLESNIPEVPKLDFPPIPKPELPTIPELPKPELPKVPELPKPELPKFNVPELPKLEFPKLPELPKVPELPKFPELPKPELPKVPELSMPEIPKIPELPKPELPKLNAPELPKLEQPKVPELPKHELPKVSELPKPDIPKVPELPKPELPKVPELPKPELPKVPELPKPEIPKVPELPKLELPKVPELAKPELPKFNVPELPKPELPKVPETPKGVPTNTP >RHN56889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34491244:34491712:1 gene:gene32353 transcript:rna32353 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIKLGVLVCSSLPLYLSCFVESIAQHFDTSQSHFTSTSKVNMMNKEKEDASTIANVAAQNHFRQIQHFC >RHN71037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55994626:55995625:-1 gene:gene19679 transcript:rna19679 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRNAVVAWKKLLQHKEFGSKVQSVRESLRLWQDQFIRAIHEKKLKLQSLHAIAVGRDMKRLEMQLRKYVTKKKIQDRVHFVKETPALAPYPAFIDVPSKARGEYFGKITIEQGEKVKSTVEIEVIGTPGFMQSVATHVKKRLTMGKKACGRVKERFQEYHMSSHRNCSGSQGSFTEVSHKLLV >RHN62940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46905301:46910012:1 gene:gene25580 transcript:rna25580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MKISTLHVVVLLLLCFISMPLLVIGQENLDKDTTLRVLLEVKTFFLQDPQNVLSDWSQDNTDYCSWKGVSCGLNPLVDDSEHVVGLNLSDSSLTGSISPSLGRLKNLLHLDLSSNCLTGPIPTNLSNLVSLETLLLFSNQLSGSVPVEFGSLTSLRVMRLGDNALTGMIPASLGKLVNLVSLGLASCELTGSIPPELSQLGLLENLVLQDNGLMGPIPSELGNCSSLTVFTASNNKLNGSIPSELGQLQNLQLLNLGNNSLAGEIPSQLGDMSELVYLNFMGNQLEGAIPPSLAQLGNLQNLDLSMNKLSGGIPEEFGNMGQLGFMVLSGNNLNSVIPRTICSNATNLEHLMLSESGLFGEIPAELSQCQSLKQIDLSNNSLNGSIPLELYGLVELTDLLLNNNSLVGSISPFIGNFSSLQTLSLYHNKLQGDLPREIGMLEKLEILYLYDNQLSGDIPMEIGNCSSLQMIDFFGNSFKGEIPITIGRLKELNFLHLRQNELVGEIPATLGNCHKLNILDLADNQLSGAIPATLGFLESLQQLMLYNNSLEGNLPHQLINVANLTRVNLSKNRLNGSIAALCSSKSFLTFDVTDNEFDGEIPPQLGNSPTLYRIKLGNNKFSGEIPRTLGKIHDLSVLVLSGNSLTGPIPAELSLCNKLAYIDLNSNLLYGQIPSWLGKLPQLGELKLSSNNFSGPLPLGLFKCSNLLVLSLNENSLNGSLPADIGDLTYLNVLRLDRNKFSEPIPPEIGRLSKLYELQLSRNSFNGEIPSEIGKLQNLQIIVDLSYNNLSGGIPYSLGTMSKLETLDLSHNQLTGKIPPQVGDMSSLEKLDLSYNNLQGKLDKKFSRWPDDAFEGNLNLCGSPLDRCDSDDTSGGKQSRLSESTVVIISVISTSAAIALLILSVRMFCKNKQEFSREDSKVTSYVYSSSSSQAQRRPLFQLRAAGKRDFNWEDIMDATNNLNDDFMIGSGGSGKVYKAELASGETVAVKKISSKDDFLLNKSFLREVNTLGRIKHRHLVKLIGFCSSRNKGASSNLLIYEYMENGSLWDWLHRKPNIASKVKKNLDWETRFKIAVGLAQGVEYLHHDCAPKIIHRDIKSSNILLDSKMEAHLGDFGLAKALIESYDSNTESNSCFAGSYGYMAPEHAFSLRSTEKSDVFSMGIVLMELVSGKMPTSDFFGADMDMVRWMEMHINMHGSTREKLIDPELKPLLPSEEFAAFQVLEIALQCTKATPQERPSSRKICDLLLHVFNNRRMDLEKMKLDYHK >RHN49828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1511547:1515144:1 gene:gene34051 transcript:rna34051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative formate dehydrogenase MAMKRAASTLITASSKISSLSSPSSIITRDLHASGGKKKIVGVFYKGGEYASLNPNFVGCVEGALGIREWLESQGHEYIVTDDKEGLNSELEKHIPDLNVLISTPFHPAYVTAERIKKAKNLELLLTAGIGSDHIDLNAAAAAGLTVAEVTGSNTVSVAEDELMRILILVRNFLPGYHQAITGEWNVAGIAHRAYDLEGKTVGTVGAGRIGKLLLQRLKPFNCNLLYHDRLKMEPELEKEIGAQFEEDLDAMLPKCDIIVMNMPLTDKTRGLFDKNRIAKLKKGVLIVNNARGALMDTQAVADACSSGHVAGYSGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAAGVKDMLEKHFKGEDFPEQNYIVKEGQLASQYR >RHN65540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3041146:3064282:-1 gene:gene13387 transcript:rna13387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MGFEPLVWYCKPEPNSIWSKTVDSAFGSYTPCAINTLVISTSNLVLMGLCLYRIWLIIFNAKAQRFCLKTNYYSYILAMLASYCAFQPLLRLWTGNSAFNLNEETVFAPFEVTGLIIESLTWFSMIILILLETKVYIRQFRWLVRFGVIYVLVGDIVMLELVLSVKDYSSRSALYLYISTVICQVLFGILLIVYIPNLVPYSGHTTFQADIPNNGEYEPLCGDDQVCPEMRASFLSRLSFGWITPLMKQGYRKPITEKDVWKLDKWDQTDTLSEKFQKCWVSEFQSSNPWLLRALNNSLGKRFWFGGIYKIGYDLSQLVAPILLNHLLDSMQNGDPSWIGYVCAFSIFVGVSVGILCETQYFQNVMRVGFRLRSTLVAAIFRKSLRLTHESRKKFSYGKLMNMIATDANALQQICQQLHGLWSAPFRIIIAMVLLYQQLGVASLVGSLMLVLIIPLQTFVIGKMKKLTKEGLQQTDKRVGLMNEILSTMDTVKCYAWETSFQSRIQSIRHEELSWFRKAYLLYALNSFILNSIPVLVTVTSFGMFTLLGGELTPARAFTSLSLFTVLRSPLNSLPNLLNQVANANVSLQRLEELFLAEERNLKQNPPIVPGLPAISIKNGYFSWDPKEEKKPTLSNINVEIPVGSLVAIIGGTGEGKTSLISAMLGELPLVSDGNATIRGTAAYVPQISWIYNATVRDNILFGSNFDHGRYLKAIDVTSLEHDLNFLPGRDFTEIGERGINISGGQKQRVSLARAVYSNSDVYIFDDPLSALDAHIAQEVFRNCIKEGLQGKTRVLVTNQLHFLPQVDKIILVSEGMIKEQGTFEELLKCGPLFQKLMENAGKMEQEVDGQDTNDVLPLDNGTIVELANDLSYGKKGKFQKSVLVKQEERETGVVSWKVLMRYTSALGGIWVVSILLACYTLTEALRISSSTWLSVWTSQDSTAASRAGYFLFIYAIFSFGQVSVALANSYWLITASLRAAKRLHDAMLDKVLRAPMIFFQTNPVGRMINRFAKDTGDIDSNVYNLVNIVLGQLWQLLSTFVLIGTVSTISLWAIMPLLIFFYVAYIYYQSTSREVKRMDSITRSPVYAHFGESMNGVSSIRAYKAYDRILHDNGKFMDNNIRFTLANISTNRWLTIRLESLGGLMIWLIATFAVLQNARSENPTLVASTMGLLLSYTLNITSIMSSTLRQASKAENSLNSVERVGTYIDLEAEGQSIIETNRPPPGWPTKGSIEFENVVLSYRPELPPVLHGLSFVVSSMEKIGVVGRTGAGKSSMLNALFRIVELQSGRIIIDGCDISTFGLADLRRVLTIIPQSPVLFSGTVRFNLDPFNEYNDVDIWEALERAHMKDVIRRNQFGLDAQVSEGGDNFSVGQRQLLSLARALLRRSKVLVLDEATASVDVRTDALIQKTIRQEFNSCTMLIIAHRLNTVVDCNRILLLDAGKVLEYNSPKELLQNEETAFYKMVQSTGPANAEYLCSLVFGRKENNSNEYNKESENGMRQLASTDWTAATQFAIASTLSSLHQHLQSPNTKDDKDILNRT >RHN42893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41745217:41750849:-1 gene:gene49393 transcript:rna49393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MEAFGGYLVDEKAVRVENAFLDFLKSFRSGQRNELYYEAEIEVMRANESNTMFIDFEHVIRFSDVLQKAISDEYLRFEPYLQNACKRFVMELKPTFISDDNPNKDINVAFYNIPIVKRLRELATSEIGRLVSVTGVVTRTSEVRPELLQGTFKCLECGGVIKNVEQQFKYTEPTICPNATCNNRAKWALLRQESKFTDWQRVRMQETSNEIPAGSLPRSLDVILRHEIVEHARAGDTVIFTGTVIVIPDILALASPGERSECRREASQRKGASSGNEGVRGLRALGVRDLSYRLAFIANSVQICDGRREIDIRNRKKDSDEDDLQFTPQELDEVQRMRNTPDFFTKLVESVAPTIFGHQDIKRAILLMLMSGVHKSTHEGISLRGDINVCIVGDPSCAKSQFLKYTSSIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPAGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDDPDDVTDYHIASHIVRVHQKREEALAPTFTTAELKRYIAYAKTLKPKLTSDARKLLVDSYVSLRRADTNPGSRVAYRMTVRQLEALIRLSEAIARCHLDNQVQPRHVRLAVKLLQTSIIRVESSEIDLSEFQDQDMDEEAGSGDGNDNNDADGQVGNSTTQEAAGTNEKPADGSNSQRKKSTVTDEYFQRITKALVMRLRQHEETVMQEGSGLAGMKQRDLIKWYVDQQNEKNNYSSVEEAKVEISQIKAIIEILIRREGHLIVIDDGRQAASEAAGAEQSESAARNDRTLAVAPNYVVD >RHN78048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11504515:11508172:-1 gene:gene1563 transcript:rna1563 gene_biotype:protein_coding transcript_biotype:protein_coding MKASQSRQKSYHDKRRKDLEFQEGDHVFLRVTPLTGVGRALKSRKLTPKFIGPYQISERIGTVAYRVGLPPHLSNLHDVFHVSQLRKYVADPSHVIPRDDDVQVRDNLTVETMPLRIDGRKVKSLRGKEIPLVRVVWGGATGESLTWELESKMRESYPELFA >RHN57951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42722384:42726452:1 gene:gene33544 transcript:rna33544 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYGLNLRPAKPKKQPPRPSIALGFNEDDDNDVEKEIAIQASKSKSLKEVEEQQRKALEEDPTIFDYDGVYDKMKEKVARPLIQDREERKPKYIQNLIQKAKEREQYREIVYEKKIAKERSKDDHLFADKDKYVTEAYRRKLAEREKQMELERIRELQEEREDVTKKKDFLLDFYTNLDKNVAFGAKDAQGRKRDNRAENRVLEKREGMSPDASNQHQDGNTDEEQHSLAKTSSPEESPGKKIGDQGETSNLSNISASPLAMRPNPDASAEEKSPVEQPPASQPNPEHHKRSQDAVAAAKERFLARKRAKQQ >RHN81465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46168971:46172633:1 gene:gene5513 transcript:rna5513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MNNSVASENSFIIESDEEDDKDFNKGDDGNDSDSSNYSNENPPQRKQSSYNPSWPQSYRQSIDLYSSVPSPSIGFLGNSSLTRLSSSFLSTSLTRRHTPEVLPSVTKPLIQPTEEEKHQRRSSHTLLPPLSRRSSLLKKESKVSHEVPSRHCSFGQAVLNGINVLCGVGILSTPYAAKEGGWLGLSILFIFGILSFYTGLLLRSCLDSEPGLETYPDIGQAAFGTAGRIAISIVLYVELYGCCIEYIILEGDNLASLFPNAYLNLGGIELNPQTLFAVVAALAVLPTVWLRDLSVLSYISAGGVIASVLVVLCLLWIGIEDVGFQRSGTTLNLGTLPVAIGLYGYCYSGHAVFPNIYTSMAKPNQFPAVLVACFGVCTLLYAGGAVMGYKMFGEDTLSQFTLNLPQDLVATKIAVWTTVVNPFTKYPLYACMHLDGKDYSS >RHN77119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4224037:4227206:1 gene:gene543 transcript:rna543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MMKGLSVEENMSNLTSASSEISASSATKNGKASTNLEPQTKRKRNLPGHPDPEAQVLALSPQTLLATNRFICEICNKGFQRDQNLQLHKRGHNLPWKLKKRNVNEIIRKKVYVCPEPTCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTKEYKCDCGTLFSRRDSFITHRAFCDVLSQESASISAVNPLFSSHSQFHQSHGFQPLSLKKEQDFNLRPEIPLWLLPTHLNHFQNPNPTTLFPNNSFNSNNITTASPHMSATALLQKASQIGVTTVSKTEPCRPHLMQTHVPSGMVMPSREEIGTTGFSHCLASYGNKAAITSDCFEESSSLLHDVMYGSESSHSQFEAVTAAMSMRGMFDTQRDNNNFEEQYSHFGKSNIIKNGGANDDEMTRDFLSLGAFSQRDLFNISGIDGPLSLHGKQNQIQNQTPW >RHN62064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40418990:40419373:-1 gene:gene24594 transcript:rna24594 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNNSFNTQSSTKRAHENDASDSNSVGSSARPMRRDVAKKKGKKKSKGAALESVNEEWNEFKQYKEKELELLNQIAMRQEEANQLLKESTEVKKMKMFMKLSSREHLDVRSKELLEKLGCDLFGN >RHN59962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15378564:15380785:-1 gene:gene22135 transcript:rna22135 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMMLPVMLNGDELWGPMMISVTVMMISVTVTAMNRNSLCNL >RHN40200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14141180:14154636:1 gene:gene46322 transcript:rna46322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAFSSSSSPLQLNPEWIYDVFINFRSKDTGKSFVSHLYAVLKKARIKHIDIDQLHDGVLLESELFEAIKMSRMSILVFSKNYTESSWCLDELQRVMECRRTHGQMVVPLFYDVTPSDVRYQKGHFGKKLRAAAKRISGKGMREHVVSGWRVALSEAANISGWDASNFRNEAELLRKIIEDVLRKLKGSRRLLSIPEFPVGLDTHVQEAIQIIENQSNNVCSMGIWGMGGSGKTTTAKAIYNQIYHTFLYHHFIANIRQVCERGDEGIIHLQEQLLANVLGFNEKIYNTASGITTIEDRLSGIKALIVLDDVSTLEQAEALCGNSKWFGSGSVLIVTSRDTRILRLLEVKYRLTMKEMVEGKSLELFCWHAFRQPSPIEDFSELSRSVVAYCGGLPLALEIIGSMLHYRTKQEWRSVLSKFEKIPHYLMQQILKISYDGLMDDMVKAVFLDICCFFIGEDKAYVTEILNGCGLCADIGIAVLIERSLLKVEDNNTLGMHKLIRDMGREIVRESSAKEPGERSRLWFHDDIHDVLTENTGRKNVEGLVLKSQRTGRVCFSTESFKRMKDLRLLKLDRVDLTGDYGYLSKELRWVHWKGFTFNYIPDDFHQGNLVVFELTHSNIKHVWNETKVLVNLKILNLSHSIYLESSPDFSKLPNLEKLIMNDCPCLSEIHPSIGDLNNIHLINLKNCISLSKFPKNIFKLKSLKTLILLGCTKIGSLEKDIVQMESLTELITNNTLVKEVVFSKHRSVSVHCQSEIHLKEVLRRFLEGLYGAGLTKIGTSHASQISDLSLRSLLIGIGKSISQGLTTNDSGDFSLPGDNYPSWLAYTGEGSSVNFQVPEDSDCCLKGITLCVVYSSTPENMVAECLNGVLITNYTKCTIQAYKRDTLSSFNDEDWQGVVSNLGVGDKVEIIVVFGDGLIVKKTTVYLIYDQSITIEVDTDEKDALKNDVDAKSKGGPSRCDNQRDVDEACKRHKEILNQSEDADYKEALVNGVDVNKRMAEQIPYAVAESLFNRLTSAAFRELGQVFGVMDELERLKNSVECVRVVLLDAQDKQEQNFVVQNWIRRLTDVLHLADDLLDEFIIEGMRYKVDAGDNNRVTWIHSSSSSNYFLHQKMAPEIEKVQKKFDVVLEQMSKLNLSSKVPVVKQTDSLRNKSISFLLESNIMGREDDKKEIINLLTQPHGNISSIVIVGIGGIGKTTLARFVYNDVEVQKHFEKKMWVCVSSNFDVKTIVKKMLESLIDRKIDDKLSFEYIQQKLHENLTGERYLLVLDDICNASHEKWTQLRTYLMCGAEDSKVLMTRRSAVVSERLEASELYVLSGLTLDVSWSMLKKIIFGKELSVVNLQLESIGIKIAEKCMGVPLAIRTLGGLLQRKSEEREWIDVLQGDFWELCEDKESISSILKFSYQSLSLQLRQCFAYCSLYPKDWEIEKDALIQLWMAQGYLECTDEKQLMEDAGNEFVKILLIKSFFQDAKVGGDGDIVSFKMHNLMHDLAMKVAGNDCCYLDSEAERCVQKPMHVSLKPSAVHLLDSLDASRLQTLIFLSSNEEEELNGVELSVILNFEHLRVLKLSYCCLTKLSGSIGKLKHLRYLNLSHCRRLGSLHKSISSLVFLQTLILAPNEKVEFSTLVVSKLINLRNLHISDWEASRDETPSEFVNLSVWQYEGMVFSNWLSPLTNIVKISFFLCGSLQYLPPLERLPFLKSLHISFLEELEYIYYEQDFTSAFFPSLESLSLQFCYKLKGWWRIGDDFNNCSQNLSLPPFPRLCQLSIIGCLMLTFMPTFPNLENGLELYNSRAETLIATLNTAAVERMNDFPPLSMLKSLHIDGVRLDVKSIPNVWMKNLTSLQLLQINWFSRQAFQQIETWFKDDLKYLPSLQTIAFHNCEDLEALPDWICNLSSLQHLRVYDCINLASLPEGMLNLTNLQTLEIIGCPILVEECQTQTGETWDKTAHVPKIILSSLH >RHN70043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48282391:48286472:-1 gene:gene18583 transcript:rna18583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative XS domain-containing protein MSYRRSGRPFTVVHNNDFRGKCVSQFYPNNEQLIQGIADVNLGSGQDDGLWETYSKKSKNRGGSIAAKQLGCSARSSNPRACGGDTDMAPMPGMRDYSGAGRAAGNLRRTPNTGYMRPAGRGYGRPHPGTGGYGYNGTSNPIIRPPPEGVCNRKSMHVRDEIVPEEFEFEQKNDDEDDDDEGDDDCDDLEDTDYDLMSDEYDSDASQKSHETRKKSKWFRKFFEYLDKMTIEQINEPEREWHCPACQSGPGSIDWYSGLLPLMNHAKTKGSKRVKVHRELAVLLDEELRRRGGTVVPAGESFGKWKGLKEEEKDHEIVWPPMVIIQNTKLEEDENNKWTGMGNQELLDYFSSYAAVRARHSYGPNGHRGMSVLMFEASASGYLEAERLHKHFTDQGTNRETWFSNHRSSNLFLPGGNRQLFGYMAAKDDLEFFNRHCQGKPRLKYEMKSYQEMVVHQIRQMSEDNQQLLYLKNKMVKEMQHSKALEESFGIVTEKLRKTMEENRIVRRRTKMQHEEIKEEMYEQEQFFKERISSIRNKRNAKEENFERMQQEEREKVKKSSIGPPSNAEERRLKVEGYLKFVELQDKEMENFVEEKEKLSQAHEESIAAMRKRHWEEEEEMEKKFDEDLAKLMEKYSPSHR >RHN60550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28062233:28072029:-1 gene:gene22871 transcript:rna22871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MRSKDRISYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLSYDLHKKMEVYRPHKAYPVELAQFHSADYVEFLHRITPDTQHLFSDELAKYNLGEDCPVFDNLFEFCQMYAGGTIDAARRLNNKLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKHHPRVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDLFFPGTGDAKEIGEREGKFYAINVPLKDGIDDSSFTRLFKTIISKVVETYQPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRFVKKFNVPLLVTGGGGYTKENVARCWTVETGVLLDTELPNEIPENDYIKYFGPDFSLKIPNGFIENLNSKSYLSTIKMQVMENLRCIQHAPSVQMQEVPPDFYIPDIDEDLQNPDERNDQHTQDKHIQRDDEYYDGDNDNDHQMDLP >RHN76227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48743727:48744038:-1 gene:gene12520 transcript:rna12520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MCVQNVMDQGFGATTRAINGRLECDGAKPTTVQTRVGCYTQYCSQLGVAPGDKLTF >RHN62935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46856059:46859089:1 gene:gene25573 transcript:rna25573 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP81E7 MGILSYLCYSLFYLSIFFIIRLLFQSRKFKNLPPGPTSLPIIGNLHHLKRPLNRTFKALTEKYGNVISLWFGSRLVVVVSSLSEFQECFTKNDVVLANRPRFLSGKYIFYNYTTLGSTSYGEHWRNLRRITSLDVLSNHRINNFAPIRRDETQRLIKKLAEDSSTKFAEVELTFRFFDMTFNNIMRMISGKRYYGDDCDISEVQEASQFRDMVSELLQLSGANNKTDFMPLLKFLDFENLEKRVKRIGEKNDVFLSGLLQEQRSKKERTNTMIDHLLNMQESQPEYYTDTIIKGLCLAMLLAGTDSSAVTLEWTMSNILNYPEVLKKVRDEVDTHVGQDRLVDESDLPKLTYLRNVIYETLRLYTPAPLLLPHSTADECIMGGYKVPRDTIVLINAWAIHRDPETWSEATTFKPERFDKKGELEKMIAFGMGRRACPGEGLALRAISMTLALLVQCFDWKRINDEKIDMSERDGFTMTKLLPLKAMCKTRPVVNKVFK >RHN71623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1446787:1452109:1 gene:gene7242 transcript:rna7242 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SERK4 MNINMEQASFLFWAILVLHLLLKASSNEESDALNALKNSLNNPPNNVFDNWDTTLVNPCTWFHVGCNDDKKVISVDLGNANLSGTLVSQLGDLSNLHKLELFNNNITGKIPEELGKLTNLESLDLYLNNLSGTIPNTLGNLQKLKFLRLNNNSLTGGIPISLAKVTTLQVLDLSSNNLEGDVPKSGSFLLFTPASYLHTKLNTSLIIPAPLSPPSPASSASSDTGAIAGGVAAGAALLFAAPAIALVFWQKRKPQDHFFDVPAEEDPEVHLGQLKRFSLRELLVATDNFSNENILGRGGFGKVYKGRLADGTLVAVKRLKEERAQGGELQFQTEVEIISMAVHRNLLRLRGFCMTSTERLLVYPLMVNGSVASSLRERNDSQPPLEWPMRKNIALGAARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMAYKDTHVTTAVRGTLGHIPPEYLSTGKSSEKTDVFGYGTMLLELTTGKRAFDLARLAGDDDVMLHDWVKGHLIDKKLETLVDAELKGNYDDEEIEKLIQVALICTQGSPMERPKMSEVVRMLEGDGLAEKWEQWQKEETYRQDFNNNHMHHPNANWIVVDSTSHIQPDELSGPR >RHN53260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:466837:470932:-1 gene:gene28151 transcript:rna28151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MILPFILVLALFDFDNVLLWTHEISLVSHKIWFCDAIDIFYYLNTRLFLLFFYFYCLLPPSTQPQRKNSNSKMATLAKLLSSICVCVIVMLTTKAVEATPTYTATHCTNTTTYAPNSTFQTNLDILLYYFSNNISQSNGYFLGITGFNSVNAVGGLFLCRGDVATTVCNQCLTTAIKEIRQHCPNQTEALIWYDECLVHYTNRYFAVDKIDPRVNLNDGNIVSSVDLGRFNQSLHGLLNDLATEASGSSESKKFAAGEVVVTESMTVYGLMQCTNDLTNSECGTCLKNAIGTIPNGKQGARALLPSCNVRYQLYPFFTSSSPSSSGGMKLGPGTIAVVVVVPIFFLIILFLGCYYFKRRSKKKILLPSIMENFGDEFPTLESLQFNLATLEAATNQFSLANKIGSGGFGEVYKGVLLDSRQIAVKKLSKTSGQGTIEFKNEIVLIAKLQHRNLVTLYGFCSEEQEKMLVYEYVLNKSLDYFLFDPHKERVLSWRERYNIIGGIARGIHYLHDQSRLKVIHRDLKPSNILLDKNMNPKISDFGMAKMIDIDEHQGNTKRIAGTYGYMSSEYAMHGHYSEKSDVFSFGVIIIEIISAKRNALSLHSLDFDDLLSYAWKNWRDEKSLEILDSNIEKSYSYIEVIRCIQIGLLCVQQNPDDRPTMERIVSYLSNVSVELPLPQEPGGFMGNRTNQIPRDNISDQRNNSNTTGSSVNDITMNNSFPR >RHN59200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8400082:8400791:-1 gene:gene21198 transcript:rna21198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribosyltransferase MELSVSSETTASHGYKLSWPESSHPDELDEEFDTFPTSRSHDAVRMRYDRLKTVADRIQHQDLLFVLFSLCEVVIFYATPFRVVVLVTGLYNLRHPR >RHN60170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22289269:22291784:1 gene:gene22411 transcript:rna22411 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIPSLLTFKSKLSFSLPFPRHHQQFPTTTTKSQPFNPQFTPNLIGFSPKPFRFAPVKRFATNNDEAEKEPSTMPGRFKDYIKQAPESPFKWPMFVALAFLIYAWRAVLFELSNWKNAAFGIVRFVGIVLKYAFALVYRFIGNPITFTIGSIEDLIYGVQAFYSWIITSAPVPDLTLVIVLASVVLAVAETINPNCISDQPYVLTVTGLIGYAAVRGVISEPLFWTLLVGIYGFSKFMKRRDDVSSAMPVAAVLAAVGEPWVRFVVIVSYTALAIYQHSKMISEGKEVEEIEPHRRKLPVPLFLAALAIGLRVAANWAGYRHLTWMVV >RHN80682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40037910:40040137:-1 gene:gene4638 transcript:rna4638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NTF2-like domain-containing protein MAKTCCFNGKSPTIALHFHSQTRTPPLQLRKQHCSNSYTPSSRNTCAIYNMVREKNETPQVLKIAVSGVTELLRLFSPPQQTSVLSDDIEKQNNDSTVSSVEDVLIIIKSDYDNDYFVTGNFTSSIYTENCIFEDPTIKFSGRDLYARNLKLLVPFFDCASIKLLKIEKEVESDTNFLRASWKLRTNLKLPWRPLIAIDGSTSYELNEDFKIVRHVESWNVSALEAVLQIFKFEKPGG >RHN53888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5035466:5041621:1 gene:gene28848 transcript:rna28848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfurtransferase MTSKLFASLLRNHLTKKPHLRRHPFSTAAAAALTEPHEETTAGITMKGVKISGRPLYLDVQATSPVDPRVLDAMLPFYLSRYGNPHSRTHFYGWESDDAIEHARSQVAALISASPKEIVFTSGATESNNISIKGVLHFYKEKKKHVITTQTEHKCVLDSCRHLQQEGFDVTYLPVESDGLVDLEKLRSAIRPDTGLVSVMAVNNEIGVVQPMEEIGKICKEFGVAFHTDAAQALGKIPVDVDKWNVSLMSLSGHKVYGPKGVGALYMRRRPRIRVEPQMNGGGQERGIRSGTLPTPLVVGMGAACEVALKEMEYDEKRISALQQRLLNGIRDKLDGVVVNGSMERRYVGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEEEIDRAVQLTVQQVEKLRDMSPLYEMMKEGINIKDIKWDQH >RHN81166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43796213:43803304:-1 gene:gene5171 transcript:rna5171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase Do MLCSAFMIGGKKLLTNAHCVEHDTQVKVKRRGDDSKYVAKVLARAAGCDLALLSVESEEFWRDVEPLRFGHLPHLQDSVTVVGYPFGGDTISVTKGVVSRVEVTSYAHGSSELLGIQIDAAINPGNSGGPAFNDQGECIGVAFQVYRSEDAENIGYVIPSTVVSHFLTDYEKNGKYTGFPCLGVLIQKLENPALRACLKLQSNEGVLVRRVEPTSDANNVLKEGDVIVSFDDIRVGCEGTVPFRSNERIAFHYLISQKFAGDTAELGIVRDGTSMKAKVILNPRVHLVPFHIDEGQPSYLIIAGLVFTPLSEPLIEEEREDCIGLKLLAKARYSFARFKGEQIVILSQVLANELNIGYEDMSNQQIIKFNGVRIKNIHHLAHLIDSCKNKYLCFEFEDCYAAVLEREAVAAASSSLLRDYGIPSERSSDLLQPYVDSQEVEGDQPPDQEFGDSPVSNFEVGHDGLLWA >RHN52519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36266532:36267290:-1 gene:gene37207 transcript:rna37207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MMKKGFKPNVVTYSSLMDGYCLVKEVNKAKSIFNNMAQGGVNPDIQSYNILINGFCKIKMTDAAMNLFEEMHCRKIIPNVVTYNSLIDGLCKSGKISYALKLVDEMHDRGQPPDIITYSSLLDALCKNHHVDKAIALLTKLKDQGLQPNMYTYTILINGLCKGGRPEDAQNIFEDLLVKGYNINVNTYTVMIHVFCNNGMFGEALAMLSKMEENGCIPNAVTYEIIIRSLFDKDENDKAEKLLLEMITRGLL >RHN66047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7963415:7963723:1 gene:gene13958 transcript:rna13958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MVGDLVALIDFLGVDQVFLVAHDWGAIIGWYLCTFRPERIKAYVCLSVPLHRRNPKLKTVDGMHAAYGDDYYVDFRFFYFYTTNFPLLFGLLIPVSRGFIVL >RHN56570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31949484:31950280:-1 gene:gene31989 transcript:rna31989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRGKHMVEILEFVYAMILFLPLFLVITEVDGVDIYCETDADCPQITDWFYVVKCVDHKCELTKKLRRLYEYQTQKSAETPYI >RHN47974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43945385:43946110:-1 gene:gene42637 transcript:rna42637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative initiation factor eIF-4 gamma, MA3 MAAQMYEEDGEKQMQIRDETDTNLVNLRRAIYLTIMSCLDFEEAGHKLLRIIHRQKGQEIQLCNMILQCCRYEKVYRPYYGLLGERFCMINKVYQQNFEKCFAQQLSTIHRLQTNQLRNVAQFFAHLLATSALPWNVLSYIRLTEEDTTSASRIFIKILFQELSEHLGIHVLNERLNDPAMQDCFESIFPKDSTRNTRFSINFFTSIGLGELTKNLRVYYKSLTLRSSHSSDESGRKRRRK >RHN51845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26560508:26561790:1 gene:gene36388 transcript:rna36388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MTFAFSIFAKILKLGHHPTTITFNTLINGMCLNGKIKEALHFHDHMLAHEFHLNQVTYATLINGLCKMGKTTEALQFLRKIDGKLVNTNVIMHNTIIDSLCKEKLVTEAYELYSQMIVKKISPDVVTFNSLIYGFCVVGQLIEAFGLFHEMVLKNINPNVYTFNILVDALCKEGNVKGAKNLLAMMMKQGAKHVLSTITQMGVPPDAQSYNIMINGFCKIKMVNEAFSLFNEMRCRGISPNTVTYSSLIDGLCKLGRISYAWELVDEMRDNGQQPDICTYNSLIDALCKNHHVDQGIQLDMYTYNILIDGLCKQGRLKDAQVIFQDLLIKGYNLTVWTYTIMINGLCLEGLLDEAEALLSKMEDNGCVPDAVTCETIIRALFENDKNERAEKLLREMIVRGLL >RHN50060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3721114:3725884:-1 gene:gene34310 transcript:rna34310 gene_biotype:protein_coding transcript_biotype:protein_coding MSESDTQPPVTFVSDVLLWKRWQVSFGVIVVSTVAWLLLEWTDLPFLTICSDVLLLLIVLLFLNSNYAALRNKQPPTLPELVVSEEMVNNVAASFRVKINNVLLIAHDITVGKDFRIFFKVVVCLWLLSVIGSIFSFFTLAYIGTLMMFIVPALYRKYGTYVDKCFGVIHHQFSKHYKIVDENVLNRLPRNIPKDKES >RHN61800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38465212:38466127:-1 gene:gene24297 transcript:rna24297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MALISRSSLFLFSLNIIFTQSTSTNGVFSKQSNIYLPSEKLTHLHFYYHDIKNKNNPTILQIVNTPENVPNGFGATYVMDDEITEGPEMSSKHIGRAQGLFGQASLHDIGMFMLTNFIFTDGNYAGSTLSMLGRNPVAEQNREMPIVGGTGLFRFARGYVIANSVYSISSPENFVMEYNITVYHHL >RHN45173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12592797:12593462:-1 gene:gene39396 transcript:rna39396 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta MNEPPGARMRVSLTALTMAEYFRDVNEQDVLLFINNIFRFVQAGSEVSALLGRMPSVVGYQPTLGTEMDDLTDHAPATTFAHLDATTVLSKGLASKGIYPAVDPLDSTSTILQPRIVGEEHYETAQRVKQMLQHYKELQDIIAILGLDELSKEDRLTRARARKMERFLSQPFFVAEVFTGSLGKYVGLAETIEGLN >RHN78020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11300256:11303473:1 gene:gene1534 transcript:rna1534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L15 MLRKRLSVLSTSIIRSSTHNKSVLGYPSIQFHPLQLPHSLNVHATFNPNAHPDFQGFRAYSLLALNDLRDNVPRKQKTRKGRGIGSGKGKTAGRGHKGQRARKGSKLGFEGGQTPLRRRMPKRGFKNPFSLTFQPIGLGKIATFINAGKIDSSELITMKTLKDAGVLGKQIKDGVRLMGRGSEKIQWPIHLEVCIL >RHN38825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2299633:2300103:1 gene:gene44794 transcript:rna44794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MEQVRQTNLTFVLMYVIALFMFFTFKSTNGIEETLNIVSFGANPNGESDSKNAILTAWTSACSSTTPTTIYVPIGRFLVGGSVVFKGRCNNKGITVRIDGTLVATSNYGVIGNEGSWLLFDDVDGVSIIGGILDGQGTSLWDCKRSSKSCPTGATV >RHN80004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34265272:34265676:1 gene:gene3875 transcript:rna3875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MMANLNPILLTPFNYLRKLTFHKCFNNTQQHLISLPLSLHQLDNPSVVSPLQPFLHNLNSLKRLVLVGNGFHGELPPNIGDFKNLEELTLARNNLSGKIPVSLGALKKLKVLDLSQNKFKGCIPKQLGLLKFAN >RHN65834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5707519:5709158:-1 gene:gene13726 transcript:rna13726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MQMKVIGFETVKELYKDDPDFQKFWNATDSQSSQDYYRHEGFLFKGKTLCIPLCSLREAIIWEAHDGGLAGHFGRDKTVALVKENFYWPRLERDVYKHIQRCRVCHLAKAKSQNTGFYMPLPVPEAPWEDVSMDFVLGLPQTQRQKDSVMVVVDRFSKMAHFIPCQKTNDAVQVADLYFKEIVRLHGIPKTITSDRDVKFLSHFWRTLWKKMGTKL >RHN63864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54586481:54590550:1 gene:gene26619 transcript:rna26619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MPSSSSLVIFIFLHFILFFSFRVNSEPVQDKQALLAFISQTPHSNRVQWNASDSVCNWVGVQCDATNSSVYSLRLPAVDLVGPLPPNTIGRLTNLRVLSLRSNGLTGEIPTDFSNLTFLRSIYLQKNKFSGEFPASLTRLTRLTRLDLSSNNFTGSIPFSINNLTHLSGLFLENNTFSGSLPSITANLNGFDVSNNNLNGSIPKTLSKFPEASFAGNLDLCGPPLKTSCSPFFPAPAPSPDNIPPADKPKKKSKKLSTGAIVAIVVGSILFLAILLLLLLLCLRKRRRRTPAKPPKPVVAARSAPAEAGTSSSKDDITGGSAEAERERNKLVFFDGGIYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVVVTKKEFEMQMEILGKIKHDNVVPLRAFYYSKDEKLLVYDYMAAGSLSALLHGSRGSGRTPLDWDNRMRIALGASRGVACLHASGKVVHGNIKSSNILLKGPDNDASVSDFGLNPLFGNGSPSNRVAGYRAPEVLETRKVTFKSDVYSFGVLLLELLTGKAPNQASLGEEGIDLPRWVQSVVREEWTAEVFDAELMRFHNIEEEMVQLLQIAMACVSIVPDQRPSMQDVVRMIEDMNRGETDEGLRQSSDDPSKGSEGHTPPPESRTPPRSRTP >RHN68920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39626734:39627094:-1 gene:gene17341 transcript:rna17341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative double-stranded RNA-binding domain-containing protein MHPLTELTEVCQKKKLNLQFVDLWKESMNIDVFINEKFVGRGTYGSKKDIARYRAAKNALNNIESVLSGSTSTVEDALVV >RHN45927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26888818:26890314:1 gene:gene40356 transcript:rna40356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-primeverosidase MIILYPFLQYIIISLSLYLYSTTTCIDGTLKGGINKEGIDFFYNNLINELLNNGIEPFVTILHFDYPLALQQNIGGFLNRSIVKHFKDYSELLFKTYGDRVKYWTTLNEPGLQAMYNYMENLTHYSTEDCATTKVCTEVYTVLHNLLISHATVSKLYKSKFQAVQGGEIGIAITSRSYVPYSSKPEDVDAAQRLTEFRWGWVLEPLFNGDYPKIMRKLVGKRLPEFTKNEKEILKGSTDFIGINYYYSLFVRYEPNKSKIPASDNYDALAVTEGKFIIKLIPFYF >RHN57813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41851042:41851748:-1 gene:gene33402 transcript:rna33402 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNNNIHSRTLDFQHSATIASPPPIQCIFCILLLLFILMGCLYVSAYSHLPILRLDSASQLNSQTINKNTKLTAEWKITLSLSNPNYHLRISYHHDSFDPKIFYKDQQIILDTSLLQSSFNHSSNVVEMKLGC >RHN53311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:874578:879517:1 gene:gene28202 transcript:rna28202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine synthase MAVERSGIAKDVTELIGKTPLVYLNRLADGCVARVAAKLELMEPCSSVKDRIGYSMIADAEEKGLITPGQSVLIEPTSGNTGIGLAFMAAAKGYKLIITMPASMSLERRIILLAFGAELVLTDPAKGMKGAVQKAEELLAKTPNAYILQQFENPANPKVHYETTGPEIWKGTDGKIDAFVSGIGTGGTITGAGKYLKEQNSNIKLIGVEPVESPVLSGGKPGPHKIQGIGAGFVPGVLEVNLIDEVVQISSDEAIETAKLLALKEGLFVGISSGAAAAAAIKIAKRPENAGKLIVVVFPSFGERYLSSVLFESVRREAETMTFEP >RHN50185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4913143:4921313:-1 gene:gene34447 transcript:rna34447 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQRKSRPIGTPAPFTPHRIGVTPKSALAKGKAVVFADEPLPPPPLGSLTDTGGDVVVASSYAEDWKKFREVGLLDEAVMKRKDDEAMMEKISRLEKELYDYQYNMGLLLIEKQEWSSKFNRLRQELAETQEVLKRDQSLHLIALSEVQKREENSRKALSLEKQCGADLERALHAMQEELAEVQSSSHTKLDKANELVDGIEEKASTVNKKLHDAEARLAEVNRKNTELDMKLRELEVRESLLQKERLSVATDRESFESVFYKQREDLKEWERKLRQREDMLSDGRQNLGEKEQNVTETEKKLKQKERDLEVSEKNIDSSNSLLKEKEAEMSRRVADVDAEEKKVDSAKKMLEIKEKELQELELKLSARESEGIQKLLDEQKKTLDLKLQQFELEMEQKRKSLAEEFSSKEEALEYREIEVNHRETKVGKEEQALSTKSERIKEHDKELLTKMKSLKEEEKTMKIKERELEKEKEKLLADRRSLENLNDELEKIKAEISQQKLQISQETENLKLIQDERSEHSRLQLELKQEIEHTRMQKDLIMKEAENLREERLRFEKEWEELDKKRAEINGEQQEVEKEKEKLRKLKNSEEERLKREKQDMEDNLKKELEKLELDKKSFSDSIKQEEFLLSEKVKNEKAQMVQDFEWKTRNLENEIQKRKEEMEKDLQQRERKFQEEMEKELNNINILKDAAEKEWEEVKSEGIRLENERKELETNKQQLKSDQREMHEDSEMLMNLSQKVKKERERLVAERNHFLALVEKLRNCKDCGEVVRDVVVSDLQLPDSKECGVLPLPTSPLENSKDNVIASGSNHSGSKWPVSVFRKCTSKIFSLSPSTNTDSIGTSNIAGTSPESDVNVNIEKVGEPVSSPNIEGPIVALQERQIADGVAFHSSDTAHLQSDNIAREGNTEYSLSIDEHSYMESLTGGGPDDSQQSVPKVGRQRPGRKSKSGIARTRSVKAVVKEAREFLGKTSSQNLDTDYIKENSHEESSHTEKATGNSTRKRQRAQTSKIAEGEQNAADSEGHSDSSTAGGRKKKRSTVAPPTTQVTGEKRYNLRRHKTAGTVSSTQELSNKTKALEKEASGGKQEAGDKNPEVAVVADDNIQTTTLVQISTVKSVEIKDDRVVRFEIPRDNVDDNGATTNSVDRIEESGTLEYGDEDGSIVNDVENEDEGEEEDEDEEEEDPGEVSIGKKIFKFFTT >RHN81238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44280230:44282350:-1 gene:gene5251 transcript:rna5251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MVLERKEQRSYGTRHYRGVRRRPWGKYAAEIRDPTRKGSRVWLGTFDREIDAAKAYDCAAFRMRGQKAILNFPLEAGEANPKPNNCGRKRRIHQRYDATS >RHN46982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36235361:36246981:1 gene:gene41526 transcript:rna41526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MQSNGGAGDGPGRNSAGRAASTSSAAASPTSSSSAASHMGLDSLQQQQQMIGGSRQSFQQQLLRKSEGSEAVLAYQAGHQGLFGNNNYSSSTAMQLPPQSRNFFALAQHGPNQGQGIEQQRLNPVRQAYSQYALQSFQQRPALAMQSQQQPKMEMLGPTSVKDQEMRMGNFKLQDLMSMQAVNHGQGSSSSRNSSEHFSHGEKRVEQGQQLASDKKNEGKSSTQGLGIGHLMPGNNIRPVQALPTQQSIPIAMNNQIATSDQLRAMQAWAHERNIDLSQPANANFAAQLNLMQTRMVQQSKESGAQSSSVPVSKQQATSPAVSSEGSAHANSSTDVSALVGSVKARQTAPPSHLGLPINAGVAGNSSDTAVQQFSLHGRDAQGSLKQLIVGVNGMPSMHPQQSSANKSLGADSSLNAKASSSRSDPEPAKMQYVRQLSQHASLDGGSTKEVGSGNYAKPQGGPSQMPQKLNGFTKNQLHVLKAQILAFRRLKKGDGILPQELLEAISPPPLDLHVQQPIHSAGAQNQDKSMGNSVTEQPRQNEPKAKDSQPIVSFDGNSSEQETFVRDQKSTGAEVHMQAMLPVTKVSAGKEDQQSAGFSAKSDKKSEHVINRAPVINDLALDKGKAVASQALVTDTAQINKPAQSSTVVGLPKDAGPAKKYYGPLFDFPFFTRKQDSFGSSMMANNNNNLSLAYDVKELLYEEGTEVFNKRRTENLKKIEGLLAVNLERKRIRPDLVLKLQIEEKKLRLLDLQARLRGEIDQQQQEIMAMPDRPYRKFVKLCERQRVELARQVQTSQKALREKQLKSIFQWRKKLLEVHWAIRDARTARNRGVAKYHEKMLKEFSKNKDDDRNKRMEALKNNDVDRYREMLLEQQTSLPGDAAERYNVLSTFLTQTEEYLQKLGSKITSAKNQQEVEESAKAAAAAARLQGLSEEEVRAAAACAGEEVMIRNRFMEMNAPKDGSSSVSKYYNLAHAVNEKVLRQPSMLRAGTLREYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHTWLPSVSCIFYVGSKDHRSKLFSQEVMAMKFNVLVTTYEFIMYDRSKLSKIDWRYVIIDEAQRMKDRESVLARDLDRYRCHRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNKKAFNDWFSKPFQKEDPNQNAENDWLETEKKVIIIHRLHQILEPFMLRRRVEEVEGSLPPKVSIVLRCRMSAFQSAIYDWIKSTGTLRLNPEEEQSRMEKSPLYQAKQYKTLNNRCMELRKTCNHPLLNYPFFSDLSKDFMVKCCGKLWMLDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTALEDRESAIVDFNSPNSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQKREVKVIYMEAVVDKISSHQKEDEMRIGGTIDMEDELAGKDRYIGSIESLIRSNIQQYKIDMADEVINAGRFDQRTTHEERRLTLETLLHDEERCQETVHDVPSLQEVNRMIARNEEEVELFDQMDEEEDWLEEMTRYDQVPDWIRASTREVNAAIAASSKRPSKKNALSGGNVVLDSTEIGSERRRGRPKGKKNPSYKELEDSSEEISEDRNEDSAHDEGEIGEFEDDGYSGAGIAQPVDKDKLDDVTPSDAEYECPRSSSESARNNNVVEGGSSASSAGVQRLTQAVSPSVSSQKFASLSALDAKPSSISKKMGDELEEGEIAVSGESHMYHQQSGSWIHDRDEGEEEQVLQKPKIKRKRSLRVRPRHTMEKPEDKSGSEMASLQRGQSFLLPDKKYPLQSRINQESKTFGDSSSNKHDKNEPILKNKRNLPARKVANASKLHVSPKSSRLNCTSAPSEDNDEHSRERLKGKPNNLRGSSAHVTNMTEIIQRRCKSVISKLQRRIDKEGHQIVPLLTDLWKRIENSGFAGGSGNNLLDLRKIDQRINRLEYSGVMEFVFDVQFMLKSAMQFYGYSYEVRTEARKVHDLFFDILKTTFSDIDFGEAKSALSFTSQISANAGASSKQATVFPSKRKRGKNDMETDPTPTQKPLQRGSTSNSESGRIKVQLPQKASRTGSGSGSAREQLQQDSPSLLTHPGDLVVCKKKRNERGDKSSVKHRIGSAGPVSPPKIVVHTVLAERSPTPGSGSTPRAGHAHTSNGSGGSVGWANPVKRMRTDSGKRRPSHM >RHN58593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3059725:3060525:-1 gene:gene20517 transcript:rna20517 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCSLILFLLGMIMLTIVVDGRHDVFDIQPTLDPEEDWPEVFYEAPTDVVGGKHNKFGFKPILDPEEGWPDN >RHN67231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25059438:25059650:1 gene:gene15397 transcript:rna15397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial import receptor subunit TOM7 MASTISLKAKGKSSNKKAEDRSAIDSLKEWTTWGIKKTKVIAHYGFIPLIIIIGMNSDPKPQLSQLLSPK >RHN74148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29223308:29225027:-1 gene:gene10148 transcript:rna10148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein MIZU-KUSSEI 1-like, plant MKTIMAAKSSHDSSFSFSRRYFHWKKKALDEDDEEVILNISSSSHFPEDDDDDGGEKEEDHHHRHHHNHLRIQMPLEIAALEKKKNSKSKFKSALTILTKNRSLHSSSGTRMVGTLFGYRRGHVHFAFQDDSKLSPTFLIELATPTSVLVREMASGVVRIALECEKKGGGRKSLKLLEEPIWRSYCNGRKCGYAYRHECGSEEWKILKAVEPISMGAGVLPMPSSGNGGEFEGELMYMRAKYERVIGSKDSEAFYMMNHVGSGGPELSLYLLRV >RHN75854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45563861:45564191:1 gene:gene12109 transcript:rna12109 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLDLNERQHEGRVMEHESKVREFEGKVKDLESKKKHFESQVEELKSKERQTQGQAKIPFFNWWIRKGNN >RHN56619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32292516:32294015:1 gene:gene32049 transcript:rna32049 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFLSMFNRRDTFILEVYSCIIQEDNALNCRLCRWVHPNMGETCSMDEVTSHRVFENIFHQLKCEGTLVSLRRKSFRILFPIKIRGIYDICEQLINPIQQFLKHFLCCFIQHLSQEFSIRY >RHN64211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57293314:57298615:-1 gene:gene27001 transcript:rna27001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MDCNKEEALRAKEIAEKKMENRDFAGARKFALKAQRLYPVLENIAQMLVVCDVHCSAEQKVFGDEINWYGILQLERTAGDAMIKKQFRKFALQLHPDKNKFAGAEAAFKLIGEAQRVLSDREKRTRYDMKLNVNKTAMPPRSNQPKVPTNFNSATKNNVRTNFTNSNTQQPPQQQNKQPPQQQNGVRRTFWTACPFCSVKYEYYREILNKSLRCQQCHRLFVAYILDMQGTSPTTNPSQQASKANVGSQGNSHAEKSNTKPFKKKGPVGVSRKPDVKRKRNQVEEFSQSSDSTSSSDSEDETVAGKNGFPGVGNHSTEQPRRSVRQKHNVSYSDNMNGTDNDLLRPSKRGQENGSHCGDGRSYRETAKTNDQNGLAADPKNEHEKVKQKQEEKIRAGGKEAAEGSKQMDKTFEHSSPGSTSKTSNCPNAYVYPDAEFSDFDKDRKKECFAPGQIWAIYDSIDGMPRFYALIRKVLSPGFQLQATWLEPRPDDNDEIKWVDEELPVACGKFKLCNTEIIEDHLTFSHLVMFKRNGRNTFQVYPRKGETWALFKNWDITWYKDEESHRQYEYEFVEILSDYVEGEGVHVAYLGKLKGFVSIFIQIMKEDNQPFQIPSAELFRFSHRIPSFKMTGQEGVDVHLGYLEFDPASLPMNLEEIAVTQNLDMRTGHSSCGSENARTSKRSKPSMSPEDIVSTPKVKVDTSNLTDVKDSLDDMDDCHASASTPEAFEIPDAQFFNFETGRSLDKFQVGQIWAFYSDEDGMPKYYGQIKKVVTSPTIELHVYWLACCWLPENTTKWEDDGMLTSCGRFKVIKTKDFLSIYSNLSCISHQVQADPIGKNYTIYPRKGEVWALYRKWSNKIKCSDLKNWDYDIVEVLEVADLFIETSILEHVTGFSSVFRGKSIEGSSGNLRIPKKELLRFSHQIPAFKLTEEHGDLRGFWELDPGALPPSFLWP >RHN71575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1127032:1130092:-1 gene:gene7189 transcript:rna7189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L10P MPKSKRNKQVTLSKTTKKGRGHKELIINGIRDAAEKYSSIYVFNFQNMRNSKLKEFREQLQSSSKFFLGSNKVMQVAIGRSSSDEIKPNLHKVSKLLRGDAGMIFTNLSKEEVERLFNEFEGYDFARTGSIATEKVELKEGPLEQFTHDMEPFLRKQGMPVRLNKGVVELVSDFVVCEEGKPLSPEASRILRLMGNMMATFRLNLVCRWGSEDDFELYIDGPGDSDVE >RHN63929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55098413:55100799:1 gene:gene26685 transcript:rna26685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 50S ribosomal protein L30e MTGEAVNPKAYPLADAQLTITIMDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVTSKQALGRACGVTRPVIACSVTTNEGSQLKSQIQQLKDAIEKLLI >RHN71396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58714113:58715849:1 gene:gene20075 transcript:rna20075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone chaperone ASF1 MSAVNITNVTVLDNPASFLNPFQFEISYECLAALKDDLEWKLIYVGSAEDETYDQLLESVLVGPVNVGNYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYLGQEFIRVGYYVNNDYDDEQLREEPPTKVLTDRVQRNILSDKPRVTKFPINFHPENNENEEQPPPSEQQPETGEEEDPLAAPDTIPPNEGGS >RHN81991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50396226:50398954:1 gene:gene6103 transcript:rna6103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase TKL-Pl-4 family MQSRSMDLGPPKMGSDGGASSKINRSGNLSSKDMIFRADRIDLKNLDADLERHMSRVFSRSIEASRPKEEWEIDLAKLEIRYVVANGAYGTVYRGTYDNQDVAVKVLDWGEDGVATAAETAALRASFRQEVTVWQKLDHSNVTKFIGASMGTSNLKIPSDAGGQNPLPSKACCVIVEFVHGGTLKQFLMKNRRSKLAYRVVIQLALDLARGLCYLHSQKIVHRDVKTDNMLLDENLNLKIADFGVARVEAVNPSEMTGSTGTVGYMAPEVICGKPYNRSCDVYSFGICLWEIYCCDMPYMNLSFADASAAVADKNLRPEIPRCCPSALADIMKRCWDKNPEKRPEMKDVVTMLEKIDTSKGGGMIREDQTPFCFCFKPARGP >RHN51549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19636880:19637323:-1 gene:gene36006 transcript:rna36006 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAVTDLLWEYVQVNHMDTGFFQPFHGNRSKTHVSSSAAAATAYTFKPLQQQDDNDIKKKVLIKADETVTLEKDDFHFREGALVEVCSDEKGLRGAWFYFIIQN >RHN59815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13531101:13531831:1 gene:gene21972 transcript:rna21972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRRKNMAQNYMLIYAMIICLFPYLVVTTKTAIACVTNKDCLKFFTPLDNVKCVGNVCEFFL >RHN51791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25196665:25198835:1 gene:gene36314 transcript:rna36314 gene_biotype:protein_coding transcript_biotype:protein_coding MHDQNFSIQMFDLLKLVSILFAFILKLGKLFDTSCVFYGIICKFWVSYKGCHYFVLGKLSFELGDLLEDKQSLSVGELINHLIICFILIFWV >RHN52444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35352235:35355003:-1 gene:gene37125 transcript:rna37125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MPSIPKRKIMLMGLFNKCLKSLKIPPFSLLTHHHNHYHSYLFRKNKPLPQIPILNAHCFQLIQSSLQMNSFVVYHSSIRRNYCSENVSMKQDFGCNVSDDVVEINDVVKSNGFESDVDKVYTTTMDNLLGFRNMEKALENLGVPLTTPLVTGVLHRLRYDEKIAFRFFTWAGHQDNYSHEACVYNDMMDILSSTRYKVKQFRIVCDVLEYMKRNNKRTVPVDVLMDILRKYTEKYLTHVQKFAKRKRIRVKTQPEINAFNFLLDALCKCCLVEEAEGLYKRMRKKINPNGDTYNILVFGWCRVRNPSRGMKVLEEMIQLGHKPDNFTYNTALDTYCKEGMITDAVELFEFMRTKGSIISSPTAKSYSILIVALVQNDRMEECFKFMGHMISSGCLPDVTTYKDIIEGMCLCGKIDEAYKFLEEMGKKGYPPDIVTHNCFLKVLCHNKKSEEALKLYGRMIELSCIPSVQTYNMLISMFFKMDDPDGAFETWHEMEKRGCRPDTDTYGVMIEGLFNCNKAEDACILLEEVINKGIKLPYRKFDSLLMQLSEIGNLQAIHKLSDHMRKFYNNPMARRFALSQKRKSMSLRGRS >RHN65822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5642885:5643953:-1 gene:gene13712 transcript:rna13712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 10TM putative phosphate transporter, cytosolic domain-containing protein MVVIYNGYLILNNKTCQPQSSQGLGHNFSKLFKSHCFVSYISAFYYIAISVLSDVCSLVGLVVLLPINYDGVKEDKDKSYSTMDSFTISNVRRGSQRLWVHFACLCFISFYGMYLLYKEYEEISIQRIQQLQNLKHTPDRYTVIVREIPLCIEHKARDCSVHHFFSKYYPNTYYSYQMVYNTENLDELMVRSYNVHYYINDSELSDGYDA >RHN45771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25445585:25446282:1 gene:gene40168 transcript:rna40168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MSKQGSRTQSRVWVQDEGFVSTGSSFRRRPNMECKCGDFPIIRTVNDTSNPNCGKKFWSCNNYRNSFEKGCGFFKLIEDEEFCTESKDEGLELKLKSEKTKRKNKKLTMDLAKTKNWLKLSLAFGFASFGTCLVLGTIILCK >RHN39283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5563653:5566271:-1 gene:gene45293 transcript:rna45293 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLAREGLPHAHLRLSHAHMRIFVGKNSPLLVFQDGSIEKT >RHN52943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40582805:40597746:-1 gene:gene37685 transcript:rna37685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MEFLLGVLVMIVLLGNSIYAYGAINQLSWREISNINNEGPSIGIVVPNAYELNPLLHSSSFVPHNKFPYFDFAGRHFRIGELEKKKVIVVMSGEGMLNAGLATQLLLTLFNIEGVLHYGIAGNLNSRFQIGDVTIPKYWAHTGLWHWQRFGEDIGDFGTELGYLKFSNYNNYTKHSKSEENLLNKVWYQPERIFPVDGTPEVWQPVFWVPVDKTYFEIARKLKNVELSSCVNTTCLPRKPIVVRVKKGVTANIFVDNKAYRDHLNCKFDATPTDMESAAVALVCFQHKIPFIAIRALSDFAGGGSALSNEASIFLSLASQNAFHVLVKFISLL >RHN72247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6110473:6111592:1 gene:gene7939 transcript:rna7939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MELLPPPPSSSATSSSNSMPILDSRERNWLDLPRDAVLSIFQKLPTIDILIRTPRVCTTWRKISKDPFLYRTIDMFDLGYIRYLECLCHRAIDYSCGQIIHININYFCTNDLLRHIADNASHLRRLHLACCYRVTDEVLCEVAEKFSHLEELDICISGLAICPLKAIGRCCPRLKTLEFRIIERGNPSDDDEEAFDIELNMHGFRRLQLFRDEITNKGLLAILDGCPHLEYLYMRQGFW >RHN66782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18688126:18691384:1 gene:gene14863 transcript:rna14863 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSLFPCSSRFSMANEEVSAPASSWLGEEPLETESSFAIGGTGEIPFTSVGRFEDREVVLPSTFDRACSEYENHIFPMYEVVFKDMGFQLPFSDFQRELLRWTKLSPSQIHPNSYAFMRAFEFVCQYLKIPLFKNVFFTIFTVQRGANWVSFGQTQKMFDIFAGKVWSFKEHFFLVRPRSETTLNTLLEAT >RHN52045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30837282:30839932:1 gene:gene36660 transcript:rna36660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MGAGYKAPSIHDLREMLFKLFKEVVLYIGPENVVQIVTDNAANYVAAGRLLEKEFPSLYWSPCAAHCINLMFQDIGKLPEVKEAVSHATNVTKYIYNHCYPLYLMRKFTHGREILRPAPTRFATNFIALQSILSQKNALRAMVTSQEWTTSAYAKEAKAKQFVEQVLNTNFWTACADIVKLTEPLVGVLRLVDSEDKPAMGFLYRNMYKAREEMVKRFQRNKTKVEPYLKIIDDRWDSQLRKNLHAAGYWLNPSCRFSPEFEKHKSTTSGLIDVIEKYARNNHELRANLYI >RHN74373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32289367:32290967:1 gene:gene10428 transcript:rna10428 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTYYIICAMEAHNIINQKFTNYIKFIVGKTGWVVPDLL >RHN56164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28414342:28417009:1 gene:gene31522 transcript:rna31522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MAVSSDIRAWDELIPDTLGLIFTKLSLCERFTVIPRVCKSWASAVNGPYCWQEIDITDWCSYSEPPQVERMVQMLITRSSGSLRKLSVSGIQTERVFTFIADNAGSLQNLRLQRCNINTFAVEQMTGKLSKISILDLSYCLKICSSDIETIGKNLKHLEVFCRNMHPVETSGKPSEDAEALAIASTMPKLKRLEMAYNLVTSEGVYKILSSCPKLEILDLRGCWGVKLDTVSVQQKFPKLAVLGPQVIGYYEMLEDCSDISDSSDSEYDDSDMDEYDYDDDSDDGIWYHLGGIEELEFRAYAGGVEDAAMYWPPSP >RHN51599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20739004:20746492:1 gene:gene36071 transcript:rna36071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSGAAMEIVKSEMKSYIWLQTEDGSIQQVEAEVAMFCPMIFNEVIQTGMGSSKTYAISLPQRVTPAMLGLVLDYCRFHRAPGRSNKERKTFDEKFIRIDTKKLCELASAAHYLRLRPLVDLTTRALARKIEGKTPEEIRETFHLPDDLTEEEKLEPIKNITDDPHIRLLNRLYARKRRELKERNKLKDVEVEEEPKDERSVDDLLSFINGPDGDIKGTRSNKNKKKNRRRKDTAKDPSFRSANENHSKEFNPLPSAYHNANFEDALEASGVQDHIAVNFSSKIEFNESDFDDDDFDPAMKEELDREVEDFARRLNSDWPARIQILPSHQNRRLTPISMNSNGSTNRCTSFGRR >RHN40434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16260263:16265894:-1 gene:gene46583 transcript:rna46583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MPGRASFNYDVFLSFRGEDTRYNFTGNLYNALNQRGIHTFFDDKEIRKGEEITQKLLKSIEESRISIVVLSKHYAFSSFCLKELTAILECYEKNKTNRLFLPVFYDVDPSDVRHCRGSFAEGMAKQEERFKNDMELVDKWRTSLHKAALEKTAEIAGYTFKIGDGYEYLLIERILKEVSQKINRRLLHVANYPVGLKSRMDKVKSHLNLGSDDVVHMIGIWGTGGIGKSTIARAVYNSISDQFDGFCCFLENVRENSEKYGLVHLQERVLSEIFRDEITLGNLSNGKSTMESRLCGKKVLLVLDDVDQTGQLQAIVGEPNWLCLGSRVIITTRNSGLLRKHNVNITYDVEELSENEARQLLTWNAFKVDKADTRYTTILDRAMGYASRLPLALEVIGSNLFKKGEEECMRTLDQYDKHTDKEIDDILKVSYVSLDEDEKKVFLDIACCFEGCKLSDVENILHAHHGSPMRLSIESLIEKSLIKIDDYLVTLHQLIRDMGRDIVRQESQEPAERSRLWFWEEVVQVLEQKKGTSNIHILILDFPKDEAHLQGPNGEVVNWDGEAFKKMDKLKTLIIRNGHFSTGPTHLPDSLRVLKWQGYHSPSLPCYFYPMKLSVLELPDSHLESCEPIQAFTNLRILNLSNSESITHIPDVSRVENLETLSFRDCVNLTEIDESVGRLGHLKILDASGCKNLKTFPPIILTSLEQLNLSHCSILERFPEILGKMEQITELRITGSFIKRYPFSIQKLARLQKLKLQMCGMVQLPSSIFMLPELSLMHISECQGLVLSEEDKSKEMASKSSNVDHLVLSDCNISTDFLPKGLTHFSNVKDLNLSKNNFTTLHAWIKDCHFLRNLTLDDCNQLQEIKGIPRKLEKLSLKGCKFLRCLDLAVLPDCTAECCSLKELILDDCRCLLEIKGLPKNLDNFSAKSCTSLTSHSMNMLFNKEWVEAGNKMFVFPGKKIPEWFSHSARGGSMSFWFCNKFPAISLCLVIELADEQSIELKFRPKVFINNIQSPVCQRVYEFMIETDHILLLKFEDVDIVFEDDKWYRVDV >RHN79954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33771288:33772263:1 gene:gene3816 transcript:rna3816 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDVAKPVVEELDFVKNFFTKKKFTSRDDLLDWGHCEATKLCFAIIISKSYLGFNQRKQFLILRCERGGVI >RHN63706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53381639:53390786:-1 gene:gene26442 transcript:rna26442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S phase cyclin A-associated protein in the endoplasmic reticulum MEDSDAVDDQNSGWFQVKKKHRNVSKFSLQSWMGGFTGKSSSTSQEKQQSMNKKENNSHGKQKNRVSRSGENFLQSPVPVSVASSLSVSNEEVGTSHVDASVVRPKIETQKSDPLVGTYSQGKHEEANKLHHTDKSDVAQKSRWGDLEEECVALPPRENMIGVGIKFGSIGDDNLLSCRKHENIPDHVDSYHAQEKGSTASSTGAETVPDQHSSLRCEDEKLAENSKDVKNIPLEHSIIQVDNGEKIGPEEDTLCRDNKTEQVNKAATDCGINNEHLSANDASVVAHPVHSLINAASDIKISEMPEQSCSLTEAVTSSQLPEIFSNSTSVEKVRDQPDSNVENIVSGSHNIDALEGGSNESKERFRQRLWCFLFENLNRSVDELYLLCELECDLEQMKEAILVLEESASDFKELIARVEEFEKVKKSSQVIDGVPLLLKSEHRRPHALSWEVRRMTTSPHRADILSSSLEAFRKIQQERASLQSSNNTENSTTKCLTSESVANVKISRVGDGTHNAKDPVTKSRKHISSSDAITVQSGCDTRESILTSEVNLSKLTPLESSFATTKGKRDHLGSGADKLLYKKDKAPTEVINEKNPRSTDNLKRQMLLSEKDKEKRSTAPGKSLNAWKEKRNWVDILSSPFRVSSRMSHSPSLSRKSAERVRTLHDKLMSPEKKKKTTSDLKKEAEEKHARAMRIRNELENERVQKLQRTSQKLNRVTEWHAVRHMKLREGMYARHQRSESRHEAFLAQVAKRAGDESSKVNEIRFITSLNDENKKLILRQKLHESELRRAEKLQVIKSKQKEDLAREEAVLERRKLIEAEKLQRLAEIQRKKEEAQVRREEERKASSAAREARAIEQLRRKEERAKAQQEEAELLAQKLAERLNESEQRRKIYLEQIRERANLRDQSSPLPRRSLNKEQGRSTPTNSSDDSQTNIASGIGSSLGIGNISSQPSVKRRIKKIRQRLMALKYEFVEPPLGGESAGIGFRVAVGAARAKVGRWLQELQRLRQARKEGATSIGLIISEMIKYLEGKDPELQASRQAGLLDFIASALPASHTSKPEACQVTLHLLKLLRVVLSAPANRSYFISQNLLPPIIPMLSAALENYIKIAASLSTPGNVSLPSTKASAENFESISEILINFLWTVTAIFGHISSEARQLQMRDGLLELLISYQVIHRLRDLFALHDRPQMEGSAFPAPIVFSIHLLMVLTSRPGKLSYIDWESSPVATEQEIGSEAAKFANSVLSVMKNSWGDYNPSSVVNGGSVMHLPDVPEDRPLDEISKVNRDEESFAIGKGCESEHDASVKLNNNDTEKIASSDESQKNQNEDIATSVISQRDEKHTAQKNEKESILAQPVAFLLSAVSETGLVSLPSLLTAVLLQANNKSSSEQASFILPSNFEEVATGVLKVLNNVALLDLAFLQRMLAMPDLKMEIFHLMGFLLSHCASRWKSPNDQVGLLMLESLSLLGHFALFHPGNQAVLRWGKSPTPTILHKVCDLPFVFFSDPELMPLLAGTLVAACYGCEQNKFMVQQELSVDMLLSLLRSCRNAAPATQLNSNFDNIPTDESIGSNQSGILKHNRSNGKGTRASFGKSGALGNGTKSSRTRSLRDSKATKNSDEAVPKYKQFSSETSQSMLHCRFPHSFLDKVEQFFSADIANGVDED >RHN62188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41543839:41547203:-1 gene:gene24737 transcript:rna24737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-disulfide reductase MASSTSLSLTSSSHLHIPTFSSSPSRLNPLPSFPFHSRITHKPLHLQTPLLLYPKIRSLRQEIRATPVNKDLWEKSILKSETPVLVEFYANWCGPCKMVHRVIDEIATEYAGRLKCFVLNTDADMQIAEEYGIKAVPVVVLFKNGKKCDAVVGTMPKEFYVTAIERMLKS >RHN45837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26177214:26179034:1 gene:gene40258 transcript:rna40258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MHEPALLLPLIGSSSNDSTPTTTAESSPNPAPLRKSSRVVTRPVYLEDFDCNNVIGTSSAIPSNSVYPLSSVLSYNNCAPGYHAFCCSISATVEPTTYNQASKFECWRNAMNAELLLAFDENKTWSVVDLPYGKVPIGCKWVYKVKYHANGSIERYKARLVAKGYSQLEGVDYFDTFSPVAKITTVRVLLALASIKGWHLEQLDVNNAFLHGDLNEDVYMSLPPGFPDIKDHNKVCKLHKSIYGLKQASRQWYSKLSSSLVSLGYTPSQSDHSLYIKSTSTSFIALLVYVDDIILAGNSIHEIQAVKAFLDQKFRIKDLGKLRYFLGLEIARSNTGIFVNQRKYTLELLQDAGLLGTKPSNIPFNPTTKLSSTDGAPVDDPSFYRRLIGRLLYLTHTRPDISFFVQHLSQFVSKPLVPHYNAAMGILKYLKSDPAKGIFYSASSPLKISAFADSDWARCPETRKSITGFCVLLGSSLISWKSKKQNIDSRSSTEAEYRALASLTCELQWLQYIFQDFKIAFSDPAFVFCDSRSAIYLSHNPTFHEMSKHIELDCHVIREKILSKLIHLLPIPSTSQLADVFTKPLQHPAFSSFMSKLGLCSIHSPT >RHN65676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4297872:4301319:-1 gene:gene13544 transcript:rna13544 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIALLLKDCIIPMGSRIEPKTLRRSTLPDPKPIPLSQPKWVR >RHN52997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40950726:40955088:1 gene:gene37740 transcript:rna37740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ninja family, Jas TPL-binding domain-containing protein MEDDSGLELSLGLSFGGSSAKAKSKNGSSSDTRGEENGRGGKMVDDFKSMFNTDPQKPESSGGSRRADSSKPEENFFSDLSKVNDDNASLNLNGRGFLVGNNNNKPIEIEENKRSEAVNKRRMSFDDIRNLKRHDSDIHHGDLHDRARASHISLTEDGSTAENEDVADSEAENSTSRPLSNHSDGSKGFIRVGASSDASKEVRGVADSSANGQKRFTGSTEKDFKHANMTYGAPFSAQQVNMMSGPYTSAKESNSVGAPNPQIPGVMHMMPTTTGERAGAQSVSNGSLPMMFGHPYVQLPMLDKDSSWGRPQQFHPSFAGRGPANSAALHLNNISEAMPYEGRPLERTKGDGKQRVTEEGSSSQPEDVKGSSTNLRGKDAPEQPKGEGSTIDFSNIKPGLTADVKFGGSGSYPNLPWVSTTSSNGRTISGVTYRYNTNQIRIVCACHGSHMTPEDFVRHANDEQANSDSNSVLGSLPNGNLGSSSHS >RHN60901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31485321:31487776:1 gene:gene23295 transcript:rna23295 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFDPWPVFFKREWKRTWPFLVGFAVTGALVTKFSLGLTEEDAKNSKFVQHHKR >RHN65749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4956191:4956621:1 gene:gene13632 transcript:rna13632 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCIDVSEMCGWRAIFVSVLPESLRAGIEWGGRFWGLYFSDNSSNSGGQGKSISRMMMSKHKSSKEYH >RHN81095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43274854:43276714:1 gene:gene5092 transcript:rna5092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MAFKHRSIAYLLSILIFVSLHVANGIPPETICGSTVNPTYCKNILANQNGNIYDYGRISIRKSLSQSRKFMNSIDSHLQGGSSLSQSTIRALEDCRFLAELSFEYLSNTYTTTNQSSNVLPTSQAEDFETFLSAVLTNQQTCLEGLNTIASDQRVKNDLLSSLSDDMKLHSVTLALFKKGWVPKNKIRTSWPQNGKHLNFKNGRLPLKMSNKARAIYDSARRNGRKLLQTNTNEDSVVVSDVVVVSQDGSGNFTAINDAVAAAPNNTVASDGYFFIFITKGVYQEYVSIPKNKKYLMMVGEGINQTVITGDHNVVDGFTTFNSATFAVVGQGFVAVNITFRNTAGPSKHQAVALRSGADMSTFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNGAVVLQNCNIYPRLPLSGQFNSITAQGRTDPNQNTGTSIQNATIKAADDLAPKVGTVQTYLGRPWKEYSRTVFMQSFMDSFINPAGWHEWNGDFALNTLYYAEYSNRGAGSSTVNRVTWPGYHVIGATDAANFTVSNFLSGDDWIPQTGVPYSSGLI >RHN53691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3381215:3384470:1 gene:gene28624 transcript:rna28624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Protein-PII] uridylyltransferase MEWPACTDEYEKLLIRMSTPRVVIDNAVCSTATLVKVISARRNGSLLNAIQVLIDLNLLIKKAYISSDGKWFMDVFHVTHQNGSKIIDENILKYIEQSLGSTHNVRTNCSNGLTVLELSGTDRVGLLSEVFAVLADLQCDVVEAKVWTHNGRIASLIYVKDCDSGSTIEDSQKIKKIEVRLRNVLKGDNDIRSAKTSVSMSVMHSERRLHQMMFADRDYERTPILKLTSDNTLVTVQNWAERGYSVVNIQCKDRIKLLFDVVCNLTDMEYVVFHATINTNSNQAYLEFYIRHKDGTPISSEPERQRVIQCLKASVERRASEGVQLKLCTEDKQGLLAEVMRTFRENGLNVTRAEISTLENMATNVFYVTDVTGKPADPTTIESVRQKIGSSNLEVKELPLIYHQKTEREDQTVGIGGAVLWFIGSLVRRNLYSLGLIKSCS >RHN66781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18685409:18687465:1 gene:gene14862 transcript:rna14862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVEIVKFTYVMIILISLFLFSTNVDALRLRCVHVSKCPKMYCNRGFELGCVQKYCKCVRIT >RHN58488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2174167:2185417:1 gene:gene20407 transcript:rna20407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative coactivator CBP, KIX domain-containing protein MDSNNWVPNQGTEATADTVDWRTQLQPDQRQRIVNKIMDTLRKHLPVSGSEGLLELRKIAQRFEDKIYTAATSQSDYLRKISMKMLTMENKSQNTMANNMLSNEGGPSNNLPDQGQQHPNPLPNQHQPRQQLLSHNIQNNVAPQPNLSSVSTLPQIPSQNISQNSNTQQPGQNSVSNSIGQNSNVQSMFPGSQRQMSGRPQVVPQQQQQQSQNQQQTLYQQQLLKHKLSQMQQQQQQQNLLQPNQLLSSQQSVIPTSSAMQPSMVQSSLSSLPQNQQSNNVQQSTQSRLQQHSQIIRQQQQNSIVNQQQTPMIQQQHQQLAGPQSNATNGQHAQMLGQQNNVGDVQKSQRLHPQQNNLMNLQQRQQQQQLMNHQNNLTNIHQQPGNNVQGLQQQQQFGTESGNQGIQTSHHSAQMLQQPKVSMQQQLQHNPSKLLPSQSQQSQTQASQQQLMSQIHNQPAQMQQQLGLQQQQNPLQRDMQQKLQASGSLLQQQSVLDQQKQLYQSQRALPETSTTSVDSTTQTAQPNGVDWQEEIYQKLQTMKETYLPEINEIYQKISMKVHQFDSIPQQPKSDQIEKLKGYKTMFERMISILQIPKSSIQYGVKEKLGSYEKQIAAAINQFRPRKAMSSLQPGQLPATHMALMPQSQSQVTSVQSHENQMNSQMQPTNLQGSTSVQQNNIASLQNNSMSSLSTTQQNMLNTIQPSNNLDSGQGNSVNSLQQVPVSSLQQNTVNTQHTNINSLPSQGGVNVIQPNLNTHQPGSNMLQQQQLKHQQEQKMLQNQQFKQQYQQRQMMQRQQQQLHQPAKQQMSAQPQTHQLPQINQMNDMNDVKIRQGLGVKSGVFQQHLTSGQNSTYSHQQMKQGSPFQVSSPQLFQAASPQIPHNSSPQVDQQTHLLSLTKVGTPLQSSNSPFGVPTPSPPMAPSPMLVDSEKPIPGVSSSNAANVGQNAAAPAQSLAIGTPGISASPLLAEFSGPDGTFCNALGAPSGKSTADHPIDRLIRAVQSMSTETLTAAVSDISSVVSMSDRISGSAPGNGSRAAVGEDLVSMTNCRLQARSFITQDGGTTNGIRKFKRHIRGKTLDVGSSAGSMNDNLKQLSASEASQQESTATSNVKKPKAEVNHALLEELQQINRRLIDTIVDISTEDVDSTAAAAAAAEWVHGTIVKCSFIPVSLSPSLKSQYVSLQSPIQPLRLLVPPNYPNCSPIFIDKFPVESRKGNEDLSEKAKVKFSMSLRNLSQPMSLKDIAMTWDASVRGVISEYAQQFGGGTFSAKYGDWQEFTSWEDLLAA >RHN49698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:384248:386190:1 gene:gene33903 transcript:rna33903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MTMFVYTTIACAIFSFLCIIFQIHRRRCCKYPILIDYTFLGMLPSLLWNLWNIHDFLAALLKQHRGSGEFMGPWFTKMNYFITSDPMNVHHIMSKRFDNYVKGHEFHEIFEAFGDGIFNADSKTWKYNRSLLHSIFKQRSFELFQEKIIQNKLERSLIPLLDHVQQQGSVVDLQDVFNRFTFDNICSVVLGCDPNCLSIDFPDVACEKAFDQIEECIFYRHVVPRSVWRLQQLLQVGQEKKMTKACKEFDQFIYSNIESKRVELRKGTKNIDEMGDLLTTLMNEEKGSQVVQHDDKFLRDAAFNLFVAGRDTITSALTWLFYLVATHPLVETKILEEIKEHFGTINIDQKKLGVDEVKKLVYLHGAICEAIRLFPPIPFERKKAIKGDVLPSGHIINPNTIILFSLYSMGRFEEIWGEDCLEFKPERWISERGSIVHEPSYKFFSFNAGPRTCLGKDLSFIQVKMIAIAILSKYCVQVVDGHVPILSHSIVLLMKNGLKVRITKR >RHN67205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24929308:24930229:1 gene:gene15365 transcript:rna15365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAENVISIVAKLAECLAECLVKPVIREGKYFLCVNKVIRDLENEREDLISERDNLLCRVKQAKERTEIIEKPVEKWLDEVKSLLEEVEALKQRMRTNTRCFQRDFPTWRRYRLSKQMVKKAQAMERLKGKSNIQPFSHLAPLPGIQYQYSSENFTCFQSTKVAYNQLLELLRDDCIHMIGVYGMGGCGKTTLATEVGKKAEESNMFDKVILITVSQTPNVRKIQGKMAALLNLKLSEEDEDERAQRLWLSLKEKKTNSCHSRRFVEKV >RHN55680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21060161:21060424:1 gene:gene30900 transcript:rna30900 gene_biotype:protein_coding transcript_biotype:protein_coding MITLNYTIVLIIAIDSSIPCYMCSCPCFINLFQCINVDDMSSISIYPNTSLKGTVQSNISPCHLLAILGPRYRVGSNEVKAITRDYT >RHN82820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56606683:56607435:1 gene:gene7010 transcript:rna7010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MELQGLSNSSTTLEVLQKESIEDMKKVEIEEDDGGEGVVKEMPCKHRFHGKCIEKWLGIHRSCFVCRYQIHVDEKDDGMKMDEVEGGERRRVGGGEVWVSFSFLTRGLVFLLTEVGEIKVKQLLVVILMILHQALDIMMRLKIKIKIKIKLLLVSNDSLSSSRDDDDEIEN >RHN42754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40664388:40665253:-1 gene:gene49238 transcript:rna49238 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPIVFVILILAGYSSARDLRPADHGLIFQTLSPTGTHSSPEMRSFFNSDNSSPTVSSSSEVAMPKAFTSGNTAPPSWSSVSGDGSSDRVWNSLKVASLACGVAGAILILVSGLIYVFKYRKQEQQNAAFRGNNSKLENEDHDNNKLQLVVRDPSS >RHN43179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43807705:43807968:1 gene:gene49717 transcript:rna49717 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSSKTACIAVHGLHAPARVARCNMSCVMNVRLETLGFCISTEVPKHKYTTIKLSQILESTAGIIQYKSRRIKSGSGYHVEVVGC >RHN42000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34664359:34674335:-1 gene:gene48383 transcript:rna48383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MMKRARKSNRVSWASPANLCQVKLFLSEDYPSKVGLNLQDSLEAKASSMLCYSTNESIDLPPGFESGHFPNQSKVQFSHIPKIKWECPLSFVFSPNWLVAAGQESREKEVQKLREIRVLEAVYPRPSAIPPSPSVSLDVEEEEYDDNSTPLIPIIPIEEEESMTNLPELAAAVNTHPNVQPQNLHQHMSATTSISSDRNSSRTVSSDARLMPLAGVSSSLEADAAAASEVVAAILKSNEQAGLIDMDLLVKILNDPKMIENLINEHGVAATTASSSSNSMVTPTSGLKAASTLDVAASRNSHTSSVGFSGLKPAIPSVSSFNPTSGKPTTLFAPAIPSYSIVSSAHDKPATASAPLSRPVSSKPISPSVFMSTPTLEPHMPRPVNNNFHMSSPMPTALHTQPQLDSFLASGVKRSASFTFSSSEQSTVPLPPSGNLHTVLNNTQPTASTKPYQTSSGSASAVKDVNYYKNLIRQHGADGQDKLDSQIGSHHSNFQDMKLAHNNNQGEVKYKSKKQCIYFNSSRGCRNGSDCPFQHDVSAESGAGNFLGGQSAKRFKL >RHN49982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2859629:2861028:1 gene:gene34228 transcript:rna34228 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLVIDINAMLDKAESPSTDDCCIYKVPYVIRRHNKDAYTPTVVSIGPFHHGHPQLQNMESQKLIYFKDFLQRTKACLNDLVCYIESILSDFKRCYSETLPFSHDELVKLILIDSAFIIQLFWRDYYEGRLFKPLLDTGITDDLMLLENQLPFFVIEKIYSLSLTNDPNTMIQYSFLRLTIHYFGYYNKSKLDFDKGDISIRHFTDLIRIFHLQHPIESRPSRDMFDEQKISLPGATELLEAGVRFKVNTKSKCLLDLRFSGGVLEIPQLTVDDRTEISFRNMVALEQCHYPDDSYITDYVAVMDYLINTGTDADILVRNEILHNMLADSDSVANLFNGLWKNVTQSNISSHFSILCKDLNAFCKNPWHKLKATLRRDYCKTPWQTAASIAGILLLFLTLLQSVCSVLQVVQQAS >RHN44166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2134186:2138102:1 gene:gene38254 transcript:rna38254 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVNDNNMITMFSIFGHYNTRGPIELDASLVRSFEQIQKILIRPMNYKEIRTLLDTPDEYISLADT >RHN46936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35895320:35895661:-1 gene:gene41473 transcript:rna41473 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I12, Bowman-Birk MELNKALLNVASLLFLLNFTATVDARFDPISLNTQVLSNVKLITTSCCDNCHCTTTIPPLCRCADIVKNKCNSACKLCVCKSTIPPQCRCMDHTNFCYEPCNVIPMKSQLEGH >RHN82305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52708573:52713816:1 gene:gene6461 transcript:rna6461 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHSFNGFSFFLFIFVFLLFLSSISALSSTGSIDHHIKFIIGGRGVNIGSWQNEVTQVAPAPGPLGDNTLILAANRTNRPDFLRGFHRYHGGWDISDRHYWASVGFTGATGFILAVLWFVSFGLALVIHLCCGWGINIKDKGSNRSQRICLILLLIFTFAASTGCILLSVGQDKFHGEALDTLHFFVNQSDYTVQTLRNVTEYLSLAKTINVNQILLPSDVLDGIDKLNVELNTAADTISETTDENSVKIRRLFNYVRTALFVMAGVIFLLALTGLVLSLLGYQHAIILFVITGWLLVATTFILCGVFMILNNTISDTCMAMGEWVENPHRESALSDVLPCVDQRTTNKTLFQSKQVVTNIANIVNTVIYTTANVNATKGHPGFYNQSGPPMPPLCYPFDDQFRERQCTNQEVSSANASMVWENYVCEVSESGICTTVGRVTPEIYSQLAAAANESYALEHYAPLLLSLQNCNFVRDAFTGITTNYCPPLKHYLYIINVGLGLISVGVLLCLVLWILYANRPQRGEVFVMLSLKEKIKSRFNKNHQSTNLAVPNAGSEV >RHN49663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:137880:139334:1 gene:gene33866 transcript:rna33866 gene_biotype:protein_coding transcript_biotype:protein_coding MLICGSSLLPHYGSSMPYLHVGGFQSERNWHNKKKNSSRRSMMMTCKSVQNESIVKRVCHKYSLTLATFLTTAVVIASIATVDAATYDEQEETLSNIPQTLSGECKTAQDCKKPKIQKPKSRKAESCTGKCVTTCIRGGDGSPGEGPLNIIGPLVVFKQGFRSRHYCLVECSDICNLISSADNVP >RHN63055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47978106:47980540:-1 gene:gene25709 transcript:rna25709 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYDHQQQPPVGVPPPQGYPPKDAYPPPGYPQQGYPQQGYPPQQYAQQPQQNKEVGFLEGWYVSFPFFFALHVLLLKNVFPFMIYLFCFYSKILNF >RHN60713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29800379:29812917:1 gene:gene23068 transcript:rna23068 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPSSSTKKMKSTTTSSPPLRRSERNRNVSSSNQKLPIRDSRIYRAILTQPKRKDCNKETDKKDKSTPEDSNTGEDKIDESSKEVSLDCKEVFEDCILPSEEGKAMDMRTESSSSGSAKEPSAKEATLGSAVVQSNSTTHETSDIPERVQSDCHEKETSQTLAIRDSDSNESLIRKCVGNEKGQNLTPSKRKSTVVDKHSDVSPRVVDDENCNLIVNPDPEKLCCNVVETSGPSKRIRGINNVDQHASKSNDEKSCTRSKEGKSGDPVEKPQGNTVENEKIRTLQRSLHRSLKPEIAKLCEILHLPDNVKSMAGKFLEYTMNNYKICTEPVSILQAFQLSLCWTAASLLSHKLDTEASLILAKQHLNFDCNKDAVDEINAMLWDLKDKFLLRTGSSGVNCSPKASESSNRVHSNTDVTSDVELTKKVISRISRNTKETQKRKDQWRELLHMQQENKLKLQRDFETEMADLGRRYKIEWVAIKSQALKKKKNEMLSNFTSGFDEMKTEIKSKYDVRLRALETEHLEARQKFRESSLQNELSNLVSSKELETPLNAPKILLSDEVLETSCAQATASELSREAAVGLPSTVRSTVYPENTAPLSADQISDGGLDGVVSSRPCNSSSPSNGHPATISLLNSPSSTQQVSDRVLPTIADGQIPVIVPELIRDAAVGFPSTVRTTDYPENAAPLNSSSTDQISDGGLDGVVSSSPCIFSSPGDGRPATSSLLNPPSSKQQVPDSVVPAITDGQIPVTMPENSHEEAECELVDNMEVNESTTPDNQEVVQRTIAENTSSQETSVSRARDSIEPREQVQVQPLSSVESPLSLPENSHEEAEYELIDNMEVNESTTPDNQEVVQRTIAENTLSQETSVSRARDPIEPREQVQVQPLSSVESPLSPVHILPANQPNRVSMVMEPPEQVRLPSSGFLSSNRDFCLLPLATGGVDREGTNKDSLSRQFPEAMIEVRNQAVEQPTSNMEVDSHSRLVVPPGSNMVLDSLVPGGFGAHLTDTRNMSTHRVINNLPIQTPAQLASRNFRPYFHDPLNYELERLRKLTDQNRKNHEDMKLQLKCNFEKEVEELHRKYDIQMKEIEVEFQKTKKNYDTQSRTVYIHKILADTFKKANFDPMFSGASGMLQGILPYDAGFSQLLFQPSRQQNATQPPLVASSSVCRPPTTTLQNSHVSTSSHTMVPPPTQASYNTSGNISGFSARIPHTNSISSPSGNQQTGREIRSPLRRLLPRLPSTSVSASGISGDIRTPAPPLPPYRPSASIPASTHSGEIRAPAPHLPPYRPSTTVPPSTHSGEIRTPAPHLMYRPSTFVPPSTHSGEIRGPAPHLPPYRPSTSVPASSFSGVPLCIPNQPAPSNSSANSLSLTSQWLPRPMPAISQFGPHRGHGHENTGGFPSPNLSAGDMRMSSNSQSSINLPNTMPRMSDHSQFGTSSSMPANSAQEATPSDVVCISDDD >RHN57874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42307030:42315203:-1 gene:gene33464 transcript:rna33464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin regulator PHD family MKRKFTTLRDETESEIEFQSLYVTNYHLEDNDGEPVCFSVLPFKWGASKVSKVDDTEKGLKIFLHGSADNGLQTIFMEVTAWKFDLTGLKPEVSLLSKDKRWIKLQKPRKSFQKTVKNALITLYFLRCAKKNPRLSVESFWCNVCKDKDLSSYGFKPSQKDLLDHMSLIGEATTRDVGLANSKLLLSVLGDKSGDQKPSDEEFDTNNDIIEESDEDSKVEDDLFDSFCALCDNGGNLIMCDGACMRSFHATKVDGRESLCDSLGFTKKEVDDIETFYCKNCEYHQHQCFACGELGSSDKDKDAEVFKCASETCDRFYHPHCIAPLVKHVSEDLEKNNADGQTFSCPIHFCCVCEGLENKMDPELQFAVCNRCPKSYHRRCLPTEIAFDDIDDVATRAWEGLLPNNRILIYCLNHEISDELGTPVRDHIKFPDTRASVRDINTPDKKMKPTTTEGVKFKSNVDFVKSSDKSTAEGSKVTGKLPSSKIGSKKSSGETSDKLDTSVRDHIKFPDTRATVPEINTSNKRMRPTTTERVELKNNVGFVKSSGKSTAEGSKVTGKLPSSKIGSKKSPEETSDKLETPVRDHIKFPDTRATVPEINTSSKRMRPTTTERVELKNNVGFVKSSGKSTAEGSKVTGKLPSSKIGSKKSFEETSDKLETPVRDHTKFPDTRATVPEINTSNKRMRPTTTERVELKNNVGFVKSSGKSTAEGSKVTGKLSSSEIGSKKSSEETSDKLETPVRDDIIFPDTRATVLEINTSNKRMMPTTTERVELKNNVGYVKSSGKSTAHGSKVPGKLPSKTGSKKSTEKIVSGSDVSRTPESKEMSSKCVTEYKSSSKNLSEKAISCSDISWNPESNKISLTEENKKSNSKLSGKVISGSHISSKPKSNERSETGLTKNKKPMPKCEVKKHPTGQKLCASMNKGSEQIKYDNQVDDADNQTLSIMPLRSLLPPPDADSEKGLVDSFKEARSSILLEGVIKKHKLGDVGDKAITMRKLDDSVDLTTKQKVLPKEHGHMDSDKLAAKNIMESKLDDSVDLTTKQNVVAQEHGHMYGDKLAAVMDGDSGDKLMPNHDLLKSTDDQELAPVNESKEKSSHDGNADQESQDKHEFKTSKSLKTAGKRNQIDKNDRDSSHVNKHSARFAAARKSKFGTGLNVKFAPIHSGGWLEE >RHN42883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41652320:41653855:1 gene:gene49383 transcript:rna49383 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSELEAAGTKCKEHQNDKQLPGVCYYCLRDKLSRLNNRQIHHVPQSPQSFSSTSAIYMSHGHSRRHRRQTSSVTDSFSSNLVNFNYGLKKSSSIAFDSRSQIRDREINGDIQENNKKRSFWSKLLKLTRKDSKEAFTRSRTARGRMG >RHN65262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:651037:651312:-1 gene:gene13082 transcript:rna13082 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGDQGSVVVVSSTKEGINKTLTSQSSIPTLPFKLVEEILLRLPVKQLFRLKRVCKSWNSLISNHNFAKKHILNHPLLPRGQNVTQVPLYFD >RHN64466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59320110:59326688:1 gene:gene27285 transcript:rna27285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MDEDGASIQPVVDSGSKQDSEKKKAKDETTNTVPLYKLFSFADSLDHLLMFVGTVGAIGNGISMPLMTLIFGNMINAFGGSSSTEEVVDEVSKVSLKFVYLAAGTFVASLLQLTCWMITGERQAARIRSLYLQTILRQDVSFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGQFIQLMATFFGGFVIAFIKGWLLTVVMMSCIPLLVLSGAMMSMVISKASSSGQAAYSKAATVVEQTIGSIRTVASFTGEKQAIAKYDQSLIDAYKTVVKEALASGLGFGSLYFVVIASYGLAVWFGGKMVIEKGYTGGEVVTIIFAVLTGSMSLGQASPSLSAFAAGQAAAFKMFETIKRKPEIDAYDTTGRKLDDIRGDIELREVCFSYPTRPDELIFNGFSLTIPSGTTVALVGQSGSGKSTVVSLIERFYDPQAGEVLIDGVNLKEFQLKWIRQKIGLVSQEPVLFTCSIKENIAYGKDGATDEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQEALDRVMVNRTTVIVAHRLSTIKNADTIAVIHQGKIIERGSHAQLTRDPDGAYRQLIRLQEMRGSEQNVTNDKNKSNSIVLSERRSSQRSLSSRSLSQVSSGGGNSGRHSFSASHVVPTVPVGFSEIADGGPQVPPSTVSSPPEVPLYRLAYLNKPEIPVLLIGTIAAVLHGVILPIFGLLLSKMISIFYEPADELRHDSKVWALVFVGLAVASLFIFPCRFYFFGIAGGKLIKRIRKMCFEKVVHMEVSWFDEAEHSSGAIGARLSTDAASVRALVGDALGLLVENIATAIAGLVIAFTASWQLALIILALVPLLGLNGFLQVKFLKGFSNDSKKLYEEASQVANDAVGSIRTVASFCSEEKVMELYKQKCEGPIKTGIRRGIVSGFGFGISFFVLYSVYALSFYAGARLVEDGKSSFSDVFRVFFALSMAAIGLSQSGSLLPDSTKAKSAVASIFAILDRKSLIDPTDESGITLEEVKGEIEFKHVNFKYPTRPDIQIFRDLCLNIHSGKTVALVGESGSGKSTVISLIQRFYDPDSGHITLDGKEIQSLQVKWLRQQMGLVSQEPVLFNDTIRANIAYGKGGDASEAEIIAAAELANAHKFISSLQKGYDTVVGERGVQLSGGQKQRVAIARAIVKNPKILLLDEATSALDAESEKVVQDALDRVMVERTTIIVAHRLSTIKGADLIAVVKNGVIAEKGKHEALLHKGGDYASLVALHTSASTS >RHN71512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:681958:686058:-1 gene:gene7121 transcript:rna7121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ceramidase MRKRVVYAWAVALICFIVLMIVTPAIPQSQDYHNFADQRTFFGIPNALNVISNFPFLIIGLIGLVLCHHGNYFKLSLQGELWGWTCFYVGVAAVGIGSSYYHLKPDDASLVWDRLPMTVAFTSIIAIFIIERIDERKGMISIIPLVLAGVISIVYWRFFDDLRPYALIQFVPCIAIPLMAILLPPMYTHSTYWLWAAGFYLLAKVLEATDDVVYKWTHHIVSGHTLKHLFAAMVPVFLTFMLAKRSVEPERQSLFKVWRISWTKVKEGDSNVESYTYSRVEVEEPQ >RHN57085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36341076:36341940:-1 gene:gene32581 transcript:rna32581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGSLLELKVLILRNNSLNGKLPLSLKNCTNLVMLDLGDNRFSGPIPYWLGRQLQMLSLGRNRFSGILPQSLCSLTNVQLLDLSENNLSGQIFKCLNNFSAMSQKVFSTIFKYSNLLYPVGFGKSVLYEGYDLVALLMWKGAARLFKNNKLILRSIDLSSNLLTGDIPEEIGNLIALVSLNLSSNNLTGEITSEIGRLTSLEFLDLSRNNFSGLIPPSLAQIYRLSMLNVSDNNLSGKIPISTQLQSFDASSYKGNVNLCGKPLDKNVHMKKLHI >RHN59761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12860712:12863366:-1 gene:gene21875 transcript:rna21875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRLENTTEVVMLIYVMIIFLCLLLVTMNVNAVIKCFQDSDCPKYMCMFPLKPKCVYILVFPPPWTAQCICD >RHN65968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7152162:7154803:-1 gene:gene13876 transcript:rna13876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MAEGLLKCCGRDKSEEELGNEFFNDLVSITFFQQSTIMPLWAGKYYFIMHDLVYDLAKLVSGEFRLRIEGDNLQDIPERTRQIWCCLDLEDGDRKLEHILKIKGLHSLMVEAQGYGNQRFRISTNVQHNLFSRVKYLRVLSFSGCNLIELADEIRNLKLLRYLDLSYTEIASLPDSICMLYNLQTLLLQGCFKLTELPSDFCKLVNLRHLNLQGTHIMKMPMKIGGLNNLEMLTDFVVGEQREFDIKQLGKLNQLQGRLQISGLENVKDPAYAVAAYLKDKEQLEELSLSYDDWIKMDGSVTKARVSVLEALQPNINLMRLTIKDYRGSRFPNWLGVHHLPNLVSLELLGCKLRSQLPPLGQLPSLKKLSISGCDGIDIIGTEICGYNSSNDPFRSLETLRFEHMSEWKEWLCLECFHLLQELCIKHCPKLKSSLPQHLPSLQKLKIIDCQELQASIPKADNISELELKRCDGILINELPSSLKKAILCGTQVIESALEKILFSSAFLEVLEVEDFFGQNLEWSSLDMCSCNSLCTLTITGWHSSSLPFALHLFTNLHSLVLYDSPWLESFCWRQLPCNLCSLRIERCPKLMASREEWGLFQLNSLKQFSVSDDFEILESFPEKSLLPSTMKSLELTNCSNLRIINYKGLLHLTSLESLYIEDCPFLESLPEECLPSSLSTLSIHDCPLIKQKYQKEEGECWHTISHIPDVTIS >RHN79350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27890932:27891378:-1 gene:gene3128 transcript:rna3128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MAASHTVCIMLVFIASFALLVQTGLSDDVTDPPIGSPIEFDYTEKPLTSYQKYLTNCANQLYPICGEEIFSTVFFGNTTYSNICCLNLVKDLGKSCHDDLVKYILLSPKFKKNIISIWERSEQVWNDCASRAQDNIPVEVEAEPPYNV >RHN61050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32519749:32520231:-1 gene:gene23462 transcript:rna23462 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPWWLWKWSNECQERRCSSSNEFINSIKLLIVRLRWLGWSRVVRRRSRPGVDTTNRSGLLLWLSQAGKMEVVDDFGGRVMVVCDGIQLRHDGSEVVIEQIGLESRGEGLGTPRCGDPYGRERQITKIWVDLPPLNQGETLVWVTESMLSLESEGLPSL >RHN57037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35933829:35940493:1 gene:gene32525 transcript:rna32525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MQTEARVGVTVDGGVRKLVKQQPPPQQIGTISQLLAGGVAGALSKTCTAPLARLTILFQIQGMHSNVATLRKASIWNEASRIIHEEGFRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKFLRTIPQLQSNRDNISADLCIHFVGGGLAGITAATSTYPLDLVRTRLAAQTNFTYYRGIGHALQTIVKEEGLLGLYKGLGTTLLTVGPNIAISFSVYESLRSFWMSNRSDDSTVVVSLACGSLSGIASSTATFPLDLVRRRKQLEGAGGRARVYNTGLFGTFKHIFRSEGLRGLYRGILPEYYKVVPGVGICFMTYETLKMVLAEITTV >RHN64426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58946226:58996665:-1 gene:gene27242 transcript:rna27242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein MFEAHVLHLLRKYLGEYVHGLSAEALRISVWKGDVVLKDLKLKSEALNALKLPVTVKSGFVGTITLKVPWKSLGKEPVIVLIDRVFVLANPAPDSRTIKEEDREKLFQDKLQQIEEAESATLDAISKSKLGNPSSGNSWLSSLISTIIGNLKISISNVHIRYEDSVSNPGHPFSSGVTLAKLAAVTMDEQGNETFDTSGALDRLRKSVHLERLALYHDSDRLPWEIDKRWEDIGPHEWIEIFEDGINEPTDYHKSVSKWAVNRTYLVYPINAVLQYHRLGNQERSDPEIPFEKVSLVLSDVSLTLTEAQYHDWIKLLEAVSRYKTYMEVSHLRPSVPISRAPYLWWQYAAQATLQQLKMCYRLSWDQIRHLCQRRRRYIQLYVASLQQSSNVNYVEIREIEKDLDSKVILLWRLLAHAKAESVKSKVAAEERKLKNKSWFSFRWYTDAEDSLSDASEEQQLKEERLTTEEWQAINKLLSFQPEEELMLRSAKDAQNMVQFLVTVSIGQAAARIISVNQVELVCGRFEQLDVSTKFRHHSVYCDVLLKFYGLSAPEGSLTQSVYSEQKVNALVASFVHLPIGENIDWRLSATIAPCHVTVLMDSIDRVFEFLKRSKAVSPTVALETATALQMKFEKVTRRAQEQFQMVLEEKSRFAFDIDLDAPKVRVPLRTSGSDRCDSHFLLDFGHFTLHTAESQSDEQRQNLYSRFYITGRDIAAFFTDCGSDFGSCSLVKPNYDSQIMNSSTTQKEENVYSLLDRCGMAVLVNQIKVPHPSYPSTLISIQVPNLGIHLSSERYFRIMELLNILYQTMEICSQPASDSFQSKLTPWGPADLATDGRILVWKGIGNSVATWHPCFLVLSGSYLYVFESAKSQSYHRYLSMAGRQVLDVPPMNVGGSPFCIAVSTRGMDIQKALESSSTWILDFREEEEKGCWFKGLIQATYQASTPLSVDVLGNSEGATASYNVLNNTNSKTADIVINGALMEAKLFVYGKAGNTTDGNLDESLILEIVADGGKVHVILAESDLTVKMKLHSLKIKDELQGRLSVSPQYLAVSVLKNETLCSSSDSHGKDNSFGIPDDDDSFMDALSDFISQTDGGHSLHNMELDQQGLMGIASDFESLESLIHEKEIETGNSTPHEEYYEAEGSDTSNFVSMSFSTRSPGSPDYDGIDTQMCVRMSKLEFFCNRPTIVALISFGLDISSGNKVTSDADTLKTSPERPLVKERTDEKGRVRGLLGFGKERVVFHLNMNMDSVTIFLNKEDGSQLAKLVQESFLMDLKVHPSSLSIDGTLGNFRLCDTSLGSDQCWDWLCDIRNPGVESLIKFKFNSYSAEDDDYEGYDYSLEGQLSAVRIVFLYRFVQEITVYFMELASPHTEEAIKLVDKVGGFEWLIQKYEIDGATALKLDLALDTPIIVVPKNSMSKDFIQLDLGKLQIKNEFSWHGSREEDPSAVHIDVLHAQILGINMSVGIDGCLGKPMIREGQGLDIFVRRSLRDVFRKVPTFSLDVKVDLLHGVMSDKEYKVILDCTTMNLSEEPRLPASFRGGKSGSKDTIKLLVDKVNLNSQNLLSQTVTIITVVGNHALLELCNGTDGESPLAHIALEGLWVSYRMTSSSETDLFVTIPKFSILDFRPDTKPEMRLMLGSSTDAFKQAFTVKVPFLFNPGSFRRTTSEAGIDNMPISTMFLMDYRWRMSSQSFVIRVQQPRVLVVPDFLLAVAEFFVPALGALTGREETTDPKNDPISRNSSIVLMEAVYRQEEDVVHLSPSKQLVADWVGIDEYTYDGCGKVICLSVETDTKEVRSTRFRPIIVIGRGKRLRFVNVKIENGSLLRKYTYLSNDSSYSISIEDGVDIVVPGDLSSGDEKNLDTMNQTSGSSLNSQSESNETQSFTFETQVVSSDFTFYDGTKSFLDDSSYSEKLIRAKLDLSFMYASKEKDTWIRALAKDFTVEAGSGLVILDPVDISGGYTSVKDKTNISLLSTDICIHLSLSAISLILNLQSQASAALNLGNATPLVPCTNFDRVWVSEKETGPNNNITFWRPQAPANYVILGDCVTSRPIPPSQAVMAVSNTYGRVRKPVDFHLIGSFQNIRGGGNNGHSVGASDCSLWMPVAPPGYTTLGCVAHVGNQPPPNHVVYCLRSDLLTSAKYSDCIFNISSNDQFTSGFSVWRLDNAIGSFFAYSSSGFPLKDRLYDLNHLLVWNSNRAPLMGLVSDFNSDQENNNQQTTKSTTTSGWDILKSITKATNCYMSTPNFERIWWDKGSDLRRPVSIWRPTAHRGYAVLGDCITEGLEPPALGIIFKNDNPEISSKPLQFTKVSHIAGKGIEEVFFWYPIAPPGYVSLGCVVTRTDEAPRADLFCCPRMDLVSQANIHEAPLSRSAGSRAPQSWSIWKVENQACTFLARSDLKKPSSRLAYIIGDSVKPKTRENVNAELKLRYFSLTILDSLCGMMRPLFDTTITNIKLATHGGLHGMNAVLISSIVASTFNAQLEAWEPLVEPFDGIFKFETFDTNAQPPFGLGKRIRISATSILNVNVSAANLESFVGSVHSWRRQLEFEQKASKLNAEAGGQHSKGESTTFSALDEDDLQTVIVENKLGCDIFVKKVDHDVDTVDMLHHGNCVSVWIPPPRFSDRLNVADESRESRFYVAVQILEAKGLPINDDGNSHSFFCALRLVVDSQASEQQKLFPQSARTKCVKPVISRINNWDEGNVKWNELFIFEVPRKAPAKLEIEVTNLAAKAGKGDVVGALSFSVGHGANTLKKVASVRMVHQPYDVQNIRSYPLTRMAQQSNVEIMHDGCLSVSTSYFERNTIVNLQKELESENTSTRDIGFWVGLDPEGEWESIRSLLPLSVAPKSLQNEYIGMEVVMKNGKKHVILRGLVAVLNDSDVMLNISTCLASFGHDPSLGTHTSNTVVEEVFQNQYYQLSSGWGNNWPGVHPDNPGHWSTRDFSYSSKDFFEPPLPPGWKWASGWSIDKFQNVDKEGWAYGPDIKNLRWPPASSKSSTKSSSDVVRRRRWIRSRQTISQQSAESLHSGVSTVHPGASTFLSWRSTSKDSEQYLQIRPSFDNSQPSYSWGHAVAVGSSYIYSKDQQLDPGFRQNCVTSNCSLKLNEMEKKDILLCCNPSSGSKQLWFSVGTDASVLNTELNIPVYDWRISIFSPMKLENRLPCPVEFSISEKTKEGNCVERHRGVISSRQSVHIYSVDIQKPLYLTLSVQHGWVMEKDPILLLDPSFSNHVSYFWMVHRQSRRRLRVSIEHDMGGTSAAPKTLRLFVPYWIANDSSLPLAYRLVEVEPLENAETDSVLLSRAVKSAKTALKNPISSMDRRHFSSRRNLQVLETIEDNSPFPSMLSPQDYAGRSGVFQSQKDTYLSPRLGISVSMRYSEVYSPGISLLELENKERIDVKAFKSEGSYYKLSALLKMTSDRTKVVHFQPHTMFTNRIGCSLCLQQCDTQSVVWIHPTDPPKPFEWQSSAKVEFLKLRIDGYKWSTPFSVNYEGVMRISLKKEVGDERMQLRVAVRSGAKRSRFEVVFRLNSLSSPYRVENRSMFLPIRIRQADGIGDSWQLLLPNSAASFLWEDLGRRRLLELLVDGTDPMKSLKYDIDEISDHQPLQVADGPTRALRVTIVKEEKTNVVKISDWMPEIEPIRVLSRRHSLSVNDSQKQQLMSDTDFEFHINVDLAELGVSIIDHTPEEILYLSIQNLVLAYSTGLGSGISRFKLRMCGLQVDNQLPLTPTPVLFRPQRVVSETDYILKFSITMQSDGSLDLCVYPYIGLHGPESSAAFLINIHEPIIWRLHEMIQQVKLGRLSESQTTAASVDPIIEIGVLNISEVRFKVSMAMSPSQRPRGVLGFWASLMTALGNTENMPVRINQRFNENVCMRQSSMISMAISNIRKDLLGQPLQLLSGVDILGNASSALGHMSKGVAALSMDKKFIQSRQRQESKGVEDFGDVLREGGGAFAKGLFRGVTGILTKPLEGAKTSGVEGFVQGVGRGIIGVAAQPVSGVLDLLSKTTEGANAMRMKIASALTSDEQLLRRRLPRVISGDNLLQLYDEYKAQGQVILQLAESGSFFGQVDLFKVRGKFALSDAYEDHFMLPKGKILMVTHRRVILLQQPSNIIAQRKFSPAKDPCSIAWDIMWDDLAAMEQTHGKKDNPKSPPSRLILYLKSRSFDVKENVRIVKCNPESHQALQVYSSIDHALSIYGPGASKGMLKNKVTKPYSPLVDGPSVDVTPKEGVCPWSPQQMPGSVPLSSSFGSSSNH >RHN60710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29741484:29741828:-1 gene:gene23065 transcript:rna23065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MKFQSLILILIFLLASTARNQALLDGYYPILPLNGYDVIEIAKFAVTEYDKQTGAKLKFKNIIKGESKFAEGFTGYHLIISADNGSISNNYETFVCTTVYVPTWKLISFRPVQV >RHN78874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19474482:19474955:1 gene:gene2540 transcript:rna2540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MMSAVSKIVFLISMLVIILVALQFGKTNSYLPTVYEHIINNMSSTVLGVHCKDKHHDAGFRRINFQEIYTFNLRPHPIVSVTLWFCRFEWNNDFQHFDIYVQKRDAKICEKECTWFINSSGPCRIRGTSLDCLPWNPKVAIVYEHRQLGPENNTLTM >RHN71062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56187683:56189101:-1 gene:gene19705 transcript:rna19705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor STY-LRP1 family MAGLFCLGRKEGQKEDKLDYYSNKELLLRNEEIYNNKGLEIWPQQSLNIYNAFGVGHSSSSSSRNSSFDECERRFGIMRRSGGMNCQDCGNQAKKDCIHLRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQQHLSALPFRRRDHVDNYKRHTEINQGATSLPFPQPPIPTTGLELGQFPPEVSSPGVTFRCVRVSSLDGPDHEQCAYQTAVNIGGHVFKGILYDQGPPNLYTSIAPIEGSSGGGHHQPQQLDFMAATATTATTSGIHFDPSLYSAPLNAFMAAGTQFFQPQHPN >RHN48892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50964421:50967544:-1 gene:gene43658 transcript:rna43658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein Networked (NET), actin-binding (NAB) MVQLPSEFLEWTLLHHCLFQNTEERNHSQFNQKIVTKKKKKKKMVGNKNQQSNWWWLDNSHTNNTKRSPWLQSTLSELNEKTNAMLKLIEEDADSFAKRAEMYYKKRPELVSMVEDFYRSHRSLAERYDQVKPDTGNGHLVLGGSPFASAKYQLEKLMSFADTGYDTYSENFDVDESMESEVDDPEHEEKDLAKFHHNYTKEERVSSVAVNDEVMRLRDEIKKICQENTVHKEQLKQKDAVCDEVMKLREEIEKLKGEKVAQKEELKQKDEEKIEVIKQLSLAIDMLKQDNMNMRSFITKESAKKWKFPFEFNKFGGAFSMKLFNGNPRNQPSLELSRCGLQIHQVA >RHN78876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19484830:19485232:-1 gene:gene2542 transcript:rna2542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MQVDYACTAKVVKQHFQSCGIVSRVTILLNEYCLPKGCAYVEFQNIDAVPKALLLNESELYGRKIKVCAKRTNIPGMNQHRGRNTYVLRSRRPPPVYRRPGYG >RHN72711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10073644:10076756:1 gene:gene8460 transcript:rna8460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator FHA-SMAD family MYGRTSGLDRFKKAESLEPFSVNVNSSSRNVAQSSSKVVGHSSAWPLPQSKNSVHQSQHQPQHGSQKGVGVEAAPLLGQNQQATQIGGGQSMWQPPDWAIEPRPGVFYLEVMKDGQVLDRINLDRRRNIFGRQIQTCDFVLDHQSVSRQHAAVVPHKNGSVYVIDLGSAHGTFVANERLTKDSPVEFEVGQSLRFAASTRLYILRKNDAALFPRPSPPTGVNFPPPPDPSDEEAVVTYNTLLNRYGINKSDLVSKSTELGSSTNGKNKDCQSERAAKRIKKMRVSFRDQVGGELVEVVGISDGVDVETEPGPVGVKEGSLVGKYESLVQTTVIPKGKGQSSAREVDSSQKGVTDKLQEVLNKIKNPVKTGIYDDLYGESLSVKVGSAWAYSPVSSGERAPAAKENGESGKSDSNPSNRSNADYTDDDDDLFG >RHN54255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8088902:8094030:1 gene:gene29258 transcript:rna29258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, CRAL/TRIO domain-containing protein MSEPLASGNGSFKKKAMNASNILRNSLAKKGRRSSKVMSVEIEDVHDAEELKAVEEFRQALISEDLLPEKHDDYHMMLRFLKARKFEIDKSKLMWSDMLKWRKEFGADTIAEEFEFKEIDEVLKYYPQGHHGVDKEGRPVYIERLGQVDATKMMQVTTMDRYIKYHVKEFERTFDVKFAACSIAAKKHIDQSTTILDVEGVGLKSFSKHARELVTRIQKVDGDNYPETLNRMFIINAGSGFRILWNTVKSFLDPKTTAKINVLGNKYDSKLLEIIDESELPEFLGGKCKCADEGGCMRSDKGPWKDPEILRLVENGAHKCSKKSESNVDEEKTASEDHTASKLEENLTTSQVSPISEEVPATKASKHEDPIPVVDNKTAQKKVDETDPMATSEEFVTVMKRMTELEQKMTNINHQPVVMPPEKEEMLHNTINRADLLEKELLATKKALEDSLVKQEEISAYVEQKKQNRRKCFCFW >RHN55317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17279621:17285147:1 gene:gene30483 transcript:rna30483 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFRRITTTATTTNQFKSLFSTSKLPTTIRQFSSRNPRSGEEEWNEAWESAWLPQDLTPKTRAPWEGDVNFASEEVADAETKAFVEEMNENWNERRKGLKKEKVEEENVKGGIYSLENIKKDYRLKKQKLHAGLWSKEIEKLEEAKLGGCGGGNGDDDIQKLLDSCSDIFDSHNNDLNNAKDPTSEFKNMPDGWETISKNQDGNIWEMSQREEDILMQEFERRIAYSKFQIASFIKTHIFSRRRPIDGWKYMIEVVGPNAKRGKGSVSRVPSLSDPSTQPFKEEKTSVDKSYIPLGRR >RHN68982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40065107:40066039:1 gene:gene17408 transcript:rna17408 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSVDYPSHSRINPNGERGPFTITNLDFNELVPKEKNTMLVAKTKNIKHTNNYKLKKLEDIPEETELEDETHPQLEEACVHLEMDLQVLRKSLDMGLCVLCLGVGYMVSTKFRPRPKFSSLLSSSSFLFF >RHN69470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43656101:43658033:1 gene:gene17946 transcript:rna17946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MVDKNGSTNHHQTFDVSIDQQRDSKYFDDDGRVKRTGTVWTASAHVITAVVGSGVLSLAWAIAQLGWIAGPIVMILFAWVTYYTAILLCECYRNDDPINGKRNYTYMDVVHSNLGGFQVTLCGIVQYLNLVGVAIGYTIASAISMMAIERSNCFHRSGGKDPCHMNSNIYMISFGAVQIIFSQIPDFDQLWWLSSLAAVMSFTYSTIGLGLGIGKVIGNKKIDGTMAGVTDVTKAQNVWGSLQALGDIAFAYSYSMILIEIQDTVKAPPPSEAKTMKKATIIGVAATAFFYMLCGCFGYAAFGNSSPGNLLTGFGFYNPFWLLDIANVAIVIHLIGAYQVYCQPLYAFVENYTAKRFPQSDLVNKNIKIPIPGRQMCKLNLFRLVWRTIFVILTTLVSMLLPFFNDIAGLLGALGFWPLTVYFPVEMYIIQKRIPKWSSKWICLQLLSVACLIISIAAAVGSIAGIVLDLKVFKPFKTVY >RHN68718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38000450:38000769:-1 gene:gene17104 transcript:rna17104 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVGVHRLNKKLKYTGVLDINKGENLKEVEAGLRKLDAEIMAMNVMALVVVDMVIGR >RHN43217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44107842:44108641:-1 gene:gene49757 transcript:rna49757 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLANFPSSIHYYFISKRRTTPAISETLWRRKLGYSYMNETLYDVDCDSVLHLSSQESIVLNRGIRRSSRSSNQESLAQTPTPAPALFLLPAASFLFQRICLDADFATSQSEQFCRQPPRRSSGKQPRRRYTL >RHN54230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7942627:7947462:-1 gene:gene29230 transcript:rna29230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MNLKLTLSFFPSPSSTMEARLKIFNPSLLGHRLASKTKTSTPIFPSHHYHYNPLQKPLKISSTLTRFSHSPIPPNSNPHSHRFFSSLTSSKNLNFSIPNNTLNNPFTSNSQSTSIVWNPALENGNEGFYGGNKNRVVTVVLLGWLGAKTRHLKRYAEWYNSRGFHAVTFVVDVKEILGFDLGRVLEKKIEIFAEELASWVSCEEKDGRERCLIFHTFSNTGWFVYGSILARFMDSHNVVMEKIKACIVDSGGAEPFNPQVWAAGFATAILQKRSSSTQALVDVGNKPKSETTIQQNEPSIVEIVVLSLLEKIFSFLLQLPESDRRLRKVFNPLAEHQPCPQLYLYSTADKVIPFRSIEVFIEEQRKIGKRVKSYNFGSSPHVDHYRNFPDVYLLQVTEFLNECFDTHKRTTDALSSNPLEV >RHN64749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61505018:61507534:-1 gene:gene27604 transcript:rna27604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Mu domain-containing protein MSCLALSLQPTNGSDILLQTREWFPPSRALGALSAFRHTRRAFAATKNNKINAPEDAYAAESIGDDPLAASSGQVIVGVESRYRIVYRLVNGIYVLGITVADHDNSVNVFECIHIVNQAVSVVVTACRGVDVTPEKLGRKYAEIYMALDIVLRGVSNIRLAAMLATMHGDSIAKMVHSAIDTENKIRGADNWSSAEVHSVEHQASIDAFANARFELPQETLEAGDEVVASLAAPVTSEQNEEIQQKQKQEEVEVEKDPFAASDAINKPQELVSGFKKTKDGSSNDLATALEGLDVTTLPPPEATQSTHINVEGFEGNYGGVEFGMEQASIGEAFEGFNDAWGGGLDASEFVGPTKAPKPQGLGGVELLQTGPDVAPKGTAGEGDALENLVKKTEMKGPEMYISEEISVEFRESLLARVGFMGVVYIKTLPPQSSDGDKETEFSFRVEGTKAVKRFALQSSRVSSLENGMFHVRTAASEEPIPIMKFSLLPKLTPLPLRVRLIKRHTGSFISVMIQYVSNPDLLAPLTDVTFILKLPVDPTLLKVSPKAVLNRVDKEIKWIVPAIPLKGSPGRLRVRMPVDSNEDDDEEIEVVGYVKFSVQTTETLSGVSIRPASEGKTDFYEVSHKLESGVYMCN >RHN71168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56895217:56900163:1 gene:gene19818 transcript:rna19818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-disulfide reductase MRMEMKGGTTTTTQAVVNSNGNFVEEEEEEAVSSFKFSYLLASKDRDFLLSSTGTQVKISELEGKVVGLLFAANWYPPCRGFTQLLIGIYEQLKSNIPQFEIVYVSSDEDLDAFNGFYGNMPWLAIPFSDLETKKALNRKYDVEGIPCLVMLQPDHSKGEATLRDGVELIYRYGVQAYPFSKERLEQLHVAEREKLENQTLANLLANNHRDYVLSHTGTGLLTQVPVASLVGKTIGLYFSAGWCVPCTKFTPKLINVYQIIKQELAEKQDPHEDFEIVLVSNDRDQESFDSYYNIMPWLALPFGDPEIKNLARHFDVQGIPCLVIIGPDGKTITIHGRNLINLYQENAYPFTASKVEQLEKQLEEEAKDLPNLVHHEGHHHGLNLVSDGNGGGPFICCVCDEQGSNWAYQCLQCGYEVHPKCVTTVHGS >RHN71531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:812889:819083:-1 gene:gene7141 transcript:rna7141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MMMFGALSRYSPRHVTTICLRAFSTDTSLDKEGVTSEVLKEFCATIENASTTSARVYSAYIDKMCKAGNLSALSQMLQILNDKNIVVSPNMYNLILVEASQKNDIVLSCQMLKKLLLSGESPSATSCVKFAQAFREVNQFTELIIFLKEIVSETSCSSISSFINKIIFAFAKSGQKDSALAIFEHLREQNNSCLDLITYNIVLDILGRKGRVDEMLDMFASLKETGFVPDTISYNTLINGLRKVGRSDMCFEYFKEMKENGNEPDLLTYTALIDISGRAGNIEESLKFFMEMKLKGILPSIQIYRSLIHNLNKTENIELATELLEEMNSSSTCLAVPGDFKTKRRQRKT >RHN38866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2510310:2510959:1 gene:gene44838 transcript:rna44838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MERKILLVLCFFLFALTVAQGRCMKMSSRFVGLCTGPLESQVCDYTCIGEGYPNGTCFSEVCYCSC >RHN55067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14853191:14855610:-1 gene:gene30193 transcript:rna30193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MILTENGSGRRILNFPAVHPCINIASSTLLSSLINLSDKISNFQHKFFSSNKRNARKAIRLIGLLQPFLHEILENHSNLPAPVTLCFSELHVIFQKLFFLMEDLTCEGGRLFMLMESGRVATMFRVLFRSVASALDVLDFDSVEVGLEGKEEVLLVMKQVREGRFKFEVDDEEVVTCVKKVLNLFEKRVAPKKIDLKRVVDYIGVCEWNEINKEVKFLDGEIGFEWLNEEKEKVGFLSSLMGFMCYCRCVMIEIVDCEEGKSGKKFDARRESEMILSCLNSDDFRCPISLELMSDPVTIETGHTYDRSSILKWFRSGNSTCPKTGKSLGSIELVPNLVLRRLIQQYCNVNGIPFADSSRRSRDITRTVEPGSVAAEGAMTLLAGFLCRSLDNGNVEQKNHAAFEVRVLTKTSIFSRSCFVESGLVPLLLLLLASSDSSAQENAIAALLNLSKYIKSRSEMVENWGLEMIVGVLNKGINIEAKQHAAAVLFYLASNPEHANLIGEEPEAIPSLISLIKDDNKRSVKNGLVAIFGLLKNHENHKRILAAQAIPLLVNILKASEKEDLVTDSLAILATLAEKSDGTSEILRFGALHVAVEVMSSSSTTSRLGKEHCVSLLLSLSINGGENVIAHLVKSSSLMESLYSQLSEGTSRASKKASSLIRVLHDFYERRSSNYRTSVIPRERFIHVW >RHN79705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31377106:31378296:1 gene:gene3530 transcript:rna3530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MTSTRVALCLSFAFLFYVVGGAKVTFTNRCEYTVWPGTLTGDQKPQLSTTGFELGSGVTISVDLPSPWSGRFWGRTGCFNNNGKFSCATADCASGQLGCNGAGAIPPATLVEITVATNGGQDFYDVSNVDGFNVPMSVTPQGGSGDCKTSSCPGNINVVCPSELQVIGTDGSVVACKSACLALNEDKYCCRGDYNTEEKCPPTDYSMVFKNQCPGAYSYAYDDKSSTFTCFARPDYAITFCPSA >RHN50501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7694442:7704006:-1 gene:gene34791 transcript:rna34791 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPLSRSALSLSRTHAYLNERLFISAASFSNLSITRLSIPPSFFLLESLDHTLVNTS >RHN61348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34981437:34993389:-1 gene:gene23803 transcript:rna23803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase transcription factor C2H2 family MASSSRAPSNSPFSHRKPSTPYSSASSFTAGKLMPRSCSTSASSFFNSGGGADRSMLTPSRSQSESNYFDVHSYGSPVEEVDSSTPRDSISVTIRFRPLSEREYHRGDEIAWYADGDKIVRNEFNPATAYAFDKVFGPHTNSDEVYEVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQNSPGIIPLAIKDVFSSIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGEEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKSSHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISVLKLELDQLKKGMQFGVNHEEILTLKQKLEEGQVKMQSRLEEEEEEKVALASRIQKLTKLILVSSKNANPGYLADAPGHQQSLSTGEDDKYDALQDGSLLFESESQKDVSTVASDLSHDVRHRRTSSKRNEELSTSNSIITESTQAGELISRTRIPAGGVTMSDQMDLLVEQVKMLAGDIAFSTSTLKRLTEQSVNDPESSRTQIENLEQEIQEKRNQLRGLEQRIIESGQTSVANPSLVEMQQTVTRLMTQCNEKAFELEIKSADNRVLQEQLNSKCSENRELQEKVKLLEQQLATDTGGTSLLLADECASGEHIDELKRKIQSQEIENEKLKLEQVQLSEENSGLHVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELMAARNLANTRSAVTHTVNGVHRKYNDPRSGRKARVSSRANENVGPGRDELESWSLEVDDLKMELQARKQREAALEAALAEKEIMEEEHRNRVEEAKKRESSLENDLANMWVLVAKLKKEVGVVAESNIDKISGDGEAHTNDPKTNDSESNIISKEQTLDVSEPNNETPKEEPLVVRLKARMQDMKEKELKHLGNGDANSHVCKVCFESSTAAILLPCRHFCLCKSCSLACSECPICRTNIADRLFAFTS >RHN47604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40939897:40951369:1 gene:gene42224 transcript:rna42224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-reducing end alpha-L-arabinofuranosidase MIAQNILATMSFSHMFTAFLFSLIVCLVISECHADVNANASHISKLVIDARTRRPIPDTFFGAFFEEINHAGAGGLWAELVDNRGFEAGGSNVSSNINPWIIIGDNSSSIIVSTDRSSCFECNKVALRSDVLCQGQSCPLGGVGISNPGFWGMNIEQGKKYKVVFYVRSLGPINLQVSFIGSDDGVKLASTNISAFGVNVTKWSRMETILEANGTNHNSSLQITTSNRGVVWLDQVSAMPLDTYKGHGFRSDLYQMAADLKPKTFRFPGGCYVEGDYLRNAFRWKDTVGPWEERPGHFNDIWNYWTDDGFGYFEGLQLSEDLGAFPVWVFNSGISHHDEVNTSDISPFVQEALDGIEFARGSSTSQWGSLRASMGHPEPFDLRFVAIGNEDCHKYNYLGNYLKFYEAIKHDYPDIQIISNCDGSIHQLDHPADLYDFYVIIYTDSKDMFSDYTKFDNAPRSGPKAFVSEYAVWKEDAGAGSLYAAVAEAAFLIGLEKNSDIVSMVAYAPLFLNTNDRKWIPDAIVFNSYQNYGTPSYWLQQLFIESSGATLLNSTLQNSSSSIVASAIEYKNSQDGKNYLRVKVVNFGNATENFMISINGLNSIVQSSNSSMVVLTSSNRMDENSFSEPNKIVPQRTALGNASNDMDVRLPPYSVTSFDLSI >RHN40308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15206963:15208674:1 gene:gene46451 transcript:rna46451 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIQELHEAGNKSFCLPGTQMPDWFEHRSKGHSISFWFRGKFPALSLCFVGLMHKIPTGFRPIVIINGNIMKTMLPAEKWFDFEFPVLTDHIFIVGERHIKFEDNMDEVLSENEWNHAVISIDIDFKWSSSGLFAAWIGLHVIKQKCSMDRIQFTNPCNC >RHN59827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13704120:13708323:-1 gene:gene21986 transcript:rna21986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding, BAG domain-containing protein MSRFRRYEIIEEEPLPLFSSSSSHFFITETLPLFPSFLEQSPPFNLDLDLCLLPSPFHADLPRVNHTRALKQQQLGLQTLSDRVAELESRFEQIVVGGKGKKKEKKNDSGGGSGGRKYTWTAEIKDGEKNGFDRKYKWIAELVEEEKKKSAVVKNVKWTAEIKGKGEDSGNNRKYTFGVESGSDDEKKKKKKNVNEKEKVKEKKKERRLRIVEIEEPDDDHKVVVLRQAFAKRFGAVQNQRGKKKELSPQDAAYLIQTTFRAYLIRRSKALRALRELAIAKSKLKEIRAQFNNFSFRRRIASDGVEHQRFSEKIIVLLLTVDAIEGVDLMVRSAKKSMVDELEAMLDVVDPQPAGRSLSFKRRTFDMPDGVIRKEIEEGVAQVVQMLDEAEST >RHN58354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1043122:1045722:-1 gene:gene20259 transcript:rna20259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAFDGCCPDCKLPGDDCPLIWGACNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKG >RHN69249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42077969:42080797:1 gene:gene17691 transcript:rna17691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L22/L17 MVKYSREPDNPTKSTKARGADLRVHFKNTRETAFAIRKLPLTKAKRYLEDVLAHKQAIPFRRFCRGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDALYVSHVQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEETVQKEPETQLATNKKKSQAVRSGASS >RHN79993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34177617:34180058:-1 gene:gene3860 transcript:rna3860 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLGCFGSSKHNRHQVLQRDANSSKPHQYNVPLVQDHSKTLLSPSLESPQPQVKTEEVLLFNKKTEEERGCCRDNIEEEVSVTVRKKVTFDSNVKTYESVLPEEGGKEEALAEPNHSKSSSCEDSSSVTSTSTGSYPPHHRYQNCRDSDDEEEEVLDDWASDLSDDEEEDDGVREEGDELGVEFEEDGMGYSKLRVRDDIIDHVFTEEVDSPIPTYERDVESIGVNFNARDRSVYVHSVLNPVENMAQWKVVKAKRTPRSRPQKENFVSSNHESEVSFGVKEILEAGTPKKLNQEIPVDASLSNWLGSSGTTTPVNKACLYAGGGIGTPDRSISQQGSNSVISLEDRPILGALTMEEIKQFSATSSPRKSPCKSPDEMPIIGTVGSYWNANEGSGSPSSFKGIPNTTSKYREDKKVNWHATPFETRLEKALNRDAAEAGSTCVPHAF >RHN40078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13049651:13050001:-1 gene:gene46174 transcript:rna46174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LysM domain-containing protein MVPFNSRKGRKLSSKAIADATSWYCSIVLVALILLTIYRDSYMIPNDHDHNIIESNHFLLSKPCDEIYVVGEGETLNTISDKCNDPFIVENNPHIHDPDDVFPGLVIKITPSYNHT >RHN56107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27851997:27853785:1 gene:gene31446 transcript:rna31446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MKAFLKAWSDACKWKGKSTILIPNGTYTLRGPCNSSINFRLEGLLKAPIDPKSFASDNWINFKYVNKLMVGGGGSLDAQGAYAWKMNDCQKNPNCRPLPTSMKFDYITNGYIHHMHSFNSKQSHFGLYRCNNMTLTKLQIKAPGDSPNTDGIKIGKSTGINITSVNIRTGNDCISMLSGLKNVQIMDVYCGPGHGINIGSLGKYEDEEDLADIIVKNCTFNGTSNGVRIKSYESQLNKTVVASNFIYEDIVMENVEYHIVIDQHYCSNSGECNLL >RHN67722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29737961:29738761:1 gene:gene15942 transcript:rna15942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MDQGNNVPYTHMDTHNLSPSSNSLFAEHVTIMNVPCVTWDATIDTVIANQAKAWAASRTNFGRNCDQNMDPKTMRRLMSRRIESKRSRLRKKVYMASLEVKAKEIEDEIVYNLRPQIESEQDQKTSLLSEKETIIHRINNLEKELLHKTGN >RHN67834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30609671:30612830:-1 gene:gene16073 transcript:rna16073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GIY-YIG nuclease superfamily, structure-specific endonuclease subunit Slx1 MRKKKSARSNPNNFNSASSSLPEEDEESLQIQLQQNNNNENEISEGEGFFACYLLASLNPRFKGHTYIGFTVNPRRRIRQHNGEIGSGAWRTKKKRPWEMVLCIYGFPTNVAALQFEWAWQHPVESLAVRKAAVEFKSLSGIANKIKLAYTMLTLPSWQNMNMTVNFFSTKYMKHCAGCPNLPEHMTVEIGLMDELPCYTERIDGLVENEDDITDEVEFDDNNASTSGSVPDASDDSVTDDSQKSPNHSDKITEPLGQNKESEVREPQNHSFTRQEQSELFGSISSPKGNKESEVREPPSHLFTLQEQSQPFGLILSPEGKSSLATSSKRGVIEDTDFLSSAIKSSVGSYNSEQTGVIGVRGASFAPHQAEIIDLSTPSPSCRSVIDRKKRKVSSSVSSEFIDLTKSPNFIQL >RHN75817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45326549:45329954:1 gene:gene12070 transcript:rna12070 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNEAFDKLKFCGDDDISLSQRFSQKSLRTCITKCDDYVKKRNTTLPVKRLLNQTDSLLISVKKSKVSPYHDHNEDGDEDDILLSDLIKRPGKSTHKSFSSLKKDLAMLEKLFEECKRKVQVDEKRFQSLKRDIGHCKRKRKVEEKRLQSIKRYVEECCKELENKKTQVSCVRRIDEAHEKMQGKIEECIKDFVVKEGKLYLMDDLIGERKQELKTKEIELNQVNGNISKEKQYKKRVKELESREKHLEGRVKDLESREKQLEGQEKEFEDQLKMLMNELVSKKMLFERQLKNLETKEKQFEEQKKEFQSKQEEFKGQVEELESNEEEFKGRVKELSLKKKQFERQVESFESKEKQFEGRWKELELKENKFKVQVKEFKLKEKQFGGQVKDPKLKLKKFDLRPTKLGSRKKYIEETQSVASLMDYQLSHTTGETSLQLDTTKKTDEVVSLYNDILANLLDSSDPSRLVLDMIQNPTIQLCKKGDNAVIIADYHIYSLEQLMKISPHIKPCVREEALKLAFDLKSNMSENTKNSLVVLGFLLLLSIYGLVTSFGEDEVLELFASVAQHKIAIELFETLGFANKVSGFVKNLIRKKQFVGAVRFSYAYNLADNNQLVDLLREFVQNAKLICESSCKKINSIEIKDKARDQEIANLGTALLCISECNLESEVLLIKEIDYRILELKGHKGN >RHN50643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8762671:8763216:1 gene:gene34953 transcript:rna34953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MRENSSLLLVLLILCFASSNSISAEVVDVDIICKEASNQSYCSNLLNSKPGGAKGVDLVDLAQYTIDVLNDNTSDTLNLIRSLIQSAENSTVANYYYHCDIDLLNHGDSVTSSLTDAQISLYFIKYPAMAKDSADIMKYILECIDSLHEDETSPLLAKYVENLQQGAQVFQIITKYLNLGI >RHN51781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24803734:24804171:-1 gene:gene36299 transcript:rna36299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MKFREIDTQEEFEEILHKIKQEPFDCSKKDNCRCDDPADIEYDSTRTWVKYKPNIPKTPKGFKRISVLRDDYSKLDSYYITPTGKQLRSRNEIAAYLKDHPQPNGVSALDFDFSSPKVMQDTIPDIIVKQKDSANKKVKIAKDEV >RHN78543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16112013:16112237:1 gene:gene2123 transcript:rna2123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family MPMDEGITIEYTQDGTIDLNGKPVLRSKTGRWKACSFLVGIPSPSHTKFYDHDFHYVLSNIFSSLQNFDFFFFH >RHN46248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29985842:29987287:-1 gene:gene40713 transcript:rna40713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MGFMSLGAGHSSLRPPSCPTLSDCIKPTGVQLSILYLGLGFFAIGSGCLRPCNIAFGADQFDTKTEKGKAQLESFCNWWYFLFTVALLIALTGVVYIQTNVSWFIGFIIPTGCFTVSSTIFLLGQCTYIKLKPKGSVLSDLVKVIVASIRKHHIDIKKDSELSFYDPQLSSNESEDSRNVKLAHTNRFRYLDKAAVITNQNEIDSNGNSIDNWRLCSLQQVEELKAILSTLPIWLAGIGCFISMGQANSYGILQGLQIDRSIGTKFIIPPAWMGLVPMIFLSSWIVLYEKIYIPFTKTATSNGKRLSIGQRITIGIIFSIVGMVVSGLIEVRRRDNALKHGTFQSPTRIWWLIPQFGLSGLVEAFAAIPMMELLTSYWPDSMKTLGGAVFFLSLSIASWLSNLLIKIIVALTKGNGGPQWLGGNDLNKNRLEHYYYTIAAFGVLNLLYFVFFARRFLSSDVLQRQTRSEARDSDLSTLSEL >RHN54239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7993045:7993684:1 gene:gene29241 transcript:rna29241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA polymerase III, clamp loader complex, gamma/delta/delta subunit MLLLDFVHPRLILLKLVEQLIRRIEAGLKRELYYWHAYYDRRLPPGTTALLKLEEFVAKFMSIYRRSSGSRQYV >RHN46153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29249015:29251438:-1 gene:gene40596 transcript:rna40596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (S)-norcoclaurine synthase MEEAPTYAPSLPVPNVQEMVKMNPLEVPTKYVRNEEEMEKVNYMPQLSSEIPIIDFTQLSNGSIEELLKLEVACKDWGFFQIVNHGVQKELIQRMKDAVDEFFKLPIEEKETYAMLSNDIQGYGQAYVVSEEQTLDWGDALILFIYPDQYRNLRFWPKTPHGFKEIIEAYSSEMKRVGEELLSSLSIIMGLEKHALVGLHKEFIQALRVNYYPPCNTPEQVLGMSSHSDTGTITLLMQDDDVSGLEIRNKGNWVPINPILLDAIVVNIGDVIEILSNGKFKSVEHRAVTNKNKRRTSNASFLLPQDDVEVEPFHHMIDAQHPKMYQKVKFGDYLRHSLKRKMEGKTHTDVARIKEQVIEK >RHN75944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46364926:46365693:1 gene:gene12208 transcript:rna12208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MIHGMVSKDRFNDAVHLYASMHKAAIVPDSFTFSFVLKACARLNLFHLGMMMHSLVFKTGFDCDVFVKTNVVCFYSKCGFLKDAWKMFDDMVVKNIVSWTGMICGCIEFGKFREAVYLFRGLLESGLRPDGFVIVRVLRACARLGDLDSGRWIDRCLRDCGLSRNVFVATSLVDMYTKCGSMEEARFGYASNGLPREAIELFFEMRKVNVRPDCYAMMGALSSCVIDFWQVYQILSK >RHN38665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1159716:1163330:1 gene:gene44624 transcript:rna44624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A(2) MAINFILFVFVFASQLIAGFNKELPPPTYGNLITILSIDGGGIRGIIPAIILDQLEKALQAKDKEASLANHFDVIAGTSTGGLVTALLTTPHPNDPTRPLFTPAQVIDFYNKYGPSIFNQTSGWDATYPGPKYDGKFLHNISRELLKDTKLHQTLTNVVIPTFDIKKFHPVIFSNFKLEEVPSQDAKLSDICIGTSAAPTYLPPYYFENDGDEFNLVDGGVAACNPTLAAVSEVMKQQKGKDTKILLLSIGCGSKQVTGFNAEDAIHFSAAFWATSGLATGSYDNAAKDMTEYYLAKLFPSLQSSDNYLRIQEYNLDPSMDSMDNATQANLENLAKVGVSLLSQPVLKMNVETNVPEEKINQGTNAEALERLAETLYKEKQLRLKKKLIMEKLGRPLLETITFPFATTS >RHN68050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32368197:32377270:-1 gene:gene16340 transcript:rna16340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MVTLIMEPSKKDLVRLVFSWSLQDILNDDLFHDKVRQIPKTFSSGGHYLDSFISPLIEETRTELCSSIKMVSKAPAWEITDFELSNDYDPPLDLLYKIEIKTGANSDGDGDIFEPETGQLIALTDRRPTCIDDLNTPGNSYSIASIKRVRKKEYTEDVYEAKILASKPIELEQYRQKDDTYTYGFGVYLCNMTTFIRIWNVLNSDPDGPSIHIIKQLLQPDSGVGENCAQCFSSERHSIDTSRLGAVIRSFDLNDAQEEGVLSCIAARECSHKNTVKLIWGPPGTGKTKTASSLLFALLKRRCRTLTCAPTNVAVLELTSRFIRLVMKSLDYLTYGLGDIVLFGNRKRMKIDNDDDLFVIFLDYRANILSKCFAPLSGWKHHLELVTYLLENPEKQYHEYLSSDVKRDYEVDNYDCLKEEKELLATADQQANQEKKDTYSQDRNVCKQNEWKGIVNKTLRENRLCFKEGNKSKYDKQEKKDFLYYENRIKRLTFHEFVKKEFNFIRTQMRTFAVHMCTHLPTSFISLRVVKSLFECLDWLKVFETVLSNNSITEQGFKLALATSCDDECKISSCNWQYKLGMTRKECLKRLKSLRDLLILPDFFDEYSIKSFCFKTSRMIFCTASSSSRLYSEGLDRLEMLVIDEAAQLKECEANIPLQLPGLRHVVLIGDEKQLPALVKSEISDKAGFGRSLFERLVLLGHKKHLLNVQYRMHPSISLFPNMQFYDNQLVDSPSVKEKNYEKHFLSADMFKSFSFIDVAFGEDELDEGSSRRNMVEVAVVSGIVLNLYKESVSRKQTVSVGVISPYKAQVVAIQDTLDKRFGGDVNDGFSVKVSTVDGFQGGEEDVIIISTVRHNSMGVVGFISNIQRTNVSLTRARYCLWIIGNGETLMNSGSIWEVLLYDAVARGCFHSADEDERLSHAIATAMIELGQVGDLLNMSSLLFRKATWKVCFDQSFLISMARIKSTEDCKKICSLLMQLSSGWHQPHREINIGVVDDTSSQLLGLCKVNELLYIVWTIDILEENSDYVQILKLWDVLPLSEVSKMVRDIDIFCRSYSVDIQRCCKIRCSNRDFVVPLRWPANLNNQTNHNLPQDDPMQLICNQFDSLQLRDV >RHN61832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38685380:38688276:1 gene:gene24332 transcript:rna24332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MAQLPPKVPIPNMTPTWPEFSSHQKMPNLKTISPSNASNFSNNQQNPSWVDEFLDFSSTRRGAHRRSASDSVTFIEASMMEHCRRRDGDNEFERFDDEQLMSMFSVDEVSRNNTMMPPPATLSSNSNPSTPSDHNSINDEKEEDEEEKMQQKQLKHESDEDEIGECKQENGGVVNDEDDNNGNTTTFSSPKITDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQAEVSVLSPRVAYLDHQRLLLNVDNSAIKQRIAALAQDKIFKDAHQEALKREIERLRQVYHQQQNIKNNATAAAVSPSPSPKPRCDNDTHIENEHLINV >RHN69775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46172073:46178658:-1 gene:gene18289 transcript:rna18289 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAIEGDASLDYASINIFPNQNRYEAYVCKGNQSEKVATGHLEHLLPHLPGINDLHAKGFDANFDLKLPKDLHAAEWFSKETVKRFLHVVSSPDSINVINTIMDEMSQLEDSKKFHVSLYGKPEVSTVSSDASKNELLRAMDLRLTALSNKLAETFNKAADAKCSPKDMAYLVKFSQHFGATNLEYSLCKFIELNQKSQDGGPLNNETTMPTCDVTNVLSDDASRAFKDLQMSKPSTSDAPVKYGVSPAKVAQVERYSSTGSEESSDSSEEDQTSAERSRSLVRSVTPRRSASPMRRVQIGKAGPRRAAALTIKSLNFFPARSYRDAAENGCEGEVSEQPYKKTEIDVRRITVQDAISLFESKQQQDQSKDIQKRKSLTDVSLSTNKSVLRRWSSGMGETSAQGQPEHGPEDPVPVTSNDAIHDEIPKNSEVEMVSDFISESHNNNEIPDCDATPEKQKNIDSYTVDNQEETSPKAREETIKKLAASAEWNQRKQAEFNQILKKMVESKPVIFGKSQPSKKQNISSEQRGQSYDHYKEKRDAKLRAEKVGKRVEKEIHGMQQLVDKRKAEMSKNLSASKKSSPKLLQKPLRNSPQPANSPKETPKPTVAKKASARSSSMPATRKSWSATPSPKTSGTSPAKGRVGTSSASSTPMSRKPVSTASVPQPSPQKEKSQQRSRNEKEIQTSNARNLKSTNVKRQTGVPSKSNAIKSKVTSDSGETIPSRTTIGNKGTKKSSVVPLESKPFLRKGSRMGHGTVDPNKKKTPPKQDKSHRDGEDRIEDPENELIVNASDSASRHSDGDTMTPSHHNAATESDTQNQIDNHLLCGETENLDPDPSDVLAYTEESSLNVRNEEESTISPSAWVETEEDLEQPKPCEDSTFHFQPASLANAAPAGSTSPRVRHSLSQMLQEEISEPDICEWGNAENPPTMLYQKDAPKGLKRLLKFARKSKGDTGSTGWSSPSVFSEGEDDAEEFKNSNKRNADNLLRKAALNAKSYGQPKSSVHDGYDYLARDDGKGSHKMQGSRDSGAGTATRGSRSFFSLSAFRGTKP >RHN51833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26271402:26273313:1 gene:gene36373 transcript:rna36373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MANSNDEQILSSSENTSQFMEFGDIESDMKVGFGFAIEGMEDIGKIDFKKLNASDVMKFHFPNIAVAYTFYNWYARMNGFSARRSKVRRNKNNEIIQQIFVCYRQGFREKKLENNKIRKREARADTRCGCDQNAYKTRLKNKSVEAWRTKRYA >RHN52282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33447381:33447591:1 gene:gene36939 transcript:rna36939 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHWTLLMILWHSGVLSKQILFLCHLLLYKLYQFFHSHDVIVSSE >RHN41950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34292989:34294818:1 gene:gene48326 transcript:rna48326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MEIMSRLSRPGIVSQTGGHLGSNLGVVELIQERGEYGGVYITVEGIKKPTLHERVIKISKCKHSFVAGEGLAGLVSTYGLNLNVLHAWVIWIWSLCNVENKMILFSSSLKLVLGFLRRSSQSYFQK >RHN73172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14065809:14067610:1 gene:gene8959 transcript:rna8959 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MMDPLCSLTLLLEKDGAVVDELKRMMDIGFPIAAMSIVGYLKNMILVVCMGKLGSLELASGALAIGFTNVTGYSVLSGLAMGMEPLCTQAIGSQNFSLVSLILRRTILMLLVASLPISLLWLNLEPFMLSLHQNQDITRIASLYCRLSIPDLIANSFLHPIRIYLRSKGTTWPLLWCTSLSVIIHIPIIIFLTFKLHLGVQGIAISAFVANFNTLFFLLSYMFYMHISHVSISIPNPSPPLLSSQQQKPSSVKTLGKEWGMLIRFSIQSCLGVCLEWWWYEFMTILAGYLYNPRVALATAGIVIQTTSLMYTLPTALSASVSTRVGNALGAGQPSKANLSTMVAIGMSLASSTLGLLWTTLGREKWGKVFTNDKEVLELTMAVLPIIGVCELANCPQTTSCGILRGSARPGIGAGINFYSFYLVGAPIGIVLGFVLKLGLVGFCYGLLAAQIACVVSILVVVYNTDWERESLKAKSLVGSDTCDTLFAHVEDQIIKCEQGIVFLNENK >RHN52755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38406790:38409190:1 gene:gene37459 transcript:rna37459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase MPPPLLILHCSIIINIFLFLSLFFLIPIHVNSDGESYKSCAPFRCGDFTNISYPFWSINNHQNYCGHPNFGVDCEHGNLTIEIKSQKFHIIDINQTSQVLRIARLDLWSYDSATIASCPKKYINVTLDSEFFNYTSNYEKYTLLYECGSLPDPYSSSLGSEVSQFISCLIDGKPRDAYVVSSAKVADFISLGCKNNITIPGLNSSFLEDSDLVTNVLDQGFEVKWSGVEEDICDGCMKSGGRCGYNTTENVVLCLCPNQQSSGYCGFCSPNSTTIPLPNEPDCKRTRSFKSVIPPPLEAPSNERIPSNGQVPLNGLTPAGGPNSKESSNDSGMYSSFSRCSIRFFCEII >RHN68315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34512613:34513289:1 gene:gene16651 transcript:rna16651 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLFLIHMLNSLSKSTTRCNIFASYVGHLMLQRSLKDLQICLLDTTQICRAF >RHN49485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55134015:55136633:-1 gene:gene44322 transcript:rna44322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MEDRISELSDDILSYILTMLSMKDLFKTSILSRRWCNLWALRKDLFFDIFMLGSNEDDLLQSGYLIEVPSVSTVDRYVDLNLCTDEFVKLVNQFVKKFQGTKIDSFLVNFYINSKHNNIIDQWISFAIARGVVKVDLLFKGSPYAHCTTRRNLCKIDFALFLETNASTLNHICLENCIVFHPTNCDFTPLKNLKSLSLDSAKLDETFIESLLSNCPQLEELCLLFCELKSLMPEIVSSSLCHLKAIGCYFVYETLYRVEVNLILLDCLNLTSLELDCLEFTSLENGLNTMNFNTPVLKRIEFFISLKQELNTYVALCATFFPELEIMQLSTLSMVTTSLQTTQPLKHLKQLNLFIFLYSEILDDVEYDPLWILNILQVCPFLQKLSAMFADPKLFDNQKDIRDVETFSHDEVKVIELGGCVGNWYEIEFVMNVLKYAHKLEQIVVSPFWREDESLDWKSNPIWFQGGREKLSEKLSGQVVQGEKLVIV >RHN54734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11714029:11717944:1 gene:gene29812 transcript:rna29812 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLFSRFSVGKNIHRRTQSALDEREVTPANSEVAAAVAASATTATSHGIEVAVEFKPVEHPVEPLDNDRPIQCPLPEPSILNDGRIWKERASATVRRRGDLPVMKEGGAIESEGPAPRPRRSHSNRMILPSLSAPEHNLLKLLEESGI >RHN74024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23737304:23740501:-1 gene:gene9950 transcript:rna9950 gene_biotype:protein_coding transcript_biotype:protein_coding MSALYCDNSSDDSVLLSARFRSRVIPLTSSFCDVSGCKWRKFCCRRFTALLSQFGADPAPAPVNGFFFLVCFTSATLRVENFGGKIVG >RHN54380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9129762:9132879:-1 gene:gene29400 transcript:rna29400 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRKEQGTISRAATPPPPPPLPKFRIISKPRAEESVTKREIAKFWRQKRIVEEDHLLAAIKAAARLRARNLTEQEYLRFELSLKNEGDEDEANKEKVNWAVGTNYCGKKVDEDKEVRVGIKDWWTKSKYAYLNQPALASMDPPKKRTSTYVPHIISYKPKALYPTAIGVF >RHN75567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43353513:43358204:-1 gene:gene11794 transcript:rna11794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative APO domain-containing protein MASTVSLSNTIGFCHTKHHHNIVKLNYTSNILQINTFSRRKIGYDDHICFRLYCLSGPRKENNQKSDYDATSKDSGSKNRNEVPQNADFHRHYSRKEKKPFPVPIVELRRAARERIKKMKDEPRRKPMPAPKNGLLVKNLIPEAYDVYNARITLINNLKKLLKVVPVHACGWCSEIHVGPVGHPFKSCKGTQSNIRKGIHEWTNAHVEDILIPIDAFHLYDRLGKRITHEQRFSIPRIPAVVELCIQAGVKIREFPTKRRRKPIIRIGKKEFVDADESELPDEVPDNPTQPLIAEIPDSDIVAPVNKEEVVHLAEETLQAWEQMRKGTKRLMRMYHVRVCGYCPEIHVGPSGHKAQNCGAHKHQQRNGQHGWQSAVLDDLIPPRFVWHVPDVNGPPLERELKNFYGQAPAVVEMCIQAGAALPEQYKSTMRLDVGIPSTLREAEMVV >RHN48713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49644564:49645809:1 gene:gene43458 transcript:rna43458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative senescence regulator S40 MDPTPSFHRRFPSSSDRLLGAFNFSPSTTSAAAGDELTEAELFNWSSDSSESENQQRPPPQPKELTRHRSFDLSQDSGILAVLSGSDNCRGDSPVFRGKSPVSSSRMIPSFPRPRPSENMIQSMPTRKFFQQSAPVKVPIMPPSRFPRRRDVDELAVLDDDDDDGEELLPPHEIVARGSGVSPRTTFSVLEGVGRTLKGRDLRQVRNAVLRQTGFLD >RHN63023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47688014:47691246:1 gene:gene25671 transcript:rna25671 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKAKMARERNLEKQKQAGKGSQLEKNKKAMSIQCKVCMQTFICTTSEVKCKEHAEARHPKSDLFTCFPHLKPEA >RHN76993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3046947:3051075:1 gene:gene397 transcript:rna397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MDARKLIPLLRASVNSKSLKQGKVLHQKVVTLGLQNDVYVCKNLISLYVSCNLFDYAKNVFDVIENPFEISLCNGLMAGYTRNCMYDEALGLFDKLMCYPCLKPDSYTYPSVLKACGGLRRVVLGQMIHTCLVKEGLMVDIVVGSSLVGMYAKCNEFECAVKLFDEMPDKDVACWNTVISCYYQSGKFEEALRYFGMMRRFGFEPDSVTITTAISSCARLLDLDRGREIHKELVNSGFRMDSFVSAALVDMYGKCGQLEMAIEVFEQMPNKTVVAWNSMINGYGFKGDGISCIQLFKRMYSEGVKPTLTTLTSTLMACSQSAQLLEGKFVHGYIIRNRIQPDIFLNSSLMDLYFKCGKVESAETIFKLMPKTTTVSWNVMISGYVTEGKLFDALRLFGEMSKSFVEPDAITFTSVLAACSQLAALEKGREIHNLIVERNLGNNEVVMGALLDMYAKCGAVEEAFGVFKCLPERDLVSWTSMITAYGSHGRVYEALELFAEMLQSNVKPDRVTFLAILSACSHAGLVDDGLYHFNQMINVYGIIPRIEHYSCLITLLGRAGRLHEAYEILQSNPEISDDFQLLSTLFSACRLHKNLDLGVEIAENLIDKDPDDSSTYIILSNMYASFGKWDEVRMVRSKMKDLGLKKNPGCSWIEINEKIVPFFVEDNSHYHLEGIGNILSYLTSHMEDECKPIYMSV >RHN81183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43886172:43886773:1 gene:gene5189 transcript:rna5189 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTFPPDVATAALDFVVDFNQTVPAKKSKGQQPIAAAPSPVQNAHIIQVDAGCFPEGYTTYGCVFKAAPDNIFFSACKKEELITDPVMAETLAIRWCLNLAKERGL >RHN66465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12656755:12657381:-1 gene:gene14457 transcript:rna14457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MPSMEYYKVLNVDQDATEQELIIALKKFAFAYHPDRNRGNEEEAERKFKFGYNAYEVLIDPEKRRIYDEYGEEGLQFGWTPPRRQNHSSSNSSPSSAPSSRQSKSTNSSSSSSSSSSSSRQSYGNYTPAPTSSLHHSYGNIFSFRRSYANNPSFYKSNTQYEYGRTSTGEPTKKKDAPIYRKLRCSLGQLYTGAVKRMKITRNLIVKG >RHN42764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40746938:40748518:-1 gene:gene49251 transcript:rna49251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDVFVIVAVLLFVAIHYWRLNRNTPITKWPFMGMLPGFLRNVSNFHDYTNSLLKQNGGTFIFEGAWLTNMNIVFTSDPLNVQHITSTSFENYGKGNEFTEIFEVLGDGIFRSDSHTWKYNRTLLHSIFKQESFKVFLHKTIEKKISSCLLMFLDHACKKGMQVDLQDVFQRLTFDNICCVVLGFDPACLSIDLPEISCEKAFTQAENTLFYRHVRPRFFWKLQKWLQVGEEKKFSENIKIIDQWLYSEIKSKRETQGHKQLDLLNTLTFEVGDGQNLIDDKFLRDTAINLLAAGRDTISSALTWFFWLVATHPFVEAKILEEVRENLSSREDNNWKDLGMEGLSKLIYLHGALCEALRLYPPIPFEHKSNLKSDVLPSGHVIKSNTMILYSLYSIGRVEEIWGEDCLEFKPERWVSKKGGTIHVPSYKFIAFNAGPRSRLGKDISFIELKMVAIAILLNYHIQVVEGHPIIPSLSVVLHMKHGLKINVKKRSF >RHN79070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22091145:22091707:1 gene:gene2766 transcript:rna2766 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGPLTRLHVYNLKVSHSKEHANPLVPCHTGVRLPARIRLHVSALTPNHTPHNSETVMPRAHLYKGELASPSSHLSFHRNRRFAAAPEAVTDHWSTLHNKKICHYQRTKSVYRCKKPWVILVTHDLPRVTRPWENGSSVTLLTAIKALCTYRRPHHG >RHN70425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51369567:51369923:1 gene:gene19004 transcript:rna19004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MMQNPHTPFRAWDMLIHGLCCLYVPKPRKDYLYSTTLCGKSKCFHLQICFCCVIHRFCNVGHVGKAIEVVELMNEYRKDYPFDDFVCSSVISSFCRIGKPELSLRLITKHYEGRRRGN >RHN54510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10158765:10161849:-1 gene:gene29570 transcript:rna29570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 3-beta-dioxygenase MYLYIQTSIFSPLTISPNKKKKKAIGKRMADIIPVIDLEKISEQLECKKLRDACERWGCFRIINHSIPLSLMAEMKMVIEALHDLPIDIKKNNKDAIPGSGYLAPSAFNPLLQSFGLYDMGSSQAMHDFCSQLNATPYQRQIMETYGKAIHDVAVKIGQKIAESLGIFDADFKDWPCQYRINKYSFTSESIGSLGASVHTDSGFLTILQDDENVGGLEVMDNSGAFVSVPPFRGTLLANLGDIGRVWSNGRFCNVKHHVRCKEATTRYSIATFMLGPRKGNIEAPKELVDHDHPRLYQSFTYGEYRALRVSINKAGDKYLHAGEVLELLRLV >RHN73238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14604286:14614808:-1 gene:gene9034 transcript:rna9034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CBS domain, immunoglobulin E-set MDHVRDVGSVVGTVLIPMRFVWPYGGSSVFLSGSFTRWSELLPMSPVEGCPTVFQVIYRLPPGYHQYKFFVDGEWRHDEHQPYVHGQYGIVNTVLLATDPNYIPVITSDIASGNNMDVDNETFRRMVRVTDGTLNEVLPRISDTDVQISRQRISAFLSMHTAYELLPESGKVVALDVDLPVKQAFHILHEQGIYMAPLWDICKGQFVGVLSALDFVLILRELGNRGSNLTEEELETHTISAWKEGKPYLNRQINGHGTAFSRHLIHAGPYDNLKDIAMKVLQKEVSTIPIIHSSSEDGSSPQLLHLASLSGILKCICRYFRHCSSSLPILQLPICAIPVGTWVPKIGESNRRPLAVLRPSSSLASALNLLVQAQASSIPIVDDNDSLLDIYCRSDIMALAKDRAYTHINLDEMTVQQALQLGQDVCSPYEPRSQRCQMCLRSDSLHKVIERLANPGVRRIVIVEAGSKRLEGIVSLSDIFKFFLS >RHN38811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2185181:2192919:1 gene:gene44779 transcript:rna44779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MDYMATISLTCLLEHVTLPLEFGFLVILLVQLLRNCMNNITKQNKVSEVHPNCIKFGFPYKISLACTTLLLAIHALMLILMLNHEPQCTSKLQTYTSEIIQVLSSATILIAICKMSKTNANFHWIVRLWWFFSFLLSIISTALHVNFSIKNKGVIGIKEYADFLGLVVSTCLLVVSTRGKTGIVIIATNGSISEPLLEEKNEKHSSECLKESPYGKATIFQLINFSWLNPLFAVGYKKPLQLDDIPNLDIKDSAEYLNCAFDESLRQVKEKDGTTNPSIYKAIYLFARKKAAINALFAIISASASYVGPYLITDFVNFLTEKDSRGLKSGYLLSLGFLCAKMVEVIAQRQWIFGARQLGLRLRAALISHVYKKGLHLSSRSRQSHSGGEIMNYMSVDVQRITDFVWYVNVIWMLPIQISLAVIILQTNLGLGSLAALAATLAVMTLNIPLTKIQKRYQTKIMDAKDNRMKTTSEILKNMRTLKFQAWDSEFFQRIEALRSVEYGWLSKSLRQQAFSAFIFWGSPTFISVITFWTCMFIGIELTAGRVLSAFATFRMLQDPMFSLPDLLNVIAQGKVSGDRIASFLKKEEIQHDVIEYVSKDKTEFDVVIEKGRFSWDPETTIPTLDEIELKVKRGMKVAICGSVGSGKSSMLSGVLGEIFKQSGNVKISGTKAYVPQSAWILTGNIRDNITFGKEFEEDKYEKTVEACALKKDFELFSCGDLTEIGERGINMSGGQKQRIQIARAVYQDADIYLFDDPFSAVDAHTGTHLFKECLLGILKEKTILFVTHQVEFLPAADLILVMQNGRIAQAGTFEELLKQNIGFESLVGAHSKALESVLMVGNSSKENLNPIPEGECITYSNSSSELLHTQLDTVQENSPTESKGNNDGKLVQDEERETGSISKEVYWSYLTTVKGGILVPIILLAQSSFQILQIGSNYWMAWVCPTKSDAKPIFDMNFILLIYMVLSVAGSLCVLLRATLVLNVGLWTAQTFFTRMLHNVQRAPMSFFDSTPTGRILNRASTDQSVLDMEMLHRIGSCASSIIQILGTIAVMCQAAWQVFIIFIPVTAICIWYQRYYNPTARELARLAQIQITPILHHFSESLAGAASIRAFDQEGRFMSTNLVLLDGFSRPWFHNVSAMEWLSYRLNLLSNFVFAFSLVLLVSLPEGFINPSIAGLAVTYGINLNVLQASVIWNICNAENKMISVERILQYTNIASESPLVIEDCRPPRNWPETGTICFQNLQIRYAEHLPSVLKNITCTFPGRKKIGVVGRTGSGKSTLIQAIFRIVEPREGCIVIDNVDICEIGLHDLRSKLSIIPQDPALFEGTVRGNLDPLEQYSDSEVWEALDKCQLGHLVRAKEEKLDSPVVENGDNWSAGQRQLFCLGRALLKKSSILVLDEATASVDSATDGVIQDIICQQFNNRTVVTIAHRIHTVIDSDLVLVLSDGRIAEYDEPSKLLEREDSFFYKLIKEYSSRSHSFNNLATQHVQDRE >RHN59502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11427453:11427813:-1 gene:gene21541 transcript:rna21541 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWKFYLFFRSPPFLAFPVRFSSPSFATVLLLSFRSGPVLLLSFRFGPVRFPLFCYGSVLPWFGMMMICGCMG >RHN75187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40035138:40039224:-1 gene:gene11355 transcript:rna11355 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNKNKKNKNGTVSMDITDTIVSEAPQAMDTSESGAKIKVAGGATILKVKQNGRPMKRSKNVRKMKKIAKAISANEKSAARVSKSENKKSRVQSAKTLYE >RHN72467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7892591:7901165:1 gene:gene8180 transcript:rna8180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-dehydrosphinganine reductase MADAFFSFFILLPLLLLPLLYFLVRPRPIKIPITNRHVFITGGSSGIGLALAHRAAADGARVSIMARSLQKLEEAKNSVKNSTGVEVAIFAADVRDYDAVKKAVDEAGPIDVLLLNHGVFYALELEKMELSDVKFTIDVNLMGCLNMIKAALPQMKNRKDTLPASIAFVSSQAGQVGIYGYVAYSASKFGLRGLAEALQQEVIGDNIHVSLIFPPDTDTPGLVEENKRKPELTKIIAASSGFMKADEVAQKAFDGIRRGSFIISCNLEGIALSLATSGLSPQRSFLMAFVEVIAAGIMRIAALCFQWNWYGSIEKWHKQRKCTPQST >RHN39505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7673784:7692639:1 gene:gene45532 transcript:rna45532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclear pore protein 84/107 MEEEMAMDSPFFDPQDLTTREKFRRYGKRHLNSGASVEQDYSASKLSESGLFYDGQNIHSPTNAALILENIKQEVESLDADYLDDKSLYSSRKRSSADVPGIPGMDDGFDSVRYSLKACKQEGDSLGDDADNIFNSFASLFDTSLTGMMPIPDLILRFENECRNVSESIRYGLNIRHRVVEDKLMRQKAQLLLDEAATWSLLWFLYGEETEELSKEKILVKQTSHLMACEFVTEDHIAQLCLRIVQWLEGLASKALDLEAKVRGSHVGSYLPSSGVWHHTQRYLNKGTSDRNVVHHLDFDAPTRENANILPDDKKQDESLLEDVWTLLRAGRLEEACGLCRSAGQPWRASSLCPFGGLNLFPSVEALAKNGKNRTLQAVEFESGIGHQWHLWKWASYCASEKISELGGKYEAAVYAAQCSNLRQMLPLCTDWESACWAMAKSWLDVQIDLEVTRSLPGGGVNQLGTFGDVMDRSPGQVDGSFDPSNGPENWPIQVLNQQPRQLSSLLQKLHSGEMIHETVTRQCKEQQRQIQMTLMLADIPRVLDLIWSWIAPVEDDQNVFRPHGDPQMIRFGAHLVLVLRYLPEAREEMKGALMDKILTVGDHILHLYAKFLFSKEHEELVGIYASQLARHRCIDLFVYMMEFRLHSSVHVKYKIFLSAMEYLPFSLMDESKGCFEDIIERVLLRSREIKIGKYDNLSDVAEQHRQQSLEKAKVIQWLCFTPPSTIANVKDVSKKLLLRALIHSNVLFREFALISMWRVPAMPIGAHTALGFLAEPLKQLAETLEISDDHNVFEDLREFEEWREYYSCDATYRNWLKIESENAEVPVSELSLEEKERAISAAKETLTASLSLLERRETHWLASIDNIYESAESVFLELHATAMLCLPSGDCLCPDATVCTTLTSALYSSAGDEVVSNRQLVVNATISSRDHYCIDVVLRCLAIVGDGLRPHDFNDGGILSTIIAAGFKGELPRFQAGVAMEISRLDAWYSDQDGNLEFPATYIVKGLCRRCCLPEVVLRCMQVSVSLMGSGDQPDSHDNLIELVGSPETRFLDLFSQQQLQEFLLMEREYSICKLEHTQE >RHN41326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29166570:29180591:-1 gene:gene47634 transcript:rna47634 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adaptor protein complex AP-2, alpha subunit MNPFSSSTRLRDMIRAIRACKTAAEERTVVRKECAAIRASIDENDQHYRHRNMSKLMFIHMLGYPTHFGQMECLKLISSPGFPEKRIGYLGLMLLVDERQEVLMLVTNSLKQDLNHTNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFVNPATGLLREKHHGVLITGVQLCTELCKISSEALEHIRKKCTEGLVRTLKDLANSSYSPEYDIAGITDPFLHIKLLRLLRELGEGDADASDSMNDILAQVATKTESNKVAGNAILYECVQTIMSIEDNGGLRVLAINILGRFLSNRDNNTRYVALNMLMKAVSADTQAVQRHRATILECVKDSDASIRKRALELIYVLVNETNVKPLTKELVDYLEVSDQDFREDLTTKICSIVSKFSLEKIWYIDQMVKVLSKAGNFLKDEVWHALIVVISNASKLHGYTVRALYKAFQTSTEQETFVRVAMWCLGEYGDLLINNVEMLDIEDPITVTESDAVDVVEHALKRHASDLTTKEMALVALLKLSSRFPSCTERIREIIVQYKGNLVLELQQRSIEFNSIIAKHQNISSTLVERMPVLDEETFNARRAGSLTDAASTPTGPSVSLPNGVVKSVAPLLDLLDLSADDTTPAHSSSGGDFLQDLLGVDQSVVSQQSGATHTSKNGTDVLLDLLSIGSPSAPIESPPAQSNSSIINMLSPSTSKREPISSLDDLSSVSLSSRASPTAGAASMTDLLDVFASGPSTIENNGPVNPSITAFESSSLRLTFNLSKQPGTPQTTNIQATFMNLSSNAYTDFVFQAAVPKFLQLLLDPASGNTLPANGKGSITQNLRVTNSQHGKKSLVMRIRITYKINGKDTLEEGQITNFPRDL >RHN50284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5788094:5789171:1 gene:gene34556 transcript:rna34556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MKQFNFLFCVVSILCISLVCAENFHLKKCVETERRALLGFVWEYGGEGFGKKEGASERNRRHWEEKALKVNFLLIIKNPPHLGNSKFVLGEGFGGLWRSFPPFPSKLPNKALRFKEAGNGSLSSWKGEECCKWKGISCDNLTGYVTSLNFQTLGYTKGLQGKLDSSICELQYLTSINLNSNNLHGKIPKCIGTLGQLIELNLGFNGLEGKIPKSVGSLGNLIELNLSGNKLVSVIPPGLGNLSNLQTLDLGFNDLTANDLEWISHLSNLRHLGLSKVNLSLVVDWLSSISKIP >RHN67321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25980079:25980448:-1 gene:gene15501 transcript:rna15501 gene_biotype:protein_coding transcript_biotype:protein_coding MYFCVCFKVYFYEFVYKLFLLVSEDMELKKVNEERYKNELMKNGGVVKDKLGSGTCIDEAVKKRKIVDLEDEKVEEKRRADEQRMKDGEGGSGMEIQSSCLIIMFMYC >RHN62009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40031788:40033730:-1 gene:gene24529 transcript:rna24529 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSGGCSPRIRVDHLKKDGSDETRLRQALELELSDHNHEIQSMNALDILRETIRILRFNSWAFMIIAFLLICPVSAVLLSNVLVDESIVKNLTIRLMLVAETSGLPLRPMIKQSCQRFADTVIASAMCFPLYATLLLLSKTAVVYSVDCTYSRKKFDGSKFCVIVAKFWRKILATYMWACTVIVGCITMFCVFLVAFCSALAVLGFSPNVVVYAALMVGVALVISVLEDVSGAQAMLRSSILIKGQTQVGLLIYLGSTIGMAFVEGLFEHRVKTLSYGDGSSRMWEGPLLVIMYSFVVLIDSMMSAVFYFSCRSFSMENSNGDGNSILETMAISAETMGIQ >RHN53696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3421153:3423591:-1 gene:gene28629 transcript:rna28629 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLFGWLISFFLLIALLVLVTYQLMCLAELEFDYLNPYDFSKRINSVMLPEFYTQAILTVFYLITGHWIMSFFCLPYLYFNFRLYRQKKHLIDVTEIFNMLAWEKKQRLVKLFYLVSTLFFSVFWMIYTTLEDHNE >RHN81854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49349611:49351190:1 gene:gene5946 transcript:rna5946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MAPSVEHNGESQKSTPTPFLTKTYHLVDEIATDDVISWNDTGTSFIVWNPTIFARDLLPKYFKHNNFSSFVRQLNTYGFKKVVPDRWEFSNESFRRGEERLLGDIQRRKIVSASLAAASNAGVTATKTVPTAKPIASPSISGEEQVISSNSSPSISTAALLDENERLKKENMQLAKEMEDMKSLYSNIFNLLSNYVNSQTEGGAEGKESCSTASPVKTLRLLPEKRCNGEDAAVEDRNPKLFGIAIDAKREREGRCIEDDVVLSLNHSVHVDLKSEPFDSRKGEKRKMMRLNQCYRANQSVCN >RHN80463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38299977:38310303:1 gene:gene4394 transcript:rna4394 gene_biotype:protein_coding transcript_biotype:protein_coding MENGYDGKLADKFSGLGINNQNGQQQQTQQHVHDDHQPDNNNTNNDNLFQVMKAVEAAEATIKQQVEENNRLRSELLGKIQELEKYRLYEPLDKKSSPVAPWKEPGHGTYEARQSFPSSGNHFENSQINGTLRVHPNDQLPVDNVGHSQLSSPFMRSISPSMHLPEGDHDSRFSSPRQGLMAMPETNNSNSLLKQDLAIKAREHEEEIILLRKHLADYSAKESQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQDAQQERSTFVSSLLPLLAEYSLQPPVPDAQSIVSNVKVLFKHLQEKLYRTESKLKESQYQMTPWRSDMNHTNVATQSPLNSIGAPLATSNKNSLELVPQHRYSQVMTQAPVDPQAGTGWDVMGRYQSGIGGGLAANVDADDLGRYSPLGSR >RHN64833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62331839:62338442:-1 gene:gene27699 transcript:rna27699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair, mitochondrial Rho GTPase MSPDGVPFTIIDTSSRPEDSDKVDEELLAADVIILTYACDRQATLESLTAFWLPRLRDLGVKVPVIVAACKLQHENQKAGIEEAMQPVMQQFPEIEISIGCSASMNLKVLDVFSFAQKSVLYPLEPLYFRNSNTLKPRFARALKRIFILSDRNRDSSLNNAEFNDFQAKYFIGPVPQSAIQLLVKYLRKKYPEGVNEHGLTLAGFQSLHADFKKIWHREVPWAMLRKFGYMDDIRLADHLIPPLTRAPDQSVELTNEALAFLKKVFVEFDVDCNGILQPEELEELFFTAPESPWTGPPYKDAADENVVGENGITGLSLEAFLSKWALMTLIDPTFSVKNLLYIGYTGDPSSAIRVTRRRSFDRKLQHSERDVIQCFVFGPRSAGKSSLLKSFIRWPYSEIYNPTNEDHYAVNVVDDSMGKKKYLVLREIPEDGVKGLLANKESLASCDIAIIVHDRSDESSWRASSKLLVDIAAHGEDSGFEVPCLIVAAKDDQVSFTMAAQESTRVSQDMGVEAPIPISLLLGNLNDLFLRIVTAATKHPHLSIPETDVGKSRKLYTRLVNNTVLFVSVGAAVAIVGVTLFSAGKNAQVS >RHN48783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50193209:50199574:-1 gene:gene43536 transcript:rna43536 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTVVIIGISMILGFSVPHYFMEYKAVHGFGLVHTGKGWVLIRYIHCYIIIIRFNLYALYML >RHN55406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18012287:18013138:-1 gene:gene30580 transcript:rna30580 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHNWMKDIYSTKLPATIAFTVYNTTNFIYKLLTKHNDFPFFFDHY >RHN43025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42655838:42658147:-1 gene:gene49545 transcript:rna49545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MSQIIDHHLFEEENQISTQMGFIPFPTNLTFPSLDCNQSLKAFSSIASSLTSESDSTSNLTQTLLTTNPQKSKEYLTSSFGGSTPFLSLHGSIVNPWAILGGEVISNCMNNSGKRNGVDHRDNHLGVSTTMKMKKMKGRKKVREPRFCFKTLSTDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQENCRVKKRVERLAEDPRMVITTYEGRHVHSPSNDLEDSQTQSDNFLW >RHN43311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44839509:44843111:1 gene:gene49862 transcript:rna49862 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAMQCSNYGYGYGYGYSSFCVPRQFTPTPLSLPLPLQACQWNGFKSQPKKNQMRVFCGSDNQSSNLTSQRKRRKVMEHICLLKAKEEISEEEENDMLDYLYTTQYQMGGIIAISLGRVSAQNPDHYTHAVYMRFQKKENLEKFYENSFYLKVLKDHVMTYCHGLINVDYESEVDDDMIYIFRKGEEFSHGVEFVLLISFNEGTLGNQAEHALASLATVMLEFPSLIVQFTQGLNFNESSKDYTHGVVIRFRSVEAFEIFIRSQEYKDVWISKFQPIVLKSLPLHFSVDPVGTELM >RHN45482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21328674:21330228:-1 gene:gene39827 transcript:rna39827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MPFFIIFFLYSQPKRRLELQYYHQSGDTCGLNYLSLNLKFLILFEFKNEDSANCLLDLVERLLHKSNHIERLCIEILKITVDVNKFTTLVSSAAKHSVRDLQLSIDDKKYLDTIFVLPNCLSAFPSLNKLFLELGSLLYVHDGICFSSLKTLNLSDVRFVDEKSVQQLFSGCPVLEELILYNFIWKNVNEIAIESSTLRTLNIHSKSSFLDYDHYDCCTVTINAPNISSLTCTSTPTLKFVVVSPISIVDANIGLEFDYPQSEQYRAECLFELLSALKGVKSLTMTSDTFQSLYFSADTLHLLPLFYNLTHLYITHSWIMDFTLEVLFDILHKTPKLEVLGIPMVYCLHLVDEEVTINSVPCCFKSSLKFLWISDFNGYKYEVQMISLLVEKFTISEEMKISFSGFLSDCLEEETAVKNQLQSLSHGKFAIEFK >RHN62550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44312419:44312712:1 gene:gene25141 transcript:rna25141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MHNNSLLNSLILLLLTFSFCSCSSDTISIHKPLRDGELLVSKSKTFALGFFTPGKSTSRYVGIWYYNLPIQTVVWVANRNSPINNTSGILSSTQMEI >RHN64964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63331598:63332074:1 gene:gene27848 transcript:rna27848 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVTPPETTITTTNHSIHPTLTVNNITNFIKITLDIEKSQYNTWSELFKIHAQAYEVLDHIIPTPTTEPTSSSVSLKETDPALWKRLDAIVLQWIYGTISIDLLHTIIERDSTAQTAWDRLFNIFFDNKNSRALYLEQEFSCHHGAILRCLIILPTH >RHN58795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4970490:4974966:1 gene:gene20742 transcript:rna20742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-lipid Delta(12)-acetylenase MATMFLSECGLRPLPPLYPKPRTNTISFQKPSKIRFLSKTTKRVSSDLRFKPKTWELRVSAPSRVAEEEEEKGGGGVVQEFPNFDPGAPPPFTLGDIRAAIPKHCWVKDPWKSMSYVVRDVVVVFGLAVVAAYVNSLFVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNPKLNSVAGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLPEKIFRSLDNSTKMLRFTIPFPMLAYPFYLWSRSPGKKGSHFDPNSDLFVPNERKDVITSTVCWTAMAALLVGLGFVMGPIQLLKLYGVPYVLFVMWLDLVTYLHHHGHEDKLPWYRGQEWSYLRGGLTTLDRDYGLINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGRYYKEPKKSSPLPFHLIGDLIKSMKKDHFVSDTGDVVYYQTDPNLSGSSTSK >RHN63888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54809793:54810237:-1 gene:gene26643 transcript:rna26643 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPLQILYSVLHIVCWILWADYFCFEIGSWCLAAVLFGKLQSFFGGPRVWGALLIWSCSLVGRLLLIRDRESVLWFKFCFWAV >RHN62522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44056541:44057836:1 gene:gene25110 transcript:rna25110 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLFLDTFIELKLVSSLSLDKFLNSLCVETISSISKSSMFSSNPLFSCIVTFCTLIFLYLPHHLFSKIVFSPVLILTGILLLTILRLGANQKYHHKQKETQQKHESIITKEENKATKCGEEKQNSTCPVEPKEIESLEQVHHSEREVDSQKSLESSSSFMEWNVRAPLEIIYEGYEDEEKLDDPNEKEENWNMGNSNYPSLSRYYPESDSDSSSEDEFPVKEYWDSPEEWEEEDREGLIEIALDGSKMMKRDLEFQYEEENLIEIDISPTRYKEFSGEFRCN >RHN52260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33176594:33181569:1 gene:gene36913 transcript:rna36913 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTSAARILAELRRISTCTGISSDVRPFSSAAAVSDSDPTLSSSSDSNGKASKWWLYLPGAIAFGLGSWQIVRREDKIKMLEYRGKRLQMEPLKFSGAYPSSEELDSLEFRKVVCKGVFDDKKSIYVGPRSRSISGVTENGYYVITPLMPVHDHPDSVSSPILVNRGWVPRSWKDKFLEASHDEQFADPLPSPSQADGTRSWWRFWSKEPVSSEDQVPSITPNEVVGVVRGSENPSIFVPANDPGSSQWFYIDVPSIARSCGLPENTVYVDDINENVNPSNPYPLPKDVNALIRSSVMPQDHLNYILTWYSLSAAVTFMAFKRLRQSNKRR >RHN40649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18810948:18814985:-1 gene:gene46832 transcript:rna46832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation efflux protein MSESDDSATGESVRVEPSSSWKLNVKEFKLPHHHHDHHNRQPRSFTFSGLVRKPNNKKQRKVAEYYKQQEKLLEGYNDMDTMTETGLFPGSLTEDELKQLAKRERLAVNVSNAVNLVLFAAKVYASIESRSLAVIASTLDSLLDLLSGFILWFTANAMKTPNHFHYPIGKKRMQPVGIIVFASVMATLGLQILIESGRQIIAKTKPEMDHSELGWMIAIMVSVTVVKFVLMVYCRRFTNEIVKAYAQDHCFDVITNSVGLAAAVLAVKFYWWIDPLGAIIIALYTINTWVKTVIENVSSLIGRTAPPDFLGKLTYLIWNHHEEVKHIDTVRAYTFGAHYFVEVDIVLPEDMPLNQAHNIGETLQEKLEQLPEVERAFVHIDFEYTHRPEHKMMV >RHN51352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16560875:16564797:-1 gene:gene35778 transcript:rna35778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK-LRK10L-1 family MDNHFPILLIITHISFFFLITTSHSQPQPVHNFSSCKHIMSSYNCGNISNISYPFWGQNRPFQCGAGNPFYLNCHNNNTTTILLSSQNFTVLDINSKDHIIKLKRTDLDQNLCSPKFNDTYLFPPLFQYPQNVKNLSIYYNCTSTISQDLAENSVCGSHYPSFGYVGNEDKLFEEDKLFEEDPSLRCQKHIKVPVGSYFLLEADYFERGKLERVLNDGFEVTYNVNEECLSCLGSEGGDCLSDSIDNHIGLCYYDNLTDASIASSTDLSSDEKITINWKRKLVVGVASGVLGSFVVISAIYFYQRRKTKSYLKSHSLPYVSSSTDLEWGSQHFGVQLFTYSELEEATNHFDPSNGLGKGGFGTVYFGKLKDGRSVAVKRLHENSYRRVQQFMNEVEILARLVHPNLVSLYGCTSNHSRELLLAYEYVSNGAVADHLHGNQAKDGKLSWHIRMNIAVETASALRYLHISDIIHRDIKTNNILLDTNFRVKVADFGLSRLFPIDHSHVSTAPLGTAGYVDPEYNQFYQLTHKSDVYSFGVVMIELISSLPAVDMTRHRDDINLSTMAMNKIQNQALHELVDPTLGYDSDSKVKEMINDVAELAFRCLQSSKDMRPCMDEVLKTLQDIQGAGANESQRETANISNSHHDVVLCNYDPRPISPDSNDVSYYTAPSASG >RHN62182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41497561:41500375:1 gene:gene24730 transcript:rna24730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MEDDEEVARGFDKITDIDQSKDVWVLAVRIVDLWPVIGKYKSESLEMIIKDAEADVIHITLPKEFLNAFKPQLFLNQTYEMENFKVSNNDSVVKACDHRFRLTITGATVISKQDFPDIPMASFNFKDFGEVLDGKYRPDLVVDVIGAFQDVTNTNRGNGRLRSITFTLKDASGNILHCCLWDDHAKLCADEFDKFDGTQPQPFVILVKHARVKPEQAPYPLCFTNTWDGTRVMFNPPLLDVALFKEKFKDLPSDALSLSQTASQYSQASQFSQNTDIMSNAKFLSLAEISNISQVEVTAVHKEEKIRFIFWDDLSASILKISAAELRKKMVRAGHTNPRTYPKTLDKLLQKKKVFKIKALPGGNPCSVLQVSDSEPLLANLEKQFGLVEASSSKEPLGLEMADIGTSSKQSVSLGFLELSSKDTSMVAVESLCGENDLSVTSSTPPAKRLSMDDTDSEVVPATQDVQPTQRSTTKVTKPPRNTKTPKTER >RHN79343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27767487:27768149:1 gene:gene3120 transcript:rna3120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVSLLTNLFLFWLSMHCFVAYLAHANAKNISTDQSALLAFKSLITSDPNDMLANNWSTSSSVCSWVGVTCDERHHRVHSLTLRNMSLSGTISPKLGNLSFLVILDISRNNFSGQFPKEICRLRRLKSLDLNYNWFVGGIPEALGDLSRLQYLYLGVNNFSGFIPQSIGYLRQLIYFEIGHNKMYGPIPQTISNMSSLKYIGLSSNYFSGTPNSNIIMFHI >RHN66040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7872065:7873072:1 gene:gene13950 transcript:rna13950 gene_biotype:protein_coding transcript_biotype:protein_coding MEETISENLITGVNDLSDARNMNMDEDDAISGRFVTMENQAGGIGGKEIKLESLMVEVTLMKKLVHEMMHKQETHFQSTNNEMVGLKNFCSSIQASIAALDVIAKSKGTGSLPNCEKESTMKNVEHLDTVNTNLHEVLGENETLNDAAIGASGSNLNNATTAVMPEKSSQQHMLAVLSKLHLLMRLIVCSIILPMLNHLLMSSIC >RHN46230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29840916:29841502:-1 gene:gene40685 transcript:rna40685 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFVIFIIIAVSMFVLVAILSLLCRLMGGRPAYGSGGGGGGGFTHHNHHHHRAHNAVVFGGGAGGIGVGGGAFDGGGGGAGGGGAGGGMGAC >RHN65951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6896286:6905776:1 gene:gene13857 transcript:rna13857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAGVIDGAFLSSVFLVIREKLASRDFRNYFHEMLRKKLEITLDSINEVLDEADVKEYQHRNVRKWLDDIKHEVFELEQLLDVIADDAQPKGKIRRFLSRFINRGFEARIKALIQNLEFLADQKDKLGLNEGRVTPQILPTAPLAHVSVIYGREHEKEEIIKFLLSDSHSHNHVPIICIVGMIGMGKTTLARLVYKDHKILEQFELKAWVYVSKSFDLVHLTRSILRQFHLSAAYSEDLEILQRQLQQIVTGKKYLLVLDNICSGKAECWEMLLLPFSHGSSGSKMMVTTHDKEVASIMGSTQLVDLNQLEESDSWSLFVRYAFRGRDVFEYPTLVLIGKKIVEKCGGIPLALKTMGQLLQKKFSVTEWMKILETDMWHLSDGDSINPVLRLSYLNLPSNLKRCFAYCSIFPKGYEFEKGELIKLWMAEGLLKCWERHKSEEKLGNEFFNHLVSISFFQQSVTMPLWAGKHYFIMHDLVNDLAKSVSGEFCLEIEGGNVQDIPNRTRHIWCCLDLEDGDRKLKQIHKIKGLHSLMVEAQGYGEKRFKISTSVQHNLFSRIKYLRMLSLSGCNLVKLDDEIRNLKLLRYLDLSKTEIASLPNSICTLYNLQTFLLEECFKLTELPSDFHKLINLRHLNLKGTHIKKMPTKLEGLNNLEMLTDFVVGEQRGFDIKQLGKLNQLQGSLRISGMENVIDLADAIAANLKDKKHLKELSMSYDYCQKMDGSITEAHASVMEILQPNRNLMRLTIKDYRGRSFPNWLGDLYLPKLVSLELLGCKFHSELPPLGQFPSLKKLSFSGCDGIEIIGTEFYGYNSSNVPFRFLETLRFENMSEWKEWLCLEGFPLLQELCIKHCPKLKRALPQHLPSLQKLEITDCQELEASIPKADNITELELKRCDDILINEYPSSLKRVILCGTQVIKSSLEKILFNSVFLEELEVEDFFDSNLEWSSLDMCSCNSLRTLTITGWHSSSLPFALHLLTNLNSLVLYDCPWLGSFSGRQLPSNLCSLRIERCPKLMASREEWGLFQLDSLKQFSVSDDFQILESFPEESLLPSTIKSFELTNCSNLRKINYKGLLHLTSLESLCIEDCPCLDSLPEEGLPSSLSTLSIHDCPLIKQLYQMEEGEHWHKISHIPDVTIS >RHN70805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54160734:54163991:-1 gene:gene19424 transcript:rna19424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP-binding protein, ribosome biogenesis, YsxC MVVLHLPRLSFSLFTVSSSSFSRRVYSTNTLTTLLNKPPKSSLTTSEPNLEPIITPKTEPLSLEKLFIPPNTHVTHENARILNGSNILLSNYANDAQIIQADFVKSSVRTEDCPSDGLPEFALVGRSNVGKSSLLNSIVRRKKLALTSKKPGKTQLINHFRVNDSWYLVDLPGYGYASAPQELRMDWAKFTKDYFLNRSTLVSVFLLIDASIPARKIDLEYAAWLGENKIPMTLIFTKCDKRKKKKNGGKRPEDNVNDFQELIRGSFETVPPWIMTSNVTHQGRDEILLHMAQLRNYWLKH >RHN38713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1434346:1434657:-1 gene:gene44674 transcript:rna44674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome-c oxidase MELARPGNQILGGNHQLYNVLITAHAFLMIFFMVMPTMIGGSGNWSVPILIGAHDMVFSRLNNISFWLLPPSLLLLLSSALVEVGSGNGCSNQISLQRCKIDS >RHN71413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58826401:58826924:1 gene:gene20093 transcript:rna20093 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEIDLNMSLDIDLEAQPDPNDEGGDVENRETVITGGGTAVLEAQHDPNDEGGAAISEDAAISEDEHDGDDEFDEGVSCNFTC >RHN73947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21985616:21988271:1 gene:gene9847 transcript:rna9847 gene_biotype:protein_coding transcript_biotype:protein_coding MNASRSNPTHHCHRKTCLTKGSMIHVVKPGCSYRPLRLYKHDYALRSITEQDVDVKGFQKITKTNNDQFSLNHNAFIQLSSIIEEQFPEDDDFRDSKSKPSPTNNIPLPLTSQTLPMPFEGEVNVLNPSEIVENSSPPCIVIWELEQLVSENYLDYENLSLLTDFFVKYPSVLLRDTSLSTRYKCYAYTCLAELLQFLQTHSVLDVLASSHSEFVKLLHNLRSFGFDKDWLDSVERRALFSDIKVSQDALQKLLDSKQQVSKEVEVLGLKIDILSQHVEDLKHQLTTSETVLKSIIQEEAQVLETKATFSAPLGY >RHN69360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42889152:42895395:1 gene:gene17815 transcript:rna17815 gene_biotype:protein_coding transcript_biotype:protein_coding MEELRKLEKVQRMVSFMDSHGVSVSNSDHHCNRFLANFMLFLLEPCGDLAIDVKCCLISELMPRLSSPFVEDAYQYVVAEQESNGFEQNLDGNALHSCSQMKDNNLLHGYNENIAMIGLDSMQKANSTLEDFCRSYFMFHGLDVSKPQSIFKFLPILSFTESYIYQLDKMNENLLQTPRRKDEKATQDLVSCFSTDPFGPLVTIFEQRGLLTERITEELRHGEEYWALERKLCHALINEEEILIDDVMKAIHLKSFDYRVLNLLLYQLQGAKAEELHMEFLSISEFLVEVSDDLYDYEDDVLENNFNVLRMFIRIYGASTAPSMLAKCITEAESKYTNLLELLEPKLSLSYQKRCAEATKEGGKVSEHPLGTWCIPTVIQDEELYRSNLKSDTS >RHN72965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12196299:12203360:-1 gene:gene8734 transcript:rna8734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MILEMGSMWVVLMAIGGGLLVLRSILKNVNWWLYESKLGVKQYSLPPGDMGWPFIGNMWSFLRAFKSKDPDSFISSFVSRYGSTGIYKALMFGNPSVIVTTPEACKRVLSDDEKFTPGWPRSTIELIGKNSFIAMAYDEHKRLRRLTSSSINGMEALSLYLTYIEENVISSLEKWSNMGQIEFLTEIRKLTFKIIMHIFLSSESEPVMEALEKEYTILNHGVRAMHINVPGFAYYKALKARKNLVAIFQSIVDERRNIRKRYSQKKAKDMMDSLIDVEDDNGRKLGDEDIIDIMLMYLNAGHESSGHITMWATYFLQKHPEYLQKAKEEQEEIIKRRPPTQKGLTLKEIRGMDFLYKVIDETMRVITFSLVVFREAKSDVMINGYTIPKGWKVLTWFRSVHLDPEIYPNPKEFNPNRWNKEHKAGEFLPFGAGTRLCPGNDLAKMEIAVFLHHFILNYQLEQLNPKCPVRYLPHTRPMDNCLGRVKKCSST >RHN50690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9525892:9531098:1 gene:gene35012 transcript:rna35012 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-2-hydroxyglutarate dehydrogenase MLKKTIQTLEQFKSSSSSTRTRDHVHMKWNNPFNNWVRNIRSTTQNDTNTTTSYPVSRETTTSYSVPRERVDCVVIGAGVVGIAVARALALKGREVIVIESAPSFGTGTSSRNSEVVHAGIYYPHHSLKAIFCVKGREMLYEYCAKHDIPHEQTGKLIVATRSSEIPKLSVILNHGIQNGVDGLKMMDGVDAMKMEPELQCVKAILSPLSGIVDSHSLMLSLVGEAENHGATFTYNSTVIGGHMEGNEICLHVSETKSLKEWNGKSSLQPELVLIPKLVVNSAGLSALALAKRFTGLENKVIPPAYYARGCYFTLSNTKASPFRHLIYPIPEDGGLGVHVTLDLNGQVKFGPDVEWIDGVDDISSFQNKFDYSVQANRAEKFYPEIRKYYPNLKDGSLEPGYSGIRPKLSGPCQSPVDFVIQGEDIHGVPGLINLFGIESPGLTSSLAIADFISTKFL >RHN41438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30014576:30019039:1 gene:gene47756 transcript:rna47756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-3-methyladenine glycosylase I MSKTNVRKQALERSTSFKDTQKILNQNFFHNKIFKKVYPIGLQKSTSSLSLSSVSLSLSQNSNDSSQADSLTPLDERISSALRLISASSHERRETAVAKTIHQQSPLVTTEPGELRRCNWITKNSDKLYVEFHDECWGVPAYDDNKLFEMLAMSGLLMDYNWTEIIKRREPLREVFAGFDPYTVAKMEEQEIIEITSNKALSLADSRVMCIVDNAKCIMKESRVVRECGSFSSYIWSFVNHKPIINKYKYPRNVPLRSPKAEALSKDMVKRGFRFVGPVIVHSFMQAAGLTIDHLVDCYRHSECVSLAERPWRHI >RHN50523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7910116:7911222:1 gene:gene34819 transcript:rna34819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysosomal cystine transporter MVPWNSFPLQIIYQIFGWLAFLSWSLAGYPQLISNFRRKSVVGLSLDYTILNFTKHWSYLIYNASLFFSPVIQKQYFEKYGYGQMIPVAANDVAFSTHAVIINLIVISQFAIYGVSTYNQLVEFLIIQRWYLFSCLSTLCFRPNVCFEARSNMKAKTLRICLISYFLDCLFYKLI >RHN44136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1939301:1939899:1 gene:gene38205 transcript:rna38205 gene_biotype:protein_coding transcript_biotype:protein_coding MCTYSIFMGFLLFTVFFFILFFIIRSLCCMLIFMLEMSLPMSTRIAILHYNVVISVT >RHN70628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52854673:52857632:1 gene:gene19233 transcript:rna19233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ANTH domain-containing protein MTSSTIRKAIGAVKDQTSIGIAKVASNMAPELEVAIVKATSHDEDPASEKYIREILNLMSYSRGYVNACVSAVSKRLGKTRDWIVALKALILVHRLMNDGTPIFQEEIMYATRRGTRLLNMSDFRDEAHSSSWDHSAFVRTYALYLDQRLELMLFDRKAGSVGVGGGGGDERFGGRENNFRSPPNEYEYGGGQFRGEGGMRKTRSYGDVNEASGNDDRRIVTVTPLRDMKPERIFGKMSHLQRLLDRFLACRPTGLAKNNRMILIALYPLVKESFQLYADICEVLAVLLDKFFDMEYPDCVKAFDAYASAAKQIDELVAFYNWCKESGLARSSEYPEVQRITSKLLETLEEFVRDRAKRPKSPERKEEAPKLEVQEEEPVPDMNEIKALPAPENYTPPPPPEPEPEPKPQFTEDLVNLREDAVTADDQGNRFALALFAGAPANNNANGSWEAFPSNGQPEVTSAWQTPAAEPGKADWELALVETASNLSRQKNALGGGLDPLLLNGMYDQGMVRQHVSTSQLSGGSASSVALPAPGKTTTPVLALPAPDGSVQPVNQDPFAASLNIPPPSYVQMAEMEKKQQLLVHEQQLWHQYARDGMQGQSSLNKMNNGTGYYAGGPMPYGMPPVHGMGPPNGYYHTPI >RHN79509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29750535:29750897:-1 gene:gene3308 transcript:rna3308 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGATSDIRPLCHCLTLSFPVIAQVLCSFCRHSPTRRCVERSFVGIATSPPRRKIWVLIFRFSNCYILISKV >RHN41507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30604571:30604819:-1 gene:gene47839 transcript:rna47839 gene_biotype:protein_coding transcript_biotype:protein_coding METKYVKIWTTYKIGMKTRKLFPGVVVTGEGCPFLIFLNGFCREDGGDSFYGDGFSKMKMVEQCNDRRRHTEGGRRRRLGFV >RHN75824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45379348:45382815:1 gene:gene12078 transcript:rna12078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ferritin-like superfamily MQRLKLTTLRLSPLHFSLTSFKPIRCFSSSTISPWSGLHSWRNSPLNQNRKWGPLSPQPEPNLHDSPFGEASSLAEFGSIVLSTTDPLEKSRLSHIAFSLWLRGDLPLGQSDPPSRPARPDKPELVSTKEIPAPKNSGLPLNAYLLHNLAHVELNAIDLAWDTVVRFSPYSDVLGEGFFADFAHVADDESRHFSWCSQRLAELGFKYGDMPAHNLLWKECEKSSDNVAARLAVIPLVQEARGLDAGPRLVQKLVGFGDNRTSKIVAKIAEEEVAHVAVGLYWFLSVCQKMGRAPDFTFKDLLKEYNVELKGPFNYVAREEAGIPRDWYDSPSTSNQDKKNKDDNKEKLSEVYERVATIIAMERENSS >RHN55132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15433721:15435389:1 gene:gene30265 transcript:rna30265 gene_biotype:protein_coding transcript_biotype:protein_coding MYATRLLSMYKRNPSALSDPPPSGPNSSYLVILDEVAQTYSCFGLSKDNRIKNFPLPQNKNLTINLSAGESTFLEEAMFIPVLNQPLSSNRYYVIRREGKYQGQASTSSKEEDKTTCLCCSFVHDVKPRALKPFNDYQQFEIIKKSYGFQAKSIASNGIPPGLLREEGWALHASTPRNYQLSQALGSNDSLRSKLPNFNFPLTYDHSGSVIVGNWYCPFMFVKEGMNLKEQMKMSMFYELTLEQRWEKIFSKENENSGEGDVVVDVVIQTEVAKIEGKDAVWDENRLVHGVLWFKSVEKKSVGLRLEVGEAMKWEQRRFGWNAGNGRQVRVTKVEEFDGTNKWNKFSCYVLVETYSLRRMDKSLLLTYAYRHSHQIKSKWE >RHN75240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40532229:40533182:-1 gene:gene11413 transcript:rna11413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAGMSTFYFFSGGIAILSGIAYIFQTWRALYIAASIPSLLYIILLLPFVSESPRWYLVRGRINEATALMSTIAYFNGKHLPDGVVLSLDEEVSNSNKSTSDLEYNLINYVENKDAQVGSIVDVIRYSVTRIRLFLAITINFLTSVVYFGISLNVTNLETNLYMSVLLNAVAEMPAFAITAVLLDRFGRKPLTIGTMWFSGFFCLMGSLMSNVGVWKVIKMVCGVLGIFGMAGTYNLLYIYTTELFPTVVRNAALGSTMQAARMGAISVPFVVVLGGWLPFGVFAACGLIGGMFAFYLPETLNMPLYDTFKGLMAGLA >RHN81547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46892326:46892956:-1 gene:gene5611 transcript:rna5611 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKRHILQSLSSCNFFLKAKIEYISNNKNISYSISYIKEEHKSRAKYCIKFLKHM >RHN81935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49911694:49914472:-1 gene:gene6035 transcript:rna6035 gene_biotype:protein_coding transcript_biotype:protein_coding MQCQQRLTSYWFLDHFDEGIRMTIDNPDVVLDDVVDGGVVQEEYIVIDFQSPPCTSFGEDCVPCECVGHQIQS >RHN59057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7150435:7151156:-1 gene:gene21041 transcript:rna21041 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFEIWRRKWVVCMLLLLIESDSNPFKSESGGGLWCWRWWWR >RHN40741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20148993:20154570:1 gene:gene46937 transcript:rna46937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MISLEIKKQVVLIYLWLWWITTANICVEAKRDSLKPGDTLNSNSTLCSKQGKFCLRFDITSNSEFHSLFIGVNADSGPIVWMYDINHSIDLNSAVLSLDYSGVLKIESQNRKPIIIYSSPQPINNTMATILDTGNFVLRQFHPNGSMSVLWQSFDYPDDTLVPTMKLGVNRKTGHNWSLVSGEFNLDWEPKQGELIIKKSGKVYWKSGKLKSNGLFENIPVNVQHMYQYIIVSNKDEDSFTFKTKDKDYKTLPWWTLLPSGRLMGNEGDIVNADMCYGYNSDGGCQKWEDIPTCRAPGEGFQKKAGRPNIDNSTTYEFDVTYSYSDCKMKCWKNCSCNGFQLYYSNMTGCVFLSWNSTQYVDVLPDTFYTLVKTTKSAPNSHGIKWWIWICAAIATTLLILCPLIIWLAKKKKKYALQVKKSKRKEGKNIDLVESYDIKDLEDDFKGHDIKVFNFTSILEATMDFSHENKLGQGGYGPVYKGILATGQEVAVKRLSKTSGQGIVEFKNELVLICELQHKNLVQLLGCCIHEEERILIYEYMPNKSLDFYLFDCTKKKFLDWKKRFNIIEGISQGLLYLHKYSRLKIIHRDLKASNILLDENMNPKIADFGMARMFTQQESVVNTNRIVGTYGYMSPEYAMEGVCSTKSDVYSFGVLLLEIVCGRKNNSFYDGDRPLNLIGHAWELWNDGEYLKLMDPTLNDTFVPDEVKRCIHVGLLCVEQYANDRPTMSDVISMLTNKYELTTIPRRPAFYVRRDILDGETTSKVPDIYTYSTTISSSCEVEGKV >RHN41113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27078980:27080297:-1 gene:gene47402 transcript:rna47402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonucleoside-diphosphate reductase MPSFPEEPLLAPNPDRFCMFPIQYPKIWEMYKKAEASFWTAEEVDLSSDLQHWNNLTDGERHFISHILAFFAASDGIVLENLAGRFMKEVQISEARAFYGFQIAIENIHSEMYSLLLETYISDSAEKNRLFHAIDTIPCIAKKADWALKWIDSSDSFAERIVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLRQKLSTERVVKIVRDAVEIEREFVCDALPCALVGMNGELMSQYIEFVADRLLGELGCEKVYNVQNPFDWMDLISLQGKTNFFEKRVGEYQKASVMNGGADYCFTLDEDF >RHN54241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8010174:8014460:1 gene:gene29243 transcript:rna29243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MGTLGRAFYTVGFWIRETGQAIDRLGSRLQGNYFFQEQLSRHRPLMNVYDKVPYVHKDAFIAPSASITGDVQIGHASSIWYGCVLRGDVNNITIGSSTNIQDNSLVHVAKSNLSGRVLPTIIGDNVTVGHSAVLQGCTVEDEAFIGMGATLLDGVHVEKHAMVAAGALVRQNTRIPCGEVWGGNPARFLRKLTEDEMTFFSQSALNYSNLAQAHAAENAKKLDETEFVKVLGKKFVRPDEVDSVLNAVGDTPPEITPPDNAALDKAPKAS >RHN81235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44266629:44267257:-1 gene:gene5248 transcript:rna5248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oleosin MQLQLINSSIFSFSISISISISNQKTLTNMADTGGHYQPLRGYNQQHSTTTQQQPSSKLTQLLKSSTAVTAGGSLLILSGLVLAGTVIALTIATPLFLLFSPVLVPAVITVALLTLGFFVSGGFGVAAITVLAWIYRYVTGKHPPGADQLDTARHKLMNKAREIKDYGQQQISGTQNS >RHN41226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28408599:28409146:1 gene:gene47525 transcript:rna47525 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVYEGAVVDVPLRSFSELSIGDDNFDSPHVEDDDSRGCLSGNELRSHKWVVNVTDSVACGEESMNYSFTDFRHVYVIEDGSDDGMYCNMEIIHQTPGLDEKCLTVGWYEFAKNLGLSPGDKLCLVVVDPVECVCVRVERSPAV >RHN46833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35213609:35217727:-1 gene:gene41364 transcript:rna41364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-binding, CRM domain-containing protein MSVLKNLTRQNLSLTRHLSSAAIATTTTASKLHDRYTFEPPPSLSPTPQNPNSTPPKPHRKTPKPKYKPPSSLDRTGKKPIRSTLPFDFRFSYTESSQTVRPIGLREPKYSPFGPDRIDREWTGVCAPAVDPKVKELDGEEDPKLEDERKKKREHVQGDALTNAERKALVLQCERGKTKRQVNMGRDGLTHNMLIEIHNHWKYTEAVRIKCMGVPTVDMKNVCTQLEDKTFGKVIFRHGGTLILYRGRNYNSRKRPAIPVMLWKPHEPVYPRLIKTTIDGLSIEETKAMRKRGLAVPALTKLAKNGYYAHLVTMVRDAFLTCELVRIDCQGLERKDYKKIGCKLRDLVPCVLVTFDKEQIVVWRGNDYKYSKDGYFLKDRESFDDDDDGGGGDLLTDEDEELENTSL >RHN40453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16438598:16443524:-1 gene:gene46605 transcript:rna46605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MEEEHMREPLLEKKQYYEDCPGCKVEQENELNEGVSIIKLLIIWMVVLSATLPTSSLFPFLYFMVRDFNVAKEEADISYYAGYIGSSYMLGRCFTAVLWGMVSDRYGRKPVLIMGIIAVVIFNTLFGLSTGFWMAIATRFLLGGLNGVLGPVKAYATELFREEHQAIGLSTVSAAWGIGLIVGPAIGGYLAQPVDKYPQIFPKDSFWDKFPYFLPCFTISALALTVAIVCIWIPETLHNHNGNEESKGNAEALENGSSKEKTVQKNENLFLNWPLMSSIIAYCVVSLHDIAYQEVFSLWAVSPLRLGGLKFTTDDVGDVLSISGVALCIYQLFIYPYVEKACGPIVLARITGIISIPLLQSYPFIAMLSGITLYIVISIASILKNIIAVTITTGLFLVQNRVVEQHQRGAANGISMTAMSLFKAIGPAAGGTILTWSQKRMDASFLPGTQMVFFFLNLVEGLGILLMCKPFLGEKKKTNSHELH >RHN44706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7517539:7521662:-1 gene:gene38856 transcript:rna38856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VII-1 family MSYYIPKTKKEETIVITNYQHSNSIHPQRTRMKTFHLTLFTTFLISFTNFLTCFANNDVTIQLNDDVLGLIVFKSDLQDPSSYLSSWNEDDINPCSWQYVKCNPQTQRVSELSLDGLGLSGKLGRSLEKLQHLVTLSLSHNNFSGTISPSLTLSNTLQKLNLSHNSFSGPLPLSFVNMSSIRFIDLSHNSFAGQMPDGFFENCFSLRRVSLSMNLFEGQIPTTLSKCSLLNSVDLSNNHFSGNVDFSRVWSLNRLRSLDLSNNALSGNLVNGISSLHNLKELLLENNQFSGQLPNDIGFCLHLNRVDLSTNQFSGELPESFGRLNSLSYLRVSNNLLFGEFPQWIGNLISLEDLDLSHNQFYGNIPLSLVSCTKLSKIFLRGNSFNGTIPEGLFGLGLEEIDFSHNELIGSIPAGSNRLLETLTKLDLSVNHLQGNIPAEIGLLSKLRFLNLSWNDLHSQIPPEFGLLQNLEVLDLRNSALFGSIPEDTCDSGNLAVLQLDGNSLKGSIPEKIGNCSSLYLLGLSHNNLTGPVPKSMSNLNKLKILKLEFNELSGELPMELGKLQNLLAVNISHNSLTGRLPIGSIFQNLDKSSLEGNYGLCSPLLTGPCKMNVPKPLVLDPHGYNDQMNPRIPRNESSESSSPIHHHRFLSISAIIAISAIIVIVIGVIAISLVNASVRRKLAFVENALESMCSSSSRSGAPATGKLILFDSQSSPDWISNPENLLNKASEIGEGVFGTVFKVPLGSQQGRNVAIKKLITSNILQYPEDFDREVRILGNARHPNLIALKGYYWTPQLQLLVSEFAPNGNLQSKLHEKLPSSPPLSWPNRFKILLGTAKGLAHLHHSFRPPIIHYNIKPSNILLDENFNAKISDFGLARLLTKLDKHVMSNRFQSALGYVAPELACQSLRVNEKCDVYGFGVMILEIVTGRRPVEYGEDNVLILNDHVRVLLEHGNALECVDPSLMNEYPEDEVLPVLKLAMVCTSQIPSSRPTMAEVVQILQVIKTPVPQRMEVF >RHN73617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18197452:18198162:-1 gene:gene9450 transcript:rna9450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MLSGRKGRGCQKIEMKKMSNKSNLQVTLSKRRRGLFKKVNELCTLCGVDVALVVFSPSEKVFSFGHPNVDTVIDRYLSGVPPQNNSTMEFIEAHHSAKVCELNAELIQINNTLDEEKKSDDELSLLCKAFKAQFWWACPIGGMNRAQLELFKKILVELKKLVAQYVHRSAIQGTSSQTFSFFAGNDLSSNIPLHYQPNPQQTEMFPPQFFHYPILQSHLFGFNNMREGGYGPPRFY >RHN53587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2704844:2708456:1 gene:gene28511 transcript:rna28511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate--cysteine ligase MGLLSMKGTLGSSSMVLGKVESNRREEDAYFKLSSLYSGSVHYKFLYKDREILKCKKERNVIVATGPAIEAPVIATEPLTKDDLIDYMVSGCKPKEKWRIGTEHEKFGFEFDTLRPIKYKQISPLLNGIAERFHWDKIMEGDNVIGLKTGKQSISLEPGGQIELSGAPFKTLHETYDEINLHLYQAKTVAEEMRIGFMGLGFQPKWRLGDIPKVPKVRYNIMQNYFSKFGLLAVQSLLMTCSVQVNLDFSSEADMVKKMRAGLALQPLATALFSNSPFKQGAPNGYVSFRSHVNGQVDKRRTGMLPFVFYDTFGFEQFVDYALDVPMIFVYRKNKYIPCGGMSFRDFMAGKLPAIRGQVPTISDWENHLTTIFPEVRLKKYMEMRGADGGPLNMLCALPAFWVGLLYDEVSLHNVLDMIADWTDEDKQYLRNQVPFTGLKTPFQGRLLQHVAEDVLKWAKDGLDRRCLNESIFLDPLIEVVGTGMTPAEKLLEMYNNKWGSNIDSVFRECCY >RHN52099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31509357:31509656:1 gene:gene36720 transcript:rna36720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MVMQKGHPDGPQPRQMLAGSLVGDETRMIIFTARNDKVNLLKDGSTIILRNAKIDIFKGSMWLVVDKWGRVEVTDLTNFTVKDDNNLSLIEYELVNVVE >RHN61246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34140364:34142439:-1 gene:gene23687 transcript:rna23687 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNMSKKTQETSSAVNFTTTELHYKTELSSYEAACKIDTDLQTFDSTLQTRTNQVINTLASGVEVRALSFESLKQVTECLLEMNQEVVKVILDCKKDIWNSQDLFELVEEYFENSLQTLDFCTALEKCLKRARDSQLLILVAIQRFEEESESGDKCYARTLQELKNFKTAGDPFTEEFFQIFQSVYKQQTSMLEKLQIRKNKLDKKLKGIHSWRKVSSMIFVATFAAVLICSVVAAAIAAPPVAAALAAATSIPIGSMGKWIDSLWRNYEIALKGQKEVIGSMQAGTYVAIKDLDNIRVLIDRLEIEVESLLHTVDFAIEEEAVKIAIEDIKKKLGVFMKNVEDLGVQADNCSRDIRRARTVVLQRIIKHPNI >RHN53160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42433147:42436360:-1 gene:gene37930 transcript:rna37930 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLKREQCDHTKHDSSFSHWKVLIDPSDWGNHGYTRYKKENLPQNFSVGVYELGVGSSTSDLGCEIYKLATDPHGVVVVYIGKSVDVRKILQSYSKDGGHLGDGCASGSLLRN >RHN61579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36581724:36583097:-1 gene:gene24057 transcript:rna24057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MEQHFYVLSESDIKRLQDADINHLSSILFVSRPVACLLLSHYNWNVTQASESWFDNQQKVRNAIGLSNETHIELGLAYSSHTLICGICLEIFSSEAIRSSWCRHSFCINCWNQYVDTHIDDHNCFKLKCPEPSCNAAVDEDMIQQLASESRKIKYDQFFFRSYVENNNNMKLKWCPAPDCCNAISYELPYHHGSSSSRINYDVTCLCYHSFCWNCGEEAHTPVDCEIVAKWMKKTSSEFKITTNGWIIANTKRCPKCKTPIEKNNGCNHMSCKCGIQFCWLCLRDFSNCRDGVNCAQVQEFQELFGDEMQRNHAKNHLDRYTYYHQGWANNEISRKKSGTNFGNFMVKDALKHIVECRRILRWSYVYGYYLPEDENAKIEFFDHIQSIAQVVLDRLHHFAENGLRKQLLHNGSEEEFCDFRTKLTTRARVAKSYFMNLVKELDNGLEVVCVKNYAAV >RHN51971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29363941:29364535:1 gene:gene36558 transcript:rna36558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSPEYAMFRQFSEKSDIYSFGVMIIEIVAGKKNVWRQWMDKTPLNILDPNIKGDYSKTEVIKCIQIGLLCVQNDPEARPSILTIASYLSSHSIELRTPQQPAFFLQGRSYNDSSALFSSNGMPSSTFFPR >RHN62695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45212084:45214848:1 gene:gene25295 transcript:rna25295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cadmium-transporting ATPase MKHTGNILINGHKQALAYGISGYVTQDEAMLSRLTARETLYYSAQLQFPDSMSITEKKEQADLILREMGLIEAVNTRVGGWGSKGLSGGQRRRLSICIEILTRPRLLFLDEPTSGLDSAASYYVMSGIARLNLRDGIQRTIVVSIHQPSSELFELFHDLCLLSSGEAVYFGPAYDANQFFAANGFPCPTLHNPSDHYLRIINKDFEMDVEEGFGKGVTTEEAIGILVKSYRSSQIRTQVKKKVEIISKSDSGAIGKKRIHGAFTTQCLVLIRRSSLQLFRDVGNYWLRLVVFVMIAISIGSIFYGIGSSSGSASIQGRGSLLTFLVSVLTFMTLVGGFSPLLEEMKVFERERLNGHYGVTAFLIGNIFSSLPYIIMISVIPGGIAYQLCKMHKGLEHFLYFISLLIAIVMWVESLMLVVGSISPNYVIGMFITGGIEGLMILTAGFYRLPNELPKLLWKYPLYHISFLKYAFQGSFKNEFEGLKVDVGTNIVSGREILNDKWHVEIGHSKWVDLAIMFGMIVLYRVLFLVITKSKEKLKPSGP >RHN41851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33584438:33592152:1 gene:gene48214 transcript:rna48214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WRB/Get1 family protein MMGDEEHERSFAAPLIFLIVLAFQFASYWIDQFKQSGSEKEKETQLRAEIKELLKEASSLSQPSTFAQAAKLRRQAAAKEKELAKCHNLHDEDTALYSKVLFSTKVLTHLTLLIWFWSTPVASISQQLVQPFGRLLSWKTGGLQNNNIMVGIIPWLAISSRVSKFICKLTYGK >RHN42417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38176449:38181817:-1 gene:gene48855 transcript:rna48855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl-P-Glc:Glc(1)Man(9)GlcNAc(2)-PP-dolichol alpha-1,3-glucosyltransferase MGKEKLSFKELDDSSSPKTTLWWFFLLAACIKVLLFPSYRSTDFEVHRNWLALTHSLPLSQWYFDETSPWTLDYPPFFAYFERFLSIFAHLVDPKIVHLQEGLNYSSNTVVYFQRFTVILSDLCLLYGVYRITRKLDSRKQKLIWSLVIWSPMLLIVDHVHFQYNGFLIGILLISLSYLEEGRDLLGGFVFAVLLCFKHLFAVAAPVYFIYLLRHYCWGGIVKGFSRLLIMGGLVAVVFASAFGPFFHLGQIQQVIQRLFPFGRGLCHAYWAPNFWVFYIMSDKGLAFIPRKLGFNIQTPAASFTAGLVGDSSPFSVLPRITPSVTFIMVLLALSPCLFKAWKNPQPQKITRWISYAYTCGFLFGWHVHEKASLHFVIPLAFVAAQTPEDAKHYFLLSIVSCYSLFPLLFEAQEYPIKILLLLLHSILMWSGFSAQFYDSAETGVSTAHTKKKAGQFESKGSLSADVRNNGFVIGLIEKIYLVGLVVVEIWGQVLHPLLLGDKLAFLPLMLISVYCALGIMYSWIWQLRSIVKSH >RHN40320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15299550:15307245:1 gene:gene46463 transcript:rna46463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MGSRIRVGVEDEKGAERGMPSFNSDDACYTDGNTIDNFHVSDFGAFGQPYRLEDAVALSGNSNSVFNSLKVSGQTISPAPVHTSSLDKLPTSRDKSPLTNQTEPHRLQLTKLQSSNPGSGAILSVHTENQEEFAMADASPRTDISTDGDTDDKNQRFDRNQSLAVAGSDSSDRSKDKSDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGVFISSSGEQTHSLSGNGAMQFDAEYARWLEEQNRQINELRAAVNSHASDTELRMIVDGIVAHYDEIFRLKGVAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLMGITNLQQSSQQAEDALSQGMEALQQSLAETLSTGAPSSSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRD >RHN54422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9419826:9421887:-1 gene:gene29460 transcript:rna29460 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP83E45 MLSLILLVLCLIFPLLMFFHKRRRTMNAPFPPGPRGLPIIGNLHQLDNSTLYLQLSKLSKIYGPIFSLQLGLRPAIVVSSAKIAKEIFKDNDHVFCNRPILYGQQKLSYNGSEIAFSQYSDPWRDLRKICNIHIFSVKRVSSYSSIRKFEVKEMIKKISNNVTSSVVTNLSELLISLSSKIICRVAFGRRYEDEGLESSKFHGMLHEFEAMLTAFFVSDYIPFMGWIDKLRGLHGRLDRNFKEFDEFYQEIIDEHLDPNEQQFTSEKVIVDVLLQLKEKRSFSFDITFDHIKGILMNMLVAATDTTSATLVWAMTVLIKNPAVMKKVQQEIRSSRVKKDFLDEDDIQNFSYLKAVIKETLRLFLPNPLLLPRESMEMCTIGGYQIPAKTIVYVNAWAIHRDSNVWKDPEEFYPERFLESSINFLGQDFEFIPFGSGRRICPGISMAVASLELILANILYSFDWELPHGLVKEDVDFERLPGIAQHKKNHLCLFAKVPT >RHN44811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8705337:8709248:1 gene:gene38976 transcript:rna38976 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Wox9 MTSSNKHWPSMFKKSTNASNQRNSQGLNSSLLTGGDGEERTPEPKPRWNPKPQQIRILEAIFNSGMVNPPREEITKIREQLQEFGQVGDANVFYWFQNRKSRSKQKKRFIHNKKRETQQNSGHQTLTSPPNSSSSSSSGHQKASPDEIVISNNIGFSNANDGMVVFSNSPAVSVNQNQVDAYFQTPTGTDLQLPTPPFFSFPVQNHINEVVPNAMTPPHRFNHLSGFMNYGSENGNSSMVQPLPQQNVDVPLVNHEITMNYGSKSGIASMNHRYDQEKIQEEAMNMIHMYQQDPQLNFGVATTSSNDDESVDLAPLPPTTIGAPDAVPFPIIDHRHQGFGVEDEAEKCMVITKDAAFKVDAGPFNVRASFGDRAVLFDSSGTPVLTDEWGVTLDSLHHGAEYYLIYQ >RHN51076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13679804:13686672:-1 gene:gene35450 transcript:rna35450 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFAMKRKKLQSYCKKHGIPANLKNIEMAKQLSLIYQGKENQNPAPPKLQADGGADKDKLNVEVIDLDSDSGADDVQMEALDTVAGNDSKDLRDEHKLEEEPDQLSEVKSDHNVDSGSAVGNADACEVNSASLQKTSHGIEESKLNSPSEGDDIGSVNVQESMQVSVKKEKNEDNQELHFASSSEDANMVDVEHEMLREQIDVDEDTSETENVGDEAEKLDEEPDQILTTPLSEVKSDHKVDSGTVSGNADAHEVRSVSSQKMSQGLEESKLKSPPEGDDIESLYVEETLQISDNKEKNKDNQDVHHATPSEDENMVEGEAEIVSDKSLYSVNSLDVSSKESSGRSVEQVQNLDDNNGAMDEPAAMCLDASTCVENTDENTNVAVQDTLDEVHSSSFEDSDGAPVQVLPIDPNEVETSNLDVHELNVTDTATKNAATSGVNFESSQKMSITTTSEKLIGSSTKLLSNSPTPRDIAICDVEENLQIGVNQEQDDHDQEKTMDFSPTMLSSPGTSASDSEESLQIHANKENIDPGEGWNPDPSAVDMNDDEDLTPSVSEELGNDLIEGQVANGDDEIDHQDICGSGNGCDEDVNNCGINSPHVSPKESSGCLVEQLHKLDDNNCVLSEDATVCLNASTGAKNIDEDTNLTVEEILDAVHSSSFENSSVQFLSIDPLREVETNDLAVHKMAATDTSGVKVVSEAPADDLMEEEVADVDDKFDLVEDVFETGNGTKEAINQGSIDSPHGSNVNNADLLHVSPVESSSPLVGGQVQKSDDNVMHNGDYDLGNCGFGIDILYSHEKSIAAEDTDAKTNVSMENLPYESHAPSVKLSEEFGKKLAEVEFDLLEDDCETACKDEALNSSSIKTPQGSIVNDFNLLHDSLGDFSSYFDDEVQKPDDKIMHCGSGKSESGVDAFCFPDESIVAKNIDGKNNESMQKISYEGNSSSFQYSDGTQDLSMTTGELHSSSGLNTYPMAALDVCEEEMLRSSEKACMVADPKECIGFSANDFEASTNKGFEAETCFDPNTLGDVVMETCNMNESMQIDTMEKVNSQGEGMNFFENSNVHDGVGAEDEPSETASRKRKRGDANSDEDVKDELVSTVPQTNEMELSNFRLQQLFPSDTASGDEDAYQKKLVSPSKATPMIHKENIKFDKEDKVGSVMSRNKFAKRQPLQDLKQN >RHN41769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32755691:32760107:-1 gene:gene48127 transcript:rna48127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyamine-transporting ATPase MAVLLQTHYTLSLPSPRYPYLFSIRNPNSNVYNFLIPTTPLKSQLQFSNHRNPTHRSPASPVSASRREPSETTSLKLPRALVRVTVSIALFLCCGIRACSASLPPLTNVVQQEQTIQDDNDARKHDANENIDVDKELEASFNAWKSKTYALTVPLKVVALRGSIPPSWIKDFINSQGNRMKFKVKYYATLESIFSDLSIPFTKGDHVPTTALAADIVGIGDSWLKFAVEKAIIEPIKDVEGQEWFKNLAEKWKVYLRRNCEGEVDPEGDIWAAPYRWGCMVIAYKTNSFQKHKLAPIEDWADLWRPDLAGRISMVDSPREVVGAVLKYMGASYNANDINLEVNGGRDAVKHNLALLAKQVRLFDSENYLKAFGVGDVWVAVGWSSDIIPVAKRMSNVAVIVPKSGASLWADLWAIPAASKIQTSRIGGRVRGPSPLIHQWIEFCLQSARALPFKQEVIPGASPPLLQGHSTNVALELTKGRPRLVTNLIDGAPPPDILERCEFLEPLSNSAVSDYHWLLASIQEPGNGLIHKMRQYISSLVTYSGRSNSKLT >RHN65424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2111947:2118912:1 gene:gene13261 transcript:rna13261 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRQRSPRGFYTSEYRHRGGGGGDGFGRGYNNNRRAPAPAFVAAGNVGVVGSDIFVEAGRLAAEYLVSQGLLPPSVLSLKLYNNQNGSFKKHLGVVGGVGIGVGGVGVGVGGVGEIGVDGGRTSALARLGNNALGPVVDEGLSGRRNIGFEEFGQKGGGRRRGSFNSNGFDWGNREYRRNGSWSDRFGGGSSDIKDDGYDDDVDGGIGSGSGVRLQDEEEHRQQQQQEEVEVVVGGGSGGGGDNVTQKSDMNEFVPTNEDGNDLEGANKNQGSDGELLELKQSSSGEVKDACNMGVEFVGISNNLETMSGGEVKEAVKDGTGSGDDSDKPSISKNSSAESSDQENHSSGMVFTDLLSLCNSVKVPTKTRSSLTNKNSRALPHANNGEGDAHDIEDLQGPEVLAENVSIQGSSSGDMLSDKAYDIVHIDSDTAEVEPVEPVHSDEDMKELDTACETEEDQSVGPQSGQDTAYMDDKGQESTAELPEFGGCSSLSEERGEKRVLEEGGDVKEGTKRLREWVPVPSPIPKNESYFLHNTPIEVKDSPEEQEISISHVNKVSIASDQGNLMSSSQFTDEGDRPFFQCSEEKPLLPSSFRTCDLNLIEASEVHDNHVDHPVLIYSTPVPEIKEAVPADIELSKNHTSVSGKFSTHTSNGKEIEVIDLDSDSIQEEKTIDSIDRKTDTMFTGLEGFSSHAPNDVQDGDRDRLMISELLDTDFTDCFSVPDDINTVHDEIGLHNGTGTLAEDDSIYMSLGELSMLFAPVGAATITGLSEVLIHSHSNRLRRHGSLKSMQETLCSGMHHSRTG >RHN49811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1337433:1338304:1 gene:gene34032 transcript:rna34032 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQQQNEETSSTMDSFLCPSFSVYSSNNINDVAQQVTNENDNSHSQNDDFEFVAFRSHRRNAADVSPIFNRDERRNSDAMDISISLKNLLIGDEKPKLNGGGRRNSDAAEILYSLKKLFIGNEKEKWTSSEVEDDLDSIPAESYCFWTPKSSSLIASPKTSPMNSTIKCKKSNSTGSSSNTSSSRWKFLSLLRRSKSDGKESLNMVTPAKKENLKLNSGGNGNVVGKKIPATEKKTPATVSAMEVFYGRKKETRVKSYLPYKKELIGFSVGFNANVGRGFPLHV >RHN65524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2876792:2882724:-1 gene:gene13371 transcript:rna13371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKPVCPFVKIPRPDDSNASKKSNENSNKHHVEHDSKVKKEVKDSASVSPKCPLGYDSQTFKIGPLSCMVCQALLFDTSKCVPCSHVFCKACILRFKDCPLCGADIEKIEPDADLQGVVDRFIEGHARIKRSVNLDNGEEAAENNKPVIYEDVSLERGSFLVQQAMRAFRAQNLESAKSRLNLCAEDVRSQIEKVGNTSELCSQLGAVLGMLGDCCRAMGDSSSAVTYFEESVEFLSKLPKDDLEITHTLSVSLNKIGDLKYYDGDLQAARSYYFQSLNVRRDVVKNNSNVSSQVLDVAVSLAKVADVDKSLGDEKSASDGFQEAIDLLESLTLKSEASGLEQRRLSVLDFLRSQKANKQEQVEQTV >RHN43718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47950035:47950594:-1 gene:gene50336 transcript:rna50336 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aluminum-activated malate transporter MAPKLAKSGSFLYTLAEKKEKLLSMKGGDHGYSPIGIGLEEEQEESNMVKFKKMMKLIAEKAWEMGRSDPRKIIFAAKMGLALTIISLLIFLKEPFKNDISRHSVWAILTVVVVFEFSIGT >RHN57623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40621208:40626440:-1 gene:gene33199 transcript:rna33199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TRAM/LAG1/CLN8 domain-containing protein MLASKEIMKFKSYQNQANLFVKEYLLADPLIPYTSIIGGIFACKMVYDLTQLFSTIHFKSYSSLTRIQRIEWNNRAMSTIHSIFITTMSLYLVFCSNLYSDSESAELLTERSSALSTFALGVSVGYFMADLGMIFWFFPALGGYEYVIHHLFSLVAVAYSMLSGEGQLYTYMVLISETTTPGINLRWYLDVAGMKRSKAYLINGVVIFIAWLVARILLFVYMFYHAYLHFDQVQQMHPFGQILVVVVPVVLSVMNLIWFSKIIKGLMKTLAKRQ >RHN63117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48584348:48589097:1 gene:gene25776 transcript:rna25776 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIVSEHESEKTVEIVLKTIGPAPPSRLRVPSSIKVRDLRRLIASNGHLPIDNLSLILRGTALCDLKNGDDVRMQLNDGDSLIVAVKPKPPVKDGHDNDDDDEDLKFQLPQSSSRWKKKLYSFLHDKLKLPDIILMVIFTLSLKAWVLIIMWFILAPVAHRWDLGPLYILATGFCLILFNLGKRKAGDSSAYSIFNEDFRELPGTLNADRFDRDIRAGQF >RHN40234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14484321:14485126:1 gene:gene46357 transcript:rna46357 gene_biotype:protein_coding transcript_biotype:protein_coding MYRWSRKPYQEIFTNGFQAPDQGNADNNIYHDLDGFVHSVGVPVDPNRAVPRAFISTTINNAWRPNPSTDVLPIGSQIQLYRYEIFAPGGIWSAVTLKGRYQNPNLAEITFVAGIAPQYICSVQMYTATRPRGDGLVSDNDKRNKIDNEQSFPSTHGSSFHNLYPSNLLQG >RHN53416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1553658:1557137:1 gene:gene28319 transcript:rna28319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, ARF/SAR type, P-loop containing nucleoside triphosphate hydrolase MGQVFRKLFDTFFGNSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERISQAKQEFQAIINDPFMLNSVILVFANKQDLRGAMTPMEICEGLGLFDLKNRKWHIQGTCALKGDGLYEGLDWLSSTLKEIKATGSGASSF >RHN77751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9177737:9178348:1 gene:gene1238 transcript:rna1238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MWWVRVILLFLFLFMFLLQQGSSSSLSIEQEHSCPPSSCGKISNITYPFRLKNDPIHCGNRSYELSCENNVTTINLYSAKYNVKSINYNNFTIRLVDPGIQQDNCSSFPRNYLSTSDFCDSIRQCTMKIAAIHSQL >RHN51874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27439389:27440793:1 gene:gene36429 transcript:rna36429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MFTTNNSSYSQSIPSTASSSYDMSTPNSEVRLAASNPKKRAGRKIFKETRHPMYRGVRKRNLDKWVCEMREPNTKTRIWLGTFPTPEMAARAHDVAAMALRGRYACLNFADSVWRLPIPATSAIKDIQKAAAEAAEAFRPDKTLMINDIDTVVPVVATKELNMFCVEVEEEQEMLNMPELLRNMALMSPTHSFEYHDQYEDIHVQDFQDDEDFKKKSVTTIWAVTAIGVHTPHFTVISRIVIVSM >RHN69864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46945985:46946552:1 gene:gene18391 transcript:rna18391 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYIGPKLTYPYGIFRKRANHILHKSKLLLTFKMVASQNKRILQVIFVLLCFFSIHIQVKARVLKEINNQSSEGVNKAQQHKEVHADMFKLKEGGDNVGSDVFTMDYTPASRKPPIHN >RHN45733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25107384:25109855:-1 gene:gene40123 transcript:rna40123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MSEFTLNNHQSFFFFFSQRNNHHQSVYSTTDTCKHSKLFLLNTGNILLLDAGQHNTWSSNTASNASLELYLKEDGNLVLRELQGTTILWQSYDFPTNTLLPNQPLTRYIKLVSSKSQSNHSSGFYKFFFDDNNVIRLNYDGPDVSSTYWPPALLLSWQAGRSNYNSSRIALLDSLGKFISSDNYIFSTYDYGMVMQRKLTMDSDGNVRVYSRKNLSANWHVSWQVIPDTCIIHGVCGENSTCSYDPKKGKKCSCLPGYKVKNHNDFSSGCEPMFDFTCNRSESTFLKLNGFELYGYDSNFVQNSTYKNCESLCLQDCNCTAFQYSYEEGQNIFKCYTKLQLLNGRHSPSFVGTTYLRFPKGNNFSKEEYMSVADRVCSVQLHKDYVIKPTSHLVRFFLWLSITIGGLESFFFVAVCGFLIKTKKNSSGDQHNYHHVLLGFRRYSYSELKIATKNFSNEIGRGGGGIVYRGTLPDQRHAAIKRLNEAKQGEGEFLAEVSIIGRLNHMNLIDMWGYCAEGKHRLLVYEYMENGSLAENLSSKTNTLDWSKRYDIALGTAKVLAYLHEECLEWILHCDIKPQNILLDSNFHPKLADFGLSKLKTRNSLNNNSEFSMIRGTRGYMAPEWIFNLPITSKVDVYGYGVVLLEMITGKSPTMMNIEDVDGEMAYNGRLITWVREKKRSTCWVEEIMDPAMGTNCDLNKMEVLAKVALDCVEEDRDIRPNMSQVVEKLQSNERVFE >RHN60228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23905690:23906574:1 gene:gene22492 transcript:rna22492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MTYPRKIHLISILLFVFIFPHIEAHNGGFTGKLIPRNSSKDFFNRNTIQSPVSANHYDYLMELSIGTPPVKIYAQADTGSDLIWLQCIPCTNCYKQLNPMFDSQSSSTFSNIACGSESCSKLYSTSCSPDQINCKYNYSYVDGSETQGVLAQETLTLTSTTGEPVAFKGVIFGCGHNNNGAFNDKEMGIIGLGRGPLSLVSQIGSSLGGNMFSQCLVPFNTNPSISSPMSFGKGSEVLGNGVVSTPLVSKTTYQSFYFVTLLGISVEDINLPFNAGSSLEPAAKGNVIPQIWPV >RHN53244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:341598:343029:-1 gene:gene28134 transcript:rna28134 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYNQQQPPVGVPPPQGYPPSDAKEGHPPQGYPPQGYPPQGYPPQGYPPPGYPPPGYPPQQGYPPPPYPAQGYPPQYAPPQYAQPPPPQHVHNHNNSSGPGCLEGCCAALCCCCLLDACF >RHN70456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51559451:51560735:1 gene:gene19036 transcript:rna19036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MTEPPSKPNGNGAINGVAATNGNGNPAPVKSQLYNPNRQVYRPQQYNRRRRSNRSLCCCCCFWTILTVLAAAFLVAIVGAVFYVLYHPHQPQFSITNLRIAKMNLKTPADSPSHLTTLFNLTLIAKNPNNHLVFFYEPFTVTAFSDSVQIGNGSLPAFDSGKNNQTSLRSVLSNSQDLDTESLASLRSGLKKKRGFPVTIQMDTKVKMKMEWLKSKKVGIRVTCEGIRGTVPAGKSPSVASVIDSECKVDLRIKIWKFSF >RHN43455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46006148:46007073:-1 gene:gene50035 transcript:rna50035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S8 propeptide/proteinase inhibitor I9 MGSTKSELYFVFLIYDQEYERLRTNRTKSGANKLDLYLSRKHDELLASTLEPGSYKKISSFAIVDGFTVEITEDQVNVLRSAKRVRIVEKEEKFVL >RHN56468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31063694:31064047:-1 gene:gene31875 transcript:rna31875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dimethylallylcistransferase MFSLRLPIALKNTLITNPAPSSHHHYSRYQSHRFPSQPMVVTKRGSGTTAAAPIPNTALEYEAEGRSSSPDEDLLLMPAELKEELMPKHVALIMDGNRRWAKMRGLPPVEGYVALVL >RHN55147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15538359:15540419:-1 gene:gene30282 transcript:rna30282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MPTLVQFLILVLLLFNFVSVLSQVNQFLYAGFKDVGPNNLTLNGFAEIEKNGMIRLTNHTNNEMGHAFYSLPFQLRNSTTRKAYSFSSSFALAVVPEYPNIGGHGMAFTIATTKDLEGSPLQYLGLFNSSNVGNFSNHLFAVEFDTVLDFGFDDINDNHVGIDINGLKSKAYVTAGYYIDNDSTKQQHLDIKSGKPILAWVDYDSSINLVSVTLSPTSTKPKKPTLSFHTDLSPIFHDTMYVGFSASTGLQLASSHYILGWSFKINGPAPPLDLSSLPQPPRPKKKKTSMIISVSVTVFFVVLCSIAIGIYIFRKIKNADVIEPWELEVGPHRYSYQELNKATKGFKEKELLGQGGFGRVYKGTLPDSNIQVAVKRFSHESKQGLREFVSEIASIGRLRHRNLVQLLGWCRCKGDLLLVYDFMANGSLDKYLFENTEIVLSWEQRFKIIKGVASGLLYLHEGYEQVVIHRDVKASNVLLDFELNGRLGDFGLARLYEHGANPGTTRVVGTLGYLAPELPRTGRASTSSDVFAFGALLLEVACGRRPIEPKALQEELVLVDWVWEKYKEGRILEVVDRKLNRNFDESEVVLVLKLGLLCSNDVPFVRPSMRQVMRILDGEVELPDDLSNPGVIDPQQGFDEFLHSAYSSFDIMDSSSYLENRYTDGTCYISFESSPQSLLNSREEKR >RHN57449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39224051:39226040:1 gene:gene32994 transcript:rna32994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geranylgeranyl diphosphate reductase MALKIQTFSPSFSVPTSKPKQHFHSITISASNSTRPSIAGRKLRAAVIGGGPAGSSAAEALASGGVETFLFERNPPSTPKPCGGAIPLCMLEEFDIPHHLIDRHVTQMRIFSPSNIAVDFGKTLKPNEFIAMLRREVLDSFLRSRAVSAGAEHISGLVTSLDVPTSPNSPYTINYTNKTSSKNSLAVDVVIGADGANSRVAKSISAGDYTCAIAFQERIKLSDEKMKYYENLAEMYIGDDVSPDFYAWVFPKCDHVAVGTGTVRSKHDIKLYQRAIRERAMSKIDGGKVIKVEAHPIPEHPRPIRVRGRVALVGDAAGYVTKCSGEGIYFAAMSGRMCGNGVVRASEGGEKMINECDLMREYLKEWDAKYVNTFRFLDLLQRVFYGSNASREALVELCGDEYVQRMTFDSYLYKKLAHGRVCDDVKLFMNTIGSLIRCNDVGTRMKGLIL >RHN75996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46863013:46863454:-1 gene:gene12265 transcript:rna12265 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRSDLFPFLCSLIRNYLISLFFFFFILSSIEIACLVLFLCSDYAVERFM >RHN62519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44032041:44032361:-1 gene:gene25107 transcript:rna25107 gene_biotype:protein_coding transcript_biotype:protein_coding MHASSTFSNDQILGRRNANVHDTFCCANPTNYSFSLICGNRSLQHQNPLRSLPPPGSEITVTTSTMMVDNSSYDVGETDDSYMVAATTTTTMTVMMKITSATATSW >RHN64389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58696737:58697200:1 gene:gene27205 transcript:rna27205 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGFPAKFEDFKIRSQMNVNFRKWLDGLARSYGASSSVNLVDEVSKSIQQLTI >RHN54116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7020669:7021497:1 gene:gene29104 transcript:rna29104 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLHYFPLFFSLATLSLLLVSSTAARPAATTPEPTAPPPLTPAAKEFLESHNKARAEVGVEPLQWSEKLAKDTSLLVRYQRNKMACDFANLTASKYGGNQLWAGSAAAVTPSKAVEEWVKEKEFYIHVNNTCVVNHECGVYTQVVWKKSAQLGCSQATCTGKKEASLTICFYDPPGNVIGESPF >RHN41035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26266602:26267407:1 gene:gene47308 transcript:rna47308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L34Ae MLKRVDELNKDLELVYVGQICLSWEMLCWQHEKIKELKQYDLPWLRSYNQVAAEFLHFQALIQRFLEEDPIQQGHRIQNYVKNRSLVRNLLQVPPLIDDSTKEKKEIAWGDEEDAISIERLEQIIKESMQVFLEFVGDKDDGSVFHRVSHHKGNELKEEDILELLGDI >RHN68801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38699467:38703523:-1 gene:gene17203 transcript:rna17203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde dehydrogenase (NAD(+)) MAARRFFSLVSRSQSFPRPTLGFVSLLHTLGRDTQRSRNISKLSTAAEVDELITPSVEIRYTKNLINGKFMDAASGKTFPAYDPRTGEVIAHVAEGDQEDINRAVSAARKAFDEGPWPKMTPYERSRILLRVADLVEKHNDELAALETWNNGKLYEQAVNIEVPMFVRLLRYYAGWADKIHGLTVPADGNYHVQVLHEPIGVAGQIIPWNFPILLFAWKVGPALACGNTVVVKTAEQTPLTGLFAAQLFQEAGLPPGVLNVVSGFGPTAGSALASHMDVDKIAFTGSTDTGKIIYQLAAKSNLKPVTLELGGKSPFIVCEDADVDKAVELAHFALFFNQGQCCCAGSRTYVHERIYDEFLEKAKAAALRRVVGDPFKKGVEQGPQVDSQQFEKILKYIKSGVESNATLECGGDRIGTKGFFVQPTVFSNVQDDMSIAKDEIFGPVQTILKFKDTDEVIRRANNTRYGLAAGVFTNNLDTANTLMRGLKAGTVWINCFDVFDAAIPFGGYKMSGVGREKGIYSLHNYLQVKAVVTPLKNPAWL >RHN48323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46630620:46632808:1 gene:gene43027 transcript:rna43027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLLRSSSTPVLGNLISSFTDNTPTHIQSLHLETCHALKPLPPPTTSIQHHHHHNNHRLSCSSSPISPSISDLERQNKGFRRVQSEGNLEDLAYATTFNNNEERLSYMDSSSKRYSARQQRGFALETIPSFSLSKRTGLREEEEDVEESDIEDEEGYDEFSVMNRMMQSEEVDRVCRVSFDEEGEFGDNEMYLAKGLGVDFCGGDGIGGGCRGGGNGGGDYNSMDSERNDGDNNNHGVEQYYKKMVQQNPGNPLFLRNYAQFLYQCKQDLEGAEEYYSRAILADPNDGEVLSQYGKLVWELHHDEERASSYFERAVQASPEDSHVQAAYASFLWDTEEENDAGYNDSQCLPQHFHLGAMATTGA >RHN46636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33550695:33558226:1 gene:gene41153 transcript:rna41153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubby-like domain-containing protein MAYPYQPQPSASAPITAYPYQPQPMAYPCQPQPSASAPPMPVLPTTIICPQYCDPYPVNLAVVKKSYIYQMSGSAPVTIADNLTITDVNDNIVFTVFTLGDHRLLVDAARNPIITLRRKILTMHDRWEAYRGQSTNAKNMIFTVKRSSLIQFKTKLDVFLAGNTKEDVCDFKVKGSWSERSCIVYAGESNNIVAQMHKKNTVTNILIDKDHFMVKVCPNVDYAFIVALIVILGEIKDGTKGSN >RHN45298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14942581:14943294:1 gene:gene39550 transcript:rna39550 gene_biotype:protein_coding transcript_biotype:protein_coding METLPNDLVMVITKKVAAFRTHDLMSFGATFKLHHQLANKNEAFRALNKDCRWLIVDLASFPACRFARKLSSSGHPSYSVAIFAFMFHQVHPDLRIIKQVLVKAIKHGSDGTTYFHLMLEVLAADNPSNDHILCGFQDLFVRRQLANYRSTILNAAGPHFPWDSLSFRPILPGLRYQFSCPPYALCKGIRRIRNVTLPFHGSDEDYPTTNFCLSCHIDPELAWFLRHFRFMDLGFLC >RHN38478.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000010.1:144822:145052:1 gene:gene50673 transcript:rna50673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase MVMQKVGQNILPTRENFLVARLRSSCMHCREVIVSGKRWFCTECEKF >RHN46334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30867268:30867621:-1 gene:gene40814 transcript:rna40814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase archaeal subunit P/eukaryotic subunit RPABC4 MFSEGLLLKPDMDPPPQPIRYLCGDCGAETPLYTDGVLQCCVCFYKILYKKRTHRVLQYEAR >RHN74932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37949586:37950563:1 gene:gene11067 transcript:rna11067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Seed maturation protein MSQEKPRRPEQEPIKYGDIFNVSGDLSSQPIAPRDAATMQSAEDRTLDHARKDGPASLMTSAAQKNEDAGFIDNNTATNIARNEGVAVSEINNGGKRVITETLGGQVLGKYEEDADGAKGTKDANSKHAGLRAV >RHN54499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10095634:10103907:-1 gene:gene29553 transcript:rna29553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling ARID family MSDTNENDEVDQGVPANVDVEEADHVISENENSNQASVSPKLGDDDEMIIDNLAGLDSYLDQEMHLEVQPVTVEETSKNVENVSNDVKIGKEEVHAEDENVKPKVVSEGVSQENVKDTLHSVPVEAADAHILDTAEGEDASDVKVDAKKLPLCSNGVENDDIACQNNVSDGDVEMIAVEVEVKPEVCEAAVSKACDLEPILLSNNNPTTPQPASSDANEPILSNHNDPTTPQPASSDANEPILSNHNDPTTPQPAPDDTRPEIKNVLEVKSKDGEEQVAEPVDNENPDSPQNMFFLDADHYYDGNESGTEEDQAAFMKELENFFRERSMDFKHPKFYGEWLNCLKLWRAVMRLGGYEKVTSCKLWRSVGESFKPPKTCTTVSWTFRGFYEKALLDYERHNIKGGELNVPIASPPEPVNVENQGLASGRSRRYAAEQAMRGWHSQRLLGNGEVGDPIIKDRNAVSVQKREKQLKSINVPKRKSPSYMDNAVKAARSKPSRPQLGTAVVDIGPSADWVKVNVQKTKDCFEIYALVPGLLREEVRVQSDPAGRLVISGEPEHPNNPWGVTPFKKVVSLPSRIDPHQTSAVVTLHGQLFVRVPFEQSE >RHN62680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45148265:45149816:-1 gene:gene25279 transcript:rna25279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGLHHRKLLSESECERICDEDCTTATSSNCVNCLKFCNSYNPPPTPSDHTKHKTTTYLIISFSIVAAIFLVLCCYAFYVKFFSRRNRSRRRALLTRQQTEQGFVVGEEHDDGSVVDHPIWYIRTPGLQQSIINAITVVKYKKDEGLIDGSDCSVCLSEFEEDENLRLLPKCNHAFHLPCIDTWLRSHINCPMCRAPIVVDPLRIPSMEPNVFVESSQIEVFENSDGNGDSVVSQLRNGEEEPGEEVEENGRRVCEDGSSGLEMVNMQPRRSVSLDSSAAAKINLALSKFLSVESQRNCNREQVGEVNEQIVSKKVGENGNMVNMHSAPCSMNRSRSFNGKHLLSLYSSSQKKKNAPPRSF >RHN41516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30715197:30718074:-1 gene:gene47849 transcript:rna47849 gene_biotype:protein_coding transcript_biotype:protein_coding MYTDEFYLGNCAFHSCARQIILFTLVIRLIYLYYLVLDCNILYKILENYTGYYSL >RHN65647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4080985:4083295:-1 gene:gene13513 transcript:rna13513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MLFVWFVHAWFLAHFPGFYSVDPNTDYMENYPVAARWKLQKGHEEGIMYRSLLDRIQLDDVCWRPYEEHREIQDFEDVFWYSGWIMCGVRRVYRHLPERVLRQYGYMQTIPRHPTDVVELPLPYIVQAFVDFRTHTLKAAIGVSRQESRHGGWLMAICYGTLGCLTLILCHLSLEIFRGLQMKSRSL >RHN43566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46920468:46920698:1 gene:gene50168 transcript:rna50168 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLSFTVPKTSTTTSISGLILYIVHPPTRSDDVHKTTNLSTTTVMIDREKDNMEFKFVAAKDYDGWLGVGHDKER >RHN68312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34492461:34493712:1 gene:gene16648 transcript:rna16648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyribonucleotide nucleotidyltransferase, RNA-binding domain, S1 MVSLNFLTRYFKMCTKVVNNRVISVAPLSNCVEVTLKTSTFSSSSKSVISDLGKFHVGDVISGSIKSVEPSGLFIAIDNTKVVGLCHVSEISDKHIDNIEAKFGAGEKVNAIVLKVDEERHGISLGMKDSYMRGKTVLQIPSDEGSDEPIADGMKSISSTSRPSNMDIDSETDQFPILSQAQIRRLKFMFQL >RHN74977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38390259:38390683:-1 gene:gene11121 transcript:rna11121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLKFSDRPGKNGPPSTWAQRIKIVVDVARGLNYLHFDRAVPQGNLKATNVLLDTAGMNACVILDACVLGYHVPELTASKKTMPSFKSDVFVFGVMLFELLTGRCACDVITSEEGGVDLADWLRL >RHN53120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42126449:42130173:-1 gene:gene37888 transcript:rna37888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A(2) MTIVLANSRKNKKQTNSLCLLLLKDRKVIPTFYESNAIQPQSLASYYPIKLQQSKGCFGNFITYQLYKKKRRSNHDSFPQIKTMTSHSCIISRATAAFALLSLLFSAAFHDTLADSSSANCSKKCIAELCDTMGIKYGKYCGVGYSGCPGEKPCDDIDACCMAHDDCVGKFGMTHVKCHKKFKKCLIRAQKAGKVGFSKECPVSTTVPTMIRGMDLAIMLSDLGDNFHEL >RHN71897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3434132:3440292:-1 gene:gene7545 transcript:rna7545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative catechol oxidase MASISPISFISTINFSHSSSMYPFSQKQQKSSKHRILPRRQVITLSGNNGNQNNPKEEEQLQNIVVGNRRNVLVGLGGLCGTFTANPFALASPISAPDLSTCGPPDLPSGATPSGLNCCPPNSTKIIDYKFPSSTQPLRVRQAAHLVNDEYLQKYKKAIELMKALPSNDPRNFIQQANIHCAYCDGAYSQVGFPNLDLQVHSSWLFFPFHRWYLYFYERILGSLINDPTFALPFWNYDAPNGMQFPSIYTDRTSPLYDELRNANHQPPTLIDFNYVPGDDSDEVDENERISTNLTIMYRQVVSGGKTSTLFLGNPYRAGDAPDPGAGSVEIVPHNIVHLWSGDDTQPNFEDMGDFYSAARDPIFFSHHSNIDRFWSIWKTLGGKRKDFNDKDWLESEFLFYDENKNLVKVNVKDCLDTKKLGYVYQDVDIPWLNAKPTPCKKIQKKVEVAQGNSFGTGKARLSEINENLTNSRNDIKFPLVLDDMVSTTVKRPKKSRSKKEKEEEEEVLVIEGIVFDTKACVKFDVFINDKDKVVKPFNTEFAGSFVNVPHSLHEQTMKNINSSCRFGLTDLLEDLGVDDDDSVVVTLVPRYGKGLVKIRNIMIELEGSIATHVHIKCKKYLHYFIIKELRNCLKSSRSKKNIR >RHN54611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10758514:10759110:1 gene:gene29679 transcript:rna29679 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPSVVKRNMITRSISYQDSHTQEIEPLSLVDSMNEKTFRELIRRTSMITLLLVWHEEEGRKENDDAGREGEKLNWGYLLLCCCHEEGKKYLKKIDEDLRKKKKREDDGK >RHN78958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20271790:20275885:1 gene:gene2627 transcript:rna2627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small acidic protein MDSKSQSPPPHDHNNNNDSADADAAAAPAFRKPTGDALANRNYRRPPHGSPKREHSSREEDSVRDSHHHSRKYDAREQDTKYARTSDSLRHSDRHSSRTSYGHSRHDKYADEYRKHERLSSRSARDSRGDQMREESDSRSKDYGRSMDKYSREKYERSDFRSKDRDREKRATYEEVEKEKRTRDGDARDEKKDSQRSSGEHKSDRALYYSETRSQKEDIEISKNKDRNSKKVGEVFGIEDKESSGKKQKLFGADKDANSGKDDERKTSGSKLSHETKPGLGAVRTSGFDSGNNVDAAKVAAMRAAELVNKNLVGANCLTTDQKKKLLWGSKKSTPTEESGHRWDMAVFDPERQEKFNKLMGVKGEAKVEQNSDNQNDNDLLRAEKQKELQLDLEKQYTAGLRRRDGRTVGLGL >RHN53831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4578798:4580759:-1 gene:gene28783 transcript:rna28783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome-c oxidase MSGAQVDPHDKMRARDLSKVARGEQAPRPPHEFGTVSPPPPPSSTNNTHDIKNNNNKGENARTAENGSESPSNNYQTRHCYVKYVEYQRCIQQKGEKANECEKIGTHLRSMCPTKWISEWDQEREEGRFPEKI >RHN79141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24247768:24248145:1 gene:gene2866 transcript:rna2866 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVPETTMKEAPAYEIRGRTMSLEEWELNVQTANLVDFISLAFHGCELRRYYEAQDLMAYFNILNGPTYKNLVMHLWVRAQVYDRKAAQLEMDEKVLIYPTLAGKTREEMGLEPFTCTKIS >RHN65853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5841339:5844329:-1 gene:gene13745 transcript:rna13745 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAGGSSSNMDIDTPAYEIKGRTMSIEEWELIIQAENPVDFTSLTHHGCDLVRFYKKQKLMSYFSLLNGPTYEVLVRQFWVRASVFDKVAAKQEEAQMILVDPTLEGKTREEMSLLAFTGTEIRSNVMGIPVTINEQVIAQAMRRDASGTYDGEEIPNPRTSPWKEIVNNTIYGSKDAKPYSTLSMEKKMLLKIQNENIFPKGGGSDQPSLGHKVFLHHTISQETTMNVPKYMFKYMIKELKKSQMENRKYVPYGRLLSIIFQEGGLLSALKDVGIYDNQKLGAVTGKIINGATLVKMRLISTCMKLDTDMHESDVISDLVTHHIPICKKDPLDVQRAYILDYYKSYNKKISLKDIPEEMYGGDLPVAKGRKSKKKQITKEEYLAEDATEVGAQKHKKAKKEKSAMSTILEEVEDLDDVPLISKRTRSTQETAEQPASEQTGSEQAASDQAASEKPSSPKNKREAALQTIKRKRSNLTRNLKTAEGRREEMLKELEENWDEDSSPKKAKRTATSEPIVMPSFEMTEEMRQYAREVSASKIAEKKRMKILYEKERDEHLKAAGYVPTPVIAALASELEQETVQYGATLLSQALKNKQASGTTSSEPVSKAPEAIHPEAQSSGNPSKAPTNTQSPSLPSSPSSSSTESDDQPFSQHIDKLLKTKPTKLTEFGTLDYESTQIEFSKNRIKLCEKFNLPTTHPLYPDTPEPVSIQQPEPTQTNSPNNQSPQKASEVASDATTSETPQHQESSTLHNLEKHLGGEMQPTPTKASKTVSEKTVLETQTETQTIPEQTVQEQTASEQVAPDQTTSDQHIPSDQTIEQQQQPDSPTIIDLTSDQPSTSNTTQTEPSPIPDHILESEYIEEQLIRLSDEIQALILRRTVPVPPIHYYDQWMDLQKSFDELLDQLRTKCVSSHSAMLKKLLDDMHEAAKEKELNFVPLLDITPFYPEEEYITRAARIQAGYKRRMREKDELLQKKDDQIKYLLEQLYKQAQP >RHN70611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52723494:52725271:-1 gene:gene19212 transcript:rna19212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKFIQLFCFLSLLVLFNTKPLQGAEPEAVVDKQGNPLKPGEGYYVFPLWADNGGITLGHTRNKTCPLDVIRNPDAIGTPVYFSASGLDYIPTLTDLTIEIPILGSPCNEPKVWRLLKVGSGFWFVSTGGAAGDLVSKFKIERLAGEHAYEIYSFKFCPSVPGVLCAPVGTFVDTDGTKVMAVGDGIEEPYYVRFQKASTFAQSNNQDLSSV >RHN65767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5183141:5188383:1 gene:gene13655 transcript:rna13655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative orotate phosphoribosyltransferase, Orotidine-5'-phosphate decarboxylase MASSSLVESLVLQLHEISAVKFGNFKLKSGISSPIYIDLRLIISYPSLLQQISQTLISSVSSTSFDLVCGVPYTALPIATCVSLAQNIPMVMRRKEVKDYGTAKAIEGDFKSGQSCLIIEDLVTSGTSVLETAAPLRSVGLKISDAVVLIDREQGGRENLEENGIKLHAIIKLTEMVKILRDHGRLDDEMVGVVTKFLDENRKVAALAKVEKPITKVKSLSFGERANLSKNPTGKKLFEIMAQKESNLCLAADVGTAAELLEIAEKVGPEICLLKTHVDILPDFTPDFGSKLLSIAEKHNFLIFEDRKFADIGNTVTMQYAGGIFHILDWAHIVNAHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNLAKGDYTAAALKIAEEHSDFVIGFISVNPASWPGAPINPSFIQATPGVQMVTGGDGLGQQYNTPYSVVHDRGSDIIIVGRGIIKAANPAEAAHEYRVQGWNAYLAKSA >RHN45346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17253502:17254453:1 gene:gene39626 transcript:rna39626 gene_biotype:protein_coding transcript_biotype:protein_coding MEDINKLIEEDPLFAFEKLLIGQVSISSIRILLQELKSLMESSFDLDHLISNQESKSKLISLFNQLYQHQGLLPSHVKEFIEKVQTLNDYIIKYTTFQQVLKKHNQLLDSKTDLVNKLWSAYSTQTRIDHEISTANARIDDSLYKLMSIQKSWKILRIKEKI >RHN78327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14162116:14163737:-1 gene:gene1869 transcript:rna1869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myosin ATPase MIEALISYWINVQAGQLTYFVGSHVWVEDPDEAWIDGEILESKDDEITISYESGKKVVSKSANIYPKDPEFPTDGVEDMTRLSYMHEPGVLQNLQIRYTINEIYTYTGNILIAVNPFQRLPHLYANETMAKYKGADFGEQSPHPFAIAGYAYRKMINEEKSQAILVSGESGAGKTESTKMLMHYLAYLGGRAATEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPQEVHTQFFLYNG >RHN60268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24409639:24411102:1 gene:gene22548 transcript:rna22548 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSDGQNVQLSTCLFYEQYSRSKAKSKSKSKSVLGPVWIGLF >RHN62230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41876764:41878195:-1 gene:gene24784 transcript:rna24784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MDSSSNEVVLDLSPMIKIYKDGHIERLIGSDIVPPSFDPTTNVESKDILISKDQNISARIFIPKLNNDQFPNQKLPLLVYFHGGGFCVETPFSPPYHNFLNTIVSKANVIAVSVDYRRAPEHPLPIAYEDSWTSLKWVVSHLHGNGSDEWINRYADFGKMFFAGDSAGANIANHMAIRVGTQGLQGINLEGIVLVHTFFWGVERVGSEATEKSEHLSLADNLWRFVCPTSSGSDDPFLNPGKDKNLGRLGCKRVLVCVAENDSLKDRGWYYKELLEKIGYGGVVEVIETKGEGHVFHLFNPNCDNAISLLNQIASFINHSG >RHN53748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3831867:3834571:1 gene:gene28691 transcript:rna28691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MDTPTLLHSLQLLLVFTCMLLNAGIVTLAARLHHHHHHTTFNTLSTTNSSKNHWIGPVGNRVITVDINGGGQFQSVQDAVNSVPDNNTMNVLIQISAGFYKEKVVVPVTKPYITFQGEGREVTVIEWHDRACDPGPNGQQLRTYRTASVTVFANYFSAKNITFKNTAPAPMPGMQGLQAVAFRISGDKAYFSGCGFHGAQDTLCDDAGRHYFKECYIEGSIDFIFGNGRSMYKDCELHSIATRFGSIAAQDRQYLDEKTGFTFVRCKVTGSGPLYVGRAMGQYSRIVYAYTYFDDIVAHGGWDDWDHTNNKNKTVFFGVYKCWGPGAEAVRGVSWARELDFETAHPFIRKSFVNGRHWIAPTDA >RHN78546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16125123:16131047:1 gene:gene2126 transcript:rna2126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MPMEENIEYTQDGTVDLKGKPVLRSKTGGWKACSFLVVYGTFERMAYYGISSNLILYLTKKLHQGTVTSSNNVNNWVGTVFITPIIGAYVADSHLGRYWTFVIASLIYLLGMCLLTLSVSLPSLKPPECHEMDVTKCKEATTLQLVVFYVALYIIAVGTGGTKPNISTIGADQFDDFDPKQKGLKLSFFNWWMSCIVFGSLFAFTVIVYIQDNVSWTLGYALQTTGFAISIITFLAGTPFYRHKLLSGSPINRMVKVIVAAIRKWGVTVPEDPKELYELSLEEYTSKGKFKIDSTPTFRFLNKACVDTGSRTSSEWMICPITQVEETKQILSVIPIWVATFIPSAMIAQINTLFVKQGSTLDRAIGNFDIPPSSLIAVTILTMIITYIIYEHFFVKIMQRLTKNPRGITLLQRMSIGFIIHIVIMIVAYLAERHRLFVAKEHGVIENGGQVPLSIFILAPQFVLMGIAEALLEGAKIEFFYDQAPESMKSLGSSYSLTTTGIGSFLSTFLLSTVSHITKKHSHQGWILNNLNASHLDYYYAFLAILNALNFIFFMIVSKIYVYRAEVSDSLKVLETELKERTTAISVKTDIRR >RHN40264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14677600:14681872:1 gene:gene46389 transcript:rna46389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAVEFVGGALLSAFLQVTFEKLASAEIGDYFRRTKLNHNLLKKLNITLLSIDAVVDDAELKQIRNPNVRAWLDAVKDAVLDAEDLLEEIDFEVSKSKLEAESQSTTNKVWNFFNASSSSFDKEIETKMQEVLDNLEYLSSKKDILDLKKSTSSFDVGSGSQVSQKLPSTSLPVDSIIYGRDVDKEVIYDWLKSDPDNANHQLSIVSIVGMGGMGKTTLAQHLYNDPKMKETFDVKAWVCVSEEFDVFKVTRSILEGITGSTDDSRDLNMVQERLKEKLTGKIFLLVLDDLWNEKRDKWMTLQTPFNYAAHGSKILVTTRSEKVASIMRSNKMLQLDQLEEEHCWKLFAKHACQDEDPQLNHEFKDIAKRIITKCQGLPLALKTIGSLLYTKSSLVEWKIILSSKIWDLPEEENNIIPALMLSYHHLPSHLKRCFAYCALFPKNYVFKKEHLILLWMAENFLQCSRQSMSMEEVGEQYFNDLFSRSFFQQSRRYKMQFIMHDLLNDLAKCVSGDFSFTFEAEESNNLLNTTRHFSFTKNPCKGSKIFETLHNAYKSRTFLPLDMTSYGIPSQYRISSTVMQELFSKFKFFRVLSFSSCSFEKELPDTIGNLKHLRYLDLSGNYSIKKLPDSVCYLYNLQTLKLRHCWGLEELPLNLHKLTNLRYLDFSGTKVRKMPTAMGKLKHLQVLSSFYVDKGSEANIQQLGELNLHETLSILALQNIDNPSDASAANLINKVHLVKLELEWNANSDNSEKERVVLEKLQPSKHLKELSIRSYGGTQFPSWFGDNSLSNVVSLKLSSCKNCVLLPPLGILPSLKELEIEELSGLVVIGSEFYGNGSGSSSVIIPFASLQTLQFKDMGEWEEWDCKIVSGAFPCLQALSIDNCPNLKECLPVNLPSLTKLRIYFCARLTSSVSWGTSIQDLHITNCGKLQFDKQLTSLKFLSIGGRCMEGSLLEWIGYTLPHTSILSMEIVDCPSMNIILDCCYSFLQTLIIIGSCDSLRTFPLSFFKKLDYMVFRGCRNLELITQDYKLDYSLVYMSITECPNFVSFPEGGFSAPSLKNFDICRLQNLKSLPECMHTLFPSLTSLTIDDCPQLEVFSNGGLPPSLKSMVLYGCSNLLLSSLKWALGINTSLKRLHIGNVDVESFPDQGLLPRSLTSLRIDDCVNLKKLDHKGLCHLSSLEDLILSGCPSLQCLPVEGLPKTISALQVTDCLLLKQRCMKPNGEDWGKISHIQCVDLKDDFSFEPHFLKYL >RHN71799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2689150:2694824:-1 gene:gene7434 transcript:rna7434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MQGFFFLFFLFITSFSFLTTISTSTITDTLTSSQILLTNQTLESPNQTFVLGFIPGTNSNNIYLAIWYKNIEDTVVWVANRDNPLQNSTNSHLKIGDNGNIVLLNSSSDSDNNLIWSSNQTKATNPLVLQLFDNGNLVLRETNVNDPTKYLWQSFDYPTDTLLPSMNIGWNFDKNTEKHLTSWKNTGEDPSTGHYSFKIDYHGLPEIFLRNDDNIIYRSGPWNGERFSGVPEMQHDTDSIVFNFSSNQHGVNYSFTIGNPSIFSRLVVDSGGQLQRRTWIQSMKTWTNFWYAPKDQCDSYRECGPYGLCDTNGSPVCQCVKGFSPKNEQAWKLRDGSDGCVRNKNLECESDKFLRMENVKLPETSSVFVNKTMGIKECGDMCHRNCSCTGYANVYVTNGGSGCVMWIGELNDIRDYPDGGQDLFVRLAASELDNSGSTGGSHKKNHKAEIIGITISAAVIILGLGFLLCNRRKLLSNGKKDNRGSLQRSRDLLMNEVVFSSKRETSGERNMDELDLPMFDFNTIILATNNFLEANKLGQGGFGSVYRGRLIEGQEIAVKRLSQTSEQGVEEFKNEVKLIAKLQHRNLVRLLGCCVDRDEKLLVYEYMENRSLDSILFDKARKPLLDWKKRFDIICGIVRGLLYLHHDSRLRIIHRDLKASNILLDGKMNPKISDFGMARIFGRDQTEANTLRVVGTYGYMSPEYAMDGNFSVKSDVFSFGVLVLEIISGKKNRGFYYADDDMNLLRNAWGQWREGNALELIDSSIGNSYTESEVLRCIHVGLLCVQERAEDRPTMPSVLLMLGSETALMPEPRSPGFSLGRSRNPQETDSSSSKQDETWSVNQVTVTLLDAR >RHN82114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51355926:51357609:1 gene:gene6240 transcript:rna6240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MISWRCLLFLLLPLFFILKTEASDQLIFRYHECNQMLGNFTGGSAYQINRDTVLEQIYSDQEIDYGFYNFSYGEEPDKVNAIGFCRGDINPEECRGCLKSSATLLVDRCGIQKEAIGYFDLCSLRYSNDSIFGVMETKTSNYFNIKANTRADDAFNQTLLDLLDELKTVAADGDSRKKFAEKSVKVIDESSSSSNTNDTIYGLVQCTPDLSKQECNECLDSAFGGFSRWCMKGCLYLGPSCSVRYDNSSFYKTIVPVTEQPTAAPQPSQTLPPKTNTTSTGKGKGRKLKTAIAIVVAVVVAGILLVGICIYFERRRKRPEYTAEFEGKST >RHN57631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40653551:40654491:-1 gene:gene33208 transcript:rna33208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 3-O-glucosyltransferase MKFPHTAESDVYAKSIPISDSLHVINLPEVSVLPTSDPGSDMNALLEAHKANVKQAISNLTTGEQHGPLAAVIVDMFCTNMIDVAKEFSLPAFVLFTSGIAFLGLNLYIQYLFERDSTDSTQLMQLTELPIPSFANPFPLNSLPSSVLHKEYKSVFMSFAKGLKNADGIIVNSFEELESYAVHSFFSHPELAGLSIYPIGPILNLEPKTKGTVDSDDIVKWLDDQPPSSVKGIALAVENTGVRIVWSLRKPPPKGTMVAPSDYPLSDLSSVLPEGFLDRTEEIGRVIGWAPQTQTQF >RHN56242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29178618:29182142:-1 gene:gene31610 transcript:rna31610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbonic anhydrase MVWPIRSRIISSVLRSKAPLVASYVHGDSLVSSITRPCPKFMNWVRKDGCHASAAASLPSFKEKQPEDIGNENKGLDVGNMTEIDGYLNLFGLMKQRFLNFKNQKYMKELDHYESLAEAQYPKFMVIACADSRVCPSNILGFQPGEVFMIRNIANLVPMMKNGPSECNAALQFAVTTLQVENILVIGHSSCAGIEALMKMQEDTEPRNYIHNWVANGKVAKSKTKAATSHLCFDQQCRFCEKESINQSLLNLLSYPWIKDRVRKDLLSIHGGYYDFSKCSFEKWTLDFKECNVNEERSSYVVKEKEFWC >RHN52675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37624795:37625988:1 gene:gene37373 transcript:rna37373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MMKSTDSFTAEKVSNHIHDDLAFFILSKLPLKSSKRFSCVRKSWSHLFENPNFINMYRKYFISSTYEEDGSSLLLQQTLPYLPNLHVLYLLFGERFENKVKFDWPPPFQEDNIAIHILGPVINGIVCLYHGREPVVILCNPATEEYQVLPPSPTESPVLYEEVYYYVHGFGYEHVRDDYKVIRYVSYSLDVPDDFEGDIDGEPIKLSRDSMWEIYNLRSNSWRKLDLDLPRAHHGWVGVYVYMKGVCHWYQDEFEHKGYLVSFDISNEVFCTTPLPLYMNDSFDSVFLLRYLMVLNDHVALISNYVEMTTFHISILGELGVKESWTKLFIIGPLPCIEHPIGEGKNGDIFFRRKDGELVRFNLSTGVIDELGLKGESGCCQIVNYKQSSLPIEQIVD >RHN38617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:752762:754787:-1 gene:gene44574 transcript:rna44574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MHIMNKKPCIAMVPSPGLSHLIPQVEFAKQLLQHHNEFIVTFIIPTLSPLTPSMQQVLNNLPPNIDFILLPQVKNIQQSHDPAAQMKLIVKHSIPFLQQEVKSLISKTNLVSLIFSIFSTDAYEVAKQFNLSSYLFYASGAVPTSFYLTLLNLDDSISSEIEFLESAYETVNVPGSIVPYHIKDIPDPLLCERSSLEYKSFLDVCQKFSLVDGVIINTFNDLEPEVIRLLQEKEKPCFYPVGPLHRNESNCEDNINSMCLRWLENQPPSSVLYVCFGSGGTVSHEQLNELAFGLELSGKKFLWVVRVPSKVVSSAYFVGEKDDPLDYLPSGFLERTKENGLVVPSWAPQVEILGHGSIGGFLSHCGWGSTLESVVNGVPMIAWPLFAEQRMNAKLLTDELKVAVRPKIDDESGIVKREEVANCVKRIMEGDESLEIQKRIKELSVGAAVATSENGSSKKALSSLASRLQNF >RHN66090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8475246:8476107:-1 gene:gene14005 transcript:rna14005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAETFKFVYIVILLVSLCLVVVDGIRTYRECENASDCYSIYWRAPYGTMRCVKGHCKQIKDVKVMKFLYCV >RHN54166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7487731:7492084:-1 gene:gene29158 transcript:rna29158 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLIGSNIASEVGLRLLLCPLGSHVVIRTACCSVGIGLPVYSTFKAIESKNQDAQQRCLVYWAAFGSFSLIEVFTDKLISWVPMYYHVKFAFLVWLQLPPTNGAKQLYMKHIRPFLLKHQESADRVLGLASCEVIKLVNSYQKEIQSVKTMVGKITESADKMLRSPPTASDRSQQHSPVDESATSSDAEPDQNSS >RHN58374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1258641:1261646:-1 gene:gene20281 transcript:rna20281 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rho GTPase activation protein MRTWFLESNGVPQKGNEANLTLGGIDLNNSGSVSIKADKKLLTVQFPNVRDGRVLTLKAETTEDLYEWKTALENVLAHAPSAANAMGQSGIFRSYQADSLDIYLDQLKDKETVNYVVLGRPILLALEEVDGTPSFLEKALRFIEEHGAKVEGILRQAADVEDVENRVREYEQGKVEFSEGEDAHVVADCVKVS >RHN39094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4083112:4085929:-1 gene:gene45088 transcript:rna45088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MAGIAFGRLDDSFSFGSIKAYIAEFISTLLFVFAGVGSAIAYGKLTSDAALDPAGLLAVAVCHGFALFVAVAVGANISGGHVNPAVTFGLAVGGQITILTGIFYWIAQLLGSIVACFLLQFVTGGLETPIHSVAAEVGPIGGVVTEIIITFGLVYTVYATAADPKKGSIGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGNFHDNWIYWAGPLIGGGLAGLIYGNVFMHTEHAPLSSDF >RHN57678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40959298:40959645:-1 gene:gene33257 transcript:rna33257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MTLQSPVFILIVLLVLSATNQALTFIDWSPVDINDPHVVEIAKFAVTEFNKRITIEKLTFENVIHGESKNVVDGTVYRLTFSAHGLSTSYKYRAIVFEKPSEHYRKLASFELVHA >RHN59522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11651182:11651445:-1 gene:gene21564 transcript:rna21564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1 component, dimerization MVIEYCKKHVDAASSDELEKWDAEFDKIDQDTLLKLILAANYLACLTTANNIKDKTPEEIRKIFNIKNDYTSAEKEEVRRENSWAFE >RHN80655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39811828:39814998:-1 gene:gene4605 transcript:rna4605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prefoldin MQQGGGSETEVTWEDQQNINKFGRLNNRLHELHDEIKIAKESNDNLEDASNELILTDEEVVRFQIGEVFAHVPKDEVESRIEQMQEATSQKLEKLEEEKQSVVAQMSELKKILYGKFGESINLEED >RHN47148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37507570:37509839:-1 gene:gene41708 transcript:rna41708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine permease, plant MTDNEEPMIIAGTINELPFNKYKRWQWWFLVGLSIMFLIVGQSAAVILGRFYYDQGGNSTWMATLVQTIAFPVLLIPLFTIPSSSSEVSASYVPPSIKLIALIYFVLGIMIAADNMMYSQGLLYLSASTYALICASQLAFNAIFSYFINSQKFTALIVNSTVVLTLSSSLLAVNEDSDTPSGIPKGKYVVGFLCTLGASALYSLLLSLMQLTFEKVLKKETFSVVLEMQIYTSLVATCASTIGLFASGEWHSLHEEMKSFQKGEVAYLMTLVWTAIAWQVCSVGVVGLIFLVSSLYSNVISTVSLAITPIAAVIVFHDKMNGVKIISMLMALWGFASYIYQNYLDDLKARRAQAGTSKPHNESPC >RHN44547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6004872:6005900:1 gene:gene38676 transcript:rna38676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MQTKEINTGLNYLLPYSDPSPYPPCNYNMIQNTIQTPQHPKLSNQFYGFNQNPIQILQDFSPPSSCISSNSTSDEADEQNLGLINERKHRRMVSNRESARRSRMRKQKQLDELWSQVVWLRNENHQLLDKLNNFCETHDKVVQENVQLKEQASELRQMVCDMQLHSSCLPLSPLEDVPSITSPNVKSDSSN >RHN80027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34478422:34479148:-1 gene:gene3902 transcript:rna3902 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVKMFSWNQLKLKSNSRDRDIVQWLEHVLFSVCIYVIKGEENFDIVPCGVQSMHQGVRLIVMYIKVDQVLVELYFGGSSDVFGLFFNEASVFYLKLWCMARFIVVCFIYIMLHLVHLSYGCRTRGKRIFKG >RHN80318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37141030:37145251:-1 gene:gene4226 transcript:rna4226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MFLKVQLPWNVIIAAENLKPGSLMLQRAILIRLLSDFAAKKATKDMGYFLAVTTLDKIGEGKVRQHTGDVLFPVVFNAVTFKIFKGEVLEGVVHKVLKHGVFMRIGPIENAYLSSSKMPGYVYVLGENPYFMNQKMPKIAKDVKVRVVVIGTKWMEAEREFQALVGLEGDYLGPISSPDM >RHN75523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42975520:42978549:1 gene:gene11744 transcript:rna11744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative terminal organelle assembly protein TopJ MFGRAPKKSDNTKYYEILGVSKNASPDDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREVYDQYGEDALKEGMGGGGGGHDPFDIFSSFFGGGGFPGGGSSRGRRQRRGEDVVHPLKVSLEDLYLGTSKKLSLSRNVLCSKCNGKGSKSGASMTCAGCQGSGMKISMRHLGANMIQQMQHPCNECKGTGETISDKDRCPQCKGEKVVQQKKVLEVHVEKGMQNGQKITFPGEADEAPDTVTGDIVFVLQQKEHPKFKRKGEDLFVEHTLSLTEALCGFQFALTHLDSRQLLIKSNPGEVVKPDSYKAINDEGMPMYQRPFMKGKLYIHFTVEFPESLTLDQVKALETILPARPVSQLTDMELDECEETTLHDVNIEEETRRRQQAQQEAYDEDDEMPGGAQRVQCAQQ >RHN66696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16671494:16672855:1 gene:gene14746 transcript:rna14746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVKIAKFLYVLIISLSLFLFAITVDGAYVTRFWCYRDLDCRKDMCKPPFNPRCHNHICICRLWGL >RHN59393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10226049:10228130:-1 gene:gene21419 transcript:rna21419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MDSSSLTISPRKLHYDLYSYSYKEDSNTPLVINVLASLIERNMARAQRIVKNCSSRVLSKASTKIFDCREIPDLTIQSYLERIFRYTRAGPSVYVVAYVYIDRFCQNNLGFRINSRNVHRLLITTIMVASKYVEDMNFRNSYFAKVGGLTTSELNELELEFLFMMNFKLHVNVSVFESYCSHLEREVSIGGGYHIERTLRCAEEIKERGHTQIARVML >RHN71402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58757963:58759589:1 gene:gene20081 transcript:rna20081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L3 MSIISSPFSISIPCSNSSSSSSLSFSTSFSAQFVKLQQHQRKRGGAMVVKMSLEAGVGVMGTKLGMMSFFQPNGEVVPVTVVGFKEGNIVTQIKTDATDGYNAVQVGYRRVRDRKLTKPEMGHLEKAGAIPMRHLQEFRLQSVDGFEPNQRLVFDELFNEGDLVDVSGTTIGKGFQGGIKRHNFKRGPMSHGSKSHRQLGSIGAGTTPGRVYKGKKMPGRMGGTNRKTRKLKIVKIDKDLNVIMIKGALPGKPGNLLRIAPAKIVGKNIPKN >RHN78936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20121075:20121579:1 gene:gene2605 transcript:rna2605 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLFSFCYFFEQFSVHLFFDIYRRCDSFLSLRHGDVNNMERMNTDKTNPFMYILLILIQFGVEQTRGLQGRIRSKHKIILKHNHDCQIWDSEIILMHLNK >RHN82628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55281868:55286854:-1 gene:gene6803 transcript:rna6803 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFNNKKHHQPLQNTMPIHPINDIPSPFGDPPSNLPSSELRETAYEILLAACRSSGPKPLTFISQSERGNKDPAPAASLHRSRTSMAASKVKKALGLKTSSLKNKRAVTTGELVRTQMRISEQSDTRIRRALLRIAAAQLGRRMELVVLPLELIPLFKTSDFSSQQEYEAWLRRNLKVLEAGLLLHPHIPLNKADPSAQKLRRILSRALEKPMEIANSGESMQTLRSVVISLSCRSFDGSVPETCHWADGFPMNLWIYQTLLEACFDTHVETCVIEEVDEVLELVKKTWLMLGINETLHNICFTWVLFRRYVVTREVENDLLFASCNLLEEVEKDTEAMKDPIYSKALSSTLSLMLGWAEKRLLAYHDTFHDGNIESMESVVSLAALSAKILAEDISHEYNRKNKADVAYARVENYIRLSLRSVFVQKLEKMDPSKHLSRKQNKAFPILSVLARDITELAFKEKTIFSPKLKRWHPLAAGVAVATLHVCYGNELKKYVKGINELTPDAIEVLMAADKLEKELVQIAVEDSADSEDGGKSIIMEIHPYEAEAIIANLVKSWINIRVDRLAELVERILQQEAWNPQPNKEGFAPSAVQVLRFIDDTLEAFFLLPISMHAVLLPELISGLDKSIQQYILKAKSGCGNRNTFIPTTPALTRCSTKGKYHGVFRKKEKPQMIQRRKALVSTTNGDSSFDVPHLCVRINTMQRIRMELGVLEKRIVANLSNSNSTGENDIANGVSFKFSAAAVVEGIRQLCECIAYKAIFQDLCHVLWDGLYVGEVSSTRIEPFLHELEHYLEIISSTVHDKVRTRVIIEVMRASFDGFLLVLLAGGSSRAFSLQDSFVLEEDFKLLSDLFWSNGDGLPAELIKKQSATVRDVLPLFQIDTQQIIQQFSQLTREMYGSSAKSRLPLPPKAEKWSPREPDTLLRVLCYRNDETAAKFLKKNYNLPTKV >RHN68452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35654964:35660625:-1 gene:gene16811 transcript:rna16811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MESEFPNKALTSTRFSDLKPPLSEPVLQALTDSNFDFCTPVQAATIPLLCSYKDVAVDAATGSGKTLAFVIPLVEILRRNASNPKPHQVLGVIISPTRELASQIYHVAQPFISTLANVKSMLLVGGVEVKADIKKIEEEGANVLIGTPGRLHDIMNRMDILDFKSFEILILDEADRLLDMGFQKQINAIITELPKLRRTGLFSATQTQAVEELAKAGLRNPVRVEVRAETKTANDSASSKKIESSKTPSGLQIEYLECEADKKPSQLVDFLVKNRSKKIIIYFMTCACVDYWGLVLPRLSVLKGFSLISLHGKMKQSVREKALTSFTSLSNGILLCTDVAARGLDIPGVDCIVQYDPPQDPNVFVHRVGRTARLGKQGHAVVFLLPKEESYVEFLRIRRVPLQERMCSDNAPDVIPEIRSAATKDRDVMEKGVRAFVSYIRAYKEHHCSYIFRWKELEIGKLATGHGLLQLPLVPEIKRHSLSTVGFEPVKDINFEEIRFRDKSREKQRKKNLQVKKEAKEKEPKPKKPKKTPEVPTAMRKQTAKQRRAKQTVEDEEELTQEYRLLKKLKKGTIDEDEYAKLTGTEDLL >RHN81674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47995039:47999617:1 gene:gene5748 transcript:rna5748 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSNNEKQQAPCSEALIQKTGEDQVAGRSSAAGFMDSLKNRYQKIKDSWNTPAKDMANQPNNETQQAPSSAAPIQETGEDQVVGAPPAAGFMDSLENRYKKIKEHAETYPYVWGSYILVYGGFGLWFAYRYRKLLRTEDRVRALQARLREMVEKDKIVEAEKSSNSAKVVEKGSTSSEIPSK >RHN43047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42812072:42812446:-1 gene:gene49568 transcript:rna49568 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRITYWKFGISDRFLSSKVEFKRLDCIGECGARTKHQHIFLRICMNKISRVFFDGRNLIYCLIAAANFKIIIVVGIIPKIRQKTA >RHN74474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33836798:33839730:1 gene:gene10558 transcript:rna10558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MDALVFFILALFSLSSTLFIIEASKIQSGFSVDLIHRDSPMSPFYNPSMSKLDLIRNAAFRSKTRLTRFSHSLSLLSNENFQSTESIIKPNNGDYLMRIYIGTPPVEKLAIFDTGSDLTWVQCSPCINCFAQDTPLYDRTKSSSHTNLTCDTQSCTLLPKKQQFCGKSQECLYSYHYGDKSFSVGELVVDSISFGSNSGVDVNNVDMTFPKSIFGCGYYNIFTADNSGKTSGLVGLGAGPLSLVSQLGHSIGRKFSYCLVPFGSNSTSKLTFGNQSTITGNEVVSTPLIIKSLEPTFYFVNLEGITIGQKTIQTGQIDGNIIIDSGTTLTYLEQPFFDDFVTSVKEAIGLEEAVDIPSPFHYCFEDPNSMFPSIVLHFTGANVPLQPKNVLLLEENNLVCLAIVPSNIAGISILGNLAQIDFQVEYDLDGKKLSFAPSDCTKN >RHN69718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45779909:45782190:-1 gene:gene18227 transcript:rna18227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L12 family MSSGELGCIYATLILHDDGIPITAEKIGTLLKAANVTVESYWPSLFAKLAQSKNVDDLVLNSGAVGGAAVAVSAPAAGGGTAAAAEPAAVEKKEEAKEESDDDMGFSLFD >RHN50292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5862304:5862915:-1 gene:gene34564 transcript:rna34564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MATIDLSSNHLTGVIPQSITKLVVLVDLNLSGNHLTGLIPKNIGHMEMLESLDLSRNHLSGKMPTSFSNLTFLSYMNLSFNNLEGKIPLSTQLQSFDPSTYVGNSGLCGSPLMNLCPGDVVSSTTSHDKYIPNEDEDKLITFGFYVTLVLGFIIGFWGVCGTLVIKTSWRHVYFQFFKNMNDWIHVTLAVFINKFKNNFQVQD >RHN39842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10987828:10993960:1 gene:gene45917 transcript:rna45917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MVDDGEFSGTICSICYEPLNPVNEDLQCVSICGHVFHELCLQQWFEYCKTPKKHTCPICKQGCKAKDACRLYFQSIGDVKEGVVTQKNVGLEEDSGVLRKEVKRLEGKVSGLSTVLEKQTKELDELKDELCTCKEQKKIEIASKIEALKQKTSLQFQLHVKSEELEKSNLDRFKLQERNMALAKELAALKLVSDLDIGEDEVLKLATLGNGTNSKETVDTLTRSLVLRNRSYKELMTKCNLLGRGEARYSKKLEKANEKIIKLKARLQELETTMEVKENEYLKHLKVAKNIENKINSNSDVLMANTFSSKEQNKQSTTPKSGMDLNKNDNNKSLQSLKIENSKTAKNKDVNISNRRKTTLSLDEDIDCIIIDEEEYKEPEAASGTKTKTSLQGICNLAESSRFDIDTEMAAITAGTTNEDVTLQANAKQAQPMVNIRKESPLTTSSSVDICFSGGLLGPDGTQRFLGKWCKRGQTGESTSTKGNLISVGADGRGGTVKVLRNPSQAFSDGKETSVASKRLKLGPNKASSQSRGCLQMEHFFGRVSQ >RHN58063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43463525:43471294:1 gene:gene33669 transcript:rna33669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MNTKSVEDLMETSTEVHFSGFHMDNGLEQRKAGTEEPTTSAFDEYRQPFVIGVAGGSASGKTAVCDMIIQQLHDQRVVLVNQDSFYHNLTKEQLTRVQDYNFDHPEAFDSERLLSVMDKLKHSQAVDIPKYDFKCYKNDVFPARRVNPADVIILEGILVFHDPRVRALMNMKIFVDTDADVRLARRIKRDTADNARNIEAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIHSTFQIRGMHTLIRDSQTKKHDFVFYADRLIRLVVEQGLGHLPFTEKQVIAPTGSVYSGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPNDISDRHVLLLDPILGTGNSAVQAISLIIRKGVPESNIIFLNLISAPQGLHVVCKRFPRIKIVTSEIDNGLNEDFRVVPGMGEFGDRYFGTDDDDELEESRSR >RHN70988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55645953:55651282:-1 gene:gene19628 transcript:rna19628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MERFYSYSEQREGIQLGYDEDESSNNPSTSQRKSDVDFNDVFGGPPRRRSSVSGGEEGETGWCSWPPPPESEKPVFGEDSGARRRRKGKDFFDDIFGGEDSQSQTPSGCSTPKRRDGAAFSPALRPLASSLPPSFSLPAILAKGADLQTFGSPPRITNPLNNGIAASNGLDFSDSHLSRFSAQHKELQNDFKPTYMQSLLSKEFSNLSQSDEVDKGNNLKKDRSITEVSPNNTSNDKFHFSIYKWASKGVVPLAMPFRTERTSRTKDKIKLEKCSSAKEWIVTDITTQNDSPNEWIVSEITTQNDSPITYNGSSLKSNKKQEVSNTSTISKNKVDSHQSVDHIISAKAQPDSSSSRQTLIKDVLGSPITYDAKAESSTHSTNETVFDGKTEAASETHKHEPKSLHSLFGKNSKKQDYDKITRKSREANMAKSSNSFDIPMNPKKEDEKQKTVSMRGVEYSKATPRGSLSPGRSMGKGRVKGKVKEFAQIFNQEAVTKPKVDTKSRLQGYKKGAVKVNNDVDDDPEQSKKEIYTVETIDMAANNLSRQDDISASAIPDISFADIEDKEESFHDNFTIEVLSQDEGDVSQNQEIQELQVIDKKIQQWSKGKEGNIRSLLSTLQYVLWPNCGWKPVPLVDIIEGNAVKRSYQRALLSLHPDKLQQKGATSDQKYTAEKVFDILQEAWTQFNMVGAL >RHN65296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:986239:992851:1 gene:gene13121 transcript:rna13121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipid-translocating ATPase MDSKRPLLLQSPRTPNDQYIPTIPVFPELPKSKSSSSNTVTFSGVEFGQVENKNSSNSSSSSKSSMSIHSMGSSKRNNSVREMSSLNHSGSKSTVRYGSKGGGDSEGLTMSQRELRDEDARLVYINDPEKTNENFEFFGNSIRTAKYSILTFIPRNLFEQFHRVAYIYFLIIAILNQLPQLAVFGRYVSILPLAFVLFVTGVKDAFEDWRRHNSDKVENNRLATILMNDGSFIEKKWKDIRVGEIVKIKTNETIPCDIVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETGSKVQPRYTGLIKCEKPNRNIYGFMANMEIDGKKLSLGSTNIVLRGCELKNTSWALGVAVYCGRETKAMLNNSGAPSKRSRLETRMNYEIIMLSFFLVALCTITSVCAAVWLKRHKDELNLLPYYRKLDFSKPVVEDYKYYGWGLEIFFTFLMSVIVYQVMIPIALYISMELVRVGQAYFMIEDDRLYDEATNSKFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIRGVDYSSTNTSTENELGEYSVQVDGKILKPKMKVKVNPELLQLARNGVENVEGKRIYDFFLALATCNTIVPIVVDTPDPDVKLLDYQGESPDEQALAYAAAAYGFMLIERTSGHIVIDIHGQRLKFNVLGLHEFDSDRKRMSVILGYPDSSVKLFVKGADTAMFSVMDKSHNMDVIKATETHLHSYSSLGLRTLVIGMKELSTSEFEQWHTAYEAASTAVFGRAALLKKISNHVENNVFILGASAIEDKLQQGVPEAIESLRAAGIKVWVLTGDKQETAISIGFSSKLLTRNMTQIIINSNSKVSCRKSLKDALERSRKLDAVATQIALIIDGGSLVHILDSEHEEELFQLASLCSVVLCCRVAPLQKAGIVSLVKKRTSDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLIHGHWNYQRLGYMILYNFYRNAVLVLVLFWYVLYTAFTSTTAINEWSSTLYSIIYSALPTIIVGILDKDLSRSTLLKYPQLYSAGQRDEAYNKKLFMLTMVDTLWQSMVVFWPPLFAYWKSTIDIASIGDLWTLAVVILVNLHLAMDVVRWYWVTHAVIWGSILATFISVMIIDAIPQLPGYWAFFHVSSTGLFWALLLGIVIAALLPRLVVKYIYQYYFPSDIQISREAEKMREYQRVAENGQIEMLPISYHQPR >RHN48402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47246308:47248435:-1 gene:gene43115 transcript:rna43115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Lung seven transmembrane receptor MSKPYIPILLLFTTFLHFLSPTTAEIKSLTITSDTRPMILFEKFGFTPKGHVTISVSSVSVTPLSSSSSSSSQPESSRLGFFLLNEETLIQVLIEIQQNPSFCVLDSHYISRLFTFRDLSPPPSASFNRSYPVTTPNEYSLFFANCAPETSVSMFVLTEIFNLDADGSKDYLSAGQTQLPSLFFLFFLAYLTFFVFWIYTCYTNKRSIHRIHLLMAVLLIMKALNLICAAEDKHYVKVTGLPHGWDVLFYIFQFIRVVVLFTVIVLIGTGWSFLKPFLQDREKKVLMIVIPLQVLANLASVVIGETGPFIKDWVTWNQVFLLVDIICCCAIIFPIVWSIRSLRETSKTDGKASRNLAKLTLFRQFYIVVIGYLYFTRIVVFALRTIAAYKYQWVSNAAEEIASLAFYIIMFYMFRPVEKNEYFVLDEEEEEAAQIALRDEEFEL >RHN68606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37037865:37042650:-1 gene:gene16978 transcript:rna16978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative demethylmenaquinone methyltransferase MIGGISVTSTLFPFTRPTRFFFSPTPKLQLRLLRASSSSTTFLDTNPTDSVIVEKEITRSSNPLACPVCYNSLTWTTNPALSIDTISGSSLQCSTCQKTYTSDQTHLELTTISKANNYGESMPASTELFRTPLVSFLYERGWRQTFSVWGGFPGPEKEFEQMKGFLKPVLGGNIIDASCGSGLFSRLFAKSGLFSLVVALDYSENMLRQCYEFVQQKDNFPKENFILVRADIARLPFVSSSIDAVHAGAALHCWPSPSAAVAEISRVLRPGGVFVATTYILDGPFTIVPFLSTLRQTINQVSGIYTFLSERELEALCKTCGLVGFKCIRNGPFVMISAAKPK >RHN40115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13406128:13417215:-1 gene:gene46218 transcript:rna46218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, calcium-dependent lipid-binding transcriptional regulator, plant MGLISGIFMGMLFGIALMAGWARMMRYRSAKRIAKAVDIKILGSLNREDLKKICGENLPEWISFPVYEQVKWLNKLLSKLWPFVAEAATMVIKESVEPLLEEYRPPGITSLKFSKLSLGNVAPKIEGIRVQSLTKGQIIMDVDLRWGGDPSIILAVEAALVASIPIQLKDLKVFTIARVIFQLAEEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTALPGISDMIDDTVNTIVTDMLQWPHRIVVPLGGIPVDISDLELKPHGSLKVTIVKATDLKNMEMIGKSDPYVVLYIRPLFKVKTKVINNNLNPVWDQTFELIAEDKETQSLILEVFDEDIGQDKRLGIVKLPLIELEVQTEKELELRLLSSLDTLKVKDKKDRGTLTVKVLYYQFNKEEQLAALEAEKAILEERKKLKAAGVIGSTMDAVGSGVGLVGSGIGLVGTGIGAGAGLVGSGIGAGAGLVGSGFGAFGSGLSKAGKFMGRTITGHSGSRRSGSSTPVHNPQENGSPKKTQ >RHN53689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3370105:3375667:-1 gene:gene28622 transcript:rna28622 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHYDLPSTLSLRSNPSLRLIQNLNITNPNNSWLGTKIRVQHCSVSVKSSVSVFHRRPLAATVSFSLPTSNPERVSPGQEIPKWSSKAIKSFAMSAIEARKLKFTTTGTEALIMGILIEGTNLASKFLRANGITLFKVRDEIVKILGEVDPFTETPERPPMTDDAQRALDWAVDRKLKSVDGGEVTTAHIILGIWSDVDSPGHKILSKLGINDEKAKELEASISKHVVKDE >RHN76235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48781879:48783711:-1 gene:gene12528 transcript:rna12528 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEQISSPFWLQNSGTNRRRLSRSYSLFLSSGTVIIVLLVIALAFILVIVPTLHSFASHIFNPNSVKKSWDSLNLLLVLFAIFCGFLSKNNNNESPRSYEDQNQTFSDTNTQQEYEKPNPEPETAPRFWYEYSEDRTSYNRLRSFNSYPDLRQESLWIAGDEQWRFSDDTHVNRYRGLDLNLKEEKEEEESIKDIEVHTSLKGKNKKKQGSETHQSEKIEKTKNNTAAERKNKKLIVTKDEKMQQSLKGKKKHRHKSVENFQNIINSEPPMKKKHRHNKSVENFQNIINSEPPMKKQHRHKSVENFQSILNSEPATTVPSYSSPFPDLFYSKKNKQKKLNSVSPSQNHVSAMIMSKTKDEDFSVITGNESPLNSIPPPPPPPPPFKMPAWKFKVQGDFVRIDSISSSSSVDIDEEVVELPTISGEEPGIDLLVYPNPNPGVDNKAGSFIESFRAGLRMEKMNSMKKQGMGRSNLYDTLHHQNH >RHN64593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60263066:60267837:1 gene:gene27421 transcript:rna27421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MVSYMNILLYGVGGLVVAGMALLVAFQEKLVYVPVLPGLTKSYAITPSRLRLTYEDIWLSSSDGVRLHAWFIKLFPDTRGPTILFFQENAGNIAHRLEMVRIMLQQLQCNVFMLSYRGYGASEGSPSQKGITKDAQAALDHLSQRSDIDTSRIVVFGRSLGGAVGAVLTRNNPEKVAGLILENTFTSILDMAGVLLPFLKWFIGGSGTKGPKLLNCVVRSPWSTIDVVGEIKQPILFLSGLQDEMVPASHMQMLYAKAAARNNRCLFVEFPTGMHMDTWLAGGDQYWRTIQQFLEQHAPERNDAGAR >RHN41173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27770801:27771235:-1 gene:gene47464 transcript:rna47464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MFRDLTQLRIVDLEYNQLSGNISSILKFNNSLLQELHLSYNNFSGNLPSNICHGLPNLRVFDLYHNDISGNMPTLWHQCEEMEQLRLSFNGFNKGPMPGDIQNMTKLQQLYLSDNNMEGNIFSLTILFFFLTNLTILFVCVLFC >RHN66434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12247750:12250909:1 gene:gene14420 transcript:rna14420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEVDVGQAFLSPIIQLICEKLTSTYFRDYFHEGLVKKLEITLKSINYLLDDAETKQYQNQRVENWLDDVSNEVYELEQLLDVIVTDAQRKGKISRFLSAFINRFESRIKASLERLVFLADLKYELGFEVAANPRLEFGGVTRPFPTVSLVDESLILGREHEKEEIIDFILSDRDGVNRVPIISIVGLMGMGKTALAQLVYNDHRIQEQFEFKAWVYVPESFGRLHLNKEIINIQLQHLVARDNYLLVLDDAWIKDRNMLEYLLHFTFRGKIIVTTHDNEVASVMRSNRIIHLRQLEESDSWSLFVRHAFEGRNMFEYPNLESIGMRIVEKCGGLPLALKTLGILLQRKFSEIKWVKILETDLWHFSEGDSNSIFSILRMSYLSLPSNLKHCFAYCSIFPKGYEFEKDGLIKLWMAQGLLKGIAKNEEELGNKFFNDLVSISFFQQSAIVPFWAGKYYFIMHDLVHDLATSMSGEFCLRIEGVKVQYIPQRTRHIWCCLDLEDGDRKLKQIHNIKGLRSLMVEAQGYGDKRFKISTNVQYNLYSRLQYLRMLSFKGCNLSELADEIRNLKLLRYLDLSYTEITSLPDSICMLYNLHTLLLKECFKLLELPPNFCKLINLRHLNLKGTHIKKMPKEISELINLEMLTDFVVGEQHGYDIKQLAELNHLKGRLQISGLKNVAHPADAMAANLKDKKHLEELSLSYDEWREMDGLVTEARVSVLEALQPNRHLMRLTINDYRGSSFPNWLGDHHLPNLVSLELLGCKLCSQLPPLGQLPSLEKLSISGCHGIEIIGSEFCGYNPSNVPFRSLETLRVEHMSEWKEWLCLEGFPLLQELCITHCPKLKSALPQHVPCLQKLEIIDCQELEASIPNAANISDIELKRCDGIFINELPSSLKRAILCGTHVIEITLEKILVSSPFLEELEVEDFFGPNLEWSSLDMCSCNSLRTLTITGWHLSYPKFDPFEIFYPFLLPFKTRFCVVFNY >RHN50845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11065505:11073399:-1 gene:gene35183 transcript:rna35183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartate kinase MAATNLLQFRCYYNNVKPNLLLPPLATWQQQQRTTNLFGYEFPKKAAVKVTCNVLEKHVIENGRSVVEEEGDEGGIKRSYTCVMKFGGSSVASAERMMEVAGLVMSFPEERPIVVLSAMGKTTNKLLLAGEKAVSCGVTNVCGIEELSFIKDLHLRTVDQLGVDRSIIEKHLEALEQLLNGIAMMKELTKRTQDYLVSFGECMSTRIFAAYLNKLGVKARQYDAFEIGFITTDDFTNADILEATYPAVAKRLHGDWLADPAIAIVTGFLGKARKSCAVTTLGRGGSDLTATTIGKALGLPEIQVWKDVDGVLTCDPNIYPKAEPVPFLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITKERDMSKAVLTSIVLKRNVTMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDVVATSEVSVSLTLDPSKLWSRELIQQELDHVVEELEKIAVVNLLQNRSIISLIGNVQQSSLILEKAFRVLRTLGVTVQMISQGASKVNISLVVNDSEAEECVRALHHAFFETEFSELQIGYTNGNGSVPKSS >RHN76771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1179068:1181234:-1 gene:gene145 transcript:rna145 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRKCYLDVILVPLAMLISIGYHVWLWHKVRTQPHTTIVGINASGRRNWVNAMMKDNEKKNILAVQSLRNTIMGATLMATTSILLCSGLAAVISSTYSVKKPLNDAIYGAHGEFMVALKYVSLLTIFLFSFFCHSLSIRFINQVNILINTPQDPMSLVTPEYIFEILEKGFILNAVGNRLFYAGLPLLLWIFGPVMVFLCSLAMVPVLYNLDFVYTTGKGKMNVDQKEDYV >RHN39345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6241402:6248236:1 gene:gene45358 transcript:rna45358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipid-translocating ATPase MAKGRIRARLRRSNFYTFGCLRASATTEEGPHPLQGPGYSRTVHCNQPQIHEKRPFFYCKNDISTTKYNVLTFLPKAIFEQFRRVANIYFLLAACLSYTPMSPFSALSMIAPLAFVVGLSMAKEALEDSRRFVQDVKVNRRKVNHHKGDGVFGHRSWQNIMVGDVVKVEKDKFFPADLLLLSSSYDDGICYVETMNLDGETNLKVKRSLESTLALDSDLAFKDFTGTIRCEDPNPSLYTFVGNFEYERQVYPLDPGQILLRDSKLRNTEYIYGVVIFTGHDSKVMQNSTRSPSKRSTIEKKMDYIIYTLFTVLIFISVISTIAFIVMTKYGTPNWWYIRPDVIDRQYDPKTLGMAGMSHLITALILYGYLIPISLYVSIEVVKVLQATFINQDILMYDEETGTPADARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTQYGASSSEVELAAAKQIASDLEDGDSDLSNFPLRHRKAQVSWENIDKVDEIELETVVTSKGDEDQKHAIKGFGFEDDRLMNCNWLQEPNVDDILLFFRILAVCHTAIPELNEETGGFTYEAESPDEGSFLVAAREFGFEFCRRTQSSIFTRERISASGQVVEREYKLLNLLDFTSKRKRMSVIVRDEEGQIFLLCKGADSIIFDRLSKNGKAYLEATTKHLNDYGETGLRTLALSYRRLEEKEYSDWNNEFQKAKAAVGADREAMLERVSDIMEKELILVGATAIEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFSCSLLRQGMKQICITTNSDSVSNDTKQAIKDNILNQITNATQMIKLEKDPHAAFALIIDGKTLTYALEDDVKLQFLGLAVDCASVICCRVSPKQKALVVRLVKQGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAYTGFSGQSVYDDWYMILFNVCLTSLPVISLGVFEQDVSSEVCLQFPALYQQGPKNLFFDWYRILGWMANGLYSSVVIFFGTVLIFYEQAFRVDGQTSDLASLGTTMFTCIIWAVNCQISLTMSHFTWIQHLFIWGSIVTWYLFLMMYGALSPNLSHSAYHLLIEALGPAPIYWATTLLATVACILPYLVHISFQRCFSPMDHHIIQEIKHYKKDIEDQSMWVRESSKARQETKIGFTARVEAKIRQLKGKLHKKQSFLNILSPVRT >RHN51381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17008423:17009085:-1 gene:gene35811 transcript:rna35811 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQFPPWMRNTSILKGVDDVFMEESVPSEVVSNQDGIKSNELGGGFMFDDVKEEKDKQKNELATQQCLTYEGFAKENDLVNRKESGENEMIDRNDELKTNEEANESIDGLVSETDECKNQIVGKSSETARDDDLSVAQ >RHN60393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26135377:26138720:-1 gene:gene22701 transcript:rna22701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase transcription factor WD40-like family MTLTQSIAIIFLLFALYCGLDPFKHSTIANFPEFEAKKVDMPDWSEVPKDKDEHNLLQKSEIRFLNQVQGPESIVFDPLGRGPYTGVADGRILFWNGLSWVDFAYTSPNRSLCNPIANATPFSYVKTEHICGRPLGLRFDKKTGELYIADAYLGLLKVGPEGGLATSLATEAEGVPLRFTNDVDVDSEGNVYFTESSSIYQRRNFMQLVFSGDDSGKVLKYNPATKETTVLVRNVQFPNGISLSKDCSFFVFCEGSIGRLRKYWLKGEKDGTSEILAILPGAPDNVRVNEDGDFWVALHCRRSMFAYYTGLYPKIRKSILKLPIPTKNQYLFQIGGKLHGVIVKYSPEGKLLQILEDSEGKVVRAVSEVEEKDGQLWIGSVLMPFIAVYKL >RHN77620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8193081:8198708:-1 gene:gene1091 transcript:rna1091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein Hsp90 family MRKWTIPSTLLLLSLLLLLADQGQKFQANAEGNSDEIVDPPKVEEKLGAVPHGLSTDSDVVKRESESISKRSLRSNAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKDILGEGDNAKLEIQIKLDKEKKILSIRDRGIGMTKEDLVKNLGTIAKSGTSAFVEKMQTSGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGAFAISEDTWNEPLGRGTEIKIHLKEEASEYVEEYKLKELVKRYSEFINFPIYIWGSKEVDVEVPADEDDESSEEEDTTESPKEESEDEDADKDEDEEKKPKTKTVKETTYEWELLNDVKAIWLRNPKEVTEEEYTKFYHSLAKDFSDDKPLSWSHFTAEGDVEFKAVLYVPPKAPQDLYESYYNSNKSNLKLYVRRVFISDEFDELLPKYLSFLSGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRRLAEEDPDESTDREKKEETSSDVDEKKGQYTKFWNEFGKSIKLGIIEDATNRNRLSKLLRFETTKSEGKLTSLDQYISRMKAGQKDIFYITGTSKEQLENSPFLERLKKKNFEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKDKELKESFKDLTKWWKNSLANDNVDDVKISNRLDNTPCVVVTSKFGWSANMERIMQSQTLSDAKKQAYMRGKRVLEINPRHPIIKELRERVVKNPEDESVKQTAQLMYQTALFESGFLLNDPKDFASRIYDSVKTSLDISPDATVEEEDETEVEVESETKEEAPASNEADEVNDDGDVKDEL >RHN44690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7394569:7396412:1 gene:gene38840 transcript:rna38840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MRKKMAKTLKFVYVMILFTSLFLFAKNVVGYINCKTDDDCPKLESRMVVLKCTNSRCAAVILH >RHN65625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3888619:3891112:-1 gene:gene13488 transcript:rna13488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MICKGSKGSCIFEAFCAKIPKEETWQLRKIQRLHSCSRVFKVKMLNSDWLGSKLNSRVREEPGLKLTTIVNRTIEKWGLKINLNKAYRARGKEIDMVDGSFRDQYTRIYDYTHELMRSNPDSTVKVSTMPYQGTEEDLERPGANLCPHFRRMYICLKACKDSFFKCRSIIGLDGCFLKGYYGGQLLAAIGRDPNDQMLPIAFVVVEGETKESWKWFLELLIGDLGGPRLCKTYTFISDQQKGLLPAMDELLPDVDQRFCVRHLYNNFRKRFPGKKLKELMWRAAKSTYENAFLDVMKEIKEISEPAYDYLMLIPTKHWSKWKFSGDSKCDTLVNNMSEAFNSTIVIPRQKPIVTMCEDIRVYLIEKWETNRNKITRYEDDVLPNIKKRLARESAYTNVWLVRRSTEFDYEVTHLNTTCYKYHVNLQRWECDCRKWLLIGLPCCHAISCMRNQDLNVYDFVPDIYKKERYAACYAPIIYPANGQALWRRTEYNDLQPPPIRRQPGRPKKKRNKEAGELLNDDGQLRRARWGIKCSRCKQSGHNKSTCKLPLPPPPPPSENSSNPTSTQGPSAPLTTQTPQTAQAPTAETAETNPAPTTQRSTNTQRNVTTQRTARTQRTVNTQRDSQTAPSAQGAAATQGAGTQAAQAGTGGGKQRKRKQKKRLSTSQPEGSNGKKRKTSVRVEGSVSTQQ >RHN80732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40482856:40489164:-1 gene:gene4692 transcript:rna4692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NTF2-like domain-containing protein MSLKRLQSARNLYHSSQIRPSHLLGSFRNYSNALSNASDLFKPNDGFSFANVKTLTFRSTMAAEFSIFMNDRKMSTSAVKVRPNAQVRPMGAQICLSSPGIIYEPYEPREKIPFWKRMFTRSGWERTKSDLMMEVKNAYTIARLRKTGYSKKQFYLEAISMYKQINTLIANGDKHSLRKAVTEKMFSTLKNEIKVRETTWSRVYWEMVEPVVLIRTLRARMLGVDKSDTNKVFYQITLEILAKQKFEAYDSKGSVVAGDKNKEVLVRDIWIFEKPSFLPRSYWRLCGRISPKAS >RHN68408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35232569:35233714:1 gene:gene16755 transcript:rna16755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative six-bladed beta-propeller, TolB MLISFSSSHKSITLIFFLLGLGPSSILCRDSHVINFLETFISDITLPSNSSILGLAVNSHRNRLLAIVHSPPPLPPFNALAAYDLRSRRRIFISLLPDSDDEFTLPNLSATNDVAVDHTGTAYVSNSAGNFIWKITTDGTASIFSKSPLFTSPENNQVTTGENLLGLNGITYVSKGYLLVVQSSTGKVFKVDAMDGTARTVSLNDNLIGADDIVVRDDNAAVAVSPMNKLWLMKSMDSWAEGVVYERKEINVKRFPTSVTVGEKGRLYVLYGHLNEGMLGDSEREGFGIAEIRYREGQDEHVWIFVLIGLGFAYFLFWRFLIKNLVFKMDQNQMMVTSACLNYH >RHN76743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:906603:915436:1 gene:gene116 transcript:rna116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diphthamide synthesis DPH1/DPH2 MDFESNYDIATTADFIRTHKFTRVALQFPDDLLKDSTRVVSVLRKRLRSLRESDTTGSGDEPDIGLFVMADTAYGSCCVDEVGASHINADCVIHYGHTCFSPTTTLPAFFVFGKASIGLADCVESMSQYALTSSKPIMVLYGLEYAHSIQQVRKALLESSTFCTSDPKSEVHFADVPSPYMFPSKDTKKLNDLQEETCGCGDNSSSDGASGAAYSIGGLTWKLLEGQSMEDYSLFWIGHDNSAFANVVLRFNACEIVRYDATKSQMVADLSQQRRILKRRYYLVERAKDANIVGILVGTLGVAGYLHIINQMMGLITAAGKKAYTLVMGKPNPAKLANFPECDVFLYVSCAQTALLDSKDYLAPVITPFEATIAFNRGSQWTGAYVMGFGDLINLPQVQVGNQEEARFSFLKGGYVEDFENQENNVEEEREDLALANATEKALQLRDNCNSIIKGGAKSGAEFFANRTYQGLNIPDDNTTPQSFVIGRKGRAAGYEDENNKH >RHN39961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11909357:11909773:-1 gene:gene46045 transcript:rna46045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MASFNGFYDVVTIIIGFTLLFTTSLCSEISQPPSGGPSNDVASAPKPLSPYEKYLTNCASKLKPAECGKQIFSGVFVGNRIISYYCCHSLVNDVGKSCHYDLTRNALQWPAYAKNKTEILKRNDKVWNDCIAVRPILP >RHN56124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27978271:27980681:-1 gene:gene31471 transcript:rna31471 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNLEDMLTSSRLATENLNLKLGELHLQIKVKDEEITHHINSEQKLDKEKSDLQLCNADLAEKLGTSLQKINNLEGSLQEMAAHLLNLDKESLNLVNKFDEMNSLYTSCFNLVQHERDTFSKHAQNQYDVLHTKFLVLSSEKDEIHMTNQELRSSLNDLRKVQEATVAQYTEDCRLAAERIQRLESEAEALISKKAETEVTISKLEEKAEILLGSVTSSENQMQGLLLKISALETESKENMERMQADILKKSEEIDTLQKERMKIEQNADSFDKEVLQLRNILEEKEQCILQHTEEEKKLEDQITENRSLLTAAESKLAEARNQYDQMVENKQLELSKHLKEISQRNDQAINDIKRKYELEKMEIVKLETDKADKAIAAIEGRCDQKLAECKEESRKQLMHIQEEHAKLVTHMQQEHDKRQLCLQAEHSEKLKRAQLQAENELREKTMFMRNDHEAQIKALRCELEDECRKLEEELHLQKSKVWNTYDKLISSQTVFFYIILQYFQEERQKALLQLQWKVMSDKPKEDPEVNSKQVGLCNQSLCVCLR >RHN81414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45717067:45720104:-1 gene:gene5453 transcript:rna5453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MIQEDQGQCSSQTINNFETYQQEQFLLQQQMMRQQNSDHHIFGGGRSMFPTAGGDHNQVSPILQQTWSMQQLHHHHHPFNPHDPFVIPQQQASPYASLFNRRVPSLQFAYDHHAGSEHLRIISDTLQHGSGSGPFGGFQGEVGKMSAQEIMEAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTSLIAETSPVPTECDELTVDAAADDEDYGSNGNKFIIKASLCCDDRSDLLPELIKTLKALRLRTLKADITTLGGRVKNVLFITGEEDDHEYCISSIQEALKAVMEKSVGDESASGSVKRQRTNIISISN >RHN65950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6863255:6870350:1 gene:gene13854 transcript:rna13854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAELVAGAFLQSSFQVIIEKLASVGIRDYFSSNNVDELVKELNIALDSINQVLDEAEIKQYQNKYVKKWLDELKHVVYEADQLLDEISTDAMINKQKAESEPLTTNLLGFVSALTTNPFECRLNEQLDKLELLAKQKKDLRLGEGPSASNEGLVSWKPSKRLSSTALVDESSIYGRDVDKEKLIKFLLEGNDGGNRVPIISIVGLGGMGKTTLAKLVYNDNKIKKHFELKAWVYVSESFDVFGLTKAILKSFNPSADGEYLDQLQHQLQDMLMGKKYLLVLDDIWNGSVEYWEQLLLPFNHGSSGSMIIVTTREKEVACHVLKSTKLFDLQQLEKSNCWRLFVTHAFQGKSVCEYPNLETIGRKIVDKCGGLPLAIKSLAQLLHKKISEHEWIKILETDMWRLSDGDHNINSVLRLSYHNLPSDLKRCFAYCSIFPKGYRFEKEVLIKLWMAEGLLKCCGSDKSEEEFGNEIFGDLESISFFQRSFGTYEDYCMHDLVNDLTKSVSGEFCMQIEGARVEGINERTRHIQFAFSSQCGDDLFLTNPNGVDNLLEPICELKGLRSLMLGQGMGVVMCITNNMQHDLFSRLKFLRMLTFSGWHLSELVDEIGKLKLLRYLDLTYTGIKSLPDTICMLYNLQTLLLKDCYQLTELPSNFSKLINLRHLELPCIKKMPKNMGKLNNLQTLSYFIVEAHNESDLKDLAKLNHLHGTIHIKGLGNVSDTADAATLNLKDIEELHTEFNGGREEMAESNLLVLEALKPNSNLKKLNITHYKGSRFPNWLRGCHLPNLVSLELKGCKLCSCLPTLGQLPSLKKLSIYDCEGIKIIDEEFYGNNSTIVPFKSLEYLRFEDMVNWEEWICVRFPLLKELYIENCPKLKRVLPQHLPSLQNLWINDCNMLEECLCLGEFPLLKEFLIRNCPELKRALPQHLPSLQKLGVFDCNELEELLCLGEFPLLKVFSIRNCLELKRALPQHLPSLQKLGVFDCNELEASIPKSDNMIELDIQNCDRILVNELPTSLKKLLLRRNRYTEFSVHQNLINFPFLEALELNWSGSVKCPSLDLRCYNFLRDLSIKGWCSSSLPLELHLFTKLQSLYLYDCPELESLPMGGLPSNLIQLGIYNCPKLIGSREEWGLFQLNSLKCFTVADEFENVESFPEENLLPPTLEILQLYNCSKLRIMNKKSFLHLKSLNRLYILD >RHN77519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7366080:7366325:-1 gene:gene983 transcript:rna983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alkane 1-monooxygenase MRMEFHTQISVRDICLNFILAGRDTSSLVALRRLFWLLDHNNEMEEKIVEICRVVSQREGGYSKGINKASIPTISIHHPPA >RHN45411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20221511:20222212:-1 gene:gene39739 transcript:rna39739 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSSLTDEDMTEEASRKNGESDMYNLFLMTSVSSIFLMTSLNQLFRD >RHN73645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18483084:18486880:1 gene:gene9483 transcript:rna9483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MGSVSISIFLLLTLISQCYSLPSKKTYIVHMKNHYNPTIYPTHYNWYSSTLQSLSLSIDSSNLDSDDVVDETDSDPLLYSYTTAYTGFAAKLNTQQAETLLQNDDVLGVYEDTLYHLHTTRTPQFLGLETQTGLWEGHRTQELDQASHDVIIGVLDTGVWPESLSFNDAGLPEIPTRWRGACENAPDFNSSVCNRKLIGARSFSRGFHMASGNGADREIVSPRDSDGHGTHTASTAAGAHVGNASFLGYATGTARGMAPQARVAAYKVCWKDGCFASDILAGMDRAIQDGVDVLSLSLGGGSAPYFHDTIAIGAFAAVERGIFVSASAGNSGPTRASLANVAPWIMTVGAGTLDRDFPAYATLGNKKRFLGVSLYSGKGMGNKPVSLVYFKGTGSNQSASICMAGSLEPAMVRGKVVVCDRGISARVEKGRVVKEAGGIGMILANTAASGEELVADSHLLPAVAVGRIIGDQIRKYVSSDLNPTTVLSFGGTVLNVRPSPVVAAFSSRGPNMITKEILKPDVIGPGVNILAGWSEAVGPSGLAEDTRKTKFNIMSGTSMSCPHISGLAALLKAAHPTWSPSAIKSALMTTAYNHDNSKSPLRDAADGSFSTPLAHGAGHVNPQKALSPGLVYDASTKDYITFLCSLNYNSEQIQLIVKRPSVNCTKKFANPGQLNYPSFSVVFSSKRVVRYTRIVTNVGEAGSVYNVVVDVPSSVGITVKPSRLVFEKVGERKRYTVTFVSKKGADASKVRSGFGSILWSNAQHQVRSPIAFAWTELLV >RHN72554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8593401:8593805:-1 gene:gene8280 transcript:rna8280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stigma-specific protein Stig1 MKFINTLFLLALLMALAITLSSATSSENEEPNSSLQGTSHFLNRKQYRISLTCDKYPKICHTKGSAGPDCCNNKCVNFTIDMFNCGRCGKKCSFPKICCEGKCVNPRSNKKHCGKCGNKCESRGSCVYGMCSYA >RHN40666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19135224:19158144:1 gene:gene46852 transcript:rna46852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dedicator of cytokinesis, DHR-1 domain, DHR-2 domain-containing protein MLHLRHRRDSTPATTKWRNKFDENLEQWPHLNELVHCYTTDWVKDENKYGHYESIGTPSFSNQIYEGPDTDIETEMRLAGARRTKGEDISEDDIPSTSGRQFMEAADGEHSDVPKHFGQSPLPAYEPAFDWENERSLIFGQRIPETPITHGMKISVKVQSLQFQAGLSEPFYGTICLYNRERREKLSEDFYFHISPTEMQDAKITCEPRAIFYLDAPSASVCLLIQLEKHATEEGGVTPSVYSRKDSVHLTEREKQKLQVWSQIMPYKESFAWAIVSLFDGSIGAASVGPASPSSPLAPSVSGSSSHEGVFETNTKVSLDGKLSYSNGNSVVVEVSNLNKVKESYTEESLQDPKRKVHKPVKGVLRLEIEKHQISQADLENISECGSATNDSVDPGDRIADSMSGKYPSNGCDDPQGSISRWNISDAKEVFGNGANHHGNSDFNADDFHAFDFRTTTRNEPFLQLFHCLYVYPLTVSLGRKRNLFIRVELREDDGDIRRQPLEAIYPRDPGVETSFQKWGHTQVAVGARVACYHDEIKLSLPAMWTPMHHLLFTLFHVDLQTKLEAPKPVVIGYAALPLSSHAQLRSEINLPILRELVPHYLQDAGRERLDYLEDGKNVFRLRLRLCSSLYPINERIRDFFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPILNMLLHLIGNGGETLQVAAFRAMVNIVTRVQQESVDDAERNHFLVNYVDCAFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEKTRLFYHSLPIGEDIPPMQLKDGVFRCIMQLYDCLLTEVHERCKKGLSLAKRLNSSLAFFCYDLLSIIEPRQVFELVSLYLDKFSGVCQSVLHECKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQELFVTWDHEDLSLRAKAARILVVLLCKHEFDVRYQKPEDKLYIAQLYLPVIGQILDEMPVFYNLNSVEKREVSIVILEIVRNLDDASLVKAWQQNVARTRLFFKLMEECLLLFEHKKPSDGMLLGSSSRNPVGETPASPKYSERLSPAINNYLSEASRQEVRPQGTPDNGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPLLRQKLELWEENLSASVSLQVLEVTKKFSVMAASQSIATDVGKLDCITAVFMSFLSRNQPLSFWKAFFPVFNSVFDLHGATLMARENDRFLKQVTFHLLRLAVFRNDNIRKRAVVGLQILVRCSFHHFTQTARLRVMLIITLSELMSDVQVTQMRSDGSLEESGEARRLRKSLEEMKDETKSSFLLEECGLLENALVAIPEKKAENRWSWSEVKYLSDSLLLALDGSLEHALLAPVMTMDRYAAAESFYKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVARKDGVWNRDHVAALRKICPMVSSEITCEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELFHFCASILELVIPVNKSRRAYGQLAKCHTLLTNIYESILEQESSPIPFTDATYYRVGFYGDRFGKLDKKEYIYREPRDVRLGDIMEKLSHIYESRMDGDHTLHIIPDSRQVKAEELQPGVCYLQITAVDAVMEDEDLGSRRERIFSLSTGSVRARVFDRFLFDTPFTKNGKNQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >RHN62029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40185874:40188824:-1 gene:gene24555 transcript:rna24555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative remorin MENWLKQIREKLIGAEEENTTDFGGSRVQKIPIQKSSSFKEKKKAHNWFQRQFSRKMSHDYDFIEMEHATAVAAAAFAISSHVSEIPHEQNMWEFPESSLTKTRSKAYDKKSSFSQLGAASKRLSGSFKITDEQGNTVPTTSSIREEKKPEKTISFAPAPSMKKTPTFGEKSKRNDDKKPDILKPKKVPSFGEKSKRDDDKKPDILTSKRTPSFGGKDFISTDDIQPETPKAKILPLVDDPTTSSWPQPQPPPPPPPPQPPIRQTSTAARPSTRPSETEAKADAWEREELKKIKERYEKLLETIDSWEKRKKMKARRKLNKHEQSENTRKREKAWKKYQDKIKYIDEIAEGARAQSDERRKNETLKAKDKANIIRTTGKLPGACSCF >RHN69330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42669554:42676396:-1 gene:gene17781 transcript:rna17781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative coilin MVTESDKTATLTMMSNKVRLRLLFDDRNMLSKSKKKEGLKCCWFLLKPHLTTISDLASHILAVFRLHRNCPDGITLSMDGFVLPSFESTCILKDKDIVCVKKKGSMLTGSKPAMLPSETHENQLIELPKLLAIEGFQEKREEYETISEDDDDDNDQSEDVVNVESKLDGNATSKKRKASKKLKSPSKKKIKMSTTENLADIPEAHEEENGSVKDCTHRKASLAKKDIGKSSTLSCQKNDKSGSTSEETRSLQPQDEGEPKKLPSRSARRKKAKRKWLRELKLKEKEKEKEKENENEKDKLHPSQVLEKDDQQIPIKDNNGKVSDVRQQSNEESEADDDMVPVEIRPGHIRFQPRGKDLAAPENQLPVDTFQWNGTTSKKKGQKWGKERTSSHKQDDYEPSSQDGHAAQWRGTVSKKDPKWGKERTSSHKQNDYEQSSQDGRTVQWSGTASKKKDQIWGKGWTSSHKQDDHEQSSQDRPTVQRNGTISKDQKWGKEKTSAHKHDHEQSSQDRPTVQWNGTISKDQKWGKEKTSAHKQDDCEQSSQDRPTDQNAGKKRTFDAVDFEKLTPYTDLPKEGNVIAYRLIELSESWTPELSSFRVGKTTQYDSKSNRIWLQPVSEFPFDFWKKIDDMDEDGSPSQSDPSPYQEDGSLEIDYASLADVRIIKHGHSDLATVVAHSDAFVTPTKATNNSTDEKPADNETAAGSSKPQIEGHVTAKENGEVNVWDEINEALKAKKTRLSQEDRWKKEGDSSENRSWSQRALRCSALGPTMALLRSQNGFKK >RHN53858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4772245:4775748:-1 gene:gene28818 transcript:rna28818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SUF system FeS cluster assembly, SufBD MSGIALTPAAFNPIIPSSSSSSSKSQKLIRTKTRVTFNNAISISSDPFILDLAETLEDSVPTPQPLQNLRQASSHSLLSSPWPSRKHEPFRFNDFSFLRSSQILPAPIPTTTTTATDQFPCHISIVDGHFIQSTSKISEFSDGVYVGNLSKLNGVVAKRVLELVSGLDGGDLFWSINGMGAPDLTVVYVPEGVRVETPVHLGYVSLQGSKEGGNELYLSNPRVLVVVEKGGEVDIVEEFEGNENECYWSNAVLEVVIGEGGKVRHSYVQTQSMLAAHIKWTSVRQESSSTYELTEVSTGGKLGRHNLHIKQLGPDTVTELSTLHLSVGNQTQDLHSSLVLDHPRGYSRQIHKCIVAHSQGQAVFDGNVKVNRYAQQTDAGQLSRSLLLEPRATVNVKPNLQIIADDVKCSHGAAISDLEQSQLLYFQARGIDSETARRVLIFAFGGEVIDKFPNSSIRDRVRSQIKSLLDPSPK >RHN44005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:724072:728670:1 gene:gene38049 transcript:rna38049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GPI-GlcNAc transferase complex, PIG-H component domain-containing protein MVDTRYNYVHDQKYPSEDVDIHHIVLRSNGGKYFFVYASALIVLACGIYLYLLEEKSISLVYYSLLFDIFLVKLLLRLPVIKESVVIMPAFGVQLETHYMSGKVTRRFVPIDRILKPVLLECVTPVTCYWTLSLIVREESEMVLVYKNLRPPVKMLVHVWKALCAATDNKGETCIHAK >RHN69926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47369498:47370634:1 gene:gene18459 transcript:rna18459 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLLLRVASRTAQQLDPPPPLPPAAMLAGQTIIIRNKSFTDLGEGVLPFAIAAQVLSKNSISGEQTKDTLEIFSVSSAVGTNYGENLRGREWGVVSTLPDSFRYFHFENSNEGSRNTHNVCKFQNGKPRFTLRLYHSNFSIFHYCLLICFYTQN >RHN77038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3442511:3446313:1 gene:gene445 transcript:rna445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MGRKRSKSTHLTIKDAELDRISCLPGHVIDQILSLMPIKEAVRTSVLSSSWRNKWYTLPNLVFNKHCISVAASKYTSVINNKFLRIVDHVLLQHSGPINKFMLSDNENCLIRVCDVSDVHRWVLHLSRRSIKELLLHFWLDKRYKIPLSLFSCQSLRHLSLSCCQLKLPTTFEGFKNLQYLHLEEVTLAQDDFENMISGCPLLDHLVLMKIDGFSQINVHAPNLSTFQFYGKFEDISFQNSSQIYTVYVDLSIYLNSKSNQSKLHGCSSNLLKFFAHQPHIQFLEIGYYFLKYLAADDVPVKLPAPCISLCELSLCINFNDLKEISAALCLLRSSPNLKKLEIFARIEEETVLLTPTSYCWEDIFSGPAMPIQVQHVTIDGISGIRSELDFIRFLLRYSPVLEKMIVKHVVNVQPELITELLRFKRASDEAEVIYLEKEEEASEQSEAG >RHN62241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41959389:41959691:-1 gene:gene24795 transcript:rna24795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MLIFDIYACVVFKYDAPNATSFPHSVYMFPTWQSFMKCDVKKAKMVANHTQGVGEGFKFVLNKWKPYYFSCGEKNGLHCNVGQMKFTVMPMLRPFLPSWP >RHN51048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13392566:13393639:1 gene:gene35418 transcript:rna35418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKSFSLYLHLLFMLTLNLMWFGPNKIRAVAAIGNQTDHLALLKFKESISSDPYKALESWNSSIHFCKWHGITCSPMHERVTQLTLERYQLHGSLSPHVSNLTFLKTLNIGDNNFFGEIPQELGQLLHLQQLFLNNNSFAGEIPTNLTYCSKLKFLFLSGNHLIGKIPTEIGSLKKVQAMTVAKNNLIGGIPSFIGNLSSLTRLLVSENNFEGDIPQEICFLKHLTFLALNENNLSGKIPSCLYNISSLIVLSVTLNHLHGSFAPNMFHTLPNLELFYFGANQFSGPIPISIANASALQRLDLGHNMNLVGQVPSLRNLQDLSFLSLEFNNLGNISTMDLEFLKYLTNCSKLNMLSIS >RHN60507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27438676:27443345:-1 gene:gene22828 transcript:rna22828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MGKREKQKQKHDRSQRGRDYYLQDNNEDDTSTMLSPSHNEDEDEIEDAADSDADNNDNNDQHPSHDMPSKFLLYQQSVQSPKGDISYLQKFFLMYVGGRVPLHLQEDFCGTALLSAEWLRSDPRKTAVGLDLDLEALNWCLENNIPKIGADGFSRISLFHGNVLQPLQSKLVKIDPEELVRNISLSQNTENLQTDVIESDVPTSSAAQDDELTMNNFTLSGRDIVCAFNYSCCCLHKRAELVLYFKHARDALSKKGGIFVMDLYGGTSSENTLKLQRRFPNFTYVWEQAEFDIIQRKTRISLHFHLKKEKRKIRHAFSYSWRLWTLPEIRDCLEEAGFRAVHFWVREMPDSTEITRTEGFGVGKDIKYEEATSFQQQDSWNAYIVGVA >RHN50531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7954643:7963746:-1 gene:gene34827 transcript:rna34827 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVWILMMIIMMGHIDVTKGAKKVSWKVHTLFSVECQNYFDWQTVGLMNSYRKAKQPGPITRLLSCTDEEKKNYKGMHLAPTFQVPSMSRHPKTGDWYPAINKPAGVVHWLKHSKDAKNVDWVLILDADMIIRGPILPWELGAEKGKPVAAYYGYLKGCDNILAKLHTKNPDLCDKVGGLLAFHISDLRRFAPLWLSKTEEVREDKEHWATNITGDIYGKGWISEMYGYSFGAAEIGLRHKINDNLMLYPGYVPREGIEPVLLHYGLPFSVGNWSFNKLAHHDDGIVYECNRLFPEPPYPKEVLQLELDANRRRGLFISIECINIINEGLLLQHGANGCPKPAWSKYLSFLKSKSFAELTKPTYVNPATLKMMDEEVVETPVDRDDRKPHPKIHTVFSTECTPYFDWQTVGLMHSFHLSGQPGNITRLLSCNDEDLRKYKGQDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHVNVDAEYIVILDADMIMRGPITPWEFKASRGHPVSTPYDYLIGCDNELAKLHTSHPEACDKVGGVIIMHIDDLRKFAMLWLHKTEEVRADRAHYARNITGDIYESGWISEMYGYSFGAAELKLKHTISNEILIYPGYVPEPNVKYRVFHYGLRFSVGNWSFDKADWRVVDVVNKCWAKFPDPPDPSTLDQANKENLRRDMLSIECGKTLNEALELHHKKKCPSADSILISKGDEKTEESGTSREIGNTDGTIDSVTNRVATNHSEELASVQKEELPSVQKDEIPSSFRFWVVFLWAFSGFGFLVVIFVVCSGHRRRGTRMKHHSRRRRSMHSGFMESNGRDRHGRDVDL >RHN62864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46315596:46316071:-1 gene:gene25491 transcript:rna25491 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVEKKRSLLKRLKKETLRLKFLWQSSSGFRWNRLTSPVSFMEDIVFKVVSAFEAVVLVLTLCFFYLCCGCSF >RHN62377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43025438:43025986:1 gene:gene24946 transcript:rna24946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MDLKASTSRDGASEDVGSAFDYNIFLGRTLHIDIMGENGGEAANADDANLQMDSSIAVKVITMQISSAILAAKSPLFFKLFSNGMRESDQTHVTLRITASEEAPVIALLKFMNTNILNVTSPLVFLEVLVAADKFEVASCLRHCNQLLLSISMTLEFVLPYLDLPSSVLMDDGVQPLTDAKK >RHN74029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23797929:23798238:-1 gene:gene9956 transcript:rna9956 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVFLRNRLCWCSLLDSLIFSGLLRFAGLDASGPPSLWYRLLVRGCWNVDLGTMLAVDPYVNLKTILYPTDALSSSFL >RHN69991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47816152:47818347:1 gene:gene18527 transcript:rna18527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MQGELELPPGFRFHPTDEELVNHYLCRKCAGQSISVPVVKEVDLYKFDPWQLPEMGYHSEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPIGKLKPMGIKKALVFYAGKAPKGVKTNWIMHEYRLANVDRSAGKKNNLRLDDWVLCRIYNKKGKIEKFNTSNTPKVQNYYEHEEVEEHEMKPEIQKLGNYQLYMDTSDSVPKLHTDSSSSGGHVVSPDVTCDREVQSEPKWNDLGIQLDDAFDFQLNYLENALSFDIDDDPFGTNQYQMNQLSPLQDMFMYPQKPF >RHN73581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17834917:17836658:-1 gene:gene9407 transcript:rna9407 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVTSTSMCSRSGDVLLELWLLRILTKSPRTANFIAGILNGGKGGGMGINGDWLPALKFSTVSLMKLLDLFGNGGFVWFLRRDGAGGGVGGGGGICFFGLDDLLDGGGTVRKRRVKARIGSRIMRSGGLSKGGDEFHAPPRWGIVLVNVSDKVMGIELVGWLLSLFVCLVLCLFI >RHN63764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53892836:53893135:-1 gene:gene26508 transcript:rna26508 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFQLQRFLTQRILKPKPKPFKFHYSCYSSSSNSTLKQDSVSANDNDFPTGDFDFKPVTGFNKLLVKLNLLTVDSSERVPHGSVLKIILRGQVFPSP >RHN74069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:26212948:26227031:-1 gene:gene10026 transcript:rna10026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminopeptidase MSGAASSSTILTTSLVFSSSFSSSRLFIRRRLPLRSASSPLRRLCLTREVPVRNLIMPPRATLGFTHPANTETPKISFAAKDIDTDVAEWKGDLLAVAVTEKDVTRDGELKFQNSILRTLDSKLGGLLGEASSEEDFTGKLGQSTLLRITPAAGLGSKRLALLGLGPSASSTAAFKTLGESVASAANFAQAAHVAVVVLPTSKGLSAPNIASAIATGTVVGTFEDNRFKSESKKKPVLKSLDIIGLGTGPEFEKKLKYAGDVSSGIILGKELVNSPPNVLTPGVLAEEASKIASTYSDVFTAKVLDAEQCKELKMGSYLAVAAASANPPQFIHLCYKPPTGPVNVKLALVGKGLTFDSGGYNIKTGPGCMIELMKFDMGGSAAVFGAAKAIGQIKPLGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYTCNQGVDKIIDLATLTGACIIALGPSIAGAFTTSDDLAKEVFDASDVSGEKLWRMPMEESYWESMKSGVADMLNTGGRQGGAITAALFLKQFVDEKVQWMHIDLAGPVWNEKQRCATGFGVSTLVEWVLRNAS >RHN53274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:580525:583929:1 gene:gene28165 transcript:rna28165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tryptophan synthase MAACKLQGTIVRNQFIEKKVAPKERNSAVVQAVVTRDKFNVKIPQLATTYTPLPFTIPLIEKAKEIIVETEDTTSAGKFGRFGGKFVPETLVVCFNQLEAEFKNALHDDVFQAELATALRDYVGRETPLYHAQRLSEHYKSKNGGKGPEIYLKREDLNHTGSHKMNNALAQAMIAKRIGLKSVVTATGSGHHGLATAAACAKLALECTVFMAAKDMDRQSSNVRLMKLLGAKVEAVNGCFKDASSEAFRCWVGDLENSYHLSGSAVGPHPCPTMVREFQSVIGKETRKQAWEKWGGKPDIIVASVGTGSNALGMFHEFLSDTDVRLIGVEAAGLGLESGKHSSTLTKGEMGVYHGAISYLLQDGDGQIIEPHSIAAGMEYPGVGPELSFLKESGRAEFCVATDEEALDAYERLCKLEGIFPSLEAAHALAILDKVVPTLPNDNIKVVVNCSGRGDKDADIVFNCRK >RHN82472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54038146:54041527:1 gene:gene6641 transcript:rna6641 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEFHDFWRFTDAIISHDCHICVGSLMQFLEVYIARERYVNYWSFRTVVARHLANMYGDFCFFVEVKNTEVGRKII >RHN43083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43036005:43040122:1 gene:gene49606 transcript:rna49606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine gamma-lyase MLSITCAFSAYPTHPVRISIPTTSTIRYASRFSVPINPFVPEVIEAVDSLNSEFRAVDNLVAYNTTRVLKAFHNARLGSHHFGGCTGYGHDETGGREALDQAFAEIVGAESAIVRSQFFSGTHAITCALFALLRPGDELLAVAGAPYDTLEEVIGKRDSGGLGSLQDFGVKYREVPLAEDGGLDWNELMHAVKPETKCALIQRSCGYSWRQSLSVNDIGRAIKIIKMQNPECSVMVDNCYGELVESIEPPMVGADLMAGSLIKNPGGTIAPCGGYVAGKKKLVEAAAARLSAPGLGVDCGSTPGDIMRAFFQGLFLSPQMVGEAIKGSFLIAEVLASKGYKVQPLPRVPRCDTVQAVQLGSRERLLAFCEAVQRSSPVGSYTKPIAGTTPGYASEVIFADGTFIDGSTSELSCDGPLREPFAVFCQGGTHWTQWGLVLGEVLKSIDGI >RHN41548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30982821:30983544:1 gene:gene47882 transcript:rna47882 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPTSIRSFKEMGERFFKFVKGIFKVWYDIIRNVCSSLCVFRKVINFWQWKVYKFDERSNIWW >RHN69250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42083696:42085806:1 gene:gene17692 transcript:rna17692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microsomal signal peptidase 12kDa subunit MQIMLLAFAVVAFGTGYLMASFKTMMLIYAGGVVLTTLVTVPNWPFFNRHPLKWLDPSEVEKHPKPQLSPIVNSKKKPIKK >RHN55704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21390980:21398459:1 gene:gene30930 transcript:rna30930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein Hsp90 family MAPVLSRSLAATASLTSLPSSSSFSLSRPSSTLRSAFLPPQPRRNRFSSTGLKCKHHDRRRTGRLSVRCEAAVAEKEEASGEKFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTEPSLLGESGELEIRIKPDPDNGTITITDSGIGMTKEELIDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVADRVVVSTKSPRSDKQYVWEALADSSSYVIREETDPEKLLHRGTQITLHLRPDDKYEFSEPTKIQGLVKNYSQFVSFPIFTWQEKSRTVEVEEEEEPKEGEEPKAEGDTNKTKKTKTEKYWDWELANETKPIWMRNSKDTPREEYNEFYKKTFSEFLEPVAYTHFTTEGEVEFRSILYIPSMGPLNNEEVVNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQDLAEGENKEDYKKFWENFGRFIKLGCIEDSGNHKRITPLLRFYTSKSEEELKSLDEYVENMGENQNAIYYLATDSLKSAKTAPFLEKLVQKDIEVLYLVDPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEVKERETKQEYNLLCDWIKQQLGDNVAKVQVSKRLSSSPCVLVSGKFGWSANMERLMKAQALGDQASLEFMRGRRILEINPDHPIIKDLSAACKNAPDSSEAKRAVELLYDTAQISSGFSPESPAELGNKIYGMMALALGGRWGRTEEEGDAPAEADSNESESTEAEVFEPSEVIAENDPWTTD >RHN46930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35855603:35856302:-1 gene:gene41467 transcript:rna41467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I12, Bowman-Birk MSPHKEIKERYKEIVTMELMNKNKKAMVMKLALLVFLLGFTSTVVDARFDSTSFITQVISNGDSTTNNYDAKSTATACCNTCLCSVTPELTQCRCADVGKTCHSACKNCECGWSSPLCTCYDITDFCYKPCN >RHN56596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32123065:32132231:-1 gene:gene32026 transcript:rna32026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin/SUMO-activating enzyme E1, ubiquitin-activating enzyme E1 MLPRKRLSEGEVVVEEPINNNNGNNNLGSVKKTRNGESTVNESDKSFSSGGDNSNSTGNLIAASSMAFGNSNAQEIDEDLHSRQLAVYGRETMRRLFASSVLVSGMRGLGAEIAKNLILAGVKSVTLHDEGTVELWDLSSNFVFSENDLGKNRAVASVSKLQELNNAVLVLSLTTKLTKEQLSNFQAVVFTEVSLEKAVEFNDYCHSHQPPIAFIKTEVRGLFGSVFCDFGPEFTVVDVDGEEPHTGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMKELNDGKPRKIKNARAYSFTLEEDTTNYGAYEKGGIVTQSKQPQVLNFKPLREALNDPGEFLLSDFSKFDRPPLLHLAFQALDKFISEIGRFPVAGSEEDAHKFISIANNINGNLGDGRLEDVNPKLLQQFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTEPLHPDDLKPINSRYDAQISVFGQKLQKKFEDAKVFVVGSGALGCEFLKNLALMGVSCGGQGKLTVTDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPQLNIEALQNRVSSETENVFHDTFWENLSIVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPSEYTNAMKNAGDAQARDNLERVLECLDKEKCEAFEDCIIWARLKFEDYFANRVKQLAYTFPEDAATSTGAPFWSAPKRFPRPLQFSSSDPSHLQFLMAASILRAETFGIPTPDWVKNPTKLAVVVDRMIVPDFQPKKDAKIVTDEKATSLSTASVDDAVVIDDLIVKLERLRSNLPPGFRMKPIQFEKDDDTNYHMDVIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKALDGGHKLEDYRNTFANLALPLFSMAEPVPAKVIKHQDLSWTVWDRWIIKDNPTLRELLDWLKEKGLNAYSISCGSCLLFNSMFPRHKERMDKKVVDLARDIAKMEIPSYRRHIDVVVACEDDDDNDIDIPQVSIYFR >RHN44223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2533248:2536616:-1 gene:gene38315 transcript:rna38315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MVLSLMALVIGAWTYFFIAIMSVAASKSSPLQLEKEAQALVNSGWWNDFTNHAPTRCQWPGITCNNEGSITNISLPPEIQLGDKFGKFHFSSFTNLVHLNLASHGIIGNIPFELATLSKLIFLDVSSNDIEGHIPSNIWSLKNLITLNLSRNKLNGSIPSSIGQLTKLTFLHLDANMFSGSIPLEIGRLQNLIHLDLSHNSFFGLIPIEIGSLKSLKYLSLSINNLSGSIPLEIGNLNNLLYLDLSDNNLGGESLSFLYNLINLIELNLSRNNISSIMSHELVKWTQLEHMKISDNKFFGVIPSEIRKLSKLLVLDFSRNMFYGDIPTSLSNCSNLKVLNLSHNNITGSIPSHIGELVNLDLIDLSHNLLSGEIPYQLGNVKYTRVLDLSHNHLIGTIPSSLVLLRNIDLSYNSLEGKIPSSLQDTVAPNAFIGNEFLCNQFRYSTTCYSSPTKTNTRLKTHMKIFIPLISFLALLCSLYVFLCWCKACSFISRTQTTKNGDFFSIWNYDGKIAYEDIIEATENFDIKYCIGVGGYGSVYKANLPSGRVVALKKLHNLEANEPLIRKIFKNEVRMLTKIRHRNILKLYGFCLHNRCMFLVLEYMEKGSLYCVLRNDVEAVELDWCKRVEIVKGIANSLSYLHYDCEPAIIHRDVTTKNVLLNSEMEACLSDFGIARLRNSSSSNRTVLAGTYGYIAPELAYTDSVTEKCDVYSFGVVALEIIMGKHPGELVSSLRFSSTRNILLKDLIDKRLIATINQQSAQSLSLIATLAFECVHSQPRCRPTMQIVCDKLVTGKPSLTKPFEEVSIRMMLN >RHN66008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7535978:7537209:-1 gene:gene13917 transcript:rna13917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MASSSENNDQNNDVAAYSLMKEEITAISNQLLSAVTLTPPPHSLHMPSLSEDLVIEILCRLPVKLLLQLRCVCKSWNHLISHPKFAKKHLSTSRRRLHLVTHTNIPGKYVIDSYPLDSVFTGITTNITSFVEYYENDRFTKYDYIVGSYDGIVCLVEYFENAVLLWNPSIRKFKQSPSWKMPPMDSRLRYGFGYDHVSDAYKVVVAIGYTANYNGTDVDKTELKLLLPDLGEIRDARELILGLLRDCLCLISCHDVWIMKEYGNKESWTKLFTVSYWLEPIKYHRLTKAIYILEDDQVLLHQSFADYNSRLIVYDSRNHAFKFTKVASIPEVYVESLISPCS >RHN82749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56096234:56102522:-1 gene:gene6933 transcript:rna6933 gene_biotype:protein_coding transcript_biotype:protein_coding MPISGREESGIKSFAGQFSDLVAGVPIKKRRYPPLIQSPSPPRTEETDLQQKENSSTSQGSTLSNVSIAGAPIKKRRFPPSLQASLEEASVQEKSHTMQKEHSTTSLGSTLSTSSAGLSDTIGNPIIEKMKSSSDVTNVDMVQKSSLLMPKREESNPTLDVVNSKEKVMLNEGNEKNSGSQTIKANPELLLAAKDGLALSIGADLSKQIVQDTVKQESPIVPGSTTLSLSMKKHLFSSVTSSDINKIQPNMEKGEPVSLELSLSKEESSTHSSNTDAKSDSDTTRVHSSRANWDLNTTMDAWDEGSDASSVKTSIDGLNISHSALGEKQLTCSTGMTPPTSAVSVNQTRKESQSKAFVTSTGLYGQQYKCADPRNLCLSPFVQKYVEEPSRVSVKLNSGVAAPLVSLPSLAATAGDANTSSVRLVKPEPYDENLKKNLKKANAHLVGSLDSVAVKKEFIQHSVIKPSNVDSTFIKSEPSHEGNQERSKTAESTTTNQLGKVLPQMSLCSSSMTVPVMLNSTQVFAEVVHPAVKPVCTAVLTTGKNIVGQLENYSCAKGVNVEKVCDVVSSNSEQVPLVTVAISNPMVTTGLKYSSIVTKKEVADEHDGCRLKLMNEPTDARDSGVGCVSDEEKITLSTDMLEDDSFGSGLESDENHAVTVAVDTERYTEDDDYEDGEVREPLEPSKVEDTICEVRETEHPDLSNYDNKPVEKGVVVSSDYPTSSRVMENDNMTVIHNEIVSKDDVDIQMNEKPGKVIDKNVCVQESMDGEKSDIAADKRPVNVSQGKPLDLLERIIVSETQETEQPCNQATDGRHVIDVLCADEVVKTTDTVRETDLDFPKMEGSANTEDITKDVTNSSNQGRIIDLSRAASSSSPSKTRPISGRSLPTRAGRDVFPDTLDGDKLYRGRDEVYIDAPHRFSRERHQDMSTRNSRLNFGRGRGRVNSRGRGDWESEREYSGEFYNGPNQQYRGARSKYSSAIADNDLEYNNAGPDDSYVNGRLGRKPLNDGSYIAPRRRSPGGVRDGIQMGHRNQRPVSPSGRCIGGDGSELGGMRHSEKFMRGFNDDTLDSVYTRPQQFEGMDGRFSRGRGRGRNFSSMQRRGGLSRMRSKSPIRSRSRSPGQWTSPRRRSPRRRSPDGFGGHPEITHRRSPLYRVDRMRSPDRPVFTGERVVRRHGSPQFISRPSNDMRDIDSARDHGHPRSVISNRSPSGRILIRNRRFDVVDPRDRSDNDDEYFGSGGPMHSGRMVNINNGEGNGEERRRFGERRGPVRSFRPPYNNGNNNAGENFHINAEDGPRHYRFCSDDSDFHERGNNLRERDFDRRIKGRNGNGPPRRTRNMDEQEDNFRHGGQVWSDDSFDDISRVKRKRF >RHN67500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27747135:27748803:-1 gene:gene15693 transcript:rna15693 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSMKPLSIYKQPPLLFASLSKQLLHNDDRGIFKERRLIKYSSSFNSCCIVHALKEDSKQYEIDPEKAREALKELDQKIQSLSNREVSSPKLKVSEMKPTREEVISENNGKLEISESFLALLAGGLVLFTILYNVLFLTVIKPAIDGP >RHN54359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8989819:8993094:-1 gene:gene29378 transcript:rna29378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MQDLTMRRRAAALAIPTNDMAVRAHLRYLGEPITLFREREMERRDRLRMIMAKLDADDQLEKLMKALEDEEAATSTPNDEAEDDRQYPFHNEGSNSLLNARIDIAKYSLVRAALRIQRARRRRDDPDEDVNAEMDWTLKQAGNLNLEFSEIGDVRKLTGCSFSRDGKGLATCSLTGATKLWSMPDVKKVSTFKRHTESATDVAYSPVHNNHLATASADWTNDHNNDSATATINWTANYWNDQGSLLRTFKGHSDRLARIAFHPSGKYLGTASDDKTWRLWDVETGEELLLQEGHSREVYSLAFHHDGSLAASCGKDALARVWDLRTKRSLLALEGHVKPIRGISFSPNGYHLATGGEDNTCRIWDLRKNKSLYTIAAHSKLISQVKFEPQEGYFLVTTSSDMTAKVWSGRDFKHVKTLSGHEAIVSSVDVLGDGGYIVTVSHDRTIKLWSSGSTSEHTMDVD >RHN43407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45579278:45586334:1 gene:gene49978 transcript:rna49978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative G-patch domain-containing protein MAAPETPLNYVGVARKSAAFRLMKQMGWEEGEGLGKEKQGIKGHVRVTNKQDTTGIGLEKPNQWAFDTTQFDNILKRLKVQAPVSNDTESNTVKVETEAVVPVDPKVAVPRATRPQGRYKKRERGKLVSHYSSKDLEGILVKKSDISEEGTDNADDELDLSKTPDFQDFEDEGSKCPDIHPEWWGNKFGFVSGGLLGAASKKKKSSTSEIAKSGMARTPFFEQDQENLYNLVQDKSTTGKQGLGIKDRTKKVAGCYFQGKKTSFGNSDDEDSADIDSLENETDDDVEMVVEKVEKIVEPKMKLKKLCKQILNQAPGESLKLKQLKVLIEQHSSSILSDFSSKREAVAYLKQKLTGSRKFCIEGKTVRLAS >RHN59916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14760574:14763665:1 gene:gene22085 transcript:rna22085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MNAPDRYERFVVPEGTKKVAYERDTKIINAASFTIEREDHTIGNILRMQLHKDPNVLFAGYKLPHPLQYKIIIRIHTTSQSSPMQAYNQSINDLDKELDTLKSGFEAELLKFSKDY >RHN51707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23261046:23261912:-1 gene:gene36212 transcript:rna36212 gene_biotype:protein_coding transcript_biotype:protein_coding MDITRLQAEEHLNYCRGCIRVYTRTAETLQRRIAEANASTSAKNDIVSAEINTSNEPETRENKTSSTEKSAKNDAVSEEPSTTKEAETEENKSSGTQKGVEVPEAETKDDETEENKSLGTQKGVEVPEAETKDDDAKSNDENVDDEEVEIDGKIIDDSVKAACNVGMTLTQVTILKFPEFFEASNAGFNTNDSENPASNVVKDVTDGEKPTECVSNVVTEYESSNVIIVL >RHN79593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30382626:30385472:-1 gene:gene3406 transcript:rna3406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative development/cell death domain-containing protein MHAKGSVACGVNGNGEEEVLVSRGWVQVRYQWLFGLSAKDHGGGGSDCEGLRRSWKWSGMLKFSSGDKGFMAGFCELVDYGLNENAEGELLASRRWVLVSFWLWFGFWITDDGGGSDYGGLMLVCCDDGGMNIAPRAYVSSGKQFPAQVKFTRILRCDPLFENEFCDAIRDNYFTKYKFNLGLSVDQVRSLMWLFNSRKCEAPRSLHRKKRKTRKWDFQIIEDVLEKGGVTNPPKRKLIVNHGTPVTAKQEVEKLSLSPESLGKGESMHLDVDAYDPEHPGFNKSVASGAYSAASFESRELPTLQEKKENFHIFEDGNEDFIPLCSTDHSDLEDGELGNSSDGSDEEQIELDMLIGNDDSSIPVPRFLLSDKESDKLGDSSSDAVSDFQSKDESDHLNSLLSKGMYCDEPKQKTSVFSRLSVSLKGIASKNQNDANRKDLVNKNNMSRKNKQYQYESIKDATQQRENGTTYKRASVFLRLTGASDAVSPQVPSMTGLYVRTGGLKKVW >RHN80724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40440725:40442573:-1 gene:gene4683 transcript:rna4683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal transduction histidine kinase, phosphotransfer (Hpt) domain-containing protein MDGMVQLQKNLFGYTDSLFHEGFLDDQFNQLEQLQDEKNPDFVVQVITLFFDDAERLLKELTTSLSQEKIDFKRMDAHVHQLKGSSSSIGAQRVQKACISFRDFCTEQNVEGCLKSLQQVKHEYSLVKSKLQTLFKMEEELLASRLSDLKVV >RHN76816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1521598:1522874:-1 gene:gene195 transcript:rna195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-exporting ATPase MENKISYFYFLFCQFVLLVTRIDLGETVRLLCCDLKVTCSSIGNSLSAYRDKAADIYLFQAALIGSHVCHTTFTNKKDYGKEEREAQWKAAQGSLHGVQPFTSNLLNENSS >RHN61587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36639387:36642929:-1 gene:gene24065 transcript:rna24065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase Clp MRGLFGITKTLFNGTKSSFSPCRNRAYSLIPMVIETSSRGERAYDIFSRLLKERIVCINGPISDDTAHVVVAQLLFLESENPSKPINMYLNSPGGAVTAGLAIYDTMQYIRSPINTICLGQAASMGSLLLCAGAKGQRRALPNATIMIHQPSGGYSGQAKDIAIHTKQIVRMWDALNELYVKHTGQPLDVIQKNMDRDYFMTAEEAKEFGIIDEVIDQRPMTLVSDAVADEGKDKGSN >RHN58695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4120191:4120406:1 gene:gene20628 transcript:rna20628 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRMVHSHSQSQHNNNISGTQHFLHDFLGMKSTDTSTDVRLPEASVSASSADARGPFSSTSETASGKYY >RHN76950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2618109:2618784:-1 gene:gene346 transcript:rna346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MASNKLSALIIIFSLFAHSTFSHDCASCKPTPISPPPPSKTPKACPPPPSTTPKASPPPPSTTASPPPKASTPPPSTPKASPPPPSMPTASPPPKASTPPPSTPTASPPPPSTTPTASPPTPSTAQKCPSDTLKLGVCADVLGLVNVIVGSPASSNCCTLIQGLADLDAAVCLCTAIKANVLGINLNVPVTLSLLLSACQKSVPNGFQCS >RHN73948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21992562:21993117:1 gene:gene9848 transcript:rna9848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MENTFFFFLMLSLGISAVTVAVAPPPPSSREGCTDQLLLFSPCLSYVSSPPNNLTETASTKCCDAFWSTFVPNSLCFCYLLRDNHILGFPLNSTRLQSLSSLCVSPPPTTSSFNVLCAESRTLPPLGSADILGVPVTPSGTGA >RHN63436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51289193:51290820:-1 gene:gene26134 transcript:rna26134 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRYFFIPRTILMATISFLSLSQHSNTCPKVPSPILLWILSREVKFSPTAKV >RHN68617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37152433:37159972:1 gene:gene16989 transcript:rna16989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MTTMLESNICNHHSHSLPIFFNPNSNPISGGIFLEPTLPDSFLRFISLPIPSTASSESNLHRRQRRRFPPPVGCFLSVSLPSSNNLPADSKPFVLHKDKKVRARERAAVNNTTKHLWSGAISAMVSRTCVAPLERLKLEYIVRGEKRNIFELIKSIATTQGLRGFWKGNLLNILRTAPFKAVNFSAYDTYRKQLLRFSGNEETTNFERFIAGAAAGVTATIICLPLDTIRTKIVAPGGETLGGVIGAFQYMIQTEGIFSLYKGLVPSLISMAPSSAVFYGVYDMLKSSYLHSPEGKQRIKNMHKHGQELSAFDQLELGPVRTLLHGAIAGACAEAATYPFEVVRRQLQLQVQSTKLSSLATFVKIVEQGGISALYAGLIPSLLQVLPSASISYFVYEFMKIVLKVE >RHN47197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37811209:37812268:1 gene:gene41763 transcript:rna41763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MASLRIKSIFLTLALILTVQRPLSTEGIGVNFGRNGDNLPSPQNVVGLYNKCGIKLLKLFEPSPDILEALKGSNIQVSLGVRNQDLQSLASTKEAANQWVNTNVAPYKGGVNFQWIVLGNEIIPGAQASFVTQAMQNIKDALTSIGLTDIKVTTSFYMQGLASSYPPSAGAFTNDVVNVMKDVTAYLHQTGAPLMVNVYPYFAYASNPKDIKLEYATFQAVAPVVDGELSYTKLFDAMVDSIYAALEKIDAKDVSLIIGETGWPAAGNDPYTSKENAKTYNTNLIQTFAEW >RHN73804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20010115:20011872:-1 gene:gene9671 transcript:rna9671 gene_biotype:protein_coding transcript_biotype:protein_coding MHFGQDAAQAFSEMVHRRNPDFNKLFAGNSQPGVCLITGESKKYANQSAAPKNDRDGTSMSAASRSKRSTDGDQDDSNKDSPLSKKQKSNIISEDENPSGLAQNLGKVVSESEYESEYDSDTEFRQEYEELCKVRDTLKEENSVLTQRLAKLSEKCLELTNENDSLEEELVRMFGPESIADLLHMKPT >RHN67946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31710748:31711053:-1 gene:gene16210 transcript:rna16210 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIEKQNGCSYDGAAILEEATVALCAVLTNAVEVHDNEGCALGIAVFEHAFSWINHSCSPNACYRFSFSTSSLLPHQSELRIAPFTQNSKSLLFFIYFF >RHN61057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32601548:32603642:1 gene:gene23469 transcript:rna23469 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSFGLRHLPQLIYAIAFCVIASSVAADDYKPYYGGQPNNNYPQPTPPSHGQQPPYYFKSPPYYYKSPPPPSPSPPPPYVHKYPPYYYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPTPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPASPSPPPPYIYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVHKSPPPPSASPPPPYYYKSPPPPSPSPPPPYVYRSPPPPSPSPPPPYIYKSPPSPSPPPYHPYLYSSPPPPIY >RHN41192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28005558:28008270:-1 gene:gene47484 transcript:rna47484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MSIIVQSYLSKDMNYSTITEEIGYLDKLELLLLSNNSLSGSIPSKIFNLSSLTNLEVDQNSLSGTIPSNTGYSLPSLQYLFLDHNNFVGNIPNNIFNSSKLIDFQLDSNAFSGTLPNTGFRDLWSLEVFLIYDNKLTIEDSHQFFTSLTNCRYLKYLDLSGNHVLSNIPKSIGNITSEYIRVESCGIGGYIPLEVGNMSNLLHFSLSRNNITGPIPGTFKGLQKLQYLDLGNNGLQGSFIEEFCEMKSLGELYLNNNKLSGVLPTCLGNMSSIIRLYIGSNSLNSKIPSSLWSVIDILELDLSSNAFIGNLPPEIGNLRAIIALDLSGNNISRNIPSTIGLLKTLETLSLANNKLNESIPSSLGEMLSLTSLDLSQNMLTGVIPKSLESLLYLQNINFSYNRLQGEIPDGGHFKNFTAQSFIHNGALCGNPLLQVPKCRKQVKKWSMEKKLILKCILPIVVSAILVVACIILLKHNKRRKNENTLERGLSTLGAPRRISYYELVQATNGFNESNFLGSGGFGSVYQGRLLDGEMIAVKVIDLQSEAKSKSFDAECNAMRNLRHRNLVKIISSCSNLDFKSLVMEFMSNGSVYSWLYSNNYCLSFLQRLNIMIDVASALEYLHHGSSMPVVHCDLKPSNVLLDENMVAHVSDFGIAKLMDEGQSKTHTQTLATVGYLAPEYGSKGIVSVKGDVYSYGIMLMEIFTRRKPTDDMFVAELSLKTWISGSLPNSIMELLDSNLVQITGDQIDDISTHMSSIFSLALSCCEDSPEARINMADVIATLIKIKTLVVGANTV >RHN40211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14253204:14259748:-1 gene:gene46333 transcript:rna46333 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ccd1 MESEKIGGGIVKVEPKPSNGFTSKAVDLLEKIIVKLFYDSSLPHHWLAGNFAPVKDETPPIKDLPIKGHLPDCLNGEFVRVGPNSKFAPVAGYHWFDGDGMIHGLRIKDGKATYVSRFVKTSRLKQEEYFGGSKFMKIGDMKGLFGLLMVNMQMLRAKLKVVDVSYGHGTANTALVYHHQKLLALSEGDKPYAIKVFEDGDLQTLGMLDYDKRLGHNFTAHPKVDPFTGEMFTFGYSHTPPYITYRVISKDGFMHDPVPITISEPIMMHDFAITENYSIFMDLPLYFRPKEMVKNKTLIFSFDSTKKARFGVLPRYAKDEKHIRWFELPNCFIFHNANAWEEEDEIVLITCRLENPNLDMVGGAVKEKLDNFANELYEMRFNMKTGEASQKKLSASAVDFPRVNESYTGRKQRYVYGTELDSIAKVTGIVKFDLHAKPDSGKTKLEVGGNVVGHYDLGPGRFGSEAVYVPRVPGTDSEEDDGYLIFFVHDENTGKSFVHVLDAKTMSADPVAVVELPQRVPYGFHAFFVTEEQLQEQAKL >RHN68343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34773058:34774076:1 gene:gene16682 transcript:rna16682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEIVKFVYLMIIFLSTFLVSTKILEKHKCVTDGVEILEKGKCFTDWECVRNSWLCPVDLVVRCIKETCKCIKILEPINVVPT >RHN82019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50607735:50610237:-1 gene:gene6135 transcript:rna6135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine synthase MAVERSGIAKDVTELIGNTPLVYLNKIADGSVARVAAKLELMEPCSSVKDRIGYSMIADAEEKGLITPGQSVLIEPTSGNTGIGLAFLAAAKGYKLIITMPASMSLERRTILLSFGAELVLTDPAKGMKGAVQKAEEILTETPNAYILQQFENPANPKVHYETTGPEIWKGTGGKVDAFVSGIGTGGTITGVGKYLKEQNSNIKLYGVEPVESPVLSGGKPGPHKIQGIGAGFVPGVLDVSLVDEVIQISSDEAIETVKLLALKEGLFVGISSGAAAAAAIKIAKRPENAGKLIVVVFPSFGERYLSSVLFESVRREAESLTFEP >RHN45627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23853540:23854304:-1 gene:gene40007 transcript:rna40007 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIGQLEKQFSEAKQKLKSETSAFKVVTRRSDLKKRWLFAAKRKAGLGMAKANKKLEEVEKHKAIEMVKLEEQKAFAKDNWNKFVEEKCHADQMSQQLEEVERTVEDLKRKMHELSSLRNQTEMATDIRKKTKSSQCSKVKHLKNNLNVEKLRARHNKLKYKLEASRYSILHHKLGCRKIGFIQLLRHFDVLDESFLPVSGSIQDQTKVGFTDELFSFVYNVLVVLSTFYPLMSKACTYTNTYELGLWILFLK >RHN72945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12044629:12056996:-1 gene:gene8712 transcript:rna8712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MRFRYPHAVVPLFSTMNTQTLSNSTPFSNLQKSLFLQTRFFQPKRPFFTVFCSKRASKFPPLPLKTNGYHGVSTAKVPRPVHLEENSMESQFDILKKKLEVVGMDTGICVPGQNNHLLCPKCQGGDTLEKSLSIYIAPDGGSAAWVCFRGKCGWQGSTQVFADSNSYSKSMKKLKPTKKKRELKEEDLQLEPLCDELVGYFSERLISKETLQRNGVMQRKYDDQIVIVFTYRRNGALISCKYRDVNKKFWQEADTEKIFYGLDDIVGESDVIIVEGEMDKLAMEEAGFRNCISVPDGAPPSVSSKELPPLEQDTKYQYLWNCKDELKKASRIILATDGDPPGQALSEELARRFGKEKCWRVRWPKKGKSDDCKDANEVLMYLGPDALKEVIENAELYPIRGLFNFRDYFDEIDAYYHRTLGYDSGLSTGWNNLNGLYNIVPGELTIVTGVPNSGKSEWIDALLCNLNQSAGWKFALCSMENKVREHARKLLEKHVKKPFFNDRYAEDAERMSLEEYEEGKLWLNDTFYLIRCENDALPNIKWVLDLAKAAVLRHGVRGLVIDPYNELDHQRPPNMTETEYVSQMLTLIKRFAQHHGCHVWFVAHPRQLHNWVGGPPNLYDISGSAHFINKCDNGIVIHRNRDPEAGPVDQVQVCVRKVRNKVAGTIGEAALFYNRVTGEYSEDDRKR >RHN75724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44572369:44575588:-1 gene:gene11963 transcript:rna11963 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAASGFLHWFTIVQQLILVNSTQQPRRDPYLHFVESPALAPPEVQIDLAVHQLS >RHN64217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57352621:57356129:-1 gene:gene27007 transcript:rna27007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-CRK7-CDK9 family MGCVFGKEGSERKKEVVKVVAKVEEFECEVQNDMKNEQDGGEDEKRRRQRARRERRQSLKANPKLSNPPNHIHGEQVAAGWPSWLSKVAGEAIHGLTPRRADSFHKLDKIGQGTYSNVYKAKDTITGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSCSLYLVFQYMEHDLAGLSTSPAIKFTMSQVKCYMHQLLSGLEHCHNRNVLHRDIKGSNLLVDNEGILRIADFGLASFFDPNHKHPMTSRVVTLWYRSPELLLGATDYGVGIDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPSEEYWKKAKLPHATIFKPQQSYKRCIAEKFEDFPLSSLPLIDTLLAIDPAERQTATAALHSEFFTTQPYACEPSSLPKYPPSKEMDTKLRDEEARRLRAVGKGDAVGAKKSRSRDRSGRGIPVPEVNAELQANIDRWRLVTRANAKSKSEKFPPPHQDGTLGYPFGSSCHMNPVFDPPDVPFSSTDFSYHKPNIQTWSGPLVEPRVSAPRRKKNKAGDRHIQSKSSKDIKR >RHN57855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42182830:42183984:1 gene:gene33444 transcript:rna33444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribosylformylglycinamidine cyclo-ligase MSSSNSRVVAVRDEGQGLTYKDSGVDIDADSQLVRRIAKMAPGIGGFGGLFPPCNSFFF >RHN64742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61462578:61462928:1 gene:gene27593 transcript:rna27593 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVGNKLRSISSLSTRNWSAERESLIPVSSTKTLAASIAFIPIILALRGHSKVLLYLASIIS >RHN80080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34887580:34889011:1 gene:gene3959 transcript:rna3959 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQLVNIRGSVLDTDAPSVVRLDIITDHAKVLRLTQLHKSGRKPPRSVPDARNAPSENVQDVQDAHNEIAQDAQNENAQDAQNETAPSGKAPVAKNVSGRVVAATKGSAKVVSSKYKHVKPVATKGAAVTRPVVVNKPAAKPAHKASHKHVATVKPSYKFKSATDISSTSTSMFVTSANAATSMSVNPHFKVPAKKKSEIIYDVTQLKNKARTTMSWKGRTCDGSRTNSSSKVPIYNLNNLRRSGRTCYFGPQPKLGALGTLETNPIGIDEGDGVLSQENHP >RHN46471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32026336:32032768:-1 gene:gene40959 transcript:rna40959 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGNCCRSPAAVAREDVKSSFSNHDHPKRTETTANKKSPPVTVLAATKTSDNMEDRYLIDRELGRGEFGVTYLCIDRDTRELLACKSISKRKLRTAVDVDDVRREVAIMRHLPKSSSIVTLREACEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVARTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGLIDFKREPWPSISESAKSLVKQMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDVVKSRLKQFSMMNRFKRKALRVIADFLSNEEVEDIKEMFKKMDTDNDGIVSIEELKVGFRNHQSQLAESEVQMFIEAVDNNGKGTLDYGEFVAISLHLKRMANDEHLHKAFSYFDKDGNGYIEPEELRNALMEDGTDDCTDVANDIFQEVDTDKDGKISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSLKLMKDGSLNLGND >RHN70227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49882100:49888272:-1 gene:gene18785 transcript:rna18785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proline--tRNA ligase MVSLKLSSFTHLFSSPSTTAISHRSSIILRRRHQPFTATFSAKTTAAETKDRVDNSKNRVPDRVITPRSQDFNAWYLDIIANAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELAVVTIGGGKELEEKLVVRPTSETIVNHMFTQWIHSYRDLPLLINQWANVTRWEMRTKPFVRTLEFLWQEGHTAHATPEEAENEAKQMIDIYTRFAFEQAAIPVITGRKSKVETFAGACKTYTIEAMMGDKKALQAGTSHNLGQNFSRAFETQFTDENGQREYIWQTSWAVSTRFVGGIIMVHGDDAGLMLPPKIAPIQVVIVPIWKKDDEKMEVLNAALSVKEALQSSGVKVKLDDSDQRTPGWKFNFWEMKGVPLRIEIGPRDVSSGSVVISRRDIPGKQGKVFGISMEPSILEAYVKDKLDEIQSSLLERAIAFRDSNIVDVSSYEDLKSAISQGKWARGPWSASDEDELKVKEETGATIRCFPFEQPQGIKRCLMTGDPAEEVAIFAKSY >RHN64068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56348380:56351695:1 gene:gene26848 transcript:rna26848 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase transcription factor DBP family MCVQEDEQVDQDNNNMEKLDNRRISWPLHCDLLHDQMDNTERDSSFRISSDQISAPNSFPLESICEDTEIADKKQNLINFVPTLRSGEWSDIGGRPYMEDTHISIEDLAKKFGYDAVCDEAISFYGVFDGHGGKTAAQFVRDHLPKVIVEDADFPLELEKVVTRSFLETDSEFAKTCSIESSLSSGTTALTAIIFGRSLLVANAGDCRAVLSRAGGVIELSKDHRPLCMKERMRIESLGGFIDDGYLNGQLGVTRALGNWHLEGMKEVSGRNGPLSAEPELKLMTLTKDDEFLIIGSDGIWDVFRSQNAVDFARRRLQEHNDVKQCCKEIIGEAIKRGATDNLTVVMVCFQSEPPPPLVVERPNRVRRSISAEGLQNLKYLLER >RHN69741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45968488:45975085:-1 gene:gene18253 transcript:rna18253 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFGSYNDWPYYAASSNLSASATPFSVNHQYSNPIKESDSVSGDSSNQFGYSDGTKSQQYFNSSDPWNSYYGFTASDAPCRFDYGKKPYEFGFSGKGNQIGVGSSSFGSNQASFTGSAFDERLKMKLGCQDITDSHGEVPHMTGWEKHSLPKSADHIDEKSCWWRTTKPMPVDFSHTSVLQSPLLSPETHHEAPFKLAVDSGNHHFSYSGAYDKHLGKQDKLLRVDTVSSAPITGSVTDLNVGIFVPDGDLKHNNFYDIKEAHPKPSLGTAGYFGLDHLRMHLDRSEHSSSNNAMIPDMNVSGDVVDYLHKARHEFQNPNPNLGHLSLRLDAIQGVNSVDNAIQCGGDPCNPSVDSPCWKGAPNAHFSYYGSSEALPPDHLPKNEKYFGSVTQEPQNFLPESNVKKPWDSSFQMHIPIVDQETSSAGSPRKFSETRFAFEDCKLDGAVGAGPFQSEPCCDYGLQHQYDTKRKENSVPPTKPIDGESGSSHDEHQVTEENKLMSQKLYTLGIGGVDAGCNKNICSMSGASHIEGHALPLSSSVGDAPATPKQSAGKVSTEKLDVQMLVGTMQNLSQLLLNHCSTDTSELEERDCNILRNVISNLNTCVLKNAEQVNPDQECLFHQPETSRCAVESCEPQQAAQLTKIGSESSMDELENLLAQKKDLCFGSGTPHWMASASICPSGGAETTKAENMTTDDERENLLAQADLPYWMPSDSIAPSGSAKMTKAENMTKAIKNILSENFDDDGATESQTLLYKNLWLEAEAAICSVSFKARYNQMKIEMEKHSYKQTDMEEQSKSEVIPSLRSQNSAIEVNKCPNSDSSAQDLTGLHAINPEELSQLKFSSDMNRPNSLTPEAEGSQSLYSFIRNYAVSGTNKKAAGNDKASVMARYNVIKSRADQPCINTDDLETPSNIADKLASREIDNQNQVNFCQDFPIPGKNKADYETSVFARYNVIKSRADQSCINANDLETPSNIADKLASREIDNQNEVNFCQDFPIPGKNKADYETSVLARFHILKSRAAEDSSSVSSTEKLFEFSGKGIEDTITTKDALEGESLDANLNSYTAVDKSIPKEIHLDSEDIEEAERCRTYKFQLPNYHSDGFASDWEHV >RHN74173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29836758:29840682:-1 gene:gene10179 transcript:rna10179 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP72A68 MELSWETKSAIILITVTFGLVYAWRVLNWMWLKPKKIEKLLREQGLQGNPYRLLLGDAKDYFVMQKKVQSKPMNLSDDIAPRVAPYIHHAVQTHGKKSFIWFGMKPWVILNEPEQIREVFNKMSEFPKVQYKFMKLITRGLVKLEGEKWSKHRRIINPAFHMEKLKIMTPTFLKSCNDLISNWEKMLSSNGSCEMDVWPSLQSLTSDVIARSSFGSSYEEGRKVFQLQIEQGELIMKNLMKSLIPLWRFLPTADHRKINENEKQIETTLKNIINKREKAIKAGEATENDLLGLLLESNHREIKEHGNVKNMGLSLEEVVGECRLFHVAGQETTSDLLVWTMVLLSRYPDWQERARKEVLEIFGNEKPDFDGLNKLKIMAMILYEVLRLYPPVTGVARKVENDIKLGDLTLYAGMEVYMPIVLIHHDCELWGDDAKIFNPERFSGGISKATNGRFSYFPFGAGPRICIGQNFSLLEAKMAMALILKNFSFELSQTYAHAPSVVLSVQPQHGAHVILRKIKT >RHN43595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47106852:47107277:1 gene:gene50201 transcript:rna50201 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYWGLFVTPISEPIQFTLQDFPSMNDCFSIHLIYTNSTFSSTSNFTNPSITFVDDYFLIPFDILCNCDAHTVMDDDDHTTPFLHNTFRFVPTYVLDVVLYHMGHCARNMVVLDTEERGILEMNVLLSVTSYMVEEDQFD >RHN59701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12671078:12671380:1 gene:gene21793 transcript:rna21793 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps14 MATKSLIEREKKRQKLEQKYHLIRRSLKKEISKAQSLSEKWEIQGKLEALPRNSAPTRLRRRCFSTGRPRANYRDFGLSRHILREMVHEGLLPGATRSSW >RHN78214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12862893:12863462:1 gene:gene1748 transcript:rna1748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MTKKAKRCSITGVVNEKSNNGNPSFELKLTRSYVHGRLCWIPTKFSREYLNEFEGFARLRVGEDRAWKVNVKFDYGKRCSILNVGWNLFTKDNNLQVGDVCKFEMTQSEPLSFDISISRVREEPSPNKLQGFSYFLHYLFVIKICCFLLLIFDQHLLINFSLIS >RHN71323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58238794:58242573:1 gene:gene19991 transcript:rna19991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MLLSQCHLLHSKIPNIEKNNPFRNQYQLQFQPNKLTSPLLLSSSSPKFRIRAMSSSSSPPHQLNHFSDVANKAANAAGDVIRKYFRKNNFDIIHKNDLSPVTIADQSAEEAMVSVILDNFPSHAVYGEEKGWRCKQDSADYVWVLDPIDGTKSFITGKPLFGTLIALLQNGTPILGIIDQPVLKERWIGITGKRTTLNGQEVSTRTCADLSQAYLYTTSPHLFSGDAEEAFIRVRDKVKIPLYGCDCYAYALLSSGFVDLVVESGLKPYDFLALIPVIEGSGGVITDWKGHQLRWEASPLSIATSFNVVAAGDKQIHQQALDSLQW >RHN58581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2995063:2997954:1 gene:gene20504 transcript:rna20504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MASFAKPSQLHTPQRYHHPIIPTNFINSKFKTFTSTHQNFHTLKHFRVSNSFTTTITSSISSNNPNSEICQLCLVGNLDSAMSYLESMHELKISVEEDSYIALVRLCEWKRARKEGSRVWSYITKSKMMTHLSVKLGNVLLSMFVKFGNLVDAWYVFGRMPERNLFSWNVLVGGYAKGGFFDEALNLYDRMLWVGVRPDVYTFPCVLRTCGGVPDLVKGREIHVHVLRFGFESDVDVINALITMYAKCGDIDTARLVFDKMPKKDRISWNAMIAGCFENGECLEGLTLFCRMIEYPVDPDLMTMTSVITACELIGDERLGREIHGYVMRTKFSRDPSVYNSLIQMYSSVGLVEEAEKVFSQTECRDVVMWTAMISGYENNLMHQKALETYKMMEAEGIIPDEITIGVVLSACSCLCDLDTGMNLHEKAKKTGLIFYVIVANKLIDMYAKCKCIDKALEVFHSIRDKNIISWTSIILGLRINNRCYDALFFFKEMMRRQKPNWVTLVCVLSACARIGAFTCGKEIHAYALRTGVSDDGYMPNAVLDMYVRCGRMEYAWKQFFSIDQDVSTWNILLTGYAERGKGTLATELFRRMLESNVVPNEVTFISILCACSRSGMVAEGLEYYDSMKYKYSIKPNLKHYACVVDLLGRAGKLEDAYEFIQKIPMKPDPAVWGALLNACRIHRRVELGELAAKNIFHDDTTSVGYYILLSNLYADNNIWDKVAEVRKMMRQNGIIVDPGCSWVENKGTVHAFLSGDNFHPQIKEINALLERFYEKMKEAGIQGPESSHMDIMEASKADIFCGHSERFAIGFGLINSAPGMPIWVTKNLYMCQSCHNTVKFISKEVRREISVRDAERFHHFKGGICSCMDEGY >RHN48143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45368667:45373218:-1 gene:gene42829 transcript:rna42829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, peptidase, FtsH, peptidase M41, FtsH extracellular MGNDKKKTNRKKKQSQTQKMKEGSFQEALMKQFQEFRTPLSVAMAMILIMGITLSILYFDAGKQQQQISFQEFVNKLLEPGLVDHIVVSDKNVAQIYVMNSPRNQTETNSPHNQTETNSPRNQTETNSPRNQTETDGEETHPAKGYGGQYKYYFNIGNVFAFEEKLERAQIALGIDHHDYVPVTYSSEIGWHLEFMTFIQHLLPLAFLIYMVAGGKGPFGVFNIGKPHFTKVDKDAKNKVYFKDVAGCDEAKQEIMEFVHFLKSPKKYQELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFLELFVGVGSSRVRNLFKEARKCAPSIVFIDEIDAIGRARGSRGGDRANDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDVLDKALLRPGRFDRQITIDKPDIKGRDQIFQIYLKGIKLDHEPSYYSHKLASLTPGFAGADIANVCNEAALIAARTEEAHVTHDHFEAAIDRIIGGLEKKNRVISKLQRRTVAYHEAGHAVAGWFLEHTDPLLKVTIVPRGTSALGFAQYVPNENLLMTKEQLFDRTCMTLGGRAAEQVLIGTISTGAQDDLEKVTKMTYAQVAVYGFSEKVGLLSFPQKEDSLEMSKPYSNKTGAIIDNEVREWVDKAYERTVQLIEKQKEKVAQLAELLLEKEVLHQDDLLPILGVRPFKSTESPITIEPEVVPHS >RHN72223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5902742:5903421:1 gene:gene7910 transcript:rna7910 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYLEFRFSQPLQLVHLDPLNHDLELLSVIGNKITGKIDYFG >RHN49988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2907465:2909377:1 gene:gene34234 transcript:rna34234 gene_biotype:protein_coding transcript_biotype:protein_coding MLISVFNTSTILYNKDTRECQKTKLFISLLTLKMSSLVDINAMLDSAEPPPTKDRCIYKVPSVIRTVNENAYTPNVVSIGPFHHGHLGLHLQNMERQKLIHFKAFLQRTEASLNDLVCYVDSILSDFKHCYSEPLPFSHNELVKLILIDSAFIIQLFWRKYYGGALFQPWLKSLILYDVLLLENQLPFFVIEKIYSRSCSIYKSVPSFLELTIHYFKKLNKSKLSFDNGDISIRHFTDLIRIFHLQHPIESRPSRVKIEKRIIHIQTATQLVEAGVRFQVKTKSKCLYDLRFSGGVLEIPQLIVHDRTTEILFRNMIALEHCHYPHESYILDYVLVLDYLINNGKDVDVLIQKQILENLLGDGQSMAILFNGLCKNVVKSKLSYHFVSLSNELDAFCNNPRNKLKATLRRDYGNTPWKIAASVAGVLLLVLTIIQTVCSVLQIVQAS >RHN63439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51328583:51331055:-1 gene:gene26137 transcript:rna26137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative costunolide synthase MVLKIWSHEQIKGALSSPFSLSLFFLVSVLIVFKFAIRKSKTNINQPPSPPKLPIIGNLHQLGTLPYRSLRNLSHKYGNIMLLQLGQRQTLVISSADIAMEIMNNHDLAFSNRAQTIASKIIFYGGTDVGFTHYGENWRQKRKICVLELLSMKSVQSFHQIRKEVVEELVSKLREASSNDACVNLSKLLTETTNNIICACSLGRKYGGDSDNRVKEIARKVMHHLSEFVVGDYFLLFGWIDVLSGKIGKINDTFRAIDGLFDEVIEERLQIKKTGNDEIKNKGFIDILLQLQKDDGMLGFRLSNNDIKGILGNMFVGGTDTTATTLEWAVSELMKHPTIMKKAQEEVRRVVGNKSKVEENDINQMHYLKCVVKETMRLHPSLPLLVPRETISSVKLKGYDIPAKTTVYFNAWTIQRDPKYWENPEEFKPERFEHNQVDFKGQHFQFIPFGFGRRGCPGYNFATAVVEYVIANLLYWFDWKLPETNEGEQDIDMSEIFGMALTKKEPLQLKPISFLF >RHN62991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47418096:47419229:1 gene:gene25635 transcript:rna25635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquinone biosynthesis protein Coq4 MVGGGLIKLKTWQKTAVALGSAVGALLDPRRADLIAALGETTGKPAFQRVLQRMKSSPEGRAVLLERPRVVSANVGHAWDLPANTFGAAYARFMGSRNFSPDDRPPVRFMDTDELAYVAMRAREVHDFWHTLFDLPTNLIGESALKVIEFEQMHLPMCVMSVLGGTARFSEKQRKLFYQHYFPWAVRAGTQCNDLMCVYYEQHFHQDLEDVRRKLGIIPVPAIP >RHN39170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4650576:4650967:-1 gene:gene45171 transcript:rna45171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGNLKVFYLNYSKFIVSGSDRNYHAVSSGLLKCVPLKVPEIQADELKEVTDNFGQDSLIGEGSYGRVY >RHN48659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49290880:49292808:1 gene:gene43400 transcript:rna43400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator A20-like family MAEEHRCETPEGHRLCANNCGFFGSSATMNLCSKCYRDIHLKEQEQAKTKSTIETALSSASASTAVVVAASPVAEIESLPQPQPPALTVPSIVPEASDNSSGPVQSNRCGTCRKRTGLTGFKCRCGITFCGSHRYPEKHECGFDFKAVGREEIAKANPVIKADKLRRI >RHN74631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35347270:35352968:1 gene:gene10734 transcript:rna10734 gene_biotype:protein_coding transcript_biotype:protein_coding MLLERYFSTSGQKAELVSVLYIVFNSQFSSYGCRILSPTQNPHLLIFFSLFRNQPEKLSYSLCKINLAAESLLTTSLIHFRLPLANLCLFLLLHHFIKFIFILYKFVNISTNTFTARSRTI >RHN72946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12059046:12062995:-1 gene:gene8713 transcript:rna8713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MRKSKKPNPSNAVESVERGNWEKIFSTLVQMMKNQEKQLQSFADQQNFLQDRLKLQNQRWASDIQRYKDQISQMNTFLLFEEKKRLLEEAKADLMMGSKHREASILKWVLESTKDDLEDFKAGFDYLSQKSSNGEDQGTALKDTDKRKKGTSSSGKKKSSSKIAEKEKCPDETKDELSRVKAECEKLVAEKDSELLALLQEKNFVWNQFNKMETDYTKKLRSKQEEVEKTNEKINILVSSMEEMQSENIKKDSRISELESKVTDMDAETKRLNKEISGLSTELESLRKLKSSHVKPFLNRCTASTSDSGKVESSRSRRNNTLKKDRDSFTPDEHASTSTNFSEKKRSLKRKGSPIIPTTETPKLFSSNFKVPKLKSSLRTG >RHN58208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44599883:44603845:1 gene:gene33826 transcript:rna33826 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NIN MEYGGGLVADGGVFGPMVGGGGGDQADIIEELLGEGCWIEASENSLMAMQQTTPQSQYMSNNNNIPMGMGEGDHFNHHHHHHPHPHHQMECTAPAANHDDQQESGFVVGKRWWIGPRANPGPTTSVKERLVVAVGYLKEYTKNSSNNVLIQIWVPMRRRSALIHTQNHYLQQESSSAPVSVNPNMNVHVRFFRSHDYPRHQQQQQYGSLLALPVFERGSGTCLGVIEFVISNQTLINYRPQLDHLSNALEAVDFRSSHNMNIPQAVKVFEELYEAAVNEIMEVLASVCKTHNLPLALTWAPCLQQQQGGGKGSSGASGCGVSTMSCCISTVDSACYVGDMDVLGFQEACSEYHLFNGQGIVGTAFTTTKPCFAIDITAFSKSEYPLAHHANMFGLHAAVAIPLRSVYTGSAADFVLEFFLPKDCRDTEQQKQMLNSLSLVVQQACRSLHLHVVMDDNNNNNMNDNNSSADHDHDQFTFPTTNSYMPSSASEPLSQVDAVSGCSTKDTSSSCSWIAHMMEAQNKGKGVSVSLEYLQEPKEEFKVTTCNWDREREDNVFSEFGQVLQQQQHDQSSNSRASVVSVEAGEESPGACGRRSSSSSSGRKSGDKRRTKAEKTISLPVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGITRWPSRKIKKVGHSLKKLQLVIDSVQGAEGAIQIGSFYASFPELSNATANGGDGNDNSNNSFYNNNHGDGIVTSLKSPPSACSQTHAGNKLPMTTTTAINHHHVVMTENPTGAPLGVDHAFMHASNINIQDYHQLQEDLDTKQLLLHFNNNNQILPPRPTVAWNNNNSSSSTLLERGAFRVKATFADEKIRFSLQAMWGFRDLQLEIARRFNLTDMNNLVLKYLDDEGEWVVLSCDADLEECKDLHTSSHTRTIRLSLFQASPLNLPNTFRNSSSSSPSS >RHN51731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23670828:23676332:-1 gene:gene36240 transcript:rna36240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha crystallin/Hsp20 domain-containing protein MVQIHANIGYKRISIDIKISKDGSKISISGEKPIQEMIMMGWVMQRKVVDIKGFNKVFKIPYGVNLDKIKGNYNEEEWILNIYMPKIVKGICGLKIEEVKEQEFDKRRSELEKREIDHVSSSVGETSQKESKDSEFQHMKGSDNGIEKMLDDNVDENNKETIQKGAEDDGIKRDVTKCLIEKEKEESKFRIEDSKVNDTRDIEKEVGKGISQNIVDTSQRVVEGSMLQKSGEPKEKEVGEKKGNSKENVVDHIPNTIDSISLNEFGKPKVPQMEKTNRNEGKMNGGESKKLPFEANEDVEKTKFGDIIQKGVTRAKFESQDEDEECVKEKLGKDGFDDAKITINEEFDKYLPKKSHEAREGLNVQKMEENKVVKENGVKRKGKEVEYLVENDDEKRLKRMHVEAKKGNTKEKTKEEIEEIRESGIKESGQQPHAEKGKGFNVAEEDQREVVKEALDGTQSLMEKFEGEESKERTKEKRVQDEEKKIEPNEPFEGDTTRDRIEKEITNQNFQENVDIGVFDGRKTQMFQEMEQKEYFKENGENIEKSMKKLNGDKYQQIQNEDIGNSKQKEFGELECETKDRLKESSIEPFEPTKASKLEKNVVDHTPSNIDFINQNEFKEEYENPPFEAKEVVQKTLFGNIIKPKMETEDGDEECVRQKPNKEGFDAKITTNEKFPQNLPKNTHEESEGLNVKQMQETKDVMENVVKRKGKKIEYLVEKSEGERPKSKHNIEGKKGNNTRETMQEGEESENGIKESGQQHPKENIVKENSEVSKNTAEELQHPVENINGNIGFDVAEEVEQKEVMKEALVESESSMEKKQEEESKERIVVKRVEDEENKIEYAIVKLKGEGFTKLNVEPNEPFEGDTTRDRIEKEIINQKFQENVDIGIFDGRKPNKFQEMEETELSKEKDSTIGMSMKKVNGEKYEKIQNIDEGFKKNITKEKDDCYLQEKMSKDRSKEDFPMKMLDSEGDTTKEVKGRKIEKAKGIKEESEKVVPVVKGEIQEPKIPMKIKDQQCLQEKEPNDHEIEEAKKVKGKEAKRKGDEFIFGESTTKEEPQVQKAKDKKGIETRTSERELQCERGPTTYSTVESIGFKEFKYKSAKDKKQNTKALPPKFQNKETQESKDESMSAKEVESIEDKVAKPLSTLSFQSSQQSEVEEKDKFCEGNKANYKGSIESKREDPTKDVQNLIEMKETLKPEIPREEELMKGEKTEFLNGENVGETMQMETDEPKNRIDTKEKQHVAKVVTEKMDKGKCFDEKMKKTQEKEAESLESSQKNDIDEVKAKRPLELEIPNLQCEFPKTKDHVRALELRDGEQYGYIKEGTEENKAPKIEAFEGAKPSKLQSRRFNQQSTNEINRKPEFSIEEHKEEKESPKLNTVGTEKIDSLQPQILDGQEEVLDVPSFQRQKTREEEKVVKRTEGPKIEKSEETKDTSTLKREKGKTTQTTEEKKPKIMETTPQFDMASGSKRESEKMLEASKRGYERESKQVAPKTNVEPPTTIEKTQIKKVEGQKHIQVLEETISKEKEDKAQQCVQEKNDKKGFQTPKTIEEKKVLKKMEGLKIAKSEEEKEKYQMEERTTPFDKACGSKRVADKVHEKVHETPLREHQRKPEEFTYKIELDSSTTFEKPPRKKVERKEAHEFTRPPNISNEIPKVEEVQEDKEVERHIHLPEASISKEERTQVTTTHSKENCRVEPKGEIGKPPKNQTPSPKEPFQSTEGSTSLEAVADKAAQQFEVEEKEKTKKKDSKMDVQESTKSKTGKEVEECIIKDEETKHEIEEQKEGKSETNGWKKDNEIPKVEEKEVDRHIHVEATISKEKDRVEPKEVNTPVS >RHN77741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9111557:9113796:1 gene:gene1228 transcript:rna1228 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminocyclopropanecarboxylate oxidase MAQIASFVSKLHPFQPEFKATPVYFNASSHQALVEHHHSDNYLASNEEIPTIDYSLLLSDDTDQRSIALELLGQACEEYGFFYLVNHTMPDDVLNNVLKQVSNYFDPTTIEERMIYGKKGSSDKIRWGLTANDGENREYLKVIAHPKDHVPSDPTILRNIIEEYNQEMRKIVAGLAREISKNLGFDEDYIEKAFNMKSGFDVMAMNLYPPNSKSKGNIGLPNHTDPGFVVTLMQDVNGGLQILSHKGKWINVNIPHHAILI >RHN71755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2444920:2451146:1 gene:gene7389 transcript:rna7389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MSRRPVNPSRRLGDGGSIPFVASIQSKSQNSPLISIGLVIVGAILLIGYCYSSSGGASNDIKDLSKLEGASSCTLELLQALPILKKAYGDSMHKVLHVGPDSCSVVSSLLVEDDTEAWGIEPYELDDVGAKCKSLVRKGIVRVADLKFPLPYRAKSFPLVIVSDALDYLSPKYLNKTLPELVRVSADGVVIFSGYPGQQRARGGEVAKFGRPAKLRSSSWWIRFFVQTSLEENETAGKKFEQASAKKAYAPACQVFHLKSYP >RHN39450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7222584:7223243:-1 gene:gene45474 transcript:rna45474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-Xb-1 family MAKMETLEKIKYKNLVPLLGYCKVGEERLLVYEYMEYGSLEEMLHRRIKTCDRRILTWEERKKIARGAAKGLCFLHHNCIPHIIHKDMKSSNVLLDNEMESRVLDFGMARLISALDTHLSVSTLAGTPGYVPPKYYQSFRCTAKGDVYSFGVVMMELLNGKRPGDKEDFGDTNLVGWAKIKVREGKQMEVIITDLLLETQGGTNEAELKEVIGTYRQLI >RHN59736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12723013:12723276:-1 gene:gene21841 transcript:rna21841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II cytochrome b559, alpha subunit MSGSTGERSFADIITSIRYWIIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITGRFDSLEQLDDLVDLFRRLQ >RHN66289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10375260:10375580:-1 gene:gene14239 transcript:rna14239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MKSVADRKSDVYKYSVLISCCAKFRRFDLIERVLADMSYLGIECNNVTYNSIIDGYGKADMFEKMENSLTDMIENENCQPDVFTLNSLIGSYGNGRKIDNDMINFS >RHN52358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34257704:34262171:1 gene:gene37023 transcript:rna37023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAIQSPSSSSSFSYGFKYQVFLSFRGIDTRHGFTGNLYKALIDKGIHTFIDDNDLLRGDEITPSLVKAIEESRIFIPIFSANYASSSFCLDELVHIIHCYKTKGCSVLPVFYGVDPTHIRHQTGSYGEHLTKHEKKFQNNKENMQRLEQWKMALTKAANLSGYHCSQGYEYKFIENIVKDISDKINRVFLHVAKYPVGLESRVQQVKLLLDKGSKDEVLMVGLYGTGGMGKSTLAKAIYNFVADQFEGVCFLHNVRENSAHNNLKHLQEELLSKTVRVNIKLGDVSEGIPIIKERLSRKKILLILDDVDKLEQLEALAGGLDWFGCGSRVIITTRDKHLLNCHGIEITYAVKGLYGTEALELLRWMAFRDNVPSGYEEILSRAVSYASGLPLVIEVVASNLFGKSIEKWKSTLDGYEKIPNKKIQEILKVSYDDLEEEEQSVFLDIACFFKGCRLSEVEETLLAHYGHCIKHHVGVLVEKSLIEINTQSHRSYNDDVALHDLIEDMGKEIVRQESSKEPGERSRLWCHNDIVHVLQKDTGTSNIEMIYLNCPSMETIIDWNGKPFRKMTNLKTLIIENGRFSKGPKHLPSSLRFLKWKGCPSKSLSSCISNKEFNNMKFMTLDDCEYLTHIPNVSGLSNLEKFSFRNCANLITIHNSVGYLNKLEILDAYGCRKIVSFPPLRLPSLKEFQLSWCKSLKKFPELLCKMSNIREIQLIECLDVEEFPFPFQNLSELSDLVINRCEMLRFPRHDDKLDFIVFSNVQMLDLNNSNLSDDCLPILLKWCVNVKYLNLSKNNFKILPECLSECHLLKHLYLDKCQYLEEIRGIPQNLEHLDAVNCYSLTSSCRRMLLSQKLHEAGCTRYYFPTGAERIPDWFEHQIRGQTVSFWFRKKIPSIICILLLPGSKLIPRFNLFINGRRGDYSTDYLSSCPSYMNLSEHTFLFDLTLEETSEHFSPTSEMDNALLKNEWIHIELKLENFNLPEIEIKKLSSAQIGIHVLKEKSNTDEDMIFSSRNRKRKLDEDVNASLPQFHPAQKKHRFVEVELTETEILQQQYLALVSDMQNLVLTETKEKEHHLALVSGMHNLVLIETKEKEHCD >RHN60812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30554184:30558529:-1 gene:gene23195 transcript:rna23195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MRKDMARISLFVYALIIFFSLFFVLTNGELEIRCVSDADCPLFPLPLHNRCIDDVCHLFTS >RHN49538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55503219:55507649:1 gene:gene44380 transcript:rna44380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, EAG/ELK/ERG MNTKGLKFVRFDDWRSESSFSIEQENTVNKRKERPSVSAVLKSIGRRFVSGSEKMKKFRRPSSIVHPVSEGQTKKLDSSKRKILDPQGPMLQKWNKIFVITCVLAISVDPFFFYIPVIVGKQKCLDLDGTLQTTISVLRTFFDLFYILRIIFQFRTGFIAPSSRVFGRGELVDDPVAIMKRYLSSHFIVDILSIIPLPQIIVLAIIPNLKSSGPFLAKDLLKYTVLIQYVPRLLRIRPLFKEVTRTSGILTETAWAGAVYNLFLYMLASHVVGANWYLLSVESQVRCWRRVMNNASIFHDSFLGCGPRNATVLSLLNGACTLVDPDEINNTTTFNFGIFYDALQSLVVDSNTDFPQKFFYCFWWGLRNLSSLGQNLKTTTYVTEIAFAIFIAIFGLVLFSLLIGNMQKYLESTTVREEEMRVKRRDAERWMSHRMLPDNLKQRIRRYEQYKWQENRGVEEETLIHNLPKDLRRDIKRHLCLALVKKVPMFEKMDAQLLDAMCDRLKPVLYTEKSCIVREEDPVDEMLFIMRGKVATMTTNGGRTGFFNSLFLMPGDFCGEELLTWALDPNSSSNLPTSTRTVETISEVEAFALKADDLKFVASQFRRLINSKQLQHTFRSYSPQWKTWGACFIQAAWRRYCKKKIERTLREAEDKLQDALANEEGSTISLGATIYASRFAANVLKNLRENNRQNRMPQRLLPLLPPKPAEPDFTAQKH >RHN42268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36890797:36900349:1 gene:gene48688 transcript:rna48688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein WYRD MANSGTKYVSVNLNKSYGQKGSAAPAPRSAAGGSGGGMAVLSRPRSSQKIGAKLAVPPPLNLPSLRKEHERFDSLGSGGGPAGAGGSGTGSRPSSSGVGWTKPAAAVLQEKEMVFTEDVPRVVSKIAPTVVSSSAVLRGEDFPSLRATLVPPVSSGSQNAKIQDNLNLNQKKENASIEQKKEDKSGDADGNANANAVSVVNVNSRFNAGNFPVENGRENRGFNGSRGANQSRGGMNQDEFFPPLVRLNPRFDWADDERDTGHGFTERSREGRDHGFSSKNDAFWDFDMPRVGIVPHKYGSGYDMRGQMRGNEAGKVSSSEVPKVDSYDRMPGREVSSSNSSSWRNSSFPKDAGNDRNGVVGARPSSGNRDVVKDNKYSSSPFRDVVHDDSGRRDVGYGQGVKQPWNNMVQSYGERNGLRDNRHVGGDQYNRNRVDSNQSSMSKSSFSLGGKGLPVNDPLLNFGREKRNLPKSEKTYGEDFGASAFDGKDIFSTSLGVVKKKKDILKQTDFHDPVRESFEAELERVQRMQEQERQRIVEEQERAVEFARREEEERIRLAREQEEMQRRLEEEAREAAWREEQDRIEALRKAEEQRLAREEEKQRLFLEEERRKQAAKQKLIELEQKIARRQAELAKGNNNAPIVDEKMPGNVNERDASRATDVGDWEDSERMVDRILTSASSDSSSVNRPLEMGSRPHFSRDLSSTFVDRGKPVNSWRRDGYENWSSPAFYPQDHENSHNSPRRDSSIGGKPFMRKEYNGGAGLLSSRTYLDEYAHVKPHRWNQPADGDHAGRNSEMHSDFNENFVERFDGWAQNRPRGNAFPPFPDRPYQNSESDGPYALGRSRYPARQPRVLPPPLASVHRTYRNGNEHPAPSAFLENEIPYNQAARGDSTLPTGYDNGNHGQAEVDPLQEVAENEDHKVEATPRCDSQSSLSVSSPPSSPTHLSHDDIDDSGNSSAILTSEENKNGPISAPENESIATPDTAGKENVVTSCAVSSGDDDDDDEWAAENNEQFQEQEEYDEDEDYREEEEVHEIDDNAGLHQDFENMHLQEKGLPHLMDNLVLGFDEGVQVGMPNEEFERGQQASNASLEVPFDNTCNDGKALQPVDDASQVNLNSSSSVFQESEKPTPNLASESLGNVEASNGFSANHSTPSSVIIGPHYTSSGQIVTSAAPGQAELPIKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPQVGAPLTHHMHSSQPPLFQFGQLRYSSPVSQGVMPLGPQSMSYVQPNIPSSYPFNHNSGNQMPVQSGPESSDSFIKKDIRHQSVLGQPGNSRSLSHSSLPSENAAGIKQGQNAPNNNTRIASSFQLDKRGSQNVVGKSSSTSSNAKHSEVQPHIKDAYLHSVSEEKDLMESKSRFPVSGGRGQRYVYTVKTSSSKSSGAVLGPAPAPAPRVNRADSRGFTRRPNRNTQRTEFRVRESAEKREPSSSLSADQFGLDNKSNVTGRGIGMSGRTGSRRSYTNKMGKQPVESVGENSHGMDSGSRAERVEGKESTKSQTVSHSGQSNLKRNLCSEEDVDAPLQSGIIRVFEQPGIEAPSDEDDFIEVRSKRQMINDRREQREKEIKAKSRVAKVPRKTRSASQSTVTMPMANSSKRSISTGEVSNSSGADFAAADGHGMTKSDSSSGYNSNLLSQALPPIGTPPLKTDAQPDLRSQTNRSLHTSLPSVSGRESKNKKGHENVQTSLGSWGNVQISQQVMALTQTQLDEAMKPQQFDSQASSGNMTGVVTESSLPAPSILTKEKTFSSAASPINSLLAGERIQFGAVTSPTVLPPSSRVVSHGIGPPRSSRSDMQISHNIAGSDTNCNLFFEKGKHGNESHGHLEDCDAEAEAEAAASAVAVAAIGSDETVGNRLGTCSVSVSDAKNYVAADIDRVVAGVGSEQQSASQSRSEEPLSVSLPADLSVETPPISLWPALPNTQNTSCQMISHFPAVAPHFPSGPPSHFPFYEMNPMMGGPVFAFGPHDESASTTQSQPQKSTAPASRPIGNWQQGHSGVESFYGPPTGFTGPFIAPPGGIPGVQGPPHMVVYNHFAPVGQFGGQFVYIPSGKQPDWKHIPTTSASGTSEGDMNNMNMASSQRNPANMPSQIQHLAPGSPLLPMASPVAMFDVSPFQHSTEMSVQARWPHVPNAPHSSIPPSMPLHQQESIQTSQMSHGPSVDQPLNVNRFTGSRTSTSSDSDRNFPRAADVNVNQLPDELGLVDTSNSTASKTSSKGVSSKTPSEKTITDAAAKVDVQNGNSSKSNNQNSSSGYRTQPAQQNNVSTQQQHYDHSSGHGNYHRGGGGVTQRNNSGGEWSHRRYHGRNQSTGGDKNFSSSKVKQIYVAKQTISGSSSAS >RHN65434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2235498:2240010:-1 gene:gene13272 transcript:rna13272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MEMERSKKHKTEFPVLPDCVISYIFSKLGLKDLVKTITLSKLWLHEWGFRVDLNFDINTLFDYDKFPKDITLFEWVESEFAARVDQFMLHYQGDMIHSIRLVFPLGTKHTGVIERMISKGIAKGVKRLELILSYQDDDDADSDYMRPYILLPFDLLSEADSLTYLHLKRCILLISMDNFCGLKNLKTLVLSLVSVKQDMIQCLLSNCIHLLDFTLDDCKFKSDLKITSPTLLNFNIVNCGVKIKKRRNIDIIASNLSSFEYSCTSTEVHTMNIKAHMLSKFSFTGSKFYECVGFSGMKNVTTIVLDGVHECISGKLVPLLFSGCLQLEDVTFKNYNLISELVVISTKLRHLNIINCGSQEQYSPPISIDAINLSSFEYSGHTQRFSIKAPKLLTIFWNAAIRERNSHLFGSIASLQHIENLAMTISHSQITELSTVLVRFQNLRQLELFIEGPYVRFQSPGELDQLFIMDQYNFNIDYFWILDIAMACQHLQKLSLTIRTSHPENSHMIGFERQRREYAGFSHNYLKYVELRGCMCTINVIELASHLLRNVNSLKQITFSSREKFYRGAGRWTEDCDNCCWLEGGIIHDMLQDEVNEQCQLIVF >RHN41624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31605654:31606198:1 gene:gene47966 transcript:rna47966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSDPPAQPNAESPVSLSDNLIAEIISFSDVKSLMQMRCVCKSWRSIISDPKFVKLHLKRSARNPYLTLIRDNIGKKLIPFPVRRLILLENPWILLPDNLCYESQDICYPSKDMEFRNAIGFCNGLVLVPFLEPCRTYNVKKVGAFYNVKEVGAYFECRYVYGHQ >RHN56674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32707705:32709292:-1 gene:gene32111 transcript:rna32111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MIPLIIQIRCWLLETKVWRFVGFVSAAVGLICYALSSSFNHLFGNWNLLKVILYTLSSFIICLLILYANTWQNSRSLRFKAHTAFLVLTITAVYSFFSDKIMNGKPDAYTLISCTSFSMMSLSLSRQIQCGFEVDLMYFYLGCLIVQLMKIKLSLAIVGVCYSYCVIILRSSFSSLNVTQETQCLGLEEQHVIIQVDSQHKNTNSHDNIMQEFMTCMNELKQNNSNIANKFLEKVKGNGKLVVTDHNFIIDALPNETINHLHKTVKMMVDAGFEKECSDLYISLRKEWLEDLLINKLLRLGKMGFQDYMLGRWIKASKVCLKILFPSERRLYDRVFSESTNEASNLCFLEVCYGATIQLLNFADLFVNQSPSTWRLFKLISMFETLRDLIPEFESLFPSSLVNEVIQIKNRLGEVISSEDNSPSSIEEMQI >RHN42213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36385862:36393336:1 gene:gene48628 transcript:rna48628 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLASLGWYLVEQGIPQGNPEALLVWSTFDSEHQFASISFRHDCRVICCRGHSMGSNRAKKW >RHN61741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37957343:37960851:-1 gene:gene24232 transcript:rna24232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MATPDSTCPPPMKATSNGAFQHENPMDYALPLLIVQICLVVAFTRLIAFLCKPLRQPRVIAEVIGGILLGPSAIGRNKKFLDTFFPKRSLTVLDTLANIGLLFFLFLVGLELDMRSIRKTGSKALCIALAGITVPFVLGIGTSLVLRATINKDANPTSFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAVALSGANTSPLVSLWVLLCGVGFILFVIFAIKPLLAIMAKRCPEGEPVKEIYICITLTLVLGCSFLTDTIGIHALFGAFVAGIVVPKEGPFASILTEKIEDLVMSLLLPLYFVSSGLKTNVATISGALSWGLLILVIFTACFGKVVGTLVVSLLCKIPFREALTLGFLMNTKGLVELIVLNIGKDRKVLSDQAFAICVVMALVTTFITTPIVMAVYKPARRGSPYMHKTIQRKDPDTELRILACFHSTYNIPTLINLIESSRGTRKRGKLCIYAMHLMELSERPSAITMVHKARNNGLPFWNKKQHDNKDQMVIAFQAYGHLSSVNVRSMTAISSLNNIHEDICSSAHQKRVAMILLPFHKHQRVDGTMESLGHSFRVMNGLVLSHAPCSVGILVDRGLGGTTQVHASDVSYNVVVAFFGGCDDREALAYGMRIAEHPGISLTVLKFITPPGKTLAFGAKLIGITADRDRKVIKVSDGNTPDEDKQEDDQFWSEFLGVCCKSEESIVYEERLVESKEDIVTVLSERNKSNLILAGRMPPVAPLLDGSDCAELGPVGSYLASSEFSTFASVIIFQQYDPKTDIHPLVMEVSDYSNMPDTPVHGVSVHGV >RHN77343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6158235:6166097:1 gene:gene789 transcript:rna789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MLRICFRRTRPLLRFPNPYKYYISSSSSSNSHSPEKISQNPQITPPTVPNKLSPVTPSSFLSKTSIVALSTAVVASVAFLSYDYGRETQSQSDQSKSPNPLYTTAEHAAHRSAESINRIFHHVKRTSLAATVLWQSLRSVLSSANHEVRSGFEIRVAALLADISAANSSRRAAIVGAGGGAVVDWLLDSVAVVKDAGGGTQAEAARALAYLISDPDVSAAVFARPHAVPNLLRFIFSCKPRRSKNKTKNSRRSAFDLSDSLKGRSMLVAAIMDIVTSSCDNAEKVSFRPSLPGNAETRDIAAALQVIEEGGLHLDEPPEDDDDGGGTGRKGIGIKILGGTSVLGLSRTRDEMEFDNTDFDHEEEPLKHRTPTTLVYHKFDDSLVKQNMSSVVVPGLWDDLHCEQVAVPFATWALANWATTSKLNRSRIQELDQDGNAVLSALMAPERSVKWHASLVVRLLLEDRDTPLNESVSDWSSNLLSTILHACKHEDVSLARVAFSAFLLSVERSPGVQKTVMEKGLNSMRDIAKTTKHMQVQEAIAKTLELLCTGDRHLSLEESQKWSGILIPWVFGTFSSDIIRSSAIKILSQILEDYGATSVPLSQGWLVMLLNEVQDSIKKSIDKGVSQPKSDKVKTLINNANIASAAQVAKQLSSAVVNLAAKQLRTVSTSEDISPLVDFLSLEPLAGPFKILKKDSLPKFGAADSALATLKGIKALTEVCAEDSESQDKIVDFGILCLLRRFLLNDDYERLAAIEAYDASSRAHDGQERKSSEGEEPPRSDINDPASVRVPPTAHIRRHAARLLTILSLLPRVKKIIIADKTWCSWLDDCANGKIPGCSDLKLQSYARAALLNIFCNDRINGTSDSAGSSGGTGPSGGGVNNYNLCPRYDDMIFLINSHLPHWKCSNETYRQGSFSEDIYVVTSAVVEDGTKSLNDGTCSSGSSDSTKSSLDADCPPLDVVFVHGLRGGPYKTWRISEDKSSTMSTLVEKVDEEAGKLGTFWPGEWLSSDFPNARLFTLRYKTNLTQWSGASLPLQEVSSMLLEKLVAAGIGNRPVVFVTHSLGGLVVKQILHTAKEEKYDNLVNNTRGIVFYSCPHFGSKLADMPWRMGLVLRPAPTIGELRSGSSRLVELNEYIRLLYKKSVLDVLSFCETKVTPIVEGYGGWAFRMEIVPIESAYPGFGELVVLESTDHINSCKPVSRLDPSYTETLKFLQKLKDAGFSW >RHN44610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6796002:6801385:-1 gene:gene38749 transcript:rna38749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MKFQAMELLFGKNTPKQMIPKVTLLAIFAILVFTVTPLSYPLFRNPSSLKNIKKTNQPSTAFDDLNESASLPSTSIKKCDIFTGEWVPNPKGPYYTNKTCWAIHEHQNCMKYGRPDSDYLKWKWKPNGCELPIFNPFQFLEIVRGKSMAFVGDSVGRNQMQSMICLLSRVEWPVVVSHSQNDYFMRWHYPTYNFTMASFWTPHLIC >RHN63363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50701273:50703811:-1 gene:gene26054 transcript:rna26054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MIGVWPESKSFSDEGYGPILKKWHGTCQVGKRNPDHFHCNRKLIGARYFNKGYLAVPIPIRNPNETFNSARDFEGHGSHTLSTAGGNFVANASVFGNGNGTASGGSPKARVAAYKVCWDDGCQDADILAGFEAAISDGVDVLSVSLGRNIPVEFHNSSISIGSFHAVANNIIVVAAGGNSGPSPNTVANLEPWTLTVAASTIDRDFTSYVILGNKKIFKGESLSEHELPPHKLYPLISAADAKFDHVSAGEALLCINGSLDSHKAKGKILVCLLGNNSRVDKGVEASRVGAVGMILANDDFSGGEIIPDAHVLPASHVNFKDGNVILKYVNYTKSPVAYITRVKTQLGVKASPSIAAFSSRGPNILAPSILKPDITAPGIKIIAAYSEAIPPSPSESDKRRTHFNIMSGTSMACPHVAGLVGLLKSIHPDWSPAAIKSAIMTTATTKDNIGGHVLDSSQEEATPHAYGAGHVRPNLAADPGLVYDLNITDYLNFLCGRGYNSSQLKLFYGRPYTCPKSFNLIDFNYPAITIPDFKIGQPLNVTRTVTNVGSPSKYRVHIQAPAEFLVSVEPRRLNFKKKGEKREFKVTLTLKKGTTYKTDYVFGKLVWTDGKHQVGTPIAIKYPH >RHN65737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4845160:4846684:-1 gene:gene13617 transcript:rna13617 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGPIHFFHLSLSLNLSLLSDLSPLSSLLRWLLAGEDEGSTGNFIFSGEKLGFRRAKHPEFKFFYIFLFVFKP >RHN77763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9258942:9259847:-1 gene:gene1250 transcript:rna1250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase MKQNTNLTQLCTILVLTISMVTIVFASNESFSLSLPGCKDTCGNVKIPYPFGISNSSIPNQGPCYLERKFELICENDKKLIWGNLEISNISVIEGHVEAWFFVSSYCGSENVTHFARLGSTSGVSISPRENKFLTVGCNSLGVLRSIYDKERYYTGCLTICNGTRNRIENGACSGIGCCQVDIPPMMRNITIQASNYLDLNESLGCSNSFVVKNGFYNFTVSDLDNFPYERLPMILDWSVGSKYCNASKNEDDYACRNNSDCYDKDIDFGYRCKCKHGYEGNPYHPDGCTGNFLHLLLNII >RHN46389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31241087:31241644:-1 gene:gene40871 transcript:rna40871 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSPSAMEVSPPSFMEASPLHHGGHLPLHYGGLFFLFPLYWFSISTHLYGEKTTAAAITTNSHMILTITYMFSAERPPLEPSPFFSTGVKVFALPDPYLSRLPPKPQWFICGLIYLVLLNLCFSICMFVVDLTFYVCCIVLWVLCSSLVFIKCESKLRFDARNHIRCVVYEDVSYFKTFAFYS >RHN71379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58602182:58605359:-1 gene:gene20056 transcript:rna20056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, Mcm10/DnaG-type MSSNHEHEDLDLLLSLDDRVPETPPASPSPLDVDDDAVSYKHREKPDMSVFRDAVQDCLHSQPSNPNPNPKSITKPLTDDPQLDKFSGLRITNQCLTPAELRESVQDIRFVRLPVIKNLVNGDSFSGSWVTVGVLTEKGIQKTSSNGKSYCIWKIGSLDENTISLFLFGNAYQRNCQEKEGTVFALFNSTVRKDAKGNGFSLSIYSPNQIMKMGTSVDYGVCKGKRADGMSCTLAINKRQGTYCKYHKSKSSEKYSTVRTELKGGNLRTAFRPRDYLKSEGIYLVDPLADKTNLKKSKPVKLLSVDGIRKALSNAGKVTTASHSQGIRFLSEVAGKFDPKMMKKEPKTPNDQSKCTTKRKSSSVNMGYSSVIRNQQLDVKKAKTERQVFVDKATKSTGKMIELDLISSDEDF >RHN54739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11746836:11751212:1 gene:gene29817 transcript:rna29817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ESCRT-II complex, vps25 subunit, winged helix-turn-helix DNA-binding protein MQKLGEFKLPHFFNYPPYFTLQPVRDTREKQIQLWKELILDYCKTQKLFVIALEEEFPLFTNTVIERSLTNEAREAFLSALVSEGRAEWMDKGHRKCLILWHRIQDWADILLQFAKDNGLEDGVVTIEEIRFGTESQGTELHGIDRTILNRALKLLEQKGKLVVFKGTSTDDEGIKFSV >RHN78768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18170675:18171351:1 gene:gene2417 transcript:rna2417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MAFDDDDGNTNVCLHLLLGSPSCTPSCCCDESEKQIAKNHKRKYQETKKQKTCKVDDQWEIKKVLETSDICKHKTRLLLNKDLAEKFVVPVLLDGAAADFGKGGVQVQVWDIDTKSPHSVVFKYWPSAKSYVFTKTWTKEFVNRRELKKDDQIALRWDRNNQRFDFSDVIVIGEIDNASFISIVNCLSDVFV >RHN53345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1083788:1086286:1 gene:gene28244 transcript:rna28244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 12-oxophytodienoate reductase MAGIVGSDSIPLLTPYKMGKFNLSHRVVLAPLTRQRSYGNVPQPHAILYYSQRASKGGLLIAEATGVSDTAQGYPNTPGIWTKEQVDAWKPIVDAVHDKGGVFFCQIWHVGRVSDTVYQPNGQAPISSTDKPLKPQIRSNGIDVAKFTPPRRLRTDEIPNIVNDFRLAARNAIEAGFDGVEIHGAHGYLLEQFMKDKVNDRTDEYGGSLENRCRFALEVVEAVANEIGTERVGIRLSPFAEYSECGDSNPKELGLYMVNALNKYGILYCHMVEPRMITVGEKTECPDSLVPMRKAFKGTFMVAGGYDRHDGINAIAENRADLVVYGRLFLANPDLPKRFALDAPLNKYNRETFYVSDPVLGYTDYPFLE >RHN80158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35661833:35669187:1 gene:gene4048 transcript:rna4048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SLC26A/SulP transporter MGNVDYDDYPCSGMNVDESVHGVAIPPPQPFLKSMKYSMKETFFPDDPLRRFKNQPASKKLVLGLQYFFPIFEWAPSYTFQFLKSDLIAGITIASLAIPQGISYAKLANLPPILGLYSSFIPPLIYAMMGSSRDLAVGTVAVGSLLMGSMLANEVNPTQNPKLFLHLAFTATFFAGLLQASLGLFRLGFIVDFLSHAAIVGFMGGAATVVCLQQLKSILGLEHFTHAADIVSVMRSVFTQTHQWRWESAVLGFCFIFFLLVTRYFSKKQPKFFWVSAMTPLASVILGSLLVYFTHAEHHGVQVIGELKKGLNPPSLTDLVFVSPYMTTAIKTGLIVGIIALAEGIAVGRSFAMYKNYHIDGNKEMIAIGTMNIVGSFTSCYLTTGPFSRSAVNYNAGCKTAASNIVMSIAVMLTLLFLTPLFYYTPLVVLAAIIVSAMLGLIDYEAAIHLWKIDKFDFFVCISAYMGVVFGSVEIGLVIAVAISVLRILLFVARPRTFVLGNIPNSVIYRNIEHYPNANRISGILILKIDAPIYFANASYLRERISRWIDEEEDRIKDTGETILNYVILDMSAVGNIDTSGISMLEEAKKMVERREQQLVLVNPGSEVMKKLNKSSFQKDVEGNWIYLTVEDAVRACNFACKTNPKRDESEGWNNV >RHN45055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11226555:11228598:1 gene:gene39252 transcript:rna39252 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLINDVFRANLMEKAALDEGERNPQVGENSKFYQLVKENEEMLYPNCKKYSKLSFMVHLFHLKCLNGWSDKSFSMLLDLLRDALPEGNILPNSYYETKMISGLGLGYEKIHACPNDCILYRDKYVNDQVCPKCGTSRWKTTNEDVQANGMETSDKRKNLPTKILRWFPLKPRLQRLFMSSEVAESMRWHHKGRLNDGSLRHPADSLAWKDFAERYPMFSSDARNVRLGLASDGFNPFKTMSINHSTWPVILIPYNLPPWMCMKQSFFMLSLLIPGPKGPGNNVDVYLQPLIEELQDLWANGIETFDAYKRETFQLRAAITWTINDFPAYANLSGWSTKGQYACPCCGIETTSRWLKHGGKFCYMCHRRWLAPKHKWRLNSKDFDGTRELRKPPRRLHGDAILSQINECLEEGLEDGAQPCKKKSIFFTLPYWKYNVLHHNLDVMHIEKNVCDNIIGTLLNQEGKSKDNYKARADLVDMGIRSMLHPQPSPNSSTMRLPRACYQMTNKEKDAFLSILKNVKCPDECSSSIPRCVQVKQRKIFGLKSYDCHVLMQVLLPIALRGSLSDKSLQL >RHN48430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47421005:47423134:-1 gene:gene43145 transcript:rna43145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S12/S23 MGKTRGMGAARKLRRLRIKQRWADKQFKKSHLGNEWKKPFSGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >RHN55128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15392287:15402581:-1 gene:gene30260 transcript:rna30260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSLSTSTLSLYSHPQPPQLRLRRRLQSLAGTAIQFVILPTLNRRRPFGLGAGSRRFVVKAEGTSARASGSRRVYRESQADATLSVASVKQIATNVAPFGVFLALTIVIWKLVEKLVAPTPKQSKSTTAESQSASQGLKWSFAAGTNLLSQLGAKIDSQSKQKLNEFARELRSFSYTDMSGRNFGDEGLFFLAESLAFNQNAEEVSFAANGITAAGMKAFDGVLQSNITLKTLDLSGNPVGDEGAKCLCDILMGNSTIEKLQLNSADLGDEGAKAIAEMLKKNSSLRVLELNNNMIEYSGFTSLAGALLENNSIRNIHLNGNYGGALGVNALAKALEGNKSIRELHLHGNSIGDEGIRSLMTGLTSHKGKLTLLDIGNNSLTAKGAFYVAGYIKKIKSLLWLNIYMNDIGDEGAEKLADALKENRSITTLDMGGNNIHAVGVGAVAKVLKDNSVITTLELSYNPIGPDGAKALAEVIKFHGNVKTLKLGWCQIGAKGAEFIADALKYNTTISVLDLRANGLRDEGALCLARSLKVVNEALTSLDLGFNEIRDDGAFAIAQALKSNEDVAVTSLNIGSNFLTKFGQSALSDARDHVFEMTEKEIAIFF >RHN72061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4703413:4704079:-1 gene:gene7732 transcript:rna7732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding pseudobarrel domain-containing protein MFREVFCATKIPSFHSRSMYPEEVIIFDIHISDNDLNTPIKMLPNHFGTFLQNDFRSLLTLCCDDGTFYFVDIIHYGDYVDDPNSGIQWNDFILSNYIVAGQKLRFKFDLTTTYMCHVFPIDV >RHN45873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26411243:26412293:1 gene:gene40295 transcript:rna40295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain, leucine-rich repeat domain, L domain-containing protein MPGRVTLPRSIFSCRTLVSLHLKGLKVNDISHGVVDFPLLKTLHLSSVLFERFEYLVEILSGCPILEELQAEDLSVDNVEWVFIQENSVIVKKFISLLPNLIRVSITKSPSYLMNLVTLLCTEAQILRAELDVENEEEVVDNWVDPTTIPKCLSTQLKTCLLKGYKYTDCELQFAKYIMQNSKVLKTMSIKSTSSVDTNAKHQILKKLASCTRASSTCKLLFD >RHN81724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48482447:48484611:1 gene:gene5808 transcript:rna5808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MAKNSHTFLSLILLFLTLVATSHAGGIAIYWGQNGNEGTLSEACATGKYSHVNIAFLNKFGNGQTPEMNLAGHCNPSLPNSCTKFSSEIKDCQSKGIKVLLSIGGGIGSYSLSSIEDARNVSKFLWNTFLGGKSSSRPLGDAVLDGIDFDIELGSTENWQHLAGFLKGYSRYGKKVYLGAAPQCPIPDKFLGTALETGLFDFVWVQFYNNPPCQYNGNITNLVNSWNKWNSNVPRGKIFLGLPASTAAAGSGFIPADVLTSEILPVIKKSRKYGGVMLWSRFHDVQTGYSTSIIGSVFKCSNY >RHN65411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1937435:1938028:-1 gene:gene13246 transcript:rna13246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MVVVLRGHGNFVDNHEVKVHTLGTNCWKSIQNIPFGCVALQISGKFVSGTLNWLVAKEYDNKIQIFSLDLGSETYKEVLLPREVDASTLHSQLHSQLGVLRDCLCMVFGYDVWVMKEYKNKESWSKLFTISHIPDLPTYYGIIKVLHIFEDGQVLLDSTNGYTWKLIFYNSRDGTYKFTEFEFRCEVCVESLISPCF >RHN64764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61662250:61663533:1 gene:gene27619 transcript:rna27619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MAFSKFVTFLVIFISTVAIASAGNFYQDFDITWGDGRAKILNSGQLLTLSLDKSSGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGGAWDEIDFEFLGNLSGDPYILHTNVFSQGKGDREQQIYLWFDPTADFHTYSILWNPQRIVFSVDGTPIREFKNIESSGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTASYRHFNANACIVSSGISSCDSAQSNKNDWFSEELDSTSYERLKWVQKNYMIYNYCSDNKRFPQGLPQECRMT >RHN59369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9913339:9915921:1 gene:gene21395 transcript:rna21395 gene_biotype:protein_coding transcript_biotype:protein_coding MDACNDHIVLDLEEPEDVKDVSLSVCRIRKRRLRVAMRVNQRKLKLVNCLSRQKYYNQARLPTTLKDQDFIPSIPCHAIFVFLDTILIGVLQLQYQNKKESPFDDHKVHMQTFLTSICIYCSLIGIKIYTKTRGCHQEQILSFALLLFGILSSASLLSILLPRQLFWVVLFVWGSIPVILARHSIKILVCRIIEVMVVKITTMVLIFNTKDSASGSNKATYPNPANLVEAKVAKN >RHN65808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5556126:5556342:-1 gene:gene13698 transcript:rna13698 gene_biotype:protein_coding transcript_biotype:protein_coding MILDGLSLGAKERDLELIRYKNMKIERQKYVSPKSEAWHGPC >RHN38796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2074583:2082964:1 gene:gene44763 transcript:rna44763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynamin central domain, dynamin, GTPase domain, GTPase effector domain, Dynamin superfamily MTTMESLIGLVNRIQQACTKLGDYGGSDSNNTFSSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKIEDGEKEYAEFLHRPGRKITDFAMVRQEIQDETDRITGKTKQISPIPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQSESIVEDIENMVRSFIDKPNCIILAISPANQDIATSDAIKISREVDPSGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGVVNRSQADINKNTDMIVARRKEVEYFETSPDYGHLASKMGSEYLAKLLSQHLESVIRARIPSITSLINKSIEELESEMDHLGRPIAVDAGAQLYTILELCRKFERVFKEHLDGGRPGGDRIYNVFDNQLPAALRKLPIDKHLSLQNVKRVVSEADGYQPHLIAPEQGYRRLIEGTLSYFRGPAEASVDAVHFVLKELVRKSIGETEELRRFPTLQAELAAATTEALERFRDESKKTTIRLVDMEASYLTVDFFRRLPQEMEKAGNPAQPTNPSNRGNSDQPTNPSNRAGINDDRYGEGHFRRIGSNVSSYIGMVSETLRVTIPKAVVYCQVREAKQSLLNFFYTQIGKKEAKQLSDILDEDPTVMERRQQCFKRLELYKAARDEIDSVSWVR >RHN69954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47547783:47549686:1 gene:gene18487 transcript:rna18487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFHTNDFSSTIEKFSSLISNCVSAKSLKHGKALHSQLIKTALFFETFLANGLIDLYSKCGCKESIHKAFDDLPNKTTRTWNTLLSFYSKKGVFNQAYKLFDEMPQRNLVSYNSLISGLTRHEFHKEAVKFFREMQNGVGGLMLDEFTLVSLVSNCSCLDTVKWLRQVHGVATIVGFRTNLILNNALIDAYGKCGEPNSSFCLFRSMVEKDAVSWTSMVVTYTRASRIDDACKVFNEMPVKYTVSWAALISGFVKNGRCYEALEVFHQMIKEGVLPRAQTFVSVLDACASEALIGRGKQVHCQIIRGRSSDNLFNVYVFNALMDMYAKCGDMKSAENLFEMMIHVKDVVSWNTLITGFAQNGRGEDSLAVFDRMIESNIEPNHVTFLGVLSACNHAGLVNAGLELLDSMERRYGVKPKSNHYALLIDLLGRKNRLEEAMCLIEKVPNEISNHIAMWGAVLGGCRVHGNLELARKAAEALFALEPENTGRYVMLSNIYAASGRWSDTNRIRNVMKERGLKKEPAFSRIELKESRHEFVAKDKFHPQIGEIREANSKLVQHMMDVGYQPCISYPSLLDEDDDF >RHN70418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51301516:51312749:-1 gene:gene18997 transcript:rna18997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative branched-chain-amino-acid transaminase MIRRTVNFPSLRKLLLRPSYLSSSKIGAYNGYASQPSPLPIPDPTYSDDDEYANVDWDSLGFGLMPTDFMYVTKCSAGQNFGHGQLNRYGNIELSPSAGVLNYGQGLFEGTKAYRKENGQLLLFRPEENAIRMKIGAERMCMTAPSIDQFVDALKQTALANKRWVPPPGKGSLYLRPLLLGSGPVLGLAPAPEYTFLIYASPVRNYFKEGSAPLNLYVEEDFDRASQRGTGSVKTISNYAPVLMAQNRAKSRGFSDVLYLDSNSKKNLEEVSSCNIFIAKGKTISTPAISGTILSGITRKSVIEIGSDLGYQVEERVVAVDELTEADEVFCTGTAVGVAPVGSITYQNKRVDYKTGSGTICQELCKTILGIQTGSIEDKKGWIVEFD >RHN43384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45333462:45337087:-1 gene:gene49947 transcript:rna49947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MEECKREKDEVLKQVLGTVKSRKDRNSASLVCKQWYNAERLSRRNVFIGNCYSVTPEILTRRFPNIRSITLKGKPRFSDFNLVPENWGADIHSWLVVFAEKYPFLEELRLKRMVVTDESLEFLAFSFHNFKALSLLSCEGFSTDGLAAVAANCKNLTELDIQENDIDDKSGDWLSCFPESFTSLEVLNFANLNNDVNIDALEKLVGRCKSLKTLKVNKSVTLEQFQRLLVLAPQLCELGSGSFSQDLTCQQYLELESAFKNCKSLHTLSGLWVASASAQYIQLQVLYSACTNLTFLNFSYALVDSEDLTDLLVHCPNLRRLWVVDTVEDKGLEAVGSYCPLLEELRVFPADPFDEGVVHGVTESGFIAVSEGCRKLHYILYFCHQMTNDAVATVVQNCPDFTHFRLCIMTPNQPDYLTNEPMDEAFGAVVKTCTKLQRLSVSGYLTDLAFEYIGKYAKNLETLSVAFAGSSDLGMQCVLAGCPKLKKLEIRDCPFGDAALLSGLEKYESMRSLWMSDCQVTMNGCRLLAKEKPRLNVEVIKEEGSGDSQAEKVYVYRSVAGPRRDAPLFVLTL >RHN77243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5388806:5389309:-1 gene:gene680 transcript:rna680 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLIHTYQNLHLLVVDKTIIIRNNLFLILLMLLEAMLKIVVMMLLM >RHN43812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48676448:48677677:1 gene:gene50441 transcript:rna50441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MTFSFGNVFPANVKVLVIDHDIHLLNAIEKKLSQFNYQVTTCWTVSSAMNLIAQKVHFDLVLLETQMPDMDSFDFLQQLTQQIDIPVIMTMCSEGSTTGILNAIENGACDCWVKPFSENQVKYMWHHAVRKMMKGNKKQKINEQLGVEGSQIRARDDSNLCLNDEDIPEPPPTKKTKKRKKTKKTKKERVVWSLELHEQFMKAVKELDASSSSSSSKAVPKTILEHMKVPGLTREQVGSHLQVISVLTHKICDKSVL >RHN72896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11597047:11601653:-1 gene:gene8662 transcript:rna8662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKPHHHHLRRHFATKYTAKITSTSPTGRSLAAEVTPPPPLPSDPLGYNLPRPDLICKATQILLSTTPTTTLPDPFSDLSHYLQSLSIPLTPLEASLILKSLKNPTLAFKFFHFCPSLKNDPFIYNRLFLTLSRSSSQLRFEQTESLLDDMEKRGVKGSISTVNILIGFFGDLDRCVGLVKKWGLRFNAYSYKCLLQGYLRLRDCDKAFGVYLDMLRCGYSLDIFAFNMLLDALAKDQKVDKAYKVFEDMKRRHCEPDTFTYTIMIRMTGKAGKTDESLALFQAMLEKGFTLNLIAYNTMIEALAKGRMADKAVLLFSKMVENGCQPNEFTYSVLLNVLVAEGQLNKLDNIVEMSKKYMNKQIYAYFVRTLSKLGHSSEAHRLFCNMWNVHDKGDKDAYMSMLESLCSSGKIAEAIDLLNRFHEKCITTDTIMYNTVFTALGRLKQVSHIHDLYEKMKQDGPPPDIFTYNILISSYGRAGRVDSAVKIFEELENSNCQPDVISYNSLINCLGKNGDVDEAHMRFKEMQEKGLNPDVVTYSTLIECFGKTDKVEMACSLFDEMIAEGCSPNLVTYNILLDCLERSGRTAEAVDLYAKLKQQGLTPDSITYAVLERLQSGRHGKLRVRRQNPITGWVVSPLQ >RHN77834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9763005:9765017:-1 gene:gene1327 transcript:rna1327 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PT4 MGLEVLEALDSARTQWYHVTAIVIAGMGFFTDAYDLFCISTVSKLLGRLYYFDPSTNKPGKLPPSVNNVVTGVALVGTLSGQLVFGWLGDKLGRKKVYGVTLIIMVACAICSGLSFGSSAKSVMITLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGVGIIFAGLVSMVFSGIFKAYYQAPRFNEDPILSTQPEGDLLWRLILMIGAVPAAMTYYWRMKMPETGRYTAIVEGNAKQAAADMARVLDIEIIAEQDKLAEFKAANDYPLWSSEFFNRHGRHLIGTMSCWFLLDIAFYSQNLTQKDIYPAMGLIRQDKEMNAIDEVFQTSRAMFVVALFGTFPGYWFTVFFIEKLGRFKIQLVGFFMMSFFMFVIGVKYEYLKDENKNLFALLYGLTFFFANFGPNSTTFVLPAELFPTRVRSTCHAFSAASGKAGAMVGAFGIQYYTLDGTPRKIRRAMMILAFTNLIGFFCTFLVTETKGRSLEEISGEDGRESELTATPNDRAPGIRQDSRTEKM >RHN50939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12006055:12007584:-1 gene:gene35295 transcript:rna35295 gene_biotype:protein_coding transcript_biotype:protein_coding METSHPLSIESFSYSWLVNLKPSSLVESLDGSSFRTYLDASDEASSFIEMDPRMPSSRRFFINSQDFKFDFPTLQESPLTTLVDADQLFSNGYLMPLFVESLNIEPYEYDSSNSNSNSDSNSTLASSISHVTNKVVPLENSRTPSLKRCRTLSRSMFQKYLKFLRPLCRRLRGQKSGSKHENVVKRTQSVKNIRGNYGDSSPRISVAYSADDWRRVSCDSDSSIYEAVLHCKRSIEMS >RHN46195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29569573:29570919:1 gene:gene40642 transcript:rna40642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MARIPKFVYVMILFVSLFLIVVDVCGKCNSDAECRERWIMCPLETVVKCVEDECICVH >RHN39462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7276737:7279632:-1 gene:gene45486 transcript:rna45486 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTILSSLALTQRRGMMHIFPESLQKFWSNWNLRFMVVLSLGIQCILLLFGNKRKFYTSIYLRIILWSAYLFADWFATTSLGVLSSKEGENKDDFVEPKYVIIALWGPLLLIHLGGSDTITAYSMEDNALWSRRLVTYIGQVVVAFLTFLRSWTNADLNILAVPIFIAGFIKIGERIWVLWCASSQQFKESLFPDPDSGPNYARYMEAYNSASYEGYDVDVQGLIQTPAAGGTGVDHTHAPSQGDINIPLPETDHDIYGPAITVRKAHKFLKISKLLFADLILSFQDVSESRSSLLSGNGKKGFEVMEIELGFMYDVFYTKAATVYSLVGCFLRFVTLSCTISVLSVFFVMEKNQYPKMDVFITSVLLIGAIILEIYSVILVLFSDWTILWLSMHKNKVSSKVISLIQSVKYKKRWSCSTGQFNLISFCISKARKERHSNVGKFLRLKFGSSTGKAFKKRAAKCALAKIITGAWLSYQKYKHTNTKTVEDDLKEIIFEDFVDKIKEGINMGEDEFAKNITRFCNHRGDKVLERLKSELKAQIEDEEKVETMIRKLRWSVEVEFDQSIILWHIATNICYNSESDEEVLEKGRVLSYKEASKCLSEYMLYLLVMRPSMLPNGIGEIRFQDTCAEATEFVKDRHSIQDEKQVCRILHKVSRDIDKVSPSKVKGDRSKSVLFDAFRLAKNVREIRNDDVEWETKEMWKFITQVWVEMLAYAACHCQVIHHAQHLRHGGELLTHVWLLMAHLGITDRLQISKGFGRAKLIRK >RHN61793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38398523:38408249:-1 gene:gene24289 transcript:rna24289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 26S proteasome regulatory complex, non-ATPase subcomplex, Rpn1 subunit MAPEPNRAGNGASTSGTAKDEAAAKKKIESEDLSDEDLALKQQLELYVERVQDTDPGLQKVALESMRQEIRTSTSSMTSVPKPLKFLRPHYGTLKAYYETMVESELKKYLADILSVLALTMSAEGERESLKYRLLGSEGDIGSWGHEYVRNLAGEIAQEYAKRQSEEAPIDDLMELVKQIVAFHMKHNAEPEAVDLLMEVEDLDMLTEHVDKTNFKRTCLYLTSSARYLPGPDDMLVLDIAFSIYLKFEEFPNALQIALFMDNLLHVRTVFTSCHDVLRKKQFCYILARHGITFELDEEMVNDDEEREVLQDIINNSKLSEGYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTVASDSSSSGSSGNWLFKNKEHGKTSAAASLGMILLWDVDSGLAQLDKYFHSNDNHVIAGALLGVGIVNCSIKNDCDPAMALLGDFIDKEDSSTRIGAIMGLGIAYAGSQNEQLRYKLAPILSDPKASLDVIAFTAIALGLIYVGSCNEEVAQAIIFTLMDRSESELGEPLTRLLPLGLGLLYLGKQESVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQNLLGHCSQHLDKGEMHQGPAVLGIAMVAMAEELGVEMAIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDSEVAMAAVISLGLIGAGTNNARIAGMLRNLSSYYYKDTSLLFCVRIAQGLVHLGKGLLTLNPYHSDRVLLSPTALAGLITMLHACLDMKAIVLGKYHYVLYFLVLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYLPISPILEGFVILKDNPDYREE >RHN79433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28815997:28819888:-1 gene:gene3223 transcript:rna3223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase, Rpb8 MVESLLEDIFRVEKVNPDDKKLFEKVTRIEARSEKFDMFMQLDINSELYPLKMGQKFSLALVPTLNPDGTPDTGYYNPGNRQSIADNYEYVMYGKLYRVTEGSGGREKAELNISFGGLLMLLKGDHSHFNKFELDQRLYLLMRKV >RHN77724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8980320:8984133:1 gene:gene1208 transcript:rna1208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate synthase [NADH], amyloplastic MSNSLSLTFTALNNPQINAISNPSARLRPLARVTRCSATCVERKRWLGTKLRSGGGLERIQLWESGGLGRLPKLRVAVKSSFSAVPDKPMGLYDPAFDKDSCGVGFVAELNGQSSRKTVTDALEMLVRMTHRGACGCEANTGDGAGILVALPHGFYQEVVDFQLPPQGNYAVGMFFLPKSGSRRKESKNIFTKVAESLGHKVLGWRSVPTDNTGLGKSAQLTEPVIEQVFLTPSSDSKVDLEKQMYILRKLSMVSITSALNLQSDGITDFYICSLSSRTVIYKGQLTPAQLGEYYYADLGNERFTSYMALVNILPINSSSSSTNSILQQTA >RHN77853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9943977:9948612:1 gene:gene1350 transcript:rna1350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycolipid transfer protein MKRGKREIMEKKSEISCFIEELSMVVIVKPTEENHEAAHIPTKPFLSICYSVLHVLEKIGPTMAVLRQDVYQNIKRLELMHESNPTTNLNLVEILKLEATEGIAKKGSSCSKAFVWLTRTLDFTSSLLQILSNDPQKKMEKVVEESYEVTLKPWHGWISSTAVRVALKLVPESKTFIDLLKTEDEDHDMVKQKMQILVSLLVPFLEDIHCILRLFDLDKLKST >RHN75552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43229111:43231546:1 gene:gene11777 transcript:rna11777 gene_biotype:protein_coding transcript_biotype:protein_coding MERIILDAEHHLHENYNPDFCSFRVVDYITSLLNYMTKIQYI >RHN66531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13415838:13419035:-1 gene:gene14535 transcript:rna14535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MIETKDPEIKLFGKKILFPGEGEALMIDGEENVSPAAAMDVEEERDSESENEDDEEETEKDPEADKDTEEKKEADPPPDAAEIKNNNNSAATLPEGNPKTPSIDEETSKSENEQSETTANNNDTQEKTLKKPDKLLLCPRCNSADTKFCYYNNYNVNQPRYFCKACQRYWTAGGTMRNVPVGAGRRKNKNNSSSHYRHITISEALDAARIISPNGTHHLQNLKTNGRVLNFGLDHPHIYDSMSNDLNPAEKKVLNDTRNNGDRFSSASSVTVSKSMEESGKNMTQESLPQKNNGFIPQVPCMTSVPWPYTWSSGAIPSPQTLCPPGFPMSFYPAPFWNVPWFPPHTPATTPRSSPKSPTLGKHSRDDDNTNDENAKQDSLQTEESPKQRNGCVLVPKTLRIDDPTEAAKSSIWETLGIKNEGLSRGGMTKAFQSKKDGKNHVQTSPMLMANPAALARSLNFHENS >RHN59431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10681294:10687531:1 gene:gene21460 transcript:rna21460 gene_biotype:protein_coding transcript_biotype:protein_coding MSFISMLSTVILRKCIYIYHEYGYLLTGMVFLLVSAVQFSSTAFRQTTVVSGRVSPMTSMMGRPDR >RHN56802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33847851:33848018:-1 gene:gene32256 transcript:rna32256 gene_biotype:protein_coding transcript_biotype:protein_coding MANKERTCLHLPKRVLRHYNYIQTITRSPMTIGALEPGDVVATFQDFMAHVLDQA >RHN78263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13390071:13392398:-1 gene:gene1797 transcript:rna1797 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGKHYNLIKLRLSVVKAKPRRDYQGIGGGRGGYHGSWDGGDHGGRAGWGRTHGAGRHWISNTEHW >RHN65840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5728734:5730682:1 gene:gene13732 transcript:rna13732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MSSITCITHQPIISNSKSLITSQVSSTNLSSSRFLGIRVKSVRWSSSSFTSKFGPSNGSRFTCWFKFGKNGVDAEGAGIYGSQSRDDFDRDDVEQYFNYMGMLAVEGTYDKMEALLSQKIHPVDILLLLASSEGDLPKIEELLKAGAKYDVKDADGRTALDRANSEVKDFILNFSVQRA >RHN44659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7350560:7351137:-1 gene:gene38803 transcript:rna38803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative perakine reductase MATVGRMKLGSQGMEVSLQGLGCMSMSAFYGPPKPESDMISLIHHAIQSGVTFLDTSDIYGPHTNEVLLGKALKVVREKVELATKFGVRAGDGKVEICGDPGYVRVACEGSLKRLDIDCIDLYYQHRIESEEKVVTFPRRTSLV >RHN80505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38665006:38674310:-1 gene:gene4440 transcript:rna4440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant specific mitochondrial import receptor subunit TOM20 MSEPESQNESQPSPEPQSQNGAPEPESNSNHEPDPQPETEPEQPESQEQVQLEPESGSRPDPEVNSDADLKETAINSNDVVGTNSSPHPQLKKDEGSRTFTMRELLHGLKNDSEPEREDVSSPYSQDSQQQQHTEQNNAAMELINSVVGVDEEGRSRQRILTFAAKRYAAAIERNPEDYDALYNWALVLQESADNVSPDSTSPSKDALLEEACKKYDEATHLCPTLHDAYYNWAIAISDRAKMRGRTKEAEELWKQATGNYVKAVQLNWNSPQALNNWGLALQELSAIVPAREKQKIVRTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTLRTGGSVSAQEVSPNELYSQSAIYIAAAHALKPNYSVYSSALRLVRSMLPLPHLKVGYLTAPPAGAIVAPHNDWKRSEFFLDHEKLQQVPRVEQRQASQSLSTRSGDAMNGDKKTIKVEIADIVSVSACADLTLPPGAGLCIDTVHGSVYLVADSWESLDGWLDAIRLVYTIYVRGKSDVLAGIITG >RHN46500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32309926:32311432:-1 gene:gene40993 transcript:rna40993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSLLRHLLLRRSSAVTLRSFSTSSAQQQATAEPQPPPEELHTRVRSLIRSGNLDAASAMARQSTFSATTRPTVFTCNAIIAAMHRAKRYNEALDLYEFFFNLFKIAPNIVSYNFVINAYCDEGRIDAALDVFRKMKADASIKPSPVTYRIITKCLISAGRIEDARDILVKMLYTGHADFTVYANIISACIQLGNVDKANELIDELKRWCPNYYIGDVSVTYIHWFFEQERDKEAMECYNSLLNRKCMMRPKTCNMLLEILLRFGKKTEAWDLFHGMLDNHTPPTFHAVNSDTFILMVDECLKLGKIDEALATLKKVGTKPDSRPFFLDIDGNSKLISSFDEYDMLSEAENLFQEMYSMSMTPDVWTHSFLIHRYFKLNRIDDAYMTYNNMMEGGLKVVGSIT >RHN38428.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000019.1:10647:11004:1 gene:gene50753 transcript:rna50753 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSRICHKPTHNANCISQVRPTTNHSIHDASNPTCIGSTFHQLLLILGLRTLLYGQLCVVTQGSAHGFRV >RHN77469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7060084:7065279:-1 gene:gene931 transcript:rna931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XIV family MKMKSGITVSVVMVLFLLVELTVSLSSRTEWSALLELRSSMGIRGKEWPKKTDPCRNWTGVECRNDQVVGIKVAGLNRTHKGRLNPSFEVDALANFTLLESFNASGFMLNGSIPEWFGEKLGALKELDLRSCSISGVIPGSLGGMRSLKKLFLSRNNLTSRVPSGLGLLSNLSILDLSRNLLSGSVPESFSKLGNITRLDLSNNYLSGSIPPELGTLSNLQNLNLSNNSFTSSLPSQLGNLSKLVELDLSMNSLSGPLPGSLLSMPKLLIFDVSENSLTDPLPKLSGLNVSSGGIFNLSNNLFYGPVNGFMNKIKTIDLSSNYLEGDVQGDGGSLSDVALARNCLQMISNQRNLEECRMFYVQRNLTFASGGHESKKNKRLIFILAGIFGGFGFIVLLVLVLILVLKQCHKSKNLEIERGTTNGGPVTEGESPIPKDPVFVTAVGESFTFEQILHMTGNFDEANLIKHGHSGDFFWGVLDNGATVVVKRVDLSLFKRESYMVELGLLSKVSHARLVPILGHCMDNEKDKCIVYKYMLNGDLATSLHKVHDSDGKLQSMDWITRLKIATGVAEGLAYLHDCSPPLVHRDIRASSILLDDKFEVRLGSLSEVIAQGDLHQNAVSRVFSKPMSSNQGNSGKSSVTWAYDVYCFGKILLELVTGNIDISKSDDATTKDWLEHTLCYITIFDKERLTKIVDPTLIVDEDLLEEVWAMAIVAKSCLNPKPSKRPPMRHVLKALENPLKIVREESFSSAKLRTTSSNRSWSTAFFGSWRHSSSDSGATITHTNREGSSGNKQTGRVGSHGSGGNDHSSSNKRSSNEVFPEPLGMQDVESGEAK >RHN67432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27161707:27162339:1 gene:gene15621 transcript:rna15621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MPETLYLCVNILDRVLSKINFEVKTMEKLKLIGLSSLLLASKYEQRRAVGVYDVEYLADYIYMPEEICQMEKLILQELGWILTVPTPYVFLVRNIRACNLSDEDKIMEHMVFFFSELSLTNHSIVCDYKPSMIAACAVYLARFIVGRYPFWSNDLKMCTGYSEDKLLSCAHVMMESCIQICGEGIMEVFMKFSSLYQCRVSCIAQEFLEV >RHN77465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7038231:7039972:1 gene:gene927 transcript:rna927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MFEIWGLIGSKVASLMFIWAMIQSYCPHQVHALIEKFSEKLANFCYPFVEVRFFENIGDYMRTNEAFLYIENYLTSKSTNQAKKLQGEYVRKSLVLKMDERQKFYDEFEGVKFVWSLIKIVPNTNSVSFYPASDKRFYLLTFHRSHRDFVEKSYLNHVLEQGKEIGLSKRQRKLYTNCTGNGEYERGGKWSHVIFEHPSSFETIAMSPKKKKEIVDDLVTFSKAKEYYAKIGKPWKRGYLLYGPPGTGKSSLVAAIANFLKYDIYDIELTNVKNNAELRKLLIGITSKSVVVIEDIDCSLDLTGQRKTDSENDKEKEEKNEEVNQVAAASLQGLQAADKEKNKASQVTLSGLLNFIDGIWSASTGERLIIFTTNYVEKLDQALIRRGRMDMHIELSYCGFDGFKMLAMNYLSIESHPLFETIQRLLEETNMTPADVAENLMPKVAEEDVEASLERLIQALRTSKEEAEMKAKKEAEMKAEKEEGTGEEGSSEKEAEDAEG >RHN81620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47605131:47609670:1 gene:gene5691 transcript:rna5691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyadenylate binding protein MAAISSPMVQSPTVVAPSSAALTGGPFANASLYVGDLEGSVNEGQLFDLFSQVAQVVSIRVCRDQTRRSSLGYAYVNFTNAQDAANAKELLNFTPLNEKPIRIMFSQRDPSVRKSGSGNVFIKNLDTSIDHKALHDTFAAFGPVLSCKVALDGNGQSKGYGFVQFDNEEAAKSAIKQLDGMLINDKQVYVGFFVRHQERARTNGSPKFTNVYVKNISEASTDEDLKQLFGPFGMITSATVMKDANGKSRCFGFVNFQSPDSAAAAVEKLNGTAINDDKVLFVGRAQRKAERENELKAKFEQERISRYEKLQGANLYLKNLDDDFNEEKLKDLFSEFGTVTSCRVMLDSHGHSKGSGFVAFSTPEEANKALNEMNGKLVGRKPLFVAVAQRKEERKAQLQAHFAQIRAPGGMAPLAAELTGYHPGAPRLAPQQLYYGQGTPGFIPPQPAGFGFQQQILPGMRPGVAPNFVMPYQLQRQGQNGQRIGARRNGNLQHVQQNQMLHRNSNQGYRYMANNRNGMDPSGVHQGLAGPMLPMTFDGSGVSAAPIDNQRPGSTSLASALASATPDNQRMMLGEHLYPLVDRLTPNQHTAKVTGMLLEMDQSEVIHLIESPDALKIKVSEALQVLHEAASGSEVGDQLGSLSLNK >RHN51803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25641401:25646239:-1 gene:gene36337 transcript:rna36337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BRCT domain-containing protein MGGEGRVEVVSGKGCSRLFSSSIRNLQPLDQMSPVSSSPQLPSNAPFAGLVICVTGLSKEARNQVMEATERLGGQYSPNLHPQCTHLHSFGGRKFEHALKHGTKNGLFVVTLGWFVDSVRKNVRLTESLYSLKSYGDNDMKLDDFRLLPGYTNAGNCLPARIHEIKHTHHAEEFRRFSGAESNRSLDSSLSGCSIYVDPGISSELQNKVAETASREGASLADQWFVGCNVSHVVTEGTSIQRYLGYSSNLITPVWILKTAKEKQVQRLVSMSVDLARQVGLMLDDISGKEIVKQKVHDDLQGSKSEIGCKERQQIVNSAKNGVRSRRGRRMQTCQTPIRPITPNNLLDSICWSISEATSTASIYTDSFSAEDPSENHTSIFFYAKGDGKDSDASFSHSTRPLTESEKSELIFKNHFLTILFPVDRFSEIGASTRTLFSHNGFTCIQVLDHIHAFYQENMSSLEIDVAIHTDSRHADRLRSVYSSKETAERGYVMLKRIEFLGSRTSFEMLKRVSGDNNSNVYELLLRA >RHN81167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43803346:43805258:-1 gene:gene5172 transcript:rna5172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine endopeptidase DegP2 MAVALSSYCSMFSVLSSSTVKFRYSHRHTHTPSQRPFISIRCSRTPSNSDPNFKGQNSLEERSTGGNVVPASFKSSRKNKDFVVDSKDQQGESSVLQDSAFLNAVVKVYCTHTAPDYSLPWQKQRQFTSTGRQDLNTLTA >RHN62870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46373791:46378233:1 gene:gene25498 transcript:rna25498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-ketoacyl-[acyl-carrier-protein] synthase I MQSLQHQLPFTLRPSPLEPLRKKPSNAATTAARTPKRLSVVSSSVTTAAPQREKDPKKRVVITGMGGVTVHGNDVDIFYEKLLAGDSGITLIDRFDASKFPTRFAGQIRGFSSEGYIDGKNDRRQDDCLRYCNVAGKKALEDAGLGAENLDKIDKERAGVLVGSGMGGLTVFSDGVKNLIEKGHRKISPFFIPYAITNMASALLGIDLGFRGPNYSISTACATSNYCFVAAANHIRRGEADLMIAGGTEAAIIPIGLGVLLLAGHFLREMMTLKRLLGLGIKTVMDLLWVKVLEFCLEHAMKRGAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCIQSSLVDAGVSPEEVNYINAHATSTLVGDLAEINAIKKVFKNPSGIKINATKSMIGHCLGAAGGLEAIATIKAITTGWLHPSINQFNPEPAVDFDTVANVKQQHEINVGISNSFGFGGHNSVVAFSAFRP >RHN75426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42227114:42231439:-1 gene:gene11635 transcript:rna11635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAVISSHNLFSTFTRFNSSSRINPHSQTTNSARLIRIRCSGDLSTCATNTVENQQRVKLSNGNDSLEICRVLNGMWQTSGGWGRIDRDDAVEAMLRYADSGLSTFDMADHYGPAEDLYGIFINRVRRERPPEFLEQVRGLTKWVPPPVKMTSSFVRDNINVSRKRMDVESLDMLQFHWWDYSNSGYLDALKHLTDLKGEGKIKTIALTNFDTERLQIILENEIPIVSNQVQHSLVDMRPQQKMAELCQLTGVKLITYGTVMGGLLSEKFLDTNINIPFAGPAINTPSLSKYKRMVDAWGGWGLFQGLLRTLKQVSTKHGVSIATVAVKYILDQPAVAGSMVGVRLGLSEHIQDCNAIFSLVLDEEDVNSIREAAGKGKDLLKVIGDCGDEYRRA >RHN78316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14010385:14034197:-1 gene:gene1857 transcript:rna1857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MASPAPESIFLEDFGQTVDLTRRIREVLLNYPEGTTVLKELIQNADDAGATTVSLCLDRRSHGRESLLSDSLSQWQGPALLAYNDAVFSEEDFVSISKIGGSSKHGQASKTGRFGVGFNSVYHLTDLPSFVSGKYLVLFDPQGVYLPRVSAANPGKRIDFTSSSALSFYKDQFFPFCAFGCDMQSSFTGTLFRFPLRNADQAASSKLSRQAYSPEDISSMFVQLFEEGILTLLFLKSVLCIEMYVWNDGEAEPKKIHSCSVSSVTDDTVWHRQALLRLSKCPNTTTEMDAFPLNFVSETISGVETERQTERFYVVQTMASASSRIGSFATTASKEYDIHLMPWASVAACISDQSPNNKVLRTGQAFCFLPLPVRTGLSVQVNGYFEVSSNRRGIWYGDDMDRSGKVRSNWNRLLLEDVVVPAFVHMLHRVKDLLGPTDLYYSLWPIGSFEEPWSILVQQIYKSIRNAPVIYSELNGGRWVSPSEAFLHDEKFTKSKDLGSALMLIGMPVVHLPDSLFDMLLKNNSSKVVTPCTVRQFLRECVTSTCLSRSYKLLLLEYCLEDLVDDDVGKEAYNLPLLPLANGNFASFLEASKGVSYFICDELEYKLLQPVSDRVIDQSIPPHILSRLSSIAMSSSTNLALFNIQYFVQLFPAFMPADWKFKSKVFWDPESCQKPTLSWFLLFWQYLGKQTEILQLFNDWPILPSTSGFLLRPSRQLKIINGSNLSDAVQDVLVKIGCNILKSSYVVEHPDLFNYVCDGSASGVLQSIFNIFSSADIMQVSLDSLVAEERNELRKFLLDPKWYVGHSMDELSLRFCKKLPIYQIYGRESAQGSQFSDLENPRKYLPPLDVPEFILVDIEFIIRSSNTEEEDILSRYYGVERMGKAEFYKEHVFHRVGELQAEVRDSIMLSVLQNLPLLSLEDASIKDLLRNLKFIPTLTGALKCPSVLYDPTNEELYALLEDSDSFPSGAFREYDILNTLRGLGLRTSVSPETVLESARCIEHLMHEDQQKAYSKGKVLFSYLEVNALKWLPDQVDDNKGGVNWMLSRAATAFRSRNTKSDLEKFWNDLRLISWCPVLASPPFHSLPWPVVSSMVAPPKLVRPPNDLWLVSASMRILDGECSSTALLYSLGWMSAPGGGVIAAQLLELGKNNEIVTDQVLRQELAMAMPRIYSILTGMMASDEIEIVKAVLEGCRWIWVGDGFTTSDEVVLDGPLHLAPYIRVIPVDLAVFKNLFLELGIREFLQPSDYANILHRMANRKGSAPLDTQEIRAVTLIVHHLAEVYHHEQKVQLYLPDVSGRLFPAGDLVYNDAPWLLGSEDLDGSFGNASTVPWNAKSSVQKFVHGNISNDVAEKLGVCSLRRMLLAESADSMNFGLSGAAEAFGQHEALTTRLKHILEMYADGPGTLFEMVQNAEDAGASEVIFLLDKSQYGTSSILSPEMADWQGPALYCFNDSVFSPQDLYAISRIGQESKLEKAFAIGRFGLGFNCVYHFTDIPMFVSGENIVMFDPHASNLPGISPSHPGLRIKFVGRQILEQFPDQFSSMLHFGCDLQEPFPGTLFRFPLRTAGVASRSQIKKEVYTPEDVRSLFAAFSEVVSETLLYLHNVKSISIFTKEGAGEEMHLLHRVRRTCIGEPEIGSTEAQDVFNFFKENRHVGMNRVQFLKKLSQSIGRDLPYKCQKILITEQSITGHHLHYWMTTECLGGGNVRKGTSEASNSNRYNFVPWACVAAYLNSVKLAGDLLDSSVVRDDCAVSPDLFQISSLSTHPLENFEGRAFCFLPLPISTGLPAHVNAYFELSSNRRDIWFGSDMAGDGRKRSDWNMYLLENVVAPAYGRLLEKVAVEIGPCNLFFSLWPTTLGLEPWASVVRKLYQFVAEFDLRLLYTEARGGQWISTKHAIFPDFTFPKADELIKALSGASLPVITLPQSLSEKFMEICPSLHFLKPKLLRTLLIKRKREFRDRDAMMLTLEYCLHDLQESMQFDTLFGLPLLPLADGSFTSVDMKGVGERVYIARGDEYGLLKDSIPHQLVINVIPEEVHRKLCYIAQADSTNISFLSCQLLEKLLVKLLPVEWQHASQVSWTPGIHGQPSLEWLQLLWNYLKEYCEDLFIFSKWPILPVGDDCLMQLTPNSTVIKNDGWSEKMSSLLLKVGCLFLRQDLQLDHPELECFVQSPTARGVLNAFLAIAGEPQKIEGIFTHVSEGELHELRSYILQSKWFSEEQIDSTHIEIIKRLPIFVSYQSRKLVNLINPIKWLGPTGVREVLLSDSFIRTESEMEGVIMRRYLGIKEPTKMEFFKDHIFNHMSEFLLNQEVVSSILNDVQDLIKEDISLKSSLSALPFVLAANGSWQQPSRLYDPRVPLLKKMLHGDAFFPSDKFLDPEILDTLVNLGLRTTMGFSGLLDCARSVSLLHDSGDTEASKHGRELLGILGTLSLKLSNKGESMNGDEWSSMAVGSSNMIDDAVQCDGFCEDETNDTDSFVSSSILDMPEEEFWSELKLISWCPVISDSPVRGLPWSQSCNQVASPAIVRPKSQMWMVSSSMLILDGECDKTYLQTKLGWTDCPSVSVLSTQLIELSKSYKQMKTHSLLDPDFDAQLQKEIPCLYSQLQEYINTDDFIELKARLDGVSWVWIGDDFVSPNALAFDSPVKFTPYLYVVPSELSEYKDLMIKLGVRLSFGILDYLHVLQKLQNDVHGVPLSVDQLNFVCCVLEAIQECFLENPHFDAFDSPLLIPDAFGVLMSAGDLVYNDAPWMENSSLVGRHFVHPSISNDLAERLGVQSVRCLSLVSEDMTKDLPCMDYKKIKELLALYGNSEFLLFDLLELADCCNAKKLHLIYDKREHPRQSLLQHNLGEFQGPSLVAIFEGACLSREEFSNFQLLPPWRLRGNTINYGLGLVSCYSICDLLSVVSGGYFYMFDPRGLVLAALSTNAPSAKMFSLIGTDLKQRFHDQFSPMFIDRNDLWSLSDSTIIRMPLSSDCLKVGSDLGTNRIKNITDIFMEHGSRALLFLKSVLEVSISTWEEGQSHPCKNFSISIDPSSSIMRNPFSEKKWRKFQLSRLFSSSNAAIKMHVIDVNLCSEGTKFIDRWLLVLTLGSGQTRNMALDRRYLAYNLTPIAGIAALISRNGNHMNIYSTSSIMTPLPLSGHIKLPVTVFGCFLVCHNRGRYLFKYQDKGASAEGNFDAGNQLIESWNRELMSCICDSYVEMVLEIQKLRRDASSSVIDSSTRTAINLSLKASGDQIYSFWPRSSEREVLNDQIGDHNNTPSSSTAVLKADWDCFKERVIHPFYSRTVDLPVWQLYSGNLVKAEEGMFLSQPGNGIVDNLLPATVCSFVKEHYPVFSVPWELVTEIQAVGFRVREIRPKMVRDLLKVSSKSINLRSVDMYIDVLEYCLSDFRHTGSSSLPRDNVPVDLASTNVLVPETSVRTTSSQLESNTHSSTGIATQGAASSGDALEMVTSLGKALFDFGRGVVDDIGRGAPSAYRNSLTGIGQTRDPHLMSIAAELKGLPCPTATGHLKKLGVSELWIGNKEQQSLMIPLGEKFVHPKVLDRQLLGEIFSNPSLQALLKLQNFSLNLLAHHMKLIFHEDWVNHVMGPNMAPWLSWEKLPGSGSQGGPSSEWVRIFWKNFKGSQEELSLFSDWPLIPAFLGRPVLCRVRERHLVFIPPPLEHPTSTSQILETESTESYADGVRISSDNSSEAELAESYSSAFERLKISYPWLLPMLNQCNIPIFDEAFIDCAASSNCFSTPERSLGQVIASKLVAVKQAGYFTEPTDFSTSNCDALFSLFSGEFYSNSVRYAQEEIEVLRSLPIYKTVIGSYTKLQGQDQCMIPSNSFFKPYDEHCLSYATDSNESSFVRALGILELHDQQILVRFGLPGFERKTQNVQEEILVYIFKNWHDLQSDQSVVDALKETKFVRNSDEFSTDLLKPMELFDPGDALLISIFFGERKKFPGERFSTEGWIRILRKLGLRTATEVDVIIECAKRVEFLGIECMKSSDLDDFEADTINSRPEVSPEVWALGGSVVEFVFSNFALFFSNNFCDLLGKIACVPAELGFPSIGCKRVLASYSEVILSKDWPLAWSCAPILCKQHTMPPEYSWGALHLRSPPAFPTVLKHLQVIGKNGGEDTLAHWPIVSGLDIEKCTCEILKYLEKIWGSLSPSDVAELKGVAFLPAANGTRLVTADALFARLMINLSPFAFELPAVYLPFAKILKDLGLQDVLTLSAAKDLLLNLQKACGYQHLNPNELRAVMEILNFICDQIGEGNTFGRYDWKSEVIVPDDGCRLVHSTSCVYVDSDGSRYVKCIDTSRIRFVHADLPERVCIVLGIKKLSDVVIEELDENHNLETLGSVGSVSLVTIKQKLSSKSLQSAVWTVVNSMGSYIPALKSFSLEAIESLLNSTAKKLQFVKYLKTRFLLLPNLVDVTRAAKDFIIPEWENESSRQTLYYMNQSRSCILIAEPPTYISLFDLISIIVSQVLGSPIILPIGSLFDCPEGYEIAVVNVLKLCSDKKEVEPMNGVSNIVGKELLPQDARLVQFHPLRPFYSGEIVAWRSQNGEKLKYGRVSEDVRPPAGQAALYRFNIEVAPGVTQAFLSSQVFSFKSVSASSPLKETLVHDSPISNRSRVEFPESSGRGETNSQVPSLREQSGKVSAAELVHAVNEILSAAGINMDAEKQALLQKTIDLQENLKESQASLLLEQEKVERSTREADTAKAAWTCRVCLSSEVDITIVPCGHVLCRKCSSAVSKCPFCRLQVTKAIRIFRP >RHN54986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14222303:14226638:-1 gene:gene30102 transcript:rna30102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, tetratricopeptide-like helical domain-containing protein MNNNQTWPCTSSSSPFTSLPLPSPSRSIRLCSSSSSSSSSTTSSTDFSSLPYDILTKISASFDHPNLESASLVCRSWCEALRPLREAMVMLMWGKRLKHGKRGVRKNTEKALEMFTKAAAKGSALAMVDAGLIHWEKGEKDKALDFYLMAAHLGNASAQCNLGISYLQVEPPNTEQALKWLYKASEGGNIRAQYQLALCLHRAGGNRSNIREAVKWYMKAAEGGYMRAMYNISLCYSFGEGMARNHQIARKWMKRAADRGHTKAQFEHGLALYSEGDMIKALVYLELAGRAGEKGAAHVKNVIVHRISAASHNHAMHLADSWRALPSN >RHN53222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:202636:203095:1 gene:gene28110 transcript:rna28110 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKSVKLYYFVIIVIILFELSFQFQHGMARPLKQHNDEDDQHNINTNSVVIISSSSAHNKKVLEEINNSGPSPGEGHKQQLPDGYHH >RHN70012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48004420:48010213:-1 gene:gene18551 transcript:rna18551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative magnesium transporter NIPA MYTSSNLIGFILAVVSGAFIGSSFIIKKKGLQRAGLNGTPASVGGYGYLLQPLWWIGMVTMIVGEIANFVAYIYAPAVLVTPLGALSIIVSAVLAHFMLGEKLQKMGMLGCLLCIVGSTEIVLHAPQEKSLTSVLEIWLLAVQPAFLLYTASAIAVAFFLILYCAPRYGQTNIFVYIGICSIIGSLTVMSVKAIGIAIKLTLDGANQFVYFQTWIFTMVAISCIITSLNYLNMALDTFNTAVVSPIYYALFTSFTILASAIMFKDYSGQSISSIASELCGFITVLSGTTVLHSTRVPDPPVSTDVYSPLSPKVSWYIQGNSEQWKQKEDDGPPLNLIKIIQQDHFK >RHN44583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6469210:6470699:-1 gene:gene38720 transcript:rna38720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MNNLNDTHDIYELFKDMKRHKEEKPLTLSTEFVGKALDFNIRKVLKLLEDDQVFVIGIYGMGGVGKTLLATLVENEVKRKPPFIDVFWVTVSPNFKILELQHDIAKRIGVKLDEDDEKIGADNLSSALQKKRKSVIILDDVWKYIDLEKVGIHTKVNGIKVILTTRLKLVCQQMDCMPNHMINMKPLDGVNEDWELFKVKLGHRGTPATLSPEIENIARHIVSRCEGLPLGISVIARTMKGIDDIHQWKHSLNELNKLEMGQEVVEEVFKVLRRSYDNLIEEDLQNCFLYCALLSNDDEFDKDELIMKLVDNKLINGNKCLEEIFDDGNTIFDKLKAHSLMSSSHYSSVYNYRLVIDMACYIMKESKRNAMVKFGNELTKRAITQEWADDLELVHLWSCDIEEIPESIAPYCQRMSFTYTCAPSGRTMVIVKIGHFRLFH >RHN56565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31906460:31907174:-1 gene:gene31983 transcript:rna31983 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAIQILHRGSSMHGVVLLEMCRIWKVEERTADKRDVRLRLVNKDENRNILKVTVFQRRVRERGWVQSFSFLF >RHN39085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4017562:4019321:-1 gene:gene45078 transcript:rna45078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MAFLRHNTNDLFITVLIFCTFYSCYSAINDTITPSKSLKDNETITSNNTNFKLGFFSPLNSTNRYLGIWYINETNNIWIANRDQPLKDSNGIVTIHKNGNLVILNKPNGVIIWSTNISSSTNSTAQLADSGNLILRDISSGATIWDSFTHPADAAVPTMRIAANQVTGKKISFVSRKSDNDPSSGHYSASLERLDAPEVFIWYDKKIHWRTGPWNGLVFLGTPSMLTKYLHGWHFVQDNDGTTYITYNFADKTMFGILSLTPHGTLKLVEYMNKKELLRFEVDQNECDFYGKCGPFGNCDNSVVPICSCFDGFEAKNSVEWSLGNWTNGCVRKEGLNLKCEMVKNGSTVVKQDGFKVYHNMKVPDFAERLNVDIDKCGEDCLANCSCLAYAYDPSIFCMYWTGELIDLQKFPYGGVDLFIRVPGAELVATVAVKKEKGHNKSFLIIVIAGVIGALILVICAYLLWRKCSARHKGTWHVLEEIVKILVCIGLITIEKGENKIFKK >RHN67117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24033871:24038199:-1 gene:gene15263 transcript:rna15263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetraacyldisaccharide 4'-kinase MERLRKLVNEIAYTNNPTKLSPLHISLLPFLSISSSFYKLALSLRYYLYKHNIFFHFQIHRLPVPVISVGNLTWGGNGKTPMVEFIARYFSCSGISPLLLSRGYGGGDEVNMLQRHLLGTPTKFGVGANRAAVASSLIQKYGYIDIRNSSLYEKQNHDQKAQNYLDSEKIGVVVLDDAMQHWSLWRDLDIVMVNGLTLWGNGKLLPLGPLREPLTALRRADVVVIHHADLVSDHVVEDIESMVQKIKKSVPIFFTKMDPTYLFELGNINSKIPLTALHEAAILCVSAIGSADPFVKRIQEMGVLYVDRIDFSDHHIFHSKDISMIRAKLKELERKFGSKPSVVITEKDYDRDPEILKQLYPFKTFVLCSTLKVLPYKGNNEDSFKKFLKDQLKLKFSAAD >RHN40582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17846144:17847949:1 gene:gene46746 transcript:rna46746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein KTI12/L-seryl-tRNA(Sec) kinase, P-loop containing nucleoside triphosphate hydrolase MALVVICGQPCSGKSKAALALAEALKESSELKYQVRIIDEACFHLDRNQSYANMPSEKNLRGVLRSEVDRSLSKDTVIIVDSLNNIKGYRYELWCLARAAGIRYCVVYCDVEDNDCRKWNQERREKGEDNYDDAIFEDLVRRFEKPERRNRWDSPLFELKSSSSSSLSASASVVDDAVSYITKKVDSKTRNVKILQPTIATQTSRFSDANSLYELDKATQEVTNAIAEVQSRDLGMLPANGISIGKDLPPINLSRSVGLPELRRLRRTFMKLTGQTSLSGRPPPSNSDSAKRMFIDYLNRELGTS >RHN68060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32437111:32438426:-1 gene:gene16350 transcript:rna16350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GYF domain-containing protein MDRKQKLESPSEQSRLLGEIPEVIPEMVDTNLSPEKSSRKDKVEQIDLPDLVIGETCNSGADFLGPKTPVKNNQDDTTFPATVVQVSVHTASQEKDTSQNLIQYTMKSRDDCKHALVKEPNSDHQSLKCGLPQPSNTISASYDVDCRNLNTNMDANQTVKEIQSVMVADPVKPTVNDFIVLSDSDEEDVNIKVTSAERKGVVSSDVSIWHCSGIRGGGTKGPFSMSVLKRWSESATSSPLNYKVWKTGESEREAILLRDALSSFFPDVKVNNK >RHN58765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4620024:4628703:1 gene:gene20707 transcript:rna20707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MSSPRSLSSSDFSDFSDDIISSRTRKYDVFLSFRGEDTRASFTSHLTFSLQNAGIIVFKDDQSLERGEHISTSLLQAIEISRIAVIVFSKNYADSSWCLRELVQIMSCYSTIGQVVLPVFYDVDPSEVRRQTGDFGKSFQNLLNRISQEEERRVLKWNDGSLQRDDFPFSNKDMVRKWIDALHTAAGLAGFVVLNSRNESEVIRDIVENVTRLLDKTDLFIADNPVGVDSRVQDMIQLLETQQSNDALLLGMWGMGGIGKTTIAKSIYNKIGRNFEGRSFLENIREVWEQASGQLYLQERLMNDILKDTTTKIQSIESGKSILKERLCHKRVLIVLDDVNKLDQLNALCGSCKWFAPGSRIIITTRDKHILRGKQVDKIYIMKEMDESESLELFSWHAFKQTRPREDFSEISKNVVKYSAGLPLALEVLGSYLFDREILEWRSVLDKLKRIPNDQVHKKLKISYDGLNDDTQKEIFLDISCFFIGMDRNDVIRILDGCGFFAGIGISVLVERSLVTVDDKNKLGMHDLLRDMGREIIREKSPKEPEEHSRLWFHEDVIDVLLEHTGTKAVEGLSLKLPGRSAQRFSTKTFENMKKLRLLQLSGVQLDGDFKHLSRKLRWLQWNGFPLTCIPSNFYQRNLVSIVLENSNIRLVWKEMQGMEQLKILNLSHSQYLTQTPDFSYLPNLEKLVLKDCPRLSEISQSIGHLKKILLINLKDCISLCNLPRNIYTLKSLKTLILSGCSMIDTLEEDLEQMESLTTLIANNTGITKVPFSIVRSKRIGFISLCGYEGFSRDVFPSIISSWMSPTNGLSPTFQTTAGMSSLVFLNATNSISHDISSISYVLPKLQSLWLECGSELQLSQDTAIILNALSATNSKELESTATTSQVSEVKTSSLIECCDQMPDSATKNYMKSLLIQMGTSCLISNILKERILQNLTVDGRGSFLLPGDDYPNWLSFNAKGYSVIFEVPQVEGCSLKTIMCIVYSSSPYDITSDGLRNVLVINHTKTTIQLYKREALSSFENADWQRVISNMEPGDKVEIVIVMVNNVIVTKTAVYLIYDEPIDGKMDHCDSQDTNVIVGGDENECSSKIVSPQVESTDDSNQRQKRRKHWWR >RHN79625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30714811:30720870:-1 gene:gene3442 transcript:rna3442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative delta(14)-sterol reductase MDLDFLIHALIPSWNSVALLAGFFTYLAIVGSILPGKLVPGVVLSDSTRLHYRCNGLLSLVLLVGLLWISAKMEFVSLTAIADRGLELLSTTFIFSFLVALILYFSGCKSRSKGSSLKPHISGDLIHDWWFGIQLNPQFMGIDLKFFFVRAGMMGWLLINLSVLAKSIQDGTLSKSMILFQLFNALYILDYFVHEEYMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLRNKVELTNAAVVANCFVFLIGYMVFRGANKQKHDFKKNPKAPIWGNPPKVIGGKLLASGYWGVARHCNYLGDLLLALSFSLPCGISSPVPYFYPIYLLILLIWRERRDEARCAEKYRDIWLEYRKLVPWRILPYVY >RHN76108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47747336:47750194:-1 gene:gene12386 transcript:rna12386 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyridoxal phosphatase MENGDQFQQSKAKYDCLLFDLDDTLYPLSSGLSAHVTKNIQEYMLQKLEIKEDRVPELCVSLYKIYGTTMAGLKAIGYDFDYDDFHGFVHGRLPYNMLKPDPVLRGILLSLPFRKIVFTNSDDAHANRVLQRLGLEDCFERIISFETLNSSKSNNINSPHNKDSNEYKQSSTEIFDFYEYICRPDANIVLPKTPVVCKPFQDAFEKVFKMADIDPQRTLFFDDSIRNIQTGKSLGLHTVLVGTSLRTTGVDHALESIHNMKEAFPELWEADEKSKIVKYSRKVAIETSVKA >RHN75905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46049351:46050010:-1 gene:gene12164 transcript:rna12164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPM-type phosphatase domain, protein phosphatase 2C family MHGYTPITHVLVVGFVKTGTKFQHKGETLGTTARFDLVDGRIVIVASISDSCCISLDTKGGVVFVPTVDHRLEDNVEERGSVTASGEVGRLDVYGLRCHWPDGLSRSIRDKDVREYIVSIPHVKQVKLTNAGGGVRLIIAFDCIWDALSNDMDALSCRGLHAKLAAKLVVMEGLRSSSNDETTCLVVDIIHSDLPVVPTTKINSKKKHKVPFTSLKHFF >RHN72570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8752189:8756352:-1 gene:gene8297 transcript:rna8297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSIVYDSGRMKIKIYKQGNSNQQSEFLRNSKSSDERHIVPCVKKKSSTAPVERKVSASAAESSQQNIDTNQNKTSTCSRDSANSKRRVEKCCKLDITKSKKYGSTSKHKKIKLEGNSNFEDEEGDEVIFVSMTKSRSKGKLDNIMVSETEQNIKTCHQCLRKDRPQFVPCTKCQKIYCLRCIKQWYPNMSIGDIRERCPFCRKNCNCNVCLGSRGMIKTSKRNITDCEKVHHHRYIINLLLPSLKQIFEEQCQEQEIEAKIQGKSCSEIKIPQIPCHGKERIFCDHCATSIVDLYRSCPKCSFEICLSCCKEIRNGSITPRFEMKFQYKNRGDEYMHGGDPLLITCDTSNLEGNVEIFTNWNVNSDGSVECGCGGCVMELKRILPDGRISKLVTKARLMKKHFCKIEKQKNVEKERISSCKNCHDINCPMSSDLIEKKLFKFQKYWRNGEPVLISDVLKKGTGLSWEPMVTWRALRVNSSSSVNSNIKAIDCLASCEVTIDTRQFFEGYQEGRRYINFWPEMLKLKDWPPYDEFENVLPRHCDEFIRCLPFQEYCDPQSGILNLAAKLPSHVLKPDLGPKTYIAYGTREELGRGDSVTKLHCDMADAVNILTHISEVKLTDEQLYAIKKIKSAHKTQDKKEGLVQDNGGHFEINGKLFPNEVPSITEDTSETAGALWDIFRREDTAKLETYLRRHSKEFRHTYCSPVKEVIHPIHDQCFYLTFEHKKKLEEEFGVVPLTFEQKLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENVDICMLLTEEFRRLPKNHMAREDKLEVKKMIIHAVDQVVQDLEAFIRCSSLG >RHN77980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11030771:11033530:1 gene:gene1493 transcript:rna1493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MAFLLFMFYIVVGTTTATPTENNCPEDLTCGNQVIKFPFQIKNHNPNPSFCGYPGFDLFCSSNKETMIELPHKVKLKVKNIDYTNQTIELSDPQGCLYIQFHNLNLSSSHFKQVSDTRYFYDKVDSYHFFNCSLLIRDDDMDSYLVPCLSTSTSQTYAIPAYEPIKFFPLTFCTKMYNVSVMGPSYSGTIPKKNFLRLKWSEPNCKHCESEGKRCDWKNTTSNSTNKEVYCFAKNNNKGSSTTLVNTGSILGSLFFVLLTGAIYHIYDSYIQKKEKQAIIEKFLEDYRALKPTRYSYEEIKRITNNFGDKLGQGAYGTVYRGSISKEIIVAVKILNVSQGNGQDFLNEVGTMGRIHHVNIVRLVGFCADGFKRALIYEFLPNGSLQKFINSPENKKNFLGWKKLHEIALGIAKGVEYLHQGCDQRIVHFDIKPQNVLLDHNFIPKISDFGLAKLCSRDQSIVSMTAARGTLGYIAPEVFSRNFGNVSYKSDVYSYGMMLLETIGGKKITEDLEENSSHVYYPEWIYNLIDDQEEMRIQVDDEGDEKIARKMAIVGLWCIQWHAMHRPTMQMVVQMLEGDVDKTPIPPNPFASQSRQPRRNGGAKITRQLTQELDVIQELD >RHN79236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26353349:26354024:-1 gene:gene2990 transcript:rna2990 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQSSAYSNTEDDEKASNAISNEPKYVDYAPIQRKHSTKVEKLEQHAYSNNPQVNDETFTNFIQRAKYKIRSMTNIGHHVENRNPAASDVVNTNVDSKSKENESDQFSHFIHNTKKKLRTVTTIRKNKTFKKGNNSGH >RHN56237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29135288:29138872:-1 gene:gene31605 transcript:rna31605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative universal stress protein A MSESGNLGVVVVAVDGSEESMNALRWALENLKLRSPAPDSTDAGSFIILHVQSPPSIATGLNPGSIPFGGPSDLEVPAFAAAIEAHQKRITDSIFDHALGICSTFNVKTKVRTHVVVGDPKEKICETVQDLHADVLVMGSRAFGPIKRMFLGSVSNYCAHHSECPVTIIKGKGGVNKGN >RHN64883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62672523:62674369:1 gene:gene27754 transcript:rna27754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-16 MNMASSSPILLMIIFSMWLLISHSESTDYLIGDSHNSWKVPLPSRRAFARWASAHEFTVGDTILFEYDNETESVHEVNEHDYIMCHTNGEHVEHHDGNTKVVLDKIGVYHFISGTKRHCKMGLKLAVVVQNKHDLVLPPLITMPMPPSPSPSPNSSGNKGGAAGLGFIMWLGVSLVMMMFLI >RHN54188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7681847:7682057:-1 gene:gene29186 transcript:rna29186 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNHHGGIKGTKFKFNSRGLDVVFPNITDHYFPQELLTYTEEGKIYVLYIIMFESY >RHN69915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47321801:47322608:-1 gene:gene18448 transcript:rna18448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MASKIHFSLFLILFLAHYAFVNGDATLIKSTCKNTKYYNLCFSSLKSNPSSPNADTKGLAVIMVGIGMTNATSTSSYLSSKSLTPTNNTTLKSVLKECADKYNYAGDSLQASVQDLANEEYDYAYIHITAAKDYPNACYNAFKRVPDLVYPPELATRENGLKHICDVAMGIIDNLINM >RHN80903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41740276:41740729:1 gene:gene4885 transcript:rna4885 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGNRQNKSSSGFFSIFNCFTSKNKSRAGYYDDCGRKTWPSDEDKGNWGVAEPNINRRAKDFIRKYKNHISESECYQVDPAA >RHN42758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40696622:40699881:-1 gene:gene49243 transcript:rna49243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative YTH domain-containing protein MAAVANPADQAAELLQKFSLESQPKSLEIPEPNKKATGNQYDSGNALNGQIPSYERSVTPVLQDFMDPAMCYLPNGYPSYYYGGYDGTGSEWEYLNADGVDLTSGVYGDNGSSLVYHHGYGYAPYAPYSPAGSPVPTMGNDGQLYGPQHYQYPPFFQPLTPTSGPFTPTSAAHPQGDNSTSVAADQKPLSVEAANGNTNAGTNGVNAKGRTPTSGGYQDPRYGFDGARSPNLWLDTPIFSDGQPRPVSSTVISSSISSGNNGTASRNQTYRPNSQYMGLHHPRPIPAMGATPGFINRMYPNTRYGQYGNSVRSGMGYGTHGYDSRTNGRAWLAVDNKYKTRGRNGGYFGYGNENTDGLNELNRGPRAKGGKNQKVFVPTVLTVKGQNVPVNTVDEEKEKNSTTPDREQYNKADFPEEYTDAKFFVIKSYSEDDIHKSIKYNVWASTQNGNKKLDAAYQEAQQKSGGCPIFLLFSVNTSGQFVGLAEMTGPVDFNKSLEYWQQDKWMGCFPLKWHIVKDVPNNVLRHITLENNENKPVTNSRDTQEVI >RHN44520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5642169:5643374:-1 gene:gene38649 transcript:rna38649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNMVKLVPARNNKVRNYIPDDLTQSILSNLSLKSLNRFRCVRKSWSTLFENPSFISLLRNNFLFNNHDYYEDTSLLLHQIVTDDEFVLYSLSGERFEIGTKIDWPNPFEENKPNFDISGSCSINGILCLINYSEPNTRAVLWNPTTQEFKVIPTSPFEFVPHMDVDILRHGFGYDCVTNDYKIIRQVMCYHKIDIDVYLLEDIDNDHFWEIYSLRSNSWRKLEYDIPINHKESGVCLDGMVHWWNQSDDIGDEDDEDDDDDEAYLLSFDLRTEEFITTLTPLEDVSFDSGYVLSDLMVLNGSIALISNYTNLGSFQIYVLGEFGVKESWFKLFIFQPLSIIVYPIGAGRKGNIFFTNEDGKLIFFSLSTMIIEEFNFVRGKFSGKTITYKESLLPIGEINE >RHN58168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44246729:44248954:1 gene:gene33784 transcript:rna33784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MEKVVCLTCGDIGFPEVRVFCNNCKDCALHRYCLDGPVIFTEEVIWLCEDCDEETGPCPMTDSETDDSITSEDDFKARPILDANWSGNLRFGDNTINGLMAHLSDLVCPKVWKETELLPDVLSADLLPRSEVWPDSFKKDGPTNKNIALYLFPEYEGPSMDALDNLIVEVIHAEAALRVVTENAQLLIFPSTLLPIQHQKFDSKNYLWGVFRKKQTSNETNYVVTQC >RHN39157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4561490:4569913:-1 gene:gene45157 transcript:rna45157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endopeptidase La MFSFSVSRFPSAQSLSLHHPSTTTNATFHTNPLHQNASSSTNNNKAENFLKQNATSRVNPIEGNENEVVFGRKKKKGKEKVYWVCSDCGYSTGQWWGVCRSCSVSGTMKEFHEVKSSEKVSGFSVLEDGLGSWLPEKSGELRPLRLSEVNRGVDHLHWRIRLSGPFGNEVSRVLGGGLVPGSLTLVGGDPGVGKSTLLLQVAAMLAEGDEDVGASPVVYVSGEESVEQIGNRADRLTIGSDIYLYSSNDIEDILKKVQYISPRALVVDSVQTVYLKGIMGSPGGIMQVKECTSALLRFAKTTNIPVLLIGHVTKSGDIAGPRVLEHIVDVVLYMEGEKYTSHRMLRAVKNRFGSTDELGVFEMSHSGLQAVSNASEMFLSEQDLDSDVLAGLAVAVIMDGSRTFLIEIQALCLSGSTGSRQFNGIQANRADMIISVLIKQAGLRLQEHAVFLNVVSGLTVTETAGDLAIAAAICSSCLELPIPNDIAFIGEIGLGGELRMVTRMEKRVHTVAKLGYRMCIIPKAAEKVLGTEGLENIKVVGCRNLKDVINTIFPNVMRRSK >RHN43950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:18741:19523:1 gene:gene37988 transcript:rna37988 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLRWENGVLQKAPKFNGDPEEFSWWKTNMYSYIMGLDEELWDILEDGVDDLDLDEEGAAIDRRIHTPAQKKLYKKHHKIRGIIVASIPRTEYMKMSDKSTAKSMFASLYANYEGSKKVREAKALMLVHQYELFKMKDDESIEEMYSRFQTLVSGLQILKKSYVTSNHVSKILRSLPSRWRPKVTAIEEAKDLNTLSVEDLVSSLKVHEMSLNEHECYKKNKSIALPSKGKSSKSSKAYKASESEEESTDGGKSQEKIR >RHN48516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48109726:48113254:-1 gene:gene43240 transcript:rna43240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannan synthase 1 MKNLIFEEPEVDIPGYASSGLRYAWQSIRAPVIIPLLKLAVIICSIMSVMLFIERVGMALVILVVKVLRIKKYTKYKLDAMKQNIERNKRYPMVLIQIPMFNEKEVYKLSIGAVCGLSWPGDRLIVQVLDDSTNQVLRELVELECHKWIEKGVNVKYETRSNRNGYKAGALKEGLQKQYVEDCEFVAIFDADFQPDPDFLWKTIPYLLENPKLGLVQARWKFVNSEECMMTRLQEMSLDYHFSVEQEVGSSTYSFFGFNGTAGIWRIQAIKDAGGWKDRTTVEDMDLAVRASLKGWEFVFVGDVKVKNELPSTFKAYRYQQHRWSCGPANLLKKMTKEILFCKRVSLLKRLHLIYAFFFVRKIIAHWVTFFFYCIVIPACVVVPEVSLTKKVAIYIPATITILNAVSTPRSVHLLVLWILFENVMSLHRTKAAIIGLLEANRVNEWVVTEKLGNTMKQRNNARPSTSRSPWFRITERIHPLEIIVGMYMLHCAIYDLLFGRDHFYLYLLLQAGAFFTMGFGIVGTIVPN >RHN65944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6768129:6768527:1 gene:gene13845 transcript:rna13845 gene_biotype:protein_coding transcript_biotype:protein_coding MIHIIGYSPTGLYVLQMVTCGGVNWLVLCWFCYGVGRHAATVVLWDIDYGQLLVLMGSAKTASVVRNKCKHCWPMLPTGFEKLS >RHN56935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35020564:35021415:-1 gene:gene32405 transcript:rna32405 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVVLIYGCCYGVHAGKKLQSMANQYRLGNRHNEERSPIYFRLFGSNISIVPTTTHNVLEGNSTSQFINGGPRSVSSISARDNMSLSSLYPTIGGQIEVAPVVESDYQRSGDVVGNSSNANSVSSFNDEINTTTTGARNDELCQANSSFKRSRSPDPFTRGETSNQGSQIDERFSRRRTLPPPFHPYQDNVNNMSSLPTTTFWDHFIMDEDVDVEAGLSSTTTMSEINSSPGGPASFLYPYAATREATSSSSQGTSFSQNGDNNNNLDFFEVYILSFSFFFL >RHN82743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56049459:56051794:-1 gene:gene6927 transcript:rna6927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MALSSVKGFFCLLLITCMIGMNTSAELSENFYGKTCPKAVRTIRKAVQDAVMNERRMGASLLRLHFHDCFVQGCDASALLDDTSNFTGEKNAFPNANSLRGFELIDDIKSQLEDMCPNTVSCSDILALAARDGVAELGGQRWNVLLGRRDSTTANLSEANTLPAPFLNLDGLITAFAKKGFTAEEMVTLSGAHTIGLVRCRFFRARIYNETNIDPAFAAKMQAECPFEGGDDNFSPFDSSKPEAHDFDNGYYQNLVKSKGLIHSDQQLFGNGTSTNAQVRRYSRNFGRFKKDFADAMFKMSMLSPLTGTEGEIRTNCHFVNAPISNTTTA >RHN61953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39606946:39609929:-1 gene:gene24469 transcript:rna24469 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGTMRTAAKLAGIGVARSGFRGTTVTYPAEKQWSRNRASSPTRVISQGTKTADVKPLHTSVSEDLNDWEFADEGDLFMSSGEPTPRMVFGEVPTLKEAEEATAELKDAIDQIYLSPANSQIENSSPGSEVSVLSPYSKDCLVEAISNPSVSKHAIHAFQLLSSSVEAQTVVQSIACDPNIWNAVMQNPAVTSFFESQLADSTNGAAFAGSGFAETPEKEEKPESQSGNVFDFMGILQNLKLTVTEMVSRMSNFFQNIFPTVEKDKSSADADGGSFMDYKNVMGTSFMGLAVMVIMVVLMKRA >RHN72864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11348112:11348543:-1 gene:gene8626 transcript:rna8626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MKFTACVFPLLCFSASIIIVMVVMQQSQYLFSSDEYYVRVINGFTNNSSVPLVIWCSSDEMDLGSRAMQEHDDFSWIMRPNLWSSNHMKCTMKYDNTRKKFDAFKASRDAERCGTNRICSWRVTQDGFYFSNDEVNWRMDFTW >RHN77977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11008745:11009473:1 gene:gene1490 transcript:rna1490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MCYLTITFPKISDFGLAKLCSRDQSVVSMTAARGTLGYIAPEVFSRNFGNVSYKSDVYSYGMMLLETIGGKKITEDLEENSSMFTIRSGLIIL >RHN56106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27842572:27843599:1 gene:gene31445 transcript:rna31445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MDLSEMLHDFLLVFLGSGIILGSLGVVLLTNSIFSAFSLGLVLVCISLLYILSNSHFVAASQLLIYVGAINILIIFAVMFMNSSEYYQDFNLWTVGDGITLIVCTSIFVSLITIISDTSWYRIILTTRPNQIIEQDLTSTSQQIGIHLSTDFFLSFELISIILLVALIGAIVVARHWSMMLEHVLVLSAYLFSIGIYGLITSRNMVRALMCLELILNAVNINLVTFSDFFDNRQLKGNIFSIFVIAIAAAEAAIGLAIVSAIARNRKSTRINQSNLLNK >RHN54995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14287354:14289824:1 gene:gene30111 transcript:rna30111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MTDCHHLVLYINQNFLCLCIAGLAVTYGLNLNIIQAWMILTLCNLENKIISVERMLQYTTIPSEPPLVLEEENRPIPSWPAYGEVDIRNLQVRYAPHLPLVLHGLTCTFRGGLKTGIVGRTGSGKSTLVQALFRLVEPSAGELIIDNINIYTIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEALDKCQLGDEVRKNEGKLDSSVSENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNLIQQTLRKHFTDSTVITIAHRITSVLDSDMVLLLSQGLVEEYDSPTTLLEDKSSSFAKLVAEYTSRSNSNF >RHN44046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1233721:1236514:1 gene:gene38094 transcript:rna38094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MKNKINFFGSNMWMLFFPICGLIVGIESAATVTSHQLQMEANAILNSGWWNVSDARFIIRDRCNWQAITCNVAGSIKEIVIYNDDYEKVAWGNEFQTRNLSTLNLSCFNNLETLVISSVELHGTIPKEIGHLSKLTYLDLSGNYLNGELPPELWLLKNLTFLYLSYNKFKGEIPSSLENLKQLEDLDISYNNLKGQLPPELWLLKNLTFLDLSYNMFKGEIPSSLGNLTQLEDLYISNNYIEGHIPFELVFLKNMITFDLSNNRLTDLDFSSNYLKGQVGNPKQLQLLNISHNNIQGSIPLELGFLKNLTILDLSHNRLNGNFPIFVSNLTQLQYLDISHNFLIGTLPSNWFSSNNYLLSMDLSHNLISGKIPSNIGNYYTLILSNNNLTGTIPQSLCNVDYVDISYNCLEGPIPNCLQDYTKNKGDNNLNGAIPQSHCNHSIMSFHQLHPWPTHKKNIKLKHIVVIVLPILIILVLVFSLLICLYRHHNSTKKLHANLTKTKNGDMFCIWNYDGKIAYDDIIKATEDFDMRYCIGTGAYGSVYKAQLPSGKVVALKKLHGYEVEVPSFDESFKNEVRILSEIKHRHIVKLYGFCLHKRIMFLIYQYMEKGSLFSILYDDVEAVEFNWRTRVNTIKGVAFALSYLHHDCTAPIVHRDVSSSNILLNSEWQASVADFGTARLLQYDSSNRTIVAGTIGYIAPELAYTMAVNEKCDVYSFGVVALEALVGRHPEDILSSLQSNSPQSVKLCQVLDQRLPLPNNDVVIRDIIHVAVVAFACLNINPRSRPTMKRVSQSFVTELTPLSIPLSEISVQQLTGQELKGLFYIGNP >RHN40688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19503094:19503495:-1 gene:gene46877 transcript:rna46877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stigma-specific protein Stig1 MMINNYEVSENYTNSGFNEVGEDQEYPGCTNRPWICSNGEYPPRYVCCRNRCVDISKDVNNCRICGRRCPTIGNWRCCNGFCTNINLDPLNCGGCGKICPIMVCLMGECRYTKSSSPTPFLPYRLLK >RHN59014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6668335:6668876:-1 gene:gene20995 transcript:rna20995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain-containing protein MQDQSMKSLLLRIASLEPSSTIHCPFLSSLLNKCLLRVFKFPTHPPYDSMIKRAVKELNEKSGSTEEDISKFIRREYDNDLPFDHAFILHSRLKKFCMSGELLCTENGKYVFVDCESEVEEEEDDDEEDEEEEDTNNVNYFHTIIK >RHN43231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44191888:44196548:-1 gene:gene49775 transcript:rna49775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MSGAIDMDHVGFSKPKSSPATSPTTDHGRGKKPGSISMDHVLLALRETKEERDVRIRSLFNFFDATNKGYLDYANIEAGLSALQIPPEYKYAKELFKICDADRDGRIDYHDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDEVELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATLENIYQHWERVCLVDIGEQAVIPEGISKHVHRSRYFIAGGIAGAASRTATAPLDRLKVVLQIQTGKASIMPAVMKIWQRDGLLGFFRGNGLNVVKVAPESAIKFYAYEMLKNVIGDRQGNKSDIGTAGRLFAGGMAGAIAQIAIYPLDLIKTRLQTCASEGGRAPNLGTLTKDIWIQEGPRAFYRGLVPSLLGMIPYAGIDLTAYDTLKDISKKYIISDHEPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQPTNTSSAYKGMSDVFWKTLKDEGFRGFYKGLIPNLLKVVPAASITYMVYENMKKNLDLD >RHN46760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34662980:34664255:-1 gene:gene41284 transcript:rna41284 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFKIIFGIDMVMSFPMFVSCFICSYKIHLIKSLPVLFCTNLFLFTGY >RHN52867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39728607:39728900:1 gene:gene37596 transcript:rna37596 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFEVIFFKGLNGRVYIFQQLAVIFLSCPSTHMRADKLNSIGNNLQGPFDGQDREAEYDTLIVFCLNRMFDAPKDMIK >RHN59468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11042532:11058460:1 gene:gene21499 transcript:rna21499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynamin GTPase MAAIEELSELADSMRQASALLADEDIDETSNNSRRPSTFLNVVALGNVGSGKSAVLNSLIGHPVLPTGENGATRAPIVIDLQRDTSLSSKSIILQIDNKNQQVSASALRHSLQDRLSKASSAKARDQIKLKLRTSTAPPLMLVDLPGLDQRIMDESTVSEYAEHNDAILVVIVPAAQAPEIASSRALRLAKEYDGEGTRIVGVISKIDQAASDQKAIAAVQALLLNKGPTKAQDIPWVALIGQSVSIATAQSGSSGSENSLETAWRAESESLKSILTGAPPSKLGRIALVDALAQQIQNRMKLRVPNLLSGLQGKSQVVQDELARLGESLVTTSEGTRAIALELCREFEDKFLQHLTSGEGTGWKIVACFEGRFPDRMKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLMDIVSAAANATPGLGRYPPFKREIVALATTALEGFKNESKKMVVALVDMERSFVPPQHFIRLVQRRMERQRREDELKGRSTKKGHDAEQSILNRATSPQTGGSMKSLKDDKDKDKEKDKSGQAEKEGQEGSGLKSAGPEGEITAGFLLKKSAKTNGWSRRWFVLNGKTGKLGYTKKQEDRHFRGVITLEECNIEEVPDESDPPPKSSKDKKSNGPDSSKVSLVFKITSRVPYKTVLKAHSAVVLKAESATDKTEWISKISSVIQAKGGQIRLSSEGGSAMRHSLSDGSLDTMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSVSGQSTAKIEELLLEDQNVKRRRERYQKQSSLLSKLTRQLSIHDNRAAAASNWSNGSAESSPRSSGPGDDWRTAFDAASNGSVSRSGSRSGSNGHSRHNSDPAQNGDLNSGPNSGSRRTPNRLPPAPPGSSGYKY >RHN56922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34831667:34831897:-1 gene:gene32391 transcript:rna32391 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHELSAIVLNLPRSPPMPLNISNHPPEQPLRRLPPPQISPAGFASFLLGISMALMFCGSVTFFIGFVLMRRRHR >RHN66309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10677757:10683960:1 gene:gene14263 transcript:rna14263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAATMIGGAFLHATVQTLVEKLTSTEFLDYIKNTNLNVSLFRQLQTTMLNLQAVLDDAEEKQISNPHVRQWLDNLKDAVFDAEDLLNEISYDSLRCKVENAQAQNKTNQVLNFLSSPFNSFYKEINSQTKIMCERLQLFAQNKDVLGLQTKIARVISRRTPSSSVVNESEMVGMERDKETIMNMLLSGMGGTHNKIGVVAILGMGGLGKTTLAQLVYNDYKVRYHFDLQAWACVSEDFDIMRVTKSLLESITSRTWDNNDLDVLRVELKKNSRDKRFLFVLDDMWNDNYSDWDELVSPFIDGKHGSMVIITTRQQKVAEVARTFPIHILEPLSNEDCWYLLSKHALRVGEFHHSTNSTLEEIGRKIARKCGGLPIAAKTIGGLLGSKVDIIEWTTILNSNVWNLPNDKILPALHLSYQCLPSHLKICFAYCSIFPKGHTLDRKKLVLLWMAEGFLDYSHGEKTMEELGGDCFAELLSRSLIQQSNDNGRGEKFFMHDLVNDLATVVSGKSCCRFECGDISENVRHVSYIQEEYDIVTKFKPFHNLKCLRTFLPIHVWRCNNYLSFKVVDDLLPSLKRLRVLSLSKYKNITKLPDDTIGKLVQLRNLDLSFTEIESLPYATCNLYNLQTLILSSCEGLTKLPVHIGNLVQLQYLDLSFTEIESLPDATCNLYNLKTLILSSCESLTELPLHIGNLVSLRHLDISETNISKLPMEMLKLTNLQTLTLFLVGKPYVGLSIKELSRFTNLRRKLVIKNLENIVDATEACDANLKSKDQIEELEMIWGKQSEDSQKVKVLLDMLQPPINLKSLNICLYGGTSFSSWLGNSSFCNLVSLVITDCEYCVILPPLGQLPSLKDLEIFGMKMLETIGPEFYYVQIEEGSESFFQPFPSLERIKFNNMPNWNQWLPFEGINFVFPRLRTMELDDCPELKGHFPSDLPCIEEIMIKGCANLLETPPTLDWLPSVKKININGLGSDASSMMFPFYSLQKLTIDGFSSPMSFPIGGLPNTLKFLIISNCENLEFLPHEYLDNSTYLEELTISYSCNSMISFTLGSLPILKSMFFEGCKNLKSISIAEDASEKSLSFLRSIKIWDCNELESFPSGGLATPNLVYIALWKCEKLHSLPEAMTDLTGLKEMEIDNLPNVQSFVIDDLPSSLQELTVGSVGGIMWKTEPTWEHLTCLSVLRISGNDMVNSLMASLLPASLLRLRVCGLTDTNLDGKWFLHLSSLRNLEIVNAPKLESLPNEGLPTSISVLSLTRCPLLEAGLQSKQEWRKILHIPAIIIDDKLIT >RHN80771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40758308:40758694:1 gene:gene4736 transcript:rna4736 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKIQSFVDQCMARLQELQNTATGGNKVVSGVNLSPRSTIGYLKTSLRCKQESLRVKNGATRKSPVGKFPSTPKTG >RHN72768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10556915:10558616:-1 gene:gene8522 transcript:rna8522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MSFQMSVIGKPAHVDFTAEVQSALPAFGSVLILDSDAVDGLQSHPTADDNQKLTNQIPRLVFIRNLNQPGANPWQVVIRARSKLPHHSAALQVPIGLGYSFKGLVDLVQSKAYFFHASNREKLVAELVPGYMEALVSEKRHELIKTVSEVDDKLAEAFNGDKPISAADLQEAICRATRARKFIPVFMGSTSGKEVTIDLP >RHN79289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27157015:27160394:-1 gene:gene3059 transcript:rna3059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative activator of Hsp90 ATPase 1, START-like domain-containing protein MAKYGEGDKRWIVEDRPDGTNVHNWHWSETNCFEWSKTFFNNLFTNLKIIDDHENNLQITVKKVEKLSGEAYVNVRKGKIIPGYEISVNLTWEGESRDSEGKILQKVKGGVEIPYISDENADEDPEIRVSVKEEGKIANSLKDVMILKGKGLILEKVRVWVESMSKGGPVKDDLESKKVLPKNSVAVEKKKEEEVVPVAKEKGKKGCKVISLNEKFNCRAKDLFEIMMDENRWKGFTQSNARISKEVGGEFSIFDGSVTGTNLELEEGKLIVQRWRFGSWPDGMQSMVRIVFEEPESGVTVVKLTHTDVPDEDRFGNATVVENTERGWRDLIFQRIRSVFGFGM >RHN43396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45445945:45450941:-1 gene:gene49964 transcript:rna49964 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSDKLIWTPKSAVGTPLSNGGVVTTTTTTTTQSKISHKLLLLLILFVSITYVVYSLKLVSTSRACIDTPFSTDTLSTLPLINATSTTSAIRLVTQQTTTDNKTVLRHVVFGIAASAKLWEQRKNYIKLWYRAKEMRGVVWLDNKVKTQKNESRLPPVRISGDTSKFAYKNKQGHRSAIRISRIVSETLRLGMKDVRWFVMGDDDTVFVTENLIRILRKYDHNQFYYIGSLSESHLQNIFFSYGMAYGGGGFAISYPLAKALHKMQDRCIQRYPGLYGSDDRMHACMAELGVPLTKETGFHQYDVYGNLLGLLASHPVTPLVSLHHLDVVEPIFPNVTRVEALQRLTIPMKLDSAGLMQQSICYDKSKHWTVSVSWGFAVQIFRGVFSPREMEMPSRTFLNWYRRADYTAYAFNTRPVSRNPCQKPFVFYFSKAKYNDTTEQTLTEYERHRVPHPECRWKMANPSSVDRVEVYKKPDPHLWDRAPRRNCCRVMRSKKKGTMVIDVGMCRESEVSEA >RHN69831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46614515:46617650:-1 gene:gene18352 transcript:rna18352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 60S ribosomal protein L6E MAPKQRTARVSRNPELIRGIGKYSRSAMYHKRGLWAIKAKHGGAFPRHDPVAKPAAPVEKPPKFYPADDVKKPLRNKHRPKPTKLRASITPGTVLILLAGRFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQAYVIGTSTKVDISSVNVDKFDDKYFSKESQKKTKKGESEFFEADKEDKKVLPQEKKDDQKTVDAGLLKAIDSVPDLKTYLGARFSLKAGVKPHELVF >RHN42683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40235092:40235339:1 gene:gene49161 transcript:rna49161 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQGSCERMGGYMRELKREHLENDFRAMGFGMELAATMDMVEK >RHN63489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51731703:51732598:1 gene:gene26191 transcript:rna26191 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHLSNFIYLLSKLGSSQRFLLTTRGINDLKSLFGAQMRWVWIERQTGLEETRN >RHN53152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42337932:42339419:-1 gene:gene37922 transcript:rna37922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DYW domain-containing protein MELKLQASMASSSSSSTPFCTYAIHHATNLHPRQNGTNNSRFTPRKTQPLRMGNPSIQPKLNHHQTPHQHKNVNFAHFLQEGNVNQVLELMGQGAFADYSDFLSLLKLCEDLKSLELGKRVHEFLRRSKFGGNVELCNRLIGLYVKCGSVKDARKVFDKMPDRNVGSWNLMIGGYNVNGLGIDGLLVFKQMRQQGIVPDEETFALVLAVCALVDGVEEGLMQFESMKEYGIVPGMEHYLGVVNIFGCAGRLNEAHEFIENMPIEAGDCADELLTVIDPSKAAADDKVPLPQRKKQSAINMMEEKNRVSEYRCNMPYEEEDDEKLRGLTGQMREAGYVPDTRYVLHDIDEEEKEKALQYHSECLAIAYGLISTPPRTTLRIIKNLRICGDCHNAIKIMSKIVGRELIVRDNKRFHHFKDGKCSCGDYW >RHN47432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39703486:39707582:1 gene:gene42031 transcript:rna42031 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:APY1 MEFLIKLITFLLFLMPTISSSQYLGNNILTNRKIFPKQETLTSYAVVFDAGSTGSRVHVYHFDQNLDLLHIGNDVEFYNKTTPGLSAYADNPKEAAESLIPLLEQAERVVPVNLQPKTPVKLGATAGLRLLDGNSSELILEAVSSLLKKRSTFNVQSDAVGIIDGTQEGSYLWVTINYVLGNLGKDFSETVAVADLGGGSVQMVYAVSREQAKKAPQVPQGEDPYIKKIVLKGKKYYLYVHSYLRFGKEASRAEILKVTNGSPNPCILAGYHGTYTYSGEEYKAFSPASGSNFDECKEIILKALKVNDPCPYGKCSFGGIWNGGGGSGQKTLYVTSSFYYVPTGVNIADPNKPNSKIRIEDLKTGAEQVCKTKYKDAKATYPLIYEDSLPYACLDLIYQYTLFVDGFGLDPLQEITVANQIEYQDALVDAAWPLGNAIEAISSLPKFDPFMYYFI >RHN42101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35397459:35404205:1 gene:gene48496 transcript:rna48496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MSFREESGDGRDLQKPFLHTGSWYKMGSRQSSVMGSTTSVMRDSVSVLFCVLIAALGPIQFGFTCGYSSPTQQAIINDLKLSVSEFSLFGSLSNVGAMVGAIASGQIAEYVGRKGSLMIASIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGIISYVVPVYIAEIAPENMRGSLGSVNQLSVTIGIMLAYLLGLFANWRVLAILGILPCTVLIPGLFFIPESPRWLAKMGMMEEFETSLQVLRGFDTDISVEVHEIKKAVASNGKRATIRFADLQRKRYWFPLSVGIGLLVLQQLSGINGVLFYSTSIFANAGISSSNAATVGLGAIQVIATGVATWLVDKSGRRVLLIISSSLMTASLLVVSIAFYLEGVVEKDSQYFSILGIISVVGLVVMVIGFSLGLGPIPWLIMSEILPVNIKGLAGSTATMANWLVAWIITMTANLLLTWSSGGTFLIYTVVAAFTVVFTSLWVPETKGRTLEEIQFSLR >RHN40339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15440284:15442290:1 gene:gene46486 transcript:rna46486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MASTDLTNMKEDQSRDGQETISEENKVIHDYNNLILSLPRENGCDTQYFYFYHGFWCPSTLIQSVNSFQNNFHAKDSDIVVASMPKSGTTWLKGLAYAIVNRQHFTSLENNHPLLSFNPHELVPHFEVSGNNTDGQMPQIDVPNMVEPRLYGTHMPFPSLPKSIQESNCKIIYICRNPFDTFVSYWTFINKLRLKKSLTELTLEESFERYCKGICFFGPFWDNMLGYLKESIERPDRVLFLKYEDLKEDVNFHTKRIAEFVGFPFTEEEENNGVIENIIKLCSFESMKESSENKSGTAALKIEREFFFRKGEIGDWVNYLSPSMIKKLSKVMEEKLSGSSLSFKGCP >RHN43398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45461630:45464787:1 gene:gene49966 transcript:rna49966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galacturan 1,4-alpha-galacturonidase MDVLLLLLPLLVALPSLSIVESRKTPVEDYYFEYCAVSCRAYSASVTEFGAAGDGNTLNTKAFQSAIDHLSQYSSNGGSQLYVPPGRWLTGSFNLTSHFTLFLHKDAVILGSQDESEWPVIDPLPSYGRGRDTQGGRYSSLIFGTNLTDVVITGNNGTLDGQGELWWQKFHKGKLTYTRPYLIEIMYSDNIQISNLTLVNSPSWNVHPVYSSNIIVQGITILAPVNSPNTDGINPDSCTNTRIEDCYIVSGDDCVAVKSGWDEYGIAYGMPTKQLVIRRLTCISPTSAVIALGSEMSGGIQDVRAEDIVAINSESGVRIKTAVGRGGYVKDIYVRRMTMKTMKWAFWMTGDYGSHADNNYDPNAIPVVQNINYRDMVAENVTMAAKLEGISNAPFTGICISNVTIGLAKKAKKLPWNCTYIAGVSSGVTPAPCGLLPDQGVEKIGPCAFPEDNLPIDDVQVQTCTYRRNF >RHN53919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5334653:5338457:1 gene:gene28884 transcript:rna28884 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSTNYRLLFLLFLLFLISVPSFQHKCLASAESSIHGKTFKRPDPLRHFKDYNGEFDVRNKHYLASAAFTGVHGYAFAGVWLFFGVALGIFMIVRCLCGGSLSLPCLDHYYLHIFVLLLLLASLAIVASSFVLATSQKTIRRTEKLKDTVVGIGEEALGAINRVMRTTKQMQYLLLPYNPQICASLNSTTDDLRTNSRVIRRFIDKSEQSFNKAIDTLHTAHIVVLTVNLVTLVAALVLMLLHWRPGFIILILCLWILTSLCWFLTGFDYFLHTFANDACSAFEDFENNPQNSSLGSMLPCINDSFSGKLIAQIGSTIHTFIVELNSDVSLMYQLLGIGEENEELIGLVKICNPFSGPPNYSYSPHNCPRDAIRIGDLPKVLVRFTCHQDDTKEECRRNGRFLPQTSYNMAHAYSRSIQDMLDIYPDLQKLSKCAIVKNKAAEIVSHQCKPIRKSTKLLWASMMSLSIIMVVLVFTWVVETLRCWDKPLSTNTCFRTHTSR >RHN41697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32161727:32162100:-1 gene:gene48047 transcript:rna48047 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRQPRCAGRWRRRVSDREPMVEGGDGRLRAGEVGVVGEVH >RHN56642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32495982:32502545:-1 gene:gene32074 transcript:rna32074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sorting nexin-5/6/32 MMDSENNNTSEEHFHPLSESNDELENLILHEKNENDSLTSKSYSNYRSVMSTLSDSNNSHNHPLSSPSFVSPVDSDPLLSPPQHHREFQNPNSPNADAIFTSFDGETSSNGVNTPTRSFSDGGVFSRSESLNSEYLRITVSNPVKEQENSNSIVPGSNSYVTYLITTRTNLQEFGGNEFGVRRRFKDVVTLSDRLSEAYRGFFIPPRPDKSIVESQVMQKQEFVEQRRVSLEKYLRRLADHPVIRKSDEFRVFLQVQGKLPLVGSTDVASRVLDGAVKLPKQLMGESVIAPSEVVQPAKGGRDLLRLFKELKQSMANDWGGSKPLVVEEDKEFLAKKERVHELEQQINGASQQAESLVKAQQDMGETMGELGLAFIKLTKFENEEAVSESQRVRATDMKGVATAAVKASRLFRELNSQTVKHLDTLHEYLGLMLAVHSAFTDRTNALLTVQTLLSELSSLQSRAEKLEAASSKIFGGDKSRTRKLEELQDTIRATEDAKNVAIREYERIKENNRSELERLDRERQADFLNMLKGFVVNQVGYAEKIANVWTKVVEDTSGYAKEST >RHN79370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28136723:28137380:-1 gene:gene3152 transcript:rna3152 gene_biotype:protein_coding transcript_biotype:protein_coding MFPNSFTAQRSLPPEPLIFSSAHVTERLSFFLPLDLREDFGLVWHDSIAFSFMNFSSTLVGFKLEVEASSLAATILVSSLSCIDFLSELFCSASHSLSEMVIEWTTFFSTEGS >RHN54084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6807708:6815569:-1 gene:gene29067 transcript:rna29067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxolaurate decarboxylase MDTEIKRHFVLIHGSCHGAWCWYKIIALLKSAGHEVTALDMAASGIHPKQVHELDSVTYYYEPLIEFLRSLRQDQRVILVGHSLGGMCISVAMELFPKKIAAAVFVTAFMPSPDLSYLSLLQESRQSRDPSMVPKIMFDDSPNDKPNGSMLFGQQIIFEAYQLSPPEDLSLAMSLIRPARSYGDEELLQEKTRVTKDNYGTVAKVFIVCQQDKVLEHDFQLSMIERNPANDVKVIVDADHMPMFSKPKELCAYLQEVADTYY >RHN71758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2475611:2476090:1 gene:gene7392 transcript:rna7392 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSLLCFLGLLLIIGHVAHAQDSQADYVNAHNEARSEGDCQLIHSGGRYGENLAGSTGDLSGSDAVKLWVNEKADYDYNSNTCASGKVCGHYTQVVWRNSQRVGCAKVRCDNNRGTFITCNYDPPGNFGEKPY >RHN51415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17449899:17450746:-1 gene:gene35846 transcript:rna35846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase-associated protein Rtf1 MSGEENKIVKPKTDSELFLNNANQCDWKNLKNDSCAGAYAASRADMTLATTDPLSELVWSSDKGLSLKCADSSFADKNSSPFLDVGPSCYNQYQVDQRPTDDLLLQLDEPKPIMEQNSPSRRPSNEGVNYGTSTAVEPITEYKGFGAAGTNLTSSSRNPI >RHN43136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43480386:43490350:1 gene:gene49667 transcript:rna49667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MAANKSVKKPQSLNDSHYRFLQDLSAPPKPSSKSIDDELDTPIQPRNLVYQDVDDDDDDTIPQFSAITDFDSPIGQNSLENLQPDKVEEAPLPKVPLFFEDSSLRKEKSPDNCQQLCSEESPLPHEVQHDLDNCSLSQNSNENHQPVMVQKAPLPNEVPKDFENYSSSQNYSKILQPVKAEEALVPKEVPRTLDECSLKEKKTKVKVQGRRRLCKAADKEASKSVADDEPTFDGDLVDFDSPIPVWKNVIEIEESRGRNDIRDILNELSSKFDELSVEKTKPKTVTKPKTVTKPKTVTKPVERGKEIFEDEGLEFGSAGSSFSPKQDPHDISSKDTKNDSGGLEYESDDSVQVLDHFEPENDGSITLNDPRSTYKLQPKIAKMLYPHQREGLKWLWSLHVRGKGGILGDDMGLGKTMQICGFLAGLFHSRLIRRVLVVAPKTLLPHWIKELSVVGLSEKTKEYFGACAKLREYELQYILQDKGVLLTTYDIVRNNTKSLKGHRYFDDEDNEDGPTWDYMILDEGHLIKNPSTQRAKSLLEIPSAHRIIISGTPLQNNLKELWALFNFCCPDLLGDKKWFKDKYETPILKGNDKNASAREKCIGSSVAKELRDHIQPYFLRRLKSEVFNQDTEKTTAKLSQKREIIVWLRLTNVQRHLYEAFLKSEIVLSAFDGSPLAALTILKKICDHPLLLTKRAAEDVLDGLESMLKPEEVNVAEKLAMHIADVAETDKFEDKHDVSCKIVFIMSLLDNLIPEGHRVLIFSQTRKMLNLIQECITSQGYDFLRIDGTTKSCDRIKIVDDFQDGVGAPIFLLTSQVGGLGLTLTRADRVIVVDPAWNPSTDNQSVDRAYRIGQKKDVIVYRLMTSGTVEEKIYRKQVYKGGLFKTVSEQKEQTRYFSQKDLKELLSLPKDGFDVSVTQQQLDQTHDSQHIVDASFQAHLEFLKSQGIAGISHHSLLFSKTEPVQEAPAYEVENNHWKPNPNARYTGTSSSSSHEQVVDGAAFAFNPKDVNVRKKESSPSSVGKLTELEIKDRIDRLSLMLSNTVMISKLPDNGEKLKKRIAELNRALTKLKMEQTNIVDLDDIAGEFERVLNV >RHN65843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5743878:5745030:1 gene:gene13735 transcript:rna13735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MEKGIKNMTSLQNFYYVQVDHGGVDLIEEMKMLKQLRKLGLKHVRREHGNAISVAVVEMQYLESLNITAIAEDEIIDLNFVSTPPKLRRLHLKARLEKLPDWISKFECLVQIMMALSKLTDDPMPSLKNLPNLLKLNLLENAYDGEFLHFQNGGFKKLKELFLSHMNRVNSILIEKGALLSLERLRMEKIPCLKKVPSGIQFLDKLKVFDLVDMPDEFVTSIDPDKGHDNWIIKNVPLVLNRHWIGPKYFDYQIHTINSSYKDS >RHN79632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30766441:30771123:-1 gene:gene3449 transcript:rna3449 gene_biotype:protein_coding transcript_biotype:protein_coding MNITVWCMTLIPKVVNNGQGRCCIKDFAISVVIDVALQIVIVAVILVDLVISELTQLNVEKYGARSSNLGPCISYAMFLPTDLSLRGRDIYILYPLKRTYIFYIKTIVQKSNSYYNCGAIPSK >RHN73333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15464634:15465677:1 gene:gene9134 transcript:rna9134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bacterioferritin, potassium transporter MKGTAVVLVMLVTTLLMILVMILVWHCHWILVVAFTGLSLIVECTYFSAVLFKINQGGWAPLAIAGVFLIVMYVWHYVTVKRYEFELHSKVSMAWILGLGPSLGLVRVPGIGLVYTELARGVPHIFSHFITNLPAIHSVVVFICVKYLPVYTVPEEERFLVKRIGPKNYHIFRCVARYGYKDLHKKDDDFEKKLFENLFMFVRLESMMEGCSDSEYSPCEPQTEQSRDFILNNNGNTTSLSNTDYSISSVDSIVPARSPTNVNVTFQSSNSHRTEVDELEFLNNSREAGVVHILGNTVVRASRDSRFYKKIVVDYIYAFLRKICRENSVIFNIPHESLLNVGQVFYV >RHN41573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31170861:31171325:-1 gene:gene47907 transcript:rna47907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative caffeate O-methyltransferase MANHSNVLDFGHVNGNGERRSEKQELEDEESFSFAIQLCSSMVLPMALHSATELGVFDVLQKAGKGAQLSADEIASRLSCNNLDAPKMLDRILAVLASHDVLKCLIIQDEEKLGTFHRLYSMTPVARFFAPNSDGVSLGPLLALGQDKVFLASW >RHN41826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33371202:33371926:1 gene:gene48188 transcript:rna48188 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYVERFVVVQWFLRWLGVHFLLHLAQDYLLLLLVSLMLVMWETIQYQIGNHLGRFRSELSDR >RHN77535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7524952:7525221:1 gene:gene1000 transcript:rna1000 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPHIMQHQLLAYVRYTLLLTLSWYCLAFKPKTVENVYHKT >RHN39951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11884368:11884784:-1 gene:gene46035 transcript:rna46035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MASFNGFYDVVTLIIGFTLLFTTSLCSEISQPPSGGPSNDVASAPKPLSPYEKYLTNCASKLKPAECGKQIFSGVFVGNQIISDYCCHSLVNDVGKSCHYDLTRNALQWPAYAKNKTEILKRNDKVWNDCIAVRPILP >RHN43573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46969689:46970498:-1 gene:gene50176 transcript:rna50176 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTRRRPSQCRRRPSPTVEVSVVEEKIEIDVVVEEVPSITRIAAITIEVSDDEEFCCS >RHN65101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64189001:64191114:-1 gene:gene27999 transcript:rna27999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-1 family MILVIEGERKKLICDRSTSTTSFSFDELVIATGNFKELLGVGGFGSVYKGRLPNGELIDVTQDKAPLSWSSRIKISLGAAQGLEYLHCQVDPPVIHRDLKSSNILLEHDFSAKLSDFGLAKLGPVGDDTHVSTRVMGTEGYCALEYAMTGKLTKQSDIYSFGVVLLELITGRRALDTSREAGEQYLVAWCLPYLNEPREFMHKVDPLLQGHFPNRGLRRLLLIIDMCLRENPRERPTIGEIVDALKYLSSKSTSKVYKHGLRS >RHN54451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9694932:9706420:-1 gene:gene29496 transcript:rna29496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP dissociation inhibitor, FAD/NAD(P)-binding domain-containing protein MTETLEYSPYPPIDPINFDLIIVGTGLSESIISAAASAVGKTILHLDPNPFYGSHFASLSFEDLISHLNSPPKYITATATATTDNSDYTTVNLIQQTIFSDAEFNSCNSIEESQFLRENSRKFNLDLAGPRALFSADKTIDLLLKSGAAQYLEFKGIDASLVYNTNEGLVNVPDSRGAIFRDKNLSLKEKNQLMKFFKLVQQHLGGNEDEKISEEDMESSFVSYLEKLGLPSKIKAILLYAIAMVDFDQENGGVCKDLLKTKDGIDRLAQYSSSVGRYPNAPGALLYPIYGEGELPQAFCRRAAVKGCIYVLRMPVISLLIDKVTGSYKGVRLSSGQDLYSHKLILDPSFTIPSTPSLSPKDFSLQMLSHVDIKGMVARGICITRSSIKPDVSNCSVVYPPRSLYPDQVTSVRALQIGSNLAVCPADTFVLYFSTLCNDANEGMKLLKASMNALLTPPVSGNTESSPNVQSGSEDKKPVVLWSAFYIQKLTSSKFEAISSTPTPDGNLNYVDLLDETEKLFGQMYPNEEFFPKTTSPEDTMDDDDNGIIMEN >RHN52403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34917925:34922107:-1 gene:gene37075 transcript:rna37075 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSTYTSHEDVYMSDTCLGKLNPELSEASETIRSDECFGSGSGIHLSGPPPISLQSRTFKSCGGSWTYPQKHLINCTTSNKLRRILCECCYKKPSVVIWLFALSCDMSRDCSQHRIRWF >RHN73806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20051667:20053954:-1 gene:gene9674 transcript:rna9674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MNLNISTTPELDHHIHINPLPDDHIHEPEPTIESEPQDQAHRKSTRPHHKPKHLSDYICSLSNNSVSQGSSGSLYPITHFHSLSNISASHQKFALAITDVSEPSSYKEASTQECWVKAMETELNALKQNKTWILIDTPPNIKPIGSKWVYKVKHRADGTIERYKARLVAKGYNQVEGLDFFETFSPVAKITTIRTLLALASINSWHLHQLDVNNAFLHGDLQEEVYMSVPQGVQCSKPNQVCKLLKSLYGLKQASRKWYEKLTGFLITQGYKQSNSDHSLFTLHTDTDFTALLVYVDDVILAGTSMNEIDRIKFMLDSQFKIKDLGKLKYFLGIEVAHSKTGISICQRKYCLDLLKDTGLLGSKPLPTPLDPSIKLHQDTSKPFTDILSYRRLVGKLIYLTTTRPDIAFVTQQLSQFLTAPTTTHYESACRVVRYLKGSPGHGLMFRRDANLQLLGFTDADWAGCVDTRRSTSGYCFFLGTSLISWRAKKQHTVSRSSSEAEYRALSFASCELQWLLYLLQDLRVKCSKPPVLYCDNQSAIHIAGNPVFHERTKHLEIDCHFVREKLQQGIFKLLPVKSQAQLADFFTKPLAPKNFHSFTSKLNMLDLYHAKLEGGC >RHN69127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41225794:41232140:1 gene:gene17556 transcript:rna17556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MRSSWADLAANSAAENAPTPPPSAAAAVANNAANTRPVYVPPHLRNRGPSAPAPAAPAFDNSGSRWAPPPRNDYRGGGGGRGGGGYGNRGGGGWDRREANPFADQDDSEEPVTQEEQENTGINFDAYEDIPVETSGGNVPPPVNTFAEIDLGEALNQNIRRCKYVKPTPVQRHAIPISLGGRDLMACAQTGSGKTAAFCFPIISGIMTGQPAQRPPRGVRTVCPLALVLSPTRELSMQIHEEARKFSYQTGVRVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLSMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPAGVRQTMLFSATFPKEIQRLASDFLSNYIFLAVGRVGSSTDLIDQRVEYVQESDKRSHLMDLLHAQRANGVQGKQALTLVFVETKKGADALEHWLCLNNFPATTIHGDRSQQEREAALRSFKSGNTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKKGLATAFFNENNTSMARSLQDLMQEANQEVPAWLSRFAARSSFGGGKNRRSGGGRFGGRDFRREGSFSRGGSDYHGAGNSGGGYGASGGYGGGGYGGGYAGNSAGPGVTSAWD >RHN40776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20949332:20950042:1 gene:gene46982 transcript:rna46982 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSNRGYSNSRGSHSFSISRNGGSRNIGRNPNSGGRNPNSGGRNPKQMISMLLVCGCNLPMRMYIANTFENQGRRFWRYTRWNDEDQHTCALFIWDDELVPGMAHMMDDNAAMEASRVEGRNDEGCRKCTNIDEIMKRFDGRECVQWKKFEDERKKVKWLVLKLILSWLVFVLYVKN >RHN56403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30595962:30599645:1 gene:gene31799 transcript:rna31799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MGEEAKQEQPKVEEKQEEKKEEKAEGGKEEEKKEESKEEKPEEEKKEEPKPPEPCVLFVDLHCVGCAKKIQRSIMKMRGVEGVVIDMAKNEVTIKGIVEPQAICNTITKKTKRRANVISPLPPAEGEPVPEVVNSQVSGPETVELNVNMHCEACAEQLKRKILQMRGVQTAVTEFSTGKVTVTGTMDANKLVDYVYRRTKKQAKIVPKPEPEPEKKEEESKEGEKPAAEEEAKPEENKKEEEKPTEEPKKEEGGENKEEKGGEESKEETKKEESGATAMVNIDEEGMKRMMYYYQYPPLYVIERTPPPQLFSDENPNACIIS >RHN48070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44732955:44736144:1 gene:gene42742 transcript:rna42742 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPGPKPSKTKDAVLSLPAANALPSERVEQQASNENINPMEVLESELGNPHPMSSPLKKHLNSSVAGVETGAVGKEPQEQTTLVSKHQKKYATLAKKMSKYRNGSVRRSERIRSGVVKVKSTNSKQGVECVVDMTVSDSEKDESDAQTEQVLPQPNPTDTQTEPVQVLPQENRQTEPVQVLPQENPQTEPVQVLLRENTQNEQAEMEQLLFEPESELELNPAENVSEKSVDVKVDYALQKIDALYKMIELLTAKVDGNAGLYEAPSMALGYRSMYIDSQKKLEALSNENEQLKGQLEVYEKVLDKMKDVVNQQLSNVAKTAEAAVISLNQEIDNASAGKRKRIEG >RHN79321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27582760:27586500:-1 gene:gene3097 transcript:rna3097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MGILSISHSTNLLSKIIKIPQNNDWYIPTRRKTRTNVVVFSHQGGGGNVIKSSNLSSVLTERSSSLVSSDHAATTLMDAGNLVLSQNGKNQTDIVVKDIVPYGGPTTTTTLIGLDDGIGIVKFLRGKKFFVTGSTGFLAKVLIEKILRTEPDVGKMYLLIKAKNKQAAMERLQNEIINTELFRCLRQIHGKSYQAFMLSKLVPIVGDICETNLGLDEELYDIIADEVDVIVNSAANTTFDERYDTAININTRGPCRLMAIAKKCKKLKLFLHVSTAYVNGQRQGRIMERPFSIGDCIAREKLIPGVPPKYLPTLDIENEINMILKNKGNIEDNLLAQKMKEMGLERARRYGWQDTYVFTKAMGEMMIDKLREDIPVVVIRPSVIESTLNEPFPGWMEGNRMMDPIVLCYGKGQLTGFLVDPNGVLDVVPADMVVNATLAAMAKHGTTQKRDINVYQIASSVVNPLVFQDLTRLLYEHYTSSPCIDSKGNPIQVPIMKLFSSSEEFSGHLWRDAIQKTGLTAMASSNGKMSQKIENICRKSVEQAKYLAKIYEPYTFYGGRFVINLFSYSSITEGNLDATGKVVVM >RHN46137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29084468:29088441:1 gene:gene40580 transcript:rna40580 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQTEEAYVPFKLVVNEESNKVMYAEAEKNFVDVLFSFLTFPLGTIARLVQKESSMGPVNVGCLNTFYHSVADLGDECMLSETTKQMLLQPINFAEDYCNTLKLNIDDTQPTKFLVCTNFNGCYYRDMTTSTYKDKYKCRCGHSFTVQIFLKHIRQGFVTGVVEVAGDGRCGFRAVAGLRNLSLDDHQMICYQLHKEIISEGNTRYQRMINDDRRYKEVLGALTFYGIGHVPPDKLMTMSYMSFLNAQKYNHAVVLLSTQKEHVSLKLLLNEKGNKVLFAEAGKDFVDILCSFLTIPLGTIAKLVEKESSIGQVTVGCLNSLYGSVANLDEGCFSTKTTKQMLLQPINSAEDYCNTLKLNIDDTQPTKYFACTNYNACYYRDMSMTSSTFFFFWYKTSSTFKDNLKCRCGNYFTRPVLLKHLPQGFVNGVATFVITDDLTIKPNCIDYTSFSLFKEFGVKNPSSVKEVVLNVTKEKVLYLNIAFVLDLLKCSLLSKSTLTDLFLEKKPSLERSRFILGDVEISDNIQINLKLVIRKSDNKVLYAQGQQDFANLLLSFLTFPLGGIVRIFGENCSFGSMNGLYKSIVDLDENQYLTSMEAKNRLVDPCIAPQLKLSKQILPILDPGVLEYYGYFEGVVTSNNIIQVQIFKPGVDKSYAGNFKKLNSSNVMNPVDGYVKGPAMYFATDDLVVAPLTPISALGLLNRLNTPLNELKEKVITIGIKECLSILKASLTSTSALTNGLAHMLTEVKEEK >RHN66303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10515255:10528101:1 gene:gene14256 transcript:rna14256 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNPTPPPHQKLTHPKNDVVFSPILQPYNQTRDSRRRANSPPPFTLTTILSAAISWLRNRRIRFIFLLLCSPLIFIFLFIAFPFLCITEICLRRRLWRKLLRGFSGDDSADRLRRCEEGCCHDDEEEEKGLLHRYLEDQLFLVRSMYECGGDDEEELKGLLHRYLEDQLFLVRSMYECGGDDEEELVEEDEEDYKSPLLLR >RHN53111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42061264:42064073:1 gene:gene37876 transcript:rna37876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MANITKFVYIAILFLSLFFIGMNDAAILECREDSHCVTKIKCVLPRKPECRNNACTCYKGGFSFHH >RHN82040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50790177:50790478:-1 gene:gene6156 transcript:rna6156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I PsaD MREGPNLLKLARKEQCLALGTRLRSKYKIKYQFYRVFPNGEVQYLHPKDGVYPEKVNLGRQGVGQNFGSIGKNVSPIEVKFSGKQVYEL >RHN76633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51840843:51845301:-1 gene:gene12987 transcript:rna12987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-transporting ATPase MKTTTGSTSHGSSSSSNGLLTVTIASSTHPHGPAANDDDDDLLVDPDDPFDITQTKNAPPETLKRWRQAAFVLNASRRFRYTLDLKKEEEKEQKKSMIRAHAQVIRAALLFRMAGERELVTGTSVASTITPGGDYEVGLEQLVSMSKNQNISTLQQYGGVKGLSGFLKSDLNKGISGDDDDLSKRKNAFGTNTYPRKKGRSLWDLTLIILIIAAVVSLVLGVFEKGWEKGWLDGGSIAFAVLLVIVVTAVSDYRQSLQFQNLNAEKQNIQLEAIRGGRTIKISIFEIVVGDVLPLKIGDQVPADGVLITGHSLAIDESSMTGESKIVHKDHKAPFFMSGCKVADGVGSMLVTSVGINTEWGLLMATISEDTGEETPLQVRLNGVATFVGIVGLTVAIAVLVILLGRYFSGHTTGSDGKVQFVYKVTKIDKVLEGFIAIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQVGSVIGGLDSPRGLRP >RHN51099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13996075:13997183:-1 gene:gene35475 transcript:rna35475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MKFSKLSSLLFTLSLIFIAQASGHALYEQVCADMKEDQNCCLNLLKSEPRITTATKDFELCQLVLELGIKKSTEAQAHIKDILKTNPSPAIKECATFDYDGVVASFKSSLGELRLDTMTSNYDAKTAGDGPTTCDTALANAKIHNPVLSTLNREIMLLSKIAFLATNRLPIKLSG >RHN77215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5135287:5136776:1 gene:gene644 transcript:rna644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MLWFKVLLTLFVEFISFPLPSYSKMAVLSEIEEDELDEEPGEVIESAPPQKLGEERQLTSNSPIKKKLLKIGHGWETPNFSDHVTLHYVGTLLDGTKLGSTRDSDSPVTFTLGQGELYAGLDDGIVTMKKGEVALFTLPAVESGSIPQDSNSVVQFEVELVSWITVVDVCKDGRIIKKIMEKGKGNDRPGDLDEVLGSLSAAYT >RHN49808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1293791:1299650:1 gene:gene34029 transcript:rna34029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cdk-activating kinase assembly factor MAT1/Tfb3 MVISSTNPHYKEIAIRKRIASIFNKREDDFPSLKEYNDYLEEVEDMTFNLIEGIDVAAIEARIAKYQEENSEQIMINRARKAEELAAAMATSKGQPAQTDNDDANPNSQAGFGAVPQGQYAPTIAGGQPRPTGMGPQPLPLGGGDAGYVVENEETLRRQARAGGWSIEISRKRALEEAFGSIWIS >RHN46910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35712767:35716542:-1 gene:gene41445 transcript:rna41445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Homeobox domain-containing protein MPNRNPTVVYPPGAPRRSPRLVLLHENNNPTTPKPNSTNKSSTQSRSKTVRVRKSPNLSHEVPSRRRSPRFSNKNDVKVSEFGSCDEGVVVEGGRKRRRKRGGHGIEEGGKKEEIEGGEEEGKRKHDGCEIVEDLRKEEVECGVKRKRKRVGGEVAEGWTKEQELALRTAYFTAKPSPHFWKNVSKLVPGKSKQDCFDRVHHDFHTPPQCQPRSRAKAIDSSPLHRFSISASKLLIPTGKKAGKSNILKPKSIVAQKSIENLLQRHLKVDQNHKGDIFSVLEPNIDFSTNAILPSEELCTPKQQKENQGFLQICNERSSSSSIHKKSLSRFSGSSGVKDLASPPVLKQVKNKVQHEKYVNQLRFRDLRRRAASTWTNKSVAGEGKGIRKTDVVKAAKVALVSEARDAINKFQQSQINFMGDTCSSDDDNDDDIGVEGESP >RHN40052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12772768:12778644:-1 gene:gene46144 transcript:rna46144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSTAPIIGDNDVNPVIFREYIGVKSYPDSLNNFPADIIGRHIPEFHFILGFAHETYVDGKGTGIFNASWKIPFFGPDNVDDIKTNHGNVKVVISIGGRDTKYPFHPAHKLEWCDNAVESLKKIFQLYNRTNSCYNLIDGIDINYEYIHPDVSEEDFSYCIGDVIKRLKKDVGIDVVSIAPSHETQKHYKTLYLARTNDINWVNYQFYIDTLKSKDEFVNLFLNLSDEYGSKKLLAGASTDPADAGKGKLSREDFLEGCVDLHSTQSLPPIIGDNDVNPVIFREYIGVKSYPDSLNNFPADIIGRHIPEFHFILGFAHETYVDGKGTGIFNASWKIPFFGPDNVDDIKTNHGNVKVVISIGGRDTKYPFHPAHKLEWCDNAVESLKKIFQLYNRTNSCYNLIDGIDINYEYIHPDVSEEDFSYCIGNVIKRLKKDVGIDVVSIAPSHETQKHYKTLYLARTNDINWVNYQFYIDTLKSKDEFVNLFLNLSDEYGSKKLLAGASTDPADAGKGKLSREDFLEGCVDLHSTQSLRGIFIWNANDSASNPNGKPFSLEKKAQEILNN >RHN71884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3353392:3353830:-1 gene:gene7530 transcript:rna7530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-farnesene synthase MNALQLQKDSLAFELLRTHGFKVSPLRFCWFLNDDEIRAEIEKDYKQFTSAMLHVFRASNLMFSGEYELEEARTFSRKLLEKIVSTRKGCLELRQVKFSKLNFVHV >RHN59557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11942047:11943806:-1 gene:gene21601 transcript:rna21601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MDFKSNGSEETQLYHAQIHLYKHVYSFISSMALKSAVELGIVDAIHKHGKPMTVPELASSLKLHPSKVSVLYRFLRLLTHDGFFAKTTVKEETAYALTPPSKLLINGESTCFAPLVKGILHPCSLDMWHSSKKWFSEEKDLALYESAMGETFFNFLNKDSESDKLGLFQGAMAADSQMFKLALKDCSHVFEGLESLVDVAGGTGVVSKLIHEEFPHIKCTVLDQPQVVANLSGTQNLNFVGGDMFKSIPPADAVLLKWVLHDWDDELSLKILNNSKEAISGKGKKGKIIIIDISIDETSEDRELTELQLHFDMVMMTLHNGKEREKKEWKKLIYDAGFSSYKITPICGFKSLIEVYP >RHN62279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42301593:42302646:-1 gene:gene24835 transcript:rna24835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MKTNFYGPKLLIEALLPLFRCSSSSNSSITRILNVSSRLGSLDKVTNVEMKRILESDELKEDEINEMVKKFLRDVRNGTWKSQGWPSYWTDYAVSKLALNAYSKVLAKRYNINTTKLMSVNCFCPGFTQTSMTKGKGTHTADQAASLATILVLLPPHHLPTGKFFLLRNNTTFQQVANSKL >RHN44626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6940414:6951781:-1 gene:gene38767 transcript:rna38767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Arf GTPase activating protein MQFAKLDDSPMFRKQIQGMEESAESLRERSLKFYKGCRKYTEGLGEAYDGDIAFASALETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQFVNIDLQEVKEARKRFDKASLIYDQTREKFLSLRKGTKSDVATALEEELHSARTTFEQTRFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALNERMQDYKRQIDRESRWASNGSNGSPNGDGIQAIGRSSHKMIEAVMQNAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQCSKPSGSSSQHSGQRNSSELGSGLLSRWLSSHHHHHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLSSQIPERLLPASPMGSGHLRSTSESSSFESSDFDHCAVEESAADRCFVSSHLERASRNLNQQRSCNKSEKPIEVLRRVIGNDKCADCGAPEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVITLFQSLGNTFANSVWEELLQSRSAFQVDLVPTGSSKSDKPQTVFITKPGQYDSLAVKEKFIQAKYAEKIFVRKPKDNQYRLLVAQQIWEAVRANDKKAVYRYIVNSDVDVNVVYEQACNNSLTLAKVMLLQEQANNDNSPNLAGNTLDWSSNCSMNLVGSKEGQPMLNLEGCTLLHIACETADIGMVELLLQYGANINATDMRGRTPLHRCILKGRSIIARLLLSRGGDPRAVDEDGRTPIELAAESNADDRVVHAPSNDSNG >RHN40176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13866372:13868101:1 gene:gene46290 transcript:rna46290 gene_biotype:protein_coding transcript_biotype:protein_coding METKYIWTTYKIGMKTRKLFPGAVVASSGVVVTGEGCPFLIFLNGFCREDGGDSFYGDGFSKACTWMSRSSRKDGRREI >RHN46606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33209416:33216819:-1 gene:gene41113 transcript:rna41113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WH2 domain, SCAR/WAVE family protein MPISRYHIRNAHGLADPELHSAADKDDSEALLEAVAMSGLVGFLRQLGDLAQFAAELFHDLHEEVMATAERGHSLTSRVQQLEAEIPPLEKVFLSRTHHSSFFTNGGIDWCPNLRSEPHLVTRGDLPRFIMDSYEECRAPPRLFLLDKFDVAGAGSCLKRYSDPSFFKAEPASSVTATVEVHRERKIRKVRQKKGEWPRDGETPGVVPSHSKLHQLFLEERIENACTDPARLVKLKKRQLDGSAVETKSGRSYMEEILERPSPDHKMVRETSITPLPVKSMSDDTCEQKLELSRNYGISPMRRSMGNGEMHSSLNEHEIELNSYTQMDRTNGYLVKEPEQISSGGTDEMPSKHHNVPDETELEDDDDEQNKREFSLDRYHSDDAGSEADDYMDALATIDSDLEVDNECRPKKSLLNVQKVTDSYGEEEHQLQAQFSDSQSFGDSSLSEEISSFEQDRSEEHDEVPARLPDSHSAGTYCASDDHSLFQRDSNEEHTQPQAQFSDSQSIGNSSSETENMSSNQLPHTVESQKTCDEFFSHYDDAHDNGRAISDSGSVSSGTCPVDSGCLLLSLDHGATATSLAALPTKTQSDETPHVANHSNIEVMQTESLNEDCSEISVVGDIGSREENPICLPMEVDLNLGTKLLLDDRDFKSDNDNNKAMQLDSEDSFPVLETNVETSFTEELCSDFTHGNPQDEPDSAEVEILYPDQLSNFKEVPMTMLGDEINGPTCSLDTVEDDDRMKHPASPDCILQDDYVMVNNMFPVTVQSKDLDVSAVSSFDSADTGASIANCLASDSISSPSMNPSNLHESLLGSKDSYRMEIESIDLTKVSVDLNAEKKEYQLEPFSYITSPVGSLTKLEESLSTFEYPHEKKMEVNEEVARDSLTELTSHTVVEQPDIASTDKQLNLNKTVPSDSSDSGICNDFQHSLPKEKIQDGSPLNDMKMATQCSELDSGSESVFACQNDLQNSKNGFSPPSYNRQDPESHIEFTSEVHPEEPSHCYLSMSSDQKINPTKHVMDPMKPLLPDLFPKETKINLEETPPMPPLPPMQWITSKVQNASSVSQREETGVSQTSFQPVQPVKPDYNSQFGLSTSERVTSPYHNPFLPAVAVGSNKSLHSSGLSVGVSEYPVAIPLQFPVMVNEANGQHNYQVPERSQVHNPFLTLPMLSYGWLRHGSVIASEGESILISTPCPQILPAECAVFETDPIHQQEKLTQLTNQFMEDTSLEAQMDRTGESVLDSSPSRPILPTECAVPGADPIFQQDKPTQSFSQIMEDTNFEAKKDSPGELHFELPAECPVSVDDSISPKEQDFDSPNQIMEETVLEFTTLDESSIDLVRNQGDHLVSPESPPSTEIVQPNHSMQPSEGDLALSLDKSAQSLAFDSQIPNGKSKNKLPPPPHNHLFDVVAALDKSRLRKVTDRVRPPIAPKVDERDSLLEQIRTKSFNLRPAVATRPNVQGPKTNLRVAAILEKANSIRQALAGSDEDDDADSWSDS >RHN56145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28130724:28131570:1 gene:gene31500 transcript:rna31500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MSIIPSVFGTGRRSNIFDPFSLDLWDPFQNFPTTNETSSFANARTDWKETPEAHIFKADLPGVKKEEVKVEIEEDRVLKISGERKIEKEDKNDTWHRVERSQGSFLRRFRLPENAKVDEVKAGMENGVLTVSVPKVEVKKPDVKPVQITG >RHN75087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39257456:39258050:1 gene:gene11240 transcript:rna11240 gene_biotype:protein_coding transcript_biotype:protein_coding MDETFDRNHLLKQFALNEQTKYSQEWNKNSFCTHINSSNIKRLVHLIFFFFIKNNRISYQKMGGFYPRSP >RHN77953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10819069:10822037:1 gene:gene1464 transcript:rna1464 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGGKKSTKVMKIDGETFKLKTPVKAGEVLKDHPGLVLLESEEVKHYGVRAKPLEAHKELKPKRLYFLVELPKESRVTRRVRSVINMSAKDRLESLSLARRSASDLTIMKPMNDFEVGKEDLTNGGVRLKMRLPKADVEKLMQSSKDEGEAAEKIMSLCMANGNNDKKMKKEMHWKGSRGVGEYKKAYERRVSFMPINEGSCSISVAS >RHN53672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3244706:3245114:1 gene:gene28603 transcript:rna28603 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRFDIVPPAFSIGNSSSLNDEEHLFTIFYQFKPNTNNNKPKPAFMPAKDDTKPVLQDLILRSVTSLHSQSPNHLPHLKSPKSCYFTNPSLKDAIFFCFFSFFTEF >RHN52043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30772588:30773367:1 gene:gene36657 transcript:rna36657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M48 MAGDSSFENKKLDFKEDLLPEIHPESVRVRMIANRIFDALKRDLSKKNMWRDDLGKSRKKANQRQSHQPCTSHLDGLNWGILVVNDNTIANAYSYPNGKVMVFTGLLELLTSDDLATLIAHEVGHTVARHAAEDQTDYMFFIIQQLILLPFISFPSLIVNLIAARSIMLLFSRR >RHN43686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47706536:47708957:-1 gene:gene50300 transcript:rna50300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MARNKKSLQAKLVLLGDMGTGKTSLVLRFVKGQFSEYQESTIGAAFFTQVLSLNEATVKFDIWDTAGQERYHSLAPMYYRGSAAAIVVYDITSMDSFVRAKKWVREVQRQANPNLIMLLVANKADLEDQRKVGNEEGEEYAKENGMSFFETSAKTAQNVNELFYEIAKRLAKANPSRQTGIKLHGRTQETRRRLFCCA >RHN53270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:521279:521725:-1 gene:gene28161 transcript:rna28161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 2-beta-dioxygenase MGLIDSDPPFEETYKNLFNKDQNIINDELMVDNECELPVIDLSRLNDDDEVAREECKSMIANASQEWGFLQVVNHGISSDILTRLRCEQKKVFKEPFDKKTKEDKFLNFSAGSYRWGTPTATCIKQLSWSEAFHIPLTDILGSNTHLR >RHN46592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33000659:33003007:1 gene:gene41094 transcript:rna41094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MESQSQKLNVIFLPYLTPGHMNPMIDTARLFAKHGINVTIITTHANALLFKKAIDNDTCCGYSIRTCVIQFPSAQVGLPEGVENIKDGTSLEMLGKIGHGISLLQDQIEILFQDLQPDCIVSDMFYPWTVESAAKLGVPRIYYYSSSYFSSCCAHFIRKYKPHENLVSDGQLFSIPELPHNIEITSLQLEEWCRTRSQFSDYLDVVYESESKSYGTLYNSFHDLESDYEQLYKSTMKIKAWSVGPVSTWINKDDGNIAIQSELLNWLNSNPNDSVLYVSFGSLTRLSYAQVVEIAHGLENSGHNFIWVVRKKDGGEVKDSFLHDFEQRMKESKKGYIIWNWAPQLLILDHPATGGIVTHCGWNSILESLNSGLPMIAWPMFAEQFYNEKLLVDVLKIGVSVGSKVNKFWASVDDDALVRREEIAKAVAVLMGKGEESGEMRRRARKLCDAAKKSIEEGGTSYNNLMQFIDELKSLKISREVEKTNKN >RHN62999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47506466:47509021:-1 gene:gene25647 transcript:rna25647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MAIQHSIILLAFLLLQFSSLHFPALGYDHQETYFINCGSDIDVNENKNLYIGESNHAYPKKSFSQRSSIETNPSSVSSPLYQTARIFHSESSYEFSTIPNNTYMVRFRFFSFSSPTKLSTAKFNISVPGFLLLHNFNAENTTKSALVKEYYVKIIRKRFKIIFTPQTSSFAFVNAIELFMLPVHLIPDSMPHFSYKESGVRGGLSTYIGGLHSQRALETKHRFNVGGGTVDRGTDTLSREWLPDDRYVTNLQNAKNCSFDGDIPRTANDTSDGPNSNQYIAPDIVYKTGKESINGSSSISWSVPVEKNVDHFLRFHFCDVFSNRQSGLTDFLLLIYDNYVVNVNNDTSLSLQMPYYYDFVVQSDASGKLKVTVQPNVLDFVPNAFLNGLELMTVVNSSGPIPPDDLDSGKISLPVVLGSVVGGLVLVSVVVVLFLWISKIRKQRPVESFDLLPIPTAAGGISHSKLTYGKTTQGSPLPNINLGMKISLLDLQIATENFDTKRIIGKGGFGNVYKGVLKNGMNVAVKRSVPGSGQGRHEFQTEIMVLSKIRHIHLVSLIAYCDERCEMILVYEYMENGTLRDNLYDTNLPCLTWKQRLEICIGAARGLHYLHKGVAGGIIHRDVKSTNILLDENLVAKVADFGLSRTGPLDQHSYVSTCVKGTFGYLDPDYFRSQQLTEKSDVYSFGVVLLEVLCARPAIELSCPSEQVNLAEWGLHCKDKGILEEIVDPSIKAQINQNSLRIFSETVEKCLHDYGCDRPTMVDVLWDLEYALQLQREPHEDSSSSAFASLQLPNVQHLPSLSTPTEVDGTSTGRIDESESATLMMLDRLSCEANQGTTINTQMSPMEELFL >RHN38412.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000025.1:13965:14567:-1 gene:gene50770 transcript:rna50770 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGNNVGPMSMPMNQMGGNIPAVQGLPAGGGYFQGGGGPGAEMMSGNPYQQQQQQHQQQQQQQQLMAAAMMNQQRAAMVENDQRFQQPMMYARPPPAVNYIYPPYPYPPPDPYSHAFSDENTSSCNIM >RHN77457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6968056:6975139:1 gene:gene918 transcript:rna918 gene_biotype:protein_coding transcript_biotype:protein_coding MSGALAWQIRKKLIKLQLFCFEREERDWFGDSFFICPPSLSSSVVCVLPLASLFLFCCFSLLYYYARRVHYYKNFN >RHN54082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6794959:6798928:1 gene:gene29065 transcript:rna29065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb/SANT-like domain-containing protein MASKESLICNHEIPEKAKWDPISTKMLLNICGDEICKTRKPGIAFKNKKWEEIRVEFNNRAKRNHTQKQLKNRMDSLRADWILWKQLMGKETHVELNNHTKTIEANSAWWDAKIRENAKFARFRYQGLEFRDELEHIFGEPKPITSQNAQTPIVGLSIESSDKNIDSNVPQEAIESSGKNIGSNVPQEVMESDDEELNLDDEFIPITNPQSKKKRKTSQDIGETVAKDTTKVGFATSMRKIERFVEATELNNDGIAGPSYVCGKYSIPNCIEVLKNIQGVGLLNDRQFCFALELLRNGQSRVILMSLKDSHNALVNWILYKYDL >RHN67016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22845368:22846155:1 gene:gene15146 transcript:rna15146 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHISHYCLLAILCIALVLTSGPTPGLSNCEFPSLCDSYDNCDFKCRDIGFHRGVCTDIEGTESCCCMS >RHN49697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:378636:379781:-1 gene:gene33902 transcript:rna33902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEMRQPINPLGLFYLLPLKLMLEILYRLAVKSLLTLKCVCKPLNSIISDPKFAKDHFRLSKTRHYHLLICPWDFRNEKGFVLFDSQLPSVLNNTTSTITETMLNFPLNPCGTFRVFIAASCDGIICFETRCVNDNHGNLVFWNIFTRKFKILPPLENLPYSSFDVVYSIGYDYFTDSYKVVAISFKQIDNSCETEVRVLTLGTNSWSTIPCLPSQLLMGELEVSPGKFVSGTINWVILDKKNNNSWVILSLDLEKEYYQEISQPDYGLDEPLLEFHLEVSRDCLCILAQTKTFMGVWVMKNYGNKDSWTKLFNISFATEFGHADSINQFYIFEEDDQLILNFFDQVYVYNYKNHTTKTPNIQCLLSTSFISNVYVESLISP >RHN80068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34760639:34763334:-1 gene:gene3944 transcript:rna3944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MTNNEQTFWVVYEKFKPHLFMVLVQVALVLQYFLVEASFNNGMDPHVFVTYRHAIGGIVVLPFAYFLEREARPKLTMTMFMGLFLLPLFGNSHLSLFTFTTKNRIGLTLNMFFASLKYTTPSFVTSMINTISSITFIFAIGFRLEAIDVKSPRGLAKIVGTVLSLTGALIMILYKGHTIQSLKGAPFHLGGKMAHNNWIKGTILTVVSCISWSLWYILQAIIVKKYPAKLSLTAWINCIGAAQSAVFTVLVQRKPRAWFLTSSVELCCILYAGVICGGLLIYIQIWTMAQKGPVFVSMFNPLGTILVSMLAYFVLGEQLHIGSILGVLIVIMGLYLLVWGKEADRDYKSQESFPAHVEEKDCKTQIQTSVTEEA >RHN52707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37915322:37921931:-1 gene:gene37406 transcript:rna37406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MNELITTHKRESDSENKDEISASKKLRVESSKENGSKEVTFLIEADAAEDKGLRQTMEDEWVVLIDAVKDYPGNLRCAHFAIYDGHGGRLAAEYAQKHLHGNVLASGLPRELLDVKTAKRSILDGFRKTDESLLQASAEGGWQDGATAVCVWVLGQKVFIANVGDAKAVLARSTIPDGSKDNSDGVPALKAIVLTREHKPIFPQERARIQKAGGIVSSNGRLQGRLEVSRAFGDRQFKKVGLVATPDIHSFDLTDRDRFIILGCDGLWGVFGPSDAVDFVQKLLKEGLSVTTVSRRLVKEAVRERRCKDNCTAIVIVFKQN >RHN61879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39103587:39107721:-1 gene:gene24389 transcript:rna24389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLGYKFSNLPKNLVGMHSPLHELEKHLLLDSLDDVRVVGICGMGGVGKTTLATILYNKISHQFPVFCLIDDLSKIYRDDGLIGAQKLILHQTLVEEQLQTCNIYNASNLIQSRLHCVKALIILDNVDQVEQLEKLAVNREWLGAGSRIIIISRDEHILKEYGVDVVYKVPLLNRTDSLQLFSRKAFKLDHIMSSYDKLASEILRYANGLPLAIKVLGSFLYDRNIFEWKSALARLRESPNKDIMDVLRLSFDGLEEMEKEIFLHIACFFKGGEEKYVKNVLNCCGFHADIGLRVLIDKSVISISTENNIEIHRLLQELGRKIVQEKSIKESRKWSRMWLHKQFYNVMSENMEKKVGAIVFVRDKKERKIFIMAETLSKMIHLRLLILKGVTLTGNLNGLSDELRYVEWNRYPFKYLPSSFLPNQLVELILRYSSVKQLWKDKKYLPNLRTLDLSHSKSLRKMPNFGEVPNLERVSFEGCVKLVQMGPSIGVLRKLVYLNLKDCKKLIIIPKNIFGLSSLECLNLSGCSKVFKNPRQLRKHDSSESSSHFQSTTSSILKWTRIHFHSLYPYAHKDIASRFLHSLLSLSCLNDLDISFCGISQLPNAIGRLRWLERLNLGGNNFVTVPSLRKLSRLAYLNLQHCKLLKSLPQLPFATAIEHDLHINNLDKNKSWKSKGLVIFNCPKLGERECWNSMIFSWMIQLIRANPQSSSDVIQIVTPGSEIPSWFNNQSNSRSLSIALSPVMHDDTDNNFIGIACCAVFSVSPTTTTYAKTPAIGINFSNRNTRRRWYGIISVSLERYLIEVKSDHMCLIYFPLESFFNILKFIDETLENLDNFRMKFSIMNPKGLHTKVQSCGYHWVNKQNHALLPKFLSSEVQVSGN >RHN51965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29237038:29237372:1 gene:gene36550 transcript:rna36550 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGLLSPHAHSDLVEQCRPFFYVMNHFYLIEPHIYHYACEVDTFSRARLIGRLVILLNSIHCTRWLLDAWKHKS >RHN75100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39384142:39390684:1 gene:gene11259 transcript:rna11259 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVSLGLRTGSYGSLQLIQNGNVSQVPVLVRRASKTLLYNPKEKERSCLYICRHLGRGKVAMLLMLLCGLFIFVFGCFTLYKGGNITSEIEDTRSYALSTYKVIGVDGTIETKLKGSSSSTSLTSRHKNSFREPPVSPLRSSYNLKGKKGASSTVGHQCDHFAFPPPPPADRRRTGPRPCPVCYIPVEQAIASMPTSPSESPVLRTLTYAHNENMFPSEPEGGSDFGGYPPLEERDASFDIKETMKVHCGFVKGSRPGRQTGFDFDEEDLLELDQYHDIIVASAIFGNYDVIQQPRNISKQARKNIPFYMFIDEETEMYMRNASILDSRRRVGLWRIIVVRNIPYADSRRNGKIPKLLLHRIFPNIRYSIWIDGKLELVKDPYQILERFLWRPNATFAISRHYRRFDVFVEAEANKVAGKYENASIDRQVQFYQYHDGLTRYSRAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIMAKVDWSINMFLDCERRNFVIQAYHRDVLENMPPPPPPRPRPVVVIRRPRLPPVFFTINKPPVKKNPKRGRGDRRSGSKRHRKIVDNVVMNQILF >RHN66169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9428459:9436904:1 gene:gene14098 transcript:rna14098 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MSKRVLCKFFAHGACLKGEHCEFSHDWKAPPNNICTFYQKGVCAYGSRCRYDHVKASRAQSSTPSSSITEHQPLVSESAVLGNTRVTSNGVATAAEFSLFSTPFVLPSEQAWNQESAQLDFLREDDVVQSVITSPSELPICSFAAAGNCPRGEQCPHVHGDLCPSCGRQCLHPFRPEEREEHMMSCRNKQKHLEALKRSQEIECSVCLERVLSKPTAAERKFGLLSECDHPFCVSCIRNWRSSNPTLGMDVNSTLRACPICRKLSYFVVPSVIWYATSEEKMEIIDTYKAKLKSIDCKHFDFGEGNCPFGTSCFYKHAYRDGRLEEVALRHLGAADGDTIIAKDIRLSDFLANMHLS >RHN42030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34897616:34903572:1 gene:gene48417 transcript:rna48417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase METTSFSKWMFTFCFVLCLVSQFIHSSVTYDRKAILINGQRRILFSGSIHYPRSTPDMWEDLIQKAKEGGLDVIETYVFWNVHEPSPGNYNFEGRYDLVKFIKTVQKAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKREMQRFTEKIVGLMKSEQLFESQGGPIILSQIENEYGAQSKLQGTVGQNYVNWAAKMAVEMGTGVPWVMCKEDDAPDPVINTCNGFYCDKFTPNRPYKPTMWTEAWSGWFTEFGGPIHKRPVQDLAFAVAQFVTRGGSFVNYYMYHGGTNFGRTAGGPFISTSYDYDAPLDEYGLIRQPKYGHLKELHRAIKMCERALVSTDPIVTSLGSSQQAHVYSTESGDCAAFLSNYDSKSAARVLFNNMHYSLPPWSISILPDCRNSVFNTAKVGVQTSQMQMLPTNTHMFSWESFDEDTTSLDDSFTLTAPGLLEQINVTRDASDYLWYITSVDIGSSEHFLHGGELPTLIVQSKGHAVHVFINGQLSGTTYGTREYRRFMHTGKVKLRAGTNRIALLSVAVGLPNVGEHFETWSTGILGPVALHGLNRGNWDLSQQKWTYQVGLKGEAMNLASPNSISSVEWMQSAIVVQRNQPLTWHRTNFDAPEGDEPLALDMEGMGKGQIWINGQSIGRYWTIFANGNCNDCNYAGSFRPQKCQLGCGQPTQRWYHVPRSWLKPTQNLLVIFEELGGDPSKISLVKRSVGSVCADVSEYHTNIKNWHIDSYGKSEEFRAPKVHLQCSPGQTISSIKFASFGTPLGTCGNYEQGACHSPTSYATLEKRCVGKPRCIVAVSNSNFGQDPCPRVMKRLSVEAICTPATTNWRG >RHN50876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11351846:11354694:1 gene:gene35223 transcript:rna35223 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKKTNTSSRAASKKQKTVASSSRSRVQEPFDSLRFKGPYQQQRYKDLLERTFWSEKVFQIKKNGQYRGIAQIICTRKWEILVNPPTLLNYDIIREFYANSIPTDPDEDFSFTTFVRGRTIRFDRNAINAYLGNPLNLPDNQLCAFHEKQTKGDWNHEEIAQGIFREGKTYERNPNGQPIKAHKHDMNTPAQVILHLILHNIRPKSHTSSTTLDVTPLLYYILANEQVDIARVISQEMKIVAESGIKPPAKHNCPLTFPGLIIGLCHKARIVIPAQVHETIKHPITDTYIGRYCTGSRARARAEAPQTQSTPQEAQPAPTPQQFLFSEWDPRYLAAYTYTWDQNEANHRALNTIQDSMYRLQLQFGVPQALTHQLMTPDAFQAHVAWPGVRPFYPGGTSAAVAKSSDEEEGEEGEEGNEDDGEEGGSNDVGDTGSEEASDAMESD >RHN73013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12630965:12633356:1 gene:gene8783 transcript:rna8783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MEVEGSVISNSNSTMLQSDDELDLRRGPWTVDEDLALINYIANHGEGRWNTLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDAMRYLWMPRLVERIQAAASTSATLATATNNGLPSGTTTVTTNNTPYNINNNFDVHSDANMLTPTIMNTNSLCGSHVAQSYTPENSSNGAAAASSDSFGTQVSPISELTQDYYNVPVGSNNNNNPNSDYYQQAQQFGFFDCITSPSGLFPQMDFNSMEPNTPWIQGDTSDNFWNVENMLLFQHPNDNM >RHN74711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36077328:36078917:1 gene:gene10819 transcript:rna10819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MDPLVGARVFSKMDLRLGYHQIKVKDEDIQKTTFRTRYGHYEYSVMPFSVTNAPGVFMKYMNRIFHTYLDRFVVVFIDDILIYSKSEEEHVEHLRIVLQVLQEKKLYAKLSMCEFWLNEVSFLGHIISGSDIVVDPSKVDAVSQWETSKSVTKVRSFLGFAGYYGRFIERFSKLALPLTQLTCKGRTFAWDVQCENNFNELKQRLTTAPILILPKSGEPFVVYCDASKLGLGGVLMQNNKVVAYALR >RHN42318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37381011:37382251:-1 gene:gene48746 transcript:rna48746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thebaine 6-O-demethylase MANALAINPKEITEVFNIGIQAIRVNYYPPCPQPERVIGLKSHSDINGLTILLQISDIEGFQIKKDGQWIPVKPMPNAFIINIGDM >RHN80344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37331561:37337930:-1 gene:gene4258 transcript:rna4258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MEEGRVVKEEYTEDGTVNLKGKPVLRSKTGGWKACSFVVVYEVFERMAYYGISSNLILLFTKKLHQGTVTASNNVTNWVGTIWMTPILGAYVADAHLGRFWTFLIASFIYLSGMSLLTLAVSLPTLKPPECHELDVTKCKKLSTLQLAVFYGALYTLAIGTGGTKPNISTIGADQFDDFHPKEKSHKLSFFNWWMFSIFFGTLFANTVLVYIQDNVGWTLGYALPTLGLAISIMIFLGGTPFYRHKLPAGSTFTRMARVIVASLRKWKVPVPDDTKKLYELDMEEYAKKGSNTYRIDSTPTLRFLDKASVKTGSTSPWMLCTVTHVEETKQMLRMIPILVATFVPSTMMAQVNTLFVKQGTTLDRHIGSFKIPPASLAAFVTLSLLVCVVLYDRFFVRIMQRLTKNPRGITLLQRMGIGLVLHTIIMVVASVTENYRLRVAKEHGLVESGGQVPLSIFILLPQFILMGTADAFLEVAKIEFFYDQAPESMKSIGTSYSSTTLGVGNFISSFLLSTVSHITQEHGHKGWILNNLNDSHLDYYYAFFAVLNFLNLAFFAFVTRFYVYRAEVSDSIDMLANELKEKKEANHVNLIE >RHN39700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9685683:9701098:1 gene:gene45749 transcript:rna45749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MTPNTIKETSTSSSCSQNIIDHHHLPLHLLRSDTIPPSPTLSNSTIDFLPNFSGYSWIAYGASSLLVITHFPSPLSDLQSRIGPIFRQFFELSCHHSSPVSSVSWAPQMPSHGDLAAAAENSIWVFNHGSVKSKGSFCWSQNAVLVQRTKVETIRWTGSGDGIISGGMEVVFWKKSNKCWEIAWKFKADQPQTVVSATWSVEGPSATASRPSKEQIEGSLINQVSKCVFVCQSNGVCEYSKAKLQHPLPVVTIQWRPSRGRLSNRYSRYSKGNVLLTCCLDGTARLWSEVDNGKTKRAGKNTGSSFCVVAVIEISQCLNGTLGSDIFVMWGTEIVGLFRRGEGDKQIFSNEEFEHDVRKCDWLVGYGPGMLLSFWAVHCLDDVSPLRFPRVTLWKKHELQSHDIGTIYKFDSSDFKNALLMQNKVIISRNSLSGPPSICSLLQLLPCNSLVWSFFHIQETHDAVENSLDNGKTDAISSHLTGGSLNLDGHSGKILKVSIHPYTSEAQVAASLDSNGLLIFWSLSNISNSILGCPTLVPTWELCGKLATQHSCSMYTSLTWAPSILDEQLVFFMGHNRGIDCFIVDISRTEEENIECHYLCTIPFTGHGPYEDGPHDIFAIPLNSTCNKTFRNNKLMLLAIWMGRFEALSWEVNLHSFDMSTSCSECNFDAKSIDDNSVWAFESTYASKRYYITVIPCSSDFPSSNDSVTSFAVADPGTLSHRQQELGFANDLCSSSPTYILATGCSDGSLKLWKSNCDNPLTLNLPWELVGMFVAHTGPVKGICFTDCGQKVATFCNGNDSNAVNTIHIWDAINLIISGTFILEDTLTVESDITTLKWLTLGTGELLLGVCLQNELQVYARKRCDGLTTSNSVNFLKLNVWSQIAFAQTSLPINDFLWGPRAAPVVIHGNYFSIFSHWLFHVDKKQQSNFRSRDSDPRANNCKIETYEDISSAVFTDCDIGTFRELSTVDSLADCDSKQSITNNVNSNNLYSSVILAKEQLKSELLTKVGLWSILEVADLIGGSLPTYHPDVLLINISSGNWKRAYAAVKHFVECLISNYDPKKRQITRRNGLPSIILSDYLEGRMPKSSQDKGFNWSGDVASITSFSQAQSSSFQFPYHSDSSSENKRSSTSTSSELNGFIESLENFPNLPHLINIERTEILSIIDLLREVSNPDSSSAYQSLDEPGRRFWVALRFQQLHFQRKFARAASIEEMIINSRLFVWAYHSDCVGNLFGSVIPNEPSWQEMRALGMGFWYANIPQLRARMEKLARAQYLKNKNPKDCALLYIALNRVQVLAGLFKISRDEKDKPLVSFLLRNFQDEKNKAAALKNAYVLLGKHQLELAVAFFLLGGDHSSAINVCAKNLGDEQLALVICRLVEGHGGPLEHHLIAKYIFPSAIDRGDYWLASLLEWEMGNCYQSFHRMLEFSVNTVAPESTIMSNSGSFLDPTIGFYCQMLAAKNSTRNAVGEQNSAILLRWATLMTVTALKRCGIPLEALEYFSSSPSMLGTADQENELGDVLSSTLKPLPGKSSNWLSADLSVHLEFQVKLNLALGYLSKLIREHPSWPNTFTESDKEASYSEEYMIQYEKSNDSFKQKLYAGFDLFEQRFSLTPCYLISSILLLLCHHGLWYIGYDVTDGSTHGEPSQKKTDRFDVSNLSPSQFKPLFKAAEEISFLYSRFFSACGMVYSQQSSTPETGASADIKSKFLDTSQCHFEGLLNSLWYLRAVLRSQLRSISKDLVKKHLEILDLFEYYLYFSLAWLHRNSEALLFMVQPFLIAHDGCNPYEVDMVNLKKLIPKVAQLLAQNSFITNVESLQISKCAEDKLDADMKYSVPDDEKWKILGTCLWQHMSRFMISNLNLVLAKLEDENVSGSFHRYRESNTPRNLDSDSISLPEQILLVTFNLCDLLTTTVTHISSYHIKQLAEFLWQKLENDSNVMTLEWLKQTRQSESNQNNNLNISELVNGKDNYLVHQLLWDHCADPKLIRDCFAQEKLNWLKDSDHKPMKGWNDLYTIMTGLHKTDDSHDNHEIESPVKGILPSGHASARSNSKDITCANIDDFQSPREIYKRNGELLEALCINSTNQQEAAVATNRKGIVFFHLEDEIPFSGEADLLWTKADWPQNGWAGSESTPAPTCVSPGVGLGRKKGAHLGLGGATVGMDSSAWPSRDLTGNGALAMLGYAGIGASGLGWETQQDFEDFVDPPATLENTSSRAFSSHPMRSFFLVGSSNTHIYLWEFNKDKATATYGVLPAANVPPPYALASISALQFDHFGHRFASAALDGTVCTWQLEVGGRSNVRPTESSLCFNGQASDVTYVSSSGSIIAVAGYSSNNVNVVIWDTLAPPSTSRASILCHEGGARSLSVFDNQLGSGSVSPLIVTGGKGGDVGLHDFRYIATGKAKRHRRSDSIGHSSLTSLNYDKDHNVDGMLWYIPKAHSASVTKIATIPNTSLFLTGSTDGDVKLWDAESSKLLHHWSKLHDKHTFLQSGSRGFGGVVRAAVTDIQVVPHGFLTCGGDGNVKLVQLKSHLRGFGDE >RHN45159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12486914:12487081:1 gene:gene39377 transcript:rna39377 gene_biotype:protein_coding transcript_biotype:protein_coding MILEDHAFSEQHNIEFALWQLHYKRIEEFRAYFNVALSSAKSNPSHGEEDGMRRR >RHN68538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36316873:36317284:1 gene:gene16905 transcript:rna16905 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLELEAKLFLHPPMLLQSEPEHFIFLHFLLVEVVVAVLLPSAAVVGFEHSYKPKNLLPLPKRVVFLFFVAFGFLFGLYDL >RHN49206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53283348:53284605:-1 gene:gene44012 transcript:rna44012 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQTVFFLLLNLAPVSLLLSGLQKSRKLQSSHQLSQTFKVNLKNIHLLKNNSLGILTIRVLIVKLKTPELLHTISLDTKTCIKEEEEPYCYYKESHVQESVNICKNNLIGKILSEKHILHIFSIVL >RHN68960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39878135:39880930:-1 gene:gene17385 transcript:rna17385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ANTH domain-containing protein MPSKLRKAIGAVKDQTSISLAKVTHAANLEVTILKATTHDKNPIEERYVNEIVNIVSSNKAYAAACAQCIGKRMGKTRNWVVALKSLMIVLRIFQDGDPYFPREVFHSMKRGAKILNLSSFKDDSNSSPWDYTAFIRTFALYLDERLDCFLTGKLQRRFTYNNRFHEKNQRNEPGIRDMKPTLVLNRITYWQRLLDRAIGTRPTGAAKNNRLVQISLYAVVQESFDLYKDISDGLGVVLDNFFNLPLSACVTAFNACVKSYKQFDELSAFYSFCLNIGIGRSYEYPSVQKVSEELMETLQAFLKDQASFHNTASKHFILSAQKKSNAGLSSSQDELGTERCGTLDRYFETGSEFGSQCTSLEDLMSATDVAESSRGSIEHDRYSEESDEKHSLQYDDGFGSANGSGSVRSSTIDKNSRSSFDIVSVDDMHVQQNHQTKESSKDCWEIVLAKTITNETPSPKLENGFDSFDKAFDQALVPHQKYNPFLEDIGTLAPHTNANDNFDDAFGVSPTFKATPSPTFNAHDPLASSFSDQNSNSLTNLDLIFGDINPNDTTVAPTFKAQQSFNHGSAPTFQAQHSFKNGSTPTFQVHNSNDNAIIESPIENPNTSSIVPLDSHNSFYNSTVVPTFQAQHSFKNGSIPTFQAQHSFRNGSTPIFRAQHSFKSGSTPTFQVHNSNDNDIAESPTNIVENPNTCTVPFDSHNSFYNSTVPPTFQAQHSFRNGSTPTFQAQPSFKHDSTPTFQAQHYFKNRSTPNFQVHNSNDNAIEESPTYNIVPNISIVPFDSHNSFYNSTVAPTFQAQHSFRNDSTPSFQAQHSFRNGSTSTFQVHNSNDNAIVESTTYTIESPNTSIVPFDSHNSFYNSTIAPTFSANGGNEITSATQIEDDLFGPWPSATTNDPTSNVSSMQDQTLLQFQQLWLEQQNKIIAKHMT >RHN64543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59938403:59940747:-1 gene:gene27367 transcript:rna27367 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKHIVIAKFKDEISQEKIDELIKGYANLVNLIPPMKSFHWGTDVSAENLHQGFTHVFESNFESVEAIAEMDDRGQIGMRCSNVWH >RHN79411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28594245:28594526:-1 gene:gene3199 transcript:rna3199 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDPPFLLHHWFFLLRRRTHSPCHRRPAFYDMGCGSASNRRRCPCFVDVWRRSDAVTMVVMRGGEALVVEFI >RHN71262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57690767:57693015:1 gene:gene19920 transcript:rna19920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II MASISWFSCLHIRPTATAGDKGLSSPITVEHHKTRPQNLLSSSEEGLAINRRQLILYTSTAAIAASSTDSNALALNDVSEDFSIYTDDENKFKIDIPQEWQIGTGESAGFKSLTAFYPKEQSNSNVSVVITGVGPDFTKMESFGKVEEFADTLVSGLDRSWKKPPGVAAKLIDCKSSKGFYFIEYTLQSPGEGRKHLYSAIGMLTNGWYNRLYTVTGQYGEEETDKYASKIQKAVRSFKFI >RHN45418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20391910:20392288:1 gene:gene39747 transcript:rna39747 gene_biotype:protein_coding transcript_biotype:protein_coding MRGENVRDQKNTSEQYHIKVCTKNPCYAEVIVKTFISMRHFEFY >RHN64320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58137006:58139215:-1 gene:gene27124 transcript:rna27124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain, Bet v I type allergen MGVTTFTHEYSSTVAPSRMFTALIIDSRNLIPKLLPQFVKDVNIIEGDGGAGSIEQVNFNEGSPFKYLKQKIDVLDKENLICKYTMIEGDPLGDKLESIAYEVKFEATNDGGCLCKMASSYKTIGDFDVKEEDVKEGRESTIGIYEVVESYLLENPQVYA >RHN70203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49628424:49638517:-1 gene:gene18759 transcript:rna18759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S10, serine carboxypeptidase, alpha/Beta hydrolase MAMLRLSSHQNQNGNILITRTLFKMIIIFVLAIFLLLQNSSTNLVFATSQFNIVKYLPGFQGPLPFVLETGYVGVGETETDENSAELFYYFLESENNPKQDPLLLWLTGGPGCSAFSGLAFEIGPITFKNEEYNGSLPNLILRPHSWTKVSNIIFLDLPVGTGFSYPKTEGAAQQSTWKLVHNAHQFLRKWLIDHPKFISNEVYIAGDSYSGLPIPIIVQEISYGNEGGLQPWINLQGYILGNPVTWPAHDDNYKIPFNHGMGLISDELYESLQKNCRGEYKNIDPQNVFCLEDIQSYQETISGVYEVHILESKCEFGLPNPLEANGRRRLLIHKANTIFTSSLTLPPLNCRSHAYFLGAYWANDANVQNALHIRKGSIGKWKRCNFDIPFKHEIDNSFEYHVNLSRKGYRSLIYSGDHDMKVPFVATQAWIRSLNYSIVDDWRQWYSNSQVAGYTRTYSNRMTFATVKGAGHTAPEYKREECLAMLSRWISNNPL >RHN57411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38831774:38832203:1 gene:gene32952 transcript:rna32952 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCDMDIESRRTNINALEFQMAKLCERSNKSLWSRENELTAEIDKLRAEVEKTEKSLDHAIPGVPCGVSEALHLSLRVRYFVLVYFIYF >RHN66076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8366831:8373392:1 gene:gene13989 transcript:rna13989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoserine phosphatase MEALINHGINPIRVNGITKQRSCFPFESKPNLKKGTFGFQIGVVNKKKNKFSPLIMAAATVGNSQVGHFENTVPSKEILELWKNGDAVCFDVDSTVCIDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEEALAARLSLFNPSLSQLENFLQQRPPRLSPGIEELIQKLKANGKHVYLISGGFRQMINPVASILGIPQENIFANQLLFGSSGQFLGFDENEYTSRSGGKATAVEQIKKDHGYKALTMIGDGATDFEARRPGGADMFICYAGVQLREAVAAKADWLVFNFQDLINSLG >RHN48651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49231023:49235822:1 gene:gene43390 transcript:rna43390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MDSQKRYASTSSSLSLSPTSSSSSSSSCPSEDPAVKTEEIEAAETLAYLARLAMRHTAHSADKCCTQRDTCRFISDSTLPDSDPPTVRGQAVASQQLDEDISSTTSVKTERIQQNDCLKNMKVEQDADYPKTTHSNKSRRNLTEEEKEARRIRRVLANRESARQTIRRRQALSEELSRKAATLAMENENLKRKKELALKEYQSLETTNKLLKTQIAKSINTEVEKTPVVQELSMSEVSPAPGTSPWFLYNHFPVRQLFWPSILPSSNQVQLQHTPFNSIAIPSHVYVPCSSESESLHKQNNLINDNQTQNPLYMFPCPWLYPPPDIASGQPPPSCGLEDEQDNLPLREQCSTTLSLNSVGHGDYHATLPIKLKTEASDKTESRSSNDLGHATPCFSSDGGEQKPRWRTIEKFHGPAVNCNGYASVVKEEPGLQLHSTSITKVSSTASHITALQEKKQEQFLCPGKNLVDAVAAAEARKRRKELTKLKSIQSRQSRMEC >RHN79647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30875534:30876267:1 gene:gene3465 transcript:rna3465 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFYVKKKNLKWSTFIVFVIILSIKRGYGSPNFNEKVMKLKAMLKEQGNSCGRMQGYYTEMSVEETETVESTSEAALRCSNREVKGKIHQQLNIDEGNCSFNLEDYNINNNVPLLPYWPAAVPYNYHP >RHN46928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35835485:35842464:1 gene:gene41465 transcript:rna41465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-XII-1 family MGIQCSSLVPCCVDSQVKASVPEVPDAENENRIETNDGPSFREFTLEQLNNATSGFNVENIVSEHGEKAPNVVYKGKMENQTRIVVKRFNRSAWPDARQFLEEARSVGQLRSERLANLLGCCCEDDERLLVAEYMPNETLAKHLFHWDSQPMKWAMRLRVVLHLAEALEYCTSNGRALYHDLNAYRVLFDEDGNPRLSSFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLTDSCLEGQFSEDDGTELVRLASRCLQYEPRERPNTKSLVAALAPLQKETEVPSHSLMGIQHSATISASLSPLGDACSRKDLTAIHEVLENIGYKDDDGVANELSFQMWTDQMQDSLNCKQKGDAAFRHKDFKLAIECYTQFIDVGTMVSPTVHARRSLCYLINDMPQEAMNDAMQAQVISPLWHIASYLQSVSLSGLGMVNEAQVALMEGTTLEEKRNTPTGKK >RHN50013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3148917:3149435:1 gene:gene34260 transcript:rna34260 gene_biotype:protein_coding transcript_biotype:protein_coding MVYASMVFAPMVYASMVFAPMVFASMVFAPMVSASMVFALMVYAPMVSASLVFALMVSASLVFALTVSASMVFAPMVAASMVFALMVSASMVFATMVFSSMVAASMVFALMVSSSMVFAPLVAASMVFAPMVSASMVFAPMVAASMVFAPMVSRHYKCIRKKVMEHQMILKI >RHN45128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12003943:12008906:1 gene:gene39338 transcript:rna39338 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MSLMGSLIQFNTIVLLLSLFQSIQAEEFKSSQPCEFQAIFNFGDSNSDTGCMSAAFHPAVLPYGETFFHDGAGRASDGRLIIDFIAKHLRLPFLSAYINSIGTSYRHGANFAAGSSTIMRQNKTFFDGGSPFTLEIQIAQFIQFKARTAKFFTQENKTSFRRHLAENQSYFRRHFPKPEDFANAIYMLDIGQNDLGDVISKVGKEDSQALISNIVEYFAKQVQKLYSFGARKFWIHNTGPIGCLPVFMPIHNAINSQTQVAGYLDQNGCVNHPNNLAREFNKKLKDVVVKLREQFHDASFTYVDMFSAKYELISNANKSGFVNPSGICCGYHEDGYHVYCGNKAMINGKEIVASSCEDPSKYISWDGVHYTEAANQWIANRILNGSFSDPSLPIAHSCQITH >RHN54759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11929443:11935396:-1 gene:gene29841 transcript:rna29841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MTGTSIAASGIVLEPLTKDNYENWSCLVRNYLVGHGLWGVVTSIPEIGARSKIEYEIWNRKNGKALHIIQLTCGPENLTHIRDLHIAKEAWNKLGATYSSDLQADPDIEQGVVDDSLRQYKSLHKYIESGEWKDANSFIKSDSTAIYSTSSMGRTVLHVAVVAGHEEIVKKLVKEGKDKLVKMKDNRGYTALALVAELTGNTNIAKCMTTVVYRKISRSETVNPFRDLLSMKTNDGEIPVLLAAAKGHKEMTRYLYRYTPTEDLRDDNYHNGVLLLTRCITAEIFSVALNLLQQFPKMPLAHKSHFESDCVQPLYALARMPSVFPSGSGYGFIRQFFNDILRFPEKEVREFSGIIVSRANIAKEETQHKASFVGRLCGRMLNLSPVKQLGRLLILVHMSFQNWVLLKFSGIRKIYNQKMTYRLALEILSCLHQRIQEFKESELREASAYDAMLQAAKHGIIEFIDAMRKGNPDLLWAIDKNKRGVFSHAILNRRKAVFELIHDSTVNGRKEIVKCRVDAFGNSMLHLAGYLGPSSDLDRRSGPAMQMQREILWFKAVEEIVHPKCKEAKNADDKKPRELFTEGHKELVKAGEKWAKDTAGSFTLVATLITTIMFAAAFTVPGGNNQDNGVPLFLHDITFDAFIIADAASLFTSSTSVLLFIGILTARYAEKDFLKSLPLRLLFALIMLFFSVISMIVAFCASLAMLLKGHHRVIITAMSFASVPVIVLVPSQLRLFLEIFKSTVLSN >RHN54753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11885690:11885941:1 gene:gene29835 transcript:rna29835 gene_biotype:protein_coding transcript_biotype:protein_coding MRALALTTIGRCNLSCAGTCSGLWGTYCECCHTPLGAFGFLLPALVFLLAIRSARTYRS >RHN47786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42526365:42527188:-1 gene:gene42427 transcript:rna42427 gene_biotype:protein_coding transcript_biotype:protein_coding MIYEFTIFALRVGYQIDSWAFFELFFLFSVLFLFPFVCLLLFSCSIWVWCLSPVFCHLYRLRRFWLGPPSPFVLVSFYVFISRMM >RHN68942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39765792:39772842:1 gene:gene17365 transcript:rna17365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MHAPKSTMASPESNGSDHVVELIVRDIPQPPPLPSSSSSSDDSRDNAHDQIAPLLSHTDRPKINIFTASYPRRKQPRDEVTRLLESETSPFTQCILWIWNGSRYSGLLCMALSSFLYFLMGVLSNIFSVQAIPLFETAFTRCTIILILSYLWLRRSEQPLFGTSNVRIILLLRALAGCISMSSFVYCFQKLPFVQAVVLNSTTPIMASVMARFFLREKLKIADIASLACSFFGVLFFFREMLATQGQLDKVDEASNANAKPTHQIFAILVGIFSSIIGGTSYCLIKAGAKASDQPLLTVFSFGILASPAMGICTYAFEDFVLPTVQSILLMVVLGILAFFAEVLLARGLQLERMGKVANIQYMEAALTQFWSLTLTLVSPAFDHLVGILLIVVSVCCTMYIGPDKEME >RHN79498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29588446:29588901:-1 gene:gene3295 transcript:rna3295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEEFSTLKPLDASLMEETTTTTTRQSHHPASMIHVPFDVVVEILCRLPVKFLLQFCCVCKSWNSLISNDPNFVKKHLRASAAKGKHLITITWSPRKELAAMSYSLDTDPLHSIFTTKVTQLNLPPMNTKYKLVASCDGLLCFAINKSHAVL >RHN42932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42029862:42032548:1 gene:gene49440 transcript:rna49440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MFMPKCTKQATGNKEIWSLPLLGVRKFLLLLISRPTTTKHDVFLSFRGEDTRDNFTSHLYAELHGKNIETFIDYRLGRGEEISPALDKAIEESTIYVVILSEKYASSTWCLDEFTKILECKERYGREVIPVFYKVDPSTVRHQRESYAEAFVKHQQRYSCRVDEWKAALNQVAGLSGWDSQVTRPEHTLTEIVKDILRKLNHRVLSDYEGMIGIEKHIEKIQSLLLLESATVRIIGIRGMGGIGKTTIANAIYHQFSTQFSSKSIILNAQQEIERAGLRHVQRKYLSQLLEEDITSSGFKFSYIPRLQRGKVLLVLDDVNDSDQLEGLIGRSTFLALGVESL >RHN73278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15078963:15080531:1 gene:gene9076 transcript:rna9076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MSLSGYLQHNNTHIELSKSPMSNKLFFSQNLVLCLITTKSTTLKFNNHFSFPLSLKCSSITTSQSESITQPFAVSYLINNFDFSTESALKAFNLRHVRFNTPDRPDSVINFLKSYGFSNSNIRIIIKKAPWLLSSQPHKMLLPKFQFFLSDASYSSDIIPLLTAHPRILQGSLERRIIPFFELLSRYLKTNKDIIVCLIRYWTAFSTNPHDRIVSNINLMVDFGFSDSTIARLLRTRPSIFGSNDMIKLLEEIKGLGFKPSTTAFGTALMTKQLLGNILWDKKVDVFKKWGWSDEDVIRVFRSQPGLMLTSIDKINLVMSFWVNQMGWDPLALTKNPLMFSFSLPKRIIPRASVLQFLLMKGLRKKKASLVRPFAYSDDIFLNKYVFSFKEESDYLLKLYNEKMKLANATENNGMPSTKCVMH >RHN73271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15016551:15021461:1 gene:gene9068 transcript:rna9068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoflavone 3'-hydroxylase MSSLFYYSLLSLSFIITIKILLKITSRRLKNLPPGPPTIPIIGNLHHLKHPLHRTFTTLSQTYGDIFSLWFGSRLVVVVSSPSLAHECFTKNDIILANRPRFLTGKYIFYNYTTLGSASYGDHWRNLRRITTIDVLSNNRLNSFLGVRRDETNRLIQKLLKDVVSEGFGFTKVELRPRLTEMTFNAMMRMISGKRYYGDDGDVSDVEEAKQFREIISEMMSLLGANNKGDFLPLLRLFDLDNLEKRCKRIAKRSNAFLEGLIEEHRRGNIHSDGGTMIDHLLKLSESQPEYYSDHLIKGLIQGMLLAGTDTSAVTIEWVMSELLNHPEVLKKAKEELDTQIGKNKLVDEQDLSKLPYLQNIISETLRLHPPAPLLLPHYSSEDCTIGEFNVPKDTIILTNVWGIHRDPKHWNDALSFKPERFEKEEEVNKVMAFGLGRRACPGLSLAQRTVGFTVGLLIQCFEWERESEEKLDMMEGKGITMPMKIPLRAMCKALPIANDVTK >RHN63067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48081883:48083030:1 gene:gene25722 transcript:rna25722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MLSTLPPSDPLLDNPFSAFHGGFPQWDFHDLFSDDIKPTSPKTITSSSGSDEPNQPHDKRKPDSDEPNHGVVDERKRRRMISNRESARRSRMRKQRHVENLRNQLNKCRMENREMKNRLQFILFHLNRIRTENEWLRSERTVLNQRINNFTQILVCQQFQPFSTAWTCNTTMVE >RHN64050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56180500:56182919:1 gene:gene26827 transcript:rna26827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived nuclease domain-containing protein MVTTGKRKLKTKKSYSKQNNLFGIISKVTLTAQTFLSQNDLTLLPSQSLLLDSLISSTSHSLSLLLKPSHPLSLSSPHHHHHSWFRRFISSTSASDSRWLDAFRMSNPSFFHLLDLLSPTLTSSIPQITPDCALAAAIFRLAHGASYNSVARRFGISPSDACRAFFTVCKAVNDNLGNLFELRTDSDRVVVGFGFSSLPNCFGILGLAGFEIDEEILGKNGFLLVQALVDSEGRFLDVSSGWPNSMKPETILHESKLYHGVVESRELLQGPSYKLSDGSLIPQYVLGDSCFPLLPWLLTPYSRGNEEDGFSSAEIAFNSTHSRAMGLFGDAFGRLRTRWQLLSDSRKWKRGCVEYLPFVVVTGCLLHNFLIKCNDPLLRDKGVSCVEKEGDVLASDGVVDESAVRIRDALALHLSRVSLRR >RHN66488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13001470:13002799:-1 gene:gene14488 transcript:rna14488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MEDISPDSGGIWGFDELGTPQAPDGWQRLVHFRAEGSSQLGDVYYEAPSGKKLRSMPEVKKFLADHPEYMTDGVTLSRFSFKRPKSQESYVRKRSHAKSVEHKQGIPVSRPAKKRATQSFLHKDAV >RHN82642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55391333:55398865:-1 gene:gene6818 transcript:rna6818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthranilate synthase MMEALSMASVSFPTISVSRSRWNSSYRPIKCAAQSLPLVDNADKFIEASKKGNVIPLYRCIFSDHLTPVLAYRCLVKEDERDAPSFLFESAEPGLHISSTGRYSVVGAQPCMEIVAKENMVTIMDHEEGRKTEEIALDPLVIPRRIMEKWTPQLIDDLPEAFCGGWVGYFSYDTMRYVEKKKLPFANAPMDDRGLPDVHLGLYDNVIVFDHVEKKAYVIHWVRLDRYSSPEKALNDGLDKLETLVSRVHDIITPRLPAGSIKLLTRLFGPKLELSNMTKEEYKKAVLQAKEHILAGDIFQIVLSQRFERRTFADPFEVYRALRIVNPSPYMTYLQARGSILVASSPEILTRVKKRKITNRPLAGTVRRGKTPKEDIMLEKDLLNDEKQCAEHVMLVDLGRNDVGKVSKPGSVQVEKLMNIERYSHVMHISSTVTGELLDGLTSWDALRAALPVGTVSGAPKVKAMELIDQLEVARRGPYSGGFGGISFSGDMDIALALRTMVFPTNTRFDTMYSYKDVNKRREWIAHLQAGAGIVADSDPADEQRECENKAAALARAIDLAESSFVDK >RHN81764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48730754:48732169:1 gene:gene5851 transcript:rna5851 gene_biotype:protein_coding transcript_biotype:protein_coding MGECKCCGKLGRMGPRDGSKSAYEFSLMLSPVVSFWDCIVRKMRYSYRPEWV >RHN61352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35020358:35020633:1 gene:gene23807 transcript:rna23807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MPDSFEFMSSNVFILTCSSRTILEKYLKPAVSIAEDVKSTDKKAMEKKCHTHFHLAHYTDALFRSHEERLDSNGGRIKEQNVAKFISTLAG >RHN56814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33945030:33946280:-1 gene:gene32268 transcript:rna32268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase SIN MSYFILVNGSKSNLMIFFYVAIQCDNGHIVCSTCSTKLRNKCHNCSLPISSKHCKAAENLLLSIEMSCPNAKHGCNEKISYIGKRRHEKECIHAPCYCPVPSCHFVASSEVLYKHFSNKQRDTQIKFFYGHSFIVSLKSNDQTIVFQEAGYGKLFDLSNKTMQMGNAVNICGIGPNFYESEYSYDILARSEMCKLKLQSFGKDFQRVTSANLSSEFLVIPFGSSEPLKLEICIASITPMLRIFTRGITRKCILLRVNSLDTIENVKEKIVDEEKTPVYDQRLIFAGKQLEDGRILADYIIQDKSTIHLILRVIGD >RHN75028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38841717:38842222:-1 gene:gene11175 transcript:rna11175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Bile acid:sodium symporter/arsenical resistance protein Acr3 MEFAPALVIFLFDVGVNSSENDILEAFNRPAEIATGYFGHFVVKHLLGYLFYIIAVTIFGLPTGEK >RHN42738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40567953:40568261:-1 gene:gene49221 transcript:rna49221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MIYLVFQESLQHQVVVNGVVKKGTSKSDIQAALNHACGEGGADCSQIQPGASCYESNTLPDHASYAFNDYYQKHPIPASCVFGGAATLTSNNPSKHFIKRKS >RHN79294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27228660:27229619:-1 gene:gene3064 transcript:rna3064 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKEVSGKELHEFHGQSKTVVDFISNSPQPQEALSNNSAVTPLIKCKRVADLISNSPQEAPSNNSPATPLINAEVKRTRLEISNSPPVLISRSSPPNSSEGVDEMWTSFFTEIKSIVDGNATSVYDNNFPFGDLIDKQFSKEKFFEKVKEMELERVLQTSLTNSVRTTFHLCVMGQKLGDKVKENKAYVREIAELKNMLTENEKNYVGEITELKNKLSEFEKNMAEMTSLKDELNKLKKTFEYSSLEKNRMIAREKDLMDENSKNKVKLLVNEHAHKVFINKLKAEIEELKRKISLQYKAGYEKAVRQVVYFASGLKP >RHN54498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10091731:10092883:-1 gene:gene29552 transcript:rna29552 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMSYNRVSSTSSSSGRGSSFYHGKCRGFRLNLTRLYFSRLRKRVNFFLRIFDKLKLSHVQGFQLLKKVFCRKSGFKRNNSNSSRNGLMKDERIKGQGDCRFSSYGRNNSFYAEAIADCLEFIKRTSISSMDQIQDPIVVHIHDRKNS >RHN73648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18564106:18565685:-1 gene:gene9487 transcript:rna9487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MISNKKNPTPVGYFFRLLKMNAPEWPYSIMGAVGFVLSGFIGPTFAIVMSNMIEVFYYRNYASMEKKTKEYVFVYIGAGIFVVGAYLIQHYFFSIMGENLTTRVRRMMLAVGWFDEEEHNLSLEIVMTGPAADAAVCASPSKGLGRFFGFLVVRFEARLWL >RHN51380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16978151:16979279:-1 gene:gene35810 transcript:rna35810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eukaryotic translation initiation factor 3 subunit C domain-containing protein MNHLQETVHHKDVSTQIHFNRAMSQLKELLAQGVARSRYHEKTPKQERLERSRQMPYPNIHDVKRKIISKNFSRLLEISDKKHSTVLPKMLRMENSTRLLTLLHLLMYGNL >RHN73069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13188756:13189331:1 gene:gene8847 transcript:rna8847 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMQLLNLLDEDHVEEPQPRQPRRRNRNGSSAVARRQKPPPDTFSNGGTQNMEGLINNTGYVHGNGNGSIIFGGFDSSTMNFD >RHN64323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58146623:58147067:1 gene:gene27127 transcript:rna27127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucomutase, cytoplasmic MLAHLTNGHGLIFRLSVTGSEGATIRLYIEQYEKDPSKIGRLSHEALAPLVEASLKLSKMEEFTGRSAPTVIT >RHN81694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48180083:48181662:-1 gene:gene5774 transcript:rna5774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MVYKLHKALYGLKQTLRAWNKKIDQVLIQIGFRKCSVEFGVYDQKLSDGGIVIICLYVDDLLITGSSISEIVKVKEKLKLEFEMTDLGELSFFLGMEFVKLKVGMMMY >RHN52307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33683764:33684014:1 gene:gene36967 transcript:rna36967 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRWRSCIGNKRIELVYLTTMEEGYARMRNYSWEEMTLAYLYCELAEACRPRDRALGEA >RHN81988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50370260:50374972:-1 gene:gene6100 transcript:rna6100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casein Kinase 2 substrate MEVMVKKFQSKFRKVKEEMNRWDELQSCLISQFRNASHILDRLQVLQMSNNYGTLNCVSGVRDALLEKQIGSLNNILVSMRKTLEEFHCIVASLEKLHCDGRQLVKGSSSRTTMKQLQQRVGVKPRLIDCLDGLLFLHEIYYSEYLLKSSVVSVLSAMAIMPSACDLGALQQLLDDEPNLLTEEVQFLLDTIFAEELC >RHN43772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48380932:48382295:-1 gene:gene50394 transcript:rna50394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MVEMGITPPSMETSRKRNEEKPQEKQCDYFNGNWVHDKRAPLYNGTTCSDIKKSQNCIVNGRPDSSYLYWRWKPSECDLPIFEPNTFLKLIKNMNIAFVGDSLANNQIESLICLLSTVSSPKRVHHIGSDGRWYFPSYNANLTAYWSPFLVKGDQRIKDGPQYNTIYLDQVNQMWARDIDQIDSIVLSFGHWFTDVPSIYYEGGSIIGCFRCHEFKFNYTDIGFYVPMRKALRTTLNSIIEKKIVRGNGIDVIVRTYSPTHFEGDWDKGGTCSKSEPYKNEEKKLEGMDAKIRSIEIEEAENAKVKAQQIGLNLEVLDITKLALLRPDGHAGAYMNPFPFAKRVPKNVQNDCVHWCLPGPIDIWNEIFLQMMKNGKNHSLIEK >RHN73110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13541867:13543157:1 gene:gene8892 transcript:rna8892 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein dehydration-induced 19 MISWKGIKPQVQLSDEDRVEKTRRFDFVQGLLMSTILDDEL >RHN40020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12317707:12322143:1 gene:gene46110 transcript:rna46110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MSQTIEITILSAENLQENKKAIKGNTFVMVQCDGSNNEVSTTKLDSEGGSYPTWNEKVVIDVPLHARFVTIEVKYKTRGSSSNSVGMARIPVSDFVGGYVHENQLQFLSYRLWDNRVMRNGVVNISVKVKMSQQNSCSSSMSSTMNGVPVTGVPVAGNGSCSRVATGIPAVWLNYQRHI >RHN53829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4539432:4553671:-1 gene:gene28781 transcript:rna28781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MDSTQNNLFDTASQPDTGTDAYTFLEFNTQGEDFDYPDFRDPIRSPVAWPTPSDSLADPSGSAGSDHHSDASPVSAAPTKGGRSGGGSGSGSQMVDSLASGMSGLNFEDTGDDDNYEFGKGDFTEHACRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLSVNALKDMNWDLTQWCPLIDDRCFLQWLVKIPSEQEQLRARQISAQQINKVEELWKTNPDASFEDLEKPGVDDEPQSVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNLTIRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDAAHPSWQSVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEVQMVRNALPRRFGAPGLPELNASQVYAVKSVLQRPISLIQGPPGTGKTVTSAALVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSDKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGAKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTVNERQSTGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLKSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNERRLFYGGGPGVAANDNFGSGAGTSSDRRTGRGRGSYIPSGPPNGNHKPGLHPAGFPVQRVPLPPFHGGPQSQPYAIPSRGAVHGPVGAVPHVPSPGSRGFGAGRGNSGASIGNHLPHQGTQPPIGSAFNFPALENPNSQPSVGGPLSQPGFANNMPVQGAGQSFRDQFSVPGMSQDFLGDDFKSQGSHVPYNVTDFSTQASQSGYAVDYATQGAQGGFPGNFLNQNSQAGYSRFGSGNDFMSQDYMGHGSQGLFTQVGFSDPLQDDATQNHFNVANSNPLQSQMNSLYSQPFAHYNTQPLNMQASQQQPQGQSSQNQKIHYNG >RHN80150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35586785:35588178:-1 gene:gene4040 transcript:rna4040 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSHTIIRLNLVSGRLGCSRCNRSEVIPLADFCKQIPAGQIMGNLHPRFQCSFF >RHN50291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5856167:5857937:1 gene:gene34563 transcript:rna34563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MGPKFPIWLKHCGELTTLDISNSGISDSVPKWFWNLSSSLEYLNVSHNKLNGPLPKSFPSNKVNNNYDFVWDFSFNNLNGSLPSFPKPRALFLSNNMFTWSISSFCTSSSHSLVYLDLSSNMLAGQLSDCWGKFQSLVVLNLAENRISGKVPNSFGTLQQIESIHLNNNNFSGEIPSLILCQNLKLIDVGDNNLQGTLPMWIGHHLQKLIILRLRANKLQGNIPTSMCNLSFLQVLDLSINNITGEIPQCFSNILALSNLMFPRKSFHYVTSSVSYTESIVHEIGFFSDKAKFALKGSNREYGKNLGLITTIDLSCNQLTGEIPQSITKLVALVGLNLSGNNLTGMIPNNIGHMKMLESLDLSRNHLSGRMPTSFSNLTFLGYMNLSFNNLEGKIPLSTQLQTFDSSSYVGNNRLCGQPLINLCPGDVTSPTRSPEKQLPDEDEDKLITFGFYVTLGLGFFVGFWGVCGTLVIKTSWRHAYFKFFKNMNDWIHVTLAVFMKRLKKNISSPRLTGKCIINIPSI >RHN68918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39610581:39614303:-1 gene:gene17339 transcript:rna17339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease III MEIQAHKDQEEELLHLTTPQNHTLEAEVHNKEQEKAPLLLTIPHPHTIEVQARKDEEEALLLQTCALKIDEKEEDSPKLEKEVQMKESDSPPPSPPPPPQLQEVEVIPHIMEAQIHKDQEEALVNYTCALKIDDKEEEHSPKQQEEVPMMENDSPPPSPPPPLHGVEVIPHTMESQVHKEEALIIQTCALKIDDKEEHSPNQGQEVAHVKEDETDAETKENDSPPPLHEVEAILEYEFKNKLLLEEAFTHGTYGAENGLSYERLEYVGDSVLNLMITKEQFQAYPTLAPGHLTRLRAANVDTEKLARVAIKHGLHRYLRHKKPLLGDQIQEFTKGIAEYPLHSNGLIDVPKSLADIVESTIGAIFVDCGSSVETVWKVFKKLLEPIIDPNTIQRHPVTELHEVCQKKSLKLQFRDLWKETMRIEVLINEEFVGSGVYGSKKEIAHNRAAKNALENMEKILGISTSTKEDVTEDLDSPSKCNGGSDVTENLSSPSKCNGGQDATEDLGSPPKCNGDLDAIEGSPKCNGGPDVTEDLGFLHKCNGGPDAIRDLGFPRGSD >RHN54949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13855904:13857071:-1 gene:gene30058 transcript:rna30058 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKLFIAWYFWALLLIYVTTTEPYEYGKQFDETEESKTNTRVDGHAIWPGGGAVWHDPYENANKGGDENTNRGGCGIVINFSGGDKCGGGGNVVNFHDGGKGSGNGK >RHN58510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2371654:2374820:1 gene:gene20429 transcript:rna20429 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGAASSSGDATVLKRRHTTTNQTNHSNQKNPMPKNSSSSLCSLYSSASFLKWTISDVVHVATHHWMPCLFACGLLFFMAVEYTLFMVPSSSPPFDLGFVFTRSLHRVLESSPQLNNVLAALNTVFVLMQTSYILWTWFIEGRPRATISALFMFTCRGICGYSTQLPLPQGFLGSGVDFPVGNVSFFLFFSGHVAGSVIASLDMRRMNRWGLAWTFDLLNVLQAVRLLGTRGHYTIDLAVGLGAGYIFDSLAGKYLEDSKLKIAKNSVNGHASKHDLVA >RHN46384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31194186:31195773:1 gene:gene40866 transcript:rna40866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller, F-box associated interaction MNIPPAKSRRRSLAQLSSPPVILPDELVCEVLSFLTVKLLMRFRCVCKSWNALIDSPNFIKIHQKKSERNKQIIQIERDYSIESKYLIVNSCPIRGLMENPLITHADKHYCRLTEDRLYVVGSCNGLVCLLGFNQLENWFYFYNPATRKVSEKLGSFTRTNRSNIAFGFDNSTDTYKVVEFCQMSRDVRVFSLGDNIWRNIQSFPNVFIPFKVGYNSCRGGVYLNGTLNWLVFRNDIIYDDSKNRMFEHYAIISLDLGIETYTQLLPPRDSREVLLNESTICVLMDCLCFCHLNFDMGTTNFVLWKMKNFGVEKCWSQFLNISNDNLQIRCSQFRKFSEFCSESCRWVFPLCHSENGDTLMLANCRAGQLILYNWRTNKVERIMTTTKRKRWVFRNNYVESLVSTNGKILWDSERDDGPFSFPYIFVISMVSFDRNRWPFTLPGLEGLRALLMKTLLVGPTILY >RHN56422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30743495:30756066:-1 gene:gene31819 transcript:rna31819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease E MLMEFIAEVGFLHHPHHLFTPFSNPYTLSPKRHIFHHAPLHGEYRFMCCLKNHKSVRRLASFTTTQKGNSTTSVDDLCKIIWTVEADLEDGHLLYITGDPAVLGCWKPNMAVLMSPTEHTNIWKAESQIAFGLNFKYNYFIKEKSRSSSDIIWKPGPAFSLSVPLTALADNEIVVRDLWIRSNFHISSAHAWNPCTEETYLLKQPSIFFPVKDERRNMSLLENDFLKTETLILEDQLFFDSEDMAILSNKDSHPINVLSENYQPVEEPWLLHSLRSVISEDKTESNESKTNDIVKEQVKLVDSEELLPEESSNAILKDPVSTIILINSSMKSVDSEELLPEESSNTILKDPVSTIILINSSICTMQRIAVLEDEKLVELLLEPVKTNVQSDSVYVGEITKLVPSMGGALVDIGNSRPSLMDIKPYKEPFIFPPFRRRTKKQEIVLKGKNDHMSRATDIPGGIRDIHSEDDCLKSVHNDYDEHETDDDFCLSEVLKENVNGSVVDDEVEADFEDDVEGADVHTEGKMNNGSLSLGMNGSINFHILPTKDTKREMGENKWIQVRSGTKIVVQVVKEGLGTKGPTLTAFPILRSRFWVLTTRCDKIGVSKKISGAERTRLKVIAKTLQPEGFGLTVRTVAAGHSFEELQKDLEGLLSTWKNIMENAKSSALAADERVEGAVPAILHRAMGQTLSVVQDYFNENVKKMVVDSPRTFHEVTNYLQDIAPDLCDRVELYNKKVPLFDEYNIEGELDNILSKRVPLANGGSLIIEQTEALVSIDVNGGHGMLDHDTSKEKAILDVNLAAAKQIARELRLRDIGGIIVVDFIDMTDEANKRLVYEEVKKAIERDRSVVKVSELSRHGLMEITRKRVRPSVTFMVSEPCDCCHATGRVEALETSFFKIEQQICRILATMNHKGEPQKPKSWPKFILRVDHHMCTYLTSGKKTKLGILSSSLKVWILLKVSRGFTRGTFEIKPYTDDKVGRNQHQVAVSKAKPKSTFVRVIKSKAG >RHN49898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2105718:2110462:1 gene:gene34126 transcript:rna34126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAMPQSSSSSSSSSSKFTYNVFLSFRGADTRHGFTGNLYDALCKSGVHTFKDDEELQRGGEITASLMKAIEESRIFIPVFSKNYASSSFCLDELVHIIRYSKSKGRLVLPVFYDIAPTHVRKQTGSIGEELAKHQEKFQKNMERLQEWKMALKEAAELSGHHFNLAGRPDIRDGFTGNLYDALRKSGVHTFMDDEELQRGGEITPSLVKAIEESRIFIPVFSKDYASSSFCLDELVHIIRCSKSKGRPVLPVFCNIDPNHVRNQTGSIGEELAKHQEKFQKNMKRLREWKKALKQAADLSGYHFDLAGTEYESNFIQGIVKEVSRRIDRVPLHVTEFPVGLESQVLKVKSLMDVGCHDGAQMIGIHGIGGIGKTTLAKEIYNRIYDQFDKVCFLHDVREICSTKYGLVHLQEQLLFQTVGLNDKLGHVSEGIQFIKERLQQKKVLLILDDVDQPDQLKALAGDLNWFCGGSKVIVTTRDKHLLASYGVEKTYEVNGLNEKDALDLLRWKVCKSNKIGSSYEGILEHASRYSSGLPLALEVVGSDLSGKSKDEWSSTLARYERTVPKNIQQILKVSFDALQEEDKSLFLDIACFFKGCRLEEFQDILDAHYTYCIKNHIGVLVEKSLIKIIGGCVTLHDLIEEMGKEIVRQESPKEPGKRSRLWSHEDIVPVLHANSGTRKIEILYLNFSLSKEEEVEWKGDELKKMENLRTIIIRNCPFSKGCQHLPNGLRVLDWPKYPSENLPSDFFPRKLSICRLRESSLTTFEFPSSSKKFLCMRELNLDHNQSLTQILDISGLLNLEILSFRDCSNLITIHNSIGFLNKLKILNVTGCSKLSSFPPIKLTSLLKLELSHCNNLKSFPEILGDMKHITYIELVGTSIEQFPFSFQNLSMVHTLQIFGSGKPHNLSWINARENDIPSSTVYSNVQFLHLIECNPSNDFLRRFVNVEVLDLSGSNLTVLSKCLKECHFLQRLCLNDCKYLQEITGIPPSLKRLSALQCNSLTSSCRSMLLSQHLHEDGGTEFSLAGSARVPEWFDHQSEGPSISFWFRGRFPSIALFVASLSTDNRHPNSDFLSLTAHLRTYTDGIEFDINSVDLNLVIQPDHTYLYDLRQQVMELESDLEKTDLIDEWIHAEITFKCEGGREEELFIESGVHVFKLKTSMEDIRFTNPHN >RHN61215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33901993:33905222:-1 gene:gene23655 transcript:rna23655 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGMFMRKPDKAVALKQLKSHVAMFGTWVLVIRITPYILHFLNRENEELKLEL >RHN77910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10439471:10445281:-1 gene:gene1415 transcript:rna1415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde dehydrogenase (NAD(+)) MTTELERKNMFDNEAGSMLVNELRETFGSGKTRNYDWKVSQLKQLFKLLNFHEEEIIDALRNDIDKPPLETVAYEVGMLKNSCKTALKELKHWMAPEKVKTSITTFPSSAEIVSEPFGVVLVISAWNYPFLLSLDPVIGAIAAGNAVVLKPSEIAPATSSLLAKLLGEYMDNSSIRVVEGAVDETSALLQQKWDKIFYTGNGRVARIVMAAAAKHLTPVVLELGGKSPVVVDSNINLQVAARRIIAGKWGCNNGQACISPDYIITTKDYAPKLVDALKTELEQFYGKNPLESKDLSRIVNSNHFDRLIRLLDDDKVSGKIVHGGEKDKSKLRISPTVLLDVPRDSLIMSEEIFGPLLPIITVDKLDESFDVINSAPKPLAAYIFTNNNKLKEQFVKTVSAGGLVINDTTIHLAVHTLPFGGVSTIHQYKDEIDEGSHGWWLPCYNSCLIWKVLEFFVLESCIYFHLASILFKLPCILLSIFFGLNLSTMTCASE >RHN55693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21212588:21213083:-1 gene:gene30917 transcript:rna30917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MSKFGTSLLVPSVQELAKKPIIEVPEQYLYPNQDPIVLSNTSSLQQVPVIDLSKLLCEDASELQKLDQACKQWGFFQVLTNTLPLNTRVNSVFHPCNICHFLFSPL >RHN39702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9712003:9712644:-1 gene:gene45751 transcript:rna45751 gene_biotype:protein_coding transcript_biotype:protein_coding MEACEEENGKKIPKLPLFMSPPPMHYSPERSGTKTPPLHITASIPFGWEEEPGKPRPCTDLVTFSNPTLVKCLELPPRLFIDTKVPSPTTVLDGPYKKECCDSFGYESGKLGSLILKEEIRVKKKGCFGNWRKKDVKVKKHVFLSSSVDKDNDNVVVGGIIGSDKNVKMRKKIKHYGSFDNPFHVKSLVWCILV >RHN38538.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:1195692:1197522:-1 gene:gene50662 transcript:rna50662 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDQIAAATELVTRELEKKKVEDAAALAKARELAKAIEVPVSSIAREDIGVSAQKVKSTEKAQKIGATSSEALRGRVAYEGLYRITPRDLWGFYETSINSSNVVWSITAFRFLKELEIFPPKFIFSTRCFPDLQLSTPESFKFREEPQETESILAGDGVGEIPFGSIGRVDDWELLLPSTSDRVCSEYGNHVFPMYEVVFKDMGFRLPFSEFQREMLRWTKLSPSQIHPNSYAFMRAFELMCDYLHLLVSKNVFFSFFTVKGGTDWVSFRLTQKMFEVFAGKVQSFKERFFLVHLRSATALDSLLEGVRDGVQGRRPFFSLCWSQDHFCYEPKDFGRSTTSLSEEEIDIRLRLCAFVQSLLRRVKTDKRGNPLMSADGTPVTERRLINTHELLTSGNPEGCLGKKMKDLGALVNAAHKKVSAKKRRKNV >RHN67062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23389757:23394780:1 gene:gene15199 transcript:rna15199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tryptophan synthase MENHNNNDTGSVQSQRPDSFGRFGRFGGKYVPETLIHALTELEAAFHSLASDEDFQKELAGVLKDYVGRESPLYFAERLTEHYKRGNGEGPHVYLKREDLNHTGAHKINNAVAQALLAKKLGKKRVIAETGAGQHGVATATVCARFGLECVVYMGALDMERQALNVFRMRLLGAEVRAVHAGTATLKDATSEAIRDWVTNVETTHYILGSVCGPHPYPMMVREFHAVIGKETRKQALEKWGGKPDILVACVGGGSNAIGLFHEFIDDKDVRLIGVEASGLGLESGKHAATLTKGEVGVLHGAMSYLLQDDDGQIIEPHSISAGLDYPGVGPEHSFLKDAGRAEYYSVTDEEALEAFKRVSQLEGIIPALETSHALAYLEKLCPTLPNGTKVVVNCSGRGDKDVQTAMKYLKI >RHN80576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39158180:39160068:-1 gene:gene4516 transcript:rna4516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MDMKTEEAKSMIEVLPEGCIAKILSHTAPVDSCRLSLVCKGFCSAAKSDTVWDRFLPSDLISIISDSPSASSLFSTSPSKKSLYLTLSDHPIVIENGKKSFQLEKQSGRKIYMLSARDISIALGDTPQFWDWPILPESRFREVARLRIVCWFAFEGTINKHVLSSNTQYAAFLVSR >RHN63858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54538487:54539664:1 gene:gene26613 transcript:rna26613 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKNDVVNKQKIGGTERKGEKFGKKLRGNYQQTPLLMYRNRKGLVQSYNTIYNENGDCVTTPYVFDCDTMRIVKEGYLNEEPSCENALLEKKELEADGDVATRVDEISG >RHN68019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32191623:32194098:-1 gene:gene16299 transcript:rna16299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVENVKYDCVIIIFIFLFLVVMNVEGRVKCKEGYGCQNKLCEFPLKPYITIDRVNCKNANGCPNELCEYPFEPKCLKPKFLFFSKKEGFCACI >RHN79773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32010893:32011126:1 gene:gene3609 transcript:rna3609 gene_biotype:protein_coding transcript_biotype:protein_coding MIYCVKDIDYLSTWSVPFRVGKQQLREAKAQAVDYLLLLVAGAILGTLTKVNDETFGSLGYTYTVIAVCKFESSLLN >RHN41456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30148703:30154312:-1 gene:gene47783 transcript:rna47783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MELFPAQPDLSLQISLPNSKQTSSWRRNTDDQEELNDLGFWKRALESKTDANYTFDPTLSNLRAPSSSSSSSNLYNLIPNPFQNTNNSNNNSFQPFHRKQNNYQSLSDELGFLKPIRGIPVYHQNPNPPIALFSQPQPPFDASTSSSPAAFHPHTSMRSKLLPRFPSKRSVRAPRMRWTTTLHNRFVHAVELLGGHERATPKSVLELMDVKDLTLSHVKSHLQMYRTVKITDRPPASSGQSDMYDNGSSGETSEDLMLDINSSTRSNDLPVKLDAEITNQDKEKHSIWSNSSSNREAWLHGKPKVHPVGNVSSIEEMDPKCVSNERYSSDGSSSSNISGTSLKNPNLEFTLGNSV >RHN70000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47874029:47874537:1 gene:gene18536 transcript:rna18536 gene_biotype:protein_coding transcript_biotype:protein_coding MWSERDEGVCVFLYYTQNCKSPQFHASTLNWRVRKRHIITN >RHN59203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8424927:8425187:1 gene:gene21201 transcript:rna21201 gene_biotype:protein_coding transcript_biotype:protein_coding MESIQWTKTFVLPLHAIPDRYFHPMYSTKNGDIIGGNLGSRLVKYNDEGQLLRHRSICNSPFEPAMYRVVMYTESLLSLPGDNEQV >RHN71463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:372561:378737:1 gene:gene7068 transcript:rna7068 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DMI1 MAKSNEESSNLNVMNKPPLKKTKTLPSLNLRVSVTPPNPNDNNGIGGTSTTKTDFSEQQWNYPSFLGIGSTSRKRRQPPPPPSKPPVNLIPPHPRPLSVNDHNKTTSSLLPQPSSSSITKQQQQHSTSSPIFYLLVICCIILVPYSAYLQYKLAKLKDMKLQLCGQIDFCSRNGKTSIQEEVDDDDNADSRTIALYIVLFTLILPFVLYKYLDYLPQIINFLRRTESNKEDVPLKKRVAYMVDVFFSIYPYAKLLALLCATLFLIAFGGLALYAVTGGSMAEALWHSWTYVADAGNHAETEGTGQRIVSVSISAGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIERNHVLILGWSDKLGSLLKQLAIANKSVGGGVIVVLAEKEKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLAADENADQSDARALRVVLSLAGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDDLLFKDILISFPDAIPCGVKVAADGGKIVINPDDNYVLRDGDEVLVIAEDDDTYAPGPLPEVRKGYFPRIRDPPKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKERERKLAAGELDVFGLENIKLVHREGNAVIRRHLESLPLETFDSILILADESVEDSVAHSDSRSLATLLLIRDIQSRRLPYRDTKSTSLRLSGFSHNSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIKPAEFYLFDQEELCFYDIMIRGRTRKEIVIGYRLANQERAIINPSEKSVPRKWSLDDVFVVLASGE >RHN77017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3197646:3198203:1 gene:gene424 transcript:rna424 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPRNSTEIYFQQMKLNIDDTPIEYFFCSDWECTRRESGSLLSTMRNQKCYCGRVLDKDVAPEHLSLGNGFVEETATFIISDDLFVMPNVLATVVHLHQKLGIDTNDTIVEQTVEISKKEVVDLLKLSLFSNSPLTDLILKKNQFVDKFYQSNQKI >RHN79261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26801611:26807377:1 gene:gene3028 transcript:rna3028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MFSWLARIVSACLRPVRRYARMNKDNENDSDESSTSEDALVWCKDLEKHSCGEFSFAIVQANEVIEDHSQVETGSDAVFVGVYDGHGGPEASKFVNDHLFHHLIRIGKENGSISEDIIRNAVSATEDGFMTLVRRSFGIKPLIAAMGSCCLVGVIWKGTLHIANLGDSRAVIGSTGRSNKIVAEQLTKEHNACKEEIRRELKSLHPEDSQIVVMKHGTWRIKGIIQVSKSIGDAYLKRPEFSFDPSFPRFHLPDPISRPVLSAEPSMCSRVLQPNDKFVIFASDGLWEHMTNQEAAEIVHNNPRTGSARRLLQAALTEAARKREMRYKDLQKVEKGIRRFFHDDITVVVIFIDHEMQKKNVNVPELSIKGFIDTVGPSKFRSFQEPQ >RHN63617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52718796:52720318:-1 gene:gene26341 transcript:rna26341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MASKNQLYYSIALTFIFCLGLCAIQVTSRSLQVDSMYERHEQWMSQYSKVYKDPQEREERHKIFTANVNYIEVFNNDANNKLYKLGINQFADLTNEEFIASRNKFKGHMCSSIAKTTTFKYENVSAIPSTVDWRKKGAVTPVKNQGQCGCCWAFSAVAATEGITKLSTGKLVSLSEQELVDCDTKGVDQGCEGGLMDDAFKFIIQNHGLSTEAAYPYQGVDGTCNANKASIHAATITGYEDVPANNEQALQKAVANQPISVAIDASGSDFQFYKSGVFSGSCGTELDHGVTAVGYGVGNDGTKYWLVKNSWGTDWGEEGYIRMQRGVDAAEGLCGIAMQASYPTA >RHN43819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48747076:48749466:1 gene:gene50449 transcript:rna50449 gene_biotype:protein_coding transcript_biotype:protein_coding MINVEDENAWNEYVKSHEEAKRFRFKVIPNWDDIVDICSKDRASGVQVEHAFDADDVMSKEANVNENSSDVYIDLEEPNSATKKKVQFTRANKGKDREGMMNSMKEVAESLKDFVQVSRKRMEGNAQAVVQEVLTEMEMITDIDEEQSYKAINWLIENPSKIPVLKALSSSKKKRYLLSSMS >RHN44869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9287822:9288343:-1 gene:gene39036 transcript:rna39036 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKKDQPPFNLRFITCNYMPLGGRFESMIRKQSSTNPKITPHSIGHGYGNSSLQEVLNPSLVRLKNNTEVSIDGVGFQGIGGASATDSRVEDGEKIDAGQKFGESTKNVVTGSNSNGDKKNILAPTVVTVDYINNIKFHVEEESSDFDFESSKLDLTLKLYGSSELDLTLKL >RHN39391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6759698:6761642:1 gene:gene45411 transcript:rna45411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MNIEEENHGGSIETAANEEPKKGWRKALEGIESWVSYKDKEDKWWDDMRGNFGLIATVIATMTFQMVLNPPGGIRSMKDDANPPSGNTNPPSAENLDKFCSSFNGILLCPGEAVLAAVNPKKYSLFLISNTICFIGSLIICIFLVSGIRVRGRLPMWLLSVGSCITLSSLSSSYIIALQMTTPGDVYYGARRKSVLIANYACIGLLAIICLYLTLRFVIWRVKVYWKRKGVIKQAKTPKYLTLRLVTLGVKVYRKRKGVIKKAKTPKITKETLIC >RHN50522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7901890:7905587:1 gene:gene34818 transcript:rna34818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysosomal cystine transporter MYLFIKFFKFVSLLLLIDLGFVLISVVGLNFDYAVLNMVKQCYYLVYNTTLYFSPVVQRQYGNKYGHKQMNPVALNDVAFSSHAVLLSAITLYQIAIYERGNQKVSKAALGLAIFVLIISAICVFIALRNRHWLWLISIFKYVVVQVALTVIKYIPQAVFNFMRKSTEGWSIGLVLLDFFGGVANFLQMITQSIDQGSWKNIYGNIGKVLVAVISIMFDLLFMFQHYCLYRHKSETLVEPAKSQDQQLSENAASNAV >RHN82046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50835281:50836281:1 gene:gene6163 transcript:rna6163 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFVGEPTITGGDGDWVELAVCTCGVDDFGFAAKDICVWPCWERACCCGGFLTDDDGGEAIV >RHN76147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48007345:48009388:1 gene:gene12430 transcript:rna12430 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEVKKKVEVETCKHMEEAVKVMVGEETCTHMEEGVTGKAVVGTCKHMEEVVRGKVVVGTCRHMVVEVKEREEVESCRHKKGVAMHMVVEEICKHMEAVVKEREVEEICRHTVEEVREKDVVVTCAHKAEVVMGMVVVGTCRCKKGVVMQMVEEETCRHMEVVVKEREVEEICRHMVEEVKEKEVVVTCKYKVEVVMGMVVVGTCRCKKGVVMQMVEEETYRHT >RHN52875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39804834:39815202:-1 gene:gene37607 transcript:rna37607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde dehydrogenase (NAD(P)(+)) MTLPSSNGKTNLSLEIPTIKFTKLFINGEFVDSLSGKEFETIDPRSGEVIAKIAEGTKEDIDVAVKAARVAFDDGPWPRMPGFVRAKIMLKWADLIDQNIEEIAALDTIDAGKLYTFCKAVDIPGVANIIRYYAGAADKIHGKVLKPARELHAYTLMEPIGVVGHIIPWNFPSTMFAAKVAPALAAGCTMVLKPAEQTPLSALFYAHLAKEAGIPDGVLNVVPGFGATAGAAISSHMDIDKVSFTGSTEVGREIMVSAARSNLKPVSLELGGKSPLLIFDDADVNKAAELALLGILFNKGEICVAGSRVFVQEGIYDEFEKKLVEKAKAWVVGDPFDPKVQQGPQVDKKQFEKILSYIEHGKNDGATLLTGGKKIGDKGYYIEPTIFSNVKEDMRIAQDEIFGPVMALMKFKTIEEAIKSANNTKYGLAAGIVTKNLDIANTVSRSIRAGIIWINCYFAFGNDIPYGGYKMSGFGRDFGLESLHKYLQVKSVVTPIYNSPWL >RHN75162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39888785:39889116:1 gene:gene11324 transcript:rna11324 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSILPVQHGEKCSLQFIENIDWRIKTQDPHDRIINAVPNC >RHN78544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16114938:16117400:1 gene:gene2124 transcript:rna2124 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MSHAVYGIFERMAYYGISSNLFVYLTKKLHQGTVTSSNNVTNWIGTIFMTPILGAYIADAHLGRYWTFVISALIYLSGMCLLTLSVSLPSLKPPKCHEMDVTKCKEASTQTLVVFYTALYILAVGTGGTKPNISTIGADQFDDFDPKEKALKLSFFNWWMSYIVMGSLFAFTILVYVQDNVSWTLGYALPTIGLAISIITFLAGTPFYRHKLIKGSPFISMAKVFVAAIRKFDIVVPNDPKELYELSLKEYTKKGKFKIDLTQTFRFLNKACVDTGSSASDEWMLCTVTQVEETKQILSLVPIWVATFIPSAMIAQINTLFVKQGTTLNRSIGNFHIPPSSLAAFTILTMIITYILYESFIVKIMQKLTKNPRGITLLQRMSIGFIIHIVIMIVAFMIERQRLSVVKKHEVPLSIFILLPQFILMGVAEALLEGAKMEFFYDQAPESMKSLGTSYSLTTTGIGSFLSTFLLSAVSHVTEKHGRRGWILNNLNASHLDYYYAFLAILNVINFVFFVVVSKFYVHRADISNSLKVLEAKLTEKKANFN >RHN53369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1227269:1227700:1 gene:gene28270 transcript:rna28270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGSCLSTNKTVKEDIFDIKLPKSIITHILSFLPTKDAVRTSILSKSWEHRWTSLTKLSLHDHYDSSSTPKCTSFRRTCNSDKQFQRIQNFVRFVTKALVVTDGLSMQTFSLFLYSEYEASILDTLFANIFNRNNKKSSNPFQI >RHN75524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42980492:42984684:-1 gene:gene11745 transcript:rna11745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-URK-1 family MDPLIRKFRRHILHWLHRSRSGSVFFVRRISYKEVRKATDSFQRIVYSNSEVSAYAANFGDSGVCLVKELKDFDKGNDENFHRQVQLLGRLHHRHLLSLKGFSLGHDRKSKRLLIFDNIDNGSLKEHLNDPLKTPLNWRTRLQIANGVVAALEYLFLFSEPPISHVSISSSNIMLDENFTPKLSDFGLTGGSSSPYSQDCMGPESCKIIFQLGVLILELVTGQSSEMEGSDLIEWIQESRFFSSIDKMLDPDLGNSYEYTELKSLLAVAKLCIKSWDKPSYTILQLFRYLQKETDIPQD >RHN40133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13541871:13545958:-1 gene:gene46240 transcript:rna46240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative abieta-7,13-dien-18-ol hydroxylase MDVLYTMLTLIAFSSLAIFLAICFIMMTIFKGKSIGDSKYTPVKGTVFNQLFYFKKLYDYHAQLAKIHPTYRLLAPNHSELYTIDVRNIEHVLKTNFDKYSRGKYSQDIMTDLFGEGIFVVDGNKWKQQRKVASYEFSTRVLRDFSCSVFRKNAAKLVRVISEFYHEGLVFDMQDLQMRCALDSIFKVGFGTELNCLEGSSKEGTEFMKAFDESNALIYLRYVDPIWSLKRFLNIGGEAKLKHNVKLIDDFVNGVINTKKSQLELQQDSNVKEDILSRFLMESKNGQTTITDKYLRDIILNFMIAGKDTTANTLSWFFYMLCKNPLVEDKIVQEIKDVTCSHESELNNIDEFAGNLTDVILDKMHYLHAALTETLRLYPVVPIDGRTADAPDILPDGHKLEKGDGVNYLAYAMGRMSSIWGEDANEFRPERWINNGIFQPESPFKFVAFHAGPRMCLGKDFAYRQMKIVAMCVLNFFKFKLANGTQNVTYKVMFTLHLDKGLPLHAIPRS >RHN74705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36031131:36031994:1 gene:gene10813 transcript:rna10813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MGSIFKHLVTFCFNFELNSSRNTIALSFALKSCPHLMNLQINNQVKLDYYDQNDNDTLPYPGGLFWLKRDPCRCVSSNLKSVCIKGYKGGEFEFEFVKYLILNGGVMDNITIWFLDDCSWDEVVATGCLRSYPKLSPKLSINLKPGIENIQKYGGRFDEWVRTLK >RHN43592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47081996:47082196:1 gene:gene50198 transcript:rna50198 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTEMMVTTEKMKKTTATFASSKKATRRLSRISYGDETAFCRWSNGEEGGTASLLASDFKDRSDL >RHN45779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25501592:25505011:-1 gene:gene40177 transcript:rna40177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase MTTVSRYDSSIIGKDNVQTHSLKIEHPLEMYDRFPRGLGNKYLHVVHDVSFKKTFRLQRVEKIPHYTTWLHLIRNERMTKADAFSARRNIYYDQHAGETMICSDTDEEVQENKEVKRDFSYGEDKLLWMAIEEYCLTDEVLSIVQSYIGGTTAEIEERYKYLKEKSMLSKDSRENASNSGLCLDKSLSEALSTFDHFFCRRCLREKQPIWQPKGEREVCGDHCYLKIKDVNISSEGSTSGSFSDNEIQTMENVDEILAPSNSKEITYKIELMRLSNSMEGQDDKMHNIIEWKPLEKDICLKGIEMFGKNRYRSLLIFHITLSST >RHN74404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32820282:32820711:-1 gene:gene10461 transcript:rna10461 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKIDFVGDISPSKENWNIRVRNIRFWFVRDMNKDKLSHSLEIVIIDNKVV >RHN50224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5308906:5309198:-1 gene:gene34491 transcript:rna34491 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGDKVEVVIVFENCFIVKKTTIYLVYDKPIGKTLDLYHLPDLNVLASSDDENEHPVKRFSIEEEHTEDVNQNRKKKNRME >RHN47355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39110883:39112501:-1 gene:gene41946 transcript:rna41946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MINIPQNDGHDYALESLLKEVKLASKHDNMLKYDEFLKLIKDFKAEIIDIRGVQARVRELLQGYPGLIFRFNTFLIIPTGGKTVNKVGENCQLPMDVLDIISETLDFDDHFQFAGVCKNWRAFHKIYWRNFLTSQEPLLLQIHRGISYSFINILDQKVYCLKMEYSFLRWCRYVTSSSGYFIMAHCRNNSLMLINPFTRIKTIVNTPTSQSNFFTIDRALLAFGKCSDEFVLVVLCSLRLHVYQSRNCGWVTYSIKRHLGRVVDFVVLHNIIYIVTHKANIGVLSLNSANIRFLNLKSTPDEPYHTFLRLVNCDEQLLVVDLKLSTIWKVYKIDFSTMNYVKLKTLGDVALLYAANCRPRNCYALSNPNRWGYESNSVYANNEDSTTCRVYSGDDKRLQKCIMLPAPYGKRSLKFDWCFRHLQSEVDYSLVE >RHN53693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3394848:3396930:1 gene:gene28626 transcript:rna28626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase/kelch, beta-propeller, F-box associated interaction MPNGSRSVGMSVSLAGLYGHVVGGCVACPLVGASPVNVVVSSFLANEQSEQKLRTRENEVTSTLTPMAAAPVSTAGQLLASSVGAAFTPHIIIVNAGEVISQRVLTTAGDSNEQNKSGHWLPTDVLFSKIFTDFPSLGDIRSWKMVCKEWNFVASHRKYARWHSNHASQGLLFMDAEEEVPHVYANSFLENINHPYTIPIYSTPWIDGQINSRRFKISNSIGGLVGLYNGLARDPVLVWNPITGVYHRVPGMDMDPKTVVVALGCQEDSSCYKLVRISSLDFIFDHLPPVIEVFTLGTQGWRVVEGGPNFVYVKPHPVCINGCIYFLVTYEDDPPLQIRLVCFNVKNENFVDQVLPQDPNHPEASFTRRHVSIGVVDGLLYILKCEWQGGHIVFEFPNIAFIEANVRVWVFENDAWRVLIDNVRVDTNFRCPQLFQSPRRERSYIIYTQNTNRMVIFEGAGVPLKRYHLHSRMERSYTAVQHTPSLLPMNESLALTEIETERYLSLHYKI >RHN79741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31767396:31770737:-1 gene:gene3573 transcript:rna3573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGGKCPHRKVKKRRLSHKTDRRSKFLIKGDDMVYDELNKPQEDRKPLPLDEDLPGMGQHYCLHCDRFFASVAVRDEHFKTKKHRRRVKQMMGDAPHTQLDADLAGGMGMPDNGPKLMSM >RHN79136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23791753:23796070:-1 gene:gene2855 transcript:rna2855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MMIVSQQWILSLTILVQLLLLSTSLSSAGSRKSNKNIKTNVFMSPKIELRPGFVSNKVYYDVEFPRGHISLKSFNAELVDEAGNSVPLHQTYLHHWAVVRYQQPKNVTQNRQSGIIIVRNDGFCQQTILGQYYGLGSETRGTNTYIPDPYGIEVGNPAEIPKGYVEKWLMNIHVIDTRGVEDRMGCIDCRCDLYNVTKDENGDALSPNYKGGLQCCPDNTKCKLRKGFLGPKKRIYLKYTIMWINWDVNFMVPVKIYIFDVTDTLKISDKSKGMSLNHDCKIEYEVEPCSKSNVSDSGCVDVKSASFPMQNEGYVIYGVGHLHAGSIGSTLYGQDGKVICNSKPKYGNGKEAGNEKGYVVGMSTCYPQPGSIKIFNGETLTLEVNYNNSRRHSGVMGLFYFLVEEKLPHQHV >RHN71289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57963903:57968635:-1 gene:gene19950 transcript:rna19950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MSSMIGRDRTTTFAYIKDRVWQRINSWSSKCLSKAGREVMIKSVLQAIPSYVMSLFQLPSTLITSIERMINSFWWGHGRNTRRGINWLSWEKLSIHKIHGGLGFKDLSAFNLAMLGKQGWKFLTEPHSLVSRIFKARYFPSKSYLDATVGHNPSFVWRSILRARFIVRGGARWTIGSGANIPILDASWLSHGDCIDGNITGSHYVQDFKVQSLLFDHRKGWNVPLIRQVFSDDVADRILNMPLFEQVQHDRLIWKAEINGCYSVKSAYKLCVEELIDISHLHRPDNWKDIWRLKVPPKIKHLLWRMCRGCLPTCIRLQDKGVSCPTMCASCNSNYEDLNHIFFECPFAIHVWKSAWMWFDVQHAAMQTDSTVDAIFYLLRNLSVKLNQRFVALCWSLWKHRNLKIWEDVTEVSAVVVDRARALINKWREANAPQLNAHSATVQQRMSHQVVGQQLPSVMVQHNILQQQNAHISTAVQQWKPPSLGRSKCNVNAAFSEQFQRTGIGVCLRDDTRTFVLAKVLQFDYLYPWLLAKLWAFFMLLNGCKICTLIMLILSSIRRLQGMLSIHVIQTIKNLEAL >RHN67426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27115992:27117167:-1 gene:gene15615 transcript:rna15615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MIPDQRTSFKDIYLVYEFMDKDLHRIKSSKPLSNDHCKLYLVQLLQGLNYLHSANILHRDLKPENLLVNANCDLKMCDFGLARENQVDELLLGCGNYGTSIDMWSVGSIFAEMLGRKPIFPGKDSLHQMKLIISVLGSQESDLELIVNPKTKAFIKSLPYTKGTHFSQLHPQADPLAIDLLQKMLVFDPTKRITASEVLQHPYMADLCDDQWRNRPPQVPLNLHIDENSDNKMIREMMWNEMLHYHPEAAFVNA >RHN47239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38231521:38235665:-1 gene:gene41813 transcript:rna41813 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain, snRNP35, RNA recognition MNARSRNLNSVFYSNAYHPIQAGSIDGTDIVPHDNAIFRAQLCSSIGLYDPFGDPKATGDPYCTLFVGRLSRLTTEETLRKVMSEFGQVKNLRLVRDIVTGASRGYAFVEFETEREMRRAYMDAHHLIVDDCEIIVDYNRQQLMPGWIPRRLGGGLSGNKESGQLRFGGREKPFRAPLKPIPYEELKKLGIPPPPEGSYMSRFQVPSPPRRDTNLSDKDEDSDRRGSSERNMTKKSKMEEEHYKISSSHRGDYSRGRSSSERSDHYHDRSSLKRTDRDRYHDRSSSERSDRYHKRSSAEKADHNRYHDRSSSERSDRYHDRSSSERSDSYQERSSVEKADHDRYHDRSSSERSDRYHDKSTSERSDCYEKRSSAEKADRDYDHDKSPSERSDRYRVKCSSERSDRYHKRSSAEKADHNRYHDRSSSERSDRYHDRSSSERSGRYQERSSVEKADHDRYHDRSSSERSDRFHDKSTSERSDRYKKRSSAEKADRDYDHDKSPSERSDHYRVKCSSERSDRYQDRSSTEREHSNRSSTKSDKHSRKRKERDESSRRWEKFSRYSPDED >RHN70444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51461876:51462773:-1 gene:gene19023 transcript:rna19023 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRQILKIPRVSSTNSGMFFTRFLSSSSNRKWTEKAHHCTCCTCLNEIVKTS >RHN49632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:56098700:56102870:-1 gene:gene44481 transcript:rna44481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclease associated modular domain 3 MPLIEITNAHLLRAPLPYPHSIRRRISCFPLDDAFTTSSRTRTRRRRFPFLVKAVATAATIIEQPPKFNNNHLEEPLNPHPFMLHHHYDDDDERPLDESEKLRRLRISKANKGNTPWNKGRKHSPETLQKIRERTRIAMQNPKVKMKLTNLGHAQTTETKMKISVGVRKLWEMRRGRKMVQESCCFEWQNLIAEASRQGFVGQEELQWNSYVTLDEQLKQEWLVSVEERKQMARTPVSNRAPKSPEQRRKIAEAIAAKWADPDYRERVCSAIAKYHHSTERKPRTRPSYSAQPTKKKKPITKRDSDTSIVIKSASKIVKPIQLRKRKSPAYKDPLVNSKLEMIKNIRAQRASVDTRQTQAIQQARLLIAEAEKAAKALEVAAAKSPIAQSSLIETRKLIAEAIQSLESIDTQKIDDCSVPSVSWSKVNQENESAFDVRNPSEMAQVNGHTTLSPSFYKFSEDFGELSLERPVNGVPELHLTNGCASLPFSLNSQINQDSQSKQQRETEQDESSEDETDHSPTVMGIQSLEDETLSSSPIATKKWVRGRLVEVSEENQ >RHN45441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20873972:20874515:1 gene:gene39782 transcript:rna39782 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDRIFEQNNGLRCMIKACLRRKLKRGKLCHIYVLIWCIFMGRFSTIICSDLVQIYAQI >RHN81595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47424726:47436282:-1 gene:gene5665 transcript:rna5665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-3-phosphate 5-kinase MCSMCHYCGASLTESNADNKKQGNENSLKLNGKVPVKPCKACGEKLERENVKRNSTSPYATPHISPSASLSSTDSCVSTCSEFSVDVNSFDRNSREEKLIEGAMQDLDYKSNAKSQNPMENNSQERSNEFEGYTVRDVDITEGNSFQEAKADGSDEPTASSAEENEYSFPDDLDIQTWEPPEPEDPLDDMENSVACNDDDEEHGIGIANWGEPTSTSSSKDELSGGYRFREERQRELEKVMNGKFKALVGQLLKSVGVSSSDEGDKSWVDIVTYLSWEAAAFLKPNAIGGNAMNPDGFVKVKCIAAGSRSQSQLFKGLVFKKHASHKHMPTKYKNPRLLLIRGMLGQSMNGLSSFESMDQEKDYLKSKMDLIKICHPNVILVEKTVSRDIQEAILDNGMTLVLDMKLHRLERVARCTGSPILSCDDLNGQTLRQCDSIYFEKFVEEHDGTGEGGKRPTKTLMFIEGCPTRLGCTILLKGTHSDELKRIKCVMRCAVIMAYNLILETCFLVDQKAMFSTIPPANVADILPIHQESSGSASINSCVPSSLEHSDENGIVSTDIPICNGLHENSTNGLTVESEEFSPFSHEAYNPAVFSGFSAISSSLKKVVGDSFPFANSAPYQSLSAYFGFNGRKPDGQVNNSVSIVESPEADEDTKIEAKNYSDEVKLLYDGQTLSSPVRLDSKGGISKVDNEKKELQSKDDINAVLDSQSILVLMSSRNALTGTVCKQSHFSHIMFYKNFDIQLGKFLQDSLLNQTRLCDTCQELPEAHLYYYAHHNKQLTIQVKRLPPGIVLAGKEEGKIWMWSRCGKCKSCSTKRVLISTTARCLSFGNFLELSLSHYSSSRKLGCGHSLDRDFLYFFGLGHMVAVFRYSSVTTYTVSMPPQKLEFDGAIKQEWLLKETETVHLKGISLFTEIANCLKTIQSDGSISNQGSIREFSEVEKMLKQEREEFEVNIKIAVAMKGDPDRAAFKLLSLNRLMWDLLIESAVWNQRLKSLRSPEKAMQEYSYSKVEGTAGRESASMGNFREDDSVIGDSHAKIVPNTSVELNEITITDIPISGSPRECNKQDDYDPSITFDIPQNLKIQTVDGLGSKRYSDQKLESSSDVFTQFPSANGHLQVHGNFPVSVDVQPSRSTADLKVLNKIASLHSPVSNLLNSTEWFWKPFADIRQTSIREFQKSFFPKFESFCSSITEHVPTADQLIIEEGPRLHIPLKTDSHIVSDFEGELSSIIACALALLKDSSEVTEIDEGGITSKSTDFSHGSQDSDSSHSPGSTSSEESRAFRTPENHSIEVHLGYAKSLGREKYSVVCHHFKQFHALRNCCCPSEIDYIASLSRCMNWDAKGGKSKSFFAKTLDDRFIIKEIKKTELEAFLGFSSLYFKHMRDSFEFGSQTCLAKILGIYQVTKRHIKSGKEVKHDLVVMENLSYNRNIVRQYDLKGALFDRYTSAAVGAGDVLLDQNFVNDMNSSPLYVSHKAKRVLQRAVWNDTSFLNSINVMDYSLLVGVDSQKRELVCGIIDYLRQYTWDKHLETWMKSSLVVPKNLLPTVISPKEYKKRFRKFMSTYFLSVPDDWCSQKSPIPCKLCCSGDDLSLQKSLH >RHN73295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15174402:15176552:-1 gene:gene9094 transcript:rna9094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I, cationic amino acid transporter MASTKGMDDCEPQKSYWRWSKQDFLPEESFQSWNNYVSALSQTWLRFKDRVQTRSDDATETHELKKQSENEMKKCLNWWDLIWFGFGAVIGAGIFVLTGQEAHNHAGAAIVLSYVASGISAMLSVFCYTEFAVEVPAAGGSFAYLRIELGDFVAFIAAGNILLECVLGSAAVSRSWTSYFTSLLNRPKDSLRIKAHHLKDGYNLLDPIAVGVLVISAMIAMISTRKTSLLNWIASAVNIGVIIFVIVAGFSHANTSNLTPFLPYGVKGVFQASAILYFAYGGFDSLATMAEETKNPPKDIPIGLIGSMSVITVIYCLMALSLSMMQKYTDIDTGAAFSVAFQKVGMNWAKYVVAFGALKGMTTVLLVGRLGQARYIAHIARSHMIPPWFALVHPKTGTPINATLLITISSACIAFFTSLDVLSSLISVSTLFVFVMISIALLVRRYYVRGVTTKENQLKLVVFLILIVASSMGISAYWGLKPNGWIGYTVTVPVWFFSTLGMSYFLTQQRKPRFWGVPLVPWLPSLSIATNIFLMGSLEYEAFIRFGICTLIMLVYYVFFGLHSTYDMAHQQEKVQTINVNHKETARIEGP >RHN79394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28379265:28383329:1 gene:gene3180 transcript:rna3180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb/SANT-like domain-containing protein MDQVMQGNEIGDDSSINLDNSRANWTPSQDQYFLELLLSHVHKGNKTGKVFTRLAWADMTEQFNSKFGFKYDVDVLKNRYKRFKKQYYEIKAMASQNGFQWDGRLNTITANDKTWEEYIKAHPDAQVFRKKLFPCYNDLCIIYGHTVADGRYSLSCFDEGFEYEENASKELDDHTSTSKGVDDQTPPTPSQSKIDWSPMMDRVFVELMLDQVRKGNKAGRTFTRQAWGDMAESFNNRFGCHYGKVVLKNRFNVLSRHYSSINELLGKEGFSWDKAQHKVVANDQVWQKCIRVNHKFRLYRIKSMPFYSGMCIVCRDEAPADCKSILERKSYGNKNSTPDPNGSLHIGSENNFIGDTQPLPYASLHTGDGSNFTKEPLPDTAFHVGGENSFIRDTITQPLPNATLDIGGENNFTRDTITEPLQNATLHIGGENNFTFIGGENNFIGGAQPPANADNEGGGKNSTRDEGSERIFTRKTQPQNADKEPLLLSAGKNISRQKKRHQTKAPATLNEPKKARNYNEGMSVALKHMAVAVTSLTNKTKKEDNFSVGNVMKVLQAIPDLDDDLILDACDFLEDEKRARLFLALPANLRKKWLLRKLRS >RHN49434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54776123:54780674:1 gene:gene44263 transcript:rna44263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MPSSSSPVLPSLMESNYMKPPDSFNCSPVRSLTANPASLQATSSYPIRSVRPVFSTNAECPGGVPFPPVSQHDRQYQDSPFTSQALGDNVSSEIHSATFTSHLQENDDISWGPDPLPDILGFPDIFSVQHDQVENSACYMNEDNVKKTDFGEWVEQLMTSDDSVNPNWSQLLGDDNVVEPTQKAMHVSQKQHTSSGEVNNLCNPASASASASTASQTKPRMRWSPELHEAFVEAVNQLGGSEKATPKGVLNLMNVEGLTIYHVKSHLQKYRTARYKPESPEETSEKKMSSIEEMKSLDLKTSKGITEALRLQMELQKRLHEQLEIQRKLQIQIENQGKHLEMMFEKQKQIGDNKGPSPSNAPSAAVLDTTLPSSPVDNLKTSKDECDKSGCNADIPKDIPGESSHDVSRKQMADEAEVTNEHERVDDQFSDVPPTKRAKIQ >RHN64999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63541369:63543922:-1 gene:gene27888 transcript:rna27888 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MLTFPTMNLISTNGGATWCDENENEDDENRGGGMNRVTMDEVDFFSNDKSEQQQQQQLDDHVSIKKTNNNQIYDPHCNLRAHHVNTGLQLLITNTGSDQSMMDDRTSINAQDNKRAKTQQTDQLQEELGRVNAENQKLKDMLSDMNSSYTNLHNRFISLMQQQQNQTTEHDHIVNGKAVEKGDGVVARKFMNGPAAEVDDQQEPEPCTPQNNHKEPDPDASELVQLLDRSQLPRLNPSNAADQANAEATMRKARVSVRARSEAHMINDGCQWRKYGQKMAKGNPCPRAYYRCTMALGCPVRKQVQRCAEDRSILITTYEGTHSHPLPPAAMPMASTTAAAATVLLSGSMSSADGVMNPNLLARILPNCSSSMATLSASAPFPTVTLDLTRDTTDNNGNSPSQFQLGQPQNFGSGQLPQVIAQALYNQSKFSGLQMSQDVGGSSQLHPTQQASSLSAAITADPNFTAALAAAISSIIGAAPPSNNNSNPNIINSATYNQ >RHN45058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11257906:11260144:-1 gene:gene39255 transcript:rna39255 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKNQPKGSTSARGKRKQAEATPVARPYDAHKFFSAEYQDRYQKLCSRKFWHDKKFQISTEGKYRGLAEIIKKRKWETLIAPHPQINTEIVREFYVNAMPVEGQEFSFKTMIRGRVINFDRRDINDYVGKPYKLNYPDELCPFHLQQNKGNWDHQVIQETILKPGTGYEKSVTGRSHVKKCNMNPIAQTICKLILFNINPKSHLSTCTIDIPPLIYYILSDEPVDIARIIAS >RHN45540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22673194:22674300:-1 gene:gene39904 transcript:rna39904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MVIVGVAVLIMLGYCIRTKIFTPAFPLLRKENTTHQIIEMFLKEQGPLLAARYSYSEVKKITNSFRDKLGQGGYGSVYKGKLRDQRIIAVKVLSGSKGDGEDFINEVASISRTSHVNVVRLLGFCLDGSKKALIYEFMPNGSLEKFIYEEKNPLKDDRQLDCKILYDIAVGVAHGLEYLHRGCNTRILHFDIKPHNILLDDDFCPKISDFGLAKICPRKESIVSIFGARGTPGYIAPELFSRHFGGVSHKSDVYSYGMMVLEMVGRRKNIKVEVDCSSELYFPHWIYKRLELNQDLGLKCIKNEIDEEMVRKMTMVSLWYIQTDPSHRPAMNKVVEMLEGSLQMLEIPPKPFLSSPSTSSLHLSSETL >RHN57769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41618015:41620695:1 gene:gene33354 transcript:rna33354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MSVVGFDIGNENCVIAVAKQGGVDVLLNNESNRETPAVVCFGEKQRFLGSAGAASAMMHPKSIVSQVKRLIGRRFDDPDVQNDLKMFPFETFEGSDGGILIHLEYLNETHKFTPVQILGMLFAHLKTIAENDLGSAVSDCVIGVPSYFTDLQRRAYLDAATIVGLKPLMLIHDCTATGLGYGVYKTDFPQGDPIYVAFVDIGHCDTQVSIAAFEAGKMKMLSHAFDRNLGGRDFDEVLFRHFAAKFKEQYEIDVYSNARACNRLCAACEKLKKVLSANLEAPLNIECLMDEKDVSGFIKREEFENLASGLLDRICIPCHKALADAGLTVDKIYSVELVGSGSRIPSITGLLTSLFKRELSRTLNASECVARGCALQCAMLSPVFHVKEYEVQDSIPFSIGLSSDEGPICEETNGVLFPKGQPIPSSKALTLQGSDLLHLEAFYANPDEVPKGTSPKISCFTIGPFQRSGESKAVVEVRIDLNLHGIVSIESSTLIEDRVEDSVTPREYQSNSEAGDVEPISETEQNDNEHSINEKCGSPHRSI >RHN81459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46072830:46079292:-1 gene:gene5506 transcript:rna5506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MGCGSSFPDRDSRQLGRPSPENGGGDAKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAAVAVIAYDITSPESFSKAQYWVKELQKHGSPDIVMALVGNKADLQEKREVAVEDGMDYAEKNGMFFIETSAKTADNINELFEEIAKRLPRPSVT >RHN60111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20620719:20621642:-1 gene:gene22335 transcript:rna22335 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSANQLVVESSDRQDEMPTGGSDAEIEQDADQFIMTSREAPIAENLMSVHDPNSMGDVEPVQTDSRIDPVVLGQLEEANPLDAFDVLANDILLSMSTGRSSNVSAEDPSHTSNDNLLVEFRSKVLRIDLFEAIEQDENVVLEIRELLCKLINLPSGLKFQNFLKVLEPLLDNIKQGFLKKKDGKAKLEEQTTRYDHLLDDITEFKAKLEAFRQETPVIQSQVAEIDSSIAQYRAKIEQLENHKTQLLAKEGLMKLKAQIAIKKIKESKSSQQEIAVLTDNGKVLEEKLGDLKQQLYELTSCFKM >RHN41254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28655795:28656757:1 gene:gene47556 transcript:rna47556 gene_biotype:protein_coding transcript_biotype:protein_coding MFDIGPKELANSTQVVHSKLLVDLENKLQTETLSSNLTTPVQCSKAGKLIGSFAASDSASHALDPTLDLHSLSAEHIGEPGMTDKGSNNPVNVPLIGHTVLVKDPQFTILRSKNTVPLKPPDPCSTRKLQHSDNISVADNQALIITSAKTVGCKATPEKTDCIATSVSVSAIFAGDPNLSKQATSSKIATYDATIITPITTYDENLGPDKGRISQPANSKNTSEACKKSEKLLSKFWAGGLDSDHAPDEETDMSDEQEQNLERDIEEGSLFTPFMSRRQKKNKKKKHPNKLNDTGVQHTFSEHIQTRSKKCVIKSNPKYL >RHN46755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34593258:34597312:-1 gene:gene41278 transcript:rna41278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SCARECROW MAACALFNGATTDETNNNSASNSSNISSEDLHTLHNSNTNMPQQQQPHSDRKVLRKRMASEMELQLQVHNNNNRFSRRNNNNISSLNCSLPSSTEKGVTTTTTTTLAAGTNNNANNNNFHYNTNNINNSSHHSNVALSRDNVAVQNYPTVTVTTNYSTMLLPSSSNNLNNSSTSNYAHFQQPLVEEQNHVPNICGFSGLPLFPSQNQPNRTSNRNSNSNISSATNIVDVVNSSTPSMMDETSATTNWIDGILKDLIHTSNSVSIPQLINNVREIIYPCNPNLALVLEHRLRLLTETAPSVVPERKRNNTEQQSVSNVNVLPASNVNSSVKLMNRVDDIVPHFSDSSTLLNQNQNMFPNWGVPQINNNNNPLVTLPSQPQSTQQDQHQHQQHQEHQEDLVPATTPPPPTSAELAITRKKKEEIKEQKKKDEEGLHLLTLLLQCAEAVSAENLEQANKMLLEISQLSTPFGTSAQRVAAYFSEAISARLVSSCLGIYATLPPHTLHNQKVASAFQVFNGISPFVKFSHFTANQAIQEAFDREERVHIIDLDIMQGLQWPGLFHILASRPGGPPYVRLTGLGTSMETLEATGKRLSDFASKLGLPFEFFPVAEKVGNIDVEKLNVSKSEAVAVHWLQHSLYDVTGSDTNTLWLLQRLAPKVVTVVEQDLSNAGSFLGRFVEAIHYYSALFDSLGSSYGEESEERHVVEQQLLSREIRNVLAVGGPSRSGEIKFHNWREKLQQCGFRGISLAGNAATQASLLLGMFPSEGYTLVEDNGILKLGWKDLCLLTASAWRPPFHTNNIIPHHN >RHN51295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15914971:15916087:-1 gene:gene35711 transcript:rna35711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP synthase, F0 complex, subunit B/MI25 MRLSSTNMQARKMLFAAIPSICALSSNKISIYNEEMIVARCFIGFIIFSRKSLGNTFKVTLDGRIQAIQEESQQFPNPNEVVPPESNEQQRLLRISLRICGTVVESLPMARCAPKCEKTVQALLCRNLNVKSATLPNATSSRRIRLQDYDLGTKFHLLVRRRFIPQCISKAEKIELIRESLVVLRMVRVGGSLKNK >RHN44305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3363650:3365204:-1 gene:gene38408 transcript:rna38408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(ADP-ribose) polymerase, catalytic domain-containing protein MEENPSKALDGVSLNKKRKRDSQGVSRRTGVLAPTSVVVKQMTLARDICKPDDSCPDISKSLVSYYLNYKKSGIPKRLMFYKNGKWVDYPEDVVDLVKKDFKIKKAIVEVELNGQEVVLDFLHMNHVDMKTCLQQPIGWIDEEGNYFFPKVFVGSTEEPNNIREREGEERLNKKEPHEIKLHISNEINGADESKLRKYSRESDNATKNVKAEGHAMTTKIGIQNVAIDINQEPDIDLNDYSESLYGKLDVNSTQKMFLKGMSSLGISESDIVGIYRSSGRSMQMRLQLFEKQADIIKGIRGVANIRYAWLACSKEEISTMMEYELSHYELSPSKCIYGPGVHLAAITHPFVCSLSCDEDGNGIKHMFLCRVIMGNMELLRPSSKQLRPSDCEYDNGVDDIQCPKCYVVWNMNMNTHIYPEFVVSFKSPLGFEGNVPLEKKLCRVSVLDIYFCIKTFFTFCYYIIITDA >RHN45476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21298955:21299873:-1 gene:gene39821 transcript:rna39821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MGLYQNVYGGIFNSFYDFEGAYEEHYKNAFGTKCWGIGPVSLWANQDVSDNDESGGTKVEEGNNDLLKWLNSKKENSVLYLSFGSLNKFPTSQLIEIAHALEASSHDFIWVVRKNNDKEGEGFMEEFEKRVKESNKGYLIWGWAPQMLILENKAIGGIVTHCGWSTIMESIKVGLSMIIIDVLRIGVSVGAKEWRNWNEFGSEVVKREEIGKAIALVMENGKESEEMRLRAKALSENAKKAILVGGSSHANLMQLIHELKSLKLQRLKANTKDL >RHN53679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3290077:3291643:-1 gene:gene28611 transcript:rna28611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SNARE associated golgi family protein MQSFWRLFMTSVEEDNNVIDHGHIREIHPITHNNYNVNDDSGDDDDDDINLRMPLHDSEPEATLPSPSPSPPSPLLYWVKLGVSIICLSILGFVVINWVGPFFIQKVFIPVIIWQRDTFTTSELAIYVFASIALFPTIFLPSSPSMWVAGMTFGYFFGFFLVMSAASVGVSLPFFTSKMFHHKIEEWLDQYPKEASVLRSAGGGNWFHQFRAVALIRVSPFPYMIYNYCATATNVQYGPYLCGSLAGMLPEVIASIYTGILIRALANVSHKTHGLSAPQIAFNVVGFCIAVATTIFFTIYAKRRLNELRQEEELQSLI >RHN44516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5602603:5603331:1 gene:gene38644 transcript:rna38644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MEPKFVISGSGSINGILCLINYSQSNTIVVLWNPTTQEFKVIPTSSFEFVPHMDVDILRHGFGYDCVTNDYKIIRQVVCCQKLDIDVLSLGNIDDDQFWEIYSLHSNSWRKLEYDIPLNHKDNGVLLDGMVHWWNESDDVDDEAYLLSFDLSTEEFVTTVAPLEDGSLDLEFVLSDLMVLNGSLALISNYPNLGAFQISILAEFGVKESWFKSSFFGLYLSLCILLEQEGKGIYSLRKKMDN >RHN67098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23857578:23864858:-1 gene:gene15243 transcript:rna15243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAUS augmin-like complex subunit 4 MVKGLHSQNLPTDVSQLIDQLERHCLAPDGSLISKPLYNDLQLAREEMCRERLRYLEATAIYSEAIAMVEEYHQAISGSSIGGIRDTGGLYPQFGLRNSPQVYQTLEHQMIVAEAAQRLRLPMISKDGEVHDDEIEKLSVVSRSSLDSASTSGVVNSSINSFNYTTPSSSVSGVNSLASMDPVEPGVGGVPNRFLGITPAYLWQTQRQQTPLSVDMTEYRMSLAREVDGRLKLKCDKLSDAFVLDDNDSFSSGSQSSSSRLPERVKLLIEDIEREEAALRDDLYSADRKFAEYYNVLEQILGVLIKLVKDLKLDHQHKYDELQKTWLCKRCDTMSLKLRALEYQLLHGTYTNESIPALHKIRRYLVEATEEASIAYNKAATRLREYQGVDPHFDDIARQYHDVVKKLENMQWTINQVEDDLKRM >RHN70852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54486251:54489688:1 gene:gene19480 transcript:rna19480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,4-beta-D-xylan synthase MASIRRTLSPVPRPATAANVDVCSVSSPLSKSSPSPQKFFPSYGFLPSSFTSPDSSAFLLGVFFPRSFRNIEKSKPKGQLWRKVLFHFFICFMVGVSIGLIPLASTNLSLNLISRNQGFSFEVKKFQSLENVKINDTPLVDEVVKFDATLISAVQEQELTDGVTYNISDSQFGDESYLESQKLFIIVTPTYNHLYQAYYLHHLSQTLKLVSPPLLWIVVEMNSQSDETADILTSSGIMYRHLICKMNLTNTSHRSILMRNVAIAHIETHRLNGIVYFANNDNIYSVELFQQMREIRRFGTWTVARLSKDRSGILLQGPICNGSEVIGWHTNNESGGNSKRFHAEMQGFAFNSTILWDPKKWHRPSLKPIRQLESVKENLWVSTLIEQIVKDESEMEGLMNDCSRVMVWNIDLESSYSFYPKKWITENNLDVIWNFPLV >RHN61961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39630030:39630405:1 gene:gene24478 transcript:rna24478 gene_biotype:protein_coding transcript_biotype:protein_coding MYANLFETVQAFALKELSPGVDSGRIADHMFDMQNQSFRPISKTKTLKN >RHN50132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4357364:4360657:-1 gene:gene34387 transcript:rna34387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane-anchored protein MAGKQDQLEIKFRLSDGSDIGPKSFAAATSIATLKESILTQWPKDKEYGPKTVKDVKLICAGKILENNKTVEECQSPLCNLPGGVTTMLVVVQPPNLDKDKKVADEAMQSKCVCVIL >RHN43767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48343905:48345916:1 gene:gene50389 transcript:rna50389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MYQPEEGKPAYNQPQGAATGFPVSYNSATSGYSGASTDYAPPPPPPKPLVEWSTGLCDCCSDPGKSCITLCCPCITFGQVAEIIDKGSTSCGASGALYTLICCVIGCGCLYSCFYRSKMRQQYGLKGNDCTDCLIHCCCEACALCQEYRELENRGFNMVIGWHGNVEQRTRGIAMATTTTTAPTVEHGMSR >RHN51987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29571610:29572568:-1 gene:gene36583 transcript:rna36583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA oxidative demethylase MKNRERRKEKNQSSKGVELRPGMYHLKGYISLTDQVKIVKVCRELGLGDGGFYQPCNVNGTMLHLKRMCLRRMDKHVSEQSIREGLPVVSFSIGDTAEFFYGDIRDVEKANKILLESGDVLIFGGKSRNIFHGITAIHPYTSSSRLVEETNLRTPGRLNLTFNQLILVRGKGPQFNHHPLTQPTPLVLPVIFNLIFILSQNSN >RHN71066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56233761:56239219:1 gene:gene19709 transcript:rna19709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator FHA-SMAD family MGALATLSSSSPWTSEDDLLLKTNVENGASLEAIAKGAVQFSRKYSFEEIQERWHKILYDPIVSKDASSSIRDFEHSVSPLPSKFFKIEHLKDEQKDVSVKRKVHTVRNSYYAMRKRIRRDMQTSMDYNFLVDSENDNYAVNGNEPLPENCVLEGSTSNDFSNHDPSHYGLPENFMDVDIGVAAQAFYTGVDDTLEENFPMDQNNISEEEPQIHEDNVLLNGTAEEFGDSIELDIEKFIGDDELDDMSFSAFHQINNDPANLCSEFDEDYMFDSPELECGNSFDDLELSLPDIQDMPVWRTEEQDNIPCDGSKDSIACEDGYLEELSNSLLNFTGEEELFLMDSVGKDGIGKSYYDGLSSLLLNSPIDGCSNQIPETAEVELLLTPHEDVKNPSVSCRTEVDDNAGKAETELLAAFDAHVKGMSVSCRAEVDDNTMSQSNGMEVVQKPEFQMAASASAKDPQFPELINGVVPCIINTEDPEVPSNDDVFLPFNEPPPTISCSSESASRRGKVLMQVEQKSSVGAQVSSQTTGAHCLPGPVSGSKIKYELSNNHASHRLSRNAIIASSDLGGNNDATNKTHAALHASPKEKPVDVSFVKHQSNNVTNLSHKKPALGNGLRNHGQPNGSSLKQERDVALPVENNQLQHAEVGSADVLGPEMVVYSERLDEEEQYIESDDEVPYYSDVEAMVLDMDLEPDDHDLYDNEEVSRYQHEETKRAIIRLEQGAHSYMQRAMASHGALALLYGRHSKYYIKKTEVLVGRSTEGFHVDIDLGKGGCANLISRRQAIIKMDKDGSFFIKNIGRSSMLINSTELHTGQSQRLLSNYLIELKGTQFIFEINQSGMKR >RHN50803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10643135:10643459:-1 gene:gene35137 transcript:rna35137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase (ATP-hydrolyzing) MQILDLTFKSEKFSLRYDKIIIMTDADFDETHIKGLILYLIYIMWTPLIMNMSEFLSTMNTPIIKATSSSGSV >RHN44829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8970838:8972836:-1 gene:gene38995 transcript:rna38995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MISLSAVLVAFTTEMKQEDRLSILPKIILHHILSRLPEKDAARTSVLSKAWADTWFTFPILSISDNKFTGWFPLSIDDVKRKRKKFIDYVTRTLSRFCDQGLAIKECKIILNRFELHYMSKHVDLWLKSASGSGVEVVELCLPCGPNYIEEGHGKCYVLPTGVIEAKSLTKVVLKGGIRVDQAFMNQSIKFFSLRVLSLWIVLLEDEHAIEHLISCCPFIEHITLKHCSVLSPGGGVKLMKSLSMHGLPKLKTVDVHGIQEVYIDVPSLEYLYYCHDVLNAPYKIHFDSCRNLKGLDLFSLEGNTITDKWFLELFSKFPFLERLKFVKCTMSETINISSVQLKVLELSGCHNMKEVNIDAPNLLSCEYIIDTQHLEPIISFVRSSSKLKVDVQIYIDYLDLGNLKEFLQNIKPENVLTSLSLFIFELTEDEFNPAVFQVSSPPPSVKHLHLHTFPKKETLYSSLLSILLSSCCFATISMRMHPCFCSREFIEFFYETLMRRKDDCFCSSSDTNCWWHGLKNLKVAHSMKIDENVDFKTLLESSSISSTPDISFRLEF >RHN53985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5969552:5973374:1 gene:gene28960 transcript:rna28960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGTAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARIQAQRYSFAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNFGFQLYMSDPSGNYGGWKAGAIGANNQAAQSILKQDYKDDITREEALELAEVFLAPSGKVKYQVCSPENLTKLLVKSGVTQPATETA >RHN43500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46336919:46337859:1 gene:gene50090 transcript:rna50090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiolase MESLESAVKRGARILAEYLGGAITCDAHHMTNPMPDGLGQSSCISKSLQDAGVSPQEVNYVNAHATSSLIGDLAEVNAIKQVFKDTSELKMNGTKSIIGHCIGAAGALEAITTIKAITTGWLHPTINQDDDVTIDTVPNVKKKHEVNVAISNSFGFGGHNSVVVFAPFMP >RHN43182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43834165:43835573:1 gene:gene49720 transcript:rna49720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MRYGFVLIVSMLVLSTSLSSAYKFNVGGNHGWAVKSSRHYYNNWATRTRFRINDILFFKYNKGSDSVLVVNKHDYDSCNIKNPIHKMHDGDSIYKFDKVGLFHFISGNLVNCQNGQKLKVAVYSPRHHHHHSPSLSPTVAPVHSPSLSPSWNSPARSPTQPSARNAPSPSAAPTRSPTQSPAWNSPSPSAAPARSPTQPPAWNAPSSSVAPSRSPTQPPAWNAPSSSVAPTRSPTQPPAWNAPSPSAIVWTAPAHSPVQSPAWNAPSSSAAPTQSPRNAPSPNNESISNEDDDDDDSF >RHN50657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8895743:8896285:-1 gene:gene34967 transcript:rna34967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MSQYFSLLLMLFVLCVASSNSISAKVVDVDVICREASNPTYCSNLLNSKQGGAKGVDLVDLAEYTIDVLSENWTNTYNLINKLINNAENSMVANYYYRCSIDFMNKDSVANSLGDALLNLNIGNYPAMAKAITDVKQYLFDCIDSLRKNKTSPLLPKYVDVLQQGNQVLQIITKYLNLEK >RHN81431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45859543:45860055:1 gene:gene5471 transcript:rna5471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MKDPIIVSTGQTYERSCIQKWHDAGHRTCPKTQQTLLQTSLTPNYVLKSLIGLWCDSNGVELPKKQGSYRTKKSGSSLSDCDRTAIKALLVKLTRNDIEQQRVADDELRLLAG >RHN51166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14702991:14706845:-1 gene:gene35552 transcript:rna35552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MSTLTNSNPEIKQRNLADYHPNIWGEYFIQYASESMDLDQNIVAEIDTLKSEVRNMLVAKTDEPFAKVKLVDSICRLGVSYHFEKEIDDVLQQVHKSCVENGEVILEDNLCALAVLFRVLRQQGFYVSPNVFTKFKDKQGNFNETLITDVEGMLSLYEASHMIVHEEDILEEALGFTSTHLESIATQLNHSLAEQVKYASKHPIHKNLPRLEARHYISIYEQDPSHNEILLTFSKLDFNLLQSLHQKEFGNICKWWKELDFSSKLPYARDRIVECSFWSLIVYFEPQYPQARKMLSKVNAILSFIDDTYDSYGTIDELELFTEAIERWDIDALNNLPDYMKLLYKSFWNIYKEIEQEMIKQGRLYILNYYEKEFKKAVQAYMTEARWLNKNYIPTTEEYMSVSTISCCSTLLILTSYIGMGDTVIENIFNWLTNEPIIANAAATICRVMDEIVSSEFEHKRGHVCSLLDCYIKQYGMTREAAIQECQKRVAIAWKDINKECLRPTEVPIEFLTRALNFSRFMDVFYTDKDNYTHVEGLMKTYIKDVLVDPIPI >RHN65401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1846316:1852806:1 gene:gene13235 transcript:rna13235 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFAAAEAQLAQQRIRQKLEEVNQAAQTNLAPVQDHVNYTLQKAYFKCAYECFDRSRKQEEISNCVENCSIPLSNVQQTFENEMAKFQEKLNRSMMVCQDKYEGSKLQQKPGAMNDMISCADEAIQDSIKMLPLLTNKLKASFGIPDKSSS >RHN67571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28352882:28353334:-1 gene:gene15769 transcript:rna15769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MNYLITNHSGSHTIGMARFQNFQSRIYGDYESTSVKNPISDNQFNNLKSICPLTGGGDNNITAMDYMTPYLFDNSFYQLLLKGEGVLNSDQEMYSSVFGIETRELVKKYAADLLAFFKQFSDSMVKMGNITNSESFVTGEVRKNCRFVNT >RHN53768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3987620:3991470:1 gene:gene28712 transcript:rna28712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA mismatch repair protein MutS, connector MENDGGEGSSFLVSIIENRAKEVGLAAFDLRSASLHLSQYIETSSSYQNTKTLLHFYDPIVIIVPPNKSASSSTSTVTELVDRFYGSVKKVVLARGCFDDTKGAILIKNLAAKDPSALGLDTYYKQYYLCLAAAAATLKWIETEKGVVITNHSLSVTFNGSFDHMNIDATSVQNLEIIEPFHSALLGTSNKKRSLFHMLKTTKTIGGYAMIHTSFQAQH >RHN58424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1609428:1609730:1 gene:gene20334 transcript:rna20334 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCVHFNSTSPYIFVIVTMSMCFFFFTLLLFSFSCFMISLSTALNNGFSVELIHRDSSKSPLYQPTQNKYQRVSMPCIVPLIVSIISTNIPLLTNLNPL >RHN75463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42493896:42497236:1 gene:gene11678 transcript:rna11678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rho GTPase activation protein MTEVLHSPPHFTSSQRTTPSTSTSSSPLSSFSCHAIFQPPSQPHFPLFELLVTLFRKSLFPFKSSGNKDLCNMDISPPTNVRHVAHVTFDRFNGFLGLPDEFEPDFPRRPPSASATVFGVSTESMQLSYDSRGNSVPTILLLMQRHLYVQEGLQVEGIFRINADNSQEEHVRNQLNMGLVPEDIDVHCLAGLIKAWFRELPSGVLDSLSQEQVMQCQTEEDCIELVRHLPHAEAALLDWAINLMADVVEHENLNKMNARNIAMVFAPNMTQMADPFTALMYAVQVMNFLKTLILRTLRERKDSVVESNPRLNLEPSDENGHRRLFESFQKEDTAAADNKEAKEIFVSEKTVVECTPESLEKNSSTERESGSLIRTSENPICNEELYCEFPPKKNMGKNNKSGQSSSSNARKGSKKTRGQQPVINGKGSVEKKGMRTLSSTDTRSDRVEAWR >RHN73751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19583345:19584460:-1 gene:gene9612 transcript:rna9612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MAFEDFDLVSERRKANAKQHLKKKILIGVTSVVLIACVIAAVTFVIVKRSGPDHNNNDKKPVQNAPPEPERVDKYSRLVTMLCSHSEYKEKCVTTLKEALKKDPKLKEPKGLLMVFMLVAKNEINNAFNKTANLKFASKEEKGAYEDCKQLFEDAKEEMGFSITEVGQLDISKLASKEAELNNWLSAVISYQDTCSDGFPEGELKKKMEMIFAESRQLLSNSLAVVSQVSQIVNAFQGGLSGFKLPWGKSDAHAPAPDADTDAVADDDEDLADAPDGAPDADQPIFEAPIGAPGAAPIGAPRVDAPPSWAAPAVDLPGSTEKPTPNVTVAKDGSGDFKTISEALAAIPQTYKGRYKIHVNSEIWILYRPTI >RHN68815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38817898:38828268:1 gene:gene17223 transcript:rna17223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-N-acetylglucosaminidase MAPPSLAISLIFSLLITSFFKPSLSSTLGVDAISRTLQIQHRERSPPSVQEAAARSVLLRLLPSHSDSFHFRIISKKQCGGEYCFTINNHPSFTTQGSPQILIEGTTGVDIVSGLHWYLKHWCGSHISWDKTGGSQLFSVPNVGLLPRVHDAGISVRRPVPWSYYQNAVTSSYSFAWWDWKRWEKEIDWMALQGVNLPLAFTGQEAIWQKVFKEKFNMSISNLDDFFGGPAFLAWSRMGNLHGWGGPLPQSWFDQQLILQKKILARMYELGMTPVLPAFSGNVPAALKSIFPSAKITRLGNWFSVKNDLKWTCTYLLDATDPLFVEIGRAFAEQQLQEYGRTSHIYNCDTFDENTPPIDDPEYISSLGAAIFKGMQSGDNDAVWLMQGWLFSYDPFWRPPQMKALLHSVPAGKLVVLDLFAEVKPIWITSEQFYGVPYIWKLLTCMLHNFAGNVEMYGILDAVASGPIEARTSVNSTMVGVGMSMEGIEQNPVVYDLMSEMSFQHNKIDAKAWVDLYSTRRYGRQVPLIQEGWNVLYHTIYNCTDGAYDKNRDVIVAFPDVDPSLLSVQHEHSRPYGKPFSRAVSKEITDSFDRPHLWYSTSEVIYALELFISSGNELPKSSTYRYDLVDLTRQVLAKYANQLFFKVIEAYQSRDIHEVTLLSQRFLDLVEDLDTLLACHDGFLLGPWLDSAIQLAQNEEQKRQFEWNARTQITMWFDNTEEEASLLRDYGNKYWSGLLSDYYGPRAAIYFKYLRESLEAGEDFKLKEWRKEWIKLTNDWQSRRNIFPVVSRGDALNTSRWLFNKYLNLSNPETPGAVSMNISLKGVYLNHDSKGF >RHN70074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48481909:48490022:-1 gene:gene18617 transcript:rna18617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif 2, nucleotide-binding alpha-beta plait domain-containing protein MPFEIMNQRGVTASSHLYDDGSYASERSVGLRKPKSIHDDYPQGKSEMAASPGGILHTSSALERNAKIGLPMSQTSLSGEVIENVHFGAQAGMVDALKDSKESLNYHPRSWSDVHRQPASSSYGLIGNKIVTNAGARESSLFSSSLSDMFSQKLRFLGNGVQSDQNITAGSLPEEEPYKSLEEIEADTIGDLLPDEDDLFSGVTDGLGGSAHARASDDFEDFDLFSSGGGMELEGDELSASGKRISGLDGDPAYSGAFKGKSSFGEQSSRTLFVGNITSNAEDSELKALFEQYGDIRTLYTACKHRGFVMISYYDLRAAQNAMKALQNRTLSSRKLDIRYSIPKGNPTEKDIGHGTLMISGLDSAVLKDELKRIFGFYGEIKEIYEYPEMNHIKYIEFYDVRGAEASLRSLNGICLAGKHIKLEPGHPRNAIRMTQPSQKGQDEPDLGHNLNDILFLRQKAGLSSGVIASGGSLENGYNQRFQSASQLPLNAFFDNTNFHVNSSISNTTRGASAVKVSGDSSNVDAMKFASIPRLHPHSLPEYRDGLANGSPYNLSNTIKMAVNIGTGSTEASDGRHIQGMISTGNLADFNAGGNGSLPRHQLYHMWNGSNLRQQSPSNAVVWQKTPSFVNGVGSPSLPQMPSFARTPAHMLRASHIDHHVGSAPVVTGSPWERQHSYLGESPDAPGFRLGSLGNAGFHGSWQLHPPDLSSNMFSHIGGNGNDLTSNVGHGSPKQLPHVFPGRLPMTSMSKFDSTNERMRNFYHRRSEANNNNADKKQFELDLGRISRGEDNRTTLMIKNIPNKYTSKMLLTAIDESCRGTYDFLYLPIDFKNKCNVGYAFINMIDPGQIIPFHQAFHGKKWEKFNSEKVAVLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPEPFPLGANIRVRPGKLRSSGNEESRSQGNSSILANAEEFASGVDSSPSSSRDTD >RHN40272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14728356:14728851:1 gene:gene46406 transcript:rna46406 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTHLLFIACHVYWRPNGKLTPGLFWTYGLICKKVNMRTNLQNKKKD >RHN65105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64227019:64229591:-1 gene:gene28003 transcript:rna28003 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSGNNVFVMLFSLCLLLLLLLNIVVGSPPSSASGVEDYQNLFGLSSREEVVEIAGYGEEKLSTVLITGSLHCDGPNNNHHSNAWPIPGALVSVNCQSHATERTGKAMVANGVTDEFGDFMVDLPSYLHAIPNLEKICRVKVHKIPKGSLCRPARHVNKQKGLLKLSTIGNGIRTYDAGTIRIQHSASELVRASPRKDIGEIKE >RHN54959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14000667:14005621:1 gene:gene30071 transcript:rna30071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MPSKELASPLGETKVPLLSRNEEEEEEQDLTRKVWIESKKLWHIVGPAIFSRIASYMMLVITQSFAGHLGDLELAAISIANNVVVGFDFGLLLGMASALETLCGQAYGAKQYYMLGVYMQRSWIVLFICCIFLLPIYLFATPVLRLLGQPEDLAVLSGQVSMWMIPLHFAFAFQFPLNRFLQSQLKTAAIAWVSLFALLVHIFVSWLFVFKFQFGVIGTAATLNFSWWALTVGLFCYTVYGGCPLTWNGFSMEAFSGLWEFVKLSAASGVMLCLENWYYRILILMTGNLPNAEIAVDALSICMTINGLEMMIPLAFFAATGVRVANELGAGNGKGAKFATIVSVVTSSIIGLFFWMLIMIFHDKFGYIFSTSKPVLDEVSKLSLLLAFTILLNSVQPVLSGVAVGSGWQSYVAYINLGCYYMIGVPLGFLMGWYFDQGVMGIWAGMIFGGTATQTLILCLITLRCDWDKEAEKAKLHITKWSDRKQQQLS >RHN48733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49807133:49816322:1 gene:gene43481 transcript:rna43481 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIPSQILIVTDVYTIVRRKITSQINVFLVVRGQNSNSIKLEGVKF >RHN54547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10387107:10387924:-1 gene:gene29611 transcript:rna29611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAT dimerization domain, ribonuclease H-like domain, hAT-like transposase, RNase-H MKLKEVACVYHDVSVNQDQICCMAKSMKTKFDKYWSCYSVILSFAVILDPRYKLQFVEYCYVKLFGNDGGVKIANEILDKMKLFFQEYLLSSNELSVSSSQRSVRGSPIIPSSELEDFSNYQSKLCGPSRKETDLQTYLDEERLDHNQYANLDVLEYWKVNEGKYPEVSKMARDILSIPITTVASESSFSIGGRILDKYRSVLLPENVEALLCSHDWLCGTPAGISHDGPQFVEELSSFSSMNLT >RHN53097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41976782:41977117:-1 gene:gene37859 transcript:rna37859 gene_biotype:protein_coding transcript_biotype:protein_coding MHSYLTSCFVIHGGYIIFFNKVIYINCFRSCLTSCFLILFNRFS >RHN79201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25724789:25725246:-1 gene:gene2938 transcript:rna2938 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKVRADLVRILAALWLCVCSVVLHVFCCAMCLLLAVSVARLRVYVCCCRAGLCFSVVLSFSLCYAGYVFIVLFGDKDLLQKLQILGD >RHN51639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21397576:21398013:-1 gene:gene36119 transcript:rna36119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MKFREIDTQEEFEEILHKIKQEPFDCSKKANCSCDDPADIEYDSSRTWVKYKPNIPKTPKGFKRISVLRDDYSKLDSYYITPTGKQLRSRNEIASYLKDLPQPSGVSTLDFDFSSPKVMQDTVPEFIVKPKDFANKKAKIAKDEV >RHN69690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45559507:45563384:1 gene:gene18196 transcript:rna18196 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRPSALLISALLIIKLFLDQYVVHKFYLSGRKTLILKEIVVEAYNSVE >RHN74768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36549090:36552469:-1 gene:gene10881 transcript:rna10881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XIIIa family MMKSLIIAVSITICFIAFVISKIFISILLYKRWRRKHMIYEEGYTGGKMVIFRSSILKSLTTDMVLKKTQKLNNKDIIGSGGYGVVYELKLDDSAAFAVKRLNRGTAERDKCFERELQAMADIKHRNVVALHGYYTAPHYNLLIYELMPNGSLDSFLHGRSMNKKILDWPTRQRIALGAARGISYLHHDCIPHIIHRDIKSSNILLDQNMEARVSDFGLATLMEPNKTHVSTIVAGTFGYLAPEYFDTGRATVKGDVYSFGVVLLELLTGKKPSDESFMEEGTKLVTWVKAVVQERKEELVLDSSLGSCCPMHEVNKVFNIAMMCLEPDPLNRPTMAEVVNLLEKTQTDRVVTTS >RHN75527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43012990:43019377:-1 gene:gene11748 transcript:rna11748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-YABBY family MSMDMNMMATNERVCYVHCNFCNTTLAVSVPCSSLLTIVTVRCGHCANLLSVNMGATSLQSFTPQQDHPQKQQLINCHQEASRKEVVGSSSSSSSSSSKCKAFQPLVHEQPRTPPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLDGSKQAKLDHGVGEATQKSNGFY >RHN45020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10877520:10878287:1 gene:gene39214 transcript:rna39214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >RHN46512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32406524:32406877:-1 gene:gene41005 transcript:rna41005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MSNVFLPGELIGLLRAERTGRALEEAICYRALLLGITKTSLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKRECCFRGNDTCWYRIIHLKYYTKKKKLSQLTCISNSFILPYY >RHN40063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12924728:12929196:-1 gene:gene46159 transcript:rna46159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MKPIFCGNLDFDARQSDVERLFRKYGKIDRVDLKSGFAFIYMEDERDAEYAIRRLDQTEFGRKGRRIRVEWTKAERDNRRSGGDSKKSSTNTKPSKTLFIINFDPVHTRTRDLERHFDPYGKISNLRIRRNFAFIQFETQEEATKALEATNLSKFMDRVITVEYAIRDDDVKRDGYSPDRRGRGSPDGRYGRGSPDGRYGRGRSPSPYRRGRGSPDYGRGSNPASRPEPRGSPKYERAESPANGRYDSRSPPPRGSNPPSRPEARGSPKYERAESPMNRRYDSRSPPPRDRSRS >RHN77996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11126746:11126979:1 gene:gene1510 transcript:rna1510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase MKLAKKMMTAALWCIQTKPEDRPSMDKVLEMLEEEDGDLQIPNKPYFCPQDPLVEDVGVDNSSNSWTSYGTSVSDPK >RHN72120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5135582:5137390:-1 gene:gene7795 transcript:rna7795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKPHPNFQLLFILFLFIFIFPTITTSSSSPSLPLPPTPSPTSPPSSPTTSKTTLDPKQITALQSLNIPTSKDPCTQPSYHNATLCDSSKPFRHLISLTLSNCTSHLSLSFTALKSLSTLTSLNLINCPISPFHFPQELITSLKTFTFINSFNKISGVWLSQLHNLTDLTISNVQVKASGPYVILGHMNYLNSLTISNANLTGFLPGHIHSNLTHVDFSGNQLKGNIPFSITMLESLESLNLSSNKLVGEIPSSIGDLISLKNLSLASNSFSGSIPDSFSSVPDLVHLDLSSNQLNGTIPKFISQLKNIKYLNLANNNLHGAVPFNQSFIKGLEVFKVGGNSNLCYNHSILSSKLKLGISHCDKYGKPVSPPAAKDSSEDDSSDDDYDDSDDDDGSSKHKKDQHHGPNKFVLGVAIALSSIVFLIVFLIVCSKCCRGR >RHN71562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1044922:1045341:1 gene:gene7175 transcript:rna7175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MRLNKLVAFRNTERKRRRIRRRNTTVTAVNNCYLSDNCSEYVFTFLINDNPRYLKSLSTVSKQFLSVTNTLRFSLTILYQTCTFLPRLFQRFTNITYLDLSSYNGDLNALLCQIPFPLNITSLNLSNQPIIPATGFDSL >RHN70016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48048889:48052916:-1 gene:gene18555 transcript:rna18555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxysterol-binding protein MQSFRKAQNDDEPGSSSKNPHKASTPFRSLSTCNRHGRTSSSNRVVQRSASARLARQSTSRELMLNDIVGNGISGILYKWVNYGRGWRPRWFVLHDGVLSYYKIHGSNKLILNRDVEQRSKVIGDESIRRIASNRHCPSRHRKPVSEIHLMVCSVRENKSDERRFCICTGTKKRFHLRAESKEDRTMWMEAMMAAKNMYPRLPTTAEITSPSVSVVISTDKLRQRLLQEGVNEIAIRECEDIMRAELFQLHTYIVALKQKQLLLTDTLRNLETEKVDLENTLVEDQRQFKDEGESYLSTHEEDSDGSADGSGSEYSDEQDRNDDNSEDENDAFFDTYEILTTSSIRSNESEETSSNPTIGSNYPHIDRRKKLPDPVGKETGISLWSIIKDNIGKDLTKVCLPVYFNEPISSLQKCFEDLEYSHLLDQAYECGKKGDRLMRILYVAAFAVSGYANTSGRTCKPFNPLLGETYEADYPDKGVRFISEKVSHHPMVVACHCEGQGWKFWGDSNLKNKFWGRSIQLDPIGILTVEFDDGEVFYWSKVTTSIYNLILGKLYCDHYGTMRMEGNSEYSCKIKFKEQSIIDRNPHQVHGTVEDKKGKTMATLFGKWDESLHYIIGAGKGKGSNASSKGHMLWKQNEIPEQKTRYNLTEFAITLNEITPGLKEKLPPTDSRLRPDQRCLENGEYEMANSEKLRLEQRQRQARKMQEKGWKPRWFAKEEGSNSYRYVGGYWETREKGKWESSPDIFGQFSADPDQESNLTP >RHN43263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44421902:44428189:-1 gene:gene49808 transcript:rna49808 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polynucleotide adenylyltransferase MAISTLKLVCKPNLLHLRPIPSQCVRKVVPRSVPSVEPEECNRSSNLKQVNKKAPAWKKMNSKELGFRNSMIASPIKKVLNMLKKKGYNVYLVGGCVRDMILRQTPKDFDILTSADLKEVMRTFSWCEIVGKRFPICHVHMDGIIVEVSSFNTAKRKPGRHFAHDIEAPKGFDKEDYLRWRNCVNRDFTINGFMFDPFAKIVYDYMGGMEDIIKAKVRTMVPAATSFQEDCARILRAIRIAARLGFSISTETARSVKHLSYSILRLDKGRLLMEMNYMLAYGSGEASLRLLWKFGLLDILLPFQALYFVRHGFRRRDKRTNMLLSLFFNLDKLLAPNSPCHSSLWVGILALHKSLSDQPRNPLVIAAFSLAVHNGGNLLEAVDIARRINKPHDIRFPELSDPCDLNAKALENEILDLVESVKVSLLQMTSRHSVARAMVDYPQAPHSDMVFIPLGMYLKALSIFDCLKVSSCKKFLSKKSRKIDYESLARGDLPEIRHLFARVVFDTVYPLHLGQS >RHN63425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51188356:51188759:-1 gene:gene26123 transcript:rna26123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MFGCVTMSTVEEVEKAMKKFNGYELAGNFLTVSKDDIRNTLPDLPKRPHAFDSPFSVYVTKLSRSIENNEHLEQLFSKHGKVECAELICDKVSSRSRGYGFVTM >RHN47777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42461752:42462538:1 gene:gene42417 transcript:rna42417 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVASKEKTNSGGCMFRYSVLILSLLALSILVLPLVMPPLPPPPLLLLLVPVFIMLLLFFIAFSPSKKVPNKASFVS >RHN45232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13351288:13354619:1 gene:gene39466 transcript:rna39466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase II, Rpb4 MKILEENAGALTNFEVLDFLRAKGASRDPSRVLAKVAMSEYKVYDYLVKTPAGSQTRESVKEYFTVIKQHDLSEAEVLNVLNIRPASEVEIYHIIEDCEERFPDEEVTEIVEKVENTLPAPPDKATPEEITKGDEETETQKHDEISQDQTEDGEQMDTS >RHN66998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22619049:22619336:-1 gene:gene15127 transcript:rna15127 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTQHKYTSRQQLLLQSRSPTQPDHGTAVLAQEEKHFNGDGSVS >RHN70734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53578662:53588174:-1 gene:gene19347 transcript:rna19347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MDNQPNQNQAQPPRDEEEDEDSSRMEDEELISKVNKLMDKITSSPDNPKPNVLHALATILETQESNYMDENAHSSSTNARAAHNIGRLGSIIRENDDFFELISLKFLSENGYSTAVRAASVRLLLCCSLTWIYPHVFEEPVLENLNNWATEDSSRSAAEEQNLKRDPVGKDASDSEMLKAYSTGLLAVCLVGGGQIVEDVLTSGLSAKLMRYLRLCVLGETSGSQKDSSHLSENKHSSGNTSVRGRDDSRGRFRQLLESSHVDDTRMIEEGSFDDQAPERGQDSGQACQEDPWIDGEPPDGLSEGADVCEADSEGEERWRCKDIRDGRIKYGDHEDNARDESSRRRTNRGWGRSRGKGRVNEGPVDSEPVLSSAGSASRLGQGRSVRDRSSLRNGDVKRVPDSKKTLTSSISEALISEREDNDDCFQECRIGSKDISDLVRKAVQAAEAEARSANAPEEAVKAAGDAAADLVKTAASEEYKSTNDEEASVLAASRAASTVIDAASAVEVSRRSVCINTETENVTSRETESIEDVEDYFLPDTRTLTQLREKYCIQCLALLGEYVEVLGPVLHEKGVDVCLGLLQQNSKHHEPSKVAFVLPDVMKLICALAAHRKFAALFVDRGGMQKLLAVPRTAQTFFGLSSCLFTIGSLQGIMERVCALPSDVIYHVVELALQLIECNQDLARKNAALFFAAAFVFRAVLDAFDSQDGLQKLLGLLNDAASIRSGVTSGALGSSNSGSLRNDRTSSAEVLTSSEKQVAYHTCVALRQYFRAHLLVLIDSIRPNKSNRSSARNIPSTRAAYKPLDISNEAMDAVFLQLQKDRKLGPAFVRTGWREVEKFLASNGHITMLELCQAPPVERYLHDLLQYALGVLQIVTLVPSSRKMIVNATLSTNRAGISVILDAANIASSHVDPEIIQPALNVLVNLVCPPPSISNKPPAVSQGQQFPSSQASNGALETRDRNAERNITDPRERNGESSAVDRGTAAALTTQSVNTTPQTPVPSASSGLVGDRRISLGAGARCAGLATQLEQGYHQAREAVRNNNGIKVLLHLLQPRIYSPPAALDCLRALACRVLLGLARDDTIAHILTKLQVGKRLSELIRDSGSTTLGTEQGRWQAELSQAAIELIGIVANLGRASTLVASDAATPALRRIERAAIAAATPITYPSRELLLLIHEHLQASGLGQTASLLLKEAQLTSLPSLLAPSSLAQQPTTQEVSSTQIQWPSGRTPSGFLTSKLKYNSKNEDACLKSDAGSARKKSLTFSSSFGSHTRHQVIDSRHSSTRKWLRAGKESSETSTVENPSESSVKHNTETGSQFKTPITLPTKRKLSDLKDIPMFSSSAKRLNVGDQGLRSPICSSSVRKSSLHTDAVGLFTPTGNLRSQQGRCTADYVDDNQYCISNLGQMTPSSQVVNDLQLNNPERVTLDSLVVQYLKHQHRQCPAPITTLPPISLLHPHVCPEPKRSLDAPSNVTARLGTREFKFMYGGVHGNRKDRQFVFSRFRPWRTYRDDAGALLTCITFVGDSSHIAVGSHTGELKFFDSNNNNVVESFTGHDAPLTLVQSFVSGETQLLLSSSSKDVKMWDATSILAGPTRSFEGCKAARFSNSGKTFAALSSESTAREILLYDIQEGKLEATLSDTFTTSTGRGHAYSSIHFSPADSMLLWNGVLWDPRVSTPVHRFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFRLLRSVASLDQTAITFNARGDVMYAILRRNLEDVMSAMHARRVKHPLFSAFRTVDAINYSDIATIPVDRCVLDFATEPTDSFVGLITMDDQGDMYSSARSYEIGRRRPTDDDSDPDDAESEEEDEDDDDDNEDPLLGPGFGVGSDSDAEDMSSNEDDDDSVSDPDDDDDDGGYMMDDIDFDGHDMLDIVTDGDEDDDDDSQGLESESSDDDYDFGGY >RHN64630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60607817:60609262:-1 gene:gene27462 transcript:rna27462 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTIAASNNFIATFMWFTPSPLFIFVNLVIGTIALVSRFAAVTAPKTHQTHNLSHCNHHHNEQTFVTQPEPESTQPQLAPSLKPSLLQRVLSFNLNKHEPAQTEPKTPPIELVKSDPIHDCDSDDEENSKPMMKKSASEKECSMARSESTTSKEEDEADDAKADDFINMFKKQLRLQRLNSFIRSKNSI >RHN61437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35501341:35501719:1 gene:gene23906 transcript:rna23906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLHTILKGSVTSSQAKSKSVEVRKGYVAVYVGEKLTRFIVPVSYLNQPSFQDLLNQAEEEFGYDHPMGGLTIPCTEDVFQHITSCLNGP >RHN41040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26324033:26326665:-1 gene:gene47313 transcript:rna47313 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIKKKKISSKLIQKITRRKRWYKERLNRWFTKRGQVTTLACPVAFFPGDTRSSISSFHDLSEDLVHKFESMSESVQSRNMSDQLTYLKEKGKELEAELAKINKENEETLMGHFMHQIENEGKSLDDFDNSVKNRLIAFVLEKIKMVRKFRMSFENVPLPHNNPPPSPPPMACALDNENEPDSGVTIDGKVLNQQPQLDLIKEVDYTSSGFDDNEESNTRILPHENGIDSRSDMLISEGNFKAFDNNMSIGLKMPPLENFNGGADMCLSKRNFGGLDNNTEAPPKEGEE >RHN68944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39777385:39778427:-1 gene:gene17367 transcript:rna17367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MEIKLSNRFGCLASSSIPSMEEESESTTGPPAMEEESESTRPNWLDLPSDLTENILQRLGIEIVTSACCVCTQWLKICKDPLMWRTIRMCYICDLSYLRFRRIFYKVVNRSCGHLKDINIEYYCTDDILKCIADNGRHLCRMGLVDCSRITDEGFSEAVRKLPRLEKVVISHHYLTDVSLEALGRSCPLLKSLKFVNSRFTSCDSDKTALVIAETMPGLRHLDMKGHKLTELGVLAIIDKCPLLESLDIRDCHYLNEGLEKSCIDQINDLHMPVRYNHENNYYNDRASFWGNIISVLMMMMMMIFIIVWKFK >RHN70602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52656139:52657466:-1 gene:gene19201 transcript:rna19201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Band 7 domain, Flotillin family MLALNLRVFQIQISSTVGLHRVAKASEYLVITGILIKDIKLAKKAWIIPGQSCSVLDLSPVNYTFEVQAMSAEKLPFVLPAVFTIGPRVDDQESLLKYAKLISPHDRLSNHVNELVQGIIEGETRVLAASMTMEEVFRGTKQFKQEYSTKFNLS >RHN42487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38766639:38768486:-1 gene:gene48934 transcript:rna48934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MDLNAISPSSQWEWDHLPLLDTKATENQKLQPPNWTMELDPEINFGLYDTPVGSGSSGSDLIHGSAFNAPKFSCVSGEPLLNPRLGKRMYFEEVCPESDSKNLSFSRDLMSSLILEKKCKSNGQNLQCPPHCQVEGCGLNLSSAKDYHCKRRVCESHAKSPMVVIDGLERRFCQQCSRFHDLFEFDGKKKSCRRQLSNHNARRRKYHRQAVQSSQSALSYSRSDGKQQMSPFTNSKTATNLAWQNMHNSKLPQAKDFLLKPSKDNIDTPSTVTMLSHDSNFHFTSKILATKSVNPGLPHFCADFF >RHN81003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42521297:42521794:-1 gene:gene4991 transcript:rna4991 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKFDMITRQKSLLGCLKATNSHDFLVAILMDGLCQHMSSVEYRTILRYRLMIPLFPVDDVCFVFRKVCLDTFVKHTVHCKELLGFKYKYDFVRDVIFFYIFRQVGVSVKKEVPMNFLTDPLDRRSIVKLADIMVCGWVGGKYANVDLTRVSPLVDLGVGSFMV >RHN52220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32790108:32794028:-1 gene:gene36868 transcript:rna36868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MTKSFHFVLSLFLLLLNQTNSQSHIYDQEHKVLLNIKQYLNNTSFLNHWTTSSNSNHCSWKGITCTNDSVSVTGITLSQMNITQTIPPFICDELKSLTHVDFSSNFIPGDFPTLFYNCSKLVYLDLSMNNFDGIIPNDIGNLSTSLQYLNLGSTNFHGGVPDGIGKLKELRELRIQYCLLNGTVSDEIGELLNLEYLDLSSNTMFPSWKLPFSLTKLNKLKVLYVYGSNLIGEIPEKIGDMVSLETLDMSRNGLTGEIPSGLFMLKNLSQLFLFDNKLSGEIPSGLFMLKNLSQLSIYNNKLSGEIPSLVEALNLTMLDLARNNFEGKIPEDFGKLQKLTWLSLSLNSLSGVIPESIGHLPSLVDFRVFSNNLSGTIPPEFGRFSKLKTFHVSNNSLIGKLPENLCYYGELLNLTAYENSLSGELPKSLGNCSKLLDLKIYSNEFTGTIPRGVWTFVNLSNFMVSKNKFNGVIPERLSLSISRFEIGNNQFSGRIPSGVSSWTNVVVFNARNNFLNGSIPQELTSLPKLTTLLLDQNQFTGQIPSDIISWKSLVTLNLSQNQLSGQIPDAIGKLPVLSQLDLSENELSGEIPSQLPRLTNLNLSSNHLIGRIPSDFQNSGFDTSFLANSGLCADTPILNITLCNSGIQSENKGSSWSIGLIIGLVIVAIFLAFFAAFLIIKVFKKGKQGLDNSWKLISFQRLSFNESSIVSSMTEQNIIGSGGFGTVYRVEVNGLGNVAVKKIRSNKKLDDKLESSFRAEVKILSNIRHNNIVKLLCCISNDDSMLLVYEYLEKKSLDKWLHMKSKSSSSTLSGLVQKQVVLDWPKRLKIAIGTAQGLSYMHHDCSPPIVHRDVKTSNILLDAHFNAKVADFGLARILIKPEELNTMSAVIGSFGYIAPEYVQTTRVTEKIDVFSFGVVLLELTTGKEANYGDQYSSLSEWAWRHILLGTNVEELLDKDVMEASYMDEMCTVFKLGVMCTATLPSSRPSMKEVLQTLLSFAEPLPYVEKKVGHYYDADPLLKDSKKDTRFDVDDDDDDM >RHN64713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61197309:61199136:1 gene:gene27562 transcript:rna27562 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MEAPSFVFLSLLLLLTATTSSAQLTRGFYNNVCPNVEQLVRSAVNQKFQQTFVTAPATLRLFFHDCFVRGCDASILLATPKAEREHPDDISLAGDGFDTVVKAKAAVDRDPKCRNKVSCADILALATRDVVNLAGGPFYNVELGRRDGRVSTIASVQRSLPGPHFNLNQLNNMFNLHGLSQTDMVALSGAHTIGFSHCNRFSNRIYGFSPRSRIDPSLNLQYAFQLRQMCPIRVDPRIAINMDPVSPQKFDNQYFKNLQQGKGLFTSDQVLFTDSRSKATVNLFASNPKAFESAFINAITKLGRVGVKTGNQGEIRFDCTRPN >RHN69506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44045755:44048784:1 gene:gene17985 transcript:rna17985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK family MNLRVNFIVRSKKVVVRQTKVTMAVHSKQILLMLLLVVLYTKVATQPMSLPNCPEMCGSVTIPFPFGFTEGCFLDSTFLINCNKTSNSKKLVPFLPNTNQTVLNITLNGELHVAWPVAKDCYSKNSIRTEQTIQFINMTHFHLSPTRNKLVAVGCDTSGILGVIDSEGNNYTSGCLAWCNRHADLVANESCSGPGCCQISVPQGRVLTEVFYFPLSSSSHSAVYDFNPCGYVFIVENGDYIFATTDLPKLKKKNFPVLLDWAVGNQTCQQAQKDLSSKYACKADRSICYDAETIKSGYLCKCFDGYRGNPYLIHGCEDINECTESNDCVQEATCDNLPGSYHCLCPKGHEGDGKMNGTKCNPKSRKDIIYIIALSVCVGLVALLVGSNYAYLALKQRKLDKLKEQFFQQNGGLLLQQKIGRHERSTETPRVFTIEELNEATNNFDEGKILGKGSQGTVYKGVLQDKSIVAIKKSKINDQNQIEPFINEVVVLSQINHRNVVRILGCCLETEVPLLVYEFIPNGTVYDHLHDQNQSIKLTWKTRLRIAKETAGVLAYLHSAASTPIIHRDIKSTNILLDVNLIAKVSDFGASKIVPLDHSLITTLVQGTLGYLDPEYLQTSVLTEKSDVYSFGVVLAELLTGKKALCYSRPVVERSLAMYFVSSMKEDRLVHILDSNIDAGNVEHLKEVALIAERCLRVNSEERPSMKEVAMELEGILVIEE >RHN41337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29261535:29262852:1 gene:gene47647 transcript:rna47647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MDEVSSEWSSEQNKLFEYALANYPDDVVDRWEKIAAGVPGKTLEQIKHHYEVLVDDIHNIESGFVPLPDYDSFSNSTKCTIVKKGTKASGSYHWTEDEHRCWLETLECALSRLFLMGVEKYGKGKWKKISENYVVTKTHTQIASHAQKYFKGLNSTKEKKERRRSSRHDVTYVENGDISAPHGAITGQASDYARQSATQTPQAPSAGTRTLNDPPVPPAGMYVVTPPSGVGVYAAHRIGQPIGGRNCTPGQMAYGIGPVSWTSMPGVPMNLGPMTFPMQNTYAHL >RHN67530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27996773:27999812:-1 gene:gene15726 transcript:rna15726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proliferating cell nuclear antigen MLELRLVQGSLLKKVLESIKELVNDANFDCSSTGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNLSMGMNLNNMAKMLKCAGNDDIITIKADDGSDTVTFMFESPRLAQDKISDFEMKLMDIDSEHLGIPEAEYDAIVRMPSAEFARICKDLSSIGDTVVISVTKEGVKFSTKGDIGAANIVCRQNTTVDKPDDATVIEMKEPVSLQFALRYMNSFTKATPLSSTVTISLSNELPVVVEYKIAEMGYVRFYLAPKIEEDDEETKPEV >RHN63900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54911076:54914346:-1 gene:gene26656 transcript:rna26656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RING/FYVE/PHD-type MPKERKDRSLSHECSRTSPYPSSSSRVRRSTSKSPLESDENIKEWEEARCPVCMEHPHNAVLLICSSHEKGCRPYMCNTSYRHSNCLDQFCKSFAEPSPPTVPQVESEISNSDSPQDQSTEANIVNVQEETIVDVQEETIVDVQEETSEGFVTMQSLSCEDETKSKLVCPLCRGHIKEWKVVEGARHFMNDKSRSCSCESCNFTGTYTDLRKHARVEHPLERPSAVDPERQRNWRRLERQRDLGDLLSTLQNSFGENRVDDGLGLAPIDDGGLLAVFFLILQPSSVSRGTTGTRLQMRIRRPSRLWGETYEAESGSAARDDTTNESSDGGSDNRRRRVRRRVQTPDRQP >RHN44463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5046066:5046751:-1 gene:gene38584 transcript:rna38584 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSLISLVVTALMVTLFFQPHQHSSAASQNNGCLGLHCLTAVDDETNFMDQLVAGGDTSFLSQLTMSRGNPACRPGPGSHISCTPPGSPQFGSIHCEPINRVSNPTYACRRMTNS >RHN48810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50439777:50443268:1 gene:gene43563 transcript:rna43563 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVWSDDDNNSSSGSDERCSTRKVVKSQCKTEEVEPGKFVRKCEKTEELLKTCAGKPVEVLQSNKEYTEEDITSEVLRGGSTTFGSSNSSSDHGVFNFPGLRSDIEVMERSLFGGLGRFFEAAEEMKNGLFDVIAKSPSIFDAEMPSSSRMRQGIPIEDNGRQETRPKSKDMESADTDFSALAKDV >RHN77141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4394619:4397342:-1 gene:gene566 transcript:rna566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MARKRTKSTLDAEPDRISWLPGHVIDQIMSYLPIRDAVRTSVLSRNWRKKWYTLPNLVFDTKLVPVPAATSGDPLAIIDNKFLQIVDHVLLVHSGPINMFKFSNVDDLMGEDSLVTNIDRWILHLIGRSIKELVLEVWIDDYYKLPWCLFSCQSLHSLKLNGCLLKPPTMFEGFRNLKSLDLCLVNIVQDAFENFISRCPLLEKLRLREFYGLTQINIHAPNLKFFEIVGEFEVVTFGNTFQLTTIVIYSCLELTSNSNQSRSPGCSSNLLKFFDHRPHIQSLEIDGFFLKYLAAGVLPVKLPTPCINLSFLSLTINFDNMEEISAALCVFRSSPNLQKLKISALRAHVATVPLTPATYCWEDISSRLVMPFRVRHVTIDGISGTQSELNLIRFLLLYSLVLEKMIVKPVANVIPELMKALIRFKRASGEVEVIWKDPS >RHN79124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23540873:23541421:1 gene:gene2841 transcript:rna2841 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLSCSVDRKQSSGGSGCTLCTLSTLLMRDKCSVLSIYPLIPPFQFFVLL >RHN74771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36563612:36566722:1 gene:gene10884 transcript:rna10884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MDSPRETIEGERDMISTLHESILSQILSFIPIVDAVSTSVLSRRWVDVWKCITNLDFDDSLLGSRKKRMQKEQFVNFVEKVLIHFTNSSIQSFSLSLTSHQYDASKLSEWISFILERRVQKLHIQYADKVFLPSDSLFRCNSLVDLTLQMRCTLSLPISVCLQNLQKLSFSGVKLVSDSPTCSKDITLNFPILKVFEARGCEWPTTQNISLQVPVLEKFSIAIWNHHSNKSSKYAIKVYSRRLTDFAYEGDLEQDIVLCHSSSICNASVVIVLDEDKKDRMEKLGFQAHNLLKQIDNVEQLKLLFYKVLRHGKDIFTNLPVFGRLTYLQLNEVTGEALLQLLHNSPILNSLVLLNGVADLDKDVFTSAMVPHCFLSSFKVFQFKGFNANEHDLCLVQFVLENAATMEMMMISPAFWLRYANVDLKKVKENVLSLPKCSNNCKIEFSDISSS >RHN79966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33960635:33965135:-1 gene:gene3830 transcript:rna3830 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Galactose-binding domain, SUN domain-containing protein MQRSRKGRMERRPTSSSAQSFHFYQLPLLFDLWVLLILFSLLITCTDGSEELSVGLSNSDEVNHGFCEISDTADKSCIKESDACDPSEALLYSKGEGAEAIGLVGGESQTDRESSVYALLGGCNKEHTDSANKEEHVVESSESAVKHENDVKKSDLLSRAVPLGLDEFKSRAISSKVKSGTDQSGSVIHRLEPGGAEYNYASASKGAKVLGSNKEGKGASNILSRDKDKYLRNPCSVEDKFVIIELSEETLVDTVEIANFEHHSSNLKDFEIHGSLNFPTDAWVFLGNFTASNVRHAQRFVLKEPKWVRYLKLNLQSHYGSEFYCTLSVVEVFGVDAVERMLEDLISTQDNLFASGEGNDDKKIVSPHPDPAESEHVQQNTFEGMNSHPASDIPSSNHETANSNVPAPVEEIRQPVGRMPGDTVLKILMQKVRTLDLNLIVLERYMEDLNSRYVNIFKEYSKDIEETDVVLQKIKEGIKNLIDQQDVIAKYAGDLNSWKSQVSLQLDHLLRDNAVLRSEVEKVREKQVSLENKGVIVFLLCCIFSLIALLRLSLDMAKNVYRALMVDRTVDSREFCVGRSSWFLLLLSCIIIIFILTF >RHN50636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8711698:8712222:1 gene:gene34946 transcript:rna34946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MVRFSSITIMFLLFIASSYAAKIVNLHTICKKTQNPSFCSTFLKSRPRSVHGDLVSLAKYSIEDAHAKITNTINLINKLIVRSRNNAEKSHYLSCSLDFTEALDDIEEAQGFIESGEYQGLYEDAESIREVIPDCLNGAYGNPSFDDNSLLPKYAHDVEKVAEIILAISNILRH >RHN46870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35444484:35448734:1 gene:gene41405 transcript:rna41405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MFFLTKVCIYINDNEALYQILLEIKINKRNPILSPKFLIVLFVTKIISVCTKKKNNNLIVFAKTSFPVLKRFELSNGAEFLLSTEAMVPPSLPTNIPSHLGLRLIRVALNVGDFNRARQLFDNIPQPDPTTCSTLISALTTHGLSNEAIKIYSSLQERGIKPDMPVFLAAAKACAVSGDALRVKEVHDDATRCGVMSDVFVGNALIHAYGKCKCVEGARRVFDDLVVRDVVSWTSLSSCYVKCGFPRKGMDVFREMGWSGVKPNPMTVSSILPACAELKDLKSGKEIHGFAVRHGMVVNLFVCSALVSLYAKCLSVREARMVFDLMPHRDVVSWNGVLTAYFKNKEYEKGFSLFLKMSRDGVRADEATWNAVIGGCMENGRSEEAVEMFRKMQKMGFKPNEITISSILPACSFSENLRMGKEIHCYVFRHWKVGDLTSTTALLYMYAKCGDLNLSRNVFDMMRRKDVVAWNTMIIANAMHGNGKEALFLFDKMLLSRVQPNSVTFTGVLSGCSHSRLVEEGVQIFNSMGRDHLVEPDANHYSCVVDIYSRAGRLNEAYKFIQGMPMEPTASAWGALLAACRVYKNVELAKISAKKLFEIEPNNPGNYVSLFNILVTAKMWSEASQVRILMKERGITKTPGCSWLQVGNKVHTFVVGDKSNIESDKIYNFLDELVEKMKMAGYKPDTDYVLQDIDQEEKAESLCNHSEKLAVAFGILNLNGQSTIRVFKNLRICGDCHNAIKYMSKVVGVIIVVRDSLRFHHFKNGNCSCKDLW >RHN72744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10375099:10380174:-1 gene:gene8497 transcript:rna8497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA(Met) cytidine acetyltransferase MRKKVDERIRTLIENGVKTRHRSMFVIIGDKYYDQIVNLHYMLSQAQIKSSPTVLWCYKDKRHMKKHEKQIEKLRKRGLLDPDRADPFSSFMKSKEITRRLYEDSEKILGSTFGMCILQDFEALTPNLLARTIETVEGGGLVVLLIRTLSSLTCLYTMVMDVHERFRTESHMDATGRFNERFLLSLASCKACVFMDDELNILPISSHIRSITPVSVNEDSEGLSKTEQGLKNLKEQLDETLHVGPLIRKCCTMDQGKAVVTFMDAILNTKLRSAVVALLAARGRGKSAALGLSIAGAIAVGYSNIFVTAPSPENLKTLFEFVCKGLSALGYEEFDVVKSVNPAFHKATERINIYKHHRQTIQYILPHEHEKLSQVELLVVDEAAAIPLPVVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLHQLEGQSNDSAKATEGTDSGFKKIELSESIRYASGDPVESWLNTLLCLDVSNAIPNLSRLFLTYFIFTWNMRLFLYLHLLIFCHEIRLPPCSECDLYYVNRDTLFSYHKDSELFLQQMMSLYVASHYKNSPNDLQLMSDAPAHHLFVLLGPVDESKNQLPDILCVIQVCFEGKISCQSAIQSLSSGRQPFGDQIPWKFCEQFQDTNFPSLSGARIVRIATHPSAMRLGYGSQAVELLIRLVDCFLLL >RHN82611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55183190:55183474:1 gene:gene6786 transcript:rna6786 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPIDVSSETVSLNDGEGDDVAAAAEEYVPDREKQTMDVGAKAVVLLSSDDEGSVEADDMATKNSDENNVAQEGEENVMKEKKSAGRKRKKEL >RHN52828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39262667:39264921:-1 gene:gene37546 transcript:rna37546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTFLHIFFFGGDIFTYKVIKINCLLHARRNNMAQVFKFIYALIIVLSLFLVETNTATCITDADCPYDGKCIDGFCRFNVKNNNQV >RHN64712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61189134:61193497:1 gene:gene27560 transcript:rna27560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative villin/Gelsolin, ADF-H/Gelsolin-like domain-containing protein MFCTYPYLDELIVILIIDFISNIFFCLIVYVVDKCYTITSQVWRVNGQEKNLLAATDQSKFYSGDCYIFQYSYPGEDREEHLIGTWIGKNSVEDERASCLSLASKMVESMKFIPSMARIYEGSETIQFYSILQSLIVFKGGLSDAYKNYIAENEIPDETYKEDGVALFRIQGSGPESMQAIQVNSAASSLNSSYCYILQSESVVFTWYGNLTNSDDQELAERMLDLIKPDLQCRPQKEGAETEQFWELLGVKTEYSSQKIVREAENDPHLFSCNFSEGNLKVIEIHNFSQDDLMTEDIFILDCHSQIFVWVGQQVDPKRRVQALPIGEKFLEQDFLLETISCSAPIYIVMEGSEPPFFTRFFKWDSAKSAMLGNSYQRKLAIMKNGGTPPLVKPKRRASVSYGGRSGGLPEKSQRSRSMSVSPDRVRVRGRSPAFNALAATFENSNVRNLSTPPPMIRKLYPKSKTPDLATLAPKSSAISHLTSTFEPPSAREKLIPRSLKDTSKSNPETNSDNENSTGSREESLTIQEDVNEGEPEDNEGLPVYPYESVKTDSTDPMPDIDVTKREAYLSPEEFQERLGMTRSEFYKLPKWKQNKLKMAVQLF >RHN59775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12999668:13000669:1 gene:gene21923 transcript:rna21923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MQPHSHGNYGFSFNINDYRLVRLTELQQSQHPQHNEPQQPQYHPPQHQQQGQGHLLPTSNPHVHASSILSYPTFDSLFEKQAKETNQIINNQKDKMNLLLQKHQMELQVALQQVLAIKNEEIAKTANKAQEMENLIRRFEADKREFEKLVKEREAMIITLQNKLEEEKKKLRVFMENDANSCCGENDDVRIEKRVRRGNNIMFCPKCNTSSSDVLFLPCRHLSSCKACEASLKACPICGMEKNGVIEIHSLISD >RHN68239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33944895:33945926:1 gene:gene16564 transcript:rna16564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MSNVKLASFAVLLLAAFLMFPMKKVEAKECRGDCGTFLGLCASGCKCVTHDYVYGCYVGTCENPNLVSGNFQRKVEEPKLCWSHDECTKKGSGNYCARFLNYDTPSVPE >RHN39627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8833927:8836107:-1 gene:gene45668 transcript:rna45668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I MALISSSLTISFSSNHFDCKASFQSSTSSPQCVSLSSLPSLPLLSQNHALKTTHHRKIARDVMVMATGDAPTEVDSTELPEFVKNLQEAWDKYDDRYAVSSLVVASVFALWSLTGLISAIDRLPLIPGVLELIGIGYTGWFAYKNVVFKPEREELIQKVKETITAIIGSN >RHN74084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27118509:27124309:1 gene:gene10053 transcript:rna10053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol-phosphate phosphatase MMSIVFSTSAAATKLFPPTTYRLQSQTSRSWRLKSSTSCKNSLKSEEKLYSRVGALSTGPVQPAILLEVATTAAQTGAKVVMDAVNKPRSITYKGLTDLVTETDKMSEAAILEVVKKNFEDHLILGEEGGIIGDVASDYLWCIDPLDGTTNFAHGYPSFAVSVGVLYRGNPTAATVVEFVGGPMCWNTRIFTATAGGGAFCNGQRIEVSVTDQVERSLLVTGFGYEHDEAWATNIELFKEFTDVSRGVRRLGAAAVDMCHVALGIVEAYWEYRLKPWDMAAGVLMVEEAGGTVSRMDGGKFCVFDRSVLVSNGVIHAKLLERIGPATEGLKSKGIDFSLWYKPEDYRADV >RHN69146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41342730:41359421:-1 gene:gene17578 transcript:rna17578 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFFNQAIFCPSFVVICHLMLLFSSTILNIIKSVFKKLCETTEPKELNLVWSCLYNEVHECVTTENIGHLRRILSVLVSAIKVQKGQNVSDYKPMLELVLLLVRSYITPLGVTESQEDICLVDRILKLMLATLDGLCSDSNKSMISECATQWAPIFKSRSSSLLRFIEKLLQKDLCLFAFRSKVISAINELMEISEEKVIQLFQSFCEKMQLDIRGPDFLDRESEEALARICNHLEGTIRSWIEKINNIGHADVSCEIDERKVALLWGVVNCYSHMSIVDAVPSLLVDLMDAVDQLLTVKADMSKEAWESIIGASLSSFNRLCYDSNLGADETKKFLSFAKRYKSSPHVLPAVAGYLESKYGSSLEETGCRVYHPELEEMIAESVAAFADNLCHSDKEVRISTLKILCHYKSLGEEISSVDQSAAKKRKIEVSPTSIVDNVGNNPLLVLLSIETTPVSISTSRSIQRLISKIQMDLSAGRIANVYAPLVLSGLFGILNNQFSYLWDPVLECISVLVSLYFSLVWNTLIDYLERCQATRESSSSLHDSANGASFDQPAGLLGCFKLFVHHESDCTPSGTILTLLLQALQKIPTVIEPRSRQFIPLFLKFLGYNTLDLASVGLFDSHACKGKEWKLILKEWLNLLKLMKNPKSFYLSQFLKEILQNRLIEEDDPEIQFRVLDCLLIWKDDYFLPYTEHLINLISYKITREELTTWSLSRESKMIEECHRAYLVPLVIRLLMPKVRKLKGLASRKKASICHRKAILSFIAGLDTTELPLFFALLIKPLQIVEKTDGPANLFWTLPIGCTSEFQASSLLEYFTLDNIATLSWKKKYGFLHVIEDIVGVFDELHIRPFLDLLVGCVVRLLESCTLSLDNVNLNGVSSNQHNSSTSPITLSGESVPENQILIGNTSNQLKDMRSLCLKIVSRVVHKYEDHEFGSDFWDRFFSSAKPLINKFKHEAASSEKPSSLLSCFLAMSANHKLVALLCREESLIPDIFSIVSVNSASEAIVYCVLKFVENLLSLDNQLDYEDSSAHKVLLSNIEVLMDSICCLFGSDNAAKRKLIKSPGETVIRIFKFLPKYIKEAEFAKRFVDILLLFLEKKTQSSDVCIEVLQVIQNIIPILGNGSTAKILSAVSPLYISAELDMRLRICDLLDVLVASDASVLTVANLLRQLNTTSTLGWLDHDVILNAYRIINTDFFRNVQVEHALLILSHCVLDMSSEETTFVSSAQSSLLSFVDFSALILLQEGSNEQELSVIQNTDGCWTKSCIQRIIKKFFLKHMADAMDGPLAVRKGWMKLLSQMALKVPDVSNLKSLIVLCNEDGEADFFDNIADSVIRKRVKALSLFRNVISTNKLSEFITEKVFMRLFFNMLFDEKEVKVDHLKIACIETIASVAGQMGWNSYYALLNKCFQGASRSPDKQKLFIRLICSILDKFHFSELSHTEEPTSVGVSDIRITDTVSSASLGNFGASGVNTDIQTCLYKVVLPKIQKLMDSDSERVNVNISLAALKLLKLLPGDLMDTYLPTIVHRISNFLKSHLESIRDEARSALATCLKELGLEYLQFIVKVLRSTLKRGYELHVLGYTLHFILSKCLSSAICGKIDYCLGDLLSVIENDILGVVAEQKEVEKIASKMKETKKKTSFESLKFVAQNVTFKSCALKLLAPMTAHLQKHVTQNVKGKLENMLHSIAAGIESNPSVDQTDLFVFIYRIVDDGLKNEIGRHESKLLKSEDKDRRTNTKRIFSGSAVASGLLCSHLITVFGIRILHKRLKGLKQVVEDEKTLSLLDPFVKLFSDGLCSKYEDILSASLGCLTVLVKLPLPSLQEHAERIKSAVLDIAQSSVNSSSPLMQSCLTFLTMLLRKTKISLTSNQIHILIQLPIFLDLERNPSLVALSLLKSIVKRKLDDVPEIYDIVTRVAELMVTSQMESIRKKCSKILLQFLLDYRLSQKRLQQHLDFLLSNLSYEHSTGRESVLEMINAIIVKFPPNILDEQSQTFFLHLVVRLANDSDDIVRSMSGAAIKKLIGSVSPNSLDSILKYTLSWYLGDKQQLWGAAAQVLGLLIEVIKKGFLKHIDCILPVTCRILQSALHAVTNRHESFEVESTIPLWKEAYYSLVMLEKMIHEFHDECFAKHLEDIWEAICEMLLHPHSWLRNKSVRLIALYFAHVVNSENDQSSTSSYFMMTPSRLYLIATSLCCQLKMPLIDDADSNLMTQNIVFAICRVHSLMRQTACIDPPAFWSALEQHEKDRFLKAFDLINARKERSMFVSSSLTSSSSSVCEDSSQLNVNNTQYTLVSLLLKKMGKIALQADAIQMGIVFNSFGKIMAQIQIISKDDCLNYAHVVLLPLYKVSEGFAGKVIADDLKKLADDARGKIEHILGTQNYVQVYNLIRKNLSSKRNKRKQEEKLMAVTNPMRNAKRKLKISAKHRANKKRKITSLKMGKWRH >RHN41400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29718366:29718862:-1 gene:gene47718 transcript:rna47718 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLQNLVCCIVLMSALFGQNLFFIIGTEQIALCLGDNLVQEAPLQWQCQQRYDVTMYSYDVNSGAIARYSVASDHLSAML >RHN71179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56998907:57000703:1 gene:gene19830 transcript:rna19830 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNLKLRNKILKILPKTITINFQNHPFSPGRDHKSRQDNATKWARPHGVKGFSGPIQSIIPREARRKSKDINGIDYEEPTSPKISCMGQIKHKKKQIKKSKDMEVKKHVNTFQKMLFHVGKPKSEGRRKSDACAVQDKKYAIEERATHVSQMKRFASGRDTFANFDWKAQVAPEEIDNYYSDEERMQSDDDDDEEEFKIPFSAPLGGGVGACSLGLDLKPRKEINLWKRRTMAPPRPLQLDPVN >RHN56636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32471288:32471536:-1 gene:gene32068 transcript:rna32068 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative costunolide synthase MYLKLGEVSYIIVSSPSMAKEIMKTHDLNFCDRPNLLLSSFGYNATDIAFSPYGEHWRQLRKICTLQLLSAKHVQSFRYIRE >RHN70098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48734149:48737296:1 gene:gene18646 transcript:rna18646 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Tim10/DDP family zinc finger MDLSDLNSAEMQRFYSEEQQRAMINEMVAKMTSQCWDKCITGTPGNKFSSGETNCLTHCAQRYVEMSMLIMKRFQSMQ >RHN67762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30048007:30051076:-1 gene:gene15989 transcript:rna15989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MSPSPSPIFLTFLIFLFHFQHTSSFTLSVENPEQNIILSPKKTFTAGFYPVGQNAYSFAIWFTQKHKNLNNTTIVWMANRDQPVNGKRSTLSLLKTGNLVLTDAAQSIVWSTETTSTKPLELLLHETGNLVLQEQSRNGSRKNNILWQSFDFPTDTLLPDQTLTRFTNLVSSRSQTNYSSGFYKLFFDNDNILRLLYQGPRVSSIYWPDPWTTSNGAAGSGTRSTYNSSRIASLDSFGSFSSSDDFVFNTADYGTFLQRRLTLDHDGNVRIYSRKDEEQGWFVSGQFRQQPCFIHGICGPNSTCSNDPLTGRKCSCLPGYVWINDQDSSQGCRPNFELSCSNKTHDELSFLALSHVDFYGYDYGFYTNKTYKECETLCAQLCDCAGFQYTFTAEYGGVYWCYPKIQLLNGHRSQSFLGSFYLKLPKSSGFVDEIRIQQNSSGMVCERNGVVKLDREYMKKKENGSLKFMLWFACGLGGLELLGFFMVWFFLFRSSRNSDENHEYVLAATGFRKFSYSELKQATKGFSQEIGRGAGGTVYKGVLSDNRVAAIKRLHEANEGESESEFLAEVSIIGRLNHMNLIGMWGYCAEGKHRLLVYEYMEKGTLADNLSSNELDWGKRYNIAMGTAKGLAYLHEECLEWILHCDIKPQNILVDSDYQPKVADFGLSKLLNRDDLDNSNFSRIRGTRGYMAPEWVFNMQITSKVDVYSYGVVVLEMITGKSPTTGIQIKDKEELCHERLVTWVREKRRKGVEVGCWVAQIVDPKLGSNYDVKKMETLANVALDCVQEDKDVRPTMSQVVERLLRDDHDY >RHN67945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31697326:31699862:-1 gene:gene16209 transcript:rna16209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Root phototropism protein MAANPNRLSLAMERTGQWVFSQEVPTDVIVEVGEARFCLHKFMLVAKSNYIRKLIMESDETHLTRIDLSDIPGGSGIFEKAAKFCYGVNFEITVHNVAVLRCAAEFLQMTDQYCDNNLAGRTDEFLSKVAFFTLTGSVAVLKSCHHLLPYADDLNIVKRCVEAASAKACSEANFPSRSPANWWTEELAVLDIDFFSRVIACMKQRGAKPPTIAAALITYSERSLQELVRNHSGDGIGSSDYNDSDIRSKQRSLLELIINLFPSEKAAFPINFLCCLLRCAIHLRASTVCKTELEKRISAILEHVTVDDLLVMSFSYDGEKLFDLESVRRIISVFVEKEKNTAVFTPGELKQSFSVPMLRVAKTVDMYLAEIAAYGELSISKFNGIAVLIPKHARKIDDDLYRAVDIYLKVHPKLDEIEREKVCSVMDPLKLSYEARVHASQNKRLPVQIVLHALYYDQLKLRSGSDDGECDGAATERNRLATDVSLVRENEELRSELTKMKMYITDMQQQKNVTPGTTSLSSSKKTTFFSSVSKKLGKLFKNGAKDTAHLEDGPVDLTKPRRRRFSIS >RHN42643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39925544:39930750:1 gene:gene49108 transcript:rna49108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MNMSDGYEKQENERSTGKSEEHGEDTSMGSSSHNKCSISFDLNEEASRSDQDVNNEENDEACDHELVNNGDDDDEKLAKDDDEGSSSSTKRSSGSNERRRNGVRQYVRSKMPRLRWTPDLHHSFMHAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDEAGQVLSPTHRSTHELGSISHHVAHQSMIPHQHFKMANGGIVLETNYNQHSYNFHSLLHPSSLSHSHSHSHSKPINSRHQEWYLNHQSWRPSYMSNELVMPSTTLNTQGRSSITLNQQLQVKDNTVTTTLAPMRPSQFLEEKRWPPLDIMNNHPYWKKNLHDHFSSDRTSLRPAEVSFGNNTRSRENISNINNDHNYFNSSKIEFDPPFRIKLNQETLLKDKQWVPDLQLSLSQTNGNNDGKSDGLRETKEIDTKLSLS >RHN72619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9196775:9200155:-1 gene:gene8362 transcript:rna8362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acting on peptide bonds (peptidase) MLLFRYNFNKVAQYKQLTLEEAEEKMNNRKKTADGYQRWMMKAANNGPAAFGEHWKLDDKESNAGGGRSRKKTGDDDDEGPSSDKGEEDEDEEVDKKNRHGLNKKSGYDDDEGPRGGDLDDDDDDDYDVEKGDDWEHEEIFTDDDEAPGNDPEEREDLDPEIPAPLEIKQDDDDDEEEDEDNDEGGGLSKPGKELKKLLGRTGGPSESDEEDADDDDVDDEDGVPAITATKQKEPKEEPIDSSPSKPTATGPARGTPTSKGKRKENEEAKSSISVPTKKLKTENAPKSSGKDVNGSTSKSNGPPRGTPPQSSNAASGPVTEEEIRAVLMQSTPLTIKELAAKFKARLKCEEVSSSFALYRICLLNHSKFHHL >RHN69329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42666474:42671187:1 gene:gene17780 transcript:rna17780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-tyrosine-phosphatase MLGEEDKEKKLSIRRTYSRSVSWTDRSPNSHKPPLGNSKPRPLLPPLQPLSINKRSVEEWPSAGSDDLGVWPLPQTPRGSITINEPTKDFQFKRDKLAFFDKECSRIAEHIYLGSDTVAKNHELLREKGITHVLNCVGFVCPEYFKSDFEYKTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVLVHCCQGVSRSTALVIAYLMWRKGQSFEDAFQFVKTARGVTNPNMGFACQLLQCQKRVHAMPASPNSVLRMYRMAPHSPYDPLHLVPKMVNQPGSLALDSRGAFIVHVPSAIYVWIGKNCDLVMSCNAKSAAFQVVRYEGAKGPILSIREDQESAEFWIALSDQQRLSGDSDKQLVLPTEKMEIGLRKVDAYDLDFEIFHKAISGGVVPPFSVANSGSETSLPATEHGWARLRRKFASGVMKEILTSPKWKCDVIMEEEKQDFAADDDPLSASPSSSHPSDCGSPDSFECYPNSLSKAKDTDEAMDLSESDSGVASLMPLSPCGTSFPCCFPSSSPRFNSKSPTLSPSSSDYASSFTFSPSSTNWSDLSFMSSRQPSPSGQESIEPLYVKDVSFSTILSKEVLSSPSESFSTDDTLGGENSHLSSKGSFFSIAERRGSSIPPRMMLPSVNESSKVRKNLEECTY >RHN81250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44350628:44351642:-1 gene:gene5263 transcript:rna5263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNIHDEEFKKMAYFILFLVCLIMITLLITIASYLCTIPTSSTQPPSHQPLGVHDTNSNQTTITVMEPADQQHQPRLEQSTTTNVCRSNDQIRTIPYLSEVKLCNSNNINSISSCCCSICLMDYKESDLLRMLPSCGHLFHVACVDPWLIMKLTCPVCRKTYRSV >RHN53081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41883942:41885719:-1 gene:gene37834 transcript:rna37834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative arabinogalactan peptide, AGP MDVLRVQLLFMCIFSLALAFVVPSINAQIPAPAPAPTSDGTTLDQGIACILMLLALVLTYIIH >RHN61804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38496176:38497407:-1 gene:gene24303 transcript:rna24303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutaredoxin-like, plant II, thioredoxin-like protein MQQAIPYRSWTHNTSTTHFNVIKPHILTTTKIHNTIDESSHRPSSFNFNEEDKTMFHNMVSENAVIVFARRGCCMSHVVKRLLLGLGVNPAVHEVEEKDEVGLVKELESIANEEKVQFPAVFIGGNLFGGLDRIMATHISGELVPILKQAGALWL >RHN50843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11048550:11050560:-1 gene:gene35181 transcript:rna35181 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKKIPSYDSDESTSDSDNVVQPLSKIISENPTATNNKILTLTRTARSGTKRPIQNLEIGRYQG >RHN60167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22269496:22271553:-1 gene:gene22408 transcript:rna22408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative essential protein Yae1 MMDDLFDSAVNFEEVHFKKGYDEGYSHGLVAGKDEGKQVGLKIGFEVGEELGFYSGCIHIWTSAIQIDPRCFSSRAKTAIAQMKDLIQKYPLMDPEDLQVQEIMDSLRLKFKMICSLLHVKLHYNGYPAEANDTQF >RHN82217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52044322:52047839:1 gene:gene6362 transcript:rna6362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MLRLCLSPLDFCFRRRGASDFLLWHTDLKPHASGDFSIAVAQANYSLEDQSQVFTSPSATYVGVYDGHGGPEASRFVNNRLFPYLHKFASEQGGLSADVIKKAFSKTEEDFLHLVKLSLPISPQIASVGSCCLLGAISDNVLYVANLGDSRVVLGRKYLENKNCHVEAVRLSTDHNVADEEVRREVEALHPDDSHIVVYSRGVWRIKGIIQVSRSIGDVYLKRPDFYRDPIFRQFGNPIPLKRPVMTAEPSIIIRELESDDLFLIFASDGLWEQLSDEAAVDIVFKYPRAGIAKRLVRAALQEAAKKREMRYADIKKIDKGIRRHFHDDITVIVIYLDQHGSSSSGEFKQTAVGYTTAPVDIFSLNADESEKSMLGSVG >RHN70268.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50139191:50140630:-1 gene:gene18831 transcript:rna18831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MDPTHPQIYEVPPYLRVHKDGTVERYAGIAVVPPGIDPHTNVISKDITIIPETGVTARLYSPNNSTSEKLPLIVYFHGGAYCIASSSDPVYHNSLNKLVAEANIIAISVNYRLAPEHPLPAAYDDSWEAVQWIASHAAENGEENDYESWLKEKVDFNKVFLAGDSAGANIGNYIALKDHNFNFKILGLIMVNPYFWGKEPIGEETSDDLKRRMVDRWWELVCPSDKGNDDPLINPFVEEAPRLEGLGVEKVLVTVCEKDILIERGKLYHNKLVNSGWKGTAELYEIQGKDHVFHIFNPECDKAKSLIKRIAVFINE >RHN40472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16612183:16612763:-1 gene:gene46625 transcript:rna46625 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLFMVPGNIWMLYDFVVCEFLVNLWLMENLWINIHELFIRCSWYFLDLIRVLDDVTAVEKSLFFLFAAKFFSSRGCFVFSVPFSFCSPDFIPLGLKIIRK >RHN53621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2902296:2903380:-1 gene:gene28548 transcript:rna28548 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MTFLSILTFTLCSLLLTLTPSQAANFEIVNNCPYTVWAAASPGGGRRLDRGQTWNLWVNPGTAMARIWGRTGCNFDGSGRGRCQTGDCTGGLQCTGWGVPPNTLAEYALNQFGNQDFYDISLVDGFNIPMDFFPLNGGCHKISCTADINGQCPNELRTQGGCNNPCTVFKTNEYCCTNGQGSCGPTKFSRFFKDRCHDSYSYPQDDPTSTFTCPAGSNYKVVFCPLGAPHIEMSLNQTGVY >RHN46328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30783999:30785607:-1 gene:gene40808 transcript:rna40808 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSKLPLIMFFCSLIIHSSLAEVVCEELQKDLCSFSIASSGKRCLLETEKAADGGIEYQCRTSEVVLERMAGYIETDQCVKACGVERNFVGISSDALLEPQFTAKLCSSSCYQNCPNIVDLYFNLAAGEGVFLPDLCEIQKTNPHRAMIELVSSGAAPGPVSALSQDKHVAFAPSPL >RHN39899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11539316:11540441:-1 gene:gene45982 transcript:rna45982 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein METGIFEVLLVNAKGIRHTNIVGTPSYYVIIECGSQSQRSKVSKGKHEKPCWNEKFIFDFSSSDCKINSTYLKCKIMDTELFTNGGFVGEAKIDIGGIITEGTNQGYIETLPAAYNVVLEDDTYKGQIKIGFKFIANREKYVMRNQESIVEKKEPNHSIWGYIWRTSWWKFLFSYNKKINSKDKRKKN >RHN61021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32354370:32357426:1 gene:gene23431 transcript:rna23431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MGSFFILMPHLTFHLFMLLLLTHFTSYTYSFCNHHDSSALLQFKNSFVVNTSSEPDIWSMCSTFYFRTESWKNGADCCEWDGVMCDTRSNYVIGLDLSCNNLKGELHPNCTIFKLRHLQQLNLAFNNFSWSSMHVGIGDLVNLTYLNLSSCYLTGNIPSTISQLSKLVSLDLKSYYWPVEQKLKLNIFTWKKLIHNATNLRELYLNGVDISSIRESSLLKNLSSSLVSLSLASTGLQGNMSSDILSLPNLQKLDLSSNQDLRGKFPTSNWSTPLRYLDLSFSGFSGEISYSIGQLKFLAHLSLTGCKFDGFVPSSLWKLTQLTFLSLSNNNLKGEIPSLLSNLTHLTSLDLQINNFNGNIPNVFENLIKLNFLALSFNSLSGQIPSSLFNLTQLSSLELSLNYLVGPIPSENTKHSKLKFLNLGNNMLNGTIPQWCYSLPSLLELDLSDNQITGSIGEFSTYNLSLLFLSNNNLQGDFSNSIYKLQNLAALSLSSNNLSGVVDFHQFSNFRKLFSLDLSYNNLISINVGSGADYILPNLDDLSLSSCNVNGFPKFLASLENLQGLDLSNNKIQGKVPKWFHEKLLHTWKEIRIINLSFNKLQGDLPIPPYGIQYFSLSNNNFTGDIALSLCNASSLNLLNLANNNLTGTIPQCLGTFPYLSVLDMQMNNLYGSMPKTFSEGNAFETIKLNGNQLEGPLPQSLAHCTQLEVLDLGDNIINDTFPNWLEVLQELQVLSLRSNHLHGGITCSSTKQSFPKMRIYDVSGNNFRGPVPTSCLKNFQGMINVNVNKSGLQYMGKANYYNDSVVIIMKGFSIELTRILTTFTTIDLSNNMFEGEIPQVIGKLNFLKGLNLSHNQIIGTIPQSLSNLRNLEWLDLSRNNLSGKIPMALTNLNFLSFLNLSQNHLKGIIPTGQQFNTFGNDSYEGNAMLCGFPLSKSCKNDEDRPPYSTSNDDEESGFGWKAVAIGYGCGAVLGILLGYSVFFTGKPQWLARHVESIFSIRLKRTNKKVGANRRRMN >RHN69946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47499827:47506360:1 gene:gene18479 transcript:rna18479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small monomeric GTPase MDSSTPQEFDYVFKLLMIGDSGVGKSSLLLCFTSDSFENLSPTIGVDFKVKYFTIDGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMAYDVTRRDTFTNLSEVWAKEVDLYSTNQDCIKMLVGNKVDKHESDRVVTKKEGIDFAREYGCLFIECSAKTRVNVQHCFEELVLKILDTPSLLAEGSKGNKKNIFKDKPQSDASTSGCC >RHN63065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48065925:48066669:-1 gene:gene25720 transcript:rna25720 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGFGRRKFLSFPLVIGAVIIGVVSGKSIFGPPLDDYWKKKRLEEEAAAAASAIAKENDSTST >RHN79144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24499094:24499622:1 gene:gene2870 transcript:rna2870 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFDVKARINIPPKVSKPNLVGLFPHRLWLQPTTTWASRKRKVSFEVEEKEVNKVVVVEKIESAAKSAFRFGFSAALSFYIFCHAPTALSQSLTVAFPVSRAPEVSSYISLSVPFFGSGSNPEPYIYYALFLPTELSYLYVPLMIRNVTNTFFHCM >RHN76560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51233881:51235829:-1 gene:gene12907 transcript:rna12907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative coactivator CBP, KIX domain-containing protein MDTINQGAELNMDPSDWRGQFPAESRQRIVNKILETLKSHLLVSGEEGLHELWKIA >RHN65954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6976230:6978588:1 gene:gene13860 transcript:rna13860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDDYHVIYGRGNRFGFHELNNVNYEIGVSWKLLSEFANVSLVDESVIYGREHEKEEIINFLLSDSDSDNQVPIISIVGLIGIGKTTLAQLVYNDHRIVEQYELKAWVYLSESFDVLRLAQTILKSIHCSPREFSNDLIMLQRELQHMLRGKKYLLVLDGVRNIDGKIWEQLLLLFKCGSSGSKMIVTTRDKEVASIMRSTRLLHLYQLEESDSWRIFVNHAFRGRNLFDFPNLESVIKKVAEKCGGLPLALKTLGNLLRIRFSKLEWDQILETDLWCLSEGENNINPVLRLSFFNLPSDLKRCFAYCSIFPKGYEFEKSELIKLWMTEDLLKCCGRDKSEQELGNEFFDHLVSISFFLSMPLWDGKYYMHDLVNDLANSVSGEFCFRIEGENVQDISERTRNIWCCLDLKDGDRKLEHIHKVTGLRSLMVEAQGYGDQRFKISTNVQHNLFSRLKYLRMLSFSGCNLLELSDEIRNLKLLRYLDLSYTDIVSLPNSICMLYNLQTLLLEECFKLTKLPSDIYKLVNLRYLNLKGTHIKKMPTKIGALDKLEMLSDFFVGKQRGFDIKQLGKLNQLQGRLQISGLENVKKTAHAVAANLEDKEHLEELSMSYDGWRKMNGSVTKADVSVLEALQPNKNLMRLTIKDYGGSSFPNWVGYRHLPNLVSLELLGCKFCSQLPPLGQFPFLEKLSISGCDGIETIGTEFCGYNASSVPFRSLVTLRFEQMSEWKEWLCLEGFPLLQELCIKHCPNWRLQFPRLIISVS >RHN75101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39392372:39397811:1 gene:gene11260 transcript:rna11260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MESTKNLLPLSDDVKQSQETEHKGPTMTRQGVYAALSYMASSVLLVMFNKAALTSYSFPFTNVITLTQMVCAFVILYVLKSLKMISFTTGESQNSYKSTNSIIFVSFSTLVYTVPLALTYLLFMVVTMEAVRGINIPMYTTLRRTSVAFTMIMEYFLSGKKHSSFVLGSVGIIIAGALVAGARDLSFDAYAYTVVFIENMCKAVYLASISRVGKASGLNIFGLLWSNVLICGPIMFLWSLLRGDLQSTLNFPYLFSPGFQVVMVMSCAFTFFINYIVVLNTTVNSALTQAICGNLKDVFTSGIGWALFGGLPYDLFNVLGQTLGFLGSCLYAYCKLQGI >RHN81302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44681931:44684423:-1 gene:gene5319 transcript:rna5319 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTERQEALVSSSWETFNQNLPFYSVLFYTFILDKAPAAKGMFSFLKDSNEVPQDNPSVNAHAEKVFGMVRDAAVQLQAKGEVVLGDSTLGIVHTQKGVVGPHFTVVKEALLKTIKEVVGDKWSEELSVAWETAYDELAVAIIKEMS >RHN40725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19990720:19991244:1 gene:gene46919 transcript:rna46919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSPEYAMEGICSTKSDVYSFEVFLLEIICGRKNSNFYDLDRPLNLIGHAWELWNDGEYLRLLDTSLSDTFVPEEVQRCIHVGLLCIEHYANDRPTMSDVISVLTNKYELTTMPRRPAFYFTREILEGETTLKVSDCDTYSTTTISTS >RHN46202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29592139:29592521:-1 gene:gene40652 transcript:rna40652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S12/S23 MTYHHRLTKQDALNGSKERKPEIMSSIMGIKFKHPNFAIRKCVKVQLTKNGKKTLALQDSCLNYIEDEDVDMIIGFRRKGYL >RHN61324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34799996:34805734:-1 gene:gene23777 transcript:rna23777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellular retinaldehyde binding/alpha-tocopherol transport, CRAL/TRIO MSSPIDRFALPCFEGSSGSDEKRTERKSDFKISGSSSNGGGSLKKKVSSKIKHSLKKKSGRRKSDGRVSSVSIEDIRDVEELQAVDAFRQSLIAEELLPKKHDDYHLMLRFLKARKFDIEKAKNMWADMLQWRKEFGADTIMEDFEFNEINEVVKYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVREFEKSFAIKFPACTIASKRHIDSSTTILDVQGVGLKNFSKAARELIMRLQKIDGDNYPETLHQMFIINAGPGFRMLWNSVKSFIDPKTTSKIHVLGNKYQSKLLEVINASELPEFLGGTCTCLDQGGCLRSDKGPWNNPEIMKMILSGEAGRPRQVVKVLNSEGKVIAYAKPRCPMVKGSDTSTAESGSEAEDIASPKVVKSYSHLRLTPVREEAKVVGKSSYAVSGDLAGYDEYIPMVDKAVDAVWKKQASLHRSHSTKGTPPLPDTPKLPEGIQARIWVALTVFSWTMFSLFRSLACRMTKKLPSVSSNNYQSTSELTLDTTNKEDYTETSVFCSMMKRLGELEEKVDTLKSKPSEMPRQKAELLNVAVYRVDALEAELIATKKALYEALMRQEELLAYIDSQEEAKLRKKKFCW >RHN40664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19117104:19118903:-1 gene:gene46850 transcript:rna46850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MAEELILLDEWLSMFGMRARIALAEKGIKYEYKEEDLENKSQMLLKMNPIHKKIPVLIHKGKPISESIIIVEYIDEVWKDKVPFLPSDPYQKAQARFWADFVNKKVGDVGGRIWAGKRDEIELAKKELIEGLKELENVLGDQPYFGGDTFGFVDIALIPFYSWFYTYEKLCNFKVEEECEKLIVWAKNCKQKESVSKSIADEKEVYDFVVNYRKRFELD >RHN80169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35802900:35806711:-1 gene:gene4059 transcript:rna4059 gene_biotype:protein_coding transcript_biotype:protein_coding METLVVVEQHKNQDHAPSRDFIGINCRTFESGYGVLPTPLRSQSFNENPHKKTSHRSNSIPINGKGCRKDLTFDEDLSGGSFFPTPLKYESFNGNPDRKTTPKSNPIPINGKACRKEIAFDEDLSSGSFLGTPMKSRSFNENPDRKTTPKSNPIPINGKACRKEITFDEDLSGGSFLLSELWAGPTYSNSPPPSSLPIPKFTVRPKRTVSLDLPGSSPEIELRIMAKSAPSSPNRERLCFTSDLFDNADSATKTLRRILNLNLEDE >RHN43132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43439618:43440109:-1 gene:gene49661 transcript:rna49661 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFVYSNSHNYRSSGERDSEAEVDSKAECDRGGDGSGGVEDMKLSNIRLLLGSVIIVIALFAQFYKKRRSVTEETAAPTRGI >RHN70063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48410363:48412348:1 gene:gene18606 transcript:rna18606 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MGHKEKSRKDKRLQEISLLRTIPYSDHQRWWSKETIAVVTGGNRGIGFEISRQLADHGVTVVLTSRDASVGVESIKVLQEGGLDVHCHQLDILDSSSVNEFAEWLKEEYGGLDILVNNAGVNSNMGSDNSVENARKCIETNYYGTKRMIEAMIPLMKPSAAGGRIVNVSSRLGRLNGKRNRIENEELREKLSDVESLSEELIDETINNFLQQIEDGSWKTGGWPQTFTDYSVSKLAVNTYTRYMAKKLSDRPEGEKIYINCYCPGWVKTALTGYAGSVTVEQGADTGVWIALVPDQEITGKFFAERREINF >RHN71498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:555312:560130:-1 gene:gene7105 transcript:rna7105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MMMSCVFNVVDFSSHYSPHLHLHLHAHSQITPPLLSKRAINRFWNCNSDSINHQTPRFVKMQSNITSNSIPTPIHTFDSELNKIPHVLTVAGSDSGAGAGIQADLKTCSARRVYCSTVITAVTAQNTLGVQGVNIVPHDFVQHQLNSVLSDINVDVVKTGMLPSLSVLKVLCQSLRKFPVKALVVDPVMISTSGDILAGPSVLDGFREELLPMADIVTPNVKEASALLGDLPIKTVSDMRTAAKLIHDLGPRSVLVKGGDLPNSSEAIDIFYDGQEFYELSSPRVNTRNTHGTGCTMASCIAAELAKGSSMLSAVKIAKRFVEAALEYSRDLLIGNGVQGPFDHLLALKNINQSSYRQDRFNPNDLFLYAVTDSGMNRKWGRSIAEAVKAAVEGGATIVQLREKDAETKDFVDAAKVCLKICRSYGVPLLINDRIDVALACDADGVHVGQSDMPARLARTILGPEKIIGVSCKTPEHAHQAWLDGADYIGSGGVYPTNTKENNRTIGLDGLKEVCKASKLPVVAIGGIGMSNARAVMELGVPSLKGVAVVSALFDRECILTETRNLQAVISEAALLTQ >RHN59186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8285124:8286625:1 gene:gene21181 transcript:rna21181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MDLPQELIIQFLLRLPVKSLLVFKCICKLWFSIISDPHFANSHFQLNHAKHTRRFLCISALSPEIRSIDFDAFLNDAPASPNFNCSLPDSYFPFEIKGSCRGFIFMYRHPNIYIWNPSTGSKRQILMSAFNTKAYINLYGFGYDQSRDDYVVVLLSNKVNPFSVGVPQSHLEFFSFKDNTWKEIEGTHLPCGDDYREGEGVVFNGAIHWLSSRHDIALDVIVGFDLTERILFEMPLPNDVDHTALVHSGLWVSGEFLSIWVKDTTNDTIEIWVMKEYKVYSSWTKTLVLPQNAIPDHEFDPLYYSRFVDYFHPMYSTENGDIIGKYGGTKLVKYNDKGQFLGHHSFCNSPSEVVVYTESLLSLSGDNEHNQEQ >RHN41966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34379816:34382189:1 gene:gene48346 transcript:rna48346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MTMELHSVCRTIREARQLHLSLLKTGNLHSSVITTNRLLQLYSRRGSLHDASKLFDEMPQPNPFSWNTLIEAHINLGHRNKSLELFHAMPHKTHYSWNLIVSTLSKSGDLQQAQALFNAMPMKNPLVWNSMIHGYSRHGYPRNSLLLFKEMNLDPLETVHRDAFVLSTVFGACADLFALDCGKQVHARVFIDGFEFEQDKVLCSSIVNFYGKCGDLDSAARVVGFVKEVDDFSLSALVSGYANAGRMSDARKVFDNKVDPCSVLWNSIISGYVSNGEEMEALALFNKMRRNGVWGDFSAVANILSISSSLLNVELVKQMHDHAFKIGATHDIVVASTLLDAYSKCQHPHDSCKLFHELKVYDAILLNTMITVYCNCGRVEDAKEVFNSMPNKTLISWNSILVGLTQNACPSEALDTFSMMNKLDVKMDKFSFASVISACAIKSSLELGEQLFGKAITLGLESDQIICTSLVDFYCKCGLVEMGRKVFDGMIKTDEVSWNTMLMGYATNGYGIEALTLFNEMGYSGVRPSAITFTGILSACDHCGLVEEGRDLFRTMKHDYDINPGIEHYSCMVDLFARVGCFGEAMYLIEEMPFQADANMWLSVLRGCVSHGNKTIGKMAAEKIIQLDPGNSGAYIQLSNILATSEDWEGSAEVRELMRNKNVQKIPGCSWMDC >RHN50592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8380544:8390630:-1 gene:gene34898 transcript:rna34898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain-containing protein MDPLEPLIAQIQGLSSSSADIARLHTILKHSDETLRSDSSRLYPILQLIDPSIHSLGFLYLLDAFSAASVSNQQQAEEAVPIIARFINACSVEQIRLAPEKFVSVCKRLKDLVTLLEAPIRGVAPMFTALRKLQVSAEHLTPLHAEFLMLCLLAKCYKTGLSILDDDVFEVDHPRDLYLYCYYGGMICIGQKRFQKALDLLHNVVTAPMTMMNAIVIEAYKKYILVSLIRHGQFSTSLPKYASPLAQRNLKLFCQNPCIELAQTYNNGKVAEVEAFVNANAGRFEADNNLGLAKQVVSSMYKRNIQRLTQTYLTLSLEDIANTVHLNSAKEAEMHVLQMIQDGEIYATINQKDGMVRFLEDPEQYKTCEMIEHIDSSIQRIMALSKKLTATDEQISCDQLYLSKVGRERQRYDFDDYDVPTRFNI >RHN69469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43651506:43654277:1 gene:gene17945 transcript:rna17945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MVEKNGSTNHHQTFDVSIDQQRDSKFFDDDGRVKRTGTAWTASAHVITAVIGSGVLSLAWAIAQLGWIAGPIVMILFAWVTYYTSILLCECYRTGDPISGKRNYTYMDVVHSNLGGFQVTLCGIVQYLNLVGVAIGYTIASAISMMAIERSNCFHRSGGKDPCHMNSNIYMISFGAVQIIFSQIPDFDQLWWLSSLAAVMSFIYSTIGLGLGIGKVIENKKIDGTVTGVTDVTKAQKVWGSFQALGDIAFAYSYSMILIEIQDTVKAPPPTEAKTMKKATIISVASTTFFYMLCGCFGYAAFGNSSPGNLLTGFGFYNPFWLLDIANAAIVIHLIGAYQVYCQPLYAFVENYTAKRFPRSDFVNKNIKIPIPGIQMYKLNLFRLVWRTIFVILTTLVSMLLPFFNDIVGLLGALGFWPLTVYFPVEMYIIQKRIRKWSSKWICLQLLSVACLIISIAATVGSVAGIILDLKVFKPFKTMY >RHN60101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20297653:20303706:1 gene:gene22323 transcript:rna22323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lanthionine synthetase C, six-hairpin glycosidase MVTHVMRLKIKTATVLRSSLTPEAMSNRFFPNPMPEFIPESTPSTQQELQEAVTVTTGGDSLLNLLAMPHAPLSQRLKRAALDLKETIVMETWGLTGQRVGDFTLYCGLLGTAFLLLKSYHVTCNTNDLALCSQIVKSCDAASLSSRDVTFICGRAGVCALGAVAAKHAGDDESLRYYLAQFQQIKLPKDLPDELLYGKGGFLWACLFLNKHLGQGTVPSSYTATVVDEIIKNGRALGQKRKCPLMFEWYGEKYWGAAHGLAGIMNVLMDMELKPDELEDVRGTLKYMIHNRFPSGNYPASDKDKKSDVLVHWCHGAPGMALTLVKAAKVFGDKEFLDAAMEAGEVVWSRGLLKRVGICHGISGNAYVFLSLYQLTGNTKYLYRAKAFACFLLDGAHNLISGGEMHGGDSPHSLFEGVGGMAYLFLDMVNPSQSKFPAYEL >RHN64063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56293224:56296393:1 gene:gene26841 transcript:rna26841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein chlororespiratory reduction 6 MATSTTIRFRPLLPLSSPLPKQTTSPLTSFLSLPISHHQRGNVAVSVAFNPQGNFDVSLFDEDDESKVSPPMPPTEGRFEVVIDNDAISRLDLTPFQAATGMKNPLSVRPQEFLERSIGFTINYTRPDPRDPRELSEYPDIRLWFVRLDAAYPWLPVLLDWRAGELARYAAMLVPHQMNMKMGVVFNPEALELFVMNKVFIVYSWLKHHNIPKPELKANNMARMLGFGIGSELYDLVENHPLDLE >RHN42498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38847274:38850455:-1 gene:gene48945 transcript:rna48945 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLQVQGAFIISYYKIKKHHFSYQLSIHHVTQFFFFINQTPNPSCQTLFPFIPFSLSFNLRLFPQNVVVVVNHFNIQQSKIMRRNQDQEQQSRMICELSSLVFNLLLQSSTTPLSYSDRSPIVPVHPSVLRRSSAAGQITPAGFAAFVLGISVALMLCGSVTFVIGFMLMPWVIGLVMVFYVAGVVSFLSVLGRSILCFASPRKDSPEWKLL >RHN74176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29922455:29922940:-1 gene:gene10183 transcript:rna10183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oleosin MSHMSCPSSYDYPLWLFQLSLQLQYFNSLISSHQITMADHYYSIQPLTLKPPKPTTNSSSSSPLLRKHVSNSTQLFGLFTLFIVCTISLFLTCLTFVVTIMGFILFAPMIILLSPILVPVFAVLFVFIVGFLFTCGFGIVVLAMLSWIFRYFKGLHHHLGL >RHN45079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11472885:11475207:1 gene:gene39280 transcript:rna39280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKSIINYKAITPYSISYMQKRENMTVIVKFVCVMIIFLSLCVFSMHIETVTTCIYDSDCPEDMCYPPKKSFCSTFEILSIERKVGVCECI >RHN57795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41768731:41769039:1 gene:gene33383 transcript:rna33383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MSLDYFKDDVWNKSFWGDIEYAMSDNKNGSKIFITSGEIEYAMSDNKNGSKIFITSRSMDVAVYCKKFSFIEVHELQPLTEEKSFDLFNKKAFQFDFARAYH >RHN62270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42234781:42236186:1 gene:gene24825 transcript:rna24825 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHVIKLESVPEEQIDITSAEVVPDASNEIEDPDATEYSSSFADTISDAENGSEGNGDEVQSELFGENGMACPQDPFGPDVPTRKRKLVTNDWQNFIRPLSWRMKWTEIRLKQLESQEFKCRKELEKCDREKHKATDHFNLEEFGSRSVPFSSHQYRSKAKVRRRRKKIEDTTDVATYTKHHPLFSYLENKKSDADGSSDEESDNSEELNEDSTENFGEAVPVLECTDTDIFFEDLFSNIDDLQDRIDVMRDLIDSIRSTDDSASSVGEDISDSNESTCTDSNFV >RHN81820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49157641:49161346:1 gene:gene5910 transcript:rna5910 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGRYYKCVVLLVLIEIAQICLCVNSNIPCIEKERQALLNFKASIAHDSPNKLSSWKGTHCCQWEGIGCDNVTRHVVKLDLMNPCHQPFWSREEEHFGHYYLYNLDDYMPCSPIVAPNVSSSLLQLEHLTYLDLSGNNFSGSPIPMFLGSMGRLEYLSLSHARLSGRIPNSLRNLKNLRFLDLSFNYYYLTQFEERELQMDDGTSWISNLHSLKHLDLSGIRLNDTRNLFQVLNTLPSLLNLSLSGCRVDNSLIPRYAFQNMTSLIYLDLSSNELHGPIPESFGNMTSIESLYLSGNNFTSIPLWFGHFEKLTLLDLSYNGLYGQIPHAFTNLSSLVHLSIYYNYLDSGSSFSFNNLRKLLYLDLEYNRLYGPIPEGFQNMTSIESLYLSTNNFTSVPPWFFIFGKLTHLGLSTNELHGPIPGVFRNMTSIEYLSLSKNSLTSIPSWFAELKRLVYLDLSWNKLTHMESSLSSIITNMCSLKYLYLSENKLQGELMGHFELSGCNRYDMEVLDLSYNDISDRLPTWLGQLENLKLLGFGSNFLHGPIPLSIGKLSKLEGVYLSNNLLEGVLSSNIRQLVNLTYLDLSSNKFDGSIPQSLGKLAKLNSLDLSDNSFNGIIPQSIGQLVNLAYLDLSSNKLDGSIPQSLGKLTHIDYLDLSNNSFNGFIPESFGQLVNLEYLDISSNKLNGIMSMEKGWHLNLRYLNLSHNQISGSIPKNIGHIMLSLENLFLRNNRLNGSIPISLCQFQLSNLDLSKNNLSGEIPNCWENNQVWSEINLSSNKLTGAFPSSFGNLSSLYWLHLKDNNLQGELPGSFRNLKKLLILDLGNNQLSGSIPSSWTANTFPSLQILILRQNMFSASIPSQLCQLKSLQILDLSRNKLQGSIPRCIGNLEGMTLGKSTSSSVHMQSYNLIADAPQTWSNEFLTDVNALPPSTPVDWPSQFVTEVVKGTELEYTKILELVVNMDLSQNNLVGFIPNEITWLTGLHGLNLSRNHLKGEIPQLMGRMKSLESLDLSHNQLSGTIPSTMSALTSLSHLNLSYNNLSGSIPKDNQFLTLDDPYIYANNPYLCGSPLLNKCPGHISHGTSQTKGDEDEDEDGVEKVWFYFVIALGFATGLWGVIGTLWFKKNWRHAYFRWVEDIVDEIYVAVVIKVAKKKKNMIRNHNHE >RHN59352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9786383:9787145:1 gene:gene21372 transcript:rna21372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, ARF/SAR type, P-loop containing nucleoside triphosphate hydrolase MEISLIGLQNAGKTSLVNAVTTGGYSEEMIPTVGFNMRKVTKGNVTIKIWDLGGQRRFRSMWERYCRAVSAIVSLVDAADPDNLSISRSELHDLLSKPSLSGIPLSVLGNKIDKPWAVSKQDLTDQMDLKSITDREICCFMISCKNSTNIDSVIDWLVKHSKSKN >RHN49506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55258126:55261514:1 gene:gene44345 transcript:rna44345 gene_biotype:protein_coding transcript_biotype:protein_coding MERREKCTEKREEGIMVEKLKLVEKRGGRGRSSSSTPPPTWRLVDFPSSQQQQFLNFPTSKTLSARNLCAKLWEFHSHHHEHPPSHQPASARDSRRRVQASVVQQGKSVDRNGSALQSVAPANCLSSMEVTQESSRELVKVLDRSWRLEEQDALNIAIVKALIMELDLSQTQVKELLQEKKMNKQEIESLMKKITEDKLVNKNKEHEKIKAVVQSVKEEIEDERKLRKHSESLYQKLASELYEVKSLLRGTLRDLEREKKQRILLENLCDDFAKGVRDYEHELRSIMHNSADKDHIKGDSLDRLILHISEAWLDERTQMKLVQDGIGSDLLETHSIVDKLHVDIETFLHTKRSIDLKRYNFPSIKEVKEIHPCLPSLDSFQLKETINSPHNFAEEASIGTNKFEQKRTAENRLNKCFVEGKSSEIGRYNRNLLNAPRETTLCETVQGPPENDRCRTNRNNSCHSLEQLVGNSSLSSEGDKVYPESICREDACVHSSVTVKGSPVKQWKKTLFVPDFDKSQSTNKLPRGVKDNTFMAKLLEARLEDHKSRSKPSKSSF >RHN54067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6681669:6683922:-1 gene:gene29046 transcript:rna29046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MNLYLLLFTFIHFQSNKKNIIMEQQQQLNLDLTLASVPKTVSHFLNNVVQTKDMSQKLSMLDDLVHSLEEEMKKVLAFKRELPLSILLLNDAIARLNDEKEKVRLMKMDDLKENCDNKKNWMSSAQLWTNETKSKNEGDDDRTVLHKGNGGEVFMGFTENLLKEVSQAKSFSLVSEVSHGNSKSGGGSSGSSLLRVEIQNQPQPPQPLQQSSRKQRRCWSSELHRRFVDALQQLGGAHAATPKQIREKMQVDGLTNDEVKSHLQKYRLHVRRFPVSSIQEANKLALYMAHDQCEEDTSEGNFSESVSPQGPLTPLLLGGSAQGLSSHGRNSMDAEDEQSDCRNWKSD >RHN59088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7395981:7398292:-1 gene:gene21076 transcript:rna21076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MQVFEVRFSLVQIPDEFITRFGNELDNVATITVPGGREWDMELKKCGGQVFFCNNWQHFAEYYSISYSCYLDFKYEENSNFVVVIYDPTFVEISYPFKTPSTNGDQSIKGPNSDSKRANCAAGEFNPKNPYFHSKSIKGIFAYVPSGFAKKYLMLMVLFMLQNYQGKQWEVYCILNTKGNSSMRITSGFSKFARENNLSEGVTWPPQGRSSQSLTEKEVRESEHFKMAILPSPIHDKEIRISDEFITRFGIELKNVATVTAPDGRDWRMRLKKHGNYIFFYNEWQEFAKYYSLGYGCYLSFKYEGNSKFSVIIFDVTSVEICYPLKTPSTNGETNTQCPTPRKSSRVETSGSQVIKLKIRFGHAFKNAEVAANEFNPKNPYFCSKIAKRNLVNVTAKFAQKYLMPNVPIALQNSQGKHWKVHCVLYNPKSFS >RHN68597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36913249:36919001:-1 gene:gene16968 transcript:rna16968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylan 1,4-beta-xylosidase MALSSTFTFVTIISLFLTLTYSVLAQLPPFACDYSNPSTRSYPFCNPKLPITQRTKDLVSRLTLDEKLAQLVNSAPPIPRLGIPAYEWWSEALHGIGNVGRGIFFNGSITSATSFPQVILTAASFDSHLWYRIGQAIGVEARAIYNGGQAMGMTFWAPNINIFRDPRWGRGQETAGEDPMMTSNYAVSYVRGLQGDSFQGGKLRGHLQASACCKHFTAYDLDNWKGVNRFHFDARVSLQDLADTYQPPFRSCIEQGRASGIMCAYNRVNGIPSCADFNLLTNTVRKQWEFHGYIVSDCGAVGIIHDEQGYAKSAEDAVADVLHAGMDLECGSYLTDHAKSAVQQKKLPIVRIDRALHNLFSIRIRLGQFDGNPAKLPFGMIGPNHVCSENHLYLALEAARNGIVLLKNTASLLPLPKTSISLAVIGPNANASPLTLLGNYAGPPCKSITILQGFQHYVKNAVFHPGCDGGPKCASAPIDKAVKVAKNADYVVLVMGLDQSVEREERDRVHLDLPGKQLELINSVAKASKRPVILVLLCGGPIDISSAKNNDKIGGIIWAGYPGELGGIALAQIIFGDHNPGGRLPITWYPKDYIKVPMTDMRMRADPTTGYPGRTYRFYKGPTVYEFGHGLSYTKYSYEFVSVTHDKLHFNQSSTHLMTENSETIRYKLVSELDEETCKSMSVSVTVGVKNHGNIVGRHPILLFMRPQKHRTRSPMKQLVGFHSLLLDAGEMSHVGFELSPCEHLSRANEAGLKIIEEGSHLLHVGEEEYLIDIIV >RHN80572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39147986:39150157:-1 gene:gene4512 transcript:rna4512 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLRELPSFVIIIAEEFLYLFSISYMEYITASMLIFLIRILLDLWSLQPLFVVILLSRVHSLLMS >RHN74098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27606305:27613375:1 gene:gene10074 transcript:rna10074 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSFSLKTEVSPSVDSQSLKKWVFAFCAIRFDLEQGQLVEECYPHGVLSSEEELEIAYSSFPDSVSQHQNRSSIHDCIFFFRICRRNNEYLYGYVFNRQRHDERLKRGGEQKSVVILSHCPYSSVFRPLLQIVGPLFFDIGKKALEHIAAFVSKWPPPVPGQVMDLPIGNAALKVNLPPALSLPVDDYVSSAATVNQSVLQGLFHDSDLFGSFRGLLLQLWLLWELLLIGEPMLIIAPTPPQCCEAVASLVSLVAPLLCSVDFRPYFTIHDPIFAKLNSIQEGEAFPPVILGVTNLFFLKALRNIPHIVSVGSPPPNSNRLALSNRSSTGRISGRPEGLGFQQLSLRRFSPTSLLNAVKMRRDGPLCLMTEHKEAIWSTYSATTKPDTSILNRLIDAGLSPRVEESMSVVNNEILRRHFLELTTNFLAPFSPYFRTTIPLEGSSPYTDPPSLPPFDANEFLASLSARGPGKFILKRMKSNWLDLYRRFLNGPNFKPWFQRKRAVAVQEQDRLWRQARIKADIHQLISKFSEVEIVDSFGVIERLLLKEIQMQQSGKGGDDSTVTSQKLRGDLQAVFNVLSKDMQQLMLSNPQRASLLHESPEVTKLPGHPLIQVAVVSSTSPR >RHN80508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38681546:38684277:-1 gene:gene4443 transcript:rna4443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylyl-sulfate reductase (thioredoxin) MAMEESYVDLAENLVGSGVKVRKFRADGDGKECAKSELGWEASPQYSSFPKHSSRLIKYPSEKREVDSLMAFVNALSFGSVLR >RHN72283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6440016:6440603:1 gene:gene7977 transcript:rna7977 gene_biotype:protein_coding transcript_biotype:protein_coding MACQQRQIIEQQRNEAEIFKGEAICKQKSRLLLDEMLLPRGLLPLDNIVEMGINRVTGFVWLRQRQKKVHRFNAIGRTVSFDTEVTAFVEEHVMRRITGVKTKELFVWLPVSTIFIDDPSSNKILFANSSGIARSFPLSAFNLQEDQTPQQHLRPQSTTNFF >RHN72978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12305512:12309918:-1 gene:gene8747 transcript:rna8747 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:cope1 MATPDHLFNLRNNFYLGAYQAAINSSEVSNLSPDDIIERDTLVFRCYISLGQLQFVISEINDSAPTPLQAVKLLALYFSSPDSKESAIASIKEWLADPAIGNNATLRLVAGIIFLHEQDFNEALKYTNAGGTMELTALNVQIFIKMHRSDYAERQLRIMQQIDEDHTLTQLANAWLDLAVGGAKIQEAHLIFQDLSERYQSTSLLLNGKAVCCMQMGNFDEAETLLVEALNKDARDPETLANLVVCCLHLGKPSSKSFSQLKLSHPDHVLVKRVSAAEESFDRALQSAS >RHN59963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15383523:15390167:1 gene:gene22136 transcript:rna22136 gene_biotype:protein_coding transcript_biotype:protein_coding MTVALEECCISATGQKSTLSLWIGVRNFDKENMWITLSKTEVLPLKEDDVHRRPSLRDWDVKTANQDLQKVHDLMGLEHGLTTGVTKLYSTDEGPLVLCFDADTCPLSKAEMHLNHCRSCIRIYTTTAETLERRIAGNIGTSGKNDAVAEETNTTKVNPIEVQPETEDNISLSAGTDLTRKRKERSSSVDNGQAQNESSIPQTSTRGEERLNNQEDVADEINAKIIDDNDDDEEDEIDGKNLDDSVRKACNMGISFTQETILKRPEFFDGGEASNAGLNNHDSVRTDSVNKKCNSESFKTQCKVKQANVMEEEDPKEQKPLEPNIHFVLEPIPLRYVLPDAIIDLDNVETVQKKKRKKHDMLYSGGTYPERRRAVKKSKYLASPYDEAVYESNATKMQKDISTFAWSISHDKTEILYCSDNKAHAYRLQRSDLWTLQKDEWVSCFVINAWVNCLNWNQPNEKMTRLVTPFMYALHIP >RHN80754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40659933:40662350:-1 gene:gene4719 transcript:rna4719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MSKSQNRMEQPNQYQTTLALLEPKPKNLNSNNLNDDQCFLLYFIMGTYFGPDINGDKKSVLQIVAEGLPSYTREQLTNSYMKVSELERIYYYILRNVDKSLTVKLTFLRRFIQGLEGSSNCNYPQFTDLFPLELHPQSMFKGNRFKIIENIVFIDNPEVFFFSQEDIERFKRLSGLEDFVVDKDVARLYNCMDGSGLRNKSVVKVEHKKIIPLPLPELQSSSRKTSSRKVTESDDFSDLKYQLPHAHAVTPISCVPFNGGMGLDGEGDSVKVGAPAALFLPSRPTKKEWSNIVAATNSGFALTGSAAMGQIGPIMGLVDIGECEDSYLFRMSLPGVKRDDKEFSCEVDTDGKVFIQGITTTGEKTVSMRTQVFEMQTQNLCPAGQFSITFQLPGPVDPHQFSGNFGTDGILEGIVVKRKPR >RHN38465.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000011.1:17516:21436:-1 gene:gene50698 transcript:rna50698 gene_biotype:protein_coding transcript_biotype:protein_coding MISRRGETVDQLVRFSSFIYFDVFSKLIILIKKGRLGYDSIVKRPPSSSGERSAKKLFCDQEDLTASPPFIFLSASAPFAATTVPFFAAAFPTLTNRRSEPES >RHN78240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13059568:13061091:1 gene:gene1774 transcript:rna1774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MFIIQCIGAFVAILFFLFVHSWRRNRNAIVPNWPILGMLPSTLHNISNYYDYITLVLKHHGGTFRFEGSWFTNSTGILTSDPMNVHHIVSKNFGNYEKGSNFKETFETFGAGPLNSDSDEWKKERTMLHSFFKRKNFENFLKQTIQKKLENFLLPFLDHASIVKAHVDLHDALTRFTFDITCTFLFGFDPNCLQNNFSHDLGDITYHKALSMIEEAIVYRGFFPTFVWKLQKWLQIGQEKKLKVAQESLDKFLHECILSKLEEQNRFSSTREVEDSDCDLIKEILKGTGKGKTSEKYLRDTAVNLLLAGNGTVSSGLSWFFWLVSCHPVVEAKIIQEMKDNCLSDDENLITSIVEKLDKLIYLHGAICEALRLYPPVPIEQKFAIKADILPSGVHVSPNTRILYYLYSMGRMEKIWGEDCMEFKPERWISKSGQIIHVPSYKFIAFNAGPRSCLGKDITFVEMKMAAAAILWKFCIHLVDGHPVTPRLSITLGMKHGLKVIVTKRCI >RHN67912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31339778:31345387:-1 gene:gene16165 transcript:rna16165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation initiation factor IF2/IF5 MADEAQIDVKEEVPDIAPFDPTKKKKKKKPAVVDLDDDSVDKLAEKTENLSVSEGFDSILAGSKKKKKKPVEISSLIDESDAKNEDLDDHAEEDEGDAVSLQPRYPWEGSDRDYQYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPDHVMTFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYINEYVICLGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRTAGGT >RHN63719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53505063:53508999:1 gene:gene26456 transcript:rna26456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ClpA/B family, P-loop containing nucleoside triphosphate hydrolase MNPEKFTHKTNEALAGAHELAMTSGHAQITPLHLASILVSDPNGIFFQAISNVAGEESARAVERVLKQALKKLPSQSPPPDEVPGSTALIKAIRRAQAAQKSRGDTHLAVDQLILGILEDSQIGDLFKEAGVAVSRVKTEVEKLRGKDGKKVESASGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLADVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVPDTISILRGLKERYEGHHGVRIQDRAIVVAAQLSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRMQLEVELHALEKEKDKASKARLVDVRRELDDLRDKLQPLKMKYSKEKERIDEIRRLKQKREELLFALQEAERRYDLARAADLRYGAIEEVETAIKNLEGSTDGNTDENLMLTETVGPDQIAEVVSRWTGIPVTRLGQNEKARLVGLGDRLHTRVVGQDQAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENQLVRIDMSEYMEQHSVSRLIGAPPGYVGHEEGGQLTEAVRRRPYSVVLFDEVEKAHTSVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLSGLSGKCTMQAARDRVMQEVRRHFRPELLNRLDEVVVFDPLSHEQLRKVARLQMKDVASRLAERGIALAVTDAALDYILAESYDPVYGARPIRRWLEKKVVTELSRMLIREEIDENTTVYIDAGPKGSDLAYRVEKNGGIVNAETGVKSDILIQIPNGPKSDAVQAVKKMRIEEVDDDEMEE >RHN45048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11143802:11144586:-1 gene:gene39244 transcript:rna39244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEIGKYIYVIIIFISLFFITMSVEGWRCKKTDDCIKIEFCKFPKIARCTKPKFLFLEFGTGFCTCDD >RHN48379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47095965:47096567:1 gene:gene43090 transcript:rna43090 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAEIKKYEETVDHLYKLLQRACQERDEARDQLQLLIRNFQPSTQAKTYSTIPQLDHPKQQLSCDLSLSSLQSNNEKKISSMNSSNTRIVESCNMALKKQKIHQNKFGIVNANIDDVASSSLSLHSINKENYLSNNIYDKESVVPTSLSLAFPSSSNGPSHMRHSSGSGLLVKNEPMSYMESNGIQNHTIAGKKRKFL >RHN51570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20093863:20094678:-1 gene:gene36033 transcript:rna36033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MYKISSLDHLTAKVDTLTQKFDTMNTSVVTPAPVSPPCEVCGVFGHIGIDCQLGSVIRSPEQVNYAQYNRGFGNNHFFYQTPQNLFGQQATPPSYANNQRVPQKSSLELLMENYVINQSKQLQELKNQTGFLNDSLAKITSKVDSIATHNKILKTQISQVAQHVTTSSQTTGIFPGQTETNPKVHISSIILRDGNQLEDPVVTIKNNEGEIGSDEPQSEKTIGENEKPFVSPPHEPKNPLTQGFVKSKLDDQFRIFIEILPTKLSSKLKNP >RHN54736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11722083:11727608:1 gene:gene29814 transcript:rna29814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome component (PCI) domain-containing protein MAALQYLESLRSSHPELGEWYNSLADLYQKKLWHQLTLKLEQFLALTVFQAGDAIIQLYNNFITDFETKINLLKLAHFAVMVSRRYAEKEAAIGYLEGVIEKLQATREQRIEEPILYIKMQIALFKLEQGDQKECKNLLENGKSTLDSMTDIDPTVYASYYWVSSQYHKSRQEFAEFYKSALLYLAYTSVESLSDSFKLDLAFDLSLSALLGDNIYNFGELLAHPIIKSLLGSKVEWLYYILQAFNSGDLVRYQELCQVHNAALSAQPALVQNEQKLLEKINILCLMEIIFSRPSEDRTIPLSVIAERTKLSIENVEHLLMKSLSVHLIEGIIDQVEGTVHVSWVQPRVLGIEQIKSLRDRLDGWTGKVHTALLSIEAETPDLIGS >RHN44007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:758534:766874:-1 gene:gene38051 transcript:rna38051 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFGQTVRDLKREVNKKVLKVPGIEQKVLDATSNEPWGPHGTLLADIAQATRNPHEYQMIMSVVWKRINDTGKNWRHVYKALTVLEYLVAHGSERVIDEIKEHSYQISTLSDFQYIDSSGRDQGNNVRKKSQNLVVLVNDKERIVEVRQKAAVNREKFRNNTPGGMYRPGSHSSIGSYGDRYEEDRYANREEDRNGYGYGREREMGSRDDDRYNRDGDRYGRDYEERYGRDGYRDDDRGRSRSVDYNYDDTRSRNSDRDRDFDDDGQHSSRGSNAKVEDQSLEARLQRKLSEQNSGAPPSYEEAVGEAQSPVPERDVETSAESAPRGSSPHASDNPSPASAPTGSSPVSNNPTEVTAAASTSVAASTAASTQETEPTDDFFDPRGPTSAAPTTSNFGEIDLLGSLSDSFSSNALPLVPATSGISTPEANTGSTASFAAPSSGSNNFNQSFEDPFGDSPFKADTSVETAPSQHHAPQTTEPSQSDGFNADMSNFGFGDSFSIVPYSASAPSDTQPFSANSQFLSQDSETDILADILPPAPLPEITSQQNSSAPSFGQPSPSFSTSSGSFSEPTGQLTLHQGFSAATNQPAQTLPTGQFSQPGFSASNSSFSASTSPYAQQPFPSHSGQPGMPGFSSSTGHSMQPPFASQGGQSTAQTSGHTYGGLYSLDTSLTPGAPNMYSQSQNGYNGSMNSGNYLPQGSSTGFPSQMTPQAPTAQPAQITNFPHHGGSTASPSPTDQASQFNNQSFFGQQGNAAPFSSSYTPQVPAPNASPYAVSAAPNSLVSQPSKDKFETKSTVWADTLSRGLVNLNISGPKTNPLADIGIDFESINRKEKRMEKPTNTPVTSTVNMGKAMGSGSGIGRAGAGALRPNPNSMMGSGMGMGMGMGNAPGGMGMGNAPGGMGMGGYGGGMNPSMGMGMGMGGMGMGQGYQMQPPNGMPPGSNMPGNYNNNMMRPGGYAQQPYGGYR >RHN53149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42325340:42326060:-1 gene:gene37919 transcript:rna37919 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRTPGEPFVAKSSKEAEMEKLLKSMEGMPGAPGMKMYSRDDLMKKNFGAENEDDDEDEDEEEDEADFPSKLGKVLKSKENEKGDWKQKIRKGIVDTGTTLKKHATKVSNHIQRWWKGKKTTSSKKNSKSEL >RHN70502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51847718:51849314:1 gene:gene19085 transcript:rna19085 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MALSSTTTTTICIQSNNIPSKLGFSANNVVFSVKLPRKLCIRSSSENSAGSTEVESESSIELPKESSSLISVLNVERALRGIPITDADHYGRLGLPKGCPYDMVPVAYNNKVRELESQGLEEDILNKKLELLRESYTIMSSPDERRMYDWSLSREDNTETYIWPFEVDVSVLQEGDPPPQEPEDVGPTRLVGYFLAGWILLAFVLSIGLNL >RHN60559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28158619:28167696:1 gene:gene22882 transcript:rna22882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthine/uracil/vitamin C permease MSNPDPNNRHRHPSWPPPTDSKPIPPSSWAKKTGFKPKFSGETNASNSGQINRIPPEPPQVDLEAGPVRPPSNGVVQSNNVNATVPVPVPVVKDQTVKKRRDSDGVPSTNGQANPAPGTGTEQATQVRRTLRNEEVVDGLVVDDEGFASRHAHMKYELRDFPGLVPIAVYGIQHYVSMLGSLILIPLVIVPAMGGSHEETSNVVSTVLFVSGLTTLLHISFGSRLPLIQGPSFVYLAPALAIINSPELQGLNGNDKFKHIMRELQGAIIIGSAFQALLGYTGLMSLLVRLINPVVVSPTIAAVGLSFFSYGFPLVGTCLEIGAVQILVVIVFSLYLRKISVLGHRIFLIYAIPLGLAITWAYAFLLTEAGFYTYKGCDVNIPASNMVSEHCRKHFSRMKHCRVDTSHALKSSPWFRFPYPLQWGVPVFHWKMALVMCVVSLISSVDSVGSYHASSLLVASRPPTPGVLSRGIGLEGLCSVLAGLWGTGTGSTTLTENVHTIAVTKMGSRLSVQLGACFLILLSLIGKIGGFIASIPEVMVAGLLCFMWAMLTAWGLSNLRYSEAGSSRNIIIVGLSLFFSLSVPAYFQQYGISPNSNVSVPSYFQPYIVASHGPFHSKYGGLNYVLNTLCSLHMVIAFLVAVILDNTVPGSRQERGVYVWSEAEVARREPAVAKDYELPWRAGRIFRWVKWVGL >RHN42199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36328388:36333238:1 gene:gene48613 transcript:rna48613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative powdery mildew resistance protein, RPW8 MAMVLDAVVGKLVEELMSSVVDMKNKALKFKPTLDRLEATLQSLGPLINQIDELKKKLDHSPNETKTLIKQMKDGKELVLKCSNEDKIQWWNCCYKKAQYQEQLEALDEEIKRFFGLDMIAQGAVTGLETLLEIKEFRAEFSKANVGRSERVELRGVCLPPQPPGFIVGLDGPLNELKMRLLKDEVSVSVVTVTGSGGSGKSTLAKRFCWDEEVKGKFNENIFFITFAKTPKLNTIVQKLYQHTGYYVPDFQNEEDTFNQLEHLMKQVVKKGPILLVLDDVWLGSESLVDNFVFEIPNYKILVTSRFAIGRFGHPFVLKPLSEAHAINLFKHSASLTKSTSDVPDDVVKEIVRGCSGSPLALRVSGRSLINEQLIVWLNRARELSAGRSILDSNKDVLSCLQKSLDVLDPMSMECFRDLGLFPEDQRIPAAALIDMWAELRNDDDASAMERIYKLVNLNLADIIVTRKVASGTIDYNYHYVTQHGLLRDLAIRDNSQEAEDKRNRLIIDTSANNLPSWWTSENEYHIKARILSISTDEIFTSKWCNLQPTEVEVLVLNLREKKFALPMFMKKMKKLKALIITNYDFFPAELENFEVLDHLSNLKRIRLEKVSVPFLGKTVLQLKNLQKCSFFMCNVNKAFENCTIEDSEMLPNLMEMNFDYCDMVELPNVISNIVSLKKLSITNCHKLCALHEGIGKLVNLESLRLSSCSGLLELPNSIRNLHVLKFLNISGCISLSQLPENIGELEKLEKLNMRGCSNISELPSSVMELEGLKHVVCGDEETAEKWEPYKNILGDLKVEVVQEDFNLNFLYN >RHN76361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49675320:49675940:-1 gene:gene12673 transcript:rna12673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MKEIKNYFSPSNPNSSKPKKTETKKQSKVKKNRDCNSHPVYHGVRMRSWGKWVSEIREPRKKSRIWLGTYATPEMAARAHDVAALSIKGHSAILNFPEIAHMLPRPTTLSPRDIQVAAAEAAAMVEFDSQILRSTISLSSEVSDSPSYSSESTELSEIVELPNIEESYNSVEVRSEFMLIDSVESWLYPSVESLCDMMVEEQSFLL >RHN78639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16996860:16998732:1 gene:gene2268 transcript:rna2268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MNKRPHTELFINETKRREEEEEDDEERDDDEPKEGAVEIGNRRPRGRPPGSKNKPKPPIFVTRDSPNSLKSHVMEVSSGADVAESVAQFARRRQRGVCVLSGSGSVANVTLRQPAAPGAVVALHGRFDILSLTGAFLPGPAPPGATGLTVYLAGGQGQVVGGSVVGPLIAAGPVMVIAATFANATYERLPLEEDEEDGGGGGVHGGHQVAGGGGNSSPPGIGSSGGGGGGGHMQSSHLPDPSSMPLYNLPPNLLPNGGHVGHEAFPWPHGIRPPY >RHN79169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24898092:24904029:-1 gene:gene2899 transcript:rna2899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEQTTFESIQFNEEIQGIMAPATETANSFTALLELPPTQVVELLHSSEITGKPPKPYPLTCNTGNLTFPSNAALVERAARFSVFAGENSTNSPNLPQVKDELPETEEGGCVSDPTVENTNFKSAKRKEREKKVKLSSKKSKSIAADENSGNGEELPYVHVRVRRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDKIINHVQSLQHEVEILSMKLAAVNPIIDFNLDSILAAEGMSLMDSNFPNTVSPAVWPEIPHNGNRQQFQQSWQSDAFHQPLWGREENNPNFITPENSLLSYDSSANSVSLHSNQMKMEL >RHN66339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11043242:11057932:-1 gene:gene14299 transcript:rna14299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-N-acetylglucosaminidase MLKLKLLLFQLLILILTFSFPLALCNHHEAIQSLLHRLDSKRALPSVQESAAKGVLKRLLPTHFSSFEFIIVSKDACGGDSCFIINNYNKSSQKGPEIIIRGTTGVEIASGLHWYLKYWCGAHVSWDKTGGIQTTSIPKPGSLPLLKDGGVKIKRPVPWNYYQNVVTSSYSFVWWDWERWEKEVDWMALQGVNLPLAFTGQEAIWQKVFKDFNISSEDLNSFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLVLQKQIISRMLELGMTPVLPSFSGNVPAALTKIFPSAKITRLGDWNTVDADPRWCCTYLLDPSDPLFVEIGEAFIRKQIKEYGDVTDIYNCDTFNENSPPTSDPAYISTLGAAVYQGISKGDKDAVWLMQGWLFYSDSSFWKPPQMKALLQSVPSGKMIVLDLFADVKPIWKTSFQFYGTPYIWCMLHNFGGNIEMYGVLDAIASGPVDARVSENSTMVGVGMCMEGIEHNPIVYELMSEMAFRDEKVKINEWLKSYSHRRYGKAIHEVDAAWEILYHTIYNSTDGIADHNHDYIVMLPDWDPSAAVKSAGMPQAHLWYPPEDVIKALQLFLAGGKNLKGSLTYRYDLVDLTRQVLSKFANQVYIKAITSFQKKNIDALQLNSHMFLELIKDIDLLLASDDNFLLGTWLQSAKKLAVNPSELKQYEWNARTQVTMWFDTNETTQSKLHDYANKFWSGILENYYLPRASTYFSHLSESLKQNEKFNLTEWRKEWIPMSNKWQEGSELYPVKAKGDALTISQALYKKYFS >RHN46319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30716137:30719912:1 gene:gene40799 transcript:rna40799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MKIFLFLLALVFAFLASSLVFAGTVEHTFKVQNMTIKRLCNKREIVAVNGKFPGPKINIHEGDTVIIHVINNSPYNITIHWHGVFQLFSAWADGPEYATQCPIIPGNKYTYKFKVTQQEGTLFWHAHTSVLRSTVYGAFIIQPHSNRFPFPKPYKQVPILLGDWYDANVVDVENEALVTGGAPNSSDAYTINGLPGDLYNCSKNHMFKMKVKPGMTYLLRIINVALNNNLFFKIANHSFTVVALDADYTNPFETDIIVIAPGQTVDALFTANQPIGSYYMAASPYEVGVRDFANITTRGIVVYDALLSPQLPIMPSLPPFNDTPTAHRFFSNITGSVRAPHWVPVPLNVDEHMFITVGLGLERCDPSNVANATCLGPFNQQLSFSMNNESFVIPKGRGLSMLEAFFNNVSGVYTADFPNNPPVIFDFTNPNPNLNTNLLFAPKSTKVKRLKFNSTLEIVFQSTSLVGAQNHPMHIHGFSFHILAQGFGNFNSTTDRAKFNLVNPQRRNTIAVPVGGWAVIRFQANNPGVWFVHCHMEDHVARGLDMAFEVENGPTPSTSLPPPPADLPKC >RHN68031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32254194:32257094:-1 gene:gene16317 transcript:rna16317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MSREPYDVESHDTSAVAPVLKDEQLEEISVEKGFEGTSVPTWQKQVTVRAIFASLMLSVMFTFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKLLEKAGWLNQPFTRQENTVIQTCVVAASGIAFSGGFGSYLFGMSPTIAKQIPEFDSANDVKSLGLGWMIAFLFVVSFLGLFSVLPLRKIMIVDFGLTYPSGTATAHLINSFHTSEGAKLAKKQVKALGKFFSFSFLWGFFQWFFTAGDACGFTNFPTFGLEAYQNMFYFDFSATYVGVGMICPYIINISLLIGGILSWAVMWPLIGSKKGDWFPSDLKHSSLHGLQGYKVFIAIAMILGDGLYNFVKVLGTTLLGLKKQWKKKDIRAQPDDPNAPFPPTISFDDKRRTEMFLKDQIPSWFAIAGYVIIAIISIITIPHIFHQLKWYYIICIYIIAPALAFCNAYGCGLTDWSLASTYGKLAIFAIGAWAGAANGGVLAGLAACGVMMNIVSTASDLMQDFKTGYMTLASPRSMFVSQVIGTAMGCVISPCVFWLFYNAFGTLGQPGTAYPAPYALVFRNIAILGVDGFSALPKNCLVLCIVFFFGAIIINFVRDLVGKKYSKFIPVPMAMAIPFYIGSYFAIDMCVGSLILFIWQKVDRAKADALGSAVASGLICGDGIWSLPSSFLALAGVQPPICMKFLSRAANAKVDNFLGS >RHN79542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30007397:30012222:-1 gene:gene3346 transcript:rna3346 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSGKKRRKLKLLSDTIAKSRREATEVKNDNLELQTWADLPAELLEMIISRLALEDNVRASAVCKSWNFVANAVRMVNQSPWLMYFPKFGQWYEFYDPVQRKTYSIEFPELNGSRVCYTKDGWLLLYRPRTDRVFFFNPFTRETIKMPRFEMTYQIVAFSCAPTSPDCVLFTVKHVSPTIVAISTCHPGATEWVTVNYQNRLPFVSSIWNKLVFCNGLFYCLSLTGWLGVFDPSERTWSVLSVPPPKCPENFFAKNWWKGKFMTEQEGDVIVMYTCSSENPIIFKLDQASMEWEELKTLDGATLFASFLSSHSRTDLLGNMRNSIYFSKVRFYGKRCISFSLDDYRYYPRKQWHDWGEQDPFESIWIEPPKDFSGFS >RHN71600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1282655:1285940:1 gene:gene7216 transcript:rna7216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b-c1 complex, subunit 6, ubiquinol-cytochrome C reductase hinge MYMLADEEPVDPKKLLEESCKPKCVRPLLEYQACIKRIQGDDSGQKHCTGQYFDYWYCVDKCVAPKLFTKLK >RHN76766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1116247:1116684:1 gene:gene139 transcript:rna139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative meiosis arrest female protein MFPLFLKNLSFLPSCLSRKVFTRFQVCGFSSSSSSFPVVSVWWHLDILCLHRNGIFMGDLRAELTKCDLPLVKRFYGHKKFSNFLVSIPHVQLEYLGEGDFWVCLVPLTTSVVKNKQNDGAITQKLHNIMRVKIWIEVQILNPEK >RHN71154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56819886:56822185:-1 gene:gene19804 transcript:rna19804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lupus La protein MGAVSWPALSAKPSAKLTSDSISAVGSISISQGPVISNSPQKQATATATAANARHTPPMNHSHSVSNRQQKPMERGGVNNNEPGPLSNNLSNPPQANHQPSVSPPFPVLQIPPNTFLVDGVQSYKNNNGWGPRSPAGGYGLPVDEHSHRGNYGHRPRNNYGTRRNQDPGNTMNTRDAHPPQHRMHSEGFLRPTLPNSAYLGSQPMPMRPFLNPAGFHEFYYYPTLQFEPFGGMPFLTHPPPPAMFFPVAEETPPTTPPTNIILKQIDYYFSDVNLTNDEFLKSNMDEHGWVPVSLIANFPRVKNLTNNIELILDSLRNSSVVEVNGDKLRKRKEWARFLSPVYQQVGSSSISSVGSTCKNIIADFDKITLDEATVLKNSKTTTNGDSAGESSSY >RHN63237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49598573:49599492:1 gene:gene25916 transcript:rna25916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S30 MGKVHGSLARAGKVRGQTPKVAKQDKKKQPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >RHN41754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32647952:32650975:1 gene:gene48107 transcript:rna48107 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFIGHILPGTLFLLVGVWHIWCSVVRYVSSPKTFQVRAWNPVPGFEGRLKYLELYVISIGAFIDLCVELLVATQLKFFVGGVLNSTYLNNFEHSGMLLMFLIFGVVSLLSEKTRYIPLPEGALCLIAATAFTAEYLLFNFHSATHKGLEGYYHVLLAFLIGLCILSSIAGAVLPTCFPVDLSKGIGITLQGIWFYQTAFVLFGPMLPSGCSMKDNVVTCHSKESEVRGELLANVQLFSAVLAVHVGTIASFGFAASRYGNFEELAPN >RHN43877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49162573:49162962:-1 gene:gene50511 transcript:rna50511 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRTCDGIEVCYCFHYKLQSRDCHCDLQWMEQELFVAKQGKLFFELWWMCVICRGFHSEPLVLQESEHWDCSWHLPLVY >RHN63595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52567401:52571540:1 gene:gene26317 transcript:rna26317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XIIIa family MKITIVACTFLLVFTTLFNSSSLALTQDGQTLLEIKSTLNDTKNVLSNWQEFDASHCAWTGISCHPGDEQRVRSINLPYMQLGGIISPSIGKLSRLQRLAFHQNGLHGIIPTEITNCTELRALYLRANYFQGGIPSGIGNLSFLNILDVSSNSLKGAIPSSIGRLSHLQVLNLSTNFFSGEIPDIGVLSTFQKNSFIGNLDLCGRQIEKPCRTSLGFPVVIPHAESDEAAVPPKKSSQSHYLKAVLIGAVATLGLALIITLSLLWVRLSSKKERAVRKYTEVKKQVDPSASAKLITFHGDMPYTSSEIIEKLESLDEEDIVGSGGFGTVYRMVMNDCGTFAVKRIDRSREGSDQVFERELEILGSIKHINLVNLRGYCRLPTSRLLIYDYVALGSLDDLLHENTERQPLNWNDRLKITLGSARGLAYLHHECCPKIVHRDIKSSNILLNENMEPHISDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQSGRATEKSDVYSFGVLLLELVTGKRPTDPSFVKRGLNVVGWMNTLLKENRLEDVVDRKCSDVNAETLEVILELAARCTDSNADDRPSMNQVLQLLEQEVMSPCPSEFYESHSDH >RHN40875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23582349:23584359:-1 gene:gene47118 transcript:rna47118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MSNFAEKKPHAVLTPYPLQGHINPMLKLAKLLHLRGFYITFVNTEYNHKRLLKSMGPIPFDGFTDFRFETVPDGSTSHGDDVSQDFQSLRELIRTNFIDSFRELLAKLHDSSKSGLIPPVTCLVSDSFMSVTIQVAEELALPIVLLIPSSACSFLSALHLRTLIEKGVIPLKDESYLTNGYLETKVDRIPGLRNFRLKDFLTCIRTTNPNDSLIEFLIEVVDRFHRASAIVFNTFNELESDVMNVLYSMFPSLYTIGPFVSFLNQSPQNHLASLDSSLWKEDTECLAWLESKEPGSIVYVNFGSTTVMSVEKLLEFAWGLANSKKPFLWIIRPDLVIGGSVIFSSAFVNEISDRGLIASWCPQEKVLNHPSIGGFLTHCGWNSTIESICAGVPMLCWPFFADQPTNCRYICNKWEIGIEIDTNVKREEVEMLVNELMVGEKGRRMRQKTNELKKKAEEDTRPEGGSYMNLDKVIKEVLLKQNQT >RHN72501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8150955:8151908:-1 gene:gene8221 transcript:rna8221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSDSKYATTANNGQYVSSDQMNREDVLEFSPEEEDIVAKMFRLVGKRWHLIAGRIPGRTPEDIEKYWTSKFSSSTAC >RHN39682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9547959:9551194:1 gene:gene45729 transcript:rna45729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MRPVFAGNLEYDTRQSELERLFSKYGRIERVDMKSGFAFVYYEDERDAEEAIRALDNIPFGHDKRRLSVEWARGERGRHRDGSKPNQKPTKTLFVINFDPIRTRVSDIERHFKPYGPLHHVRIRRNFAFVQYETQEDATKALECTNMSKILDRVVSVEYALRDDSDRVDNYGGSPRRGGGLARSPSPGYRRRPSPDYGRPRSPVYDRYTGPDRRRSPDYGRNRSPDYGRNRSPEYGRYRSRSPVRRSRT >RHN47157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37568164:37569551:1 gene:gene41719 transcript:rna41719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative meiosis specific protein Spo22/ZIP4/TEX11 MRIAEISSPELRTLHGDSDDHHILSQIESIIKQIESHSVNKQPPETTVVNLRQCLTQLSQLAPFSNSLKLQIWKLSYRLWNVCVDISNTASIRFSSSSTTVAGENQAELRHLTADLLSIASDVTGIPSPAIKSASFYYKTGMLWHNLRKFDLAAKCYERATDLVSKLDIASITDAGERKLLLDLNLARSRTAWEVRDQNLAIALLNRSKSMVSGSSENYMELAKQFMSFGKCSLAANSDLSEALKLMNEALENCEKGFGAARTREEKVEIRGLRWKVLRFIAAIHLQKEEFESVVKCVKVLRDSAEGGDDHPSLSVLAMKAWLGLGRHVEAEKELRGMVIDRGIPEGVWVSAVEAYFTAAGTAGAETAKGVFLGLLGRCHVSAGAAVRVASRVLGGSGEGSKVRAKVVAELVSDERVVALFAEKDAAKDRTAMHAVLWNCCVFV >RHN64312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58071291:58078676:-1 gene:gene27116 transcript:rna27116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator SAP family MAAKKQQEEVDIKKRKRTNTETPSSNPKKKSTTKFVPSKKPKPHSDDKDKNKAPLNARERRHHAKELTDARKQKRRRHYTLEQELARLWEKMRRHEIAKEDRSKLVTEALTKMKGKIHEIAGSHITSRVLQTCVKHCSQAERDQVFEELRPHFLNLAYSAYAVHLVKKMLDNASKKQLAGFISTLRGHVAPLLRHMVGSVVVEHAYDLASAAQKQELLLELYSTELQLFKDLVPAKETRLLDVMSKLGLQKGSVSRHMSKVIQPILEKGIVDHSILHRVLLEYFSIADKTSVAEIIQQLSSPLLIRMIGTKDGAKIGILCVKYGNAKERKKIIKGLKGHIGKTAYHQYGCMVLVSILSVVDDTKLITKVIIRELQSILKELVLDKNGRRPLLQLLHPNCSRYFSPDELASMNSSIPSLSLKDQSEASSQTETSKVSIGNDDSMEDSEVKVPEVNEDKTSVDDTDLAESGKKDPLVRRQELLINSGFAESLLDICIENVGELIRSNFGKEVIYEVATGGSEGILHPTLDDKINSLHKAIASLAAVPKSEDSQEEHVLENFFSNRTIRKLILDCPDFASILWKEALKGKCELWAHGHSCKVITAFLESTDSKVQKLAKKELQPLIDNGVLKNPQAKEQASQ >RHN44723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7751489:7752612:-1 gene:gene38878 transcript:rna38878 gene_biotype:protein_coding transcript_biotype:protein_coding MKVALLTFNHHNEWYLTLSKSCIMGVWMLLKILLLCSFQTCQTHKSHKSCRKDRRFFEWPV >RHN39956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11897991:11898407:-1 gene:gene46040 transcript:rna46040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MASFNGFYDVVTIIIGFTLLFTTSLCSEISQPPSGGPSNDVASAPKPLSPYEKYLTNCASKLKPAECGKQIFSGVFVGNRIISYYCCHSLVNDVGKSCHYDLTRNALQWPAYAKNKTEILKRNDKVWNDCIAVRPILP >RHN52156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32096247:32097092:-1 gene:gene36785 transcript:rna36785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase/kelch, beta-propeller, F-box associated interaction MYEPVIEHGLVCLLGVDSESSWFRIWNPATGIISEKLGSFKEPRNGSYCSRSFTFGYDNSTGTYKVVFICYSKLKVFSLKDNIWRKISRFPPFDHAIPLTFSNNEGVYLSGTVNWFAIRNKAIYNSYNKDITVEQFMIISLDLGTETYKQFQTPRGVDEVPDIEPTIAVLMDCLCFSHYIKRTHFVIWQMSEFGVEQSWTQFIKISFENVQVDDRFSDWNRYQSFMFPLCLSENGDILILISQYHKAILYHLKDNRVEQASGNEIPWKFFKDYVESLASIC >RHN51196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14978159:14981588:1 gene:gene35586 transcript:rna35586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MKRRITKLLSLSFSSLQSQIQNHHQPLSKSLPPTSSFSTFSNSERDSLILQQFKQRKLKGSSNSVLNSSFDDTNDEKMIQNGLKNGTMVVGGFKELGMSDELIEVMEEIGEFVPSEIQCVVIPTILDGKSLLLSSPSQHDRTLAYLLPLIQMLRRDRELLGSNSKYPRAVVLCASEEKVEQCFNAARYIIHNAEVKSAKNRASSDTEKSNSSIGLMIGTPHEILQYIEEGTIVPAELKCLVLDEADSMLGSSNLGPEINKIIRPLQHNESKSSVKRLQTIMAISTIAEVLGEDSPIVKRLERDHAGNISALSVEMEETEVFHLTESLDALKKKLEEAMNSL >RHN80126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35335137:35337086:-1 gene:gene4013 transcript:rna4013 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTMKIQPIDFQLDEERTGVEPVKPVVKSRLKRLIERQFSGVLRISAPEKTTDGGLEPHSYKEAGDFEPSSMCLAKMVTNYIEENHEKQSVLASVKCGRSCCNCFNRNCEDSSDEESDARGSFCVFEACEILKGLIVCRSVVERNLLADTAKIVDKNKICKRKDSFCRKIVTESLLALGYDASVCKSRWEKSPSCPAGEYEYIEVIIGNERLIIDIDFKSEFEIARSTKAYKMILQNLPFIFVGKCDRLQSIVAIVSEAAKQSLKKKGMPVPPWRRVEYVKAKWLSSYTRMNVNSQNQKKILKENCVTESSGEEEKVVIEWKPPELKPKGSLTGVKVVTGLAVVFEDDNP >RHN65798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5495300:5504589:1 gene:gene13688 transcript:rna13688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative atg6/Beclin MKISVQERMRDSTKKGRNRSLPVDPNVPRWVCQNCRNPLCIVGVDSYADKYFNDPSRSGMQGSSIHGASSVMSTTKMDNSYVVLPKQRPQTQGVPPRPRGDTSHPGKTMEESFVVVYKSESASDGGGGNSLSPGVDHGGHLPPHNSGFNSTITVLTRAFEIATTQTQVEQPLCLDCMRVLSDKLDKEVEDVNRDIEAYEACLKRLEGEAKDVLSEADFLKEKLKIEEEERRLEAAIEETERQNAEVNAELKELELKSTRFKELEERYWHEFNNFQFQLISHQEERDAILAKIEVSQAHLELLKRTNVLNDAFPISHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFRPKFQYRIKIIPLGSYPRITDTSNSTYELFGPVNLFWSTRYDKAMTLFLACLKDFAEFAKSKDQENNIPPEKCFKLPYKIDNDKVENYSITQSFNKQENWTKALKYTLCNLKWALYWFVGNTNFQPLSAMVSSHAEVPAVGSLYPKRGTEAKSESRN >RHN81765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48730797:48733219:-1 gene:gene5852 transcript:rna5852 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDGFRNCSTLFIKSLLLFFLFLFLFFQFRYDGSRSSQSLFQPSLFLFSLFETIQLIFHLLLLCLSRMLLPFITCHVIH >RHN68005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32074888:32077241:-1 gene:gene16280 transcript:rna16280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-linalool synthase MESFYLIDIIQRFGIEHYFAEEIKVALEKLHLILNTNPIDFVNSHELYEFSLAFRLLRQGGHYVNADLFDSLKCNKRMFEEKHGEDVKGLIALYEASQLSIEGEDSLNDVGYLCSELLHAWLSRNQEHNEAIHVANTVQNPLHYGLSRFMDKSTFIHDLKAEKDLMCLEELAKINSTIVRFMNQNETVEVSKWWKELGLDKEVKFSGYQPLKWYTWPMACFTDPNFSEQRIELTKPISLIYVIDDIFDVHATLEQLTIFTDAVNRWEITGTELLPKFMKISLNALYDITNNFAEKVYKKHGFNPIDTLKKSWIRLLNAFMEEAHWLNSGHLPKAEDYLNNGIVSTGVHVVLEHAFFLLDHVNGITKQTIDILDEKFPNVIYSVAKILRLSDDLEGAKSGDQNGLDGSYLDCYMSEHQDISSEDVQEHVAHVISNEWKCLNQEILVANHFSSSFSNFCLNAARMVPLMYHYKSNPSLSNLQEHVKSLINVGVGRN >RHN59441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10762149:10766037:-1 gene:gene21471 transcript:rna21471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADPH:quinone reductase MSLRLSSLLFKHHNLLLHHSLLQKPTTPLTKIITSKSSSHITKSFSTSSEMVKAIRVHELGGPQVMKWEDVEIGEPKEGEVRVKNKAIGVNFIDVYFRKGVYNAPSSPFTPGMEAVGIVTAVGSGPTGIQVGDLVGYAGQPMGSYAEEQILPANKVVPIPSSIDPAVAASILLKGMTAQFLLRSCFKVEPSHTVLVHAAAGGVGSLLCQWANALGATVIGTVSNKEKAAQAKEDGCHHVIIYKEEDFVARVNEITSGGGVEVVYDSVGKDTFEGSLACLKLRGHMVSFGQSSGSPDPVPLSSLATKSLFLTRPTLFQYVVTRDELLRAAGEVFANVASGVLKVRVNHTYPLSEAVKAHEDLENRKTSGSVVLIP >RHN56083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27500003:27500768:1 gene:gene31413 transcript:rna31413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQKEKENMTKILKCVYAMILFLPLFVVAMEVGRRANVECESDKDCQEHWSEFFIIQCIDNICVPSERPL >RHN82587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54985903:54988136:1 gene:gene6761 transcript:rna6761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MALSKATFLTRLKTVAQPNRNIRIRLCPRFLSFASPVEAAAERRRSRMRQLRIEPLSALNPNQQQSPNAYPKSHSPPYYLNPSNPKLPERASALTGNRVNLHNTILTLISKNDLDEAALYTRHSIYSNCRPTIFTVNAVLSALLRQSRYSDLLSLHRFITQAGIVPNIITHNLIFQTYLDCRKPDTALDNFKQFIKDAPVNPLLTTFRILIKGLVDNNRLDRALDIKNQMHALGFAPDPLVYHYLMLGHARSSDGYGVLRVYQELKEKLGGVVEDGVVLGCLMKGYFLKGMEKEAMECYQEVFVEGKKMSDIAYNSVLDALAKNGKFDEAMKLFNRMIKEHNPPAKLAVNLGSFNVMVDGYCAEGKFKEAIEIFRSMGESRCKPDTLSFNNLIEQLCNNGMILEAEEVYGEMEGKGVNHDEYTYGLLMDTCFKENRPDDAASYFKKMVESGLRPNLAVYNRLVDGLVKVGKTDDAKSFFDLMVKKLKMDVASYQYMMKVLSKAGKLDDVLQIVDMLLDDNGVNFDEEFQEFVKVELRKEGKEEDLAKLMEEKERLKAEAKAKEAEAVEATKNKKGPGIILTSMMHGNKETESESSDATATESELVEKTTETADSDEGEAKSDRASEQLTA >RHN50717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9720796:9722487:-1 gene:gene35040 transcript:rna35040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MAMNDELGEKLLQKKESLRKRVWEESKKIWVVAGPAIFTKFSTFSTYIITQAFIGHFVGSTELAAYALVMITLCRFITGILLGMASALETLCGQAYGAKQYNMLGVFLQRSWIVLFFTTILLLPLYIFTTPILEAFGQKKEIAQVGGTIALWAIGPTFAMAVSFTCQTFLQAQSKNVIITYLAAFSLAIHVLLSWLLTVKFEFGLNGAMMSLVLGLWLPNIGQIIFIMKKCPETWKGFSILAFKDLWPVVKQSFSSGIMLW >RHN39722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9956972:9963629:1 gene:gene45780 transcript:rna45780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynamin central domain, dynamin, GTPase domain, GTPase effector domain, Dynamin superfamily MENLIQLVNKIQRACTALGDHGEESALPTLWDALPSIAVVGGQIYPLILILQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKIDEGREYAEFMHAPRKRFTDFAAVRQEISDETDRETGRSKGISSVPIHLSIYSPHVVNLTLVDLPGLTKVAVEGQAESIVQDIENMVRAFIEKPNCIILAISPANQDIATSDAIKISREVDPKGDRTFGVLTKIDLMDKGTDAVDILEGKSFKLNFPWIGVVNRSQADINKNVDMIAARRRENEYFANTPEYRHLAPRMGSVHLGKVLSKHLETVIKSRIPGLQSLINKTIIELETELNRIGRPIAADTGGKLYMIMEICRTFDQIFKDRLDGIRSGGEKIYQVFDNQFPAALKRLQFDKHLSMDNVRKLITEADGYQPHLIAPEQGYRRLIESCLVSIRGPAEAAVDAVHGILKDLIHKSMSETMELKQYPTLKAELGSAAIESLERMKEESKKATLLLVDMEYGYLTVEFFRKLPQDAEKGGNPTVSLFDRYNDAYLRRIATTVLSYVNMVCGTLRHTIPKSVVYCQVREAKRSLLDHFFTDLGKKEGKQLASLLNEDPAIMQRRTSLAKRLELYRSAQSDIEAVAWDNK >RHN49364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54298021:54302024:1 gene:gene44186 transcript:rna44186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzyl alcohol O-benzoyltransferase MFHCLFNFPLIISCASFPNNIHHPKKKIQVVRQRHNKGREVYITCLHSPYLFNFCIFVVTTDFRFTYTSHLLTHTTLIFSYHIMTSAPLLFTVRRSQPELVPPAAPTPREVKLLSDIDDQEGLRFNIPMMFIYRHEPSMKEKDPVKVLRHALSQALVYYYPFAGRIREGAGRKLMVDCTGEGVMFVEAEADVTLDEFGDALHPPLPCFEELLYDVPGSELIIDRPIRLIQVTRLKCGSFILVVYLNHTMSDGAGLKLFMNAWAEMARGAHKPSIQPVWNREILMARDPPHITCNHHEYEQIFSSNTIKEEDTTTLVHQSFFFRTSDIVVLRLLVPFHLRHCTTFDLITSCFWCCRTKALQLEADDEIRMMCIVNARSRFNANNSPLVGYYGNCFAYPAAVTTAGKLCGNSLGYAVELVRKLKAEVTEEYMHSVADLMVIKERCLFTTIRSCVVSDVTRIKLREVDFGWGEAVYGGAAKSGAGPFPGAAYIIPHKNVEGEEGFILLVCLSFEAMKRFAKELDEMLGKQN >RHN81081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43180291:43182669:1 gene:gene5078 transcript:rna5078 gene_biotype:protein_coding transcript_biotype:protein_coding MMASHRSIFAISILLFALLAIASATDYVYGPAPNTEKSEPEIEVDKKSYSTKSDYEVSKSKTNYEYSPTPKVEKSKLDIDYEVHLIKPNYKVPKPKVNYRYDPVPEIERPEPETYYKHLTKPNYEVPKPEIDYGYSPTPKVEKPKSEEVYTSNPTKPDYEVPKTKIDYGYAPAPKIEKPKSEAVYTPNPTKPDYGVPKPKTTYEYAPAPKIEKPKSEAIYTPNPTKPNYEVPKPKTNYEYAPAPKIEKPKSEVVHTPNPTKPDYEVPKSKIDYGYASTPKIEKSKSEAVYTPNPTKSDYEVPKTKTDYEYAPAPKIEKPKSEVVYTRNPTKPDYEVPKSKTDYGYAPTPKIEKSKSEAVYTPNPTKSDYEVPKTKIDYEYAPAPKIEKPKSEAVYTPKSTKPDYEVPKTNYNYAPAPKIEKPRLDTNYKLLPTKPDYEVPKPKENYEVQLPTIISVQGVVLCKSGSNYYPIQGAVARVTCECVNELGYETGPITVLSHVTDSKGYYYVTLSLAELGSKLKINECKAYLESSPLETCKVPTDVNHGISGAPLSSYRLLENNSRLYSVAPFFCTSQAKPVPNGY >RHN58001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43024995:43028333:-1 gene:gene33605 transcript:rna33605 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSLQSTSPIQQQDDDEWDTDGFVIPSLGIQDSDQSKNNAASVESPNSAAKTKKEEKIYLGPHGAPPSQPKQQEVIPSNRKQRFKQKLKEADKRISGTGRENKVDNLRELVGGEKTSVGMAKGSSPKDWLDPHCHEAEFERR >RHN38539.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:1203885:1205175:-1 gene:gene50664 transcript:rna50664 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTCLQKNRGQIQPLSHFFGTLCLQGKFTLFSTKLEMAHQAYIGGAPCWGMAGHGWGTVWGAPRQPPATKKQAAPPKSAPNSSI >RHN75310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41136336:41137932:1 gene:gene11491 transcript:rna11491 gene_biotype:protein_coding transcript_biotype:protein_coding MFICCVMSAVCLEIVVASQREMVHKERGFKVGLGGGCESRGLMIEHHITYKMRDRGVVNGLRNIFLKNKQNQLPTRSWAPRRLESHTGVPKAARNVVPGAHGTSVWAPEAAPQVCPWSIPFSLK >RHN50007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3111620:3117988:1 gene:gene34253 transcript:rna34253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribosylformylglycinamidine synthase MKSEAAHMCVYSLKKKKKKTRIANLKKESEARNGNTRIANRKQEEKKSLCKCNHHLCYSTLHPPLVQKLPNTLIEYMAAAGEIGVSEFLQGTCRQTLFFLKKPHKPTSQLLWGTLCNRGRVSSSSRKSLSLRCQAQVNPRVVVSGTAASSVEQHSGLVEKPSAQVIHFYRVPFIQESAAAELLKKAQAKISNQIVDLKTEQCFNVGLGSHLSSGKLSVLRWLLSETFEPENLGTESFLEKKRKEGLEKVIVEVGPRLSFTTAWSANAVSICQSCGLTEVNRLERSRRYLLYTNGELQEHQINEFAAMVHDRMTECVYTQKLTSFETSVVPEEFYYIPVTEKGRKALEEINLKMGFAFDDQDLEYYTKLFREDIKRNPTNVELFDIAQSNSEHSRHWFFTGKIFIDGQPMNKTLMQIVKSTLQANPNNSVIGFKDNSSAIRGFQVKPLRPVQPGSTSPLNLIERIMHILFTAETHNFPCAVAPHPGAETGAGGRIRDTHAAGRGSFVQAATAGYCVGNLNTAVLYAPWEDPSFTYPSNLASPLQILIDASNGASDYGNKFGEPLIQGFCRTFGMRLPSGDRREWLKPIMFSAGIGQIDHLHISKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRLVRACIELGDKNPIVSIHDQGAGGNCNVVKEIIYPKGAEIDVRAIVVGDHTMSVLEIWGAEYQEQDAILVKPESHELLKSICKRERVSMAVIGTISGDGRVVLVDSLATQKCLSNGLPPPPPAVDLELKKVLGDMPQKSFEFNRIVYEREPLDIAPGITVMDSLKRVLSLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQIPLADVAVTAQTFTDVTGGACAIGEQPIKGLLDPTAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGAAMYDAAISLSEAMIELGIAIDGGKDSLSMAAHSGSEVVRAPGNLVISVYVTCPDITKTVTPDLKLEDDGVLLHIDLSKGKRRLGGSALAQAFDQVGDECPDVDDIPYLKKVFEGVQELLTDELISAGHDISDGGLLVCALEMAFAGNRGFVLDLNSQGKSLFQTLYAEELGLVLEVSKKNLTNVMEKLNSAGVLAEIIGQVTITPSIEVKVDGVTCLEEKTSILRDMWEDTSFQLEKFQRLASCVDMEREGLKHRYEPSWELTYTPSFTDDKYMSAALKPKVAVIREEGSNGDREMAAAFYAAGFEPWDVTMSDLLNGVVSLQEFRGIVFVGGFSYADVLDSAKGWSASIRFNESVLKQFQEFYKRPDTFSLGVCNGCQLMALLGWVPGPQVGGVHGAGGDLSQPRFIHNESGRFECRFTNVTIKDSPAMMFKGMAGSTMGIWTAHGEGRAYFPDEGVLERVVHSDLAPVRYCDDAGNPTETYPFNVNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKLWNVDKKGPSPWLRMFQNARDWCS >RHN48567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48509069:48510823:1 gene:gene43298 transcript:rna43298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 7-O-beta-glucosyltransferase MESQAPQLHFVLFPLMSPGHMLPMIDLATTLAHQKQNIIVTIVTTPHNASRFSQTFSQNSQIQLLQLQFPSKDAGFPEGCENFDMLPSMSMAHTFFKVANTLLQDQAEEAFEKLTPKPSCIISDVGFPYTSKIATKFNIPRISFYGVSCFCLVWQQKLIVSNVMEKIATDSEYFLIPEIPHKIMITKAQTPSSNDEDWKDFVDQMAAAEMVSYGVVVNSFEELEPEYASDLKNTRNGKVWCVGPVSLRNKNNLDMAQRGNNKVASSTDVENCLKWLDLQKQNSVIYVCLGSICNLTSLQFIELGMALEECERPFIWVIRERNQTEELNKWIKESSFEERTKGKGFLIKGWAPQVLILSHFSVGGFLTHCGWNSTLEAICAGVPMITWPLFGDQFFNERFVVEILRVGVMVGVESPVNWGDEENVGVLVKKEDVERAIEKLMNDTNYESEERRKRAKELADMAKKGVEEGGSSHFNVTLLIQDILQHSTE >RHN42468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38584702:38586634:-1 gene:gene48912 transcript:rna48912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MSQTMQVMEDDGSFKEKSFSNGVYIGKFRGEIPHGIGKYTWTNGTIYEGDWVDGKMTGKGVYRFANGDVYIGNWKNDKMDGRGIMNWVNGDVFNGCWSNGHRHGYGVYRFSNGNVYAGNFKNDKMDGRGIMNWSNGTMYEGDWVDGQMIGNGLMIPGFLCAFLKTIRPIII >RHN62089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40641046:40645259:1 gene:gene24622 transcript:rna24622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c-like domain-containing protein MKLACLISGYNNPVSIKDNVKREEKHVNLIPTKTKQQVKFFNNLAPPLAAAFLLFSPICATPVSIAQTIDIQRGATLFSQTCIGCHDAGGNIIQPGSTLFTKDLQRNGVDTEEEIYRVTYYGKGRMPGFGKECMPRGQCTFGARLEDEDIKILAEFVKLQADKGWPSIETEQK >RHN54065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6656856:6660643:1 gene:gene29044 transcript:rna29044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MSSSSNSPCAACKFLRRKCTQECVFAPYFPPDNPQRFAYVHKVFGASNVAKLLNELNATQRDDAVKSLAYEAEARLRDPVYGCVGLISLLQHKLRAIQGELNNAKKELATYIGPQALQGIPTTILQQQQQHNNPFGNSLYPYNGGVPTVTAGAQMVIRDPQQTPAAAQHQILEAQQLAAAVAAREQQEIFRTFENQQQQQQQEFLRFSGGFDVDSVSNSSAGGGFNQVSPVSAVGVAADQLSPSLALGSFDNTYHHMQQAQQGQGGESHNLHHHHNHHHHLPLQAQLLLPPQQKQAQPQTHQQQTESEECRSVGLGPC >RHN69635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45102727:45108978:-1 gene:gene18128 transcript:rna18128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Clp protease, ATP-binding subunit ClpX, chaperonin ClpB MAAAAAFRSKPSKEKATLALSQLRYFAFNYTHAGHSHSPPRSPLINTPYHFTSFKPLSLRGVDFIHKSSNNNTSTASTSTTLIEDSNQNPNHVPYDVTAYRVLVSSFVDPPEVWSGGGIVVRPGNYDVSGGGGGGGGGGAASSGDSGNSKDWCWGGSNMGGTFPTPKEICKGLDKHVIGQERAKKVLSVAVYNHYKRIYHEKLWHAGDSNIDGKADVVVDDDDDVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAAADYNVAAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKNILFICGGAFIDLEKTISERRQDSSIGFGAPVRAKMKAGSVTEASIASSLLGTVESSDLIAYGLIPEFVGRFPILVSLSALTENQLIQVLTEPKSALEKQYKKTFQINGVKLHFTESARKSIARQAMSKNTGARGLRAIIESILVDAMYEIPDIRTGDDVIDAVVVDEDSVGGEGSVRGAKILYGRGAFDRYLSKEKNDSETTEVSGGDQEAETELPSIVASM >RHN58073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43522487:43523053:-1 gene:gene33680 transcript:rna33680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MKDGETNSTRKRSTTLVRMLRESIHTDATIKLGSSDKIIRVHRAVLAARSPIFEYMFAEDSKENNMLVINISDMSPEVCQAFIDYLYDNIDDEELADHSFELLVAAEKYGVIDLREECEKNLQEDINRKNILERLHAAYFYQLPYLKISCAQYLVEFGKIHEIWDDFLAFLKLADDDVICEIFREFLP >RHN75541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43146746:43151140:-1 gene:gene11765 transcript:rna11765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MANQENLPLYEKIWIKRTFQRAIDILILLLLFSLLCYRINVTFTIPWFLAFSCESWFTYTWIILLNTKWSPAVNKTYPNRLLQRVHELPRVDLFVTTADPVLEPPIITLNTVLSLLALDYPANKLACYVSDDGCSVFTFYGLLEASKFAKFWVPFCKKYNVQVRAPFRYFSQVTNSDDDSAEFKQEWLKMKDMYDNLSHKIEDVTRNSASFQFEGEFAVFSNTEKRNHPSIIKVILDGLSDGLPHLIYISREKRPKYEHNYKAGAMNVLTRVSGLMTNAPFMLNVDCDMVVNNPKIMQHAICILVDSKSGKDVAFVQCFQKFYDGIKDDPFGNQWVAAFEYMIGGMAGLQGPYYGGSNTFHRRYAIYGFYPNEIQHGNKAKLAENILIQQFGSSKKFVKSATQVMEGNDYSTHGNSPSNFIEEAIKVSDCEYEYGTCWGKQMGWLYGSISEDVPTGLNMQRKGWRSECCTPEPTAFTGCAPGGLLTTMIQQKRWSSGLTVVFFSKHSPVMGTLFGKIQFRAGLSYCWLTNWGLRSVFEVSYAALVAYCIITNTSIFPEGAGLWIPLTLFVIYTMHTLQEYKLKGFSLRYWWNNQRMVTIRSTSVWFIGFLSAMLKLMGISDTIFEVTQKESPTSGAAEDDANAGRFTFDESPAFVVGTTILLVQLTALVIKILGVQLEDHSGNECGIGELMCSVYLVICYWPFLKGLFARGKYGIALSTIFKSALFALIFVHFCRITCIS >RHN75465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42502369:42505803:-1 gene:gene11680 transcript:rna11680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative N-carbamoylputrescine amidase MAEDKGRKVVVSALQFACTDDVSTNVTTAERLVRAAHKQGANIVLIQELFEGYYFCQAQREDFIQRAKPYKDHPTIMRLQKLAKELGVVIPVSFFEEANNAHYNSIAIIDADGTDLGIYRKSHIPDGPGYEEKFYFNPGDTGFKVFQTKYAKIGVAICWDQWFPEAARAMALQGAEILFYPTAIGSEPHDQSIDSRDHWKRVMQGHAGANLVPLVASNRIGNEIIETEHGKSEIKFYGNSFIAGPTGEIVSIADDKEEAVLIAEFNLDKIKSMRHCWGVFRDRRPDLYKVLLTLDGKNPVL >RHN49041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52019242:52021041:1 gene:gene43825 transcript:rna43825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NIF system FeS cluster assembly, NifU MATLTPICSIPTKLNLNIQQKQHNLNFPLFNFKIAKQNSRTSTTVIRSSSTANQNQSSPGLYSAQKFELTASNVDLVLEDVRPYLISDGGNVDVVSVENGVVSLKLQGACESCPSSTTTMKMGIERVLKEKFGDSIEDIVQVFDDDQARETTVEAVNNHLEILRPAIKNYGGSVQVLSVEGGDCVVEYVGPESIGSGVKAAIKEKFPDILNVTFST >RHN71938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3731980:3738788:-1 gene:gene7599 transcript:rna7599 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSLGIRSGSYGSLEKQLQNGLSPIQSARKPSKMLKEKEKLFHWICKFAGRKKVGMLFLCVISAAVFIWVLYVGKGEDSQEGNGVQNISVNDSMSINNSPAMISTATIVDLATNLVLPPSPPSYFLGYNLPPGHPCNSFTLPPPPADKKRTGPRPCPVCYLPVDEAIGLMPKFPSPSPVLKNLTFTYEEKEKLSRDGEFGGSDFGGYPTLRQRNDSFDIRESMCVHCGFVRGIKPGRNTGFDIDEDDLLDMEQCQGIVVASAVFGNFDEVNEPKNISEHSKQTVCFLMFVDEETEKYLRSSGRLGTSKKIGLWRIIVAHNLPYTDARRTGKIPKLLLHRMAPNARYSIWLDGKLELVVDPYQILERFLWRNNATFAISKHYRRFDVFVEAEANKAAAKYDNASIDFQIEFYKKEGLTPYTEAKFPLISDVPEGCVIIREHVPISNLFTCLWFNEVDRFTSRDQISFSTVRDKFLSKVDFHFNMFLDCERRNFVVQKYHRGVLEHLAAPVVALDPPPPPPPPLPMLETTPDKVVIPTAKRGSGRRGRDRRPGSRRHRKVVAGNRDVEAN >RHN58465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1918610:1922325:1 gene:gene20382 transcript:rna20382 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDNWVETAMADDTIVANFLLSFTKKPSSPLYPPFTVHWNVQKPRSKLRRNHAVKFTRASPTTPLSWSAANSASTATANTAVTLADGNEASSSRFIKSVHSSRSKVDEQTEATAYKKMIRKKRSLPELLEEEKMLLQERGNLKDKLASMNFTVERERAKNESLKKIKLDLVSQKATKEARTSLVTGKAIVVDESKHLDATCSSSTLVQSQTVQEKESFVITMNASSSSSQQDVNNRGFLLPDLNLPLEEGFNFS >RHN39254.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5301604:5302667:1 gene:gene45259 transcript:rna45259 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVFGSSRLFSVCLPGVLILLWFSYSNPGLYLQDSKIMGLLPP >RHN46257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30087225:30088053:1 gene:gene40724 transcript:rna40724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein MIZU-KUSSEI 1-like, plant MAIDTLRRFFLPCFTPTTITKPYTTTTTNQTKKNRSSTSLNQATSPCSSTSSSATAPPRPSKQMVIGTIFGNRRGHVWFCIQTDRTHVKPILLLELPISTNSLVHEMRNGLVRIALESTTTTSSPTCPLRSIPLFTAFCNGRKAGFAARRRAGDSVRNILQTMQCVTVGAGVIPSGFGSDSEELMYMRANFEHVVGNADSESFHLINPDESTGQELSVFLLRSRLGNNR >RHN71182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57021935:57025683:1 gene:gene19833 transcript:rna19833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily MIGMGTLVMMPILGDLSDKYGRKAILTLPMTLMIIPLGILAYSRTKTFFYVYYVFKIIINMICDGCVPCLALAYVADNVPEGRRSSAFGVLSGIGSSAFVCGTVAARFLSAAQTFQVSTFVAVLGAVYMQIFLRDSVADENQLYTPIISQGKPPIAKINGKSKANMPLLKALSSLRDITSFLNSSKIITQASIVAFFSNLADVGLHGSMMYYLKARFHFDKNHFADLMIISGIAGTVSQLFLMPIFAPTLGEARLLSIGLFFHCVHMFIYSIAWSSWVPYAAAMFSILFVFSQPCIRSIVSKQVDPREQGRAQGCISGICSIAHIVSPLAFSPLTALFLSEKAPFNFPGFSIMCIGIASMVSFVQSMMLRVVPSILS >RHN64089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56481401:56483548:1 gene:gene26869 transcript:rna26869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAPTHFRGALNMMFQVATTFGIFTANMINYGTQQIQPWGWRLALGLASIPTLLMTVGGIFIPETPNSLVERGSKEQGRKLLEKIRGTDEVDAEFQDMLDAGELANSIKHPYYNILERRYRPELVMAICMPAFQILTGINSILFYAPMLFQSMGFGRQASLYSSALTGVVLALSTFISIATVDRLGRRPLLISGGIQMIICQVIVAIILGVKLGPNQELSKNYSISVVVVLSLFVLAFGWSWGPLGWTVPSEIFPLEIRSAGQSITVAVNLLFTFIIAQAFLSLLCSFKYGIFLFFAGWIAVMTIFVVLFLPETKGIPIEEMSFIWRKHWFWKRILPDDADRLSQDSGTELINLGAHEHGV >RHN62855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46259331:46260284:1 gene:gene25479 transcript:rna25479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein MAASSMALSSPTLAGKPVKLTPSSQELGAARFTMRKAATTKKVASSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >RHN73461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16648332:16663186:1 gene:gene9276 transcript:rna9276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA ligase (ATP) MTELTKFSVLCSLFTWTQRTKAPAKKRAKFRKFLDNFCTDRNYFPAIRLILPNLDRERGSYGLKESVLATSLIDALGMAKDSHDALRLVNWRKGGAKTGANAGNFALVAAEVLQLRQGTASGGLTIKELNDLLDQLSSSENRGEKTLVLSTLIQKTNALEMKWIIMIILKDLKLGISERSIFHEFHPDAEDLFNVTCDLKLVCEKLRDRNQRHKRQDIEVGKAVRPQLAKRVANAADAWKKLHGKEVVAECKFDGDRIQIHKNGTEIHFFSRNFIDHSEYAHGMSEIIIQNILVDRCILDGEMLVWDTSLNRFAEFGSNQEIAKAARDGLESNRQLCYVAFDILYFGDTSVIHQTLKERHEILRKVVKPLKGRFEILLPNGGINNHRSSGEPCWSFIAHNAEEVERFFKETIENREEGIVVKDLSSKWEPSDRSGKWLKLKPDYVHAGSDLDVLIIGGYYGSGRHGGEVAQFLVGLAERPSPNTHPKRFISLCRVGTGLSDDELEAVVTKLKPYFRKYPKTSPPSFYQVTNHSKERPDVWVDSPEKSIILSVTSDIRTIESEAFAAPYSLRFPRIDRVRYDKDWHECLDVQSFIELVQSGNGTTQRNTEYGSNKDSKPKRMKSSTRGEKKNMSTVPSHLSQTDVSSVTGGSLMFSNMMFYFVNVPPSHSLESFHKLVAENGGTFSMNLNNAVTHCVAADSKGFKFEAAKRRGDIIHYTWVLDCCAQKKLIPLHLKYFLFLSELTKKKLQEDIDEFSDSYYLDLDLGDIKQLLSNINRSEDVSTVDHYRKKYCPKDKWSIFNGCSIYFRTTMPSLKEDWQILLELSSKRFKVEVLMGGGKVTSNLNSATHVVALFLPSCHTNYEDEIQSSFTSVERKLLGRKRLHIINSKWLEDCLNSCQRLSEDTYSIKPYGIEESTSEDCEHALAVDAHLIKKDNVEDQNVSISNKGSKQSSVKAASEDSLTLASQAKVGPRKRGRPPGRSITKIKTDTNQARRVRSQIAKKRAKISEYESDESDSLDKRPYEQEADNVEGSLDFHYVRSQPHETEKIHNVQGGTEGVESSEQNKGIGLEDFKDNQHENMFVPEIEMKDADNDQSSQVTEKLEIMTDPVQAMLLDMIPGLSMNKVEQPRNHHVEAEKPPEISNEEPSSTTKKKKVSFKALAGDFLKDL >RHN50541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8015613:8017626:1 gene:gene34838 transcript:rna34838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MIALLSKIMRNIYTPSKMDIFLSQPINIVLAILLVLIYNIWKIKKPSNKFKGMKPPEPLFALPLIGHLHLLGKQIPLARIFASFSDKYGPVFQIRLGAYPALIISNKEAIKECFTTNDKILASRPKSSHGIHLGYNYAGFSFAPSGPYFSKLKKITMVELLSSRRLESLRHVYESEIHTWIKDLSFYVKGNNNVKVVISEWLKRLSFNTITKMIGGKRYFENLNVEDVEAHGNIVNLIREFMLIAGDFVPKDLIPIIGWFGFEGQVLKSMKRVGKDLETLVGSWIEEHIVNNSNEKHDFIDVMLSVIEDDRVYGHNRDTIIKANITDLMLAGSDSTSTTLTWIVALLLNNRNVLKRAQEEIDHHIGKGRRVESSDIKNLVYLQAILKETLRLYPAAPLLAPHEATEDCNIQGYYVPKGTRVFFNVWKLHRDPSIWSEPEKFLPERFINENGEIDHESHHFEYLPFGLGRRACPGSLFATQNSLITLACLLHGFDLEVPMDEVVDMREGLGITLPKLTPLQVVLTPRLPFELYQ >RHN68263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34070510:34071201:-1 gene:gene16595 transcript:rna16595 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCSLLLISPLSLSLSLSLSLTDLKPPTNLFPHLLLTSITHTQTHTLSISYQIL >RHN43675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47659352:47659631:1 gene:gene50289 transcript:rna50289 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNCQNLFLKEHVLILVSMIFTLEKDSDFSGCCLGVGTRYDMLTELSRREKEAGIKPDPEIDAFMKVTSIEGQETSLVTYYILKVWLCYYF >RHN56685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32821445:32824183:-1 gene:gene32122 transcript:rna32122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MAYAIPFIILMHVCTIANVASSNDLKLRSKFSSILVFGDSTVDTGNNNYIKTLIKGNHLPYGRDFPNHEPTGRFSNGKLAIDFLASTLNLKETVPPFLDPNLSNEELLKGVSFASGGSGFDDFTIALTGAISMSKQVEYFKDYVHKVKSIVGEKEAKQRVGNALVIISAGTNDFLFNFYDIPTRRLEFNISGYQDYVQSRLLIFIKELYELGCRKFAVAGLPPIGCIPVQITAKFVKDRYKCVKEENLEAKDYNQKLARRLLQLQAILSGSRVIYTNIYDPLIGLIKHPRPEKYGFKETNKGCCGTGTFEVTPLCNELTPVCDDASKYVFWDSVHPSEATNKYIAKYMELEVLPKFQFHRNCKFDV >RHN43218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44111025:44117313:1 gene:gene49758 transcript:rna49758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H oxidase (H(2)O(2)-forming) MGGSSADLHHHESDIELIAPENSTKLSTTESKSVTDVDHNIEEDADYVEVTMDIQGDSVALHSVKTVTESDMGEGEHEKQSLTGNKLEKKKSFGASVVQNATIRIKQLKRLASFSKPEPAKRLERTKSAVAHALTGLKFISKTDVGAGWSEVEKVFDKLTVTTDGYLPRTLFAKCIGLNEESKAYAEMLFDTLARQRGIQGGSINKIQFREFWDCISDQSFDTRLKIFFDMVDKDADGRITEEEIKNIICLSATANKLSNIQKQAEEYAALIMEELDPDDTGYILIGNLETLLLHGPEETTRGESKYLSQMLSQKLRPTFEGNPIKRWYRDTKYFFQDNWRRSWVFALWIGVMLGLFAFKFVQYRRRSAYKVMGHCVCMAKGAAETLKLNMAIILLPVCRNTITWLRNKTKLGAFVPFDDGLNFHKMIALAIAIGVGIHAIYHLACDFPRILHASNEKYKLIEPFFGEKPTNYWHFVKSWEGVTGILMVVLMAIAFTLANTRFRRNRTKLPKPFNKLTGFNAFWYSHHLFIIVYAMLIIHGTKLYLTKEWNHKTTWMYLAIPITIYGLERLIRALRSSIKSVRILKVAVYPGNVLAINMSKPQGFSYKSGQYMLVNCAAVSPLEWHPFSITSAPNDDYLSVHIKILGDWTRSLKTKFSQACQPAINGQSGLLRAECLKGDNSPSTFPKVLIDGPYGAPAQDYREYEVVLLVGLGIGATPMISILKDMVNNFKAMEEEDGFAMEEGSPMTPNQKDSRFSDFKTRRAYFYWVTREQGSFDWFKGVMNDVAEEDRRGLIELHSYCTSVYEQGDARSALIAMVQSINHAKHGVDVVSGTRVMSHFAKPNWRTVYKRIALNHPEAQVGVFYCGPSTLTHELRQLSLDFSHNTSTKFDFHKENF >RHN73499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17120785:17121453:1 gene:gene9317 transcript:rna9317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MESHMFGRNNDQTTLSNWLKSQDKKLSVISMVGMGGIGKTTLAQHLHNYPMIVESFDVSAWVNVSQDFDVCRIARVILESINGSVIQSTDQSILEKKLKEQLIGKKFFIVLDNVWIQDRMKWRRFKTPFTYGAQGSKILVTTRSGEVASVTASDQIHQLHHLDEEDSWTLFAKHAFHGFDDSYAVSWTKKTTLHEQIGKMVADKCKGLPLALIAIGDLLSIN >RHN56114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27890416:27890828:-1 gene:gene31457 transcript:rna31457 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYAHIPILIRFLFHLEYTKVTKITQHKVNEVPPVYYDIFFYRKAPNSYVWTPLFTSKHRELIGFFGGVQGSNPYLVYIMHCFYQLNSSRERSSLVLLNSSNFNLETSI >RHN53207.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:57607:64687:-1 gene:gene28091 transcript:rna28091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MMMESVSGGNSLPSGSDGVKRKISYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVVKPTAAKDRDLCKFHADDYVAFLRGITPETQQDQIRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGALKLNHGICDIAINWSGGLHHAKKCEASGFCYVNDIVLAILELLKIHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGYGKGKYYSLNVPLDDGIDDESYHSLFKPLMGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGIELDDKMPQHEYYEYFGPDYALHVAPSNMENKNSRPLLDDIRAKLLENLSRLQHAPSVPFQERPPNTELQERDEDEEDDRDERWDRDFDMDVDSNSLARRVKSEYAEAEHKDVESYHNHLDSRRDIATPFKEIACSKVTGSGVDSMAVDGPFIKEEQENLIDDHKSR >RHN81290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44594567:44602117:-1 gene:gene5306 transcript:rna5306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase/kelch, beta-propeller, kelch-type beta propeller MEVNNWHKELSYDNWAPITVSGSRPPARYKHAAAVVDEKLYIVGGSRNGRHLSDVQVFDFRSLTWSSLKLKADTGNDNGNSSQENLPATSGHNMIRWGEKLLILGGSSRDTSDTLTVQYIDIETCQFGVIKTSGSVPVARVGQSATLVGSRVILFGGEDRRRKLLNDVHVLDLESMTWDMIKTSQTPPAPRYDHAAAMHGERYLMIFGGCSHSVFFNDLHLLDLQTMEWSQPQSQGDLVSPRAGHAGITIDESWFIVGGGDNKNGCPETLVLNMSKLVWSVLNVVKQKDPLSSEGLSVCSGVIDGETYLFAFGGYNGRYSNEVFVLRPKAKDTLRPKIFQSPAAAAAAASVSSAYALSKSEKLDFMQLDDINTKSSVNGHHQTDVTIKIEAIKEEKRLLELSITEVRAENSKLGGEIHEVNNNHAELTKELQSVQGQLVAERSRCFNLEAKITELQKLLESMQSVEDQVQALRQKKSAFDQEMEHAATAQRQSSGGVWRLFGGSEK >RHN49750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:860664:862094:-1 gene:gene33958 transcript:rna33958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase METKTHIALVTVPVYSHLRSILEFTKRLVHLNQNIHVTCINPTFGSSICNNVKSLFESLPSNINYMFLPPINLEDLPKDIHPALKVEATLHRSIPSIYDVLNTLHSSSKLVAVISDGLINEVLRLTKKLDILAYSYFPSTTMLLSLCLHSSNLDKTISSANKDLLEPLEIPGCIPINSTDLPDPMLDRSSEGYKIFLEANDRFYLADGIMINSFLALEETTIRALQEKEDEGIPSIYPIGPFVQNVSCDNGSDLEYLQFLDKQEKKSVLYVSFGSGGTLFSEQIIELAFGLELSGQNFLWVLRPPNKHGVIDDLDSGEYEDEILYNFLPNGFLERTKGKGLVVPYWAPQIEILGHSSIGGFLTHCGWNSTLESVVNGIPIIAWPLFAEQKMNAVLLSDGLKVAIRPKVNENGIVEREEIAKVVKNLMVGEEGKEIHQRMEKLKGNAIDALKENGSSTMTLTHLALKLESLGSHLGT >RHN58960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6237633:6242185:-1 gene:gene20930 transcript:rna20930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial brown fat uncoupling protein MVADSKSNLSFGPTFASSAFSACFAEVCTIPLDTAKVRLQLQKQAVAGDVSSLPKYKGMLGTVGTIAREEGLSALWKGIVPGLHRQCLYGGLRIGLYEPVKTFYTGSDHVGDVPLSKKILAAFTTGAVAIMVANPTDLVKVRLQAEGKLPPGVPRRYSGSLNAYSSIVRQEGVRALWTGLGPNIARNGIINAAELASYDQVKQTILKIPGFTDNVVTHLFAGLGAGFFAVCIGSPVDVVKSRMMGDSSYKSTLDCFVKTLKNDGPLAFYKGFLPNFGRLGSWNVIMFLTLEQAKKFAKSLQSS >RHN70867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54597154:54599707:1 gene:gene19496 transcript:rna19496 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKSTMVKIKPNPQNVPSKPKITDSNSSLNKKLNTSSTKHPPDSKMKSVTTNTTVTKSEVKSKPTTTMSASSSKTISKTKTKTKTKTTTTKVRERKVYSLPGQKHDPPEEKEPLRIFYESLSKQIPTSEMAEFWLMEHGLLSPQKAKKAFEKKQRKQKELRTGTPIKSSSKPPSKTGTSQKPQPKSNNGDIKAKRKIDTDSHDDDDDDDDDFILSHKRRKG >RHN76455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50392725:50392970:1 gene:gene12782 transcript:rna12782 gene_biotype:protein_coding transcript_biotype:protein_coding MRITLTSQRGGQSFLEALEVYSDYFFVVSLVVTSLPTVVDVIVPCVLIWRFTCVRCYSFKEHLNRYSFKSFLKNISLVFIV >RHN43438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45897571:45899467:1 gene:gene50015 transcript:rna50015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine alpha-hairpin motif superfamily MDEGGAKPVCGQEALDLLNCVTDSPSYDKDKCLALLNSLRECVLSKKVKKFSLAGQEQQETNPSSKKA >RHN63235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49593478:49594485:1 gene:gene25914 transcript:rna25914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ENT domain, protein EMSY-LIKE, plant MMTFLPRGKLTWRFKVINLEDAYSAVLCAFKAQSDALTWEKESLITELGIELRVSDEEHRELLGRGQSLPGLSSVKPVQCASTGPTGARHFSNHNSSSNLNAPAEEAQFDPLIGKKVWTRWPEDSHFYEAVITDYNPDEVCLGL >RHN50764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10259731:10260084:-1 gene:gene35090 transcript:rna35090 gene_biotype:protein_coding transcript_biotype:protein_coding MIMTMFLLLLILLFLIFLLTFVFMTFTITTMDLVLDLINYTFFVFTFVLIIMTLLTFVLVIMALFSFVFIFFLVSSSYMKSLSNLVDDSCHFLFVTLFSKCLVLVLVLVSLGKGLNL >RHN57649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40784000:40785381:1 gene:gene33227 transcript:rna33227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MVVKVYGPHCASAKRVLVCLVEKKIEFEVVPVNVLEGEHKDPEYLKLQPFGTVPVIKDGDYTLYESRAIMRYYAEKYRSQGVELLGKTIEEKGLVEQWLEVEAHNFHPSAYNLTCHVLCPTLLGGSSPDPKVIEESEAKLVKVFNIYEERLSKNKYLAGDFFSLADISHLPFMDYVVNNMGKDYLIKDKKHVSAWWNDISSRPSWNKVLELYKPPI >RHN81109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43393552:43395593:-1 gene:gene5107 transcript:rna5107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L22e MSGVKGKKKGTSFVIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTREKSKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNIYELRYFNIAENEGEEED >RHN39923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11726556:11727915:-1 gene:gene46007 transcript:rna46007 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFLEEMFLENSYYDCGINLEKRIISNFSVVEPRGLLSQRYGSNDSGVWVAAWMKECDRKNDYNCVEVYPDTRVKLAIDLVHSIYNIKMSKITEKACEHWKNVEEKKDSTLKWWMDLQKIT >RHN40248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14596210:14600781:1 gene:gene46373 transcript:rna46373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MALSNPLFDDIRSNIDVDPPQKEESTDVGILVNDPAQTALKPTGTVLSSVRELLECPVCLNAMYPPIHQCSNGHTICSDCKPRVHNRCPTCRHELGNIRCLALEKVAASFALPCKFKDFGCIGIYPYYNKPEHESQCSYRPYNCPYAGSECSVVGDINYLVTHLKEDHKVDMHNGSTFNHRYVKSNPQDVENATWMLTVFSCFGKYFCLHFETFQLGMAPVYIAFLRFMGEDSEAKNYSYSLEVGGNGRKMVWQGVPRSIRESHSKIRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >RHN65997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7399977:7400905:-1 gene:gene13906 transcript:rna13906 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSNATSPPTPKTTENYCRKSKSEKRKPFRSNMTLLRKAMKKSMEKKGGKEAEIAKTLIRIKNKRSGDLRPRRRTFQFRPSRLSTMSDAHDSPITQPGVISPNSQDNSPGQISPNSEDNAPGLLCVLKHFILSQVGDSINEISNSINSLKEWFNFLVVNSVVNSKTCAGNKNKSTDQEKTTQTITKFAQPGQWRLEDGWICYGVVIYAQLNQTDFQNLSEVKWELVKIYYFGVNYGQVIPTNQFSIS >RHN78709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17708527:17711380:1 gene:gene2349 transcript:rna2349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEGIVLETLLSTCVKVMLNKIVSSEFVDNYRRTKLDVSLLENLKTELLSFEVVVNDDAVSVNVWLNMLSDAVFHVDILFDEINTEALRCKVDAANETLTPTSQVMNNFSSHFERLNRMVINLIKELKGLSSGCVRVSNLDDESCIYGRENDMNKLNHLLLFSDFDDSQIRVISIVGMGGIGKTALAKLLYNDREVMEKFELKRFISKHHDDFRVFSKHYDDFRVLETILESVTSQTVNSDNLNTVYPNFLLVLDDVLDARSVNWTLLMDILNAMKTGSMIIITTRDERVPKSMQTFFYVHYLRPLESEDCWSLVARHAFRTCNNQQRSNLEEVGRKMAIKCYGLPLAAVALADFLCIKLSQPDYLNNFLIHKIWELVHYDILPALQLSYCYLLDPLKRCFEYCSIFPKKSILEKNAVVQLWIAEGLVESSADQEKVGEEYFDELVSRSLIHRRSIGNEEANFEMHSLLHDLATMVSSSYCTWLDGQNLHARIDNLSYNRGPYDSFKKFDKLYRVKGLRTFLAFPLQKQRPFCLLSNKVVNDLLPTMKQLRALSLSNYKSIIKVPKSIGKLFFLRYLNVSHTKIGRLPSETCKLYNLQFLAGCTRLIELPDHIGELVNLCCLEISDTALRGMPIQISKLENLHTLSNFVVSKRNDGLNFAELGKFTHLHGKLSISQLQNVTDPSEAFQANLKMKERIDKLALEWDCGSTFSDSQVQRVVLENLRPSTNLKSLIIKGYGGFSIPNWLGDFLFGNMVYLRISNCDKCIWLPSLGKLGNLKELIIDSMLSIKSVGTEFYGSDNPPSFQPFPSLETLHFEDMPEWEEWNMIGGTTTNFPSLKSLLLSKCPKLRGDIPDKLPSLTELELRGYPLLVESRHSDDNSNFITIIPFSHVISQLMLPLYSLLQLTIYDFPFLTSFPTDGLPKTLKFLKIITHCSRN >RHN74599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35026722:35035496:1 gene:gene10693 transcript:rna10693 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMDGFGGGGDLGGPPGSGDLRQLGHVPVSGGAVFDASQYAFFGKDAVQEVELGGLEEDARLPTFESNEEEFFFNREQDEDVRSLSDIDDLTTTFWKLNKAVSGPRNPGVIGERGSRENSTSDLAQRDDVHSWFDQNAYDSEGSIDGKRWSSQPQSSLAHLQNSKSLYRTSSYPEQLRQEQNYHLQHCSSEPVHNWLDQHIYDIETAHDEKRWSSQPHTSIPHLHESTPLYRTSSYPDKQQELTRFSSEPILVQKSSFTSYPPPGGRSQQGSPSHSTGHLNIPYHTGAAAQMALSSQNRPHFSNSALQLSGLNLGSHFGGNTRQYSTGSSPLSQRIQNQLVSQAGLYPGDRSNLLSNMLQQQLQLHNGSVSPHLMTQLQQQQHRLHHPVQQSAGYLSGFQSHLFNPHLSPSSSVNSKYDHRHKSSQKGKHSHRLSHQGSDASSQKSESSSLQFRSKHMTSDEIESILRMQLAVTHSNDPYIDDYYHQGRLAKKPSVAKLKHPFCPTQIKELPSRTRSSNDPHAFLQVDSLGRVSFSSIRRPRPLLEADSSNSSIPGSSDLKISEKPLEQEPTFAARVTIEDGLCLLLDVDDIDRYLQSNQPQDGGTQLRRRRQVLLEGLATSLQLVDPLGKNGHKVGLAAKDDLVFIRIVSVPKGRKLLAKYLQLLLPGSDLMRIVCMTVLRHLRFLFGGLPSDPAAAVTTCNLAEVVCQCIRGMDLGALSACLAAVVCSAEHPPLRPVGSTAGDGASLILVSVLERATELLTDPQAACNYNMGNRSFWQASFDEFFGLITKYCMNKYHSIMQSLLLQNAPNVDDIGSDAAKAISKEMPVELLRASLPHTDDRQRKLLLDFAQRSVPVIGFNSNAGGSGGLVNSETVLS >RHN43498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46329100:46330287:1 gene:gene50088 transcript:rna50088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-ketoacyl-[acyl-carrier-protein] synthase I MVVGGSEASLIPSGVSGFLACRALSQRNEEPQRASRPWDKHRDGFVLGEGSGVLVNYVNAHATSTVAGDLAEVYAIKQVFKDTSELKMNGTKSMIGHSLGAAGGLEAIATIKAITSGWLHPTINQDVLS >RHN68045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32344311:32344977:-1 gene:gene16333 transcript:rna16333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lactoylglutathione lyase MELEDMLVNSGQEIIACFCHGHLILAAANLLEGCKCTDFPPLKPVLIAAGAHWVEHLYLALCQQL >RHN68297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34389507:34393139:1 gene:gene16631 transcript:rna16631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MIHPLFNEATKTTHLGQLFLSLFKVFIRNRHKNSFEINCIGFCVFGGKIVVIMNGGGCEVKEKMVYMWGYLPGALPQRTPLLTPVLVRVPATSGGYNWKDVSGGGCGFAMAISESGKLITWGSTDDLGQSYVTSGKHGETPEPFSLPNEVSIVKAASAWAHCVAATDCGEVYTWGWKECIPSGKVFGETSQGVSPEKDAQGKPSSFLTEQVSSRSQVSKSTGGTVSSGEESSKRRKVSSAKQAAQTSSSGDDILTAMPCLVTLNPGVKITSVAAGGRHTLVLSDIGQVWGWGYGGEGQLGLGSRVRMVSSPHIIPCIDSSSLVQGSMSSEGHNFRIPGSCIKAIACGGRHSAVITDAGAVLAFGWGLYGQVSRI >RHN55373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17729591:17736766:-1 gene:gene30544 transcript:rna30544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MPGMGLVPNVVSYTTVLGGFVWRGDMDGAMKVFREVLDRGWSPDVTSYTVLVDGFCRLGKLVDAIRVMDVMEENGVEPNEKSGEAVNLIEDMIAKDLVVGSELCCKVVDVLCEEGNVEKACEVWRMVSRKNCGLNGAVVVSTLTHWLCKKGKVLEARNMFDEFGKGLVASLLTYNTLIAGLCEGGKLCEAARLWDEMVEKGVAPNAFTYNMLIKGFCKVRNAKEGIRVLEEMLENRCFPNKSTYTILIDGILLSGGMKQEINKVVSLAMSTGVDADLWNIFVKPVVGNDDGGAAELDRILLENAL >RHN70420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51337498:51341554:-1 gene:gene18999 transcript:rna18999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative endo-1,4-beta-xylanase MESSEMINAGNLPEKTTNTKCVSTDDENIILNPEFEDGLNNWTGRGCKIAVHESMANGKILPKSGKFFASATERTQSWNGIQQEITGRVQRKLAYEVTALVRIFGNNVTTSDVRATLYVQKPDLREQYIGIANVQATDKDWAQMQGKFLLNDSPSKVVVYLEGPPGGTDILLNTFVIKHAAKSPPSTPPDFENVAFGVNIIENSNLDNGTNGWSPLGNCTLSVGTGSPRVIPPMARDSLGPHEPLSGRYILVTNRTQTYMGPSQAITEKLKLYLTYQVSAWVRIGSGSHGPQNVGIAFSVDGQWANGGQTQVSDSRWHEISGSFRIEKQPSNVFVYIQGPVSGVDLMVAGLQIFPVDRLARFRYLCEQTEKIRKRDVTLKFSGVDTSEYTFVKVKQIQNDFPIGSCINRTNIDNEDFVDFFVQHFNWAVFGNELKWFWTEPQKGNFNYKDADDMLDLCQKYKIDIRGHCIFWEVDSNVQQWVKSLNKNDLLTAVQNRLTGLLSRYKGKFKHYDVNNEMLHGSFYQDRLGKDIRANMFKIAHQVDPSATLFVNDYHVEDGDDMNSSPEKYTQQILDLQDQGAPVGGIGIQGHIDSPVGPIVCAALDKLATLGQPIWFTELDVSSTNEYVRGDDLEVMLREAMAHPAVDGVMLWGFWELFMSRDNAHLVNAEGEINEAGRRFIDLKEEWLSHTHGHLDEQGEFNFRGFSGLYNVEIVTFEKVICQTFVVDKGNSSLVISIDL >RHN64575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60148938:60154401:1 gene:gene27403 transcript:rna27403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MSTFSESNSDGVSQRVNSPRFSGPMTRRAQSFKRNNANGSAAVSGGALSTHNEVELQINSPRSEEVLEPVSKHVHHHHHHVSQRVHGGVVKGFLKRPLESIAVDFGFREKKKLGHWMFLVFCGVCLFMGVLKICATGWLGSAIEKAQSYQELSDSNGIDNLNLVDQRSLGYAYRSQEGDVERTLKTVETGVGGSHTAKESEVWSKPNSENFTQCIDLSRNHKMLDAKTNGYILVNANGGLNQMRFGICDMVAVAKIMKATLVLPSLDHTSYWADQSGFKDLFDWKHFIDTLKDDVHIVETLPPTYAEIHPFSKTPISWSKVPYYKTEVLPLLNQHKVIYFTHTDSRLANNGIPKSIQKLRCRVNYRALRYSAPVEEFGNKLVSRMQQNGNPYLALHLRYEKDMLAFTGCSHSLTAEEDEELRQMRYEVGHWKEKEINGTERRLLGGCPLTPRETSLLLRALGFPSHTRIYLVAGEAYGRGSMKYLKDDFPNIFSHSSLSSEDELNPFKNHQNMLAGIDYVVALQSDVFLYTYDGNMAKAVQGHRRFENFKKTINPDKMNFVKLVDQLDEGNISWKKFSSKVKKLHRDRDGAPYLRESGEFPKLEESFYANPLPGCICETKRSR >RHN54314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8566951:8567910:1 gene:gene29325 transcript:rna29325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MFNRNNLMSMKRKKDSIAPVRARRRCNKEKGKVDEADNHDELCPYFDNLPSHLTAHILLKLHIKSLLICKCVCKIWKTIISEQHFAKLHFERSQVCLMIWTDDDRLVSRTMYHLECEPEKFKIGSNNHVKLDPIFKLPLRGYIKSFREKSDRIKNKSKRPYIACNRDRDHFDIVNSCSGLLCLSEPTTGNPLVICNPITGEFIRLLEATTIRMPNDTAYILNQEAAGCGFYPKTNEYKVINIWKKYARRAICDYACEIERVVIVEIHTLGTPTWRNKWILKFLLLILCIPLV >RHN45552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22874891:22876041:-1 gene:gene39917 transcript:rna39917 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAKTQQCNYSTYIHQHNVKVHLQLHCTTPTTIALSSNYVHTNQHSTYQSSVITTTTMIINIISTSSTLCNHIITIFLTYQVRKHTTSRNSFRHLYVVIQN >RHN65182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36703:39812:-1 gene:gene12999 transcript:rna12999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxymethylenebutenolidase MSEVALALALALGSSKVKMGLATARATVSICGAAAAASPSSIAKPLHPRSHCHFLPTSLFSSFCFQKKSKLILQNSVQDTAGSKVSCSLLNVESVIDDDACELVSGEELSLEDGDDNVHAYLFKAVKNNNGIGLLLLSDVYGFEDSSTRDFAYRVACNGFNILLPDLFRGNPWTKDQPNTQFEQWITGHNPERIAKDITAWTEWLADEFMTEGVSRKLGIIGFCFGGGKVLEVLAQDQDACFGTGISFYGTRIDSLVASDVKVPVLFILGDNDPICAVSEIKNIQTTIDSGSKVVIFPGRGHGFAHRPESVEEDKDAEQAYVIMRDWIHEHLV >RHN66660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15818555:15820438:1 gene:gene14700 transcript:rna14700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, kelch-type beta propeller MRSPHKETCNSRHFTWLMKSCFPNPHETTTTTTIKLSSSQPQPHTTSHTQATTISSLPDDIVLDFLSRLPPSSLPSLSLVCRRWSRLLHSSDFSDLRRRLHLLHHTVIALVATDFGFSTATLLNGVWSPAVFLPCYDAVSLLSQARLASIGPRIFVVGRNATVVYDTWTGKMTPRAAMISPRKKFAMAAVSGKIYIAGGGSKTATVEEYDPVRNSWSVVAHAPRKRYGCIGASWDGIFYVIGGLRIGALEQNEFSRASLGGETHAAAYASSMDLFEVEGRTWLKSRAVPGGGCVVAACAVAGKIYMLTSHTVELSFWSFDATRKCNGGGGAFGEWCRIKSPPMRSPIRVHTRMRFSCVGMGGKVMLIQVGGCVKSRDGFVLVYDCVTGEWERGADLPEVYRRAAYVGVEC >RHN39099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4103893:4109196:1 gene:gene45093 transcript:rna45093 gene_biotype:protein_coding transcript_biotype:protein_coding METSRRAVESYWRSRLIDSATSDEDKVAPVYKLEEICELLRSSHVSIVKEVSDFVLKRLDHKSPIVKQKALRLIKYAVGKSGAEFRREMQRHSVAIRQLLHHKGQLDPLKGDALNKAVRETAQEAVSAIFSEENSNNNYKAAAAPSQDLNRRIQGFGNTNYEPPPEDIKSFISEVVGIGSASIKQGLHSLTQGHSLMKNETGSGNYKSPIQRSLTVESERGDRYEPVAYRSETQSSFGLPKNQSSGSWNQDSRVNKMDISNGESSGNSSEIKTREDRLLETIVTSGGVRLQPSRDAIQAFITEAAKLDALALSHALELKLQSPIWQVRMKAVCVLESILRKKDDDHFSHVASYFTENKDVVLRCSESPQASLREKAIKVLSLLGGDQPNSSINSEKAVKTGTATVAELPDLIDTGYSNDDNLMDNSTNSIDEQNIGNLTSSTPLVDDLFGDISGSIRASHELKNDDDPFADVSFHTGESKEHADDLFSGMTVGDDKQGDHESRKQGIQSDPQLFDLFGSSSKQGNHNGSVSDLMGGLSIDENTSSTKPKGTSSTVQSESLFSGLNNHTLDNNTLGGMLGSQAQPIGFNVNPMFPTGHMPYNMPPGIMLNQPYPTQPLNYGAMGTILAQQQLLATMANFQHISNVNMRDGGVSQMVGPNGASPLPDIFQPNLTSQTPGSIINNSKKEDNTKAFDFISDHLASARDSRRVI >RHN63636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52856983:52857555:1 gene:gene26361 transcript:rna26361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC transporter A, ABCA MACTRLRKLVNSSSFWTQTNGLLRKNLTFQVQSIIHHYYRLIIIYNSIIHLTECIPRFFVVVQKRNKKANIRLILFPVILCVLLTVAQYLFDEVLPKTDFMCPDNKKTCNQKNKICDMAQCAVRRPQELPPLLQLPAGLCKKNGACPFNIIFTADNQSFAQSMMFFVIIVFQISMASSVFNFKLISKILI >RHN79796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32289960:32292777:-1 gene:gene3635 transcript:rna3635 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVKRKSTLVGLAFLMFMGIAVYFRLWAIDYNISIDDSELLRRQFDIANREAMDESAEWRLKYDKEVDRMNRCLKELQVFQNSSQIAKDASGINHKFAILQKENAILLERVETLKRQLEEEKLKCNSQ >RHN51052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13419789:13425300:1 gene:gene35422 transcript:rna35422 gene_biotype:protein_coding transcript_biotype:protein_coding MRFATKYKGSSILNLRATQVHSMQYSSLDKELDLFQKHVSDRFNELSSISNDNFLSLSWVRKLLDTFLCCQEEFKMILHNHRSMVSKPPLDRLVNDFYERSVKALDVCNAIRDGVEQIRQWKKSLEIVLCALDHKRIIGEGQFRRAKKALVDLEIGMIDASSKDSNNVSSFGNRNRSFSRNNVSKDKDSSQFGHFRSLSWSVSRNWSAAKQLQALGTNLCFPKSNELVATNGLALTIYAMSSILLFTMWSLVAAIPCQDRGLHLNFSVLRQLQWAAPMMSLHERILEKSKKRERKNCCGLLKEIQEIEKCARGMNELADSLEFPLSYTKF >RHN44815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8758374:8759489:-1 gene:gene38980 transcript:rna38980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MTFFFLFLNEVLKMETPIHQVLNMERPKEFIAAVTDKKDLWKLSVRVKDKWTAVKDGKEHLELLIVDAKGHDIQLLIPTAYKSVYDKTLEVNSTYTLTNFQVLKNDVLFKVSDHKYKLIWTGGTTAVDVNLNDIPNTHIKYKPFAEIVFGKWRPDLLFNVIGVVQDMGYCQLNEGKKLQYAAKFVQYNSDRKEAGPVIVLLKYCKIKEEGFNSLLDFSCFFNYLFRGQNRKCPILHGVEPY >RHN79176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25205008:25209637:1 gene:gene2907 transcript:rna2907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative OTU domain-containing protein MRVCFPVSQSSINAVVVNGRSQLLMSSNICSLQTRGISSSLSSSFQIGHSETNYVGLSICRKPSCSTIMGQTIRGGYLGSCFSKQRGNTQLYSSVVSRKRHNEISLSCQSMSMRLLVPKQKMLSKVKSNVGRITWPRSCASVGFIFGLFVCNLSSEPAHAEADYGNENKNDDCDESNVKVAHGKKVYTDYSVIGIPGDGRCMFRSVAHGACLRSGKPAPSESFQRELADDLRAKVADEFIKRREETEWFIEGDFDSYISQIRKPHVWGGEPELFIASHVLQMPITVYMYDQEAGGLISIAEYGEEYGKENPIRVLYHGFGHYDALEVPKKKGPKSRL >RHN59237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8697308:8697691:1 gene:gene21240 transcript:rna21240 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKCYVVLFFFLTLISSLGYSSNDAKLQEIQHKNAKFFIALPSGSLPVYFDCEEMWGQFEMHAGEIVERSTPTNEGVGCTVFWVRLYAYIVARIDEFWIIKTDGLFSTIDHIHWTKVISWRNNTRI >RHN52181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32410324:32410816:-1 gene:gene36819 transcript:rna36819 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSCGYACCWIALCWCCALFLLVLLLVVSDVDCFVVVCFITPKGLLVTNVLNTSLDMGSNMPEIGCVGGLVLDSLMFKSVLLERAPMPATLFRGLLVRGLHTMLAVDPFA >RHN77088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3949702:3950240:-1 gene:gene506 transcript:rna506 gene_biotype:protein_coding transcript_biotype:protein_coding MELEANRRGSKFSSFERVAAISLVVLAVASPLYIDHRSESELEDDEQPISVTLWLPMLLFVLVLVISLSAFLDKSFTRFDRNWIHRVGGSSGGIVFILILLFLVLKCKASLL >RHN64859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62498614:62502206:1 gene:gene27725 transcript:rna27725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MMCFFKQLTMLLFYFLVLVHSRVHDEENIGLMNDKNSLVSFMSYIISDPENALKSWKLTVVHVCDWSGVKCNNESNNKRIIELDLSGKSLGGTISPALANLSLLQILDLSGNLLVGHIPRELGYLVHLEQLSLSWNLLQGDIPLEFGSLHNLYYLDLGSNQLEGEIPPPLLCNVTSLSYIDLSNNSLGGKIPLNNKCIIKELKFFLLWSNKLVGQVPLALSNSTKLKWLDLESNMLSGELPSKIICNFPQLQFLYLSYNNFVSHDGNTNLEPFFASLMNSSNFQELELAGNSLGGRLPHIIGNLPSSLQHLHLEENLIHGSIPPHIANLANLTFLKLSSNRINGTIPHSLCKINRLERMYLSKNYLSGEIPSTLGDIQHLGLLDLSKNKLSGSIPDSFAKLAQLRRLLLHENHLSGTIPPTLGKCVNLEILDLSHNKITGMIPSEVAALTSLKLYLNLSNNELQGILPLELSKMDMVLAIDVSMNNFSGGIPPQLENCIALEYLNLSGNFFEGPLPYTLGQLPYIQSLDISSNQLNGTIPESLQLCSYLKALNFSFNKFSGNVSNKGAFSSLTIDSFLGNNNLCGPFKGMQQCHRKKSYHLVFLLVPVLLFGTPVICMCRDSIIIKSKVKKKLQAVSNRCDLEDEEVETKEIKHPRISYRQLREATGGFNASSLIGSGQFGRVYKGVLLDNTRVAVKVLDATKDNEISWSFRRECQILKKIRHRNLIRIITICNKQEFKAIVLPLMSNGSLERNLYDPNHELSHRLDVIQLVRICSDVAEGMCYLHHYSPVKVVHCDLKPSNILLDDDFTALVSDFGISRLLKGDANTSTCNSTSFSSTHGLLCGSVGYIAPEYGMGKQASTEGDVYSFGVILLEIVTGKRPTDVLVHEGSSLHEWVKRQYIQPHKLENIVEQALRRFSLSCVLRHGSKIWEDVVLEFIELGLLCTQQNPSTRPTMLDVAQEMGRLKDYLNNSFIREDNSIEK >RHN48387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47134816:47135073:-1 gene:gene43099 transcript:rna43099 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYLDTVSRIHMKKILKKVNKSESWYLRSTRYKSTESESGNAARRCRVRKLGTELRKWRKRDLGLFGERERERDWALKRKPDKI >RHN60214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23656111:23656978:-1 gene:gene22477 transcript:rna22477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MRNKSLDLLIFDSTKSVILDWPMRFEIILGIARGLLYLHQDSRLRVIHRDLKTSNILLDEEMQPKISDFGIARTFAGKETEASTERVVGTYGYMSPEYALDGYISTKSDVFSWRCLA >RHN50617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8593601:8594499:1 gene:gene34925 transcript:rna34925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MSMCENCCLAPSAFNQFMEVGPQSTSARNMFHLAQNVQSGVLTKFDFMSPHLNFWHYGRPTPPVYNLSNIPKNVPIFMSYGGRDALSDVADVKRLLNQHFQNHEADKLSVQFIDNYAHADYAFGVNANDLVYNNVTSFFKRQW >RHN76138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47950040:47953955:1 gene:gene12419 transcript:rna12419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde dehydrogenase (NAD(+)) MSFGALVSNIGDMEFSAEALERDINNMRKYYGSGKTKETSWRESQLKGLRNFVKENEEEILKALKKDLGKHHVEAFRDEVGTLKKSIDLAIKSFKKWMAGKEAKLPQIALLSSAEIVAEPLGLVLIISSWNFPFGLSLEPLIGAIAAGNVAVLKPSELAPTSSSLLATVLPNYLDNNGIKIIEGGPQIGEHLLHKKWDKIFFTGSARVGRIVMSAAVEHLTPITLELGGKCPALVDSLSTSWDREMAVKRILVAKFGACAGQACIAIDYVLVEKSFSSTLVELMKEGIKKMFGDNPKESNTIARIVNKQHSNRIKSLLNEPNVKESVVFGGSIHDDDLFMEPTILLDPPLESAIMTEEIFGPVLPIITVEKIEDGIEFINSKPKPLAIYAFTKNNTLQRRLVSETSSGSIVFNDAILQYIADTIPFGGVGECGFGKYHGKFSFDAFSHHKAVARRSYYTDFWFRFPPWNLNKFQLLEEAYHLNYTGILLVLIGLKSSKRSLYEACK >RHN54305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8497145:8498798:1 gene:gene29316 transcript:rna29316 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGNSRTNAQRLWKRRKETEDETESFQTAAVELIQTFRKIQLDSTECEIFLLHRYEIGQLQEDGEHL >RHN66244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9993024:9996596:-1 gene:gene14189 transcript:rna14189 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGEEWSQLAGSGVVVDVVRWFCFGWEKKKEERASDERERGRGNEIELVIFILIKGIIVISSV >RHN80024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34448816:34454523:-1 gene:gene3899 transcript:rna3899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MHIGQTDTASVTCLINSISRFVHLVSCQTVKPMPFQKICNNMVGVLKRLKPVLDDAMDYKIPLHQNLCKVCEELDICVNEARDFIEKWGPKMSKIHSVLQSGTLLIKLQSTSLDICHMIVKSLESPPSASVLANLQHYIQELQCLKKETAMVYIEKALREQREDSEPCKENLKEIIELLKLTSTQELLNETIAVEKERLNAERQKMKGDLEEINEIVNLVRVLRDYVMKTEYFAVKSGVSFPPYFRCPLSLELMLDPVIVASGQTYERQSIKKWLDHGLTVCPKTRQRLTHTNLIPNYTVKAMIATWCEENNNNRSSNSEHNTGNAFEEQKGDNSFRSNGECNGGQNIELEKWELQSPYIHSRSESFSSSISSSDCLLAVSKDVSRVSDKYQITNVLSVEAGGTENGKNNNSNTPNSHSRVDSHPVSNSGLNELTTSSHVNKLIEDLQNESIEVKSTAAEELRLLTKHNTENRIIVGQCGAVMPLLSLLYSNGKGTQEHAVTALLNLSINEDNKPLIMEAGAIEPLIHVLKTGNDGAKENSAAALFSLSVMENGKAKIGRSGAIKALAELLASGTLRGKKDAATALYNLSIFHENKARIVQAGAVKFLVELTDPADGMADKAVALLSNLSTIAEGRLEIAREGGIPSLVEIVESGSQRGKENAASILLQLCLHSSRFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLSHFRNQREAATTKGKS >RHN50533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7968636:7970340:1 gene:gene34829 transcript:rna34829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MESGLSTTGKKKHDTEDVLHSKLSEPQLISHILSFLPTTDAVRTSVLSKKWLNNWTSVTKLHFDDSLFKYLLRKCTHCADYIPSVSYVCDKVGFAGFALCQRCSVSQDALYNGGNSLSFSDLREVRFDRKKFEKYFENFVYKALLFTNNNSSASRMLEKFSFVIHYRHDIADLNIWISSILNRGVKSLKIVVSSVDLLKFSASTSNYLLNSTLLEELELVLRMFTVIEAPRNFVRFGHLKHLKLSGISFFEIKNCNWSSGKDVIVEASLLESILIQEEYVDIDDLQGQSIKFNALYLKEFSYCGYGISQQIYLSGCGFRSYASVKIILNSCENIATCFAFQLFQHFHQVNCIKLEGSKVGILSNF >RHN41674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32000291:32000743:-1 gene:gene48019 transcript:rna48019 gene_biotype:protein_coding transcript_biotype:protein_coding MINMQSSNSQRLYKCIGLQAHFIISSYKPIRLRTNSYLIEQCTKKSIPHRLGLIIFSKRIHITMTPDIPTMLTP >RHN58002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43030682:43032185:1 gene:gene33606 transcript:rna33606 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTKQQIYHKFGSVFITFLSIILVLLLVLFSVSKSFPYLFTLLFALLSSMFYFTLTKKKGSQCEHLVQDNMHKSKLQPSLEDVVQMQEKQAEAQSHPSFPVDSESNSTIDKSFGLNAELQADPHSDSSLPSDTESSISSTIDESFEIDIRRNQDMMSICDNLDSNNDDSDEDDGDDDDDEEEDEDGLIEIKLPSSHFSSLNENSKQNLDFIFKQQGLMDLLAEINEMNEDENLIEIDIFKGCTKYQDFRFKELTCIGDQCVLTD >RHN81717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48438813:48439085:-1 gene:gene5800 transcript:rna5800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLNFSNNELYGEIPIGTQLQTFNASSFEGNSNLCGEPLDRKCPEEEPEKPQLPTTDAVNVPNRYLYFFLLKLWFINSIMNTFNIENIFLC >RHN73506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17209769:17210354:-1 gene:gene9326 transcript:rna9326 gene_biotype:protein_coding transcript_biotype:protein_coding MITYPCFFYFLFYFLSCLSFSRCEEYLYLYFFLYIYVLIPNNFHIVLIVLFFIVMEKSNRELYKKNCRLMKENEKLRRKAELLREEKQTLLYQLKQKFSIGASNSKTNARSNMLDLNLDLSLGSASSQNPSSNN >RHN76523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50930118:50932306:1 gene:gene12864 transcript:rna12864 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligosaccharide biosynthesis protein Alg14 MEKGNGCCFSSLSSIATLSSVAFVVILILVRVVHVIYSSGRPLSKRASKPVSTLIILGSGGHTAEMLNLLAVLQKDRFKPRFYIAAATDNMSLQKAILLENSLASESGTAVADTAQFMKIYRSREVGQSYITSIWTTLIAIVHALWLMIKIRPEVILCNGPGTCIPLCVIAFIFKVLGIRWSSIFYVESIARVRRLSLSGLLLYKLWLADQIFVQWPQLQQQYPRATYVGRLM >RHN82140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51502290:51504224:1 gene:gene6266 transcript:rna6266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L29 MQITKSDLLAQLKDLKAELILLCVAKVTGGAPNKLSKIKVGGCRFLRSRRLH >RHN77471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7093864:7094124:1 gene:gene934 transcript:rna934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MSSSSAWTTKQNKRFENALAIYDKDTPDRWQKLARAVGGKTVEEVKRHYEMLVEDLKQIEEGHVPLPKYRNAATIKGYNYSDEEQR >RHN43690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47735804:47736403:1 gene:gene50305 transcript:rna50305 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKSECNSSRKTKELGRFCYEIEHTNSLSATTRSSKFRWKMLWMKLKKEKKKLLESTSSPLQQDPYDPFTYSQNFEQGTVFDEPDYLSRSFSVRFADPCKFINYQKK >RHN65014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63653565:63654485:-1 gene:gene27904 transcript:rna27904 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKSTQRHSPLLSSTSRRKCNNECSSPEFEFWMLRNPSFPQPNIIPADQLFLNGVILPLHLLSTQNKHDPVPEPNSSPPITDGLTITTTTTSKRWKNIFMKKNNNTEEKVKKKEKRVGNSGGAGGGSAELNINIWPFSRSRSSGNSVSRPKSSTGAPVTRKVNSAPCSRSNSAGDSKSRKLPSSPARVGVHLGRSSPVWQVRHAAKNTVNPDKSKRETTTSRRSRFTSTTGGGGGSGKAKVLNLSVPMCVGYSHNISYRIEENSNSVSNGGGGGGGKLFNLRTFFTKKTFLTH >RHN61910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39261981:39262582:1 gene:gene24423 transcript:rna24423 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSWMVQLLQVHVKSDLPKCFIDIIIPRTQIPMWCNTQNVGSSIRMDPSPSTGDKNLTFVAHDDPNSLGEGINFKSSTSHYDISCLELAADVWQVPGLHFEVKNCGYRWIFEEDLEQLNPQSGNSSVQNMYQTNK >RHN51495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18888184:18888507:1 gene:gene35940 transcript:rna35940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MGRSEQLLSEAITKIKGWNEDVHLKAFQTDLLSVESIIKFSTSLRQWFLDSDLHCSVQILIYNAGILATSPRITAEG >RHN72485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8028722:8032730:1 gene:gene8204 transcript:rna8204 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKLTLSLFFSFSDSSSWFHRDSTHSPLFYTLCASFSLISFIALIQLIRIELRVPEYGWTTQKIFHLFNFIVSGVRALVFGFHAQVFFLHPKVLTLVLLDLPGLVFFSTYTLLVLFWAEIYHQARSLPTDKLRIIYISVNGAVYLIQVGFWIYLWLDYNDVVDFIGKIFIAGVSFVAAIGFLIFGGRLFFMLKRFPIESKGRRKKLQEVGFVTIICFTCFLIRSVMVLVSAVDSDASLDVLDHPILDFIYYMVVEILPSAIVLFILRKLPPKRISAQYHDIH >RHN82772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56256646:56260314:1 gene:gene6957 transcript:rna6957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MVSATIRRIVSPCWRPSIEGENSGDASGRSDGLLWYKDFGRHSDGDFSMAVVQANNLLEDQCQVESGPMSSIEGSPRGTFVGVYDGHGGPETARFVNGRLFKHIKKFTSENQGMSADVITKAFLATEEEFLALVKKQWQHKPQIASVGSCCLVGVIYSGELYIANAGDSRAVLGRLDEATKEIKAVQLSYEHNASLESVREELRSLHPNDPQIVVMKHTVWRVKGLIQISRSIGDAYLKKKEFNQAPLLTKFRLPEPFETPILKAEPTIQVQKLQPCDQFLIFASDGLWEHLSNQEAVDIVQSCPRNGVAKKLIKAALCEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVYLDSHNPRAPAVSIKGGGDFGIGIVNG >RHN48601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48735642:48737561:1 gene:gene43336 transcript:rna43336 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L24 MVLKTELCRFSGAKIYPGRGIRFIRSDSQVFLFVNSKCKRYFHNKLKPSKLTWTAMYRKQHKKDIAQEAVKKRRRATKKPYSRSIVGATLEVIQKKRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVASKAQKSGKGNVQKGAMPKGPKMGGGGGKR >RHN40962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25020723:25024439:-1 gene:gene47213 transcript:rna47213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spermine oxidase transcription regulator Homeodomain-LIKE family MATPSSSDGSVSRRMSLRKKVNHRSYDEGLIDDDLFDKSLDSGLKKKGKSKDIQKETNTEAMIAFSLGFPMNALLEHEIRSGVVSELGGKEQNDYVVVRNHILALWRGNVRRWLTKGQIRETVTKEFEHLINSAYDYLLYNGHINFGVLPSFTSQLPEATEGTVVVIGAGLAGLAAARQLLSFGYKVVVLEGKNRPGGRVYTQKIGNEDKFAALDLGGSVITGIHANPLAVLARQLSIPLHKVRDNCPLFKPNGEPVDKEIDSKVENIFNKLLDKVMELRKIMGGFASDTSLGSVLETLKNLYAVAQTTDEKQMFDWHLANLEYANAGCLSNLSAAYWDQDDPYEMGGDHCFLAGGNWRLIKAMCEGVPIFYEKTVNTIRYGNEGVEIIAGDQVFQADFTLCTVPLGVLKKKAINFEPELPARKLESIERMGFGLLNKIAMVFPHVFWGEDLDTFGCLNENSHDRGEFFLFYGYHTVSGGAGLIALVAGEAAQAFETTDPIVLLHRALNTLKGIFQPKGITVPDPIQSICTRWGSDPLSYGSYSHVSVQSSGKDYDILAENVGNRLFFAGEATCRQYPATMHGAFMSGLREASRIYQLTRVQQPCPKKSLSKNIGTSSGTLVNLFKRPDLESGNFAFIYDPFSEDPQSKAILQMTFGGTEESYKEEILNCYPNTTKLPLQLYTILSREQVDQVQQITEGDENRLSYLTENLGLKLMGLSALLIAGNDVIASIAGSRKGRRNRTITSQPGDPKK >RHN46590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32983474:32985401:1 gene:gene41092 transcript:rna41092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MESQQSHNKLHVVFLPYPAIGHMNPMIDTARLFAKHGVNVTIILTHANASRFQKSIDSDVSLGYSIKTQLLQFPSAQVGLPEGIENMNDATSREMLSKVTRGVWMLKDSFEVLFKDLQPDCIVTDMMYPWTKFTIPCLPHTVEMTRLQLCDWERETNVMTAIFEPNYVSAERSYGSLYNSFHELESDYENLSKTTIGIKSWSVGPVSAWANKDDKRKANRGHTEKSIGKQTELLNWLNLKQNESVLYVSFGSQTRFPHAQLVEIAHGLENSGHNFIWVIKKDDKVEDGEGFLQEFEERMKESNKGYIIWDWAPQLLILDHPATRGIVTHCGWNSILESLNSGLPMITWPVSSEQFYNEKLLVDVLKIGVPAGAKVNKFWMNITVDEMVRREEITKAVEILMGSGQESKEMRMRAKKLGDAAKRTIEEGGDSYNNLIQLIDELKSLKKSKTLDEKAD >RHN59890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14476724:14476960:1 gene:gene22057 transcript:rna22057 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLDKRCCLKKKSEKTKVLSRRLLSMTGSHPPNCINRCDNCTTCIRVIVPTHPPQSASYYPESMKCKCGNKLYSPQ >RHN61770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38206667:38210212:1 gene:gene24265 transcript:rna24265 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFLTLSFHKIFFRELERESGSKRMKGLERRGLEESRIGRRARSKIEV >RHN58858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5475148:5480158:1 gene:gene20812 transcript:rna20812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MRSGRTAHIPKKMKLGLINSFLLYFVTLMLMLTQGCNGCLEKERISLLEIKHYFLSQTGDPYNKLGSWVDDRDSNCCSWNNVKCSNISSGHIIELSIRKLLFDIPFDMKLNVSLFRPFKELRLLDLSYNSFLGWIGNEGFPRLKRLETLDLSGNYLNSSILPSLKGLTALTTLKLVSNSMENFSAQGFSRSKELEVLDLSGNRLNCNIITSLHGFTSLRSLILSYNNFNCSLSTLDFAKFSRLELLDLGGNQFTGSLHVEDVQHLKNLKMLSLNDNQMNGSIEGLCNFKDLVELDISKNMFSAKLPDCLSNLTNLRVLELSNNLFSGNFPSFISNLTSLAYLSFYGNYMQGSFSLSTLANHSNLEVLYISSKNNIGVDIETEKTKWFPKFQLKSLIVRNCNLNKDEGSVIPTFLSYQYNLVYLVLSSNNINGSLPSNWLIHNDDMIYLDISNNNLSGLLPKDIGIFLPNVTYLNFSWNSFEGNIPSSIGKMKQLQLLDFSQNHFSGELPKQLATGCDNLQYLKLSNNFLHGNIPRFCNSVNMFGLFLNNNNFSGTLEDVLGNNTRLETLSISNNSFSGTIPSSIGMFSNMWALLMSKNQLEGEIPIEISSIWRLQILDLSQNKLNGSIPPLSGLTLLRFLYLQENGLSGSIPYELYEGFQLQLLDLRENKFSGKIPNWMDKFSELRVLLLGGNNFEGEIPMQLCRLKKINIMDLSRNMLNASIPSCFRNMLFGMRQYVDAVFDLSSILYGQHIQDTHYFFDSSLSIDLPLEKDQLIEDLLHLEVEFRTKHYEYFYKGKVLENMTGLDLSCNKLTGVIPSQIGDLQQIRALNLSHNHLSGPIPITFSNLTQIESLDLSYNDLSGKIPNELTQLNFLSTFNVSYNNLSGTPPSIGQFANFDEDNYRGNPSLCGPLLSRKCERVEPPPSSQSNDNEEEETGVDMITFYWSFTASYITILLAFITVLCINPRWRMAWFYYISKFMRRFFPTFPLY >RHN51543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19560965:19561196:-1 gene:gene36000 transcript:rna36000 gene_biotype:protein_coding transcript_biotype:protein_coding MELMRLCLFYLTMMLRGVQLLLGRSRILDLTLLLPLSNLEVMLILV >RHN80199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36066320:36066823:-1 gene:gene4097 transcript:rna4097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MDMDKKLILKFTISILGLVLNLEKEGLHVVCFSCGKYGHQVGICQDNKLVHMNK >RHN81623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47621669:47622399:-1 gene:gene5694 transcript:rna5694 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYGVVESWTKFMIIPHEKLIRNYPSFVDPLFVSKDGVVLLLNIRCNQLVLYNSNTGQLDHILITTVLGRRLHIYHESLMSPRCKKLMVELKAVLFCRNSINVCSDVFILDVIPQQWMILSFDMEKVLLSDFSYEKSNGTYQMVMKLVLFALAICFVEAHQFFVIRSDACFVVRVDTIFSFGFP >RHN61052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32540762:32546221:1 gene:gene23464 transcript:rna23464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde dehydrogenase (NAD(+)) MRTLEMDHLKNMREYFRSGITKEASWRESQLKGLRRFLVEKENDIFMALMQDLGKHRLEAFRDEIGTLVKTLNLALKCLKDWMSSKKAPLPAIALLSSAEIVHEPLGLVLIISSWNLPFGVSLEPLIGAIAAGNAAVLKPSELSPACSSLLASGIATYLDNKAIKVIQGGPQESDQLLRQKWDKIFFTGSARVGSIVMSAAAKHLTPVTLELGGKCPAVVDSLSSSWDLEVAVKRILVGKYGTCAGQACIAIDYVIVEKRCCSKLVELMKVWIKKMFGDNPQHSNTIARIVNKQHLTRLMKLLDDQKVQESVVYGGSIDEENLFIEPTILVDPPLDAAIMLDEVFGPLLPIITVDKIEDSIEFISSRPKPLALYVFTKNKTLQNRMISETSSGSVTFNDAILQYAADSLPFGGVGGSGFGMYHGKFSFDTFSHQKAIVRRSFLTDFWYRYPPWTANKFQLLEVSYNYDYLGLVLVILGLKRPSKRQIIPC >RHN79664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31021172:31022797:1 gene:gene3483 transcript:rna3483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ subfamily C, member 28 domain-containing protein MSMYLRLSRCASLNSLFHNSLLSTTVRCCSSSSPSPSGKSKPDKKKLGDRLSAVIDAANDRKLPPELRGQRNNVRSETDLINVVEQRIWHSMEEGQFENLPGKGKPLKLDTNPHADPAEDTLYRILSKNGCAPEWVELNKEIRFRISEWRKSLKKASANKCSEDQSMWVGSSQALKSQLKEINDKVFRYNLIVPFGRQMNGLKWEKELGNLDE >RHN82367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53230660:53230959:-1 gene:gene6530 transcript:rna6530 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVKQETLGDIALFYISNRSCYALSNPSRWGYESNSMYVIRLGSTICSVYSGDDKKFQKYIKIPAPHETSSSPHGTSSFMLDCCFRNLRYEVDYSLVV >RHN50881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11389170:11392693:-1 gene:gene35229 transcript:rna35229 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPTKNLRDSFKISYLKKLEWNTQRMKNNQQQRQSSTTEQKLLDEDNSNNNNNVDTSKTGTVTSICHDLLLLLSCCYCCFCCGACVEEK >RHN72790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10701746:10704665:1 gene:gene8546 transcript:rna8546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ADF/Cofilin, ADF-H/Gelsolin-like domain-containing protein MANAASGMAVHDDCKLKFMELKAKRTHRFIVYKIEEKQKQVIVEKLGEPAQGYEDFTACLPADECRYAVYDFEYLTEENVPKSRIFFIGWSPDTARVRSKMIYASTKERFKRELDGIQIELQATDPTEMGLDVFKSRAN >RHN75411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42088007:42088460:1 gene:gene11610 transcript:rna11610 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLPWKGSALDFVVGVCLTQSVRDSSSSKAFMSLAANFPIKPARREQSNNMDFSNSKVDTKMNDINVEEFEAEKYIETSKVDNSGTENNSCFVERNLDSSKVIKEEINNMDFLDPQSDKKFEG >RHN51666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21768598:21770808:-1 gene:gene36150 transcript:rna36150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MSNMVEVRVPNLDCEGCASKLKKALFKLKGVDDVEVEMEAQKVTVRGYGLEEKKVLKAIKRAGKAAEPWPFLPGHTHFASFYKYPSYIVNHYYNDAYKSEATNGVHTFFHTPSVYSVAVASDEAFASMFSDDNPHACTIM >RHN78301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13827684:13836800:1 gene:gene1838 transcript:rna1838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MCNLTSLIVDNCVGLKYLFPSTLVESFMNLKHLEISNCHMMEEIIAKKDRNNALKEVRFLNLEKIILKDMDSLKTIWHYQFETSKMLEVNNCKKIVVVFPSSMQNTYNELEKLEVTNCALVEEIFELTFNENNSEEVTTHLKEVTIDGLWNLKKIWSGDPEEILSFQNLINVKVVNCASLEYLLPFSIATRCSHLKKLGIKWCENIKEIVAEEKESSLSAAPIFEFNQLSTLLLWNSPKLNGFYAGNHTLECPSLREINVSRCTKLKLFRTLSTRSSNFRDDKPSVLTQPPLFIAEEVIPNLELLRMVQADADMILQTQNSSALFSKMTSIGLTSYNTEEARFPYWFLENVHTLEKLHVEWSCFKKIFQDKGEISEKTRTQIKTLMLNELPKLQYICDEGSQIDPVLEFLEYLKVRSCSSLTNLMPSSVTLNHLTQLEIIKCNGLKYLFTTPTAQSLDKLTVLQIEDCSSLEEIITGVENVDIAFVSLQILNLECLPSLVKFCSSECFMKFPSLEKVIVGECPRMKIFSAGHTSTPILQKVKIAENDSEWHWKGNLNNTIYNMFEDKVGFVSFKHLQLSEYPELKELWYGQHEHNTFRSLKYLVVHKCDFLSDVLFQPNLLEVLMNLEELDVEDCNSLEAVFDLKDEFAKEIVVRNSTQLKKLKISNLPKLKHVWKEDAFPSLDTLKLSSLLNLNKVWDDNHQSMCNLTSLIVDNCVGLKYLFPSTLVKSFMNLKHLEISNCPMMEEIIAKKERNNALKEVHLLKLEKIILKDMDNLKSIWHHQFETLKMLEVNNCKKIVVVFPSSMQNTYNELEKLEVTNCALVEEIFELNFNENNSEEVMTQLKEVTIDGLFKLKKIWSGDPQGILSFQNLIYVLLDGCTSLEYLLPLSVATRCSHLKELGIKWCENMKEIVAEEKESSLSAAPIFEFNQLSTLLLWHSPKLNGFYAGNHTLLCPSLRNIGVSRCTKLKLFRTLSNFQDDKHSVSTKQPLFIAEQVIPNLEMLRMQQTDADVILQSQNSSALLSKMTILGLACYNTEEATFPYWFLENVHTLEKLQVEWSCFKKIFQDKGEISEKTHTQIKTLMLNELPKLQHICDEGSQIDPVLEFLEYLRVRSCSSLTNLMPSSVTLNHLTQLEIIKCNGLKYLFTTPTARSLDKLTVLKIKDCNSLEEVVNGVENVDIAFISLQILMLECLPSLIKFCSSKCFMKFPLLEKVIVRECSRMKIFSAGDTSTPILQKVKIAENDSEWHWKGNLNDTIYNMFEDKAITSVEVIQTRRKYRRWKAKK >RHN65845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5764624:5771291:1 gene:gene13737 transcript:rna13737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anticodon-binding, Brix domain-containing protein MLRRNQRLRREYIYRKSLEGKERIHYENKRKIREALREGKPIPTELRNEEAALRREIDLEDENTAVPRSHIDDEYAFAAEKDPKILLTTSRDPSAPLKQFVKELSIVFPNAERMNRGGQVISEIIDSCRSHDYTDVILVHEHRGVPDGLIVCHLPFGPTAYFGLLNVVTRHEIRDRKAVGTMPEAYPHIILDNFETKLGERTANILKHLFPVPKPDTKRIVTFANKSDYISFRHHIYEKQAGPKSVELKEIGPRFELRLYQIKLGTVDQAEAQTEWVIRPYMNTTRKRNFL >RHN46174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29378870:29380530:-1 gene:gene40618 transcript:rna40618 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISSTKLPSSFILGTPSLHSTNHQVLFLQNHNLNRSSPQKKRVSFTVNAVKPPSGVEFPKVQPQFKPPFLGFTKTAEIWNSRACMMGLIGTFIVELIIHKGILEVIGLEVGKGLDIPL >RHN81071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43084405:43085550:1 gene:gene5066 transcript:rna5066 gene_biotype:protein_coding transcript_biotype:protein_coding MEGITASAYKGLKEYWQRKGYKRINGSSRRRRRTSKVELGSTQTRKGRFWRWKIKLSPKIRINRIRIPSPKKMLLRARDAYVNMMLGLANSRVMTVSGSASGFGGALPTGFTADSGFKRAPPKEYDEKMILQVYKSILMGHGNLEVACRQ >RHN43088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43066818:43070147:1 gene:gene49611 transcript:rna49611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase WNK-NRBP family MESSEEGGGHSEPPDPDVLEIDSTSRYIKYKEVIGKGAFKTFYRAFDEVNGIEVAWGQVQIDEVLQSPDDLDRLYSEMHLLKSLRHNNIVRFYNSWIDDKRRTVNMITELFTSGSLKQYRKKHKKVDLKAVRGWAKQILMGLNYLHTHNPPIIHRDLKCDNIFINGHQGEVKIGDLGLATFLMQANAKTVIGTPEFMAPEMYDENYNELADIYSFGMCMLELVTAEYPYSECRNSAQIYKKVSSGIKPAALSKVIDPKIKSFIEKCIVPASERLSAKELLMDPFVQVNGSTKNISLPLPDIVLPKLGASKNRCMMSEGPASARIGAISMDIGDTNELPVITVLDNSTVDASSSACVEIRRLKGGDTFFLKGGLNDENSVSLVLRIADQRGQAKYIHFIFYLDSDTAVSVSKEMVEQLELADQNVKFIAELIDLLLIKLVPEWKPCVAIDHLVSANDKWTSASQQTDSELAKNNGSSKHYTEDAGPSTSFGRSSAKENVDNMDLYSEMSYASATSDINDKLSMVSFMSAELLGFGGGSRSSFASEIGASSDHVSKFLHTGSNSMASLSSYPISVSSLSYPDDELRVELEMIEQKYEEAIRDLSKRRNLAIEEIKKRMSDKMVS >RHN53309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:868122:870539:1 gene:gene28200 transcript:rna28200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L18 MMLSMFARKCTSVYNQRIVFKLSAFNLCFKSLTTVPDKDGGAAVSSLAEQPSDYYPSSSLHLSPFFSNSNHPSSGFDIELVDRDAWGVSSVVAQAWRGGDLATAGASSSCDQCVIDEPLDACSSDLEDELDFEEIDNLRVRGNLFYKLERSSMEFEEYNIEFHKKKSSKKKNDKTEVTNKAKAKDKTTPKATSKDQKLPKVDEFGRNSKSVIPRMDEINDSSPVNKKQRVPTFNQLTGPYHEPFCLDIYISKASVRACIVHRVTSKVVVVAHSISKDLKFDLTSTKNKTTCAAVGKILAQRALADDIHDIIYTPRKGERVEGKLQIVLNSIIDSGINVKLKIKQRQKKKSFSSHFT >RHN55541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19344199:19345326:-1 gene:gene30741 transcript:rna30741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MASITTTTVTLFTFSLLLLSLSTVTSHPSSTILDAAEILTTAGHEAMALNLELASHSLIARRQSRSLTIFAPTNFAFSQIPQLPLSLLRYQLLPHAFSLHSLRSLPFGANVATLLPGHSLTVTTTSDRKLSINNVTVNPTPLLDDGYLVIFQTESFFDPYFQLPRPSGASCFSSRKISGDGGFGSKRLITDSSTFPFKEASGVLRSRGCSVMAAFLDLQFLGLKERPDQLTVFAPIDEAMVSHVGNVTEYSDILRRHLVPCKIVWNDLVVLEEGTLIWTYQRDFTLNVKTSAGSDLFLLNNGVPVVFPDLYVSDWLVVHGIGDILLDTVRSEEVSVQDAESTTFEIDHHHHRHRDHRIHDRAYNPAEHSHFSVFH >RHN53848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4681735:4686776:1 gene:gene28803 transcript:rna28803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inositol-polyphosphate 5-phosphatase MKGRRGKRSEAFWPSIVMKKWLNIKPKVNDFSEDEVDTETESEDDVCSPKQPRMQISDDSPFRTQGTQSIFSSQISDTSFKKGCKTRHRRGKSETLRAQYINTKEVRVAIGSWNVAGRHPSEDLDIDDWIYAEEPSDIYIFGFQEVVPLNAGNVLGAEDNTPIQKWEAIIRRSLNKSSEPDSKHKSHSAPPSPVLRTSSAADVLADNIDAANPIDMLNDELMENVDKYDLQQLEESNIISIGNDLHVRKVYGIDLDWPERPLDAISQIVDSNPKLRRVLSSSARIGFDLNENAFLYGGGGGGGLKRTHHSSGNLGSLLKEQQVIPKVVDSLDDVSEMLSDDGDDAFIELPENQDDDELGTTKSQARYVRIISKQMVGIYVSVWVQRRLRRHINNLKVSPVGVGLMGYMGNKGSVSISMSLFQSRMCFVCSHLTSGTKDGAEQRRNSDVNEILRRTCFSSVFATDQALTIPSHDQIFWFGDLNYRISMLDSEVRKLVAQKKWNELLNYDQLSNELRVGHVFDGWKEGLINFAPTYKYEINSDRYVGEIPKEGEKKRAPAWCDRILWLGKGIKQLNYERAEIKLSDHRPVSSIFLVEVEVFDHRKLRRALNFTNTAAVHPEIFPDEDGQFF >RHN66323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10871308:10880337:-1 gene:gene14283 transcript:rna14283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterol 24-C-methyltransferase MDLASNLGGKIEKAQVLSAVDKYEKYHASYGGQEDERKANYSDMVNKYYDLATSFYEFGWGESFHFATRWVGESLRESIKRHEHFLALQLGLTPGQKVLDVGCGIGGPLREISRFSSTSVTGLNNNEYQITRGKALNRKTGVDKTCNFVKADFMKMPFEDNSFDAVYAIEATCHAPDAYGCYKEIYRVLKPGQCFAAYEWCMTDSFDPNNQEHQKIKAEIEIGDGLPDIRLTTKCLEALKQAGFEVIWEKDLASDSPLPWYLPLDKSHFSLSSFRLTAVGRLFTRNMVKALEFVGLAPKGSQRVQDFLEKAAEGLVEGGKREIFTPMYFFLARKPASESN >RHN71435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:139316:144313:1 gene:gene7039 transcript:rna7039 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFSSVTGVVFPDPKNRLFLWTLLILLSLICGAYFLGNAFSAKEYKQRLARWGLIYSMPDTTTSNSNACKKQCRPSGTQALPQGIVARTSNLETRPLWDDSAVNNRISNHPLNLLAISVGVKQKEVVDKIVKKFPSSDFVVMLFHYDGFVDGWKNLAWSNRAIHVSAINQTKWWFAKRFLHPDIVADYNYIFLWDEDLLVDNFDPKRYLSIVKEEGLEISQPALDPGKSEIHHPLTVHKAGSKVHRRYYKFKGSGRCDDNSTAPPCLGWVEMMAPVFSKKSWQCVWHMIQNDLIHAWGLDRQLGYCAQGDRMKNVGVVDSEYIVHLGLPTLGGSNGNEGSSNSHRDDSDRPKVRMQSYIEMQVFGKRWKDAAKKDQCWIDPYEQQQANQTSH >RHN77877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10174362:10175865:1 gene:gene1379 transcript:rna1379 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEQEDMQFLGFFGCYKESFKIIFSWRKIFTQITLTLLLPLSFIFLFHIEVSNLIFKKIQHTEEQIDHTQQGTPKYEKLTDIISSQFITLLLFKLVYFTFLLIFSLLSTSAIVYTTASIFTSKDVSFKKVMKIVPKVWKRLMITFLCAYAAFFAYNFITFFVIILLAITISIKSGGIVLLIFIMIFYFIGFVYLTLIWQLASVVTVLEDFYGIKAMVKSKELIKGKMGLSIFIFLVLNVSFVLIRLVFKVVVVNGRWCFGYVDRTGYGILCFLFLSCLFLFGLVLQTVLYLVCKSYHHENIDKSALADHLEVYLGEYVPLTAKDVQLENYRV >RHN61969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39662087:39667022:1 gene:gene24486 transcript:rna24486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MLFGSLSISIIVIHLDESLIKKKIQSSTSKYKCHAMGIISFMIMIMIISYIIVPPILASNSINVSESLSDGETLISAGGKFELGFFSPGNSVRRYLGIWYKQMPIQKVVWVANRVNPINNTLGILTLTTSGNLMLRQNDSLVWSTTTQKQAQKPMAELLDSGNLVIRNQDETDYLWQSFDYPCDTILPGMKLGWDLRNDLERRITSWKSPDDPSPGDLSWGLVLHNYPEFYLMNRTEKYCRLGPWNGLQFSGLSDRKQNSIYDFKYVANNDLNYVSNKDEMFYSFTLKNSSAFVSATIYQTNISIYVWEENNTNWLLTESTPLNSCESYGICGPYASCSTTKSPACQCLRGFIPKSTQQWALRDWSQGCVRNISLSCNNQQMDVDDELMKYVGLKVPDTTHTLLYENVDLELCRTMCLNNCSCTAFTNSDISGKGSGCVMWFGDLIDIRQFDTGGQDLYIRIARVVTEASNGRNKSVITIAATTAAAIFGMLLFCSYIIYKVRWRIADKSKIDDNIERHLEDLDLPLFDLQTITSATNNFSLNNKIGQGGFGSVYKGKLADGQEIAVKRLSTNSGQGITEFLTEVKLIAKLQHRNLVKLLGCCVGRQEKFLVYEYMANGSLDSFIFDKITDKLLEWPQRFEIIFGVARGLVYLHQDSRLRIIHRDLKASNVLLDHKLNPKISDFGMARSFGGDQIEGNTHRVVGTYGYMAPEYAIGGQFSIKSDVFSFGVLLLEIICGNKNSALYHGNETLNLVGYAWRVWKEGKALELIESRIKESCVVSEVLRCIHVSLLCVQQYPEDRPTMTSVIQMLGSDMELVEPKAPGFCQRKVSSDEESPNQNEISSNDELTITSLNGR >RHN62206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41719139:41723317:-1 gene:gene24757 transcript:rna24757 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MGTSQSREGREFSDSDSDYDEQEPEQEEDQDQYQDAQSSSQSHSTNLDDVDAKLSALKLKYSSPSKSQSETKTPLPKNAVKLYLHIGGNTPNAKWILSDKRTSYAFVKNYEDEEEDEQNDVAQGQWILKVGSKIRARVSTELQLKMFGDQRRVDFVSNGVWALKFPTDESYRKFVTEFQNCTFENVYGLSPTEENKVKVYGKEFIGWVKPEAADDSVWEDAVSDDGSGKSPEPYRSRGDLMEEFEEAANGGIQTLTLGALDNSFLLNDAGFQVYRNFDRGIRKGVAVKYGGNLQQETPNKALLMRAETNMMLMSPMNSGMPHASKLNQLDIETGKIVTEWKFEKDGADITMRDITNDTKGSQLDPSESTFLGLDDNRLCQWDMRDRKGIVQNIATANSPVLHWNQGHQFSRGTNFQCFATTGDGSIVVGSLDGKIRLYSNKSMRMAKTAFPGLGSPITSVDVTFDGKWVLGTTDTYLVLICTLFTDKDGKTKTGFGGRMGNRIGAPRLLKLTPLDSHLAGTTNKFHGGHFSWVTENGKQERHLVATVGKFSVIWDFQQVKNSAHECYRNQQGLKSCYCYKIVLKDESIIESRFMHDNYAVSDSPEAPLVVATPMKVSSISMSGKRTR >RHN42509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38937031:38940679:-1 gene:gene48956 transcript:rna48956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative asparaginyl-tRNA synthase (glutamine-hydrolyzing) MDSTLACSFFQFFTLVVTFSFTLPTSSATEFSVKEATVQDLQLAFQTKQLTSRQLVEFYLNQINIQNPVLKGVLEVNPDALAEADKADQERREKTPSSLSRLHGIPILVKDNIATKDKLNTTAGSFALLGSVVPRDAGVVTKLREAGAIILGKATLSEWSHFRTFGAPNGWSARGGLGKNPYTLGEPCGSSSGSAISVAANLVTLSLGTETDGSILCPSNMNSVVGIKPTVGLTSRAGVVPVSPRQDTVGPICRTVSDAAYVLETIAAIDTFNNATIEASKYIPKGGYAQFLKKNGLRGKRLGVVRHYYNFGNDTFMHETFKLHLNTLRQRGAVLVDNLKIDNIDEIISGQSEQIALKFEFKLSVNAYLKDLVASPVKSLADVIAFNKKHPKLEKMEYGQDVMVQAEKTNGIGKAEKQALLNMTRWSENGFEKLMKINKLDAVVTPFWSFSHILAIGGYPGVSVPAGYEKGVPFGICFGGLKGSEPKLIEIAYSFEQATLIRKSPPLRRKLEVTSFK >RHN40563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17600023:17601159:-1 gene:gene46726 transcript:rna46726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAAASKKKVSTSVSHIHDDIAFSILSKLPIKSLKRFTCAKKSWSLLFQNPNFMNMFRTNFLISKHHDEAEIRLLILERSGFHIQQSLSILSGERFEIRANLDCPLPFQQDANADAPPAIFILGSASVNGTLCLYQKLTTVLWNPTTSEFKIIPPSIQPVENKLPPHGFGYDCVTNDFKVIRKLRDPIEFEGKLYEPFWEIYSLKSDSWRKLDGFDDMHVSYTGRYMVNLNGFCHWFTELHDNDIVSFNFSKETFIATTLPSSDVKYRSYNFHLVELNDSLSVIFNYDRTPDFHIWVLGEVGIKQSWTKLFVVGPYNCSIVCPISVGNKNRIFFREEDLELGWLDLSTQRVERIEVQGDSFCTHMAIYKENLLPFPRNE >RHN50742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10044264:10047465:-1 gene:gene35067 transcript:rna35067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronosyltransferase MDIKIFIIASILTSTLFFVLYIPTKLTIPTSNYSPPNPMNKTFNISNTNKKYPISFAYLISGSKGDAIKIKRLLKALYHPGNYYLIHMDHGAPKKDHRDVIDYVSNEPVFGQVGNVWIIKKSNFVTYKGPTMLSTTLHAMAILLRSCKWDWFINLSASDYPLVTQDDLIHAFSGVPKHINFIHHNSHLGWKFNKRGKPIFIDPALYSQKKSDIWQVTKQRSLPTAFKLYTGSAWTMLSRSFSEYCIIGWENLPRILLLYYTNFVSSPEGYFQTVICNSKDYKNTTANSDLHYITWDNPPKQHPRSLTLKDYRKMVLSNRPFARKFKKNDIVLDKIDRELLRRNRGQFSFGGWCSSSSQGGKKRACHSLKIENYGVLKPSLASKKMKNMISNIISFKNLRKQQCR >RHN49758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:895388:897251:-1 gene:gene33966 transcript:rna33966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligomeric Golgi complex subunit 7 protein MERAILSSEIEAIDLRGAVIRGVGAQGVEVSETVRRMEESIPQVVILLEAAAKRCINFTGGSEADELILALDDIMLKYISNLQETLNSLRTVCGVDYGGDGAGKKDENQNARRVDLISNEEEWSMVQGALQILTVADSLKSRSSVFEASLRATLARLSTTLSFSAFGSSLDQNQIIHGNEYEEPSFGGRALDMATLQLVNVPEKARKLFSLLNQSKDPRFHALPLASQRVAAFEDTINELYMMSSYLKYGNASVMCPVCQYGRLLRNKLLFVSLPLVHIHSPM >RHN38816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2221592:2225172:1 gene:gene44784 transcript:rna44784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (guanine(46)-N(7))-methyltransferase MLTFSPLSSYTSLSISSSSSSSQVIVVAAEQDYQQQKPQLRSSQLVALEYADLNLSYNLNLGHGRIRQHVNPSSFSSPAQVPDWNQVFADPALPLMVDIGCGSGRFLMWLAKRTPKVRNHLGLEIRQKMVKRAELWVKDLALDNIHFLFANVSISFKQLVESYPGPLQLVSISCPDPHLKKKYHKRRVLQKPLVGTIVDNLSPGGQVFVQSDALEVALDMKNQLGEVDALSHIDALNSDILCDSEGWLLSNPMGIRTEREIHAEFEGVKIYRRLYEKKQM >RHN72330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6844235:6846725:1 gene:gene8028 transcript:rna8028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acyl carrier protein (ACP) MASITSTSMSLLSLSNQSMVSFARISGPSSVSLSIKGRNFPSIALQPKGRRFHVTCAAKQETVRKVCDIVKKQLALSEDSTITGESKFTALGADSLDTVEIVMGLEEEFGISVEEESAQTITTVQEAADMIDKLLETKA >RHN48421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47371078:47376414:1 gene:gene43135 transcript:rna43135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-I-1 family METNLLFFLFFPSLPLSLFLLLPFSSSQIMQGFVSLDCGGSESFTDDIGLDWTPDNKLTYGEISTISVVNETRKQYTTLRHFPADSRKYCYTLDVISRTRYLLRASFLYGNFDNNNVYPKFDISVGATHWSTIVISDANIIEMRELIFLASSSTVSVCLSNATTGQPFISTLELRQFNGSIYYTQFEQQFYLSVSARINFGAETDAPIRYPDDPFDRIWESDSVKKANYLVDVAVGTEKVSTNVPILVNRDDVPPVKVMQTAVVGTNGSLTYRLNLDGFPGNAWAVTYFAEIEDLSPNESRKFRLVLPGQPEISKAIVNIEENAFGKYRLYEPGFTNLSLPFVLSFKFAKTPDSSKGPLVNAMEINKYLEKNDGSPDVEAISGVLSHYSSANWTQEGGDPCLPVPWSWIRCSSDPQPRIISILLSGKNLTGNIPSDITKLVGLVELWLDGNMLTGPIPDFTGCMDLKIIHLENNQFNGVLPASLANLPSLRELYVQNNMLSGEVPPHLLSKDLILNYSGNTNLHKQSRIKSHMYIIIGSAVGASVLLLATVISCLVIHKGKRRYYEKDHIVSAVPTQRPDSWKSDDPAEAAHCFSLAEIETATNNFEKRIGSGGFGIVYYGKLKEGKEIAVKVLRNNSYQGKREFSNEVTLLSRIHHRNLVQLIGYCREEENSILVYEFMHNGTLKEHLYGTLEHGRSINWIKRLEIAEDAAKGIEYLHTGCVPVVIHRDLKTSNILLDRQMRAKVSDFGLSKLAVDGVSHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELISGQEAISNESFGLHCRNIVQWAKLHIESGDIQGIIDPLLGSNYDLQSMWKIAEKALMCVQPHGDMRPSISEVLKEIQDAISIEKEAETLREGNSDEASRNSFQSSMNIGSMDLGRAESFLSIDESIAQPTAR >RHN51787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:25107281:25111557:1 gene:gene36308 transcript:rna36308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MGAGISTNDDHEGYLSYSKTCLDDIPESCISSIMMRLDPQEICKLARVNKTFHRASSDDYVWESKLPPSYKFLVKKILGEEKLCSMTKKEIYAKLCQPNFFDGGTKEILLDRCSGQVCLFISSKSFKITGIDDRRYWNYISTEESRFKSVAYLQQMWWVEVLGELELEFPKGNYSIFFKLQLGKTTKRLGRRVCNLEQVHGWDLKPVRFQLSTSDGQNSLSQCYMNGPGEWAYYHVGDFVIEKPNGLISIKFSLAQIDCTHTKGGLCIDGAVICPKELAHKLKQF >RHN38544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:74622:75880:-1 gene:gene44496 transcript:rna44496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MEGLDGLIERLLEARNNRGKRIQLVESEIRILCTKAKEVFLNQPILLELEAPINICGDIHGQYPDLLRLFEYGGFPPDSNYLFLGDYVDRGKQSIETICLLLSYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFSVRLWKVFTDCFNCLPVAAVVDDKILCMHGGLSPEMESLNQIKAIERPLDVPDQGLLCDLLWSDPDTEVKGWGENDRGVSYTFGPDTVDEFLKKNDLDLICRAHQVVEDGYQFFADRQLVTIFSAPNYCGEFNNAGALMCVDETLLCSFQILKPMRGNK >RHN38453.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000012.1:120573:123281:-1 gene:gene50719 transcript:rna50719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MQIQVFFLLFLLFTKTTSSQSTTNILPQGSSLSVEKSNNTLISSNGDFSAGFLPVGDNAFCFAVYFTKSKQPTIVWMANRDQPVNGKHSKLSLFKNGNLILTDADRKRTPIWSTASFSPFPLQLKLQNNGNLVLSTTNGNISILWQSFDFPTDTLLPGQEINERATLVSSKSETNYSSGFYKFYFDNDNALRLLFKSPLLSSVYWPSPWVLPVDAGRSTYNVTKIALLDSFGHFMSSDAYQFVTIDYPKKLHRLLKMDHDGNPRVYSFNDKTKTWEVSWQAIAEPCEVHGICGENSMCSYDPVNGRTCYCLKGYKLKNRNDWTQGCEPEFKPADLSCDSARVEDFGFLHLQNMELYGYDLYVAKVTSLKQCQKLCLDLCEKCKAVQFKFNGVATYDCFPKTLLANGRDSHNIDGDIYLKLPKNTLLSSTIPFKHSPLNCSIALFQPLNRFYEKPSKNSILSFLTWLALGIGVFEFSIILFVWFFLFRTNKNHDDVDQVQRHLLSATGFQRFSYSELKTATRGFSKEIGRGGGGIVYKGTLDDDRVAAVKCLNEAHQGEAEFLAEISTIGMLNHMNLIDMWGYCVEGKHRLLVYEYIEHGSLAENLCSNSLDWNKRFNVAVGTAKGLAYLHEECLEWVLHCDVKPQNILLDTNFQPKVADFGLSKLLNRDERDSSAFSRIRGTRGYMAPEWVYNLRITSKVDVYSYGIVLLEMVSGKSPMEIHSVVDNSGGLEHHHRMVSWVMEKVKSAPTTMFWIEEIVDGNLEGKYDVNQVENLVKVALMCVKDDMNERPSMSQVVEMLLQSHEKRGTPR >RHN62006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40015393:40018626:-1 gene:gene24526 transcript:rna24526 gene_biotype:protein_coding transcript_biotype:protein_coding MEEINEWQEIEQHTTLESSGWNRIIIKENNNIEVQGGSNPPSSCSSSSTPTHHEEGISSPMTASSSVGGEKGETPTPAATPLDWANEVNKMLKVRMEAMRVEIVRVASKVRNCAMCAGAFWSITCVAGAVAVTAVLVYVGIQRRRRKVDGSEYLLRQKDEKISQLLLNIAHLNEALSSRRKVQVHRISG >RHN66642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15569068:15570210:1 gene:gene14680 transcript:rna14680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MGHLHFATEIMTLKPSFALKLNPQGFSPIHLAMQNDQKQMVYRFVKINKDLVRGIGRDGLTPLHFASQIGEVDLLAHFLFSCPESIEDWTVRCETPLHIAIKNEQFESFQVLVGWLEKNKRRGAKELKSRILNERDEAGNTILHIAALSSEPLVVQELLSLVKTKINLHKKNLENKTALDIASIPEIKSILFSAGSKPSLEVTDAPSPAHWLRSKTTLMDKFFSQNLFSRTNITGEERNAWLVVATLIATTMYESTLSPPGGVYQISADDNNLNITSSNSTISTLKNVGKSVLSKTDFTTFSVLNMFSFFMSFLTIIIMTPTREPGIFVYPAMFFFLMCYMTSMSEISPASVDPMNAQATFVFTSQMFGFVIIMKITLKS >RHN68465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35809608:35811757:1 gene:gene16826 transcript:rna16826 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFPDVFCWIQNLPPISEWETNSISLNVCSSTTSQPLLNLTISKIHQSLKLSFMIVAEFNNIDPIHLWTSKPFMPSSKTTNLLDKETISNLFINFIQDILHYGSNKNSPLIRFPKLDSGPNLPNIFNLTFFTLFVLVCIYEAPKNISDACIGFLKDHLTSTQSRQASNLLMKLLGSNLQEQWMRSVNLAITNWVGEIEEQHQNMFRTPCSLFSYAFSTSGLWKVQLYCPATCMDVEKSESHPHDKLEFSLKYHQVESVLQFNYKVVVKEEWVEIMVNVDNIRCDVTKLVNDSLVKERGAGATEKHFPSRISLQLTPTIQNQVMSLSVGKSTENPKKEIGIDKGIEASIEPPNPLGLKVSAGESTTVSLKPWKFEQSVYGYSANLNWFLHDSMDGKEVFSSKPSKCALINPKSWFKNRYSSAYRPFTRQGGVIFAGDEYGERVWWKVDKGAIGKTMEWEIRGWIWLTYWPNKRVTLYNETRRMEFREIVYLDVA >RHN73544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17526785:17530900:1 gene:gene9368 transcript:rna9368 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLSLLSSAWEEIVRHSLFGLQFHYSFGSKDGAMILRTSFNKRESETSTTKSSTRLEDYRPEHVNLDTNVDENLKHKAVPLLSLPKEVVFSSPKPVFELDAAATKVQKVYKSYRTRRNLADCAVVVEELWWKALDFAALRRSSVSFFDEHKQETAVSRWGRARTRAAKVGKGLSKDDKAQKLALQHWLEAIDPRHRYGHNLHLYYDIWFDSQSTQPFFYWLDVGDGKEINLEKCPRATLQRQCIKYLGPKEREEYEVIVEKGKLVYRKDGKFVETDEKSKWIFVLSTTRALYVGRKQKGAFQHSSFLSGAATTAAGRLVAQQGVLEAIWPYSGHYHPTEENFREFVSFLEEHKVDLSNVKRYAIDDDAPSFIGTNPFIETNESQQTMDPTQTSQSISTNNVSNKGIKINNATEVNAKKFEVPVFDFSKRLSCKWSTGAGPRIGCVRDYPEHLQSRALEQVSLSPRPASARPYSYGPIPSPRPSPKVRISPRLAYMGLPSPRTPIPV >RHN57187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37175642:37178015:-1 gene:gene32695 transcript:rna32695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MGAPAYDQCFNVSLAPLELSKKRKTRSRGKGTKSVAETLAKWKEYNEKLYAGKDDGKPKRKAPAKGSKKGCMKGKGGPQNSENKYRGVRQRTWGKWVAEIREPNRGSRLWLGTFPTAQEAALAYDYAARAMYGPSARLNFPDISDYRSIQEYLKDSSAAAASCCSSVATTPATSETTTVSSHSEVCAIEDVKEIPRIPVHMNNTVDVCYKGYEATSPTSRMKQEPMDEPDDIIDLGGGEIQDANSEGTQTLTQPQTHDAVLVGEGVCNDQMDFSWMDNFDFDDDYLKSFSVDEFFHVDDFFEVDELLGQLDNNPIDDSGVMQSLDFGQVGLPEESNPQVGTTSSFFYELENPDAKLLGSLPHMERTASGVDYGLPLNFNGGGEDTPFLDLDYDLNHDSRGMQGRKND >RHN54196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7714786:7716196:1 gene:gene29196 transcript:rna29196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MTSKTSSNMLSSPNSSLQWTTKKNKLFENALAIYDKETSDRWYNIAMFVGGTTEVEIKKHYEILQEDIKNIESGKVPLPAYRRRNTGCSNVNISSAN >RHN74844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37109120:37109788:1 gene:gene10969 transcript:rna10969 gene_biotype:protein_coding transcript_biotype:protein_coding MASVMVLKSPLPSCITVITLGAASAATKEGSRVAFLCANGPTLVLNHASNWALLAGIGVKSSDNTTLAVNNATMIATLIVDVLDFEIIFFLFSILFLCFCFVD >RHN74597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34989685:34995991:-1 gene:gene10691 transcript:rna10691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain, RNPS1, RNA recognition MAKPGRARRSPPSGSVSGSSSLSSSSRSSSRSRSRSRSFSSSSRSPSRSSKSRSRSPPPPRRKSSAEPARRGRSPPLPPPPQSKRPSPPPRKPSPVRESLVLHVEKLSRNVNEGHLKEIFSNFGEVVSVELVMDRAVNLPKGYGYVHFKTRGEAEKALLYMDGAQIDGNVVKARFTLPPRQKASPPPKAVAPKRDAPRTDNAGAEVEKDGPKRPRESSPRRKPPPSPRRRSPVPRRAGSPRRPESPRRRADSPVRRRLDSPYRRGAGDTPPRRRPVSPGRGRSPSPPPRRLRSPARVSPRRMRGSPGPGRRRSPPPPPRRRSPPRRARSPPRRSPVGRRRSRSPIRRSARSRSRSFSPRRGRPPMRRGRSSSYSDSPSPRKVSRRSKSRSPRRPLKGRASSNSSSSSSPPPARKP >RHN79656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30953926:30957478:1 gene:gene3474 transcript:rna3474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MYEKLIINSRLLINKEQTNIMELSLLNHHHHPSLLHCTLTSNLLTRTHKRLYKKSSNRLIPSCSCSHSSSHKTVVEPISMSLQIEGRRALLTSLLTTFAGVYACDVAEAVSTSRRALRGAKIPESDFKTLPNGLKYYDLKVGDGAEAVKGSRVAIHYVAKWRGITFMTSRQGMGVGGGTPYGFDVGESARGNVLKGLDVGVEGMRVGGQRLLIVPPELAYGSRGVQEIPPNATIEMDIELLAIKQSPFGTAVKIVEG >RHN74270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31313175:31313612:-1 gene:gene10306 transcript:rna10306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MHNFVNDLAQFVSGKFSVRIEGNYEVVEESAQYLLHLIAHKFPAVHWKAMSKATHLRTFMELRLVDKSVSFIDEIPHDLLIKLKSLRVLSLEGIYHKGLPDSVTELIHLRYLDLSGAKMNILRESIGCLYNLETLKLVGCTNLQS >RHN67644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28944018:28944598:1 gene:gene15852 transcript:rna15852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MLRKKIICLSMLVMVLGMLVATLDARQIDDVSCSSALFSLLPCLPFLQGVGPATPTSYCCAGANDLNQKANTTQIRRDVCNCLKPSASRFGVNPDRSKQLPTLCNITLNVPFDPSVDCNTVQ >RHN75450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42397031:42397981:1 gene:gene11661 transcript:rna11661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb/SANT-like domain-containing protein MARYDSLRKEWKVWYNLFGKVTGLGWNFEKNTVDASDEWWEKKELENPQYAKFRDKGLPFAHQLTTLFKDVVANGEHAWAPSSGVLPNENLDNDDIDVGLDDAEGSGDSEDASIGAATGFGNINLNTSQGAVSQSSGQKRKRVIGAEQKGKKKATASTSIAEAVNVIAETCKSRNEAISNASIGEVMAEIQTMEAVTSDLEFHTMCCNLMMFKPAREMFVSLRGFEERRLIWLKFASFNPTLFMRP >RHN77157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4504160:4508602:-1 gene:gene582 transcript:rna582 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQNPSKQPPLYYQPMLKESINRFLTDYRNGATNFTDFTSIFSRAIHTTSDPPIPLLWFYSALEFHTNRLREASRTSLVAVKGLFQLLVSCCDGCVAMKRIGVLAPLVFEIYRSMGCGEEVKSEIEGLVEGVVSYCSIYCMKSEVEVNGGDARVGVLEEGFVDLIPVWMVDCDGGGESGVGGDCLKGFFPFVSDGVRKGIEMGCEVGYLAGVVMFEALLLKMCLIFEAGIARGEKEKKLHAAAVQVMTGFRNFYFLDTLLRMMLEPALPVISLLGSDNEVLLKEALYYSVMMMDYSFINCQAGVSLYADNLKDFAINWLFVAESAIQSAREKGDHGKATSYVNSFCRSCIPIQLINWVTSQSGIDRKIGRPSVSTPIDLIKWLLAAEEHGLAIFGCGTAKHRAKAFVFTSRTVSMLPVVKHPFLSSIHGGFAGTVPMLPVVEHPFLTSIHGGFVADRVRASDVEMHDTVDAISLSGDDRINTFTTDGTRKRKEGIEDGTKVQLKYMRCQLHENAVRENSCTFKQQ >RHN46330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30812389:30813417:-1 gene:gene40810 transcript:rna40810 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKNIPQQVAFIVDKYLLDNNFSSTRSTFRDEASSLIPKEQKSLITLDEMLDAYISLKEHKIMMDQEKFMLAQEKNQVQNLLQGLHDVMTTYNASGNLPSTSAKFALALVPQSVVSNKSQPGVPTSLPNISNTRSQPLSSNSDANGRNISTFNVNLSEGKRKETSAMDAPSAAKRCCSSLSPWDGHILLQQFDDIAFNNPTAI >RHN58717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4263458:4265835:-1 gene:gene20651 transcript:rna20651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MHDILQEMGREVVRQESSEDPSKRSRLWDPDDICYVLKNDKGTDAIRSIRVDLSSFRKLKLSPHVFAKMTNLRYLDFIGKYDLELLPQGLQSFPTDLRYICWIHYPLKSFPKKFSGKNLVILDFSHSRVENLWCGVQDLVNLKEVRLTSSRFLKELPDFSKATNLKVLNITDCLSLESVHPSIFSLEKLVQLDLSHCFSLTTFTSNSHLSSLLYLNLGSCISLRTFSVTTNNLIKLDLTDIGINELPSLFRCQSKLEILVLRKSEIEIIPSSIQNLTRLRKLDIRYCLKLLALPVLPLSVETLLVECISLKTVLFPSTISEQFKENKKRIEFWNCFNLDEHSLVNIGFNMKINLIKFAYQHLLTLEHDDYVDSYADYEYNHSSYQALYVYPGSSVPEWLEYKTESNVREWLEYKTTEDDMIVNISPLHLSSLLGFVFCFVLAKVSNYCYKIVLNITAIDVEGDGEKDGVDIYMDVDRVCNNSDHVCMIYDPPFSQYLTRIAKNHTRFKIKVAARTKPNFQRGSSKVELKGFGISPINHSTYHNLKKWKLFDYCR >RHN68706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37921378:37923075:-1 gene:gene17092 transcript:rna17092 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSIGIPACFSSASDDHHHHGAVIRSGKTVYMSVYRTKLAGHCRLITITWCKNLMLHGLSVTVENPEKETQYSCKVELKPWYFWRKQGSKRFTVDGKAVDVFWDLKSAKFNGETEPTSEYYVAVVCDQEVVLLLGDLKKEAYRRTGCRPSLIDPILVSKKEHIFGKRKFSTKAKFHEKGRCHEISIKCKNKGSNSGNNVVGDGDSVMIGGGVQVQPEMEIRFDGHLVVHVKHLQWKFRGNELIHLNKMRVEVYWDVHDWLFSPGLKHALFIFKPVFTCHSMSPFSLFSPSLSCSSSSTTPLSNETRSSTCDSVEGRSVSDSLSSSSEYCLFLYAWKVE >RHN74526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34371793:34372347:1 gene:gene10613 transcript:rna10613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MAFFLDKQREMLLLLLLLLMLLILSPPLIQADLNLIKTLCRNSDTPATCMKCVTSSENALVLNSVGIATSLVDCTDDQAKILGASMEELATNSSNDTRKPIYQTCANDYRRNIPPFLVSAKFSLREHNYEAAEYYVLKARRLDLYCHRDIESYKDEVQDEVYFNMTRFEEFADSACRIIEKIYA >RHN40102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13308784:13311843:-1 gene:gene46205 transcript:rna46205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin-44 dioxygenase MHILNPSMLFAPPNTKEKQCHDTSFLPCQVTNIPSEFIWPEHEKPCLTPPKLQVPPIDLKAFLSGDPKAISNACSQVNDACKKHGFFLVVNHGVDKKLLAQAHKLVDDFFCMQLCEKQKAQRKVGEHCGYANSFIGRFSSKLPWKETLSFRYSDDKSCRTVEDYFVNVMGEDFRQFGSVYQDYCEAMSNLSLGIMELLGMSLGVDKEYFRHFFEANDSVMRLNYYPPCKNPDLALGTGPHCDPTSLTILHQDQVEGLQVLVDGIWHSIVPKEDAFVVNIGDTFMALSNGIFKSCLHRAVVNDTIVRKSLAFFLCPNEEKIVTPPKELINKENPMIYPNFTWPSLLEFTQKHYRADERTLDAFSRWLQEKQLN >RHN45886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26532563:26533918:1 gene:gene40310 transcript:rna40310 gene_biotype:protein_coding transcript_biotype:protein_coding MASKYSLVLLLLGMMVLTTVVSDRRPDLFFGYVPVLDLEEDWPDLFFPPSTIIVGGKPNSFRYPPILDPEED >RHN64947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63205138:63215309:1 gene:gene27828 transcript:rna27828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase A(1) MSWGLGWKRPSEIFHLTLSYGNDDPPESLARTSTSSRSSSASSSSSSSSASSIVSQDQDLGFRIELDWSSSEDEDQVALKLQSQLMVALPMPQDTVVIELTPREEDEDAVDLVMKVVKRRDPLRAITMAKAVNSGQQTDGTGVLTRLLRSDLVSTAPEVVDAGVPGSGGGHHWTSLAVLSICGCGLSVFPVELTQLPHIEKLYLNNNKLAVLPPELGELRSLRVLRVDNNMLVSVPVELRQCVELVELSLEHNKLVRPLLDFRAMAELRVLRLFGNPLEFLPEILPLHKLRHLSLANIRIVADENLRSVNVQIEVENNSYFGASRHKLSAAFSLIFRFSSCHHPLLASALGKIMQDQGNREFVGKDENAVRQLISMISSDNCHVVEQACSALSALASDDSVALQLMKADIMQPIGIVLKSAGREEVISVLQVVVQLAFTSDIVAVKMLTKDVLKSLKILCAYKDPEVQRLALLAVGNLAFCLENRRILVTSESLRELLLRMAVATEPRVYKAAARALAILGENENLRRAVRGRQMAKQGLRILSMDGGGMKGLATVQMLKEIEKGTGKRIHELFDLICGTSTGGMLAVSLGMKLMTLEECEDIYKNLGKHVFAEPVPKDNEAATWRDKLDQLYKSSSQSFRVVVHGSKHSADQFERLLKEMCTDEDGDLLIDSAVKNVPKVFVVSTLVSMMPAQPFIFRNYQYPAGTPEVALTASDSAGIAVLTSPMNAQVGYKRSAFIGSCKHQVWQAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTKIDCLVSIGCGSVPTKIRKGGWRYMDTGQVLVESACSVDRVEEALSTLLPMLPEMHYFRFNPVDEHCDMELDETDPTIWLKLESAVEEYIQQNHLAFENACERLLLPFQHEERWSENLKTKLPKTKESIEGANGPTLGWRRNVLLVEASHNPDSGRSIHHARALESFCARNGIRLSLMQGLSGTVKTVPSSTFPTPFASPLFTGSFPSSPLFNSPDIGHRIGRIDLVPPLSLDGQQGKAVASPPLSPRGLRQLSLPVKTLHEKLLNSPQVGVIHLALQADSDGLIISWHNDVFVVAEPGELAEKFLQNVKFSLLSTMRSHRIKGASLLANISTISDLVAFKPYFQIGGIVHRYLGRQTLVMEDNQEIGSYMFRRTVPSMQLSADDVRWMVGAWRDRIIICTGTYGPTLALLKAFLDSGAKAVICPSNEPPEPQLTTLDGTNELNVMENGKFEIGEDEADDENIPASPVSDWEDSDAEKNADCTSFWDDDEEELSQFICQLYESLFREGAGVNVALQHALATYRRVGYVCHLPGVQ >RHN76174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48242377:48247957:-1 gene:gene12460 transcript:rna12460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-fructose oxidoreductase MAPIQIAILGAGTFVKHQYLPRLSEISHLFNLKSIWSRTQDSANAAVEIANKLFGGNVESKFGDNGLNDIIQDSSITAVLVVLAGQYQVDISLKLLKAGKHVLQEKPAASGINELETALSNYKSISADAPGQIIWSVAENYRFEPALVEGKKLIADLGKMMSVQVIIEGSMNSSNPYFSSSWRRNFTGGFILDMGVHFIAGLRMLVGCEVVSVSAMTSHVDFTLPPPDNISSVFHLENGCSGVFVMVVSSRSPKILWRVVGMNGTLQIERGFQGQHGYLISLYDAHGECKSSFFPFSGVTEELKAFFNDVSENTLKKGSQPEHRLSFVEGARDVALLEAMLESGSKKGELVHVKKF >RHN48997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51654022:51654491:-1 gene:gene43776 transcript:rna43776 gene_biotype:protein_coding transcript_biotype:protein_coding MECITTSMQRSLKKYWKRRKGSYQRINKSGRKTNTVKLGGGATTGTKKKWRIKISPKIKFPTISSPKKWMVWMRDSYVRMMLGLANSKVMNLTSFNDPTGGFGRAPQPKEYDNKMLVHMYNSLVVAQGHLVPLDNLPSKLGSKTA >RHN48405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47259509:47265111:-1 gene:gene43118 transcript:rna43118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MKKQLTGLRGDSHLHSAIRVGNLELVLEIISENQGEELKELFSKQNNSSETALYIAAENGHLDIVKELIKYHDIGLASLKARNGFDAFHVAAKNGNLEILKVLTEAFPEISMTVDLTNTTALHTAVSQGHIEIVNFLLEKSSSVVTIAKSNGKTAFHSAARNGHVEVIKALLGSEPEIAMRVDKKGQTALHMAVKGQNLEVVDELLKLNPSFANMVDAKGNTALHITTRKGRLQIVQKLLECKEIDTDVIDKSGETALDIAERTGRLDIAKFLQDRGAQNARSVKSPSKNRALELKQTVSDIKSGVHNQLEHTFKTQRRMKGIAKRINKMQAEGLNNAINSNTVVAVLIATVAFAAIFTVPGQYPQNTKNLAPGMSPGEANIAPNIEFLIFVIFDSTALFISLAVVIVQTSVVVIEREAKKQMTAVINKLMWIACVLISVAFLAMSYIVVGDQKELAIAATALGTVIMAATLGTLCYWVIAHRLEASRLRSQRTMTSSRHSLTMSGMSASENEYKTVYAI >RHN44029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1115010:1115816:1 gene:gene38076 transcript:rna38076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MASILNFVYFMIFVHFLFLVLTEANTTNCTSIADCASKICALPSVVWCLSHSNTCICL >RHN80503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38650622:38651564:1 gene:gene4438 transcript:rna4438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione peroxidase, Glutathione transferase MSHLPFMDYVVNNMRKDCLIKDRKHVSAWWNDICDTRWWN >RHN67383.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26658027:26662148:-1 gene:gene15567 transcript:rna15567 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDNSAIKLPIFEGENYHLWPTRMEAYLDTHDLWEAVEDDYEVEPLPDNPTLAQIRNHKERKQRKSKAKSYLFSAVSEAIFTRIMALKSAKAIWDFLKQEYEGNEKVKGMQVLNLIREFEMQRMKESETIKEYADKLLSIVNNVRLLGGEFSDIRIVRKCPVTVPERFESTISSLENSKDMSTITLSAQIPRKFDFQTTKASITIPPIPKSNLSGFIFCTIVSKCFHVHNLPLNCVIFEHGKEVDRCFVIHDAYIGALISDHVLICWHPYNRQKLGSNDCNLSFQFMHQDLNEELWWSTEGIKGCGILPVYNLEHKSDLDGREIGKLKFSAQYSDRCEGISSNNENEDDQKHPCCSIGLFLRNLLRGSQE >RHN38953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3021995:3023152:-1 gene:gene44931 transcript:rna44931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative meiosis arrest female protein MYHSILSTLSFKIIMTFNYSVTGGKNKSKCFVDWFSQNPSSPMHLFLISGDKDINFSCILVRNYHRRNYHRRNENLLLACPGKAEDCVSRRAFIVWRWSSVLKGKYLTGKYFCYPPEWYVKARVPLEYPPEWYRNWKVRPKNPFLAAEEPTSSQNAEIHIPSSFSCEGQRVQYRPVREVLSSYPNGIPVGELKMRLGISGDTKRFSQVIASIPQVQLLYIGDDNFCVRLIPSTTSTVKKKKQRVADHSEMRELFSTDSFGDDVETFVFSSRGSRLISRSRSREDLAHRLQRHGPMIFMSLTENEILQLVELLITKLKWLKESPSEVFPFILTQSVGLTMP >RHN68919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39620439:39625091:-1 gene:gene17340 transcript:rna17340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease III MEAQAYKEQEEALLIQTLALQIDNKEELSHKQEKEDTNNNDHKEKLSPKQEKEDTTTINNNISPPPPPLYEVEEILGYEFKNKQLLEEALTHTTYGAENDYERLEYVGDAVLNLLMAREQFVSYPNLKPGILTQLRSKNVDSEKLARVAINHGLDRYLRHKKPQLGEQIEAFTKAIEDYPIHSNGHIHVPKDLADMVESTIGALFIDCDSSLEIVWKVFRKLLEPIIEPNTVEKHPVSELQEVCQKKKLNLQFVDLWEKSMNIDVFINEKFVGRGTYGSKKDIAHYRAAKNALDNIERVLSGSTSIVEDALVD >RHN79447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29043065:29044116:1 gene:gene3238 transcript:rna3238 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLNKFGTTITILTAVTLTALAVEILYVLFKRRKRLRPRVQAEPSLPRSSSTNDELEDELEQHMAKYHCLYGPSRVLFTIKEEEREGVESENGSSTTECSSVVTVMKGRAVRSMRLSENVVVGGGDEVVVAVEEWLDESTPYSTPCATSPYYTPQSSPTRGNG >RHN58399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1433831:1437748:-1 gene:gene20306 transcript:rna20306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-CDK-Pl family MEKYEKLEKVGEGTYGKVYKAKEISTGQIVALKKTRLEMDEEGVPPTALREVSLLQMLSQSLYIVRLLNVEHIDKPPKNATHTPAKPLLYLVFEYLDTDLKKFIDTFRKGVNPRPLPNTLVQSFLFQLCKGVAHCHSHGVLHRDLKPQNLLLDQAKGILKIADLGLGRAFTVPLKSYTHEIVTLWYRAPEVLLGSSTYSTSVDIWSVGCIFAEMVRRQALFPGDSEFQQLLNIFKLLGTPTDQQWPGVSSLRDWHVYPRWEPQNLARAVPSLSPDGVDLLTKMLKYNPAERISAKAALDHPYFDSLDKSQY >RHN82577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54905785:54910577:1 gene:gene6750 transcript:rna6750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain, nucleotide-binding alpha-beta plait domain, DNAJC17, RNA recognition MDTNIDHYTVLGLPSGEEGSNLTQKDINKAYKSKALELHPDKRPDDPNAAANFQQLRTSYDILKDEKARKLFDDLLRVKLENQRRESQRDGKRRKMVSDLEKRERDAFSPDSAARGREEEDRIAKKLKEEIARIRAMHAKKAGADLGVKKENASSGGGGGGDGGGVDQEKVLKVSWEKVGEDYSADKLRELFSKFGEVEDVVIKGSKKKGSALVVMATKQGAVATIGNVIGHLANPLLVLPLKPAMPADSWGASKSVEPEAPSKLVGAGYQAFEDSVLMKLQKQSKNDGKICQTPEWTIWNADSPILILRSLQPVVIRFNSRKPPFDENQKLEVEGGVVLVQPWVHDKCFWTA >RHN51238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15291508:15292765:-1 gene:gene35645 transcript:rna35645 gene_biotype:protein_coding transcript_biotype:protein_coding MVENKVSRHDNKVWSDPHSADVIHGATVRHGNGLNRADARNRDWSGQHGVHENSDLKGKHGTGSNKTDVDNNLCRYSVYVNILKCVAFCLMSLLEKFNTETG >RHN57135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36770015:36775278:-1 gene:gene32635 transcript:rna32635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGELTQTEVYSPPRTQQVWKSLLSWFAFFFQIFSQIIRTLGHYPLLSSSSSSSSSSSSTSSSFKPLPSVELQDFDSSSSALEITADYPAADQRPFQKLTVVLDLDETLVCAYETSSLPAALRSQAIEAGLNWFDMECVSSDKEGEGRPKINYVTVFERPGLKEFLTKLSEFADLVLFTAGLEGYARPLVDIIDKENRFSLRLYRPSTISTEHREHVKDLTCISKDLDRIVIVDNNPFSFVLQPVNGIPCIPFSAGHPHDTQLLDVILPLLKQLSEQNDVRPLLYEKFHMTDWFQKQGIPASSWTL >RHN81444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45966126:45968479:1 gene:gene5486 transcript:rna5486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cathepsin L MVTKPSMSLTCFARVTIFLYALTLSTAFHRHENLIQDVARKLELKDNELLKTEKKFKVFMQDYSKSYSTREEYLLRLGIFAKNLVKAVEHQALDPTAIHGVTQFSDLSDEEFERFYTGVRGGFPSSNGVGEVAPPLDVEGLPENFDWREKGAVTGVKMQGTCGSCWAFSTTGSIEGANFLATGKLLSLSEQQLVDCDSKCDITDKTSCDNGCNGGLMTNAYNYLLEAGGLEEENAYPYTGGKGECKFDPKKIAVKITNFTNIPVDENQIAAYLVNHGPLAMGVNAVFMQTYIGGVSCPLICSKRMLNHGVLLVGYNSQGFSILRLRKKPYWIIKNSWGERWGEKGYYKLCRGHGMCGMNTMVSAAMVAQTQPAENKSYASY >RHN67944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31685071:31689593:-1 gene:gene16208 transcript:rna16208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome ATPase MGSSAMVLDPKPLTEPPPSLSSSSPADTDSSSTSDDLYTLLKSLQRQLEFIDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDTNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQARLFVFLYVTILYAIVLSHYSMFIVNNR >RHN78232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12988054:12988452:-1 gene:gene1766 transcript:rna1766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alkane 1-monooxygenase MNGKARTSSNKQEAGELFSIISEIGAQVDLEDALNRFIFDNTCTVAFSFDPNCLPKKFRQLREIANKKSFTVMDEVMDSCLWKQQKWIHVKKVSTDSCISAYFKCQELNKCSSSEEIVECYFDGVKIKIPLL >RHN53115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42103314:42107609:-1 gene:gene37883 transcript:rna37883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative digalactosyldiacylglycerol synthase MDQKRHTAIFTTASLPWLTGTAVNPLFRAAYLYKAGIRNVTLVIPWLSLKDQKVVYPNNITFDSPAEQEKYIRQWLEDRVGFASGFSIKFYPGKFSRDKRSILAVGDISEIIPDKDADIAVLEEPEHLTWFHHGKRWKTKFKLVIGIIHTNYLAYVKREKNGTLQAFLLKYLNNWVVGIYCHKVIRLSAATQDYPGSIVCNVHGVNPKFLEIGKKKREQQQNGEIAFTKGAYFIGKMIWSKGYKELLQLLNDHQKELSALELDLFGSGEDSDEVQEAAKKLEMTVRVHPACDHADGLFHDFKLFINPSTTDVVCTTTAEALAMGKIVVCADHCSNEFFKQFPNCWTYNNHKEFVELTLKALTEEPGQPTDAQRHDLSWEAATERFLKAVDLDKPSERKLLSRTTSNYLSTSLYLQQTVEDASAFVHHVASGFEISRRIFGAIPHSLQPDEQLRKELGFANTSGT >RHN65039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63804801:63805076:1 gene:gene27932 transcript:rna27932 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIATWTLSNFCRGKPQPLFEQVRPALPTLERLIFSNDEEVLSDACWALS >RHN41545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30949637:30954457:1 gene:gene47879 transcript:rna47879 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:dxs2-2 MALSSCLLKPNHSLLQCHKFIAPNPNHGYRNQFCVMASSNSDEERTVIRKEKDEWKINFSAEKPPTPLLDTVNFPVHMKNLTTEDLEQLAAELRADIVHSVSDTGGHLSSSLGVVELSVALHHVFDTPDDKIIWDVGHQAYPHKILTGRRSRMHTIRKTSGLAGFPKRDESVHDAFGVGHSSTSISAGLGMAIARDLLGKKNSVISVIGDGAMTAGQAYEALNNAGFLDSNLIVILNDNKQVSLPTATLDGPATPVGALSSTLSKIQASRKFRKLREATKNITKQIGGQTHLVASKVDKYARDFISGSGSSLFEELGMYYIGPMDGHNIEDLVNIFEKVKATPASGPVLIHIVTEKGKGYPPAVAAADRMHGVVKFDPKTGHQFKPKPSTLAYTQYFADSLIKEAEMDNKIVAIHAAMGGGTGLNYFQKRFPDRCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSTFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDITFMACLPNMIVMAPSDEAELMNMVATAAAIDDRPSCFRFPRGNGIGADLPLHNKGTPLEIGKGRILLEGSRVAILGYGCMVQQCMKAAEMLRAVGVYVTVSDARFCKPLDTDLIRLLAREHEILITVEEGSIGGFGSHVSQFLSLAGLLDGPLKLRSMMLPDRYIDHGAPNDQIDEAGLSSKHILATVLSLLEMPKEALFF >RHN75201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40133594:40133863:-1 gene:gene11371 transcript:rna11371 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVRAWMIALSTGGVEALKDQLGVCRWNHSFRSFHQHAKSNIRSYTKAKKLSSASSAAVSNKVKRSKEESMRKVIDLNCRGPSTSRF >RHN79630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30754283:30756938:1 gene:gene3447 transcript:rna3447 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMSLNIMVLVLTPKTNDYKLVVLRDIWFDDSHDDEDENDGYLTARLYSLNSNSWRNIDAPPYYPLPCDSWSTSTIYTFVRNCCHWWDVVVDKSGNTGDWVLAFDMVNELFRKIEVPKVQYSLSECYKTLVPFNESDTIGLIVYPRGLLDKCFDVWVMKDHWDEGSWIKKYSVGPVPVIYKLVGFYGSNQFLWKDRNKRLVLYEPENENRKYLQFNEKGDSMRTARYMESLVSLKRGNESSRQCFSCSFVPGALLIHVHGKSYFTSKEK >RHN70698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53286574:53288876:1 gene:gene19309 transcript:rna19309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, non-heme dioxygenase domain-containing protein MGSEIEIPILDFRKSSGVTLEEGSEGWKEMSKKVREAFESHGCFFLKCDEIPNELREKMFTGMKSLFDLPEETKQKFSNPGAYRGYTANSHVIPHCQSFGIDDALKPDTAQGFTNLMWLEGNPTFCETLSSLTSKTRELSLLILKMVVEGFGLPEKYISEVEELNNTNDSRMTRYQLPEDNKHLEIALVPHTDKGSLALICDNDVQGLQVLPKSGNWVDISIPPDGFVVIVGDMLKAWSNGRFQAVTHRVVTRGDKERLAFILFGVPKEDAVIKVPSELVDDKDHPLHYRPFKYEEFIDYHYSTRTEKAVLEQFAGL >RHN48325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46638110:46643629:1 gene:gene43030 transcript:rna43030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfofructose kinase MNHIPTVYSPMTSISKPFNGTIFHTHHSSSFPHQFRQHLHSHSITLRHKSIKISAHAVPPLPQNHTVVGFGMTTVDFLATVDGYPKPDDKVRTTSSKVQGGGNAGNALTCAARLGLKPKLISKVADDAQGKSILEELEADCVDTSFIVVSKGGSSTFSYVLVDTQTKTRTSIYTPGDPPLVPDDLSQSMLLSAFDGARLVYFDGMSTETALFVGREAARNNIPILVEAESPREGLDELLTLAEFVVCSARFPQAWTQSPSIPSALVSMLLRLPNIKFVIVTLGEDGCLMLERSANEDVGLEERNVESFLELLYKEKDERLAIPTCISSVVTKFRVNGLGTVCGRFFIGTAEKIPDSELIDTTGAGDAFIGAIMYAICSNMAPEIMLPFAAQVAAAKCRALGARTGLPHRTDPRLASFLS >RHN44821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8933919:8934227:-1 gene:gene38986 transcript:rna38986 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEADYIGLLLLASAGYDPRVAPKVYKKIRYLTESFLRVFRLTDDYLSTHPSAGMRADLLAQAHIMEEALTIYKNKNVRGDEHGFLHECYNYFVKLYQFFF >RHN80172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35834733:35836189:1 gene:gene4062 transcript:rna4062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MERRKNMIQILIFVYALIIFISLFLVVTSETHIPCVHHDDCPKRPYPRFMKCVDNFCETWIIGWE >RHN51944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28856573:28860079:-1 gene:gene36520 transcript:rna36520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MAYSKILFLSILIRFFCFATIKAQDSTFLYSNCSTNRTTANSTFQTNLMTLLSSLSSKATGNTEFYNITGNPSDSVYGLFMCRGDVTFQICDECIVNATQKLSLDCPLSKQAVIYYENCMVRYSNESFFSTVDTNPSFVMCKSANVSNTTSFEPLLSSTMHQTADMYKKQATKDARRLIGTINKNFATREARFKNQTLYCLEQCTPDLSPNNCRTCLHRAIEYLPMVCDGKEGGRVVFPSCNIRYELYPFYRSINTTSSPNELVPQTNYSKQDTRFSKDPFYLSYNCSRNQSTITKKNFKLLLSYLSSNATNGEKSHTVKVEETLYGLFMCRGDLPVHLCARCVKNATDQIYSKCLSRPKGIIWYSHCLVRYSDNKIISNMETSPMYRDINITKNCSTNQNLFTSTLSNELSQLANDTGDSDDRYKTNSLKLNDVQTLYSLGQCTRDLSSEDCATCLNDVIATAIPWSNLGSVGGRIIYPSCNLRFELFQFYMDGKKAQPPGNPSPLLDNAEKIVVIVVCTIFPVMLSFICYILKKRGRKSRRTILRENFGEESATLEPLQFDWVVIEAATNNFSTDNYIGKGGFGEVYKGILVDGREVAIKRLSKSSNQGVEEYKNEVLLIAKLQHRNLVAFIGFCLEEQEKILIYEFVPNKSLDFFLFDSQKQKLLTWGERFNIIGGIVRGILYLHDHSRLKVIHRDLKPSNILLDENMIPKISDFGLARIVEISQDEGNTNRIAGTYGYMSPEYAMLGQFSEKSDIYSFGVMLLEIIAGKKNKSPFTPHHVAYDLLNHVWRQWMDQTPLSILDPNIKKDYSTNEVIKCIQIGLLCVQNDPNARPSIVTVASYLSSYAIELPTPKEPAFLLHGRTYSDVLAQESSSTQSANSSALFSYNQMSASTFIPR >RHN41335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29242824:29242952:-1 gene:gene47645 transcript:rna47645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flotillin-like protein 4 MYKVAKASEYLVITGAWIKDIKLVNKAWILPGKSYSVFNSHQ >RHN57388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38611428:38611883:1 gene:gene32927 transcript:rna32927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MFNWSTPLRYLDLSLTFFSGEIPYSIGQLKSLNQLSLKACDLHGLIPQSLWNLTQLTHLDLSFNKLNGEIPSLLSNLAHLTYLDLEQNAFTGLILNMFHKLIKLEYLDISSNNITGQIPSSLFHLAQLSYLDLSFNKLVGPISKIEYCGFK >RHN73549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17580850:17585159:-1 gene:gene9373 transcript:rna9373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MFHLVAINTSIVRYLPNTSNLVTNKAKMNKSCRAIACFWLMSFLNLSVAEPMSPKLTPYFYRTTCPDVFTIVRREVLNAINEEIRMAASLLRLHFHDCFVNGCDASILLDGDEDIEKFATPNINSARGFEVIDRIKSSVESSCSGVVSCADILAIVARDSVHLSGGPFWYVQLGRRDGLVSNKTLANNAIPSPFDSLDTIISKFDNVGLSVKDVVTLSGAHTIGRARCTFFSNRLFNFSGTQEPDNSLEYEMLTELQNLCPQDGDGNTTTVLDPYSFDQFDNNYFKNLLNGKGLLSSDQILFSSDEETTSTTKQLVQYYSENERIFFMEFAYAMIKMGNINPLIGSEGEIRKSCRVINS >RHN81635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47706503:47707568:1 gene:gene5706 transcript:rna5706 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHGEFRKLMNNFKSLRIDTVGDTTTLEDVYKKLIIQLILDNMAKMDNCGDEFYKTVMDLINAQRIDTTSAEARLEEYYKAYQGLKLAFNSLSKLLQEYQIHITIHEVYQGISDRIDNYESRLQHFFDILVKVKQASIKHISN >RHN70845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54423470:54424738:1 gene:gene19473 transcript:rna19473 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MSLEGGEAVNWFTDRVARKVGNGSNTSFWYEKWIGAQTLALSYPRIFSISSQQEAKVGDLWENRNGEVFWNLTWRRQPFLWEGNLIHNLLVLIEGVTLTEEVDRWIWLPEEGGAFSVRSSYRVLEGILLLDDGLNTLEEEVFAKLWKSPAPSKVVAFSWMVLLDRIPTRSNLAFRRILGTGEDHSCVFCGQGEETTTHLFLHCDLVVLIWRKGDELAVILEDLCFPVCLGTFWCGAGFVSAVFSAGEFGDGPCACLLSRFHQVLVAACAGLLEPLLGGQYGVFVGVLLLCLVCWSSLVFWLGGFVSLHGNPWCFS >RHN61493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35879753:35881861:-1 gene:gene23964 transcript:rna23964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ADF/Cofilin, ADF-H/Gelsolin-like domain-containing protein MAMAFKMATTGMWVTDECKNSFMEMKWKKVHRYIVFKIDEKTRLVTVDKVGGPGENYDDLAASLPNDDCRYAVFDFDFVTVDNCRKSKIFFIAWSPTASRIREKILYATSKDGLRRALDGISYELQATDPTEMGFDVIQDRAK >RHN62684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45158834:45159199:-1 gene:gene25284 transcript:rna25284 gene_biotype:protein_coding transcript_biotype:protein_coding MASYKVVLMLVATLFVSSTIAQSPSSSPTISPVATPAISPSADSPVASPPVPVKTSPSPLASAVNSPPASSNSLAPAVTPSSISTPPSEAPSPSDNSIAALNRFTFAVSAAAVVFAAALMM >RHN38743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1711970:1720903:1 gene:gene44708 transcript:rna44708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CWC16 protein MGERKVLNKYYPPDFDPAKLPRVRRPENLQINVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTRCSAELTMKTDPQNSDYVVESGATRNFEPWRAEDEETDEMKKKREAEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVSVAEMLVALQRTAADKEKRIEEEDEALIKSIFSNKSEVPISRIRDEDIEDEEELFQFSNGHGETSNDNPKRQKISEDLPRKATDTLTKASLDDSDKQEKLTAGRRKSNPLVTIAVIKKPGISNVRSAEEDKQKKKEEDNKTNTTWGTTISVSKLWK >RHN72338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6901686:6902759:1 gene:gene8038 transcript:rna8038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVVMTRSRRRKMAEATVESPTTILPEEVMIEILYRVELNSTLQLRCVCKLWKSLVHDSQFVKNHLFKLLNDITVLFSKTAEQFNAFKSQHLINNPVVPQEQEQEQVVDEDGEDAAQEDDNAAAEEGDAADEEEEEDEKHWLMTALAKLDGVLVNVQSLKGDFKFINLDTQIQAVEDRMKCLRGFIRIYLKSEISSSS >RHN42701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40313161:40315240:-1 gene:gene49181 transcript:rna49181 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFLKPVATLDDYLANAVPLFGGFLSILGVSEIRISRLVVGCGWWADLYCLEISRTDIDVAQISIYT >RHN65869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6093679:6096158:1 gene:gene13761 transcript:rna13761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MENNNKGLMLMVFSFFFCSMPTFSRQNYFTTIAPNQFMQYGDTLVSAAGMYEAGFFNFGDSQRQYFGIWYKKISPRTIVWVANRNTPVHNSAAMLKLNDQGSLVILDGSKGVIWSSNSTRIVVKSVVQLLDSGNLILKDANGSQNFLWESFDYPGNTFLPGMKLKSNLVTGPYRYLTSWRSPQDPAEGECSYRIDMPGFPQLVTAKGATVLYRGGSWNGFLFSSVSWHWQVTNKVMNFTVVFNDKEFSYEYQTVNKSIIARMILDPYGNSQRFLWSDSTQIWKAISSRPADQCDDYSLCGINSNCNINEFPVCECVEGFMPKFELQWESSDWSGGCLRRTKLNCLNGDGFLKYTNMKLPDTSSSYYNKSFSLEECKTMCLKNCSCTAYANSDIRDGGSGCLLWFNNIMDMRKHPDVGQDIYIRLASSELDHKKNKRNLKRVGTLAGVSAFVMLLTVLVLVTSASRKKLGYIKKLFRWKDRKEKEDTNLATIFDFSTINNATNNFSDTNKLGEGGFGPVYKGLMVDGQEIAVKRLSKTSGQGSEEFKNEVKLMATLQHRNLVKLLGCSIQQDEKLLIYEFMPNRSLDYFIFGLNFHTNQFYFSQVYSCHNVVLMLN >RHN63032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47802314:47803961:-1 gene:gene25681 transcript:rna25681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MSCNGCRVLRKGCSESCILRPCLQWIETPEAQGHATVFVAKFFGRAGLMSFISNVPEPQRPALFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCQAAVETVLRGGTLRPLPELTLLDAPLTATDEASEAEDGGADAMWKIREPNFGLASSRSSNKVCSGSKRRRSEEFVKVPAAINLDLRLTPIFQQKAVEERRHGSPSMTSEESVTTTACLETGIGDRWSHGGDRKVLNLFI >RHN51739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23889741:23893875:1 gene:gene36250 transcript:rna36250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MEFVTLSEVLDVLTSIIFAICLCLLTTLTIFWWILPNQKFQNLRRCGFDGPTPSFPLGNIKEMKRNKSLKSSFSSSCESMALDITHDIHSTISPYYSSWQKSYGKVFIYWLGTEPFLYITDPEFLKKMSTKVLAKTWGKPSVFRKDRDPMFGNGLVMAEGNKWVHHRHIIAPAFSPLNLKRMAIMMVDSTKKMIESWISQINSGHFEIDVEREIVTTAGEIIARASFGMGDENGKKVFDQLKTLQMTLFKTNRYVGVPFEKIFNIKKTLETRKLGEEINKLMFSIIEARMNSNDKIQHQQDLLGHLLKENNGTYGQLNKALTKKELVDECKTFFFGGYETTSLSITWTLLLLALHEDWQNQLRDEIKEVVGKEELDINFLADLKKMKWVRSEALRLYPPSPNVQRQAKEDIRVDNIKVPKGTNIWIDVVAMHHDMTLWGNDANKFKPERFMNDANGECHHTMGYLPFGFGGRACIGRNLIFMEYNIVLTLLLTKFKFKLSPSYHHSPTIMLSLRPTYGIPLIVQPL >RHN55429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18209646:18210115:1 gene:gene30608 transcript:rna30608 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLILICLFYKDCHSLSNYCFLYFGECSNFEEVIAKFAQLTSQERAKRKLESLEALKKTFKKLDHDVNIQDFYGTRYVQLQLI >RHN56863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34293535:34295071:-1 gene:gene32323 transcript:rna32323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLATLANCFRYCFIRHVMLLCLICLSKFLLQILCLNMACHVIGIEVDIMSCYFLLKCLVDANRVGDDDDDDGVSVRCFFEDLRNFGPTPNIHTYTIMMNFYCRDVRCSADISPASEIFGNIYRSGETPNVVTYSTYIKGLCKAGSLRVVWKLICNMCRENQPINNHCFNAIMYGLCQRGELDEASQVLEEMKSIGILPDVYGYYVFVNAKDVGIEVDIMSCNFLLKCLVDANRVGDDDDDDDDDGVSVSVRCFFEDLRNFGPTPNIHAYTIMMNFYCRDVRCSADISPASEIFGNIYRSGETPNVVTYSTYIKGLCKAGSLRVVWKLICNMCREN >RHN79762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31940569:31940772:-1 gene:gene3597 transcript:rna3597 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAEKINNTNQITETNHQQQEEQTCFPCKTFVQKCDHFVKNQRAKFYIFRRCIMLLLCWNESSSD >RHN63002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47512553:47513036:-1 gene:gene25650 transcript:rna25650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MELFILPTHFIPESVTHFNYINSTGQGLSSYTGGLFSRALETIHRLTVGGEAITGENNSLSRKWLPDDSYITNPHNAKNGFFGGDIKRTAGDESDGPNSNIHIGPDALYKSAKESKNGSNGLNISWSVPVEKNIDHYLRLHLCDIFNDRQSGFTFFTLFI >RHN44341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3703239:3710039:-1 gene:gene38449 transcript:rna38449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, ELK MPTFSSLPRWIGGKFLGRNPSNTINNVGDSSDDPGVAVIADDNPVISMVECYACTQVGVPVFHSTSCADSCHQPEWEASAGSYLVPIQNRPGKFARAVSKPNWGVLGKVLDPRSKRVQLSNRALLLARGVALAIDPLFFYALSIGREGSPCLYMDGGLAAVVTVARTVVDAVHLFHVWLQFRLAYVSRESLVVGCGKLVWDARAIASHYMRSFKGFWFDVFVILPVPQVVFWLVVPKLIREERIKIIMTTLLLIFLFQFLPKVYHSISMMRRMQKVTGYIFGTIWWGFGLNLIAYFIASHVAGGCWYVLAIQRVASCLQQQCERTIGCNLSLACSEEVCYKSLLPETIGNPCGGNSTMMSKPVCLDIDGPYKYGIYQWALPVISSNSLAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVIFSICIVLSGLLLFTLLIGNIQVFLHAVMAKKRKMQLRCRDMEWWMRRRQLPSRLRQRVRHFERQRWAAMGGEDEMELIKDLPEGLRRDIKRHLCLDLIKKVPLFHNLDDLILDNICDRVKPLVFSRDEKIIREGDPVPRMVFIVRGRIKRNQSLSKGIVATSVLEPGGFLGDELLSWCLRRPFIDRLPASSATFVCLESTEAFGLDAQNLRYITDHFRYKFANERLKRTARYYSSNWRTWAAVNIQLAYRRYRQRTRGPVTPVRDNGGTERRLMQYAAMFMSIRPHDHLE >RHN51333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16346730:16347395:-1 gene:gene35757 transcript:rna35757 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKSLDISPASWMVVAWYPDYSITNHQPSEKELSACFQTYHTLSSSFQDCKNKHDDIDIAKDISCFEEWEGVGKKNKENNSGFIPLSPFGMARFKLQEPFWFRSSSSSDSYNARVSEMYSAADSWLKQLNAHHHDFYIDNRDYLYHKIYLICTYANYFYLLIIESLHTSIQSDAVMYIYIYIYIYMKGSNYTVVMLHQCYTAQYRFTRCIFFKFDRWIIS >RHN67694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29402092:29404133:-1 gene:gene15911 transcript:rna15911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MKRNPIFLILTFYSLFLLGELKSSLATQPKNENQVYIVYMGGVDSTNGSLRKDHDHVLNMVLRRNEKALVHNYKYGFSGFAARLSKNEANSIAQQPGVVSVFLDPILKLHTTRSWDFLNSQTYVEIDNTLSSSTPSSSDIVIGMLDSGSKIIGARYYPNLDGGDDNDVAGTPRDMHGHGTHTASTAAGNVVSGASYFGLAAGTAKGGSPGSRLSIYKVCNFGCSGSAILAAFDDAISDGVDILSVSLGGSPDPQPDLKTDVIAIGAFHAVERGIVVICSAGNSGPEQSTVVNDAPWILTVAATTIDRDFQSNVVLGNNKVVKVHFTALTMQCFFFTSLSWVIAFLGVLFFKTKK >RHN69208.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41817881:41819624:-1 gene:gene17648 transcript:rna17648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rho protein GDP-dissociation inhibitor MSSTVGAVSSTTNDVPYNSHLEEQEDDAPNSISDDAEETEPEDDDDDKELDLGPQFTLKEQLEKDKDDESLRKWKEQLLGSVDISAVGESKDPEVKIQSLTITTCSESPDLVLPIPFTNDPKKSLFILKEGSQCRMKFTFTVSNNIVSGLKYTNIVSKTGVRVNSRKKMLGTFSPQHEPYTYELEEKTTPSGIFARGTYAARTKFVDDDKKCYLDVSYYFEIQRNWGTPN >RHN73131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13655181:13657868:1 gene:gene8915 transcript:rna8915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c oxidase biogenesis protein Cmc1 MSTKEEEKCDELHRALLECHRRIPPGLGRNSACRHLNHAFAVCLVSLACPEQSEAVRTLCSSAGTALKRRQCQQAQISLSLCLDSHSEP >RHN55534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19289869:19290144:1 gene:gene30732 transcript:rna30732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MGNFIELCLTINIVDRFLAINLVSRRELQLVGISAMLMTSKYEEIWPPEVNDFVCLSDRAYSHEQILIMEKTIMGMLEWTLTVPTPFDGMC >RHN48799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50378253:50379084:-1 gene:gene43552 transcript:rna43552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain-containing protein MLQDQRMKSLLLRIAALEPSYTIHCPLLSSLVNHCLQRIFKSPTHPPYDSMIKRAMKELDEKSGLTEEAISEFIRRQYDKDLPFDHASILHIHLQKLCMSGELLCTENEKYVFADCESEVEEEYDEEDEEEEEKEDGDKEEKED >RHN43820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48751989:48754856:1 gene:gene50450 transcript:rna50450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HSF-type-DNA-binding family MVKAATSSEKGGSGSGSGSVAPFLNKCYDMVEDPSTDSIISWSADSNNSFIISNADQFSLTLLPNYFKHNNFSSFVRQLNIYGFRKIDADHWEFANENFIRGQKHLLKNIRRRKHPHVAADQQKPLPPKDNRDEPSQEAVNHGLWREVENLKSDRKTLTQELVKHKQHLESSESKLLLLSDRLEGMEKHQQQMLSFLVMVVQCPGFLVQLLHPKENNWRFSEAGNMWDQGNQDDRPVSSDGMIIKYTPPVAEKLKPVVPRSPAFNKQPEPEVSTDGLKDLCISSEFLKLLLDEKLCPLDNHSPFLVPDLPDDGSWEQLFLGSPILKNVEDTDRENEEQTVSDTEMDHIISEALNETSDFEAMIIEMENTHA >RHN66647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15626580:15632407:-1 gene:gene14685 transcript:rna14685 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPPPPPLVTLPKMNDLTTKQHNIDEIIGFLDQNLKTKQDLFIESTQFLISSSLTKQCSQIQSYLLNRITKRTVSWISRSFKANSSFHQLTLSLQNLSLLTSPHGVGSKRFRWVLSDELPRLAKELNRVESVRSYLETAVQLEASVGDLEDAALYVMACHTGNMFSSKLSVSSISDDNARKHDKLLQAIKSMNDIEQVLVVIVKFHSQWLCLLRSVDVRVDKILAALRPKVFADHRALLASLGWPPKLLQSENGSEQITDLPNPLVLMLEDKRRNYSQSFIALCALQHLQDKREERQNNNLKKSEKRNLRLWSIDELVSPISSRMEYHFGKWTEQPEYMFALTYKVTRGFITGVDDVLQPIIDKAGLISCSAKEAWVYAMVQVLSGFLEKKVIALLAERYNAKHLKSDVLSSWLHLVDLIIAFDKKMQCLVNLDTCFLVESGNFEGPSRGMSVLSIFCDRHDWLKIWAKLEFKNAWTKLNTELKEEKMWVVSGTCKSGIDTDQEHLLSTTEDLKAPPIADFFLKVIWEMIERCQTMPSLLPRAQFIRSAAGRFIWYFFKILLLRFKATELSPHNFDDGSIVRACGLINASRYISIKLQEWSDAVDFLEMKIAENDSSKKKLDDRMDSDCFFDEEIRSLSEMETNWLVEIIAVILRQFEILSWEYVQNKYSFEEDQDYSNLGASRDSIDLVVSNDFVEALDALKSWLNIVKKNLNKKDFLDLWRSIAEGLDHYISSSISTSEIRFSKIGINQFDADMQALIFIFQPYCARPHAFFPCINEILKLLKLKEEEANIIKGLLSSEESGRKCLHLRGIRHLSVNQVLQVLRYRN >RHN47706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41795181:41797147:1 gene:gene42334 transcript:rna42334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MGFEDDVFVAASLVHLYSRYGVLDVAHKVFVDMPVKDVGSWNAMISGFCQNGNAAGALGVLNRMKGEGVKMDTITVASILPVCAQSDDVINGVLIHLHVLKHGLDSDVFVSNALINMYSKFGRLQDAQMVFDQMEVRDLVSWNSIIAAYEQNNDPSTALRFFKGMQLGGIRPDLLTVVSLTSIFSQLSDQRISRSILGFVIRREWLDKDVVIGNALVNMYAKLGYMNCAHTVFDQLPRKDTISWNTLVTGYTQNGLASEAIDAYNMMEECRDTIPNQGTWVSIIPAYSHVGALQQGMKIHAKLIKNSLYLDVFVATCLIDLYGKCGRLEDAMSLFYEIPRDTSVPWNAIIASLGIHGRGEEALQLFKDMLAERVKADHITFVSLLSACSHSGLVDEGQKCFDIMQKEYGIKPSLKHYGCMVDLLGRAGYLEKAYELVRNMPIQPDASIWGALLSACKIYGNAELGTLASDRLLEVDSENVGYYVLLSNIYANTEKWEGVIKVRSLARDRGLRKTPGWSSVVVGSKAEVFYTGNQTHPKYTEIYKELKVLSAKMKSLGYVPDYSFVYQDIEEDEKEQILNSHSERLAIAFGIISTPPRSPIRIFKNLRVCGDCHNATKYISRISEREIVVRDSNRFHHFKDGICSCGDYW >RHN64092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56512118:56527777:1 gene:gene26873 transcript:rna26873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease III post-transcriptional gene silencing PAZ-Argonaute family protein MPYGESSTAASVQFPPETSDHGKTPSLDVESSLVKKDPTKIARKYQLDLCKKAMEENTIVYLGTGCGKTHIAVLLMHEMRHLIKKPNKDVCVFLAPTVALVHQQAKVISDSTDFEVGTYCGSSKGTKSHQHWEEEIEQNEVLVMTPQILLHNLSHCFIKMEMITLLIFDECHHAQVKSSHPYAEIMKVFYKNNSTKVPRIFGMTASPVVGKGASTEANLPKSINSLEQMLDAKVYSVDDEALQSFVTSPAVRIYRYSSTASMETSLYCKIEEIKCQCIETLGRSIEDHQKRMSTKKLLNRVHENVVFSLRSLGIWGALQATRILLSGDHSERHALVGAEGISSDESVCDKYLAKAADLFASKSMTGDSLSDPSSLEILKEPCFSAKLLRLIGILTSFRLQQNMKCIVFVNRIVTARSLSYILQKLELLRQWKSGFLVGVHSGAKIMSRKTMSAIVEKFRSGELNLLVATSVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPRSEYAFLVDSGNEKELAIIDGFEKDESRMNTEITDRTSSETYNIPVQKTFRVDSSGASVSSAYSISLLYQYCSKLPHDEFFDPRPNFFYFDDLGGTVCQITLPSNAPIHQIVGTPQLSMEDAKRDACLKAIEELHKLGALNDCLLPRQNDAEPEKVLGSSDSDEYADDISRGELYEMRVPSVFGQSWKNEKTVRLNSYYIKFSPTPEDRVYKNFGLFIMTRLPMEAEQLELDLHLAHGRSVMTKFIPFGVVEFNKDEIKMAENFQEMFLKIILDRLEFVSKFVELGAKSHTSASTFYLLLPVILKEYDDVMKVDWKTVKRCLCSPIFRHPEVTSDKMVTSMDNHLLLANGYKSITDVENSLVYVPHTKFFFFVTNIIYEKNGFSPHKNSETSSFVDHLNEKFSINLKRPEQPLLHAKQLFNLHNLLHDRKNADDEVTEPHELEEHFVYFPPELCELKIVGFSKDIGSSISLLPSIMHRLGNLLVAIELRNQLSSSFPEAAEISAHRVLEALTTEQCQERFSLERLEVLGDAFLKFSVARHFFLLHDSFHEGDLTSKRSNIVNNSNLLKLAVKRNLQVYIRDQALDPIQFYALGRPCPRVCTKETEDSIHLCLNSGEEKRSATQIRCNKHHHWLHRKTISDVVEALVGAFIVDSGFKAAIAFLTWIGMQVNFEASQVVNICTGSVGYFPLSSEVDIPSLEGKLGHHFVHKGLLLQAFVHPSYNKHGGGCYQRLEFLGDAVLDYLITSYLYSAYPKLKPGQLTDLRSLSVNNKAFACVAVDRCFHEFLLCDSSALTGAIKKYADYIRRPASDRDKNGGPNCPKALGDLVESCIGAVLLDSGFDLNKVWKIMTSFLDPIMKFSSSLQLSPVRDLQELCQSHNLELELLTSKLAKTFSVEAKVTGDGVYEEVSVTSQNKKEAAKVASQLLFSKLKAQGLKLRTKTLEDVLKSTSKTEPKLIGFDETPIDVKDTTIIEQVIVNGDLCNKSNAEICPIQDVSDASPSCVIRVVAQRLQSSSKGKLSETVQNRDCGSDLARTARSRLYELCAANYWKPPSFDCCKEEGPDHLKLFTCMVTMEIEEASNMIIEFIGEPLPKKKDSADSAAEAAFWYLKEKGYLHNAN >RHN38763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1872051:1879028:1 gene:gene44729 transcript:rna44729 gene_biotype:protein_coding transcript_biotype:protein_coding MEWYAARYFDRLWEISVLTCCFLIQLRQIEAPSQCTSCCTK >RHN74754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36412482:36417826:1 gene:gene10866 transcript:rna10866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-oxo-beta-amyrin 30-oxidase MEWVSGIILIIGLIWSWKVLNLLWLKPKKLEKLLREQGLKGNQYRLLVGDMKEYFNMEKEAKSKSMNLSDDITPRVIPYYNESVRIHGKNSFIWFGIKPRLTLTEPEQIKDVLNKMSDFPKTNYKIFKLLASGLASYGGEKWSKHRRLINPAFHLEQLKIMTPAFFTSCNDMISKWEEMLSSDGSCEIDVWPSLQNLASDAISRTAFGSSYEEGKRIFQLQREQAELITTVVMKSTIPLWMFLPIFVHRKMNEIDKEITSSLKDMINKREKELKAGESTKNDLLSILLESNHKEIENNNNNKSVGMSLDHVVEECKLFHFAGQETTSVLLVWTMILLSRYPDWQTRAREEVLHVFGNKKPDFDGLNNLKIVTMILYEVLRLYPPVMGLARNVVKDMKLGNLTLPAGVEVFLPILLIHHDCKLWGDDAKMFNPERFSGGISKATNGRVSFFPFGWGPRICIGQNFSLMEAKMALSMILQHFSFELSSTYAHAPSTVITLQPQYGAHIIIRKVET >RHN64750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61508556:61512693:-1 gene:gene27605 transcript:rna27605 gene_biotype:protein_coding transcript_biotype:protein_coding MLVANSFDLWRKDAFFSAAEEVQESADIMESAYRAWLRERRERSNPEELNELCRELQTALGTAKWQLEELEKAIRLSYRHLGDDNRATRHRQFISAIESQISQVEADLRESNIEEGKQPLRWVNLDEEERDDFAAFLSGTCETVQSTKDECVDVSPLMKSSIREKQVSKEDENVNVNVNAFYRWDGSTNEKASVDAVAVNKDRDYAIEIKADADSRNSDDVVYQTDTTTSTRKTWSPPPNYAALRIVIADEDEQGNQLTRTVDATPKEKGSKPLFWKQKFEEYPQAMRAVHMFNQVFGRIGLCQRQLRSPLHLRHGRSLKVTLALMMIIFLLGLSLF >RHN47061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36867955:36871121:1 gene:gene41616 transcript:rna41616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK family MELYNYILHFTVLFLCMFSQLFNCQQVYLNNTVFDCTNPSTVPKGYLCNGLKKSCTSFLVFKSKPLYDNPTKIAYLLRSEASAIASINKIPLNEKIPSNKSIIVPVFCSCDGNIYQHSTSYSVKQNDTYYELVKETYQGLTTCQALMGQNYYAPVSIQLDAELTVPILCACPTANLTAKGVTSLLVHMVNYGETVKSIGEAYGVDEHSMREANELSGLQSANSSVILFASTPILVPLRRKNCKENSDRFYCKCSEALHGDESSKGIYCDESPRRKVPAKLVAASGMGIGTVLLCLFLLSCKLYQHIKKRRASTHKEKLFRQNGGYLLQEKLSSYGNGEMAKLFTAEELQRATDNYNRSRFLGQGGYGTVYKGMLPDGTIVAVKKSKELERNQIETFVNEVVILSQINHRNIVKLLGCCLETETPLLVYEFIPNGTLSQHIHMKDQESSLSWENRLRIACEVAGAVAYMHFSASIPIFHRDIKPTNILLDSNFSAKVSDFGTSRSIPLDKTHLTTFVGGTYGYIDPEYFQSNQFTNKSDVYSFGVVLVELITSRKPISFYDEDDGQNLIAHFISVMKENQVSQIIDARLQKEAGKDTILAISSLARRCLRLNHKKRPTMKEVSAELETLRKAQSSFEINHDHDSSSSDEESFGHGINESTDQESKEESNLFSLQIESASF >RHN55977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26285237:26287578:1 gene:gene31281 transcript:rna31281 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKARETGELYVDCFLVLMVCLRCWGLGFRFSPTRWPRSRMIN >RHN78558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16242358:16246099:1 gene:gene2176 transcript:rna2176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CK1-CK1 family MMDIVIGGKFKLGRKLGSGAFGELYMGVDVKTQEEVALKLEHVKTKYPQLLYESKLYRLLQGGTGIPHIKWYGVEGDCNVMAVDLLGPSLEDLFNYSNRKFSLKTVLMLADQLINRVAYMHYRGFLHRDVKPENFLMGSGSKINQVYIIDYGLAKKYCDLKTQRHIPYRENKSLIGTAQYASFNTHLGNEQSRRDDLESLGYVLMYFLRGSLPWYGHGMKAVTKEKKYEWIREKKKLTPIEVLCKSHPTEFASYFHYCRSLRFEDKPDYSYLKKLFRELFISEGYQYDFVFDWTTLKDPQSGSISKTSIRDRISGEVGAFSGRNNGSGDRLQHRSLADPSYAPSDVLP >RHN75564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43324605:43328310:1 gene:gene11791 transcript:rna11791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase MKVLDWGEDGAATAAETAALRASFRQEVAVWYKLDHPNATKFVGASMGTSNLKIPTKNSSTNNQGSLPSRACCVIVKFLPGGTLKQYFIRNRWKKLAYRIVVQFALDLSRGHFLLPS >RHN56166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28440214:28447533:1 gene:gene31524 transcript:rna31524 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKTFITSLFLFVALSNMSLEARNLLQTTTQPNLPNIPTLPNPTLPSIPTTLPPLPSIPTTLPPLPSMPTLPQPQGNVPPLPTIPSVPKLTMPPLPSIPTNPTLPSLNFPPFPSNSLPNLPSISTIISSIPFFSPPPSTSTP >RHN55906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25321211:25323338:1 gene:gene31191 transcript:rna31191 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLPRNVVVYDWVDKEIIITKSFFDSANRLEITYQDIGSSLDECEVEVPDEDKRICSKS >RHN58329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:836322:838416:-1 gene:gene20232 transcript:rna20232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(A)-specific ribonuclease MPLILPQNDDSIQIREVWSDNLEEEFAVIREIVDDYPFIAMDTEFPGIVLRPVGNFKSNYDYHYQTLKDNVDMLKLIQLGLTFSDEHGNLPTCGEDDRFCIWQFNFREFNVNEDVFANDSIELLRQSGIDFKKNNEDGIDARRFGELLMSSGIVLNDNVHWITFHSGYDFGYLLKLLTCQNLPDTQVGFFNLINMYFPMLYDIKHLMKFCNSLHGGLNKLAELLEVKRVGICHQAGSDSLLTSSAFRKLKENFFSGSLEKYAGVLYGLGVENGQSTH >RHN68990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40128311:40129224:1 gene:gene17416 transcript:rna17416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MISRDVITKFVNEICNTLNLDLTNLLTLFTGPNCCLNSSRMDVYLDHVPQPTSTKNLIHFSQMTRTGKITKYDYVHQAQNLLHYGHRTPPTYDLTKIPTEFPLFLGVGGQDMLSDVQDVNLLLNDLKDHDSNKLVVSLNDNYAHLDFIAAINAKQLIYDPMIAFFNAH >RHN76790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1302208:1307128:1 gene:gene167 transcript:rna167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IXb family MNSILEQGSVVGKEPDEQTKEIFRPYRVFCARKDVHCKDVVVEHGDVCKALIEYTSQSAIEHLVLGCSNKNGFLKFKVVDIPATISKGAPDFCTVYVVGKGKIQSMRSASRAAPSFSPLQSQLSHSSPKSEQPEPRVPVVTKAPERKSFEGQTRRSQDGMESFRSPFTRRRPNDRSYGELSMPEGDISFVSSGGRPSTDRLFPSVYNHNCNPDQSSFSNPRLSYSSDTDGNYSFESNNYGRRSIDIGTPDHSSFSFDSDGFSSSASNVDDVEAEMRRLKLELKQTMEMYSTACKEALTAQQKAVELQRWKLEEERRLEEARMAEESALAVAEMEKAKSKAAIEAAEAQRRIAELEAQKRISAEMKALRESEEKRKVVDSWTNQDVRYRRYAIEEIEAATSFFTDSLKIGEGGYGPVYKCLLDHTPVAVKVLRPDAQQGRSQFQREVEVLSCIRHPNMVLLLGACPEYGCLVYEHMANGSLDDCLFCRGNTHPLPWQLRFKIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDRNYVAKISDVGLARLVPPSVADNVTQYRMTSAAGTFCYIDPEYQQTGMLGVKSDIYSLGIIFLQILTARPPMGLTHHVGRAIEKGTFSEMLDPRLTDWPVEEAMGFAQMAIRCAELRRKDRPDLGKEIMPELNRLRELAENNDHHYMFSGFTSPSTQSQVSLQMDGSSSSLPYSENSTRNVSSPL >RHN64952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63246816:63248597:1 gene:gene27834 transcript:rna27834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin repeat-like-containing domain-containing protein MTMREFINLEEGLETIQKGITKLLNILEGLPEPNFTPEEHINLYTTVYNMSTQRPPHDYGLALYDKSKETCEYIVSKVLPSLGEKKDDLLLRELLRR >RHN51693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22988155:22988685:1 gene:gene36192 transcript:rna36192 gene_biotype:protein_coding transcript_biotype:protein_coding MREVTVNQVIANISTTNAILQIVFILILFGQVTCAWSWWTCLGANLYTITIEFFFPERIQIDCYSTGFPHPSTYARNNTFLDIKFCGSFDWFGKTAPWYCKVITKYPACEAGFVQKYFEVFNEDFDCAKDKEEHCRWQIHKEEYLTLYNPKKNISEKRYYYDTSCISHYDLLYLTP >RHN40726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19994542:19998075:1 gene:gene46920 transcript:rna46920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MISFKIEKQVVLIYLWLWWITTTNICVKAMKDSLRPGDTLNSNSSLCSKQGKYCLQFSNTMAIITYRCLVISTDNDTRVWMYDRNQPVDVKSAVLSLDYSGVLKIESQNRKLPIIIYSSPQPTNNTMATILDTGNFVLQQLHPNGTKSILWQSFDYPTNDLIPTMKLGVNRKTGHNWSLVSWMTPILINSGEFSLEWEPKQGELNIKKRSIVYWKSGKLKSNGIFENIPANVQHMYQYIIVSNKTEESFSFEVRDGKLMQWYLSPKGRLVGDDGYIANTDMCYGYNNDGGCQKWEDVPTCREPGEMFQEKAGRPSTDNSTWWFERDVTYSYSDCKMRCWKNCSCNGFQVLYDNLTGCIFYLWNSTQDVHMVPNTFYTLVKPTKSTPNSHGRRWIWIGAAIASALLILCPLLLCLVKKKQKYALKDKKTKDLADSNKSYDIKDLEDDFKGHDIKVFNFTSILEATMEFSPENKLGQGGYRPVYKKLLDWKKRLYIIEGIAQGLLYLLKYSRLKIIHRDLKASNILLDENMNAKISDFGMARMFAQQESTVNTNRIWIHVSRICNEGICSTKSDVYSFGVLLLEIICGRKNNSFYDVDRPLNLIRHAWELWNDGEYLQLLDPSLNDTFVPE >RHN39897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11523501:11527711:-1 gene:gene45980 transcript:rna45980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PRONE domain-containing protein MDNSSNFDSTSSDQNDHSISETPEYSPFSGDSFAYCRSNSEVSNLSETIDDNSYASDPSPSPWMNVKHGAALSRLGMKQRKHSLGEKSDDLDLLESELEMMKERFSKLLLGEDMSGGGKGVCTAVTISNSITNLYATVFGQNLKLEPLKPEKKAMWKREMNCLMSVCDYIVEFAPTAQYLDDGTIVEMMTSRPRADIYINLPALQKLDTMLIEIFDSFQDTEFWYAEQGSMSGNSNRSSHSNAGSKAGSFRIIAQRKDEKWWLPVPCVHTGGLSDKSRKHLIEKRDCANQIHKAAMAINSSVLAEMDIPETYMANLPKSGRSSLGDTIYRYMHSGDKFSPGNLLDCLKIRTEHEALELADRVESSMYTWRRKSCLSHSKSSWNKVKELMAETDHSDKNYLLAERAETLLFFLKQRYPELSQTSLDTCKIQYNQDVGKAVLESYSRVLEGLAFNIVAWIEDVLCADKSMRN >RHN53699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3445446:3447923:-1 gene:gene28633 transcript:rna28633 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTLRSPAITSRRKKPPHPSPSPRCRTKNKSKLINTLKRCSSAPLLISRGNLIHEDDDDDDDGVGLFAQYSFRSGRGGTLFRPQTFSNAFVSSPSLFPSSPNIYSNEMKGYDKEAKVVVSVTVEGSTGPVRTMVKLGSTVEDTISHVVNKYREEGRSPKIDSNVPSSFQLYLSYFSLQGLDKSEVIGNVNSRSFYLRKSNGESSTLNSSESDHDLAKRGLPSFVARKINQIVRRAHRLWNILVCSP >RHN63923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55070692:55076965:1 gene:gene26679 transcript:rna26679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mediator complex, subunit Med17 MEEGMELQLSLDKLPIKRLDSIEENGNERFPLDVDYDEKRVSLIRRIDFAWAIEKDEEKKKQKKSSKETTPWQWQGMVENLQLAHQELSVIIDFINTVETNDAVTVASMTRPKSLPNEALSDLAVSAATKLQCYRQVGKYFKQSAKAFEQQVAREARFYGALIRLQQNWKVKRQRQTSLVPGNEGFTFDLFDNSYDQGAIVRSSSMSTVRVNHDAAGMLAINVSPELCHSLQFGFVSAQPDDMQKKSNENQSHLLGEDCLGETGIESSSDEECVKKTHSLLRDVHQAIFNEQVFDLVNREAFNTSTGFTLTGIRENYLQLSLGQGTSVYLSLVSTGQDNPTVEGELTNNADDNAFSPLESSDVLMHDAQQNTLKKKGRHSNSTCYEIYIQQIYHEHIFGRGSEKPISSGNRLSGAQAKDGSYLLSHFFMSLAHRIFSTKILAELENVVFKVPYLQLISNPTWHSRGSSWTLFMEVPPSILRGCQVKTSDFENNAIKRQFWTKVVVIDDCISVKAEGSPNVSGLFKGKSEDTHSINKYDCNLADLPVIILQQVASQIINWLYHEALMVGIKANRDFLCLSFELEQGETLGLVANVDPKDSDGCISWSLVMEDSFAEVQKLHTNLTDGASEYRKFLGPLSLELLYATLIDLIAFVSGGGGGQ >RHN50331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6250344:6251191:-1 gene:gene34604 transcript:rna34604 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNASYNAGQAKGQTQEKASNLMDKASNAAQSAKESMQETGQQMQAKAQGAVDSAKDSTNKN >RHN61826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38658292:38658903:1 gene:gene24326 transcript:rna24326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MQRNIISNNNGVHQACAACKHQRKKCSENCILAPYFPSNRSREFKAVHKVFGVSNITKFVRNAQEGDRRKVVDSLIWEALSRQKDPINGSYGEYTKVYNEYKRLFDELKMFRDHQNQLVQVQPQGKVRAETPDHNAVDYHHLHHGNHKNGIVDSTTIYNTYCSNYLQDLDSLRTEVVIPNIQQHSQSYYIAGTTNLMIFVKIN >RHN56659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32655323:32656698:-1 gene:gene32095 transcript:rna32095 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEFPCSSAFTRVSSFSILLSILVLASCRDSILRTTEFPSWNSEQYFFSLASIEEKDERIIIRK >RHN39891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11444857:11450475:1 gene:gene45973 transcript:rna45973 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKINKASDLSSISVFPPPHVYSRKMNNASNGFQASQHRSQPSHRDIERQNNVSNGLHAMSQHRSQPSQQSFSQGLSSQQGILSHFSQSSLDEAITTNDQRAASQELENSSRRFSSLPRLTYSKDESQPHNSRSSSNLLVKWNSADNKNQLSEGLENRIGIMETSLSRFAMIMDSVQSDVMQVNKGTKEMHLEMECIRQKLIAQDNTLQLMMKGQEEIKASINGSLKSLSEQMSRVTDIEKLQEVYMLVSSMPQLIEGSLRNLQNNLQNTTKEMKEISCSLKHSNQKDLAQPILSPKCVSKQVITPKMRQTPAVEAKKNTPVIVASEADTGGWRPVKRERVTFSDRISEKVQKRIPPKVEKGIRGGRDYAIVIESDEESDCFEVKSDLFGNLTKEEEEETERILRNARRHKRKQRNSIINIL >RHN39612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8680433:8681227:1 gene:gene45652 transcript:rna45652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family METSTSMPIGYRFRPTDEELVSHYLNHKLLGNDAIVNNVIADVDFLGSAPWDLPALSKVKSDDKEWFFFTCLDPMKSTKSKTRFNRKTNIKPETETKTKTKDGYWKITGKDREIKTKGTNIVIGIKKTLVFYGNQHEKTNWVMHEYHSFPDNKLELAVFDFSLDVLLPIILTIESY >RHN76757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1029951:1032487:1 gene:gene130 transcript:rna130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BAH domain-containing protein MPVSTISVKSNSPPAPSPESETLEFKWGQKRGKGGKKRDIQFYQSFTLRGVDYSLFDNVYVKNDFAEPRIGKIIKIWETPTLERKIKVQWFFRPIEVSKCLTWIKIYFNELFFACGDGDGLATIHPLESIAGKCNIVCISKDSRNPQLSDKVTWSAAFVFYRYFDVGQRKIVEEVDDKSIGIEVKNIFNKLG >RHN63273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49961961:49966766:1 gene:gene25954 transcript:rna25954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate oxidase MASSPLFLFLINIFFLLTLSYAEDAFVPYEFEVSYITASPLGVPQQVIAINKQFPGPTINVTTNNNVAVNVHNKLDENLLIHWSGVQQRRSSWQDGVLGTNCPIPPKWNWTYQFQVKDQIGSFFYFPSLNFQRAAGGFGGFIINNRPVISVPFDTPEGDIVVFIGDWYTRNHTALRKALDDGKDLGMPDGVLINGKGPYRYNDTLVPEGIDFEQIDVKPGKTYRLRVHNVGISTSLNFRIQNHNLLLAETEGSYTVQQNYTSLDIHVGQSYSFLVTMDQNASSDYYIVASARFVNESRWQRVTGVGILHYSNSKGKARGHLPPGPDDQFDKTFSMNQARSIRWNVSASGARPNPQGSFRYGSINVTEIYVLKNKPPVKIDGKRRATLSGISFANPATPIRLADHYKLKGVYKLDFPTKPLTGSPRVETSVINGSFRGFMEIILQNNDTKMHTYHLSGYAFFVVGMDFGDWSENSRGTYNKWDGIARSTAQVYPGAWTAVLVSLDNVGVWNLRTENLDSWYLGQETYIRVVNPEPTNKTELPMPDNALKCGRLQHLQKPQKIISSASINGNGLKLLFTLVMFVCAIINIFQ >RHN45682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24439379:24441156:1 gene:gene40065 transcript:rna40065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRGKNMAKTLKFVYVVILFISIFLVLTVYDSKYFQIASPCVNDKDCPQFKNNNVRCRRGFCVNSGGATQKCLGCPSLK >RHN74785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36680235:36681856:1 gene:gene10900 transcript:rna10900 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKEVSEEILKEGLMFPSSKTSYKKESPKRRRNKQFRTESSTYAPRACKMCAGYEPCTRACQNMPMLLIMRLGNAESESELIFFLHFLSGLSQNFNLNSV >RHN80743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40516672:40519383:1 gene:gene4703 transcript:rna4703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase class IV MICNTFVYHLQLQVVKVDGRTIGDGKVGPVTRKLQAIYKKLTEESGYLYIFWFLYFMMLIRLEALIFPKQNCFSSISPKKKSFDLKFR >RHN66112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8599996:8601532:-1 gene:gene14028 transcript:rna14028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative quinate O-hydroxycinnamoyltransferase MAAIRVLSTDTIKAPNSTDEKIDLTPWDLKVLLVEPTHKGLLYHHPLESNQIPQLKQSLSSTLAFFPLLAGRLEITDHEDNTMSCSVTCNNAGVLFVHATTENTCVGDILGCTYIPPILNSFYPLNGIKNYEGTSQPLFAVQVTELVDGIFIGFTFNHVVVDGTSAWYFINSWAEISRGGCCNQTSKLSTLERWFPDGIQCPIRFPFTKKLQNNQSDGLSFSSSNNEKLNNEKLNPSVRLFHFTKEKISQLKLKANLETGTNKISSLQALFAHLWCSVIRSDQLDPQENAYFMVGIGVRQRFVPPLPEDYFGNAVVASMVAMKVGELLEEGGFGKCAWKMHETICLHSNEKLKNDYENWVRNPIIYRLGDSNVADYHVLASSSSQWFDVYGNNFGWGKPVAVRSGNKQSGLFAVFAGIEEGSMDLQVCLPHKILEAMGNDPVFMNFVSN >RHN48652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49236096:49239942:-1 gene:gene43391 transcript:rna43391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MGELPAPRAHITMEQRHHNMLEAAIGNKLLARKSIIHSYGKSFNGFVARLLPHEAEKLQEEENVVSVFPNTYHKLHTTRSWDFLGMPLKVKRNPNIESHIIIGVLDTGIWVDCPSFNDEGFGPPPRRWKGKCVQGGNFTGCNNKVIGAKYFNLDPSGPTIENPSPVDDQGHGTHTSSTAAGSVVRGASLYGIGKGNARGGVPSARIAMYKVCWTIGCSDMDMLAGFDEAIADGVNFISVSIGGPSRDFFSDPIAIGAFHAMKRGVLTSCSAGNDGPRPMSVENVAPWIMTVAASTVDRQFTTQVAFGDGKKIRGLSINTFTPEKNMYPLTSGSLAANLSGDEYGNPSGCDYGTLDKDKVMGRIVYCAGGTGSQDLTIKELGGAGTIVGLEEDEDASYTTVIPGAFVDMYTVGKNIEIYINSTKNPQAVIYKSASTRFPAPYLASFSSRGPQKITPNILKPDLAAPGLDILAAYSKLATLTGYPEDTRFEVFNIVSGTSMACPHAIAAAAYVKSFHPDWSPAAIKSALMTTATPIKGNDNFTELGSGSGQISPLKALHPGLIYDIRMNSYIAFLCKQGYNGTSIGILIGSKSFNCSGVKPAPGTDGINYPTMHIQLLSSSSSISAVFYRTLTNVGYGTSTYKAKVTAPEGLSVNVIPDTLKFTKLHQDLSFKVVLKGPPMSDEKITLSALLEWNDSKHSVRSPIVVFKPTF >RHN52658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37454941:37455333:1 gene:gene37352 transcript:rna37352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLCAKTIRFDKAITLLTKIKDQGIQPNLYTYTLLVDGICKNGKLKDAKAVYQDLLIKGYHLDVIMYNVMVNWLCKEGLFDEALSILSKMEHNNCTPDVVTYEIVIRALFKNVKNDKAVKLLCEMIDRGLL >RHN50736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9938858:9941570:-1 gene:gene35060 transcript:rna35060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MNGEGDMFVKDSSFMLFGKKIPVSDSQIQANSTTMISCSNSKHKGNDMPHTQIIEKSKNSSNEENESKVNTSIVEDNVETSSTDQDKVLKKPDKIVQCPRCKSFDTKFCYFNNYNVNQPRHFCRSCHRYWTNGGTMRNVAVGAGRRKNKHIASQYRHMIVASDGIPTASLETNDSSRYQHGSNLESAAVFRCSNDNGIVLKFGRENASLDESKGSMLNLMNNRRHVDASGNNCRENGEEETSLCVSSVTNGHTRGNELFESEQNRSKPMQSYPASSWIIPMNQRWNNVTSMVQSSMQMCNPYGIDPTAMQWCHAPMVAVTNIGLQFVPGSNRNGNVSIGSNGCISPSSSTTSNSCISGNGTPILGKHT >RHN75445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42379517:42382565:1 gene:gene11656 transcript:rna11656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MINRIGVAVVVILIGWVYMALKPPPPKTCGSINGPPVTSPRVKLSDGRHLAYREFGFSKEEARYKIIVIHGYANSKDTHLPVSQELIDDLGIYFLHFDRAGYGESDPYPSRSVKSEAYDIQELADKLQIGKKFYIIGMSLGAYSVWSCLKYIPHRLLGAALVVPFVNYWWPSFPNNLSREAFQMLPQSDQWTFRVAHYTPWLFYWWMTQNWFPSLSFTNIEFFPSVDVEILKSLSETPDTGQEKITQQGEYESLHRDIMAGFGKWEFGPTEIRNPFPENDGTVHIWQGFKDRIIPYTLNRYISHKLPWIHYHELPDGGHLFIFKKNHCESIIKALILS >RHN68044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32342333:32344309:-1 gene:gene16332 transcript:rna16332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lactoylglutathione lyase MNEAVIALVKPFMENKKPVASICHSQHILAAAGVLKHSSKAEQVGSIFPVTL >RHN40151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13650044:13653452:-1 gene:gene46262 transcript:rna46262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AT-hook motif nuclear-localized protein MDQVAQGRPLPPPFLTRDLHLHPHHQFHTNHQTNEEEQQSGNGSLSRGQKRERNNEDGNNTPTGGEGKDDGGSGSAGGGSGGEMGRRPRGRPAGSKNKPKPPIIITRDSANALRSHVMEVANGCDIMESVTVFARRRQRGVCILSGSGTVTNVTLRQPASPGAVVTLHGRFEILSLSGSFLPPPAPPAASGLAIYLAGGQGQVVGGSVVGPLLASGPVVIMAASFGNAAYERLPLEDEETPVNVPGNGGLGSPGTMGSQQQQQQNQQQQQLVADPNASSLFHGVPQNLLNSCQLPAEGYWGGSARPPF >RHN81386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45468556:45472118:-1 gene:gene5415 transcript:rna5415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MEVLSLSVTFTKSQTFPSFRPKNTTQIHQLPIKTKLPNKNNHSSSCSISCSSSFTRISATSNQTSIPQTDMLLFPNGNSKHWVVRMDKPAVGVVTKAQIVDHYAQILTKIMGNEKDAQMCIYHVSWKTNFGFCCELDEDCAHELSGVPGVLSVQPDDNFESENKDYEGRNLENSLNMPNSSEASQEASVKTKKLFVTGLSFYTSEKTLRAAFEGFGELVEVKVIIDKISKRSKGYAFIEYTTEEAASAALKEMNGKIINGWMIVVDVAKTTPPRYNKGHARPSA >RHN67014.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22831408:22833559:1 gene:gene15144 transcript:rna15144 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHISQYCLLGIFCIALVLASGPTPGSSLCNNKHFLCDNPDNCLATCHVLLFRIGECFDNLCCCFLHIFY >RHN47086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37029107:37033162:1 gene:gene41641 transcript:rna41641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CBS domain-containing protein MASVLVYHVVGDLTVGKPELVEFHETETVESAIRAIAESPEGSIPVWKKRSQGVIENSDMRQTRFVGILSSFDVVGFLAKSSCLEDQDKALKTPVSEFVVRNNYLLKLVDPGTRLIDALDMMKQGVKRLLVPKSIVWKGMSKRFSVIYHGKWLKNPESPSSSNNNLSVNLNGNTSASIRDKYCCLSREDVLRFIIGCLGALAPIPLTSIAALGAINPNYSYIESSTPALESTQKVLQDPSAVAVIESMSDGQCKIIGEISAIKLWKCDYLSAAWALANLSAGQFVMGVEDNVTPGSPPDLCINPGADNDLVNGGGGSRKLKKFSSRSIGFFSNSPSNSFGSRSMFRGRSTPLTCKMTSSLAAVMAQMLSHRATHVWVTEDENDDVLVGVVGYADILGAVTKPPTIFIPANKPIEEFGNEIQC >RHN40373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15719494:15722961:-1 gene:gene46520 transcript:rna46520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MVRFISSQNAPFSLLFSFIIILNIVICETNASCNQKDKQILLCFKHGLIDPLGMLPTWSNKEDCCKWRGVHCNMNGRVTNISLPCFTDDDEDITIGNMKTNKPHCLAGKIHLSLFDLEFLNYLDLSNNDFKSIHLPMDCQKLSSVNTSHGSGNFSNVFHLDLSQNENLVINDLRWLLRLSSSLQFLNLDSIDLHRETRWLQILTMFPSLSELHLYRCQLKSASQSLLYANFTSLEYLDLSQNDFFSDLPIWLFNISGLAYLNLQANRFHGQIPETLLKLQNLITLILMGNEMSGKIPDWIGQFTNLEYLELSMNLLIGSIPTTLGNVSSLTVFDVVLNNLTGSLPESLGKLSNLEVLYVGENNLSGVVTHRNFDKLFNLKELWFGSPLSIFNFDPQWIPPFKLQLLDLKCANLKLIPWLYTQTSLTTLKIENSTFKDVSQDKFWSLASHCLFLSLFHNNMPWNMSNVLLNSKVTWLIDNGLSGGLPQLTSNVSVFNLSFNNLTGPLSHLLCHNMIENTNLMFLDVSDNHLSGGLTECWGNWKSLIHVNLGNNNLTGMIPNSMGSLSNLMSFHISNTMLHGEIPVSLESCKKLVIVNFRNNKFSGNIPNWIGQDMEVLQLRSNEFSGDIPSQICQLSSLFVLDLSNNRLTGAIPQCLSNITSMTFNDVTQNEFYFSYNVFGVTFITTIPLLSKGNDLNYPKYMHVIDLSNNSLSGRIPLEIFRLTALQSLNLSQNQFMGTIPNEIGNMKQLESLDLSNNSLSGEIPQTMSALSFLEVLNLSFNNLKGQIPLGTQLQSFTPLSYMGNPELCGSPLIEKCNHDKVPDGDINVMAKEEEGSELMECFYMGMGVGFATGFWVVFGSLLFKRSWRHAYFNFLYDVKDWFMSK >RHN47528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40312424:40317926:-1 gene:gene42141 transcript:rna42141 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M50 MGTLTNCTLLPLNSEFRFKPVHCSFRNKIHYNHRFNSHASKWGKLKHVHFDRFKCFSINNKDGVDDEGENGNKNDSKSNVTTVLPDEDRGFNPDKSTTPSTSQRSSLSSLGSVYNNFQVDSFKLMELLGPEKVDPADVKKIKDKLFGYSTFWVTKEEPFGELGEGILFIGNLRGKREDIFSILQNRLVEATGDKYNLFMVEEPDSDSPDPRGGPRVSFGLLRKEVSEPEETTLWQYVVASLLFLLTIGTSVEVGIASQINRLPPELVKFLTDPNYTEAPDMEMLYPFVESALPLAYGVLGVLLFHEVGHFLAAFPKQVKLSIPFFIPHITLGSFGAITQFKSILPDRSTQVDISLAGPFAGAVLSFSMFAVGLLLSSNPDVAGDLVQVPSMLFQGSLLLGLISRATLGYAAVHAATVPIHPLVIAGWCGLTIQAFNMLPVGCLDGGRSVQGAFGKGATMVFGLTTYTLLGLGVLGGPLSLAWGFFVIFSQRSPEKPCLNDVTEVGTWRQTFVGVAIFLAVLTLLPVWDELAEELGIGLVTTF >RHN64656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60782959:60784260:1 gene:gene27491 transcript:rna27491 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYIMFDAATISPMGSKPDFHHMMSSSIFLLCCFLTLSLYSISQHTSNTYSLGFTPARKLSMSAPGHDHKFHETQVSDEAMESTPANADLTTLEITGNSYSPHDLVYHTDYHGVTTHPTPKHPKP >RHN45080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11517829:11518107:1 gene:gene39282 transcript:rna39282 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGPPDDTLAKTRSHGQWSIDHGSRVAETATSYANSVEAEDRGELSSMSQSNDIDGSFQSATDPIVPESYAEHMMLAMAVFIVEGRAMSDG >RHN75216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40221401:40222215:-1 gene:gene11388 transcript:rna11388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MELDFEDYFPSMISRLGAEGFIGELCNGFRLLMDVNKGLITFESLKMNCFMLGLEVRDEELVYMLMEGDLDGDGALNQMEFCILMFRLSPCLMDGPKLCTTRQGVDPMLL >RHN79951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33758649:33758798:1 gene:gene3812 transcript:rna3812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MLVGNKADKRHLRSVSTKEGAKLAEQEDIFFMETSALESLKLKVYSQKC >RHN40296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15052938:15053978:-1 gene:gene46436 transcript:rna46436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAPTNQKVSNHVPEDIVFSIFSKLPLKSLNRFTCLGKSWTLLFENPYFMNMFYKNIVFKYHSLYDEASLLLNYEWKLYFLSGERFENKVQMKWPHPFDQKRGCYPCILGSSINGTLCIYDAHDTSTTVLWNPATEELKIIPEKKAPMYKHESYFTIHGFGYDRVRDDYKVLQHVVYIEDDWDQVAPPATHWDIYSLRSNHWKKLYVDMRQRYLTSEGSMVYLNGVCHWWGKIYRQPIETFVVSFDLANEVPVTTLFPFDSHGLKWFDKHLTTLNGFVAMIITYEKNTSSFRISISVLGEPGVNESWTKLFDVGPMSGIDHPIGAGKKVDIVLRKYDGELACLDLTT >RHN47740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42128955:42134402:-1 gene:gene42375 transcript:rna42375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MASNNSDKNKEKENDYFLDANEDETDIEAVNYDSDSSNNNDDDDEDDRIATHRPESFTSQQWPQSYNEALDPLTIAAAPNIGSVLRAPSVIYASFAAGRSSKSYLELQDGFLTGTQIQESTWWEKASIQKNIPEELPIGYGCTFTQTIFNGLNVLAGVGLLSAPDTVKQAGWASLLVIVVFAVVCFYTAELMRHCFQSREGIISYPDIGEAAFGKYGRVFISIVLYTELYSYCVEFIIMEGDNLSGLFPGTSLHWGSLNLDGKHLFAILAALIILPTVWLKDLRFVSYLSAGGVVGTALVGACVYAVGTRKDVGFHHTAPLVNWSGVPFAFGIYGFCFAGHSVFPNIYQSMANKKDFTKAMLICFVLPVFLYGSVGAAGFLMFGERTSSQITLDLPRDAFASKVSLWTIAIIPLTKYPFILEELLPDSISRTNWCFLLLRTALVISTVCAAFLIPFFGLVMALIGSLLSVLVAMVLPAFCFLKIVGKRATNKQVILSVVIAAFGIVCASLGTYSSLLKIVKRS >RHN54504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10114097:10118348:1 gene:gene29559 transcript:rna29559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane transport protein MGFLELFSVASFPVIKVLLVTGIGLLLALDNINLLGKDARNQTNHLVHYVFNPGLIGGNLAQTITLDNVVSLWFMPVNILVTFLLGSALGWIIIKLTKPPRHIEGLIVGVCSAGNLGNLPIIIIPAICKDKGSPFGDPDVCYQFGMAYASLSMAIGAVFIWTYVYNIMRISSRNVHKECNKSSDSITLEDSRDVSQSIIEEGSENYTSPTKGNVDDAYTLLLSKNESEQKIKVPVFDKIKHKFGMILGNPNFRGIFSPATLGAIVGFIVGVVPWLRRLMIGSNAPLHVIEDSASMLGDAAIPTITLIMGANLLRGLKGASTPFWTIIGIIVVRYILLPIFGVLIIKGATHLGLVQIDPLYQFVLLLQYALPPAMNIGTIAQLFGAGESECSVMMLWTYALASIAVTLWSTYFMWLVS >RHN79611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30590015:30591724:1 gene:gene3426 transcript:rna3426 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKKKMKGSKVPVYNQSRLRRSGRTCYFGPQPKQGAPGTVAGNPIDLCDEEE >RHN56295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29559474:29560559:1 gene:gene31671 transcript:rna31671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MVYMDIVQYLQPRFTLMENVVNLLRFLEGFLGRYALGRLVQMNYQSRLGILAARAYGLRQFRLRVFLWGGTPSENLHQFPLRTHDVIERGFVPVEFEGCLVAYSEGHSAQLQKKLELNDAISDLFSIENNESRDDMDYCGPLLIDFQKFIRLSQSEILGGSIKKKSPKSMLHDRRSYEMNFDDYQCACRIPKRKGACFRDLPCVRMQNKKVKLNPDVKREFLASGKPLVPNYAITFVCGTSPLPFARIWWDKTVPTVVTRAEPHNQKILHPEQDRVLSIRGNARLQGFPDFYKLCGSSKERYIQVGNAVAVPVGRALRYCLGLASQGASADGPLYTLPDQFPREKEEPSIVPSEEVVNNAP >RHN80412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37840553:37851614:-1 gene:gene4339 transcript:rna4339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of K+ conductance, CASTOR/POLLUX/SYM8 ion channel MNLTHFHMHTCLPWIPRQTHCLSSSSSTNNKNSRRFTPCRFSWLSSSSLHGHGIRSQKKGRWEVDFQRPAFNSNSESSEHVPENVSTNFIWTGKNNSSSPGRQAKNMIEYLSLYFVLKLTHTKFVGLMINVVQAIVRYVPQTLDGTSLALACVSNALNTPKPLKLDVSLPSFHDIRWSLARLFFLFNIQLERNVATFFLVLLIACSSFVFIGGFLFFKLRNNKQSLEECFWEAWACLVSSSTHLKQPTRIERVIGFVLAIWGILFYSRLLSTMTEQFRSNMQRLREGAQMQVMETDHIIICGMNSHLPFILKQLNKYHEFAVRLGTASARKQRILLMSDLPRKQIDRIADSIAKDLYHIDLLSKSCTLSLTKSFERAAANKARAIIILPTKGDRFEVDTDAFLTVLALQPIPKMDSVPTIVEVSRSQTCDLLKSISGLKVSPVEDVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLCSLPDLEGMTYRQIRHIFKDAVVCGLYRNGKIYFHPNDDEILQITDKVLFIGSLQNTNKPQVITTNGKEGKDGINNNELLEKDFDYAIELSKVRLANIVTRPNRSGSKASDGNVGPKECILLLGWRPDVVEMIQEYENYLGPGSVLEVLSETPLNDRIIRENIAGHSKLKNVRVSHRIGNPMDYGTLKETILNIQTSLKKEDIPFSIACISDKDWLLGDTSKTDKLSAYSILLAENICDKLGVKVQNLVAEIVDSKLGKQITRIKPSVTYVAAEEILSLVTAQVAENSELNEVWKDILDADGDEIYVKDISLYMKEGENPSFSELSERAYLRREVAIGYVKKNKNVINPIPKSEPLSLELTDSLIVISELEGEQPVIL >RHN65137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64427620:64433889:-1 gene:gene28040 transcript:rna28040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MASSSEEQQHNSISKLILYSYWRSSCAFRVRIALNLKGLKYEYKAVDLFKGEQYHPDFLKLNPVGFVPVLVDGPAVIFDSFAIIMYLEDKFPQQHPLLPTDIHKRAINFQVVSIVSSSIQPLQNLNTLKYIEKKVSPDEKLPWVQSVIRKGFTALEKLLKEHAGKYATGDEVFMADIFLAPQLDAASKRFNIDMNEFPTLSRLHETYNEIPAFRETLPENQPDAAGYLSQ >RHN46883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35558802:35562271:1 gene:gene41418 transcript:rna41418 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHTDRLTEELISSNDQILADPVSEFGNDEEETCSEQIVYSTSFEELASSSIKYDTVIWLSISLLLVLAWGFGLLMLLYLPFRRYVLRKDLSSRRLYVTHTEVVYEVSRPSYIPFWGTITIERRLPLSLVIDIIIEQGCLQSIYGVHTFRVESIAHGKAAAIDQLQAQGISDPDLLRKVIITEASKISRDLGKSWNPMAPSIDVENMARMPAITEGPVVLRSPSRSHKMIGSPHSSSVERRIGGGLILNKLEEVNKSVKRLELLIAKSHPSLDAS >RHN44306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3372075:3377850:-1 gene:gene38409 transcript:rna38409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GOSR2/Membrin/Bos1 MSTVFEGYERQYCELSSKLSKLCTAAAALNGEQKKQNISDIKAGIDEAEALIRKMDLEARSLQPNIKGVLLAKLREYKSDLNNNKSELKKLVSGNMNPSARDMLLESGMADAMTVSADQRDRLMFSTERLNKSSDRIKDSRRTMLETEELGVSILQDLHSQRQSLLHAHDTLHGVDDNVSKSKKILSNMSKRMNRNKCIISTIVAVLVLVIMLTLYFKLSK >RHN54068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6698188:6703350:-1 gene:gene29048 transcript:rna29048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator SWI/SNF-BAF60b family MVSESELIDRLREFLRNSDLNTTTTSTVRRQLESDFGIDLSDRKSFIREQVDLFLQTVQQDDEPQNDAVEEEDADDKPEQSQEGSEEEDDNNEEEETPKRTRGSVKKTKNKKKERSNKSGDEVVKKKGGGGFCKICSLSPQLQEFVGAPEMARTEVVKQLWAYIREKDLQDPNNRRNIICDEPLRALFGVDTINMFQMNKVLAKHIWPLDSDDVIQVKSAPKEKPAPKEKPARKEKKKKQEREDDDLDEPKGKEKRQKVGSGKGFLAPLQLSDALAKFLGESELSRSDVIKRMWDYIKGNNLQDPSDKRQILCDEKLKELFDVDSFVGFTVTKLLAPHFIKAEQ >RHN40848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:22992895:22995382:-1 gene:gene47081 transcript:rna47081 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPLDGVACLLGFPITGRLLPDREFTREEGLEMMQMDLLFTEEAAAKEMTKQGAAHVSFGVLKRCYEELLNRCNQLLVNDT >RHN80384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37630519:37631028:-1 gene:gene4305 transcript:rna4305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MATASIFYIFNQVYFTCRICNQVFTTFQLFTAHFESHSAQENLAVRRFIHAHFQRLSQPNFQETPQQSCLMSQPTTDQFLQNAVSQSPVPSFGNNVINMTSFFAPPIPRGNDHDDMDVSPVDGTKAYINQLDKPIDNNVFVNSANVNNTLNLELGLYVNDHTLNLELGL >RHN73627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18346718:18347540:1 gene:gene9463 transcript:rna9463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin MKMIVAISFIFSLLSLSHASVVDFCVADYNLPNGPAGYSCKTPSKVTENDFAYHGLAAAGNTSNIIKAAVTPAFDAQFAGLNGLGISLARLDLAAGGVIPLHTHPGASEVLVVIQGTICAGFVSSANTVYIKTLYKGDVMVFPQGLLHFQINSGGSNALAFVSFSSANPGLQILDFALFKSDFPTELITATTFIDAAVVKKLKGVLGGSG >RHN80767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40737738:40739704:1 gene:gene4732 transcript:rna4732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronosyltransferase MASKPPSITFLILMFFSITLTLFVLSMKPKSVTNNIFQLDKRKPPWFNVIEKNIDNKRIKVGVVNINPRLKFDDIGIYEQLNALYPHVETLSIDFDHADESLKWKDLFPTWIDEDEKYGHPKCIDLPMPIWESYRDVNVVVARVPCEKGIKDVFRLQVNLVVANLVVESGWVMKLDSYQPVYVVFIGTCSPTIEIFRCDDLLFHESGEYWVYKPDLVSLRHKMLMPVGTCQLAPGYAEKGKEVWRGNYISQSATILKYYTIHVPNLAYVTVLHSSEAYVCGAIALAQSILGNNDNNYYTIDLLLLADDSIGHESIKGLKSAGWKIKHIQRILNPFAKKGTYNEWNYSKLRIWQLTMYDKIIFLDSDLLVLKNIDHFFAYPQLSAAPNDLTLFNSGLMVIEPSMCMFEELMNKTLKVKPYNGGDQGFLNEVFTWWHRLPTKVNYLKSFEGNNNNEIIHEDLYVMHYLGLKPWMCYRDYDCNWDMRELHVFASDLAHKMWWKVYDTMPQKLQAYCRLTQKMDERILQRRKRARNANLSDGHWKIKVKDPRRKRYNNVNFRI >RHN67907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31306034:31315866:1 gene:gene16157 transcript:rna16157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MSYVPPHLRNAASSTTVSTNTGTLDNHHHHHHHNNNLAFSNSHSNSPSLFNASRRTSAAPPSSRTIAAVPDTVFPKWQPSERVSRMNPDQIEEVRHRLNLDVTVSSDSLAAPAPIESFNDMCLHPSIMKDIAYHDYTRPTSIQAQAMPIALSGRDLLGCAETGSGKTAAFTIPMIQHCLAQPPIRRGDGPLALVLAPTRELAQQIEKEVQAFSRSLESFKTAIVVGGTNIEKQRSELRAGVEIAVATPGRFIDHLQQGNTSLSRISYVVLDEADRMLDMGFEPQIREIMRSLPEKHQTLLFSATMPVEIEALSKEYLASPVQVKVGKVSSPTTNVSQTLVKVSENEKIDRLLDLLVEEASQAEKCGHPFPLTIVFVERKTRCDEVAEALIAQGLSAVSLHGGRSQSEREAALHDFRSSSTSILVATDVASRGLDVTGVSHVINLDLPKTTEDYIHRIGRTGRAGSTGIATSFYTDRDMFLVSNIRKAIADAESGNAVAFATGKVARRKEKEAAAAHKEANIALSKHLGPGAASINIEDKYRFMIAASNSKGEGTADSAWDD >RHN60609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28773608:28780128:-1 gene:gene22949 transcript:rna22949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative post-transcriptional gene silencing PAZ-Argonaute family protein MSRRGGANHYDPRRNQLSPATSQQSSVNAPGSGRGRGANHPDSHRNQPSPPISQQSSINALLSGRGAGRGSHAGHTVPSSTQTPVTVTASSSTSSPVIYHPSVVAPVAAGSSSVSTVPSSSLPPTTALLSSELEKKLTLETVPEASAPSSQKATRFPDRPGFGQVGRKIRVRANHFHVQVATNKVLHHYDVLIAPEIASKKVSRDVINQLVKMHKESLLGNRIPAYDGRKSLFTAGPLPFTSKVFVINLVDENKGSSSGSDTKKREREFKVTIKLASEPDLNHLAQFLRRLQLDCPYETIQALDITLRATPSEMYTVAGRSFFSPRLGAQGPLGGGTEYWKGYYQSLRPTQMGLSLNIDVASRAFYEPILVTDFISKNFKLNFSRRLSDQDRVKITKALRGLKVRFSRGEIIRSYKVTGVSKEPLRDLTFMLDDKVTRKKVVDHFHERYGTVLKHPLLPALQAGSDTRPMYFPMELCQIEPGQRYSKRLNEEQVTNLLRANCQRPHQRESDIKNIVKQHRFNIDEVVCEFGINVREELALVDARVLPPPTLKYGGESKINPMMGQWNMMKHKVVNGGKVEFWSCLSFSRLNPTQFCAELAIICQAKGILFNRDPLVPIAPANSNEIEKELEEFDKYCKAKLNSTNQKGRLQLLIVILPDFKGRFYDQIKRECETKLGIVTQCCEPRKVAKMNKMYLENLALKINVKVGGRNTVLNDAVIYNIPLVTDKPTIIFGADVTHPHPGEDSSPSIAAVVASMDWPCVTKYRGMVSSQIHREEIIQDLYTETKHPQKGTVPGGMIRELLLAFYRSNNKRKPERIIFYRDGVSEGQFSQVLLYEMDAIWKACASFEEGYLPKVTFVVVQKRHHTRFFPVSSDQTDKSGNIMPGTVVDTTICHPREFDFYLNSHAGIQGTSRPTHYHVLFDENNFSADQLQGLTNNLCYTYARCTRSVSIVPPAYYAHLLASRARCYISDVENHDSGSASGNRSAPNSVATLPSIMGNVLEVMFYC >RHN43825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48777496:48780907:-1 gene:gene50455 transcript:rna50455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain-containing protein MGGIGKTTIASAIYRKLATQFSFNSIILNVQQEIERFGLHHIQSKYRFELLGENNTSSGLCLSFDQRLKWTKALLVLDDVNNSDQLRDLIGKLSKFAPGSRIIVTSRDMQVLKNVKADGIYEVKEMNFHESLRLFCLNAFKQSYPLEGYVGLSENILNYAKRVPLALKVLGFLLCGRPKEAWESQLQKLDKLPENDIFEVLKLSYVELDEEQNEIFLDIACFYRGHLENVVLQTLDSCGFSSLIGIEVLKDRGLISIVESRIVMHDLIQEMGHEIVHQQCVNDPGKRSRLWKHREIYKVLRNNKGTDAIRCILLDICKIEKVQLHAETFKKMDNLRMMLFYKPYGVSKESNVILPAFLESLPDDLKFLRWDGFPQKSLPEDFFPDNLVKLYMPHSHLKQLWQRDKNLIQIPDLVNAQILKK >RHN59307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9336321:9337670:-1 gene:gene21315 transcript:rna21315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MQFKLGKKVIRVSKWIFRTRLRYRRLGASPEKNHLTTKLLSWGRKITTKARSLCSKAGSGKFGYLPVGSDPVEQSGSPVPKGHLAVYVGQKDGEFRRVLVPVVYFNHPLFGELLKEAEKEYGFCHQGGITIPCRVTEFERVKTRIASGSDTRRLTRRGHFDG >RHN44377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4009686:4013670:-1 gene:gene38494 transcript:rna38494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MPFASSIFNQMGIPHFLVIPYPIPGHINPLMQLCHVLAKHGCKITFLNTEFSHKRTNNNNEQSQETINFVTLPDGLEPEDDRSDQKKVLFSIKRNMPPLLPKLIEEVNALDDENKICCIIVTFNMGWALEVGHNLGIKGVLLWTGSATSLAFCYSIPKLIDDGVIDSAGIYTKDQEIQLSPNMPKMDTKNVPWRTFDKIIFDHLAQQMQTMKLGHWWLCNTTYDLEHATFSISPKFLPIGPLMENDSNKSSFWQEDMTSLDWLDKQPSQSVVYVSFGSLAVMDQNQFNELALGLDLLDKPFLWVVRPSNDNKVNYAYPDEFLGTKGKIVSWVPQKKILNHPAIACFISHCGWNSTIEGVYSGIPFLCWPFATDQFTNKSYICDVWKVGFELDKDENGIVLKEEIKKKVEQLLQDQDIKERSLKLKELTLENIVEDGKSSKNLQNFINWAM >RHN66633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15391495:15392145:1 gene:gene14668 transcript:rna14668 gene_biotype:protein_coding transcript_biotype:protein_coding MCILIVQGLGFTLGEAGMKILKGRSVLFIVKKARQNTEANGELMEVVQRAQDLIQVVHLTDDEAAIQRYHLFGDNYTPLKCMFKPAFTIVTPSWVSRKLFSKVNPFAMESEVEHDLESPSGFYPSLATLLSCTNDPLGPNDQVLSLRSRSDDEAPNVLPGNGSI >RHN63070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48104108:48108814:1 gene:gene25727 transcript:rna25727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like, peroxiredoxin-like FAM213/AAED1 MATTLQSLRFPFHPSITPPNSHTYPSSTVHYTPKSNTFNSSFIATRNKRLLSSRALNSEYSPTVAENLGDVSIFTAAGESVLFKDLWDQEQGIAVVALLRHFGCPCCWELASTLKESKSRFDAAGVKLIAVGVGAPNKARILAERLPFPMDCLYADPDRKAYNFFDLYYGFGRTFFNPASASVLSRFDALQKAVKNYTIEATPDDRSGVLQQGGMFVFRGKELLYARKDEGTGDHAPLDDVFDVCCKVPVT >RHN66909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21396710:21405519:1 gene:gene15030 transcript:rna15030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MASAYAESSPLISSDHVKAAVTEFARGFNHSYYLPLHLAILKGDWKSTRAFLDNDPSALTAKVTVYGRTALHVAAVGSQWIIVEMLLQRMPTNILTELDFMGCTCLHYVALSGKVDVAKKLVAKNSSVTQVTDFKGFTPLIYSLTSTRHRDMVWYLLTNTTDERPGCPFSGPSASQLVALLTASGFHDITMHLLQRYPGLATISDSNGSIILNVLSKLPSHFPSGNTYVPPTIFFYKHAPVELELLPNDPHSGKIISNLLQHFFAHWKSIRDAKLTHLSAVRLTEFVFSQASAMNDYQFYESFVSADIIFNATSSGIVEILSICFQFFPELVWTHIPNEGYLIQIAIKNRQEKVIRLLSEMPIICKLLVLAIDESNNTTSHLAARVCSNNKSTLGAALQVERDSQWLQEVEKLNHPFHKVVKNKNGKTAREVFIEEHKPMVEEGNNWIRDRSNGCMLVATLIATITFAAAITVPGGNNQEKGIPIFLLHKKFTVFIVSDAIAFYCSMASLMNLLPETKGPINEEGIQTELVIRLKLGLYYLLIALVATTIAFAAALSMLLEKRFKFSIVYISSLACLPLHYATSVLYPNLRRIKLLQSIAAFV >RHN65193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:153248:153635:-1 gene:gene13010 transcript:rna13010 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKYQAFCACFGVGCRLLLHCWLQFLAYVGRCFWFAAVGLVVLFFVLACDDIVEDDEGHEEEEKDGGDK >RHN47907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43467856:43471603:-1 gene:gene42561 transcript:rna42561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MAPNKKSRVSDDEEIEDQPQKSLYQVLGLEKTASQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDEEKRALYDQTGCIDDDDLAGDVQNLHAFFKTMYKKVTEADIEEFEANYRGSDSEKNDLIELYKKYKGNMKRLFCSMLCSDAKLDSHRFKDILDEAIAAGELKATKAYQKWAKEVSETKPPTSPLKRKAKSNKQSETDLCAIISQRRNERKGQFDSMFASLVSKYGGSDMPEPSEEEFEAAQKKLEKGRSSKKSKQSKRK >RHN80450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38212616:38216045:1 gene:gene4379 transcript:rna4379 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCILPKCCLRVVPLCLNLEIDQGAIVNMIRWTLTCKDFKREMIVRDMPYCDLIVARCSYFFLQYS >RHN44534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5814382:5814795:1 gene:gene38663 transcript:rna38663 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSIKIIKDFDFYVFLSILPVFLLNSKPYPSDSFKGRDVWCRNYFCRGSVHWSEW >RHN65663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4207401:4209568:1 gene:gene13531 transcript:rna13531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKSIKRIGTEFTGSISHSFQPFSFLETLEFDTMLEWEDWKLIGGTTAEFPRLKRLSLRQCPKLKGNLPLGQLQNLEEIILEGMKSLKTLDTGFYGSSSSRLFQPFPFLKTLSFTNMQEWEEWKLIGGASIEFPSLTRLLLCNCPKLKGNIPGNLPSLTSLSLKYCPNLKQMSPNNFPSLVELELEDCSLLMEARHSSDVFNQLMIFLNALRNISLRNIPSLTSFPRNGLPKTIQSLKIWKCENLEFLPYESFHNYKSLEHLEISDSCNSMTSFTVCALPVLRSLCIYGSKNLKSILIAEDVSQQKLLLLRTIKIEHCDELESFSLGGFPIPNLIHLSVCNCKKLYSLPRSINILASLEEMKIHDLPNLQSFSIHDFPISLRELSVGNVGGVLWNTTWERLTSLLELLIWGDDIVNVLMKTEVPLLPASLVSLKISLLEDIKCLDGKWLQHLTSLQHFDIIDAPKLKSLPKKGKLPSSLKVLNIKKCPLLKASWQKKRGKEWRKIAHIPSVLINGQMIT >RHN69540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44223003:44226141:1 gene:gene18021 transcript:rna18021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MTDTTMSLIGSSELPPASHKNAKLYSLKEIDINPDLSSQITYSDKHRSMYMTDAYSSESYEKYFHDSNTEELIEPSSSNVSTSFMPTRQRGADQSSFGSDLIMENRSMDSIEVDGVMRSKLEALERALLDDSDIEEEEEYGNSQTMEIDPNIIDWADPDPIQNMLLFHDHDSPKEYSSPDSNISSISSTTKEITKISRTPKQLLYECATAISEGNEEEASSMINNLRRIVSIQGEPSQRIAAYMVEGLAARLAESGKSIYRALKCKEPPSSDRLAAMQILFEVCPCFKFGFIAANNAITEAVKDETKIHIIDFDINQGSQYITLIQTLASRSCKPSHVRLTGIDDPESVQRSIGGLKIIGQRLEQLAETLGLSFEFRAVSSRTSIVTSSMLNCRRGEALVVNFAFQLHHMPDESVSTVNERDQLLRLVKSLNPKLVTVVEQDVNTNTAPFLQRFVEAYNYYSAVFESLDFTLPRESQDRMNVERQCLARDIVNTVACEGEDRIERYEVAGKWRARMTMAGFSSSPMSTNVSDEIQKQVKLYCDRYKIKEEKGALHFGWEDKNLIVAAAWR >RHN43157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43632687:43637560:-1 gene:gene49691 transcript:rna49691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde dehydrogenase (NAD(+)) MKTLCLPPLLAPSALVGGRDFANNLTTKCFPKQLHFNSRSVVFASHLCYATLSVESEAEKKQVFDGEKAYLLVRELRKNFDTGKTKSYEWRISQLEAIVKMLEEKEKEIIDALHTDLSKPRLEAYITEIVQAKSSCDEALQELKHWMKPEKVSTSITAFPSSAEIVSEPLGVVLIISTWNFPMLLSLDPVIGAISAGNAVVLKPSEVSPATSSLLANLLESYLDNSAVRVVEGAVPETTALLDQKWDKILYTGSARVGRIVMAAAAKHLTPVILELGGKCPAVVDSNVNLQFTARRIIAGKWACNSGQTCISVDYIITTKDFAPTLVDALKEELEQFFGKDLIESKDMSRIVSPTQYARLVKLLDEDKVSDKIVLGGQRDEKKLKIAPTILLDVPQEAMVMQEEIFGPILPIITVDSIEDSFSIIQSRPKPLAAYLFTNNEQLKKDYVDKISSGGMLINDAVIHVATRGLPFGGVGESGMGCYHGKFSFDSFSHKKSVLYKTFDSDPSIRYPPYTPEKENILRAIFGGNIFQIILALLGWSKR >RHN76893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2188164:2196958:-1 gene:gene281 transcript:rna281 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monosaccharide-transporting ATPase MERSDTKTWKNHCMDVFSKSEREDDEEALKCVAIKRILTSSCIRKNVESKGEGKGKDVETIQLESTEKRALLARLVKIAEEDNEKFLLKLKERMDRVGLELPTIEVRFEDINVEAQVYVGRRALPTLFNFFVNVIEGCLNNLQIIPSPKKQLHILQNVSGILKPRRMTLLLGPPGSGKTTLLLALAGILGKDLKQSGRVTYNGKGLEEFVPQRTSAYVSQYDNHIGEMTVRETLAFSARCQGVGQNYEMLTELLRKEKESKIEPDPDINAYMKEAAIEGHQNSVVIDYILKILGLDVCADTMVGDQMIRGISGGEKKRLTTGEMLVGPIKVLFMDEISNGLDSSTTFQIINSIKQSIHILNGTALVSLLQPAPETYELFDDIILLTDGQIVYQGPREYVLEFFESTGFKCPERKGVADFLQEVTSRKDQWQYWAREDEPYNFVTVKDFARAFELFHIGKQLGEELADPFDKSKFHSNVLITKKYGINKKELLRACASRELLLMKRNSFVYIFKATQLTYLATLTTTLFLRTKMYHSTIEDAQTYMGALFFTVTVAMFNGISELNMTIMKLPIFYKQRDLLFYPSWAYSLPPWILKIPITIIEVAIWECISYYAIGFDPNIGRFFKQSLVVLCINQMASALFRFMAALGRDIVVANTFGTFSLLAVTVLGGFVISREDVHKWFLWGYWSSPLMYGQNAIAVNEFLGHGWRKVAPNSNETLGVSILKSRGFFPQAYWYWIGVGALIGYVFLFNFLFALALHFLSPFRKDQAGLSQEKLQERNASTDEEFIQSQQQENSSNTKMDEEVSENKASSSGRKGMVLPFQPLSLTFDDITYSVDMPQGMKNQGVTEDRLELLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGIKTSGYIEGNIKVSGYQKNQKSFARISGYCEQFDIHSPNVTVYESLLYSAWLRLSPEVDHATRKMFIEEVMELVELNSLREALVGLPGENGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDSFDELLLLKLGGEQIYAGPIGNQCSDLIQYFEAIQGVPTIKDGYNPATWMLEITSAGKEANLKVNFTDVYKNSELHRRNKQLIQELSVPSQSSKDLHFDAQYSQTFLAQCTYCLWKQHLSYWRNTSYTAVRLLFTIMTGILFGLIFWGVGAKSKKEQDLFNAMGSMYAAVTFIGVVNGASVQPIVAIERTVFYRERAAGMYSAMPYALAQVIIELPHILVQAVVYGIIVYAMMGFEWTASKVLWNLFFTYFSFLYYTYYGMMTMAITPNPHVAGILSTSFYAIWCLFSGFIIPLSRIPIWWKWYYWICPVAWTLNGLVTSQYGHNMDTLDNGQSVEEFVRNYFGFEYDFLGVVAIVVVSFSVLFALIFTFGIKAFNFQKR >RHN61248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34151137:34151471:-1 gene:gene23689 transcript:rna23689 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVGKQAWKLVSSPESLLTRLLKGKYFSRSDYFGASIGHNPSYVWRSIWSAKDVIRRSFHWSIDTGEHIPVWDHPWLSNAA >RHN69348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42807204:42808428:1 gene:gene17803 transcript:rna17803 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMKWCELEATRLRCKEHPNDKQLPGVCSSCLRDKLSNLYSKNPVDSLYYCSPSSPASPQPIDDASTNHGSSSHRSRRFRRNASQATSTASCMISFNHALNLKKSKSLAVVSRNRVRERDVGGGRGRKKDGFWSKVLKLRKKDTEESVVNSRT >RHN62539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44220356:44222219:-1 gene:gene25130 transcript:rna25130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MLKFDAMEDLEKQERKVEEEEEKEKLLENKAVLDFDVLCSSVALKASQGKWGKLGSMEEEEEESGVFGGVLRMWEGELFDCFDHRRIALESIICPCYRFGKNMKRAGFGSCFIQATIYLILAIGFFVNFIAFAVTRRHCFLYLAVSFIICVGAYLGLFRTLIRKKFNIKDSESSLDDCVYHFACPCCTLSQESRTLEMNNVQNGTWHGRGDTNCIGGFGEKSKAHFELLPPPIITIKTINESCIETKTKVNPS >RHN79105.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23021373:23027837:1 gene:gene2818 transcript:rna2818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative magnesium chelatase MASFLSTPFTLPNSKVDQLSSVAQRHLFLHSFLPKKTNYYGNSKASFRLKCNAIGNGLFTQTTQEVRRIVPENKQNLPTVKIVYVVLEAQYQSSVSAAVRELNSNQNDASFEVVGYLVEELRDKSTYETFCKDLEDANIFIGSLIFVEELALKVKAAVEKERDRMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKKKNTSSAGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMISGSYVPALKGTKMEYSDPVLFLDNGIWHPLAPCMYDDVKEYLNWYGTRRDANEKLKSSNAPVVGLVLQRSHIVTGDDGHYVAVIMELEAKGAKVIPIFAGGLDFSGPVEKFFIDPITKKPFVNSVISLTGFALVGGPARQDHPRAIEALMKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPKTGKSHALHKRVEQLCTRAIRWAELKRKTKEDKKLAITVFSFPPDKGNVGTAAYLNVFSSIFSVLKELQSDGYNVEGLPETSEALIEDILHDKEAQFSSPNLNIAYKMNVREYQALTPYATALEENWGKPPGNLNADGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSFVEKIFKADAVLHFGTHGSLEFMPGKQVGMSDVCYPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGQQIVSSIISTAKQCNLDKDVDLPEEGVELPTKERDLVVGKVYAKIMEIESRLLPCGLHVIGEPPTAMEAVATLVNIAALDRVEEGISSLPSILAQSVGRNIEEIYRSSDKGVLKDVELLRQITEASRGAITSFVERTTNSKGQVVDVSNKLTSILGFGINEPWIQYLSDTKFYRGDREKLRILFEYLGECLRLIVADNEVGSLKQALEGKFVEPGPGGDPIRNPKVLPTGKNIHALDPQSIPTTAAMESAKIVVERLLERQKIDNGGKYPETVALVLWGTDNIKTYGESLAQVLWMIGVNPIADNLGRVNRVEPVSLEELGRPRVDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPAEMNYVRKHAMEQAEALGVEVREAATRIFSNASGSYSSNINLAVENSSWNDEKQLQDMYLSRKSFAFDCDAPGAGMTEKRQVFEMALSTADATFQNLDSSEISLTDVSHYFDSDPTNLVQNLRKDGKKPSAYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMLSSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANTTFIQDEEMLKKLMSTNPNSFRKLVQTFLEANGRGYWETEEENIEKLRQLYSEVEDKIEGIDR >RHN57883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42386486:42390002:-1 gene:gene33474 transcript:rna33474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MATADSDGVTPPHDEDSSDSSVPNSPNSAIVPAAPSVCLVRFAIDSAGGALMGSVFGYGTGLFKKKGFKGSFADAGSSAKTFAVFSGVQSLVVCILKRLRGKDDVINAGVAGCCTGLALSFPGAPQDLLRSCLTFGAFSFIMEGLNKQQPALALPMSWKTGVQHNARPPLVLPLQLPLPNELKEAFSFFSESLKKRNKGAYPTS >RHN59272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8963014:8964264:-1 gene:gene21276 transcript:rna21276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MLLLFPFKKKNAASLWNRWLGFNLNGRNPKMEDTMTLAFFPDELIVEIISRLPVKTLIRFRCLNKSFNTLISDPNFVKIHLKKSERNPHLAVPAYRYAENEPHLLAFPISRLLENSSTTIHYDPCYRLNHSDGSWRVVGSCNGLLCLLDRNTSPAGQRLCLWNPATRKKSKFVLGPRKYTKFFFGYDYLTETYKVIAFRVKLDMGNGNAMVKVLSIGNSSWRNIQCLMLPLYWYQPNNNCTRVHLNGTINWLAVRNYFDKYLNGITVVEYVIVSLDLSTESHTQLLLPQGVDKGPCHQPTLAVLMDCLCFSYDFKRTHYVIWQMKDFGVHESWIQLFKISYQNLFSFNGCVMKFISFKLLPLHLSENGDTLILANDDADKAIVYNCKDNTTAKILITKQIFWRQARGYVESLVSPR >RHN74680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35790487:35797300:1 gene:gene10787 transcript:rna10787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ataxin-2, nucleotide-binding alpha-beta plait domain-containing protein MATVITADISADANKMDSKPKAESEFSVQKLVDMFTKLNPLAKEFFPSSYSPNHDHGRQGFNLITPNHFLVNTKPSANDNNPNNRRRRNNFTQGRRRLNGRSLKAQREDSVRRTVYVSDIDQHVTEERLAALFTNCGQVIDCRICGDPHSVLRFAFVEFADEHGARAALNLGGTVLGYYPVRVLPSKTAILPVNPTFLPRSDDEREMCTRTVYCTNIDKKISQAEVKNFFESSCGEVTRLRLLGDQVHSTRIAFVEFAMAESAIVALNCSGMLLGTQPIRVSPSKTPVRPRVARPVSR >RHN48137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45322032:45324437:1 gene:gene42823 transcript:rna42823 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASSTFSSSLSTVCTLQNRHASQPTTFLGIPLCQKTFLSNKKSPHKFLTVAVTKGSAESSKSDEKIPSWAKPDSEEPPPWAQNEAQNKPSSSEQQGFVIPFYVYLLASAITAIAAIGSIFEYVNQKPVFGVLSSDSVFYAPLLGFFAFTGVPTSAFLWFKSVQAANKEAEEQDKRDGY >RHN61094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32906705:32909332:1 gene:gene23519 transcript:rna23519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEILMLFYIPIIYVSLFLDVIEGLIKACDTDVDCQKKYPGPFEHLLKCIHGYCVCFPRNPGTIHNFF >RHN57395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38633169:38636334:-1 gene:gene32934 transcript:rna32934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MGSFFILLPCFALHLFSLLLLTHFTTHTFSLCNHHDTSALLQFKNSFLLNTSSQPNPYFGCFSFSFKTESWENSTDCCEWDGVTCDTMSDHVIGLDLSCNNLKGELHPNSTIFQLKHLQQLNLAFNHFSESSIPIGISDLVKLTHLNLSYCDLSGNIPSKISHLSKLVSLDLNNYDSLELNPFAWKKLIHNATNLRELHLNGVKMSSIGESSLSLLTNLSSSLVSLSLASTQLQGNLSSDILSLPNLQRLDLSFNQNLSGQLPKSNWSTPLRYLNLRLSAFSGEIPYSIGQLKSLTQLDLLGCNFDGMVPLSLWNLTQLTYLDLSRNKLNSEISPLLSNPSHLIYCDLGYNNFSGSIPNVYQNLTKLEYLSLSSNSLTGQVPSSLFHLPHLSHLDLSFNKLVGPIPIEITKRLKLSYVGLEYNMLNGTIPQWCYYLPSLLELYLHYNHLTGFIGEFSTYSFQSLTLSNNNLEGHFSNSIFQLQNLTELDLSSTNLSGVVDFHQFSKLKNLILLNLSHNSFLSINTNSSADSILPNLEMLDLSSANINSFPKFHAQKLQTLDLSNNNIHGKIPKWFHKKLLNTLNDIAHEISYIDLSFNKLQGDIPIPSDGIEYFLLSNNNFAGDISSKLCQASSMNVLNLAHNKLTGIIPKCLGTFPFLSVLDMQMNNLNGSMPKTFSRGNAFETIKLNGNQLEGPLPQSLAHCTELKILDLGYNNIEDTFPNWLETLQELQVLSLRSNKLNGSITCSNTNHPFSKLRIFDIFGNNFSGSLPTSCIKNFQGMMNVNDSQIGLQYMGKNNYYNDSVVVTMKGFSMELTKILTTFTTIDLSNNLFEGKIPLVIGELNSLKGLNLSNNRITGTIPQSLSKLRHLEWLDLSKNQLTGEIPVALTNLNFLSFLNLSNNHLEGVIPTGQQFATFENDSYEGNTMLCGFPLSKSCKNEKDLPPHSTSEDEEESGFGWKTVVIGYGCGAIFGLLLGYNVFFFTGKPQWLLRLVEHTFNIRMKRTNN >RHN61803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38494717:38495037:1 gene:gene24301 transcript:rna24301 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLLGIIYWLFGGGNDRRWLTLGQIRKTVDNLYMEICLILLMSFLLYKYNWRMNFEVNPSFTSRFPEATGGIVVVIGATLVGLAAVRYLSHWWGKWLVRGIKGR >RHN81984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50342514:50344281:-1 gene:gene6096 transcript:rna6096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Tesmin family MDAKEKKNTPISNSEEGVASGSTHGIGVNASEPIAATDIVQTQGNLSNVALRDTNRSRRDEEDDALFDYLNSLSPLKSKKYDGVGATMTLNSLGMYYPLVNAPPGNDFDLNVPYDGMENEGNDFDLNVPYEGIENEITSSGRQPELPNCAASFHIYESQGHESDLSGEEGTCKNCHCKKLECLKLCCECFAARVYCTGTCSCEDCLNKPINEDSVLQAGRNEHCM >RHN64509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59646708:59650528:1 gene:gene27329 transcript:rna27329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MELCSSKQPSTTTLSITTFIFFFLLLLSLPHSTFASSPNDFVGSSLRVSTAKFANSAEEVITVLQKVISILSRFTNVFGHSRTSNAVSDCLDLLDMSLDQLNQSISAAQKPKEKDNSTGKLNCDLRTWLSAVLVYPDTCIEGLEGSIVKGLISSGLDHVMSLVANLLGEVVSGNDDQLATNKDRFPSWIRDEDTKLLQANGVTADAVVAADGSGDYAKVMDAVSAAPESSMKRYVIYVKKGVYVENVEIKKKKWNIMLIGEGMDATIISGSRNYVDGSTTFRSATFAVSGRGFIARDISFQNTAGAEKHQAVALRSDSDLSVFYRCGIFGYQDSLYTHTMRQFYRECKISGTVDFIFGDATAVFQNCQILAKKGMPKQKNTVTAQGRKDPNQPTGFSFQFCNISADSDLLPSVTTIPTYLGRPWKTYSRTIFMQSYMSDAIRPEGWLEWNGNFALNTLYYAEYMNSGPGAGVANRVKWSGYHVLNDSSEATKFTVAQFIEGNLWLPSTGVTYTSGLKV >RHN58877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5660989:5662245:1 gene:gene20832 transcript:rna20832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLNFGGNKLRGHIPSSIFPNSCALRFLDLNDNLLGGPIPKSLVNCKELQVINLGKNALTGRFPYFLSKIPTLRIMILRSNKLHGSIGCPNSTGDWKMLHIIDLACNNFSGTISSALLNSWKAMMRDEDVLGPEFGNLFFEVLDYYTMGLKDALRIMNKYYATKVVQLTLKMPHSDLDQVISDSSADDVDLRRYQDYSVIIVNKGHQMKLIKVQKAFTYVDMSSNYLEGPIPNELMQFKALNALNLSHNALTGHIPSSVGNLKNLECMDLSNNSLNGEIPQELSSIYFLEYMNLSFNHLVGRIPLGTQIQSFDADSFKGNEGLCGPPLTNNCNNDGVQGFASELSHSHNDNSIDWNLLSVELGFIFGFGIFILPLIWLMKWRLWYFKHVDEMLYRFLPQLDFVYEQQEGKRYRSLRWRY >RHN77261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5498320:5499097:-1 gene:gene699 transcript:rna699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative development/cell death domain-containing protein MGKMYIDPYAWINDDYSNETQYPAQVKVRVGLQCRPLTEDKFRQAIAGNYYDNNHFRFELDRSQTNKLMFLLTSAAIAPGTPVPRYNMNWRSACPSLTSCKTLKKDRLAQPVRTHPIKKKVNEGEKNRIHKKLLELTLGKRNQDLSFLDSVNGASNESKIKGYIEEHSCLEKNGENVSASFKNLYTIVQVVNVDE >RHN72455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7824533:7826316:1 gene:gene8168 transcript:rna8168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartic peptidase A1 family, xylanase inhibitor MASFSFSIFLPLFFFLLTIVSSSSNSIFIPITKDNTTQQYTTTLSFGTPFVATNLVVDLSGSHFWVDCSSTKTSSSLSSIPHRSVQCLTAKSHTQTWVSSLENENPIDQDSPCEIVAKNTITGKVATEGKLVEDVLAVKSMKDSIFEPAHEYLFTCSNTLLLNGLSNDAKGIVGFGRSRTSFSSQFFNSIDSDRKITFCLSSSSGFVSLGRRNKVSESEIFRSLTFTPLVTNQNMEYFINVNSIKIGGKKVSFNTLSLSQEGNSGTLLSSIVPYTTMQSSIYANFKSAILKAALSMNISRVDSVAPFEICFGSNGIGASKIGPNVPVIDLVLQSEMVKWRIHGRNSMVRVSDDVMCLGILDGGDEQKNLIVIGGYQLEDVLVQFDFDSSMVGFSSSLLIRDTSCSNFRFGSMDVQSS >RHN69187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41626637:41632842:-1 gene:gene17622 transcript:rna17622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative crocetin glucosyltransferase MAQNHHFLIITYPLHGHINPALQFAKRLISFGAQVTFATTIYLHTGLINKPTIPGLSFATFSDGYDDGKNFESNEDFIAYRSELKCHCSEFLTNIILSGKQEGRPFTCLAYGIIIPWVAKVARELHLPSALLWIQAATVFDIYYYYFHEHGDYITNKSKDETCSISLPGLSFSLESRDLPSFLLSSNIYTIATRSFKEQIQVLDEETNPTVLVNTVEEFELEALKAVDVGKIKMIPIGPLIPYAFLGGKDPNDTSSGGGVVDVESEDNYFEWLDSKDESSVVYVSFGTLAILSKRQMEEIGRALLDSGFYFLWVIRDEKVMQQKEEEGDSDELSCREELERNVNGKIVKWCSQVEVLSHRSLGCFMTHCGWNSTLESLGSGVPMVAFPQWTDQTTNAKLIEDLWKTGLRVERDEEAGIVKAGEIMKCLEVVMGKGEKGEELRRNAKKWKSLASEAMKEGGSSNKNLSKFLDDIGCITTTTMAQNHHFLIITYPLHGHINPALQFAKRLISLGAQVTFATTIYLHTRLTNKSTISGLSFATFSDGHDDGPKFESNEDFVTYEYELKRRCSEFLTNIILSGKQEGRPFTCLAYGIIIPWVAKVARELHLPSALLWIQAATVFDIYYYYFHEHGDYVTNKSKDETCSISLPGLSFSLESRDLPSFLLSSNIYTIATQSFKEQIQVLYEETNPKVLVNTVEEFELEALKAVDVGKIKMIPIGPLIPYTFLGGKDPNDTSSSGGVVGVESEDNYFEWLDSKDESSVVYVSFGTLAILSNRQMEEIGRALLDSGFYFLWVIRDEKVMQQKEEEGDSDELSCREELERNVNGKIVKWCSQVEVLSHRSLGCFMTHCGWNSTLESLGSGVPMVAFPQWTDQTTNAKLIEDVWKTGVRMECDEEGMVKAEEIRKCFEVVMGKGEKGEELRRNAMKWKDLARAAVKEGGSSNKNLSNFLDDI >RHN56261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29342760:29343173:1 gene:gene31633 transcript:rna31633 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFDPCNRPHLVGYGLVDVVVIIILFFLSLLMIVMKRALVVSPLKDGIVAD >RHN79761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31918997:31920255:-1 gene:gene3595 transcript:rna3595 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPLNLHPYHTKNTPQMNSLSLPSSSSSCSKPFSPKFSKPLTPFTSPLFEPACGGEIGSLTRPALNTEEMEDVEEKGGTGPSCVSGFDVFEVDVAGKRSGGGGGEEGLDLGEVGGGGIG >RHN59119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7651709:7653936:-1 gene:gene21109 transcript:rna21109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallothionein-like protein 1 MSSCGCGSSCNCGDSCKCNKRSSGLNYAEGETTETVILGVGPAKIQFEGAEMGVAAEDGGCKCGDSCTCDPCNCK >RHN52424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35191517:35193157:-1 gene:gene37102 transcript:rna37102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MGKEVVRQESPKEPGERSRLWSQDDIVHVLTENTGTGKTEMICMNLHSMESVIDKKGKAFKKMTRLKTLIIENGHCSKGLKHLPSSLKALKWEGCLSKSLSSSILSKKFQDMTILILDHCEYLTHIPDVSGLSNLEKLSFECCYNLITIHNSIGHLNKLERLSAFGCRKLKRFPPLGLASLKELDICCCSSLKSFPELLCKMTNIKEIDLDYNISIGELPSSFQNLSELDELSVREARMLRFPKHNDRMYSKVFSKVTKLRIYECNLSDEYLQIVLKWCVNVELLDLSHNNFKILPECLSECHHLKHLGLHYCSSLEEIRGIPPNLKELSAYQCKSLSSSCRRMLMSQELHEARCTRFLFPNEKEGIPDWFEHQSRGDTISFWQ >RHN38630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:828808:836170:-1 gene:gene44588 transcript:rna44588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-exporting ATPase MVEGTMSLDAVIKEAVDLENIPIDEVFDNLKCTKEGLTCEEVQERLELFGYNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIAMAHGGRNMDGTKKQGDYQDFVGIIILLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGKWSEEDASVLVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKHPGEGIYSGSTCKQGEIEAIVIATGVHTFFGKAAHLVENTTHVGHFQKVLTSIGNFCICSIAIGMVIEIIVIYGVHGKGYRNGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKDMIEVFAKGVDKDLVVLMAARASRLENQDAIDCAIVSMLADPKEARTGIKEVHFLPFNPTDKRTALTYIDAAGNMHRVSKGAPEQILNLARNKAEIAQKVHSMIDKFAERGLRSLGVARQEVPEGSKDSPGGPWEFVALLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGDNKDQLGAVSIDDLIENADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKIADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLNSFWSFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLSEIFATGVILGTYLAIMTVIFFWIVMETNFFPDNFGVHRFRPDLKAPVTSEMTEKLASAVYLQVSTISQALIFVTRSRGWSYTERPGLLLVFAFAIAQLVATVISAQATWKIAGIRGIGWGWAGVIWLFNIVTYVFLDPLKFVVAYQQSGRAWNLVVNQRTAFTNKNDFGKEAREAAWAAEQRTLHGLRSAEIKGFAEKHNHREINTMADEAKRRAELARLRELHTLKGRVESFAKLRGLDIDTMNGHYTV >RHN74053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:25298709:25299894:-1 gene:gene9997 transcript:rna9997 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKKTMEKSVGFTEEQDALVVKSWNAMKKNSGDLSLKFFKKILEIAPPAKQMFSFLKDSNVPLEHNPKLKPHAMSVFLMTCESAVQLRKAGKVTVRESNLKKLGATHFKTGVQDEHFEVTKQALLETIEEAIPEMWYPAMKNAWAEAHDRLANAIKAEMKEAHDQLDSANLISNFKF >RHN43447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45958195:45960853:1 gene:gene50025 transcript:rna50025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation HMG family MADVVAEIPIPTKKSRGSRKALKEKPSTNDANIIAGEATISPISENLSNPKKGKAASKKQQNPKERSFEQDLLEMQEKMEQLRLEKEKTEELLKAKDEILKQKDEELENRGKELKKLQKLKEFKPTMNLPMVKDKEQEKKEKKKNGCPEKKRPSSAYMLWVKDQWHEVKKENPEAEFKDISNILGTKWKTVSEEEKKPYEEKYQAEKEAYLQVITKEKREIEAMKLLEEEQKQKTAMELLEQFMQFKQDAEKESKKNKKEKDPLKPKHPMSAFFLFTNDRRAAILADNKGILEVSKITAEEWKNMTEEQKRPYEEIAKKNREQYAQEMEAYKQKKDEEAANLMKEEEEHMKLQKHEALQLLKKKEKTENMIKETKLNRQKKKHNKEEKNSDPNRPKRPASSYILFSKEARKSILEERPGVGGNMLNTLISMKWKDMSEEDKQLWNGKASEAMDAYKKEMEEYNKSIVAKLEQKTNE >RHN67745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29926173:29929466:1 gene:gene15968 transcript:rna15968 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIKLSFEDTTTIANAKTITSATHSEPNISSSGPLVTYELKTSSHLEGSMLGKIEAASLSIISGTKNETDLQLVAPKQKVIEISVEEGSTSTHVAMEDINKLIEEDPLLAFEKLLTGQVPISSVQILLQELKTLDSSSDLDDLVSNQESKSKLISLVHQLSQHQEMLTSELKDFVEKVKNFFNDNIIKHATSQQVFNKHNQLLALKTDLMNKLWSAKSTQTHIDGESSTANAQIHEFSLQIDDLKSVLNKCDVQKDKLKAECTEWAQQSKE >RHN81397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45551152:45553957:-1 gene:gene5426 transcript:rna5426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MVLNPTLPSKKVQSIQKCVDNGPDRQGKWWYSTFHTVTAMIGAGVLSLPYAMAYLGWGPGILMLLLSWCLTLNTMWQMIQLHECVPGTRFDRYIDLGRHAFGPKLGAWIVLPQQLIVQVGCDTVYMVIGGKCLKNFVEMAFISCTQIKQTYWIMIFGGIHFFLSQLPNFNSVSGVSLAASVMSLSYSTIAWVACLSRGRIDNVNYAYKQISKTDLLFRVFSALGQISFAFSGQAVTLEIQATIPSTPEKPSKIPMWKGAICAYLINAICYFPVATLGYWAFGQDVDDNILMSLERPSWLVASANLMVFINVLGSYQVYAMPVFDLIEGTMVRRLNFPPSVALRLVARSAYVAFTLLFGVSFPFFGDLLGFFGGFGFAPTSYFLPSIMWLIIKKPRRFSINWFINWVAICIGVCIMLASTIGGLRNIIVDSSTYSFYT >RHN50325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6187543:6191115:-1 gene:gene34597 transcript:rna34597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-transporting ATPase MSITFFTNMNDIETLLNAPKNTNSTHSKRWHNAFMKIYCSRAFMSHFTKKPKITPTPSFTVVDLNLPHSFTIDQETLIDIVKEKNIDTLQNHGGVEGVASSLKTNIEFGIKSDDTNDFEDIAIRKQVFGSNTYKKPPSKSFIHFVIEAFKDVTILILLVCATLSLGFGIKQHGIKEGWYDGGSIFLAVFIVISMSSISNFKQNKQFDKLSQVSNDIQIDLVRSGRRLKVSIFDIVVGDVVCLKIGDQVPADGLFVDGHSLRVDESSMTGESDHVEINQNFHPFLLSGTKVVDGYAKMLVTSVGMNTTWGQMMSSISNDIDEETPLQTRLNKLTSSIGKVGLVVAFLVLVVLLVRYFTGNTKTDAGVREFNGRKTSFDDVMNAIIGIIADAVTIVVVAIPEGLPLAVTLTLAYSMKKMMADEAMVRKLSACETMGSATTICTDKTGTLTLNQMKVTKFWLGFEPLEEGAYSNVDPSVLQLIKEGVALNTTGDAHKSKTGSDSEFEFSGSPTEKAILSWAVLELKMDMEHLTKSCSIIQVETFNSKKKRSGVLLRRNVDNKAFAHWKGAAEMVLRMCSRYYDGYGILKDLDNESMLKFESIIQGMAASSLRCIALACTEVSDEKLGHEGDNTKMVVKENDLTLLGLVGIKDPCRPGVKTAVEACQHAGVNVKMITGDNVFTAKAIAFECGILQPNQDIDETVVEGEQFRNFTHEERLEKVEKICVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASIVTVLNWGRCVYNNIQKFIQFQLTVNVAALVINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATEKPTKELMDEKPVGRTKPLITNIMWRNLVSQALYQIIILLTLQFKGEDIFGVTSKVNDTLIFNTFVLCQVFNEFNARKLEKKNVFEGIFKSKLFLGIIGVTLVLQVVMVEFLKKFAGTERLNWREWIICIGFGAVSWPIGFLVKLIPVSDKPLLDFLLMKKT >RHN53981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5946704:5948301:1 gene:gene28956 transcript:rna28956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, ARF/SAR type, P-loop containing nucleoside triphosphate hydrolase MGLTVSRIMRLFYAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNVSFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERILEARDELHRMLSEDELRDATLLVFANKQDLPNALSVSEITDKLGLHSLRQRRWYIQSTCATSGQGLYEGLDWLSSNISNKIR >RHN66923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21673622:21681193:1 gene:gene15045 transcript:rna15045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MKFYISAKGIKRVTISNGKGSGKDTTTTVGGGSPTVSGSSGRRISLRTVLPVVLVLGILLPFVFVRVAILVLESATFCSSLECAGWRFFSGADTSLKLRDELTRALMEANDGNINDNEGAVSFNELVKEMVLKQDLKAFAFKTKAMLSQMEHKVQTAKQQESVYWHLASHGVPHSLHCLCLKLAEEYAVNAMARSRLPPPEFASRLSDPTLHHLVLLTDNVLAASVVVASTLENSFNPEKLVFHIVTDKKTYASMHAWFATNSVESAVVEVKGLHQYDWSEEMNAGVKEMLETNHLIWKHYYDKEKNIDYSNLNALRPSSLSLMNQLRIYMPELFPDLKKIVFLDDDVVVQHDISSLWDVDLNGKVSGSVFKSWCEDNCCPGSKYMNYLNFSHPLISSNFDGERCGWLYGMNVLDLDAWRRTNITETYRKWLKLNLKSGTALWNPGVLPPSLIAFDGQVHPIDPTMLVTDLGYRYNSEEISKESLEAASVIHFSGPAKPWLEIGFAEYRSLWGRYVNFSNKFIRRCRIIG >RHN71859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3114856:3119953:1 gene:gene7499 transcript:rna7499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3'(2'),5'-bisphosphate nucleotidase MSFSSTIIRPRMLLGQTTRFNNNDAFTLSHVVQPQPLVRGSCSFSLSTTRHIGFVSKFDQTCSSASPIMEDEDQKKFSFFSKSEKEDDEEYSKELDVAVRAVQMACSLCQRVQESLISKTNHQVQSKDDNSPVTVADWSVQAVVSWILSECLGSENISIVAEEDVQTLSKSNASELLDSVVKTVNDCLAEAPRFGVQKPKSPLGNSEVLDIISRCNSTGGPSGRFWVLDPVDGTLGFVRGDQYAVALALVEDGEVVLGVLGCPNYPMRKEWLSYQHRYHRIVSKLTPPTSESWNKGCVLYAKKGTGKAWMQPLLHVNKMFVWPNHAIQIFVSNIDNPALATFCEPVEKANSSHSFTAGLAHSVGLRKQPLRVYSMVKYAAIGRGDAEVFMKFARAGYKEKIWDHAAGVIIIQEAGGVVTDAGGCPLDFSKGLYLEGLDRGIIACSGASLHGKIIDAVDASWGCSSL >RHN69021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40351670:40352052:-1 gene:gene17447 transcript:rna17447 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGEKVVTQSHEKVEALEGFISKWDENGEVGKDVFLEGSTFVKWWKTLPIQLELDLFHGIRYLPSTCTASIGITSVYIKCKICYVLKYKADSFGAFFVVEDSKN >RHN80371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37497487:37498077:-1 gene:gene4286 transcript:rna4286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGGDIKNFVCGLCNHDCTNFESLISHLESHMARENLAIARLNHTNPQVKSMPNPLPPNFPMPKSLHENKTFVRSMLFQKPQQQSNVVDLASFSAPPSIHQQGAGGMEVSPIDGTKPYINMLDKPIDKNVFINFIDETSLNLELKL >RHN54333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8809235:8813242:1 gene:gene29349 transcript:rna29349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase MAAAVTAAVSFPYSNSTSLPIRTSVISPDRLVFKKVSLNNVSISGRLTVRAEVATEAPAPVKVEKISKKQEEGIVVNKFKPKTPYIGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGIVPDGIDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNDAGEVVKGVCSNFLCDLRPGSEVQITGPVGKEMLMPKDPNATVIMLGTGTGIAPFRSFLWKMFFEKHEDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKAPENFRLDFAVSREQVNDKGEKMYIQTRMAQYAEELWELLKKDNTFVYMCGLKGMEKGIDDIMVSLAAKDGIDWIEYKRSLKKAEQWNVEVY >RHN44589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6559669:6563027:-1 gene:gene38727 transcript:rna38727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNMLECLGGSFDCKDHNQHMQKNLDTSFGLKTYHLTLGNYVDDGKIWWKRTNLKISDPSEPETKTILFGDCDHFSCILPEDLTYLCIEKNSHWVCLCDALSYNTYSSLRRIGTSDCQQMESLFCLSGSCSFCTKIHNLEVLEFQNLESLTVVCKEVFDVRQSLIPAGDIFSCLKKFNIYNCDLIEKLFTPQLVQQLQNLETISVEYCDSMKEIFAVSNSDDNDQSIISLPKLTRLCLRSLPQLKTVCEGIILCGSSKPSLYIVSCPGLKRRPTVKLIDGENSFGIFP >RHN40614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18190262:18205458:-1 gene:gene46784 transcript:rna46784 gene_biotype:protein_coding transcript_biotype:protein_coding MKQASASLTTRASANKVKGVTNTQAERSTTIPSQYRPQSAT >RHN71946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3845467:3846908:1 gene:gene7607 transcript:rna7607 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAISVPDQLKEATNYIKKLQINLEKMKEKKNFLLGIQRPNVNLNRNQKMGLKSPKIKIQQIGLVLEVVLITGLESQFLFSETFRVLHEEGVDIVNASYKVNEDSVFHSIHCQVGEFGNEAARISERLKKFMQDY >RHN56355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30204865:30206620:-1 gene:gene31740 transcript:rna31740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MQHNCFFFFTNYGYISVPSADDEEITTFESLQLPFDTLKVATNDFSDSNKLGEGGFGAVYQGRLSNGQAIAVKRLSINSGQGDREFKNEVLLMAKLQHRNLVRLLGFTIEGRERLLVYEFIPNKSLDYFIFDSLKKAQLIWEKRYKIIQGIARGVLYLHEDSRLRIIHRDLKASNILLDEDMNAKISDFGMARLILLDQTQANTSRVVGTYGYMAPEYVMHGEFSVKSDVFSFGVLVLEIISGQKNSCIRHGENTEDLLSFAWRSWREGTAANIIDSSLYNSSRNEIMRCIHIGLLCVQDNVTRRPTMANIVLMLSSYSLALSIPSEPAFFMDSRTRSLQEMRLWEEKSGTTRSSQSTSKSAPESVNEASFTDPYPR >RHN55723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21722933:21726897:1 gene:gene30953 transcript:rna30953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MGDTSILAPSVQELAEQGITKVPEQYLQPNQDSILVSNTTSSPQLPIIDFDKLLCEDGIELEKLDNACKEWGFFQLINHGVNPSLVESVKIGVQQFFHLPMEEKKKFWQTEEELQGFGQVYVALEEQKLRWGDMFYVKTFPLHIRLPHLIPCMPQPFRDDFENYSLELKKLCFKIIERMTKALKIQQPNELLDFFEEGDQSIRMNYYPPCPQPDQVIGLNPHSDASALTILLQVNEMQGLQIKKDGMWVPINPLPNAFVVNIGDLLEIMTNGIYRSIEHRATANSEKERISVAGFHNIQMGRDLGPAPSLVTPETPAMFKTITLEEYVNGYLASKIKGKSYLDVVRIKNDIHA >RHN55160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15691634:15691952:1 gene:gene30297 transcript:rna30297 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPHCFDISKQSAMVQTSMSICGLGFENGKKKDTSFLLVEIGHLSQIVRWLELVAEHKDKRLARVVRLLELVARKVKDIGIGYSFVGIGRWA >RHN47384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39343685:39347617:-1 gene:gene41977 transcript:rna41977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MPKEERTNPVKKPGPVTMDHVLLASQETKEERDERIRSIFAFFDKENRGYLEFSHIEAGYLALEIPSEYKYATDLLNACDVNKDGRVDFVEFKKYMDDKELELYRIFQAIDVEHNGGILPEELWEALVRAGIKIDDEELAHFVERVDKDHNGVITFEEWRDFLLLYPHEATIENIYHYLERICMVDIGEHTVIPAGVGKHVHAYRYLIAGGVAGAASRTATAPLDRLKVVLQVQTTSARIMPAVKTLWKEGGLVGFFRGNGLNVLKVAPESAIRFYTYEMMKTFIVDAKGGEEKADIGGMGRLLAGGIAGAVAQTLIYPMDLVKTRLQTYTHASGKIPSLGTLSKDIWVQEGPRAFYRGLIPSIVGIIPYAGIDLAAYETLKEMSKKYIIQEGEPGPLVQLGCGTVSGALGATCVYPLQVVRTRMQAQSSYKGMADVFRKTLKHEGFRGFYKGIFPNLLKVVPSASITYMVYESMKKSLDLE >RHN63551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52150330:52155697:1 gene:gene26264 transcript:rna26264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MDIPIPSRQLFINGDWKSPILNKRIPVINPSTQQTIGDIPAATKEDVDAAVAAAKTALSRNKGADWASASGAVRARYLRAIAAKVTEKKSELAKLEAIDSGKPLDEAAWDMDDVAGCFEFYADLAEKLDAKQKAPVSLPMDTFRSHVLKEPIGVVGLITPWNYPMLMATWKVAPALAAGCAAILKPSELASLTCLELGEICKEVGLPPGVLNILTGLGPEAGAPLASHPDVDKIAFTGSSATGSKIMTAAAQLVKPVSLELGGKSPLIVFEDVDLDKAAEWAIFGCFWTNGQICSATSRLIVHESIATEFLNRMVKWIKNIKISDPLEEGCRLGPVVSEGQYEKILKFVSNAKSEGATILTGGSRPEHLKKGFFVEPTIITDVTTSMQIWKEEVFGPVLCVKTFSTEEEAIDLANDTIYGLGAAVISNDLERCERVTKAFKAGIVWVNCSQPCFTQAPWGGIKRSGFGRELGEWGLDNYLSVKQVTQYISDEPWGWYQPPAKL >RHN46468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31970373:31970797:1 gene:gene40956 transcript:rna40956 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLVLEFRFLLFHLLVAFVGVWYLKYICIDLHVSLLFCSSACQIELTVHDSCGYEVALLIADQSLWVVVVGGAIVFFGPLGGCGGATGGFDVWFGGDGVEGWHGCLFTPATNAFYLS >RHN53934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5462445:5463078:-1 gene:gene28901 transcript:rna28901 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLHISIFVNVSAQCLELRTTIPSLIENHSSIKEDTSYFFGSNNLVAKIHP >RHN79043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21905448:21908258:1 gene:gene2735 transcript:rna2735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding protein MAKRKSWFGWVKSLFISESKDKKQKKCGWRFARIKQKLLYPKITASNKTLIEASEEQRKHALAVAIATASAAEAAIAAAHAAAEVVKLTSGTSRSYSYLSKGDRSLAAIKIQSVYRAHLARKALRALKGVIRLQAIIRGEAVRRRVSRTLKNIPSNAKTEKQIEERSSHTKEENYENDHIKQFPKQKKKIEENELKPELHSQRTWNCSSHSREDIEAIWLRKQEAIVKRDRMRQYSSSQKERMFSQMVEESLHNKEFVEDNCRTLGEWLHKETCNWDVLYKPAHPSNITIRNEVQEGLKSQVSVQRKSFSHVKRSSIGDESSLPNSPVFPKYMAVTESSKAKVRSMSTPRLRTGFSDVCSNQNEHQYMNF >RHN72062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4704411:4710201:-1 gene:gene7733 transcript:rna7733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase, protein trichome birefringence-like 37/38 MGFRAITLLLLFSLFHQLLLGEAKFHNVSSLRGKKPVVTNGCNLFIGSWVVDPSYPLYDSSCPFIDPEFNCQKYGRPDKQYLKYSWKPDSCALPSFDGKDFLNKWRGKKIMFVGDSLSLNMWESLSCMIHASVPNVKTSFLRREAQSTVTFQDYGVTIQLYRTPYLVDIIRENVGRVLTLDSIVAGNAWKGMDMLVFNSWHWWTHKGSSQGWDYIRDGSKLVKNMDRLVAYNKGLTTWAKWVDLNVDPTKTKVFFQGISPTHYMGKEWNQPKNSCSGQLEPLSGSTYPAGLPPSSNILNNVLKSMKSPVYLLDITLLSQLRKDAHPSSYSGDHAGNDCSHWCLPGLPDTWNQLLYAALSM >RHN61423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35474184:35474462:-1 gene:gene23891 transcript:rna23891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLHATLRASVTARQASSKSVEVPKGYVAVYVGDKQKRFVIPISYLNQPLFQYLLSQAEEEFGYDHPTGGLTIPCTENVFQRITSRLNGL >RHN63276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49998284:49998640:1 gene:gene25958 transcript:rna25958 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMKTLFVALNPQIYKHGKKNNCLRLYLIHDRFEEVAWSNPTETDKKAALKKDRKTHKGTKQTRNEKWKVELLISSGIELQSLLAQTTFVLSNQRERERERERERSDPKRTTEKEKR >RHN65231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:389412:395099:-1 gene:gene13050 transcript:rna13050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rad21/Rec8-like protein MFYSQTFLARKGPLSTVWIAAHLQHRLKKSQYASTDIPSTVQHIMDPGVPIALRMSAHLLLGVVRIYSKKVDYLLNDCNLVRTVLYKVFASVSNNTLPEDARQAPVHTITMPATFDLDALNLGYEIDFNGYEDAHIRSQDEITLADRSPIVVDNYVAIRFDEDIPFSPSNAQPLPDSEARPNEEEFIPQSPSSTRVVDVQDGGPSSHIESHTTSHTSDDNNHIFQDPVTKQTLPPESLRDPSNDAVENIPTDMDIDITVHEKDQTPEMIPEIHAETPPTQPARPPTPDASQGGASDGQVHGGPISEPSLRSCSFDAAMLPTPQMEQGQSSTHQMEQGQGPVPQMEGQGQGERPQRVRKRNQFYDDPIVLPNRVMKRALNDTRNIRRRRRDVTTLGAWELKSKRFKEGVFDQPLFTGICNELLNIQRREYICSKPHLVISEEDHPDNTTITPPPINQVADEPIIDAPEIAVDEPTTDAPEIVVDEPITDAPEIVNASGVEDIEHIRDVADDQPATIPTQSKETEQIIPSAYRSPVKGGVATPTSVSEGMDIPYGATSPRAHASETGTSSTYHDNILQSHDFSDTNQFINSAGKDDLWFLEEDSTPATASSQSTSRSIDTLSGRTRGLAQYLKDYSPCTTIPEQPAEDFSLNKILDGKTRKIAARMFFEVLVLKTHDLIDVQQEEPYGDISFKLTPALDKAKI >RHN81284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44563079:44563539:1 gene:gene5300 transcript:rna5300 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTLLWSTTCPFMGNLHPISLWNVICMIFSKVLNILQIVIFWA >RHN68293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34359247:34370423:-1 gene:gene16627 transcript:rna16627 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSSSCQEYIGGSHYIDRFGQLSESALRSRYSKLSLAGRIPEILGQVLEQEHLGEGDGGQQEAHLGHGQGEGHFGNEQRDQGGFDFEYDFAEDPVLLVNNEGENVDEVIHIEEGLDVVEWLPDAGELDNVNWVDVYNVVNEDGGAEADNQNNEGGGVEVNNQNIGVDVEVVDENNNDGGIVVGNENNVIAGVEVINNQNIGVDVEAVDENNNDGIVVGNENNAIVGEDGTVGAISESVVANARDREEDYT >RHN46161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29287804:29288223:-1 gene:gene40604 transcript:rna40604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MISLGVMYYIKLKKFDEVLEDWEHEYGPHRFKFKDLYFATKGFRENELLGVGGFGRVYKGVIPSSKIEVAVKRVSHESRQGMREFVSEIVSIGRLRHKNLVQLHGYYRRKSELLLIYDYMPNGSLDNYLHNPPKVLLQH >RHN55990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26525540:26526432:1 gene:gene31296 transcript:rna31296 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQDLVVQTPDHYKVRLNMCPCMVSPAPKSYIELESKLDRP >RHN80032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34506628:34513118:1 gene:gene3908 transcript:rna3908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative structural maintenance of chromosomes protein MPSLHSPGKILRLELSNFKSYKGFQQIGPFYDFTAIIGPNGAGKSNLMDAISFVLGVRTNQLRGAQLKDLIYTFDDREKEHKGRRAFVRLVYQLANESEIKFTRTITSAGASEYRIDDSVVNWDVYNARLKSLGILVKARNFLVFQGDVESIASKNPKELTGLIEQISGSDELKRDYEQFEEEKASAEEKSALVYQKKKTMVMERKQKKEQKEEAEKHIRLQDQLKSMKKEHFLWQLFNIENDVVKTTEELEADKRSREGVIKELENFEHEAGKKKKEQAKYLKEVMLREKKIAEKSNRLDKTQPELLKLKEEMSRISTKIKKGKKELGKKREEQKGHAKDIADLQSGIEDLTGKMKDLNEKGRNVGDQIQLDDNELQEYFRIKEEAGMKTAKLREEKELLDRQQHADSEAQNNLEENLQQLKNREAELDSQEKQMRERLEKILDSSAKNKDVVEDLNRQLRKMKEDHSASKRRYDNLKIKIGEIENKLRDLKADRYENERDAKLSQAVATLKRLFQGVHGRMTDLCRPTQKKYNLAVTVAMGKLMDAVVVEDEKTGKECIKYLKEQRLPPQTFIPLQSIRVKQIMERLRSLGGTAKLVFDVIQYPYLTSGYFEEPGCEGTKGQDPLHFFFHPPFFSITKVLEI >RHN68845.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39040145:39043574:1 gene:gene17256 transcript:rna17256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor EIL family MMMMFDHEMAMSGDLDAFSAQQPQVEGDITARLTEPEAMVDEDYSDDDIDVAELERRMWRDKVLLKRLKEQVKPKEGSDAAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAISKYQADNAIPGKNDGGNPIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPTGKEEWWPQIGLPKDQCPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIVNQEEALARELYPDYIPPFVPAGPFGINEGNEYDVDGGEEEPNFDVEERKPENLLHQSNIGLMERMRGVRLPFQQTFAMKEEAVTNLDFVRKRKISGEFNMMDPKIFTCQHSTCPYSQAHIGFPDRASRDTHQLSCPYRGSSSSDFGGPSFHANEVKPVIYPPQSFVQPKPMMAQSVNMVPPSIDITGLGVSEDGEKSIGGLMTVYDSGNHLAATENHILPQASSIQQLQQQNYFRGQGMVMEGNMFEATNMSNNNHHHMFARDEGQFDQRFKALNSPFENNHNHNQNHNNNFHLMFGSPPHCDLTSYEFKGDMHGVGIMDHLQKQPDISSVWYQ >RHN58491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2216155:2225181:1 gene:gene20410 transcript:rna20410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANSPLLGSLPKAGGFPPLGAHGPFQPNPAAVPTQLAGWMSNPTTVAHAAVSGAGAIGLGAPSMPGALKHPRTPPINPSVDYPSGDSDHVAKRTRPMGITDEVNLPVNVLSGTFPGHGHSQAFNAPDDLPLPKTVTRTLNQGSSPMSMDFHPVQQSLLLVGTNVGGIALWEVGSREKLVSRNFKVWDLSACSMPFQAALVKDPSVSVNRVIWSPDGALFGVAYSRHIVQIYSYHTGDDVRQHLEIDAHVGGVNDLAFSHPNKQLCVITCGDDKTIKVWDAVSGAKQYTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESSIVEWNESEGAVKRTYQGFRKRSMGVVQFDTTKNRFLAAGDDFSIKFWDMDNIQLLTTVDADGGLPASPRIRFNKDGTLLAVSANDNGIKIVANADGIRLLRTLENNSMYDASRASEMAKPTINSMSSAAAATSAALAERASSVAAIAGMNGDTRSMGDVKPRISEEANDKSKIWKLTEINEPSHCRSLKLPENVRVNKISRLIYTNSGNAILALASNAIHLLWKWPRNERNSSGKANASVPAQLWQPSSGILMTNDIADSNPEDSVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKSKLKGHTKRITGLAFSHVLNVLVSSGADAQICVWNTDGWEKQKTRFLQLPPGRTPSAQSDTRVQFHQDQIQFLVVHETQLAIFEATKLECLKQWAPRDSSAPISHATFSCDSQLIYASFLDATVCVFNASNLRLRCRINPPAYLPASVSNSNVQPLVIAAHPHEANQFAVGLSDGGVHVFEPLESEGKWGVPPPNENGSSSNNVAVATSVGLSSDQAQR >RHN45894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26568566:26569015:-1 gene:gene40318 transcript:rna40318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MCQKVNNKTTNTKEDGFLKLKMVKVPDFAEGVAVAPEICSSLCLHNCSCVAYCHDTEIGWKFI >RHN50471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7373199:7373469:1 gene:gene34754 transcript:rna34754 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II MISPVLRFSDIIGDDATIEKIGPPDKVISAFGPEMIGENVEGKVLSSNVAEHEGRAYY >RHN57483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39468141:39473899:1 gene:gene33030 transcript:rna33030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative association with the SNF1 complex (ASC) domain, immunoglobulin E-set MFRLIISIFLYHKKCKKIKKVIFFSSPSYFIFNNKISGSKVQNIPASDQTQTQNLIRTNNDNRFLLLLLLLLCNTFTSQQTLSLSLSQFSLSFTLLPSSTLQVNDNNMGNANGREDGAIPDAGDPSGREPHAPPPIRAFSSDSMANSPPQSPRRSRSPILFGPQVPLAPLQRGNGPPFLNQMWQNEPHGIVNQAPEQGIPVMITWNYGGNSVAVEGSWDNWASRKVLQRGGKDHSILIVLPSGIFHYRFIVDGEQRYIPDLPYVADEMGNVCNLLDVNDYVPENPESVSEFEAPPSPESSYGQAYPAEEDFAKEPMAVPSQLHLTVLGMENADSGPSSKPQHVVLNHVFIEKNMASKSVVAMGVTHRFQSKYVTVVLYKPLKR >RHN58520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2445318:2445832:-1 gene:gene20440 transcript:rna20440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 43kDa postsynaptic protein MTPYFKVCGDYAKAEECLERAILANPGDGHVMSIYADLIWETKKNAARAQQYFDQAIQSDPNDWYILYNFF >RHN39311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5772915:5777541:-1 gene:gene45321 transcript:rna45321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MPKTSFQESGSVMKRSKKTTLISQHVYNCVATGHQDRLGDMPDCLIHHILSFMETRDAIRTCVLSKRWRYIWKSIPCLYFNSKSFTRLVDFKKFVLWVLSHRDNSHVKVLIYYRFGVDYATDQYLLNKVIEYAALHGVEEIRINLRAKTSGCPPIEIPLPLFTCQSLKRLELKDCHPTNVSSPFGCKSLDILHLEHFSMFPTAADFSNPFASLSELFGFTTLTALHLNSFALCYTGMECLDPFANCVNLKILQLSEMSFKSDLSPKDFVISAPQLSNLSLICNRFRCKIAVTSPQLLNFSYLYSTPCAFFEFSLPSLDGLIIDVHELHEPLEKSHRNKREETLHGLINMLRGHHKAEAVKLSFCTVAVTCGAAALEKPECLSYSKWKSLNFGVGSTYKIFISKLDHITAYFRNCSQHVDFEIVNI >RHN66116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8659323:8665568:1 gene:gene14033 transcript:rna14033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vicianin hydrolase MKAISHFLLYLFSLATLLAVVTGTASQHVHPSHYAASFNRTLFPSDFLFGIGSSAYQIEGASNIDGRGPSIWDTFTKQHPEKIGDHSSGNIGADFYHRYKSDIKIMKEIGLDSYRFSISWSRIFPKGKGAVNPMGVKFYNNVINEVLANGLIPFVTLFHWDLPQSLEDEYKGFLSPKIVKDFEAYADFCFKTFGDRVKHWVTLNEPVSYTINGYHGGTSPPARCSKYVGNCSTGDSTTEPYIVAHHFILSHAAAAKLYKAKYQAHQKGKIGITLITHYYEPYSNSVADHKAASRALDFLFGWFAHPITYGHYPQSMISSLGNRLPKFTKEEYKIIKGSYDFLGVNYYTTYYAQSIPPTYINMTYFTDMQANLIPMKNGVTIGSSTDLNWLYVYPKGIHHLVTHIKDTYKNPPVYITENGIGQSRNDSIPVNVARKDGIRIRYHDSHLKFLLQAIKDGANVKGYYAWSFSDSYEWDAGYTVRFGIIYVDFVNNLKRYPKYSAFWLQKFLLKGKH >RHN59444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10782240:10784240:-1 gene:gene21474 transcript:rna21474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MEREYERGYIKKGPWSSEEDEVLLKHVNKYGPRDWSSIRSKGLLPRTGKSCRLRWVNKLRPNLKTGCKFSSEEERVVIELQGQFGNKWAKIATYLEGRTDNDVKNFWSSRRKRMERNYPRTPSPPSKQQKNKGKAVSNQVKVEKVPECGSNQLEENLTYPTSYMANKEEFKMINLPDLTKPNYQNLESELNGIIEFEATPLHTVPSFESSSAYNFPLLPESQMDFPLFPECQDLVQDPFDPNFMDMFEQKKCSESVWSKKIGTKLPSLGLEGNSQSTSSNCFFQDIPTEIFEYFSQ >RHN38875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2544623:2554505:1 gene:gene44849 transcript:rna44849 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKSPSRGLNNDEIQGQGSEFLGRHNLEKQLAQSNFKSNDALNHAQDLDTMELFSQARGQEEEILSLREQIAVSCMKELQLVNEKCKLEREFSELRMAIDDKQNEAITSASNDLARRKGYLEENLKLAHDLKVAEDERYIFMSSMLGLLAEYGLWPRVMNASSVSNYVKHLHDQIQWRIRNSHDRIGELTSGQESHADNGNHVVESPGSANLTNHIHNEFMFQHNIPQQNPIGNEQNHQPMSNMTGYMNPGVSGDVNGAFKRVNYQEISNAADRDLSSFQHGLIDQIGMQERTGERQFVNGNINLYQPSHEHDETASSVSEDGPGIENFQICGDAIPGEKLLGCGYPVRRTSLCMFQWVRHLQDGTRQYIEGATNPEYVVTADDVDKLIAVECIPMDDKGRQGELVRLFANDQHKIKCDPEMQHEIDTYLSKGEAIFSVLLLMDSAENWEQATLFLRRSGYQIKISGTEAAVVSEKFSKDLSIKVPCGLSSQFVLTCSDGSSHPLSTFSVRTRDTLVLTMRLFQSKVLDDKRKGRA >RHN81047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42874560:42875151:1 gene:gene5041 transcript:rna5041 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHADFVEDQTITKFNDLCSSNEEVVFIVIACYFRVEEGIDMWLLENATHFEPMFRLNIWVGNNEKETIFLLSDCVSMMVAQDTCDMLTSLDGGSSLYPNEMEDLFGEELPQHVEVAQVVESPHDTLDCSISTGT >RHN51713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23299079:23300736:1 gene:gene36218 transcript:rna36218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MGLISISFIFSLSMLVMLSSTTYSQPQSPKVIQSTTYLSKEFEVGHGEVADKKMYNIEFPMGHVGIKSIYVDLVDEHGNSVPLHETYIHHWYILKYIIKKNMSVSQDPNDHTKPSGDLIYKRNDGTCNKGILPHQWSSGSETRGTSTKLPYSFAVEIGNHADITEGWEEQWLLGLLVIDTRGAENKKICIQCRCDQFNLPANFYDVTVGFHGKVTHEYKAGVLCCQDKFQCKMIKGFQAPRRNLAIRYNITWVNWDQHQIPVRFYVLDVTDRVTNNGSETIHDCQDGRTLCTSTPKYGTGTEAGNEEGYLVGMSVCYPKLGSMKIDDGETVTVESIYKNEFLPEVMGDMHFYLADELPHKA >RHN67198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24819545:24828485:-1 gene:gene15356 transcript:rna15356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MQQASLPLSLGNVCSFTNAIFLQARSNSTPKKAKDGAHKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPISQAAANQRAGRTGPGTCYRLYTESAYLNEMLASPVPEIQRTNLGNLVLLLKSLKVENLLNFDFIDPPPRDNILNSMYQLLMLGALNNAGRLTELGWKMVEFPLDPPLAKMLLMGEHFGCLEEVLTIVSMLSVPSVFFRPKDRAEESDAARERFFVPESDHLTLYNVYQQWKQHDYRGDWCNDHFFKLKV >RHN43317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44883411:44892022:-1 gene:gene49868 transcript:rna49868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S1 MGSLPLTSKSSFFITTTQFPFFSSSSNTRRRTTTTTTTVFLNQRKNYKVFASSSRDEDKNTPQLDNYDLMELKFGRLLGEDPKLTLAKVLGRKANPDASYLDIEKSFYKRKKGKVVDIEELPFEVERPWAKPKPNSDDSDNNVEMEIKKPNQALRKPVNVTKSNVPIVILRKPSLYNEDKDGDEDVDMSSRLRMKPNFSLKMQSGQVKEKFSDMTLLRKPGSLIGKNVESELKTRKEEPSYEVSNLTLLEQPHRPGCNKEVEKSAKPSDEVSKLTLLEQPHRPSCNKELEKFAEPSDEVEKLTLLEQPHRPSSNKELEKFAEPSDEVAKLTLLEQPQRASSKEEEQFGEPSDEVSNSTLLEKPQSASDKEEEQFEEPSEEVANLTLLGQPQRQSAKEEEEEEEQFGEPSDEVTNLTLLQQPQRAGDKVEEEKFGDVRVVVPIDGSEQQKQRQSEVHQEQFDLNQPSDLNSVGSKTELSVEAAIQGKPKRLDPYEKQTSKSVGEETASLDPESRRNSDESGNLVDVLDILEGEDTDWTKAEDLIKTGDRVDVDVVSCNVKGFVVSFGTLVGFLPYRNLLSKWKFLAFESWVRQKGLDPSMYKQNLVTVTNYDAENSNFSADSPSHMENDGKLEDKISPDMKLEDLLKIYDQEKIKFLSSFIGQQIKAYVLLSDRKLKRLIFSLKAKENEELTEKKRNLMARLQVGDIVKCRIQKITYFGIFVEVEGVSALIHQSEISWDSTLDPSNYFKIDQAVEAKVHQINSALGRIFLSLKEVTPDPLMNSLESVVGDHEPFDGRLEAAQTDVEWSEVESLIKELQKIEGIQSVSKGRFFRSPGLAPTFQVYMASIFENQYKLLARSENKIQEVMVQTSLDKEMMKSIIMTCANRVE >RHN78685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17468085:17469228:-1 gene:gene2322 transcript:rna2322 gene_biotype:protein_coding transcript_biotype:protein_coding MHLALNDLNPQVMITKSCLKTIYLMNINLKFIILFNHMLGTLIQSLKLLLEFFSCEEDVKKLEFAYNFMEYGSYNHNRYTSLVYSYYTCDYMLYIVSVVDFTLQIYSNSIKRIIIQLIKAQIHYGGNFYSIFVHVPKNGKIAISNRTKRGVSLFLSLLLNLPPSTFILCDPFLACDLLVVGLARSKSSHPPKLGASRLPLSTEPLFSTTHDCIPAFLVLVFWFSSGSSSTRLSFTFRRFLFILYLRFGLLSVRPHNFSNASASAD >RHN78846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19133308:19133802:-1 gene:gene2507 transcript:rna2507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ion channel, cNMP-binding protein MLWKLYKSNYIINFRARRVLRFCRHHNLSAYIRDQVSTHLKSKYVSKLKPSEEHGIFKSLPRPIPGMIQQYLYNNYVMRVCHLFEGVSNAIHMRLVHEMEPIIFMANSTVILQDESPTCLYFVIRGSFVSVYISI >RHN52314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33827641:33832037:1 gene:gene36976 transcript:rna36976 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVDVVYQRFLFHLLKNSYMESKMNSFVVDHEKYKQEENQEELELQHKEGGVHEHDHQEENDEILKTRISNHPLYELLVQAHLDCLKVGDISNLEIEKSDKKQTLKKQNLDMLSQSELDLFMEAYCLALSKLKEAMKEPQQNSMAFINNMHSQLRELTQATSSSSEPDATTSSSECTFRRNPSI >RHN63954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55328234:55328548:1 gene:gene26719 transcript:rna26719 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYKVQSSWTKVLILSLDVNPSRYFIPICSTKSGDIIGRDDKTGRLKYNHKGQLLENASLWDDPLLSTGSQFVVYTESLFHSLVTTCKTKKIEILKYSPALFY >RHN79029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21359836:21361175:-1 gene:gene2712 transcript:rna2712 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGERIAKVAKAKSLKESRCRAMNLVLPIQCKQDSPFQLRALHL >RHN59129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7765650:7769425:1 gene:gene21119 transcript:rna21119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyridoxal phosphatase MAYEDRFGQQAQRSKYDCLLFDLDDTLYPLRAGLAKSVLQNIKDYMVEKLGIDSSKIDDLSNLLYKNYGTTMSGLRAIGYDFDYDEYHSFVHGRLPYENLKPDPILRNLLLSLPYRKLIFTNADKVHAVKALSRLGLEDCFEGIICFETLNPIHKNSVSDDEDDIEFVGSTSTSNSASNTQIFDIINHFAQPNPSLVVLPKTPIICKPSEFAIELALKIANINPQRTLFFEDSVRNIQAGKRVGLDTVLVGTSQRVKGADYALESIHNLREAVPELWENDIKSEVAYPGKLTSVTA >RHN42982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42351039:42357378:-1 gene:gene49498 transcript:rna49498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSSISFSTPSSSYYPFPKIHYPPYITIPTRISCVSNPTRINRKQTTDQSETQELVRLLTRKISDKEPLLKTLNKYVKLVRTEHCFLLFEELGKHDKWLQCLEVFRWMQRQRWYIADNGVYSKLISVMGKKGQIRLAMWLFSEMRNTGCRPDTSVYNSLISAHLHSRDKSKALVKALGYFEKMKTTERCKPNIVTYNILLRAFAQARDVNQVNYLFKDLDESSVSPDIYTFNGVMDGYGKNGMIREMESVLVRMKSNQVKLDLITYNLLIDSYGKKQQFDKMEQVFKSLSRSKEKPTLPTFNSMILNYGKARLKDKAENVFQNMTDMGYTPSFVTHESLIHMYGLCGCVSNAVELFDQLIESKVPIKVSTLNAMLDVYCINGLQQEADSLFTRAKSIKIFPDATTYKLLYKAYTKANSKELLDKLLKQMDKDSVIPNKRFFLDALGAIGSSTEKSGSANAGTGSSRPQKFVKTQLET >RHN70754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53757334:53763737:-1 gene:gene19369 transcript:rna19369 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative centromere protein O MRFVETESDAEESMRKRLRSNSFHSADYPSRNKFEQYAGRTYAPMGEMNFMQQQDDDSRLDITRAKFGSLLKRHENLADRLSRDSDKVIFERLIKEFEAARASQTQEIYLDGEQWNDGLLATVREQVHMEAERKALSGDADVLTGHQEKVTYKTGNKIICCLEGARIGIQYETSFAGEICEFYHCVLESKSFLEKMTVLEHTVPFFLPIRETENDLLSSNAMKFIDHVGDLLQAYVDRREQVRLIKELYGNQIGELYHSLPYHMIEFVLDDFDCKVTVSLRYTDLISVLPTRISVLAWPMLKINSTPIFNMMEDGISGSHPAPVRLSYAEDALRTMSLPEAYAEIVLNLPQALQQIYH >RHN74943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38015896:38019920:-1 gene:gene11080 transcript:rna11080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ALOG domain-containing protein MSNKGKDIVEGSSRSSIGDDQNQQQQQQIPLSRYESQKRRDWNTFGQYLRNQRPPVALSNCNSNHVLEFLRYLDQFGKTKVHLQGCLFFGQTEPPGPCTCPLKQAWGSLDALIGRLRAAYEENGGLPETNPFASGSIRIYLREVRDSQAKARGIPYKKKKKKRVAIKHNGDTSNLPMQ >RHN62651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44952178:44955467:-1 gene:gene25246 transcript:rna25246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine alpha-hairpin motif superfamily MARRSSGGRSARPAPRAAPRPAPVNHAPPPANPQSGGGGMLSGIGSTIAQGMAFGTGSAMAHRAVDAVVGPRTIQHEAVVNEAAAAAPAPTSSSFGSDACNIHSKAFQDCINHYGTEISKCQFYMDMLAECKKNSGSTMSM >RHN74752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36379721:36381242:-1 gene:gene10861 transcript:rna10861 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVIFAKYLITRATMMKRVTIICNDSIEAAENLLSVPKASGDLICNDSMQVTNRMSDTICGTVIDCR >RHN76191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48426137:48436625:-1 gene:gene12477 transcript:rna12477 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVVPACGNLCIFCPSLRARSRQPVKRYKKLIAEILPRNKVAELNDRKIGKLCEYASKNPLRIPKITENLEQRCYKDLRNESFGSVKVILCIYRKLLSSCREQIPLFASSLLGIIRTLLEQTRADEVRILGCNTLVDFIIFQTDGTYMFNLEGFIPKLCQLAQEVGDDERALLLRSAGLQTLSSMVKFMGEHSHLSMDFDKIISAILENYVDLQSKSNLAKVEKLNSQSQNQLVQEFPKEEAHVSSMLNVATGFEIESKLDTAKNPAYWSKVCLYNIAKLAKEATTVRRVLEPLFHYFDTENHWSSEKGVAYCVLMYLQFLLAESGNNSHLMLSILVKHLDHKNVAKQPILQIDIINITTQVAQNVKQQASVAVIGAISDLIKHLRRCLQNSAEATDIGNDAHTLNTKLQSSIEMCILQLSNKVGDAGPIFDLMAVVLENVSSSTIVARTTISAVYQTAKLITSVPNVLYHNKAFPDALFHQLLLAMAHPDRETQIGAHSILSMVLMPSVVSPWLDQKKISKKVESDGLSIQHESLSGEDPLNGKPVEEKDLRSLRLSSHQVSLLLSSIWVQATSAENGPANYEAMAHTYSIALLFTRSKTSSYMALVRCFQLAFSLRSISLDQEGGLPPSRRRSLLTLASHMLIFSARAADFSDLIPKVKASLTEAPVDPFLELVDDNLLRAVCIKSDKVVFGSVEDEVAAMKSLSAVQLDDRQLKETVISYFMTKFSKLPEDELSSIKNQLLQGFSPDDAYPSGPPLFMETPRPGSPLAQIEFPDVDEIMAADDLIDEGSGTELSGSQSDRRTSLSTNRPDVLGVNQLLESVLETARQVASISTSSTPLPYDQMKNQCEALETGKQQKMLTIRSFKNQQETKAIVLSSENEEVSRQPVKALEYSKGDLKLVTQEQFQAQDQIRFRSQDTRKQHSLRLPPSSPYDKFLKAAGC >RHN38849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2429053:2432609:1 gene:gene44818 transcript:rna44818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MQGFIALALILGFVSPCLCTRMNVGTENAIYNVIQYGARGDGITDDLQVDAFVSAFSSACKAAGMSTLIIPAGKKYMVSKANFSGRCSARVLIQLEGQIVAPSRAAWKSKLYWISVEYVDELTIDGNNKGGFHGGGPTWWQCPTCDRPVMLFFHSCNGLNVRNLRILNSPRSHVAVTMCNHSTFSHISINSAATSPNTDGFDISRSSNVLIENSMIRSGDDCIALNSGSFFINVTRVTCGPGHGISIGNLGRSRSNDQVSDVYIRNCTFIGTTNGARIKTVPGGSGYARQITFEQVILSNVMNPIIIDQGYKISPTDTSVRVSSVTYRGFTGTSASKIAVNLNCSSSGCFDILLDQNNIVATQAGKMTSFFCRNAHGTVRNTFPNVSCLSN >RHN72961.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12162733:12168623:1 gene:gene8730 transcript:rna8730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGVPKQKWSEEEESALKAGVIKHGVGKWRTILKDPEFNHVLYLRSNVDLKDKWRNLSVMASGWASREKPKGAMKRVHYQAPRHEDNSMAVTPFFLSDDEIVDVQPLQVSRDMLQISGPKSSSIRLDNLIMEAISSLNELGGSNKTTIASFIEDHYWAPADFKKLLSAKLKYLTSRGKLIKVKRRYRIAPTPAYSDRGRHPSTLLLEGRQKGSMKFYRDESNIPTKSEIDLELEKIRSMSAQEAAAYAARAVAEAEALMAEAEEATKEAEAAEAEADAMEAFVEAERKRLKEKNTQRR >RHN42588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39535507:39536187:1 gene:gene49045 transcript:rna49045 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRTLKTFFQFFSTFLFIYHATATTLPSDIHDLLPDYGFPKGILPNNIASYTLSPSGYFTLHLQSPCYVRFSGQLVYYDTLVTGTLTYGSVSGVSGIQAKMLFIWLPVTGMEVDSPSGMLQFFVGALSKKLPANQFQDVPGCSSKACKDSATKYFVDLIV >RHN65481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2550631:2560502:-1 gene:gene13324 transcript:rna13324 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MDIDLRLPTGEHDKEEEEETTTLDNMLEGEEKLHNGGMDDRHMVEAGIEVHALNGGDLNSPTVDIAMFKEDTNLEPLSGMEFESHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQNKQESENSTGRRSCSKTDCKASMHVKRRQDGKWVIHSFVKEHNHELLPAQAVSEQTRRMYAVMARQFAEYKTVVGIKNEKNPFEKGRNLGLEFGEAKLMLDFFIQMQSMNSNFFYAVDLGEDQRLKNLLWIDAKSRHDYINFCDVVSFDTTYVRNKYKMPLALFVGVNQHYQFILLGCALISDESAATYSWLLQTWLKGVGGQVPKVIITDHDMTLKSVISDVFPSACHCICLWHILGKVSENLAPVIKKRENFMAKFEKCIYRSLTSDDFDNRWEKILDRFELRQDECMQSLYEDRKLWAPTFMKDVFLGGMSTAQRSESVNSFFDKYVHRKTYVQDFVKQYETILQDRYEEEAKADSDTWNKVATLKTPSPLEKSVAGICTHTVFKKIQAEIIGAVACHPKLDRQDETNVVHRVHDMEINRDFFVVVNEVKSEVSCICRLFEYKGYLCRHALVVLQYSGHSVFPSQYVLKRWTKDAKVRNVTGEESEHMLARVQRYNDLCHRSLKLSEEGSLSQDSYSIAFHALNEAHKSCVSVNNSSKSPAEAGTSGAHGQLSIEEDTQSRNMGKSNKKKNPTKKKKVNSEAEVMTVGALDNMQQMDKFSTRTAVTLEGYYGAQQSVQGMLNLMGPTRDDYYGNQQTLQGLGPMSSIPTSHDGYYGAHQSMPGLAQLDFLRTGFTYSIRDDPNVRGAQLHEDPSRHA >RHN52935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40499692:40505973:-1 gene:gene37677 transcript:rna37677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RanBP2-type MSSTSPSQSPPSHHHHHQPLLSSLVVRPSLTENSPPGAAAHSNDYEPGELRRDPPPVNYSRSDRYSDDAGYRFRAGSSSPVHHRRDADHRFPSDYNHFPRNRGFGGGRDFGRFRDPPHPYARGRPGGRPFGRAFDGPGYGHRHGRGEGLHGRNNPNVRPREGDWMCPDALCGNLNFARRDFCNQCKRPRPAAAGSPPRRGSPPLHAPPRRYPGPPFDRSPERPMNGYRSPPPRLMGRDGLRDYGPAAAALPPLRHEGRFPDPHLHRERMDYMDDAYRGRGKFDRPPPLDWDNRDRGRDGFSNERKGFERRPLSPSAPLLPSLPPHRGGDRWSRDVRDRSRSPIRGGPPAKDYRRDPVMSRGGRDDRRGGVGRDRIGGMY >RHN44776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8384112:8385026:-1 gene:gene38937 transcript:rna38937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MAFTTSTLAEKKNKVLFILGATGTGKTKLSISLGTHYPAEIINSDKIQVYKGLDIVTNKVPESERCSIPHHLLGIIDDPEYDFTMNDFCKHVLESLDLIIGNEHLPIIVGGSNSYLKKLVEDPSIAFFSKYDCCFIWIDVSLPTLFQYVGKRVDEMVEAGMVDEIREYFVPRADNTKGIRRAIGVPELNSFFEIEKKNGIDDAQKEKILKEAIGKTKHNTCILVENQLLKIHNMADMFGSMVYRIDSTKVFEALLRGEDYKHLHQEIVIKPSKEIVKRFLEETTDGFGYEKYSNENGKHAPNDV >RHN42420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38219954:38230025:1 gene:gene48858 transcript:rna48858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, C3HC MREEVISSGGTVDPTTAASSAGASSPTVPMNVGSIDGSSHGQGSKAASLSCVGSQPPWTSISTSVGGSAFGSSRSSCRPWERGDLLKRLATFAPLNWSGKPQVIDSLACAQKGWMNIGEDKIACESCGACLSFTSLLSWTVAEAQDASESFARQLDSGHKANCPWKGNSCPESLVQFPPTSQSALIGGYKDRCDGLLQFHYLPVVAISAIELMRVSRGPQIERFLSQSQNFMSGTDFKPENISELESSQDEAYCSFTRAQKLISLCGWEPRWLLNVQDCEEHSAQSERNGYSFGPSKTQLRLAQDPGPKAVSASTKMDPRKGKEPFKESSLEYRSPMLDCSLCGATVRILDFLTVPRPSRFAPNNIDNPDTSKKIGLTRGGSAASGINGWIAADDAEKDQTEDRDEVATTNEGKSLANTDLDLNLTMAGGFRCTPFGRTATSENMHDVDMGRDLMIGQPSGSEIGGRAASYESRGPSSRKRNLEKGGSSDDRLVLRSQQQADSVEGTVIDRDGDEVTDGGQYSAGPSKRVRDSDIFDTYCSPLQRDSSGAGPSNSLGFEGYVTGNRVSSFHQGSDGLIGIQSARDSARASSVIAMDTICHSVNDDSMESVENYPGDLEEVHLPSSSTYGNVDMNETSELNNSNAAQQSTCLQTAPEVVRGEVGVSSTNYGEENFNAETVTAQARDGFSLGISGGSVGMCASHEAEIHGADVSVHRTNSVVGDMEHRVEDAENQGQTGESVPDPGLMDEIIPDDINREYPVGDSQEMMSHSAGRADSGSKIGCSTKAESVESGEKISQNCKLPPANNSHPSQSCNANIYSDCGTTKEEIMKDGKSSFTNNCALVGSDFATANRIGPPKGDNNYEEAVEFDPIVYHNQYCPWVNGNVAAAGCPSSFPGTGSDAIALCGWQLTLDALQSLGNAIPTVQSESAASLYKNDPQAPRKKLLHNHSMSRSHGQL >RHN70723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53460290:53462135:1 gene:gene19335 transcript:rna19335 gene_biotype:protein_coding transcript_biotype:protein_coding MYAPRISDELRQKVMSMLYVGISLDNILQHHAEVTQKQGGPLNRGDFLTRNDVRNMERTIHNSSRELLGNEECSVKIWIQRHQKDIFYFQDNSGSESFIVAIQTDWQLQQMLRYGSNSFISFHSAFGLKKLKYPVCSLLVFDSSQNAIPVAWIISSSFVGKDIHKWIVLLSERLRTKDPRWKPNAIFLDDPSFNYYIIRQLDVWINGIKSLPVTTPKPHDAMESYHLKLKSTLLKESHANFWSRVDWLIHTLTTEFHSLYWLDQYSLETGYFENLRDNSFSTNAWYHALHIPDVDVVLNEQNLHLAKILSQLTKTWCIQNILST >RHN82247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52310457:52311461:1 gene:gene6392 transcript:rna6392 gene_biotype:protein_coding transcript_biotype:protein_coding MVLILCRLHKNTLGKYIPLSAVLMLCMACYILPKTLYLYKEQW >RHN48278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46257184:46262074:1 gene:gene42979 transcript:rna42979 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome oxidase assembly protein MLPKRLSSFLKLSPKPHNSSAVKNVDKVPSTNFGRKAVSFVLITVTGGVALSALNDLAIYHGCSSKAMENASKNQAIIDAIGEPIVKGPWYNASLAVAHKRQSVSCSFPVSGPLGSGVLQLKAVRNGDDTWSSFFLPRDWNILIMDALLHVPGNEEKNRTLRINLSHKLSPSTACTECIACPSERPEAKLSSNQ >RHN80189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35978518:35984341:1 gene:gene4087 transcript:rna4087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynamin GTPase MVPCSITSPSYSSLLLPSSPLLFIPHTPFSRYPHLPFRRTLHSSPITSNNASRHFFPKTQAQAQPRTLFPGGYKRPELRVPTLILQLNSDQILTRGESALDLIDKAVSKSVGIVILTSDDEQSGGKLYEAACLLKSLIRDRAYLLVAERVDIAAAAVTSGVLLSDQGLPTVVARNTMLGSNSELVVLPLVARFVQTVDAAVNASKSEGADFLIYGGGGGDLELLNQEIGNVVDNVKIPIFASFMGKNLSYGEASSLLASGASGFVTSLESFGLFDDDFQRTLDDRRDKIDDDKLVNESNGLQSITEVVGGFVKLEDREKRLIEMERSVLNEAIEVIKKAAPLMEEVSLLDDAVSQIDEPFLLVIVTLGEFNSGKSTVINALLGERYLKDGVVPTTNEITFLRYNDLDIEKQRCERYPDGQYICYLPAPILREMTIVDTPGTNVILQRQQRLTEEFVPRADLLLFVISADRPLTGSEVAFLRYSQQWKKKVVFVLNKADIYQNNHELEEAMSFIKDNVKRLLNTEDVVLYPVSARSALEAKLMATSSFGKLNEELSVSGSQYGPNSFYELEKFLYSFLDGSTIAGMDRMRLKLETPVGIADRLISACETLVTQDYRCAKQDLAAINNVVNSVNDFALNMENESLSWRKQTLSMIESTKSRVVELVEATMQLSNLDIVASYVFKGEKNAAPATSRIQNDIIDPSVSSVQKILGDYENWLSAKNTQQGRLYKESFEKRWSSLIHENSQKNSETYELLKKGDQAGYQVIENFSSSAVSKSFEQEVRETILGTFGQLGVAGFSASLLTSVLQTTLEDLLALGICSVGGYIAISNFPSRRRRVIDKVKRKADTLANELEEAMKRDLTEAVENLDTFVRVIGKPYQDQVQNRLNKLVEIQEEISNIEKKLRTLQIDIQNLHVS >RHN57739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41401589:41409755:-1 gene:gene33322 transcript:rna33322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MEATTQSTSLRPYCPLCCRLSRPFSLSLSSCSSLVSLTPHRRKIKAIQIASNGLRNSTMHSNCVRADLLCTKLTSLGLNEHSNTGYKHNFPYKNPVRVTLMKFSNASASMLEEMMVEEEVNSSHSSEMQVRKVDVAPCNPELSTTATERKLAGGDNRGWTLAKNKLAEGKKEMNGTNRYERKSNGSHVSNRFASIGRRQGSSTDVVSNLVRQGHGEHVSSSVQYSVLNNSKMFTDSETVVHQFNESALEISEEKITKVNGDDVSEETAMDSTDVTFDRKARRTDQSKLRDRLCRIYEDILVVDNIPLAEEVVKMITVKYRHLIYACDTEVAKIDVKQETPVDHGEITCFSIYGGPDADFGGGKSCIWVDVLDGGGKEILEKFANFFSDPSIMKVWHNYSFDCHVIENYGFKVSGFHADTMHMARLWDSSRQLNGGYSLEKLSGDKKVMSRSQFNHEEDLIGKVSMKTLFGKKKVKKDGSEGKIITIAPVEDLQRDERIPWICYSALDAKSTLNLYESLKSYLLDMPWNFDGVPVSGKTMYDFYNEYWRPFGEILVRMESEGMLVDREYLEGIEKVAKVEQEVAVDRFRKWACRYCPDAKYMNVGSDLQLRQLLFGGTLNRKDSNLALPTERIFKVPNVDEVIEEGKKAPKKFRDMKVKSLGYTLKTEMYTASGWPSVSGDALKVLAGNISSDFDFTDEIYNLDDDHDDGDEHGNLSQNHIEVSKVDNSAYGTAFSAFPTEKEGREACHAIAALCEVSSINSLISNFILPLQGHNISGKDNRVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIAAPGNSLIVADYGQLELRILAHLANCKSMMEAFKAGGDFHSRTAMNMYPYIREAVEKKEVLLEWHPQPGEDKPPVPLLKDAFGSERRKAKMLNFSIAYGKTPVGLSKDWRVTVKEAKKTVDLWYNDRKEVLQWQEERKKEAREYHCVYTLLGRARRFPLMAQANTYQKGHIERAAINTPVQGSAADVAMCAMIQISNNKKLKELGWKLLLQVHDEVILEGPTESAEVAKSIVVECMSKPFYGKNILKVDLSVDAKCAQNWYSAK >RHN53437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1660396:1663134:1 gene:gene28344 transcript:rna28344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PDZ domain, rubredoxin-type MSAMLAGTSSATFTTRSNHSIKNTHIRPSKTLTPPCQKTSLLGLSLHEAKRGVSGSFLGDNKSGSSSIARKRLEITARTAGASKTIEAEVDKPLGLTLGQKNGGGVVITAVEGGGNAARAGLKSGDQVLYTSSFFGDELWPADKLGFTKTAINAKPDSVYFVVSRGGADVDVKRLPKRPAPPRFGRKLTETQKARATHICLDCGYIYFLPKSFDDQPDTYSCPQCQAPKKRFAEYDVNTGRAIGGGGLPPIGVIIGLVAGLGAAGALLVFGLQ >RHN53243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:339759:340176:1 gene:gene28133 transcript:rna28133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MERPVQFVSDVNDKKDFWKLVVKVKDKWTVVKAGKEHLEMVIVDAQGNDIQVIVPTKYKSMYEKIIKENYTYKLCNFHVLPNDFVFKAYEHKFMLKWTGETTAEYLIVRGPN >RHN39340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6220143:6222584:-1 gene:gene45350 transcript:rna45350 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSKILYENCTMSGEETETSSHKQEQFEKGDSSEGCSDVESSFNKAIKFKSTGNEGRPIESEAALHLSPVSTVEKSSDNKSSVNNYDRPDNCDETKNPEEKPNIQGEQIIVPETNDLISEPEQEKENAPITDLSKHEDGSDDDPFAELDSILLGSPESSPKATCSTSDVAVREALHNLESLLKNSLESILSDVELQQQLHMSFEYIKQASHENVSPNVVTLVQKMTSSVENLFEDFVMTKNVVDDHINAIQQKEKLMQRVTDAKKQKESKQKEKSQFEDEAKRVEEEVEKTDEKIRILVEQKKILELEKTKLKESMEKCEGEKKKVEDEAKNMITEGKELMASIKKSKSSYTAALSKQQKLKDKWEGFRVEFADNLGSSSS >RHN66355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11321982:11322293:1 gene:gene14321 transcript:rna14321 gene_biotype:protein_coding transcript_biotype:protein_coding MNACMHGYAKWIEYMVRVSSTTLESMVTTPFGKDYGLTRVGSKSPVKSPFLCSRFLDIKPLIDLNRQSLNSRFLFLGREKMSKNPYRDFGFGGWLCEGKVLAP >RHN46790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34917807:34918711:-1 gene:gene41318 transcript:rna41318 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAYNLRCALLRKVITDQFQSVLGHESNRRDELNATKEKLKIANDILGDMKKQILKVNKRLEEEQTALTQLEKKTENNKAFEEEVVGLKKSVDALKGKSAAKDMEIEDLKKRIDTLKGQSAAKDMEIEDLKKSINTLNGQSAAKDMEIEDLKLDTAFRYQDGFDKAIEQVHVLFPSLDLSEADAMKSVVDGKLV >RHN57989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42942364:42948736:-1 gene:gene33587 transcript:rna33587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FCP1 domain, HAD-like domain, mitochondrial import inner membrane translocase subunit Tim50 MSLQILRSRFFSVAASSSSKPFRFSKVTPQPSSRFSSTAAPATPHPPPSATPSPPPPSSATPPPSSATPPPPPPFSSTASPNRWNFLKYAAIGALTGTTAVAGYASYAYSTDEIDEKTKLFRDSTKYSPPDGATSLDKFQGMVYSTAVTVPAKAVELYLDARRFIEEHVKGYTEPYTDKLLPDLLPQEQHVFTLVLDLNETLIHYIWTRDTSWQTFKRPGVDAFLEHLAQFFEIVVYTDEQNMFVDPVIERLDPKHCIRYRLSRPATKYQDGKHYRDLSKLNRNPAKVMYLSGHALESCLQPENCVPIKPWVQTDKDDTALVDFIPFLEFVARTSPADIRPVLQSYQGCDIPSEFLRRLKLHKEKTGGQRRLWK >RHN61162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33562927:33563613:-1 gene:gene23598 transcript:rna23598 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSKVRPIKLSNNSYASSSINTSLQLQVTPNLQLDHGSWSLRGREFWYARRAFLNSYHLNLERENVSLKEKLKRLVKEVNEAAMRVALDMRRKMHKRRLGIRAYRVTMSLPSMFLVTMRCFMPWFNKKGEYVM >RHN41020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25985046:25985835:1 gene:gene47292 transcript:rna47292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MLLNQVYVEAYTCSCIRIHPFDPVFVAQSNGNYVAIFSTTPPYRLNKYKRYENHGVSGFPIKCNFSLDGKKLVSGSSDGSIYLYDYQSSKVLKKIKAFNQACMDIAFMLMGWKYFGI >RHN80110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35186466:35192389:-1 gene:gene3995 transcript:rna3995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal recognition particle, SRP9 subunit MVYITSWDEFLDRSVQLFRADPDSTRYVMKYRHCDGKLVLKVTDNRQCLKYKTDQAQEAKKMEKLNNIFFTLMARGPEVDLSEVTGKEQTDAQPIKKGRGRKQ >RHN39579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8395304:8396854:-1 gene:gene45617 transcript:rna45617 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSVLIHVHVPLLQPETRKSPVGDQIHSHHNALVVLKNCSSLSTKTSHLSRIEIQRYENLKRK >RHN82555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54724853:54725811:-1 gene:gene6728 transcript:rna6728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MVITNFFNNCTFILSILILLCSFLLNCVMASVYAVGDQDEWSSQTNYATWAERYNFSRGDVLVFKYVKGQHNVYEVREETFRSCETSSGVLAKYESGEDEVVLNKVKKYWFICNIAGHCLGGMRFGIEVKEVSNNGTDFMDGALNPQIQPTPSQNSCISQRWRVIGKFVPFGTGLLLLNLYF >RHN79427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28734048:28734622:1 gene:gene3217 transcript:rna3217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MSPSELTDPTQFLFFIFKKLGPSYNIKQGIVTSSIQYYVQGYVIKATGPVFVTAFTPLRMIAVTALACIFLKEKLHLGSIVGGLVVVIGLYLVVWGKSKEQRAMASDESQGQQQLPIIVPRIDVSSG >RHN45097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11742486:11744930:-1 gene:gene39300 transcript:rna39300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MTYWSYEAIREISHQNAKNIDKQKWRHQAGPISFAVIRERLRATKDDREPPTQAEVFIETRQSKRGKQLDQVTSDAITNLQDLITNSDHSSVEAFQTVFGKEKPGRLRCYGRVTTSSLLKRNKVADMEKKHAAEVKSLTDKVQEMETIHRKDMAAMEEKLQVLLRVMLNQSNTGIDMGDLGAFLSTRNDDNNVRHSSTSNHESVMKLTVKTLKGSHFEIRVHPSDSIMAVKTTIEDIQGKDNYPCRQQLLIHNGKVLKDETTLADNEVSEDGFLVVMLSK >RHN48664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49335616:49338229:1 gene:gene43405 transcript:rna43405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Yip1 domain-containing protein MEKEFKVPPVSFASVGNPAGQNLQNRRVPIPPFQPNSGIPFMSFDIGSAAVSTSSGPIYSGPGIGGGGSVNFDDEEPLLDELGIHPDQIWSKIRSVLNPFRVNHTVHKDSDLSGPILLYMAFCLFQLLAGKIQFGVILGWIVVSSIFLYVVFNMLAGRTGNLDLHTCTSVVGYSMLPVVIFSAISLFLPQGGFFGLAIAAVFVLWATRASTGLVVSLTDGGDEHRGLIAYACFLIYTLFSLLVIF >RHN59492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11226508:11235871:-1 gene:gene21523 transcript:rna21523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pseudouridylate synthase MTSSAALRINNIRRHFDSDNTVNKPTEVNAAGASSINVKVASEVSEALSLGRAVVALESTIISHGMPYPQNLQTAKEVEGIVKKNGAVPATIAILDGVPIVGLSGEELERLATLGPRAQKTARRDIAYVVARGGNGATTVSATMFLASMVGIHVFVTGGIGGVHRHGEYTMDISSDITELGRTPVAVICAGVKSILDIPRTLEYLETQGVCVAAYKTNEFPAFFTASSGCKVPCRVDTPEECASLIEANIKLKVGSGILIGVPIPQEQSASGHIIESAIKKALEEAREKNISGNAQTPFLLDRVNALTGGASLASNIALVKNNALIGAKVAVALAPCSAK >RHN73451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16565936:16566454:1 gene:gene9266 transcript:rna9266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MTILTSKILLQLLLIFSTTRFPIIISTNDQSLVKCHDKDKEILLIFKIGILDSSDCPTSTWSTNTDCCIWEGVTCDNATGRVTELDLNDSRLKGQITLSVLELEYISHVNLGLNDFDAIIIPINIHNITHSSKLVYLDLTIMQKFGAAKKKSINLGTTPHMDNLDWVSPFSC >RHN70026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48118846:48120983:1 gene:gene18566 transcript:rna18566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRSPCCEKEHTNKGAWTKEEDERLINYIKLHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEQEDDLIINLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIKRKLYSRGVDPQTHRSLNDSTTSTTIIPPANAAVSISQIPTSNIKNMIIDDISIISRNKNNFQLVSGYANTKINGTKLVCEDSNSNNSSGVSSEEAGHHQLNLDLSIGLPSSHPQINDEKLKLQQQQGDDEQQETKVITSHGVCLCRNLGFQSTQVCCCNKALGNTVATNVTDNNIYRFYRPMNI >RHN61907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39245016:39245231:1 gene:gene24420 transcript:rna24420 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQISACHLSIAAQGEGWIPFGCCNPQGCGHLPIVYQQI >RHN81492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46414581:46417632:-1 gene:gene5541 transcript:rna5541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine N(alpha)-acetyltransferase NatB transcription regulator GNAT family MRSIPLGTSVSPKPINTSKISSFNTYFKKSTTFPSWVSPSSHEFSFLTPSQSGLCRASQVVDLFSTVSPEITVREARIEDCWEVAETHCSSFFPEYSFPLDFVLRMDRLVAMLAGFSLPNGCKRTCLVAVIGSSLDQTFLFGSDDFKIAGFDGKLSLNKGYVAGILTVDTVADFLPRKGPLRQRRTGVAYISNVAVREKFRQKGIAKQLVAKAESQARSWGCRAIALHCDLRNPAATKLYQGQGFKSIKVPGGANWPQPKTSPDVKFNFMMKLLNKSAVS >RHN44390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4158236:4162397:-1 gene:gene38507 transcript:rna38507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEQIPYGVAASLIDRLASAAFREFGRIYGVMHQLERLKSTVQSIRAVLLDAEEKQQQNHGVQNWIRRLKDDVLHPADDLLDEFVIHDMRHKIEEADKNKVTKVLHSLSPNRFAFRRKMAHEIEKLQTKFNDVVNDMSGLNLNSNVVVVQQTKSVRRETSSFALESDIIGREDDKKKIISLLMQPHGNQNVFVVGIVGIGGLGKTTLAQLIYNDVEVQNSFERSMWVCVSDNFELKAIMKKMLESLTKNKIDDALSLENMQNMFRDNLTGKRYLLVLDDIWNESFEKWAHLRTFLMCGAQGSKIVATTRSKTVSQTMGVIDPYVLNGLTPAESWRLLNNIITYGDESKRVNQTLESIGKKIAEKCTGVPLAIRTLGGLLQGKSEECEWIDVLQGDFWKLCEDEESIMPVLKLSYQNLSPQLRQCFAYCSLYPKDWDIEKDELIQLWMAHGYLECSTIGNQFVNILLMKSFFQDAIYDVHGDVNSFKIHDLIHDIAMQVSGNDCCYLDGGTKRFVGNPVHVMLQSEAIGLLESLNARKMRTLILLSNNSESMNEKELFVISKFKYLRVLKLSHCSLSELCTSFIKLKHLRYLSLCDCERLESLSKSISGLVCLQRLILKACKKVEISTKDVSKLINLKHLDIGEVKVLEEKKATSIFRKLGIGGRYNGAIFSNWISSLENIVEITLYDCKGLKYLPPMECLLFLKSLTIRSLHELEYIYYDEPCSPETFFPCLKSLFIWKCNKLRGWWKMSDDVNDDNSSHSQNLSIPPFPPSLSNLIIIKCRMLTRMPSFPYLNKILEFYSSNMETLEATLNMVNSKCSIEFPPFSMLKDLTIGKVYLDVKKLPENWVRNLSSLEHLSFMKLPNQTFQEIGIWFKEEISYLPSLQKIKFWHCSDLMALPDWIFNISSLQHITIADCINLDSLPEGMPRLAKLQTLEIIRCPLLIEECETQTSATWHKISHIPNIILKRSSY >RHN45500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21568286:21572256:-1 gene:gene39850 transcript:rna39850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MDFGGNMFCLNNRDQSNTNNNGNSNGFTWCSSGSTTTGTAWNMNTFNVNEVNNSVSAANRTEAGLANALMYLPQNEGGGRQYQSAYGGGVSRGHMMPDPHLTCLKLGKRHYFEDVSGGGGVMGEKKGKGGYCGGGKTAAVGGYTAVTRCQVDGCNVALMNAKEYHRRHKVCEMHSKAPKVVVLGLEQRFCQQCSRFHVVSEFDDSKRSCRRRLAGHNERRRKSSHDSVGRNSSQGGCALSLLSSRTDSWLSPADLSVRCSAALSELIAENRASIMARQYVSDRDWHLQHHAVEDYKEIQSESNYFPQHMFPQTH >RHN69009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40274492:40276833:1 gene:gene17435 transcript:rna17435 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylogalacturonan beta-1,3-xylosyltransferase MWHFNKIHSSLVTFSFIVFLVFFGIDSFDGAKWVSKMYVSTPHYSLNFQRIQKKHSGSTLSSYKEDEGRYKPMAYNGELNLGNKLPIRRSMQEDRNLEKVEGSLAKARALIKQALLRTNDTVLALPLEDSHDFVPQGDIYRNAFAFHRSYHLMEKLFKIFVYEEGEPPLFHYGPCKNIYSMEGIFINLLENNTLFRTRNPNEAHAYFLPFSVVMILEHLFHPVIRDKAVLGRTIGDYVHIISHKYAYWNRSYGADHFMLSCHDWGPRATWYVKELYFIAIRVLCNANISEHFNPKKDASFPEINLVTGETAGLLGGYPTWNRTILAFFAGKMHGKIRPVLFQHWKNKDKDVLVYEKLPEKISYHETMKMSKYCICPSGWEVASPRIVEAIYAECVPIIISQQYVLPFSDVLDWDSFSVKIEVSEIPKLKDILLGISEEKYMKLQEGVKQVQRHFVVNNPPKRYDVFHMIIHSIWLRRLNVVVK >RHN62752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45634952:45636704:-1 gene:gene25357 transcript:rna25357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ccc1 family protein MKRLCFLHATLSSFSFNLGILRFLYFMASLGNHNNNEVNDIEAKQNQTQDTEENNIDYSKRAQWLRAALLGANDGLVSITSLILGVGAVHEDIKTMLLAGFAGLIAGACSMGIGEFVSVYTQFDIMVAQMKRENKINSTFVEEEKQLLPNPFQAAIASAIAFSFGATVPLLGAALVRDYKIRLFVVVGMASFALLVFGGVGAILGKTSVKMSCVRVVVGGWMAMAITFGLTKFVGYSSL >RHN66720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17393314:17394598:1 gene:gene14780 transcript:rna14780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MSAKKNVSLFVPLMVLSMLMTTLYASQINDITCSEAISSLLPCLPFLEGSLPATPSTDCCAGATNLFHKVDTTKIQRKNICHCLKNTSTKFGVNSKRSKQLPQLCHINLSFSVDTKIDCNSMS >RHN68657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37514941:37520032:1 gene:gene17037 transcript:rna17037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP-dependent synthetase/ligase, AMP-binding enzyme domain-containing protein MANTNHTLMQSQSTTIDPNTGYCSHSRTFHSLRPIAPLPPPSEPLSLTDYALSLLSTTTAVSNNTTALIDAATDHHLTYPTFLRQIKSLSSFLQSHTTLSKGHVALILIPSSIHVPVLYFSLLSLGVTIAPANPLSSPSELSHLVHLINPVIAFSTSSTATKIPKLPLGTVITDSPSFLSVINSTTDTHTELRPVVTSQSDTAAILFSSGTTGRVKGVLLTHGNFIALIGGFCFMKHAYDDGIEDEPHPVSLFPLPLFHVFGFFMMVRALAMGETLVLMQRFDFEGMLKAVEKYRITYMPVSPPLITAFTKSELVKKYDISSIRLLGSGGAPLGKEVAESFKAKFPNVEIVQGYGLTESGGGAARMIGFDEAKRHGSVGRLAENMEAKIVDPVTGEALSPGQKGELWLRGPTIMKGYVGDDKATVETLDSEGWLKTGDLCYFDSDGYLFIVDRLKELIKYKAYQVPPAELEHILHTNPEIADAAVVPYPDEDAGQIPMAFVVRKPGSNITAAQVMDYVAKQVTPYKKIRRVSFINSIPKSPAGKILRRELVDIALTSGSSKL >RHN74672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35656609:35663406:1 gene:gene10779 transcript:rna10779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MADESQKAVANDFVNSKEVEKPQIQPLSLPTVEEIRGQDIWNNCAVRSVVSGVMGGLGIAMGLFLGALDNPMMQEQMTGKQQFIFQAKQMGRRSWSSAKAFAVMGFVFSAAECVVEKARAKHDITNTFVAGCTTGAAISAKGGPQAACMGCAGFAAFSVVIEKFLERHQ >RHN65650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4104178:4107530:1 gene:gene13517 transcript:rna13517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Trihelix family MNEKIENKDQIQQNQESPRKLQNNIIGSGNERLKRDEWSEGAVTTLLEAYESKWVLRNRAKLKGQDWEDVAKHVSSRSNSTKSPKTQTQCKNKIESMKKRYRSESASSDVSSWPLYSRLDLLLRGTGQLSTTTLNNNQAGLVLLEPSSLVMSHAQEEVHQPLVPPPITTAQNSHGSNGVDKLIKEDEIETKSSDHIANKNPLETDSSTPALYSEKDEQRSKKRRMRTENNNNKRQKKETMGIAESIRWVAEVVVRSEQTRMETLKEIEKMRVEAEAKRGEMEIKRTEIIANTQLEIARIFANVNNKDKDKDVDVDSSLRIGRS >RHN48864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50745614:50753545:1 gene:gene43627 transcript:rna43627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(A)-specific ribonuclease MLNVLRVHLPSDIPIVGCELTPYVLLRRPDKTVTTDDVSESAPLDGHFLRYKWYRVQSDKKVAVCSVHPSEQATLQCLGCLKAKIPVSKSYHCSPKCFSDAWQHHRVLHDRAASAVNENGNEEEEVFGRFNNSGSGSINTSLSSSASSANLTNGSATVYPTTVSQRNGGETWFEVGRSKTYTPSADDVGHVLKFECVVVDAETKLTVGHTNTILTSRVIPAPSPIPRQLIPVDAMGSLDVDGRITSSGTFTVLSYNILSDSYASNDLYNYCPSWALSWPYRRQNLLREIVGYRADIICLQEVQSDHYDEFFAPELDKHGYHGLYKRKTNEVYNGNINTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDAMIPTTQKKIALNRLVKDNVALIVVLEAKVNNQPVDNPGKRQLLCVANTHVNVHQDLKDVKLWQVHTLLKGLEKIAVSADIPMLVCGDFNSVPGSAPHALLAMGKVDPSHPDLAVDPLNILRPHSKLIHQLPLVSAYSSFARTVGLGYEQHKRRMDSSTNEPLFTNVTRDFIGSLDYIFYTADSLVVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCCKNKPRR >RHN69800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46344337:46345366:-1 gene:gene18315 transcript:rna18315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MASDSSSSKWVMENGDIPHVLAVDDNIIDRTLVEKLLKNSSCKVTTAENGLKALEYLGLASGAEQKNTLENGGPKVNLIITDYCMPGMTGYELLKKIKQSSMKEVPVVIMSSDNIPTRINKCMEEGAQMFLSKPVKQSDVKQLIGQLMNLGRLQKN >RHN81075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43143769:43150124:1 gene:gene5070 transcript:rna5070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MAALSFSVASVVEDVLQQHGTRLKDLDLESRKSEEAALRRYEAAGWLRKMVGVVAAKDLPAEPSEEEFRLGLRSGIILCNVLNKVHPGSVSKVVESPVDSALIPDGAPLSAFQYFENVRNFLVAIQEIGIPTFEASDLEQGGKSSRIVSSVLALKSYSEWKQTGANGVWKFGGTIKPAITAKSFVRKNSEPFTNSLSRTSSINEKSMTSFTSDVESNKMSSSHSLGMLVRAILFDKKPEEVPMLVESVLAKVVEEFEHRITSQDEQTKTTSRSEMSQRDGAVAKFSMARKKVDNKIPMVTKKEEFIYKNHVADEESQRQLQKQQMLFDQRQRDIQELKHTVQTTKAGMQFMQMKFHEEFSNLGMHIHGLAHAASGYHRVLEENRKLYNEVQDLKGSIRVYCRVRPFLPGQPNHSSTVENIEDGVITINVPSKNGKGRRSFNFNKVFGPSAAQGEVFADMQPLVRSVLDGFNVCIFAYGQTGSGKTFTMTGPKEITEKSQGVNYRALSDLFYTANQRKDTFRYDVSVQMIEIYNEQVRDLLVTDGTNKRYPFKIRSNSQRGLSVPDASLVQVSSTNDVIELMNLGHKNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGAVLRGCMHLVDLAGSERVDKSEATGDRLKEAQHINKSLSALGDVIASLAQKNQHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEANAVGETISTLKFAERVATVELGAARVNKDGADVKELKEQIASLKAALARKEGNLEHSISGSSGKCRTAASERSPYHASQRAADIMDDPFGCRQPVIDVGNLELLSNTISRQRTQSFDFDETLTNSPPWPPVNSLVQNCVEDDKETGTGEWVDKVMVNKLDVNKTGNMLGCWEADNGNLSEEFYQKYLQDSSKVYSERSYNMFMRGNQFNIAGSDDTDDVDAATSDSSEHDLLWQFNHSKVTSVANGNESKGRRFVTKSAKSTELSKNSIHSSTAPSPSRKQTNGVAHRTPTRQPAPVDMKRKTGTRK >RHN75832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45437637:45441621:-1 gene:gene12086 transcript:rna12086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PH domain-containing protein MEKEENKPDIQKIGNEIEDTEPIELVLFQVPECYVYIIPPRMSAASYRADEWDVNKWAWEGILKVVSKGEECIIKLEDKNTGELYARAFLRNGEPHPVEAVIDSSRYFVLRIEENIDGRLRHAFIGIGFRERTEAYDFQAALHDHMKYLDKKKTAEEMEQHYQQSSSVDYSLKEGETLVLQIKNNRSGGNVKSKFFEQGANNSSEEKSEKKESIPCIKLPPPPPSPGSPVTPQKSPTDSPTKLSLEKSAEVETSKIVKEETEHEKSPENQSMQDVVDDDFGDFQAAG >RHN76689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:448963:449705:1 gene:gene54 transcript:rna54 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MELSRTIPKGADLGGKDPDHVVELCNEVRNLKSQSHFLHLVIFQDEQLIFFQVVQEGQSVLIFCSSRKGCESTGRHVAKFLKSFTVDINENNCEFADITSAINSLRKCPAGLDPVLEETFPAGVAFHHAGLTVEEREIVETCYRKDLLRVLTATSTLAAGVNPPARRVIFRQPRIGCDFIDGTRYMQMAGRAGRT >RHN68397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35135357:35136334:-1 gene:gene16742 transcript:rna16742 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFKICFGDVHVWNILLFSYAHCDLKYLNTFPMKNQVSGFSVSSLIP >RHN41605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31440946:31444152:1 gene:gene47946 transcript:rna47946 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRNSPKSVNFLSDFCRNLGTYSVLVGNSFSRAFSSDRAFLSVCLLSRSFGYLMPSTSSCTSTHTIIITKFSTSSIILHKVTNTLSCSFSFNLFFSRPKP >RHN43412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45635142:45639803:-1 gene:gene49983 transcript:rna49983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase PP1 MDGTVLDDIIKKLVSAKNGRTTKQVHLTEADIRQLCTSAKEIFLSQPNLLELEAPIKICGDVHGQFSDLLRLFEYGGYPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYKENFFLLRGNHECASINRIYGFYDECKRRYNVRLWKTFTDCFNCLPVAALVDEKILCMHGGLSPELKNLDQIRNIARPIDVPDHGLLCDLLWADPDKDLEGWGENDRGVSFTFGADKVVEFLEHHDLDLICRAHQVVEDGYEFFAKRKLVTVFSAPNYCGEFDNAGAMMSVDDSLTCSFQILKSSDKKGKVGFGNNSSRPGTPPHKGGKN >RHN60004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16368182:16370227:1 gene:gene22186 transcript:rna22186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVEVTKLVNIMLIFLTLFVVALSIDKEYTVCSLHSDCKAYVCQLPLKPECILLEYVPHFYRLTCSCV >RHN74653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35522730:35524964:1 gene:gene10758 transcript:rna10758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase 3 MANEVVLLDTWVSMFGMRVRIALAEKGVQYEYKEENLRDKSPILLQMNPIHKKIPVLIHNGKPVCESAIIVQYIDEVWNDKAPFMPSDPYERAQARFWVDYIDKKVYDTWRKMWLSKGEEHEEGKKELISIFKTLEETLGDKPFYGGATFGFLDIGLIPFYSWFYAYETYGNFKMEVECPKLMAWAKRCLEKESVSKTLPDQMKVYDFVVSMKKSLGLD >RHN54923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13567830:13570318:1 gene:gene30022 transcript:rna30022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetic acid glucosyltransferase MDIQPKKKSLPHVLIFPCPAQGHVNPMLKLAELLAIQNIYITFLNTKYIHNRLIQFNDDIQALLECYPKLQFKTISDFHSEEKHPGFGEKVGDVILSLSLYGKPLLKDIIVSEKISCIILDGIFGDLATDLAAEFGIQLIHFRTISACCFWAYLSVPKLLECNELPIKGDEDMDRIIRNVPGMENLLRCRDLPSFRKMDDSDTILDKAALLTQQSLKGNALILNTFENLESPALSQIRLHAPKLYTIGPLHHHLNTTKKTSSSSSSSSKSNFFEVDRTCMTWLESQPLKSVVYVSFGSITPMKGEEIIEIWHGLLNSKKPFLWVIRPNMVQEKGLLKELEEGTTKEKGMIVGWVPQEEVLSHKAIGAFLTHSGWNSTLESVVCGVPMICWPYFADQQINSRFVSDVWKLGLDMKDVCDRKVVENMVNDVMVNRKEEFVRSAMDIAKLASKSVSPGGSSYNNFQDLIQYIRSTSP >RHN80652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39791319:39794095:-1 gene:gene4601 transcript:rna4601 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MRMQKSLCLFLIMYIPILLNAQTKKDESLLLGCGLGDNGGKDSDGRQWNPDNKYLSGGNSITSKASFQDPSLLSEIPYMSARVFTSESTYKFPILPNKRYWLRLHFYPSVYNNLNPSDSYFSVTANGVALLNNFSSSITCQALSQAYLDREYSLAPLNSNVLNLTFKPSDKHNGAFAFINGIQLIQMPDLLLDSPSLVGYDDQTMDAKNLHFQTMFRLNVGGQFISPVQDSGLSRTWYDDTPYLYGASTGITNQAAKDVKIDYQTMPQYIAPHDVYSTSRSMGNNKDVNMGYNLTWMFQVDPNSMYLTRLHFCDYFYSKVNEIVFNVFVNNQTAQTGADVIGWTGSKGVPTYKDYVIYVQDQAGDDQLWLALHPSPDSKPEYYDAILNGVEIFKLNDTDLSGPNPQPSDMMIEHDNQEKTFQNKKNGHNKSFVIGGAAGGAAGFAIVAAICVAVHHRKKRAPGSYTNTTSSWLPLYGNSHTTGTKSTGSGKSTMGSGANLAMAQGLCRYFSLQEMKQATKNFDESNVIGVGGFGKVYKGVIDNGVKVAIKRSNPQSEQGVNEFQTEIEMLSKLRHKHLVSLIGFCEEDEEMCLVYDYMAHGTMREHLYKGNKPIDTLSWKQRLEICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDENFVAKVSDFGLSKTGPNMNQGHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEALCSRPALNPSLQKEQVSLADWALLNKRKGKLEDIIDPNLKGKINPESLNKFADTAEKCLSDHGLDRPSMNDLLWNLEFALNLQENPDGSTHKNTSHLNESEFEEVNLSHNNEMAAHYKNLSLGSEHDLSHHDSTENSAAIFSQIVNPKGR >RHN46320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30723285:30727320:1 gene:gene40800 transcript:rna40800 gene_biotype:protein_coding transcript_biotype:protein_coding MKTALNKENVVVFILVGVVLMCVEGKTKSSIDRGIKKKLIHNNLHYFISILFNK >RHN52976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40794583:40801264:-1 gene:gene37718 transcript:rna37718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MGTPNMKKYWYQPLIHYSINCNSILSLFDVCERGWSSKCKLTIISAIIYILNAIWSCKNSFRFKGEQPNMKSTITQIIANVSIAGNLTKLATGSTIRDFTVLKAFKVNTHYPNAPKITEVIWSPPILHWIKCNTDGAALGTTDQAACAGIFRNRNGESLGCFAANLGIENDFYAELMGVIFVVECAIKKRWTHLWIESDSKLANLAVKSSNIVPWQIKNRWLNCLHLMTGLTCMITHMYSEGNHCADKLASIGLTVDGFTWWSSPPVNIRADLVNNRLGLPYYKFSNFTLRAWFGPPSFLYLTFDFNIFWMRP >RHN79318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27558133:27564126:-1 gene:gene3094 transcript:rna3094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MEERKDGDIWCRETVPKVLKLVCSSTILNHTDLVSLILVSPFLYRTLLDSQPLWQSLNFRELNNAGNRLLAALSLPRYRHVKEINLEFARDVEDAHLILIKDKCFDSLQSLESLNLNVCQKISDTGIEAITSCCPQLKTFSVYWNVRVTDTGLLHTARNCKHIVDLNISGCKNISDRGVQLVADNYPKLESLNLTRCVKLTDAGLKELLQKCLSLQSLNLYAVSSFTDEAYRKICLLTRLTFLDLCGAQNLSDQGLQSISKCKNLVSLNLTWCVRVTDEGVIAIAQSCTSLEFLSLFGIVGVTDKCLVALSKSCSNSITTLDVNGCIGIKKRSREELLQLFPYLKCFKVHS >RHN76465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50567564:50572137:1 gene:gene12796 transcript:rna12796 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bicarbonate transporter MYIFFASALPVIAFGAQLSRETDGSLSTVETLASTAICGIIHSIFGGQPLLILGVAEPTILMYTYLYNYAKNKEGLGRELFLAWVGWVCVWTALLLFLLAIFNAAIIINRFTRIAGELFGMLITVLFIQEAIKGMVSEFEVPKEGDPTLDKYQFHWLYANGLLGIIFTFCLLYTSLKSRRARSWLYGTGWLRSFIADYGVPFLVVVWTALSFTVVASKVPSGVPRRLVAPLAWESASLHHWTVIKDMGKVSLEHIFAAFVPALMIAGLYFFDHSVASQLAQQKEFNLKKSSAYHYDILLLGFMTLLCGLIGLPPSNGVLPQSPMHTKSLAVLKKQLIRRKMVKSAKESIRKKASNSEIYGMMQAVFIEMDNDPNNHSVVKELEDLKDFVLNGEDKGDNNKSTFDLEKHIDTYLPVRVKEQRVSNLLQSLFVGVAVFAMPAIKMIPTSVLWGYFAYMAIDSLPGNQFWERILLLFVRPSRWYKLLEGDHASFVESIPFKHIVLFTLFQCVYFLVCFGVTWIPIAGMLFPLPFFLLITLRQYILPKLFSPNHLMELDAAEYEEIPGAPRLSFNISYKEVESVGVSYASDIS >RHN67008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22800665:22801377:1 gene:gene15137 transcript:rna15137 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHISQYFLLGILFIALVLTSGSTPGLFFCSSPSFCDSPPNCDARCRTAGYQSGVCTDIPGNSCCCV >RHN73692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18941288:18949821:-1 gene:gene9539 transcript:rna9539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MKAPSNGYLANSGEGERKAINSELWHACAGPLVSIPPVGSLVVYFPQGHSEQVAASMQKEADIIPSYPNLPSKLICMLHNVALHADPETDEVYAQMTLQPVNKYDKEAMLASDMGLKQNQQPSEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQEIVAKDLHDTTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKQQLLLGIKRSSRQQPALSSSVISSDSMHIGILAAAAHAASNNSPFTIFYNPRTSPCEFVIPLAKYNKALYTHVSLGMRFRMMFETEDSGVRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESTAGERPSRVSIWDIEPVVTPFYICPPPFFRPKFPKQPGMPEDESEIENAFKRAMPWLGDELGMKDTSSSVFPGLSLVQWMSMQQNNNQFSAAQSGLLPPSMLSSNAMHGNLNTDDPSKILNFQSPAALSAPSLQFNKPNLPNQVNQLQQPPASWSQQQQQQQKMQSLLPMPLNQLQQQQQRQQQLAGSQNLPQPQQQQPQLTQQSPQQPQQQQQHQQPCQNTTMNNGTIGSNQIPNQCVQQPVTYSQLQQQLLSGSMQSQQNLQSAGKNGLMMTSLPQDSQFQQQIDQQQAGLLQRQQQQNQLQQSSLQLLQQSMLQRGPQQPQMSQTVPQNISDQQQQLQLLQKLQQQQQQQQQQQPLSTSSQLLQSQLLPQQSQQMPQQPMSQHQPQQLGNNAFSMEKLLNNNYSSSPLMHPQQLPVNHLQNTQKSPTNTRAPSAFTDGDAPSCSTSPSTNNCQTSPPNPLKRNQPDTFGGPSMVETTNNLMQELQSKSDMQSKHELHGVKGPDKQKHKGAINDHMEASSGTSYGIDPGNIHQNFPLSNFYMDGDVHSQQPRSNIPFASNLDGLTPDPLLSRGYDSQKDLQNLLSNYGGAPRDIETELSTADISSQSFGVPNMSFKPGCSNEIPIADTGVLNNGLWSNQNQRMRTYTKVQKCGSVGRCIDVTRYKGYEELRNDLARMFGIEGQLEDPVRVDWKLVYVDHENDILLVGDDPWEEFVNCVQSIKILSSAEVQQMSLEGDLGYNIPITNQACSGSESGNAWRAQYDDNSAASFNR >RHN50570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8154292:8161118:-1 gene:gene34872 transcript:rna34872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein phosphatase 2A, regulatory B subunit, B56 MLKQILNRIPKKGSKSAENNEGGGGGTSTSSSSSNSVRSSSYGNSTASGVDSNSSIGGDILVQAMNSKLNLNGSLSASYEALPSFRDVPSSEKPNLFIRKLQMCCVLFDYTDPSKNIKEKEIKRQTLVELVDYVSSANGKFTDVMMQEIVKTVSINLFRTLTTPPRENKILEAFDMDEEEPSMDPAWPYLQIVYELLLRFVTSPETDAKLAKRYVDHSFVLRLLDLFDSEDPRERDYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIPMYHQQLSYCITQFVEKDCKLADTIIRGLLKYWPITNSSKEVMFLGELEEVLEATQPAEFQKCMVLLFHQIRRCLSSSHFQVAERALFLWNNDHIENLIKQNYKIILPIVLPALEQNARSHWNQAVRSLTINVSKIFSDTDPAFYEECMTKFREDEAQANDMKSKHEARWKRLEEMGGMKVAINEPVLVSPRTHATSGKAGSRPQLD >RHN62318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42589431:42594170:-1 gene:gene24880 transcript:rna24880 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNRARIEDDMLLTMFDPFDASKDATQDTIDERLAFLDAVHASSIGLECGKPPSSKILGAVFHMLRTEKSLQLIVASYKLLVDLEKHFPRAYLSGKDDSLSSSNSPPKLVVAEEAWSPLIIGLDNAAGVGGASDKQSGGPLDPSSFHLLIEELAKTLADSNIKATSMKYLQSLLLFQYLVIVLEGDFLPRNATMNWSLQRESLLNMLLGSRKMNYKSLMKYCMEVICLLCQLLENDLSKNVEVEKSSESDTGDIALSLALLEVLKNTRASIEKLLVMIMALDNSKKKADIEGNTSRSDGPRTPLVDIILDELAYCKDNIPLFLKAFSEPKWKLEIVVQYLWKYITKPSARTRRSNGVNEDTTFDGALKCFSNNTGTKSIIKKIGADVVQLLLAHGFQAQLSILSERNTNDNIGGDRNEGASALVDLCEAFISAFDSLISTDGDLEILSIGKEALFTAATVISIKS >RHN64344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58366413:58368203:-1 gene:gene27152 transcript:rna27152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MACLKKPLLNSPELQRTLQHPLLRPRTHILTVPFLLLSLFLLSVSYTTHSTRYSYTSTTPSLRLPLSLKRVLAHAPFTSYVVSEAQSNTFSSVTAAERTRGNPTYSDSQDLSSCDIFDGSWIQDDSHETVYQHGSCPFLDDTFNCFKNGRSDFEFLKYRWKPHGCQIPRFDGLKMLHMLRGKRVVFVGDSLNRNMWQSLVCALRASLKDKSRLYEVSGRREFRIQGFFSFKFKDYGCSIDFVKSPFLVQEWKVSRDDEVPQRDTLRLDMIQASKSQYYDADIIIFNTGHWWNHDKTKNGRNYFQEGNHVYDRLEVSEALQKALKTWAKWVDSTVDSTRTRVFFTGFSASHYKGGQWNSGGKCDGERQPITNESYLAAYPWTMGIVEDVIAEMKTPVFYLNITKMTDYRKDGHPSIYREPGFSDSKGRKMVQDCSHWCLPGIPDSWNELLYSTLLVAHTNFIASTNY >RHN69767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46127384:46131871:1 gene:gene18280 transcript:rna18280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MEQGKQGAMVLKGLPQQLQNPIDQIQTRYKHLENGFKLWLSKQSIAVEAAVVTTTGAAQGAAIGAFLGTLTGDASSAFPTPPPNASLNPQAMASLQQAQALAGGPLIQARNFAILTGVSAGITCVLRRLRGKEDVKSSMAAAFGSGVTFSLVSGMGGPNKVGNAVTSGLFFALFQGGVFQIGQKFSRPPAEDADYAKTRNMLQNLGLQNYEKNFKKGLLSDNTLPLLNDSALRDVKIPPGPRLLILDHIHRDQDLREKRGSRC >RHN43351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45094298:45098558:1 gene:gene49910 transcript:rna49910 gene_biotype:protein_coding transcript_biotype:protein_coding MGKELGLNLTGKPSEGLSPNTVLPPQQYCVNVKKRSKKGKSTGKGEVFTMKEDFAEIKFARFRSSSCKSRLSRSHALEGNNNIETRRVSMYQSSEEVKNTEKMGGRKKIEFSRSSSNISFSCSIVDSLCGSDDEDTKQRPSDTSQYSNYGSRSSACHEPDNSNGFIEICMDLDVKNKKSTTLEGRSSINLRSRSDKVSDSKMNGNFVPEKDKVHSLQKSLSAKVETSHMLSPSLKSDCSSKVRSTPVRKRMNHFIKSKSLRSPLSHISETNDEVKSNETANIICNRTYQKYFLNDLSNTGKHSDIISEFINREIKYSGIASSPVHLHGNLKFENKNGMPFFEFKVKCPEDVFVAKTWRVGNGFNWVYTFHSIDNKKKSNATGLEPHDFDKDSSIVAKMLVSSNLCSEIKDNVFDNSMMTEFVLYDLSHSRHSISSEKKSVCEQGAFKTRKASRVGSMEETFEMVEESLAIKNKLQESGDSKFFPLLPTESYSNLEVAAIILEIPFSKRESLKYKRGDRLCDKEYSNKSNLCAVVDQSRKSLHDRKVQEQLKVVIPTGYHGLPNAECKGPSSLLDRLRHGGGCDCGGWDMACPLVLLGNPSIQFAEDHSLIEDYQPLELFVQGAKESSPTFSMKMVEAGHYAVDFHAKLSTLQAFSICVAILHGTSVSSGPEHEKNQQLSHCSSLKMLLEEDVESFFKTITTEKKAVCKTPKGIPRSYVPNPPFSPIARV >RHN41971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34412422:34414766:-1 gene:gene48351 transcript:rna48351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MAASTQFARTLLFLVFFFFHSLRGLNSATFTIVNKCSYPVWPGILSGAGTAQLATTGFALQPGESNAVTMPTSWSGRIWGRTLCSTDPSGKFSCITGDCGSSKVECTGSGAIPPATLAEFTLNGANGLDFYDVSLVDGYNLPITVEPSGGSGNCTTTGCLVDLNGACPTELKVKIAAEEEGSDEKSVACKSACEAFGDPLYCCNGAYGTPQTCKPSSYSQFFKSACPRAYSYAYDDGTSTFTCSSADYLITFCSTHSKGSIKSGTGKLPFGVDIWAGHAHGHADKSTKGMSLVVAAVFMTIWWQLS >RHN48941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51277579:51279095:-1 gene:gene43715 transcript:rna43715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative meiosis arrest female protein MSLWHITFENLWKCVNPPLRGLPSHFPDAIWVRIEQFLASSSGRSAILASCNRYETLLILKRLCLGELVLGDVLKILEIIITIKKWIIPCHSRWQPITISLTEAKDDN >RHN68829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38893924:38896073:-1 gene:gene17238 transcript:rna17238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH-ubiquinone reductase complex 1 MLRQ subunit MATNRWIRPEVYPLFAAVGAAVGICAFSLVRNICINPEVRVNKQSRAAGVLENFAEGEKYTEHLLRKFSRNRSPEIMPGLNSFFTDPSRN >RHN57945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42691671:42692951:-1 gene:gene33537 transcript:rna33537 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQPKIEPGSSPTNSNNNLEDKSIHDLVMVLRGTCQWDTFDSIEAVLERRDMRLREEFHTERLLRLHSESEFRKREEIVEKEKIIHESYEALLKEVKEVGLADPKTIEELQKKNSELELEVENLKEKCVDGRNELDVLNHEILEMKRLKRKWFDDRNALDELQRKVGVLEDEKNDLAEIKSKNSELKATVTKYLATISELRKENSKLADGNNKIDVLRSKTGKLESEVLELRKLNEKMVEDNNELVVLREMIGKLEHEVLELRRLKKKLLENSSAHDELRSKVHVLEGDKNDLADLKIKTGELKETMKKNLETISELRKENDKRTVDILLGSFYKKFRGLTGRVSRLEDDTSFEENEEENISEDHFRNDIVEEAAPLQRNEAVHQTLGVSASTQPQSKGSKDAQGASSGMEIVHASLIIIKICNF >RHN55893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25201409:25208095:1 gene:gene31174 transcript:rna31174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyllase MTFASTTTLTLSHRRTPFPYSKFRSPQPNNVVAFSSFSNQIVSKLSIQNEELQVQTSMWNWKGYNIRYQYSGNNGPALVLVHGFGANSDHWRKNIPDLAKSHRVYSIDLIGYGYSDKPNPRQIGDDSFYTFDTWAAQLNEFCLDVVKDEAFFICNSIGGVVGLQAAITEPQICKGILLLNISLRMLHIKKQPWFARPFISSFQRLLRDTSVGKFFFKAVATKESVKNILCQCYHDTSQVTDELVQLILSPGLEPGAVDVFLEFICYSGGPLPEELLPQVKCPVLIAWGDKDPWEPIEMGRNYGNFDSVEDFIVLPNVGHCPQDEAPQLVNPLVESFVARHAKSSSLTPSVD >RHN68679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37760106:37760799:-1 gene:gene17061 transcript:rna17061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase transcription factor and/or regulators TAZ family MKNYGIHLLVLSHVFSMPKLKQRCTVDLIQFMTTGNVVDVLHLAKLCDAPNLYFKCVKLVTNNFEAVKETEGWKLLHKHDPCLEVDLIRLNKEQESRKKRGEKHREEQKLFVQLSEAVQCLKHICTEGCTNVASYDVEITGRPCTKFSTCQALQGLIKHFTTCDRRLERGCRSCKSMWKLFRLHSCICINQEACKVPLCKYAK >RHN42188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36238219:36243613:-1 gene:gene48599 transcript:rna48599 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEVCHLKELELEHFEIREILRCILHTIIFHRALGLVRPKDVDLELFDVTYVQCGEVEVENKIEEKIEQFICWVEKHPNKKSQICLSFYEVKNKQASWFSNRIERLYWEQWYINLNVAQHLKSHSSKSHHSKFVDPGDGTLEDRNARSAALEVSLREVLFQIIKFVNEKKDHVPPIPNLEGAISFPYEITIPSSSDSAFGMDMIKRMLQTGHPTMLS >RHN40983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25363837:25364343:1 gene:gene47242 transcript:rna47242 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLLTHIPNILRFYLLSLLTTPNSLVVLEYLACCRFRLLSKFQQFFIYTY >RHN64881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62655469:62656125:-1 gene:gene27750 transcript:rna27750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MENNTDKQNITSSSYLNIFGVQRYLLNFITHILVFGCGLLIGITLTLCVKNISFNFQIQKSQDPSFSFNPPPRVSHSPPILSYNISNEHYNQTNISIKKYCLVVTNNLTSHGLKDLLKIPMAMHDMNEDELFWRASLEPMIHKTPFKQTPKVAFMFLTIGPVLLAPLWEKFFKGNEGLYSIYIHPNPSFNEMVYTQSSVFHDRRIPSKVSIQNILFSY >RHN47619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41034907:41036369:-1 gene:gene42240 transcript:rna42240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Caspase-like domain-containing protein MERKNKRLAVLVGCNYPNTPNELRGCINDVLAMKDTLVKRFGFDHANIQLLTDDDPKNSSSTMPTGANIKQALSSMVDKAEAGDVLYFHYSGHGTRIPSKIHGHPFRHEEAIVPCDFNLITDLDFRQLVNRIPKGASLTILSDSCHSGGLIDKEKEQIGPSSFDDKNATLKLSDHKPKTIPYESIFQHVSSLTNINTTDIGTHLLEFFGSDASLRFRLTSRDLEEGVLLKPDEGILLSGCQSDETSADMSPNMSNGKAYGAFSNAVQIVLKENKGKLSNREVVVKARDVLKGQGFVQHPCLYCSDENADDVFLLQMLEL >RHN75959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46547641:46548138:1 gene:gene12223 transcript:rna12223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MPCSCFRIRSVRCEGQNCPYYPYFQNDEEYRNIHTVFGYRNIRRVFFSTDNHDRRQELVDSLAWEARNKMLYPFTGSYGVQRETEALVATLQNQIATLEADLANAQNSGAANLNLNLNLNLNPHQMPQIPNPNPPQVPQMHNFLGLPNVNADVNDVVNQNPPQVP >RHN82708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55813956:55816140:-1 gene:gene6889 transcript:rna6889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MFTRRKFLNILSSRNVNVSKLISHQLNPNPLPNPQISNNFRVLLFNLYGTQDSKFPEYEMPTVTWGVIQGRKEKLVSRVIIFDYLKGLGIIPDELQDLELPSTVEVMRERVEFIQKLGLTIDDINQYPLILGCSVRKNMIPVLGYLEKIGISRSKLGEFIKNYPQVLHASVIVELAPVIKFLRGLDVEKDDIGFVLQKYPELLGFKLEGTMSTSVAYLVSIGVNPRDIGPMVTQYPYFLGMRVGTMIKPLVDYLVNLGLPKRILARMLEKRAYLLGYDLEETVKPNVDCLISFGLRKEYLPSVIAQYPLIIGLPLKAKLSSQQYFFSLKLKIDPEGFARVVEKMPQVVSLHQNVIMKPVEFLLGRAIPSQDVASMVIKCPQLVALRVELMKNSYYFFKSEMGRPVKELVEFPEYFTYSLESRIKPRYQRLKTKGIKCSLNWMLNCSDQRFEERLQGNYIETESIGPSFCIGGKLELPGNDIVSDEEEESDDEMLYRRTVSL >RHN65371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1562061:1563685:-1 gene:gene13201 transcript:rna13201 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPFFFCQQEHKRLICDTNVINNIMNALKRNLLVEPTTDVTKAVCIMMDAVGHLADIPESFQINGVVQLFHKSFENSVNIKEKVSALRVLEKLSSHDANSKEIIAIAPNIFLPLVDMLVCKDEEIHRSVFRLIVNLLVFSPDLVNCEGFPTIQIFQLAINLIGNVKTSEDTVTLGLSVIFQIIKKTGEYKSVAQLGLIPLLMQTLKSGNEEIRLYTLGLLWMLGKDFLNQVAIVKGGALMEFINLYGAEDELMRRRIHALLFCLAKNEVIISYFVTEGCVEKLLELQGGVYGDFVSISYT >RHN72113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5091951:5096882:-1 gene:gene7786 transcript:rna7786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MAKLYSFHSCLCILSTSLYYYFFTCLAISSKKNITTDEFSLLAFKSSITLDPYHMLRNWSISSSTSSFSSCNWVGVTCDEHHGRVNALNLSNMDLEGTISPQLGNLSFLVFLDLQGNSFHGELPHELLQLKRLKLLNLSNNDFVGEIPSRIGDLSKLQQLDIRQNNIVGVIPQSISNLSMLEYLNLKSNHIKGTIPHAISQLGMLRILDIRNNKLSGILPTTISNMSSLEEIHLANNSLSGEIPKGIGDLTQLRTVNLQRNFLSGNILSTLMFNSSSLQNLALGFNNLTGILPSNVCQGLPNLRLLYLYVNDLSGEMPNVWHYCKELEELILSFNNFDKGHMPADIANLPKLQSLYLISNNLEGEIPVSLFSISSLREISLDGNNLNGTLPDEMCHQLPQLEIFTLLGNHLEGAIPRSIGNCTLLQTLTLQDNFFSGSIPMEIGSLNQLQLLQMGNNSLSGPIPLKIFNISTLEYLHLEQNSFSGMLPSNLGFGLPNLQQLHMYGNKFVGKIPNSISNASNLVIIDLSSNQFSGIIPNSFGDLTFLESLVLGGNNLTTDDSLEFNFLTSLTSCRYLKHLEVSEMINLQLKLPKSIGNLTLEHFWANSCGMNGNIPLEIGNMSNLIRLSLSRNNINGSIPKTVKGLQKLQSLDLDYNDLQGSIIDELCDITSLSELNLTSNKLVGVLPTCLGNMTSLRKFYIGSNRLASEIPSSFWNLNDILEVNLSSNALTGIIPPEIKNFRALILLDLSRNQISSNIPATISFLRTLETLSLADNKLKGLIPESLGEMVGLSFLDLSQNLLTGVIPKSLESLSYLKYINFSYNRLQGEIPNGGPFKKFTFESFMNNEALCGSPQLQVPPCDKQIRKKSKTKMLLIVCISSIIVVLGILAIACIVLQMHKKKEVENPLEKDLSTNLGLLKRISYSELVQATNGFSETNLLGKGGFGSVYQGMLSSGKMVAIKVLDLKLEATTKSFNAECNAMRNLRHRNLVEIITSCSNVNFRSLVMELMSNGSLEKWLYTDNYFLGFLQRLTIMIDVASALEYLHHGSSIPVVHCDLKPSNVLLDENMVAHVSDFGISKLLDDGQSKAHTQTLATIGYVAPEYGSKGVISVKGDVYSFGIMLMEIFTGKKPTDEMFAEELTLKTWISESIHNSVMEVVDSKLVSQHGKEIHELLAHVSSIFVLALRCCEDLPEARVNMTDVTASLVKIKTLFTQ >RHN81866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49428801:49429166:-1 gene:gene5961 transcript:rna5961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MAGPVSMRCQVALVLVLVVALGTKMEMGEAQTTCPTQLSNLNVCAPFVVPGSPNTNPSPDCCTALQSTNPDCLCNTLRIASQLTSQCNLPSFGCGNFFIISLYIVLLASFFYLIEYNVYLS >RHN77277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5628199:5629806:-1 gene:gene715 transcript:rna715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MMSSIFAKLLFSTLCMLFVLKAESLVFADQRLAIVYPVIQKFKSLITSDPLGVTKSWIGSDICNYKGFYCDHPPDNNSAIALASIDFNGFQLSASTLNGFIDKLPDIALFHANSNNFIGTITSQISKLPYLYELDLSNNKFSGPFPMAVLGMNTLTFLDIRFNSFSGGVPQQIFTQNLEVLFINNNLLTQNLPDNLATSSIFLLTLANNKFIGSIPRNLPKALSSLTEVVLLNNELTGCLPHEIGYFQEAVVFDVGNNQLTGPLPMSLSCLEKVEVVNLAGNMFYGMVPDFVCAGLENLVNFSLSDNYFTHVGPFCRMLIQRGVLDVGKNCIHDLPFQRSLIECADFFTRPKICPFTWFHGFYPCNFPFKKSSVSSIP >RHN73722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19327464:19328247:-1 gene:gene9576 transcript:rna9576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MAKVAKLAKLKSVLKKWNTFTYYNNNNKHNRSSIRAVVNDDESTQDLRPVFVGKTRRRYLVNSEVVGHPLFKELVDRSRDSTEVNDEDDTVNVACEVVLFEHMLWMIENTDPQPESLDELVDYYSC >RHN44760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8179815:8183448:-1 gene:gene38921 transcript:rna38921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Jas TPL-binding domain-containing protein MSFQNKGFWSPRDVGCIAEENIGFDNSSRVEPKRSHQWFVDTSEPQMFSNKKQAVEGVSDMPVSTMNVSQWETSSGFHPVTGQFSDRPFGSGFVRSNNSVDKNIPSIGSGNINMGRNDFGNQNGNDSSIGLSMSHSIGVPSPSLNFGGIRKVKVNQVRDSDHGTPAADNNIFSIGSVYNKNDDNITSGPTYANGSDNTIAMGHIFNKGDRNFLLMGHNYGKGDEHILSMGRSFDRGDGNFITMGQSYGKEDDNLISLGTSYSMGHESITSMGPTYGKSGETFTTMAPSYDKVDSNIASTIPSFDSGSSSSLPVSQNLSNCHSSSISFGGFHVNPQLNDSTGMINSSDPLMGNQNSAQGVESQKDLSESSPNPPVNSTPKSNAKNETVVKNKEPKTAKKPSTNSFPSNVKSLLSTGIFDGIPVKYCTWSREKNLQGVIKGTGYLCSCDICKGQKALNAYEFERHAGAKSKHPNSHIFFENGKSVYAVVQELKNSPQEMLFDAIQTVTGATINQRNFRTWKSSYQAATLELQRIYGKDKLLP >RHN76390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49877018:49883987:1 gene:gene12709 transcript:rna12709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & lipid binding HD-SAD family MTQPLLLLLKKHNTLLSSPTLSMCADMSNNNPPSTSKAKDFFPSPALSLSLAGIFRHGGGAAAEGEGGSISNMEVEEGEEGSTIGGERVEEISSEYSGPAKSKSIDEYEGDELEDDEGEDDEGDGDGDGDGVNKNKKKKRKKYHRHTSEQIRVMEALFKESPHPDEKQRQQLSKQLGLAPRQVKFWFQNRRTQIKAIQERHENSLLKSEIEKLREKNKTLRETINKACCPNCGVPTTNRDGTMATEEQQLRIENAKLKAEVERLRAALGKYASGTMSPSCSTSHDQENIKSSLDFYTGIFCLDESRIMDVVNQAMEELIKMATMGEPMWLRSLETGREILNYDEYMKEFADENSDHGRPKRSIEASRDTGVVFADLPRIVQCFLDANQWKEMFPCLISKAATVDTICKGEGSNKNGAVQLMFAELQMLTPMVPTREVYFVRYCKRLSGEKWAIVDVSIDKVEDNIDKSLVKCRKRPSGCIIEDKSNGHCKVVWVEHLECQKSIVHSMYRTIVNSGLAFGARHWIATLQLQCERLVFFMATNVPMKDSTGVATLAGRKSILKLAQRMTWSFCQAISASSFHTWTKVTSKTGEDIRISSRKNLNDPSEPLGLIVCAVSSIWLPISPNVLFDFLRDETRRTEWDIMSNGGTVQSIANLAKGQDRGNAVTIQTIKSKENNMWILQDSCTNSYESMVVYAPADITGIQSVMTGCDSSNLAILPSGFSIVSDGLESRQMVITSRREEKNTEGGSLFTIAFQILTNASPTAKLTMESVDSMNSLVSCTLRHIKTSLNCEDG >RHN59843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13917892:13921278:-1 gene:gene22004 transcript:rna22004 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRGISSSTRTCKPKSLSTPFPLSSYSSHQDNHFSILNSFTINTPNNTTTTTGDAIHDYDDGAAPFIGPPPSQTEVHDALSSLQRVLGPASRAELLRDRYFNRLEIELGSLGLVSEDENIDWLEPSLFPYNPRMLQDDGFDRVYYAIHLLQTDPSVQRLVKSLSTDEAVWEAVMNNEVVQQLRGSISSDQDGIADDDPDNTTNVLIWLLSTARAKLIEVIKKITKIVINFFQHSYKKTIALTKDSQPFIEKLRASFMLSIMVLLIVVVCRNQS >RHN82171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51687154:51691633:1 gene:gene6298 transcript:rna6298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 16S rRNA (uracil(1498)-N(3))-methyltransferase MLQTLVVPARFRFANRISLRRSLSRIRSFNSDYGDQSRGGLPRFYSEILPPSKGNVIRVKGDEFWHMTKVLRLSTNARVQLFNGKGGLVEGCIQNIDRTGLDFIALTDLKLVPPQNFQLHVFSGFGTLKGGRADWLVEKCTELGASSVTPLLTERSPSISENRVDRLERVILAASKQCQRLHEMILKPPVEIGDILHLIAQSKLSLVATAEATPVLNALTSPEKETSGLIVVGPEGDFTEKEVNMMMEAGAKAVSLGPHRLRVETATIALLTTVMLWSDSKQISVS >RHN41883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33847536:33848978:-1 gene:gene48247 transcript:rna48247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase transcription factor WD40-like family MLQGLLRVTREKEIEVLVTEIDGLKFKVIDGVDVAHDGTIYFTEASSKYSYKDSVLDILEGNPNGRFFSYNPATKKTTLLVRDLYIANGVAVSPDQNFVVFCETSMMNCKKYYIGGTKKGSTEKFCDLPGMPDNIHYDGQGQYWIGIATAFSPELDLIFKYPFIRKVLAIIIKKVLSLNFSKNGGVIIVDLEGKPTTHYYDPKLSLTGGIKIGNHLYCGSILYPFITRLDIEKYPALPTI >RHN62812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45996169:45996481:-1 gene:gene25426 transcript:rna25426 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIIQSIFLPCRSRLWWCDERLKPSAPPTSRSIDGPVRWFWRWFRQSTSCGVVKCVGVWFSGRSTPMTCVCLFGMWWLISDPFSFLAVDVGFVCSQRGQ >RHN59838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13883145:13884725:1 gene:gene21999 transcript:rna21999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MQLQLYLVQHIFLLLGLVILQKITTYIIQDLYALGARKIGVTMLPPIGCIPIIITLYGSGNNKCVEEINDVALNFNKKLNFTTENLVKMLSGLNLVFFDLYQPLYELVTKPSNYGFFEARKGCCASGLVEVGFTCNRKSIGTCANASEYVFWDSLHPTQATNKFLIDRLIPAIISLVYN >RHN70627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52834567:52836011:1 gene:gene19231 transcript:rna19231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MELQSGLALPIHSSIEGFDPYNSDLNNHIRGSENIKYVKNKRSFDESFGDFSKPLPLLVWSGQPNEEDGRSEKKNRSIHTSNNEGENHLVGWPPIKSWRKKEFHDQQLPEHIRKANENQNRRSKPLYVKVNMEGVGMGRQINLRLYNSYQTLKDSLISMFVKCQNFEETGANYTLTFQNKQGEWKLTSHITWQSFIGTVRRLAILRNGECETI >RHN56768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33560941:33562716:-1 gene:gene32212 transcript:rna32212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MESTSTKRRRRTLRSSDAKRRRKSMISGSYLPDECWECVFKFLKDNNRCLKSLSIVSKQLLSIVSKQLLSITNRLRFSLTVYDPTLPFLPTLLRRFTNLTSLDLSCFNGKLNKLLCQISRFPLKLTSLNLSNKCIIPTIGLQTFSKKITTLTSLTCSKMQYINSSDLVLISHCFPLLEVLDLNYPTQCYHGAVELSLSKLRKINLSYHSYIDDEFILHLFESCKLLEEAIMLPCVDITFVGIANALRERPTLRSVSFSNTFGRVDWWRRQSTYITSQFISSFDLLSLNISDELLSSIAYQCLPLTRLVLQDCTGYSYSGILSLLSKCQHFQHLDLQNAVFLKDDHVVEMSSFLVDLESINLTHCSMLTESAFFVLLKNCPSLSEIKMEHTCIGKKSLESSKSLMDFVACPQLKYLRLAHNPWLFDEYITMLASIFSNLQLLDLSNCCRISEEGIVQFLRICCNIRHLNLSQCSTVKLEMNFEVPKLEVLNLSQTIVDDEALYMISKSCCGLLKLSLKNCNDITKKGVKHVVENCTQLRKINFYGCQKVHADFVSSMVSSRPSLRKITAPPARNGFGKRKINYFLRRGCLVL >RHN41454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30131933:30143464:1 gene:gene47778 transcript:rna47778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator FYR family MVRTRTAAKPAAPSPNAAAPENADNLEVISIGSLYNGPWDKKYWSSSRGKDRYPYPVGYQAVRAHNGTTYKMEILEGDNGPKFLISSDDGNSATGKTPDSAWEEFQKKGYNRMKIWHGKRLSSKMYGLELFGFKNQFIQRLLRELVSDINGIVDRSLVSSNLCNEVSKTQHDDCHPNVGTSSDLLLSQKRPGVTRKRTRCELKNKESNVRSRPQSLELACSKASDAKNETTVGQGSTTSNNGSEDKDATYNQIDVSSTLPIMSSVGKITNCISSQNGLLLNPIDISEDKKGGAVPAESPTGFIDSANKKTTERTENLSTEKLLHRSHDVEMKMSNLSVTAEDDIVMQSRSKESQGCIGIDLWAPDSVDLQENISDSAPSNLVKNTDSKPACEVTSEDLLNSKHEEMLRSDSNPSSEKNHFNSAGQDVAKSMMSLLLPQAVPLLRNVSTDEKLTLIPSDILPSMATNSKDEQNEVGCVLDVPSCDKMVTTDAYGEQGEKTHKPNTYPHSNSSNTDHMKSIVPDSFEYTQFEDLKNSEFLSFDIAEVGRSSLSIEMCCPKSQEQHPGDLPNGPSTCCASELDFKNRPPGYDVCVPDSVLDDMSPPDVCIPDSVLGDMPLVHDSFNSGKNDLSAAQDFTEGISNAISGVKSKICHLKSMETLPEKTQDEAVGKTSNTKIMMTSSSQSPKMMTSSSQSPNFVYTRRKRRNSVSIQENCSAVESNECEKIKLVTSQMRTAKDTVPPSKTIETKNDKLCEPDDSAGLISGISQAHSEVPDVQSNLAELNPSSQNPNPFSCENKCSGDKEAQLFSEPMVQRNQELKNNLNSNVKFVGSYMHPMPVSSLLLRTREDEIHICVLCGLLTEQHRTLFTYKVAIKEPNFGCPSVMAHTPVMLPDPKYNFMRENMLERTGVDLTPDGRYIVLIGSIKTPNCREGKTDCCCSTCTSVCSKKNALKIVHVESGSASVMATLEAVEDLHCILVCEPNQLVSVGESGRLQVWVMNSTWSEKIEYSIIPPDGSMSPGIVELKKVPNCAHLVVSRNIYGDFSLWDITKLNCVSSFSASEYLINEFFPISLLHLQTKGLGLSYASVEEKAEKLLEATNLWHSEKRETCVYLLPTDDVAMWFLVSTPSDVDCCQNHVSSSNHHDVHTARSWRLALLVKNSIIFGSPLDPRTTTVGVSGGYGITSTSDGVVYMWKLSTGSKLDTLHHFQDGTATSLATDDLNSNSRSAIGVVDDGGQLLLYLHLREWDSNE >RHN79668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31077692:31083901:1 gene:gene3488 transcript:rna3488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carotene epsilon-monooxygenase MPSCSCSCSCSLPLSHLSLSSFSKTPLPQKRYPLHPRILTKSSTNKNPETTKSTSWVSPDWLTSLSKSLTTSKNDDSNIPIASAKLDDVSDLLGGALFLPLFKWMNEYGPIYRLAAGPRNFVVVSDPAIAKHVLKNYGKYGKGLVAEVSEFLFGDGFAIAEGPLWTARRRAVVPSLHKRYLSIMVDRVFCKCAERLVEKLQADAVNGTAVNMEDKFSQLTLDVIGLSVFNYNFDALNSDSPVIEAVYTALKEAEARSTDLLPYWKIDFLCKIIPRQIKAENAVTVIRKTVEDLIEQCKEIVESEGERIDADEYVNDADPSILRFLLASREEVSSVQLRDDLLSMLVAGHETTGSVLTWTLYLLSKDSSSLAKAQEEVDRVLQGRRPTYEDMKDLKFLNRCIIESLRLYPHPPVLIRRSQIPDELPGDYKIDAGQDIMISVYNIHHSSKVWDRAEEFLPERFDLDGPVPNETNTDFRFIPFSGGPRKCVGDQFALLEATVALAVFLQHMNFELVPDQNIGMTTGATIHTTNGLYMKLSQRLK >RHN50315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6081029:6102404:1 gene:gene34587 transcript:rna34587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MAAANIASTGIVLEVLTRDNYLDWSVLVKNYLIGKGLWDNVVEVNVNPIRSDGKSKTDDALHSEQSDWKSKNGQALHAIQLSCGHYNLRQIRNCVTAQEAWNHLKVAFSEDLRADYDSFNTKKREEHDIEQGGLQTDIDMFHVAVKKGMWNDAIFFIRRDGDIISQKSSFNGWTSLHVAVDAGQDKIMKELVKMGALLTERDWEGYTPFALAVKSTNDIQIVEWMLNKGGADLLTMKIKANDNKGDIPVLLAATNGHKEMTRFLFSKTPWSTLEENDCFYGAKLLSHCIHAELYDVAAALLQHHGTHMPLNYESHQCTRPIYGLAHFPTVFDGATQLNWWRQIFYNVLWIPPYIDLEDKRITLKSDGGESIAYTFEGAVTKVVKCFPRTMMWFRRYGAQSLLIKLPCIKKIYGIKRNHYLVREIMRRLCEKIEKISSESELHQCSIHDAMLQAAKYGIIEFINSMREANPDLLWAMDKYKRGIFAHAILNRQDKVFKLIYEMEGQKELKTTKDIFENNLLHLAAELGPSSYRGCRSNAALQMQGELQWFKAVESTVPPMCKEAKNADGLTPHELFTKNHEHLLNEGRQWAKDIASSFTIVGTLIITIMFAAAFTVPGGNNQDKGTPIFLGKNAFSFFIVTDSLSLIASASSVLMFIGILTSRYAEEDFNTSLPAKLLFGLFTIFLSVVFMMCSFCSALALMLKGYRWIIITAIASSVIPILVFMFSLLRLFSEVCISFLRSYFLRKKRRMKI >RHN53450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1726701:1729163:1 gene:gene28358 transcript:rna28358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MYVCCIGCLCPCYLFGKNAEFLGSGTFMGSCVTHFILWSLVNTACCLLTDGLFLGLPGCLVSCYACGYRNTLRSKYNLPEAPCGDFVTHFCCHLCAICQEYREICERAGDSEATDMKLAVVTAPPVQTMQSDSSQ >RHN74877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37397019:37405738:-1 gene:gene11007 transcript:rna11007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSTSSQFLFLSFSVIWFISLTAFGATTIHPDEKKALEDIAESLGKKDWNFDIDPCSNQPIWFTDVSNNVTCNCSVAGDNFCHVVSISLKDQDLPGTLPPELNRLRYLQIIDLTRNYLNGTIPKEWGSMKNIIKISLIGNRLTGSIPVEIANISTLQVLELWNNQLSGNLPHELGYLTQIQTLRFSSNNFTGELPATFAKLTTLQDFKISDNQFSGKIPDYIQNWTSIKTLMIQGSGLSGPVPPGISLLTNLVDLRISDLNGSEYAPLPQLNNMTLLKTLILRNCHINGTLPIYLGNMKTLQHLDLSFNKLSGTIPSTFTTKNKMKYIFLTGNLLTGQVPYWRKIVYVDLSYNNFNISTQRSHICQDEKVNLFSTSWTRNDIGTVSCLRKCPKTSYSLHINCGGKQERVNKTSYDDDSDSSGAAKFHVSPTGTWAFSTTGIFIDGDQLGEIDFPRNYTALTMADTELYMDARGSPISLTYYGFCLAKGRYTVNLHFAEIMFTNDQSYGSLGRRVFDIYLQGKRMQKDFNIAEEAGGVGKKVIKPFKDVVVTSNNTLEICLYWAGKGTQYIPNSSVYGPLISAISVKSDSPHGSISAGAVVGIVVAATTVIILVFGILWWNGCFGKKNSLTREINSLDLQTGLFTLRQIKAATNNFDISNKIGEGGFGPVYKGCLPNGTLIAVKQLSSKSKQGNREFLNEIGMISALQHPYLVKLYGCCVEGDQLLLIYEYMENNSLSRALFGPEEYQIKLDWPIRQKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDTSLDPKISDFGLAKLDEEDKTHISTRIAGTYGYMAPEYAMRGYLTDKADVYSFGIVALEIVSGRSNTMYRSKEEAFYLLDWAELLKERGDLMELVDSRLGSDFNKKEAMVMINVALLCTNDTSNLRPSMSSVVSMLEGRTVVPEFVSDSSEVMDEQKLEVMRQYYSQMEKNKVCKSQSRSLSSDDQCTASSSSAVDLYPVQLDSS >RHN70700.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53311416:53313674:-1 gene:gene19311 transcript:rna19311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSRRLRQRRQLQLSIPEEEDRISALPDSLVHHILSFLPSKDATATTVLSKRWKPLWLLELIIHFNHQPFPDTFTFSNFVDSAMANQDNTQPILSFHIKCHYYNSDIHKFVSNAIQRRVQNLTFDLSRSDFPTAFILRTETLCVLKLKRITLNYEGPLVDLPSLKILHLESVCFTYYGHIRKLLSGCPILQELEANDLTIKIPCRVFLGSSQPPSLSNLVRANISNICPMHNLLDRLRNAQHMRLLAKYSYELHSVFHNLTHLELRLDFMHNRGMLKWSWLIKLLQNFPLLQTLIIEEVDTVHNFGAGGWTDPEIVPECLLSHLTTCSLRSYSRINCELQFAKYIMQNSRVLSIMKIQSAKFLDTNTKIQMSLELSSFPMNSTTCPLLFI >RHN42280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37049542:37057682:1 gene:gene48704 transcript:rna48704 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MEDHIGSSKDANIYTEMDIDLNDEDPSNAEILNELGEDMFKYFCKRASVMFFDQYGLISHQINSYNQFITSGLQSAFDSFGDLTVTPGFDPSKKAVDEHYQYATVKFGNVTLERPKFWCGEGNAQEMKMLPRHARLQRMTYASKVKVDVQLQVYVPKKVRSDKFKTGKEEYIDREIKLDETREITIGRLPVMVKSDLCWMSEAEKGDCEFDHGGYFLIKGAEKIFIAQEQVFLKRLWVSNIPYWAVAFKSQMKRNRMVVKLVEGSTEGITNGEKLLTVYFLSVEIPVWILFFALGVTSDKEIVDLIDYGESDGRIENILFASIREADDKCEAFRRGKNALLFLEERVKGVQFPPAESIDECFNMYVFPNIKGLKRKARYLAYMVKVLLLAYTGRRKTDNRDDFRNKRLELAGELLEREIKVHFAHARKRMGKALQRDLYGDRDVRQIEHYLDASIITNGLQRAFSTGAWTHPFKRMERISGVVATLGRTNPLQTIAELRRTRQQVQYTGKVGDARYPHPSHWGKVCFLSTPDGENCGLVKNLAVTGVVSTNVTESVLPQLFDCGMEELIDDTMTVLGKKDKVFLNGDWVGVCSDSTSFVAELRNRRRKNELPHQVEIKRDQYQQEVRILSDAGRILRPLLVVSNLLKIKGSKSEHKSFQSLLDNGVIDLIGPEEEEDFKTAWGVQYLFGKERKSSVKYTHCELDMSFLLGLSCSLVPFANHDHARRVLYQSQKHSSQAIGFATTNPNIRVDALSHQLFYPQRPLFQTMTSDCLGKPGYLGQNKVVPKSEFYNGQNAIVAVNVHLGYNQEDSLVMNRASLQRGMFRSEHTRSYKAEIENKESSEKRKKPEDIVNFGKIQSKIGRVDSLDDDGFPFVGANLQSGDIIIGRCADSGTDHSIKLKHTERGYVQKVVLSSNDEGKNFAVVSLRQVRSPVLGDKFSSMHGQKGVLGFLESQENFPFTKQGIVPDIVINPHAFPSRQTPGQLLEAALGKGIACVGSLRNATPFSTSSVEAITDQLHRAGFSRWGNERVYNGRTGEMVRSLIFMGPTFYQRLHHMSEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGASANLYERLFTLSDSSQIHICSKCKNVANVILRPVSGGRKIRGPYCRFCESADDIVVASVPYGAKLLSQELFSMGINLKFETELC >RHN42368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37777059:37777527:1 gene:gene48802 transcript:rna48802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MCTLPVCGCNLPMRMYIANTFENQGRGFWCCKRWNDEDQYTCGLFIWDDELVRGMTPMMDDNAAMDVSKDEGCRKCTNIDEMLKRFDGRECVQRKKFEDERKKVKWIAFFMIISWLFFGLYVKNLM >RHN73386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15882467:15886232:1 gene:gene9189 transcript:rna9189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MISLNIVGIYQGIAKSNFTFIVLSQLKALVAMSSLTNMAPQMAIVAETGERVDVNDVKMNTILAVKAGDAIPLDGIVVEGKCEVDEKMLTGESFPVTKESDSLVWAGTINMNGYISVRTTVLAKDTVVARMSRLVEEASSRKSLAQRFIDNFAKYYIPVVVLISASIAVVPAALSVPDMEPWFHLALVVLLSGCPCALILSTPVAIFCALTKAAISGLLLKGGDYLETLSRIKTVAFDKTGTITRGEFSVTDFSAGDDINNETLLYWISSIESKSSHPVAGALVDYARLHSIKPVPENVENFQNFPGEGIFGTIDGRDIYIGNKRVGVRAICKRDNCEVQFQRPEISTKKNNCEETLVGVFSLVDACRSGALEAMEELKLLGVRSVMLTGDSSQVAMYVQSQLKNAIDIVHAELLPHEKAKLIENFKKEGPIAMIGDGINDAPALATADIGISMGISGSALANETSNAILMSNDIRKVPEAIRLARKTTRKLVENVIISVGFKCAILALAIAGYPLVWLAVLTDVGTCLLVILNSMLILQENHKYEKRESTKGSKYGKFLEDKTKPLLNKQSNIDEEKGLLSGEECGKDCCKNATHYVETSKERNDESCGVSKLSLLNGNDHGMFMFVEVHVVKHCVMIQDSSILTLESQGQKDKSITVSETSGISECCKNRCCNDI >RHN58681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3903638:3906240:1 gene:gene20613 transcript:rna20613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGNFCSCQVSIIGKVHDVEYDECDQKDIDFTSENGGSQVMFKGSSKSISMYCKKGTKGINQDAITVWEDFGGEKDTIFCGVFDGHGPLGHKFAQCIRDNLPSKLSTTIKMSQQNVDGANATNKNTYDEDKQHLSCTSWEKHLFSSFNEMDEDLAKNIAKTDGFCGGSVAVTLIKQGDQLIIGNLGDSRAVLCTKADDNHLIPIQLSTDLVPDVPNEAKRVINCGGRVFAAKEDPDVKRIWMAEGDCPGLAMSRAFGDFCLKEYGLSSVPDVSHRKITKQDEFVVLASDGVWNALSNIEVITIVASAPKRSLAAKLLVKRAVQAWKQKNPCYHVDDCSAICLFLNDQPVNLRGNKRHR >RHN46270.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30293743:30296556:1 gene:gene40739 transcript:rna40739 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKQFGSSSSETSSFTSELFGSNDLHKSSASGIFDSIFSPSSKVFGRESLRSAMNGKTATEGSNSKIDTQEYISKGNDGETQNKTNKDMSYIYQEQRVQPCHLSSSIYYGGQDVYSRPQSARDSGLNTMYKKDGGEDDSGSASRGNWWQGSLYY >RHN65202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:214122:216459:1 gene:gene13020 transcript:rna13020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MLPKFEPTWVGLVVLTWDQGVCFSLRFEVRLSLVQIPDEFITRFGNELDNVATITVPDGREWDMELKKCGGQVFFCNNWQHFAEYYSISYGCYLDFKYEGNSNFVVVIYDPTFVEISYPFKTSSTNGDQSIKGPNSDSKRANCAVGEFNPKNPYFHSKSIKGIFAYVPSGFAKKYLMLMVPFMLQNYQGKYKFARENNLLEGVTYVFELIKRKPVVVVLQVTASCTPPQGRSSQSLTEKEVRESEHFKMDILPSPIHDKEIRISDEFITRFGIELKNVATVTATDGRDWRMRLKKHGNDIFFYNEWQEFAKYYSLGCGCYLSFKYEGNSKFSVVIFDVTSVEICYPLKTPSTNGETNTQCPTPRKSSRVETIGSQVIKLKIRFGHAFKSAEVAANEFNPKNPYFWLKNVTAKFAQKYLMPNVPIALQNSQGKHWKVHCILYNPKSFS >RHN75508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42797395:42798174:-1 gene:gene11726 transcript:rna11726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MNTNYLTFSYVLITLLLPLASSTISYHPKHIPAIYVFGDSLVDSGNNNYLPILSNAKFPPYGIDFGGAKPTGRCTNGKTTVVYIAIHLGLPFVPPYLGLSKAQRNKITTGINFASTGSGAFFQKLTI >RHN44844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9064979:9067033:-1 gene:gene39010 transcript:rna39010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAKKHEGLAVGIDLGTTYSCVAVWLDEHNRVEIIHNDQGNRTTPSFVAFTDDQRLIGDAAKNQAASNPTNTVFDAKRLIGRKFFDSVVQNDKKLWPFKVIGVNDKPMIIVKYKGEEKRYCAEEISSMILTKMREVAEKYLMSPVKNAVVTVPAYFNDYQRKATIDAGAIAGLNIIRIINEPTAAALAYGLDKRTNCAEERNIFIFDLGGGTFDVSLLTIKDKVFHVKATAGNTHLGGEDFDSRMVDHFAQEFNKKNKVDITGNSRALRRLRTACERAKRTLSFASCTTIELDSLFNGIDFISSITRAKFDAINMDLFIECLETVESCHNDAKMDKSSIHDVVLVGGSSRIPKVQQMLKEFFNEKELCESINPDVAVAYGAAVQAALLCDGFKNAPNLVFQDVTPLSLGLAIKGDLMTVVIPKNTSVPVKKTQTYKTTEDNQDSSVIIVYEGERARASDNNLLGSFNLWLPAAPRGLPLEVCFDINENGILVVSASEKSTGNRTEIPITNEKERLSSQQIKEMTREAENYRIEDEKFLRKANVMNGLDHCIYKLKKALKRKDVKLNLSSKENDKINNAITKATNLLDSDKQSEIDVLENHLKELDSIFQQIIGRYV >RHN70405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51228822:51232652:-1 gene:gene18984 transcript:rna18984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyltransferase MAPLFNWGVKESHKGTPVVVKMENPNWDIDELEGPSEEDLLLTTKSSSRDKGKGKNAKQLTWVLLLKAHRAAGCLAQIAPALFGLVSAVKRRVASGRTDADIDGGGREKENPTVKSRFYTFIKVFLCLSVFLLCFEVVAYFKGWHFSAPHLELWAPTFGVRDVFDWFYARWVLIRVGYLAPPLQFLTNACIVLFLIQSIDRLVLCLGCFWIKFKKIKPVPKGGDVSDLESGDKGFFPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSNFLIQVLDDSDDPITQSLIKEEVHKWQEEGANILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVTIFDADFQPTPDFLKKTVPHFKDNDELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNSVFLNFFGFNGTAGVWRIKALEEAGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDVIKAKISIWKKFNMIFLFFLLRKLVLPFYSFTLFCIILPMTMFVPEAEIPAWVVCYIPAVMSFLNILPAPKAFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLIEKPKHQRGSSAPDLEEMKEEIRRQEENVSTKKKKKKHNKMYLKELALAFLLLTASARSLLSAQGIHFYFLLFQGVSFFLVGLDLIGEQVD >RHN64387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58690145:58691346:1 gene:gene27203 transcript:rna27203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TATA-box binding protein MCKLDLEAIALQSRSAVYKPKHFPFLIMKIRKPKATALIYSSGKMVCSFVAIAH >RHN80811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41005897:41007758:1 gene:gene4778 transcript:rna4778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MADSDAQIARRSAIIGVSTLLLVAMIIVVMVGARNKYSFKDDIEDNKKNHVASTMKAIRTICQPTDYKKECEESLRAEAEADNVTDPKELIKIAFNVTIKKIGEKLKETDMLCELEKDPRSKDALDTCKQLMDLSIDEFTRSLDGIGKLNIQNIENILMNLKVWLNGAVTYMDTCLDGFENTTSEAGKKMKELLTSSMHMSSNALAIITDFADTISDMNVTKIVGRRLLQDYKTPSWVEHRKLLDAKTNAFKHTPNVTVALDGSGDFKSINEALKKVPHEESKTPFVIYIKAGVYREYVEVLTNMTHIVFVGDGGKKSIITGNKNFMDGVTTYHTATVAIQGDHFTAINMGFENSAGPQKHQAVALRVQGDKAIFYNCSMDGYQDTLYVHAMRQFYRDCTISGTIDFVFGNAESVFQNCKFVVRKPMSDQQCIVTAQGRKERTAPSAIVIEGGSIVADPEFYPVRFDHKSYLARPWKNFSRTIIMDTFIDDLIHPDGFLPWHTEEGPINMDTCYYAEYHNYGPGSDKSKRVKWAGIYNINTKAAQKFAPSKFFHGGDWIKDTGIPYYPNIPEHKKHEKTVPKW >RHN61417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35453520:35453777:1 gene:gene23882 transcript:rna23882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPSIIKRTSSSKTVDVPKGYLAVYVGEKMKRFVIPVSYLNQTSFQELLSQSEEQFEYDHPMGGLTIPCREDIFLDITSHLN >RHN63890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54822170:54827620:-1 gene:gene26645 transcript:rna26645 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSFDPANIEPVARRKNNGPPLKFLFPLIYAPVLPLIRLGLRHKPVLRDRLFTAVLAGAFLHGGYLVSDLYDAESK >RHN64595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60273947:60274226:-1 gene:gene27423 transcript:rna27423 gene_biotype:protein_coding transcript_biotype:protein_coding MFNHRRRRVSSRVHLFICRRLRFFFFFFFFHYVFWALALFINISCFVKEILILKKFPFDFVGVSDEMMLVMKVVVYVQ >RHN64665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60854881:60855525:1 gene:gene27505 transcript:rna27505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane transport protein MITGKDIYNVLAAIVPLYVAMILAYGSVRWWKIFTPDQCSGINRFVSVFAVPLLSFHFISSNDPYAMNYHFLAADSLQKVVILGALFIWNTFSKNQDSLDWTITLFSISTLPNTLIMGIPLLKAMYGDFSGNLMVQIVVLQSVIWYTLILFLFEYRAAKLLISEQFPETAASITSFKVDSDVVSLNGRETLQTDAETGEDGKLHVVVKRSTTNS >RHN41748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32617393:32619756:1 gene:gene48101 transcript:rna48101 gene_biotype:protein_coding transcript_biotype:protein_coding MTEESPPEVLQSEHADDSQRSPVVKSAPFDVIDGNVRSNGKSGRKKGRVSEMKLSEENRRRSPRLSGESDGRGSSSCSVKLRRTRSSNGIENTQLVFSQGKRTPKVVHEKNKKRKVNSISIELSNSPVEQHSPEVDDINCSALSLDETTSPTWFENGGTNSDYFALKGKPPKRSLNHLLL >RHN76602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51586982:51588407:-1 gene:gene12952 transcript:rna12952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MLSSIIGPKEFTSLFYSLQSWRGIFETFDKDRSGRINSIELRDALLSLGYAVSPMVLDLLVSKFDKTGGKSKAIEYDNFIECCLTVKGLTDKFKEKDTGYTGFATFSYEYQLSMLIAWVCNLVWSWMCVVD >RHN77368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6392263:6397236:1 gene:gene814 transcript:rna814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MGRECKGKLNVRKPHFYHLFNPSSTSQSLRVPDGFVHQMEGATCGLVSLTGPSGNTWQVRLVEQDNHLFFHHGWSTFVGDHHLQYGDLLVFRYEGHLHFTVQVFGENACEKEAAFHSECNLNSLTFNNIEGQKSDAEMISSLDVVGDVQKKMRCDAIENQEPELAIAGKNQSNYELVRPISMIRETEETCKECSASAVHVPFQTGNSNEVEDAAILYRSGKEDDHNILSGASLSKLSAHDEKKIAQSFTSSFPYFVKIIKTFNVSGSYTLNVPYQFSMSHFPNCKTKIILYNLKGEHWTVNSVPTTRVHTSHTLCGGWMAFVRGNSIKVGDVCIFELIRECELRVRIAEVGKDGLDCQVGKLACSMLSERHDVASHNTSRYMPKKPKVSSKCRTKVDLSDKKLSKIGQEPVLAIEFKKSGRASTTSKKMGLSPKSKASHKKTAVPRKHRVEDELSSQAKAGLRMLFALDEQRVAEAFTSPFPNFVKIMKKFNVSGSYTLKIPYQFSAAHLPAYKTEVTLRNSRGQCWIVNSVPDAKGRTIHTFCGGWIAFVRDNDINFGDTCIFELVSHYVMQVHISGVGKEGLDHQIGHAKLNNM >RHN64511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59669578:59671931:1 gene:gene27333 transcript:rna27333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MANLSSKLFLLLLTIFHSPTSAFNNTTSSSTTLHAKISSIKSFCRNTPYPEVCFNSSKLSISIDINPNIINILLHSLQVSISETTKLSNQFNNAHSNIVENKRGAIQDCEELQQSTLSSLKRSLSGIRSSKSRKLVDARTYLSAALTNKNTCLESLDSASGTLKQVLVDSVINTYKHVSNSLSMFPKPEVRASKGHGNRRLMDALMWLSSKDHRRFLQSTDNVIVVAADGTGNFSTINEAIEFAPNNSYARIIIYVKEGIYEENVEISSNKTNIVLLGDGRDQTVITGNRSDVDGWTTFRSATLAVSGEGFLARDIAFENKAGPEKHQAVALRVNADVTAFYKCAMYGYQDTLYVHSFRQFYRECDIFGTIDYIFGNAAVVLQACNIISRMPLPNQYTVITAQSRDSPDEDTGISIQNCSILATTDLYNNYNNIKSYLGRPWRVYSRTVFIESYIDVFIDPMGWTKWSSDDDKGLDTLYYGEFANYGPGSATDNRVKWLGYHLMDFDSANNFTVSEFIIGDAWIGSTSFPYDDGI >RHN42043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35031218:35034040:-1 gene:gene48432 transcript:rna48432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L7/L12 MKFSRFLQFSHLRKTLIQNPNFLSPQIPKPYIIPPNPKSHLRNYNTDSPNHENVSAIVEELAGLTLIEAMDLVEVMREKKGINELPIMMLMVPGMGIRGMPRGVGGGKGGGGSEKGGEVKKVEEKTAFDVKLDGFDAASKIKVIKEVRSFTSLGLKEAKDLVEKSPTLLKKGVTKEEAEGIIAKLKEVGAKASME >RHN62269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42212274:42224293:-1 gene:gene24824 transcript:rna24824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, GRAM domain-containing protein MSVLVVTAMDFAVLGFLIPSLWEIEVAFAASLFVILAYWFFTFRIADRHSDRSLSENSAGDSADDKVKIGQSRGDSQAGSAYLIKLELLAAKNLIAANLNGTSDPYTIITCGNEKRFSSMVPGSRNPMWGEEFNFSVDELPVQINVTIYDWDIIWKSAVLGSVTVPVESEGQTGAVWHTLDSPSGQVCLHIKTEKMSANSARINGYGGANTRRRIPLEKQEPTVVHQKPGPLQTIFELHPDEVVDHSYSCALERSFLYHGRMYVSTWHICFHSNVFSKQMKVLIPFEDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHAVRSLQRAVKNFREMLETEKKENAESELRAHSSSVRRSNIMDKVPETSMPKAGKRQTFIKEEALVGIYNDVFPCTAEQFFNLLLKDDSKFTSKYRSARKDTNLVMGQWHTAEEYDGQVREITFRSLCNSPMCPPDTAITEWQHVVLSSDKKNLVFETVQQAHDVPLGSCFEVHCKWGLETTGESSCTLDIRVGAHFKKWCVMQSKIKSGAINEYKKEVDVMLDVARSYIKPHTSDDENDKASSPPAATLEN >RHN77203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4974835:4975629:1 gene:gene629 transcript:rna629 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQPLTRKISFSWEKKPGIPKESVNTNGENLIQRENEFLPKLPLPPISSLEGATTPRKLVHDFQIPLPPCAFQPPYYRTSSKKGIWVQDDDPFLAAYKECTKSQKSGKRDKKMSRPGSSSFCESRLVKNMSFFSCKRSCNVRDNNLVRISHSPQNID >RHN64016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55940749:55941316:1 gene:gene26790 transcript:rna26790 gene_biotype:protein_coding transcript_biotype:protein_coding METCRVAMVFTIFIMTILVFDKCGFAESVAEDVAAIPPAPMESTGGAHLGVSATLAVAALVAIWFI >RHN71931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3668160:3670487:-1 gene:gene7585 transcript:rna7585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RING/FYVE/PHD-type MAFEDCFSHELKTIPNSMSEAEESNGFDCNICLDFANEPVVTLCGHLYCWPCIYKWLHVQSDDSLGSDEHPQCPVCKENISHTTMVPLYGRGGHTPPSGKSSCCDDNFVPPRPTASGAQALLATASQSDQHQRLPYRNPYQGQYLNSSSYHQQEGDATSQMLNLGASMTSRSRHHTVVRMFEEMIFSGVVGHPPNSYQMVGNNSSRLRRQELQTDKFLNRISNFLFCCFLLCLIVF >RHN57783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41707667:41709366:-1 gene:gene33370 transcript:rna33370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MTITITSLTMNKWFSRTIEAITKCQEVLFNSSAFEKFMKAMERFLFAAFTCILALGGSIIGTIAGAIKGQTTETGFLDGAGKGAIAGAIAAIELMSFASVAEPFSKVALLRSLLNGKVFMEWICPTVAQAYLFYINSLETAYGAVLSDIYDDLGVKGMQQSCIMKLPCQQFSSNKMMKLYNESCCSICIQDFENEELVRLLPKCSHIFHLECIDKWLVQQGSCPICRTYVPDHINS >RHN72185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5614716:5616893:-1 gene:gene7869 transcript:rna7869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MESIIKTWLVVLIVFLSANYFKQCVNGKSQVPCVFIFGDSLSDSGNNNNLPTSAKSNYKPYGIDFPMGPTGRFTNGRTAIDIITQLLGFENFIPPFANISGSDILKGVNYASGGAGIRMETYSAKGYAISLGLQLRNHRVIVSQIASRLGGIDKAQQYLNKCLYYVNIGSNDYINNYFLPQFYPTSHIYSPEQYAEALIQELSLNLLALHDIGARKYVLVGLGLSSSTPSLFNYKLKSLVEHFNNKFSADSKFIFINTTLESDAQSDGFLVSNAPCCPSRLNGLCIPDERPCYNRSDYVFWDEVHPTEAWYLLFATRMYDSSNNPGFTYPMDIKHLVEQEIEMEYDITSQFSASS >RHN80312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37100432:37101523:-1 gene:gene4219 transcript:rna4219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKTGDDHTFNSLPMKRKRTYSLEYSSTENLASAKLTGSPMKRKNITPSQETYSYLPDECWESIFKLMNDYSRHHLNSLSLVSKQFLSITNSLRFSLTLKNSTHPFLPRLLKRFTNLTSLDLSHYGYELDELLRKIYNFPLKLSSLKLPEGRAFPANGLRTFSQTIKTLTSLTCCSHVFGDNNDLSLVADCFPLLKKLNLGHPQFNNHTNFINGFHSLLSNCQYIQYLDLNHTYFLNDQHVAEFSLFLAALVSINLNGCWRLTESALFSLVTNCPSLSDIKMECTTIGKESIEHDNSLTDFEVSPQLKSLSLADCQHLRDENIVLFPFMFPNLEVLDLSYSKDISKEIIRHVLRCCSKIKYLNL >RHN63271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49937217:49943036:1 gene:gene25952 transcript:rna25952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transaldolase MAATLQTPLYAAISSSPASSSSSSSSIRTTTSFSFFRSTPPPTLLFNSKNSFSLIRASSTDTVITELDAVSAFSEIVPDTVIFDDFQKFPPTAATVSSSLILGICGLPDTIFRKAVEMALADSECYGLQDPNARLSCFATKALVNVGSDMTKLVPGRVSTEVDARLAYDTHGIIRKVHDLLKLYNDSNVPHERLLFKIPSTWQGIEAARLLESEGIQTHLTFVYSFAQAAAAAQAGASVIQIFVGRVRDWARNHSGDKEIESAKLNGEDPGLALVTKAYNYIHQYGHKSKLMAAAVRNKQDLFSLLGVDYIIAPLKVLQSLKESVASGDEKYSFARRLSPQSADRYMFSDEELVKWDQMSLTNAMGPAAVQLLTSGLDGYADQAKRVEDLFGKIWPPPNV >RHN45891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26553568:26555664:-1 gene:gene40315 transcript:rna40315 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVTHGVNELNNAYNMKFDADYIRMCLRRLFVIIVNKDHRDVGIKYPLYVPLLLLRLEHSINGHDSELEFAGILHQLLTTMTPQIFSISSSNG >RHN62234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41897727:41903485:1 gene:gene24788 transcript:rna24788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAAQTNGGDLNQNQNQNQNQHQQQQQQQWAQQQPHQYQQQWMSMQYPATAMAMMQQQMMMYPQHYMPYVHPHHYQQQPPHQQQVSPPPSAHSHHGGHQQHQYQQHQQKQLAPEEIRTIWLGDLHHWMDETFLHNCFAHTGEVASAKVIRNKQTGQSEGYGFVEFYTRAMAEKVLQNFNGTMMPNTDQAFRLNWATFSAAGGGGERRSSEATSDLSVFVGDLAIDVTDAMLQETFASKFSSIKGAKVVIDSNTGRSKGYGFVRFGDESERTRAMTEMNGVYCSSRPMRVGVATPKKTYGNPQQYSSQAVVLAGGGGHSNGAMAQGSQSEGDSNNTTIFVGGLDSDISDEDLRQPFLQFGDVISVKIPVGKGCGFVQLADRKNAEEAIQGLNGTVIGKQTVRLSWGRSPGNKHWRNDSNGYGGHGYGGHGYGSNGYAARQNQDIAMQPAAAVQGAS >RHN61663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37279463:37280641:1 gene:gene24151 transcript:rna24151 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKMQRVGFLPWATFAGRTGTCTALLFYGDGIECAIESLPAASSFASLSRGIQNLCEVSQAVMQIAGTRVRNSIESIVNRIERQGINRETIYFLVQIEGTRVQNSYSCLWFSS >RHN67618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28713334:28720214:-1 gene:gene15825 transcript:rna15825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative iron-chelate-transporting ATPase MEVPNIEVRFTNLKIGAEVQIGTRALPTLTNYTRDGLEGILTSLGIGRPKRHSLTILNDINGVIKPGRMTLLLGPPGSGKSTLLLALAGKLASNLKKSGNITYNGHEQKQFCIQRASAYISQIDDHIGELTVRETFDFANRCQGSNDAELLKNLESLEKEKKVMPSPEIDAFMKAASVGGKKHNVMTDYILKVLGLDVCSDTVVGSDMIRGISGGQKKRVTTGEMIVGPRKALFMDEISTGLDSSTTFQIVKCIRNFVHEMEATVLMALLQPAPETFDLFDDLILLSDGHIVYQGPIEHVVEFFESLSFKLPPRKGVADFLQEVTSKKDQAQYWADSSKPYKFIPVPEIAEAFKNSKFGKSMEAMYTAPYDKSKSHPSALPKNHFAVSNWDLFKSCLSREWTLVCRNSFLYIFRTCQVILVGLIASTMYLHVKTYPKNELSGNLYMSALFYALVHMLFNGTTELSLLIFRLPVFYKQRGNLFYPAWAWTLSTWILQFPYSIVESVAWTGVVYYIIGFAPAPGRFFRYMLLLLMVHQMALGLFRLTAAIGRDMVVANTGGSGVLMILFLLGGFIIPLDMIKPWWKWGYWLSPLNYGQRAISVNEFLATRWSEPSALGNDTVGRNILKTYNLPTEDYWYWFSMGMFVLYTLFFNIFTTLALTYLDRKLRAISSSYYHFYQCKFVQYLRSLRFLSWHATAIKKPKAITEDEVEDSEKSSESNAKESKSKGMILPFEPLTMTFHNVNYYVDMPQEIKKQGVTESRLKLLSKVSGVFAPGVLTALMGSSGAGKTTLMDVLAGRKTGGYIEGDIKISGYPKVQATFARIAGYVEQNDIHSPQMTVEESLWFSASLRLQKEASKEKKQEFVEQVMKLVELDSLRHAMVGMAGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDEVSCLSKLLLMKRGGQVIYGGKIGNQSNVMIKYFQSISGISPIPSDYNPATWMLEVTTLAIEEKLGVDFANVYENSDQFRTVEASIRKYEEPSPGSEPLKFDTLYSQNTCSQLHKCLWKQNILYWRNPSYNAIRMLFTIICALVMGSIFWRIGVKRESTQQVMVTMGAIFSSLLFVGVKNATSVQPVVSVERTVFYREKAAGMYSPIAYAAAQGLVEIPYQFVQTIIYGVITYFMMGFENNVGKFLIYLVFMFLTFTYFTFYGIMAIGVTPTQHLAAVTSSAFYSLWNLVAGFMVPKPYIPTYWLWFHYLCPLSWTLRGILTSQFGDVEDIIEGPGFKGSVKQYLSVSLGYDETINGVSSVLISAIVLVFFTMLFFGCFAFSVKVFNFQRR >RHN44608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6783831:6785233:-1 gene:gene38747 transcript:rna38747 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNLTKDLTTAVHSTIPVITNIASSQDSLLQDVSQIISSNTSKIISNTNPLETNNTISSNISKPLTTITNTNPLETIKSISSNILSPLTTITNTTPLETIKSISSNISSPLSTIINTTPLETFNTISSHISSPLITIKNANPLETIKSISSNISSPLTTITNSLVNPLNNLVNPISLATSFLRKVQQLVLGSTQITFPPSCVFVKSHATKQALVDP >RHN79731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31657164:31660899:1 gene:gene3563 transcript:rna3563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease PH, bacterial-type, PNPase/RNase PH domain, exosome complex component RRP45 MEQRYANCWRLTVNEKKFIESSLLSELRVDGRGSLEYRKLSIKFGKDNGSAEVQLGETRVMSFVSAELVQPYKDRPNEGTLSIFTEFSPMADPSFEPGRPGESAVELGRIIDRGLRESRAIDTESLCVLSAKLVWAIRIDIHILDNAGNLVDAANIAALASLSTFRRSECSLGGEDGQQVVVHPPEVREPLPLIIHHLPVAVTFGFFSNENLVVLDPTYHEEAVMTGSMTVTLNANGDVCAIQKPGRQGIFQRVIMHCLKLAHVKAADITTKIIDAVEKHNIQREVQKVKRHSNCFTD >RHN69645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45203156:45204310:1 gene:gene18140 transcript:rna18140 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEDLFTQVILMKGILVVFSNIVHPSRFTTYTSSIRCHFYYYN >RHN72962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12168906:12169870:1 gene:gene8731 transcript:rna8731 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLCSFQKVLFECILTVVWLSSYEKLLVFRIVNLWVLVSYY >RHN50128.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4302892:4305876:-1 gene:gene34383 transcript:rna34383 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MDFINAQTMPKKIIPNNVVEVVLKHCISLDLKLYEQDIVYCKHCDNVVTGGIYWFICHITGMDNVEACMGVSYEVRKEMLEIHTNLQEGEKRSSNEVVVGSSRDGYKRRRVGSKASVVDEKNEKTSREEACQVIARFFYNNAIPFTAAKSDEFKTMFDLVSRQGVGFNPPSYDEIRGKYLTDEVKLTNEALEEHRAIWKVTGCTIMVDGSADSDTESRTILNWLVNSPKGTFFLKSIDASDMLESPEKLFKMMDDVVEEVGEENAVHIVTEYTEYYAAAAMMLMAKRTRLYWTPCATDCILTILEDCEENIPIHSEIIKKCQSLITFIHTRPSVKSLLWHFTKGKDILKLGMYQWQTSYYTLCCIHENKGALVRMFTSEEWKSSEFAEPRSRKWAEDMILDKEFWKYVMICYKGVKPLLNLLLMVDSTMVPIMGFIYEAMERAKDKIRRSLSKSAIERESFVLLKDIIDERWDKQFHSPLHAAGYFLNAQYHYSPGFRDDVKVKRGLQHCITRMVTNHKERSKIEIQLDDFDRQANQFGHPIAVITADMEIPPIWWASLVDGPPELQKFAIRVLSLTSSSYGSPRFPEAFEMVHSKSLRYRTDHDVLFVMANSKLAEKKRTSAELNIDDNGDVEGLNVEASDLDTPCLKCLADAGIADLHDVNGYGDEDGDEDGYEDEN >RHN73028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12854923:12856400:1 gene:gene8802 transcript:rna8802 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNYVNRVTASIVVLHAFLILTQISCSFVLTPPKNYSDVDLLEFPLNLEYLEAEFFLFGSLGHGLDVVAPELAEGGPPPIGAKVARLGDLVRDVILQFGVQEIGHLRAIKSTVRGFPRPLLDLSKSSFAKIMDSAFGHPLHPPFDPYANDINYLIASYVIPYVGLTGYVGANPLLRNATSKKLVAGLLGVEAGQDAVIRTLLYERRAWKVHPYGVTVAEFTNRISTLRNKLGNEGVKDEGLGFTSPFSGNILSADNNSLSYPRTPQEILRIIYGSGNESVPGGFYPKGADGRIARYYLHDT >RHN60873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31213612:31217751:-1 gene:gene23263 transcript:rna23263 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NUDIX hydrolase domain-containing protein MEKKKEAGDSSNTEPSSFKLLASFPSGLSPSQVSVAFSDAYDRIPHSDITLENTIPEIWDQRSLNNKSLFNGNKFRYGGHVLHTGGESGSEPHVCLHLGLTDYRTFVGTNLSPLWERFLVSSEDDSVLCQHTSSPLGNGAVVETIDSKILVLQRSNNVGEFPGYFVFPGGHPEPQEVGITSRQYAKELTESINIKVSQEMFDSIVREVVEEIGVPASSLSIPAFIGISRRDLNVRPTAFFFIKCNLDSKEVQQFYSSALDGYESTKLYTVPMIELENMASRMPGCHRGGFALYKLMVDTRKIT >RHN44513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5568628:5574505:1 gene:gene38641 transcript:rna38641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGSICSCLSVDDFEDYMNPHSHVYRNCVCVGCFLQNISAVYSSIFHRREMQALPSSVQGAASMTNTASLDNSLAEIYRSPPRPLPYDADPRHFRSQHDGLVSRREKGSSHLNEESEPLRVDVDVDPESLSSSGKWKESTGKDGSKEYRSKSSVRLSSAKLTTGAALVYASSEEEDVCPTCLEEYTEENPKIVTKCNHHYHLGCIYEWMERSDSCPVCGKVMLFDESP >RHN48772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50088978:50102946:1 gene:gene43524 transcript:rna43524 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGLEGFKTAQMIRIVTRTMKRKIMIPQKIFLKRLCFFPLWWLHSFTDMVLRLMLRLRLRLLRFCLVEFGESEKVLRHPK >RHN38396.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000028.1:5966:9426:1 gene:gene50782 transcript:rna50782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Smr domain-containing protein MDWENLDKQVPLRLRSLAVHVITGVGNHSRGQAALPTAVRSFLSENRYRFEEMRPGVITVWPKFRQS >RHN45731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25090372:25091311:-1 gene:gene40121 transcript:rna40121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MILDRTIFPRSSINTTASDPEGKFLVLNVCIDMPPKVTSDGNWANHDQAAMPMQSTLPLLELQILTIFAITQCFHLILKRLGVPYFVSQIMAGLVLGPSLKFSKTWTGFKNILFPYGTEDVISVISLIGYAFFLFLTTVKMDFTMITRTGRKAWTIAFCSF >RHN76759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1053509:1059439:1 gene:gene132 transcript:rna132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BAH domain-containing protein MNIFFTNHKYRRRRKRRRRSHTAPQTAHFTPYKSTSVLFSLSLSPHSSMAATKPNSTLSPPPSPETLEFKWGKKRGKGGKKRDTQFYESFTFDGEDYSLFDTVYLQNGNEPQSEPHIGKIIKIWEVPNREKAKKVKIQWFFRPREVSKFLKRIQIYYNELFFATGVGNGLTNINPVESIAGKCNVVCISKDARNPQPSDEAVQNADFVFYRYFDVGQRKIVEEEEVDEKSVGIDGFHLLNYGCVGLNPNSLHFCNQPLDEDWITWNQTQQIGF >RHN53785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4140333:4149277:-1 gene:gene28731 transcript:rna28731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MCRQNSPVHNSGEEEITADESLLIYCKPVEFYNILYRRSLHNPTFLKRCLRYKIKAKQKRRLRAGIVVFNYRDCYNALRKTEVTEDFSCPFCLMQCASFKGLRFHLCASHDLFNFEFWVTNDYQAVNVSVKTDILRSENVADGVIPQSQTFFFCSRSRKRRPRGSVQNGKHANVNFLKLDSPEGIQNGVLQKDNDILSSKGENMSRASRSEKNLQNEGNGGVKFGPDHPSAMDYAEHVESSFNIPGASIAMPQSSEDPECSKSIYKSDPALPTKAKKLNADRSDSKSRMLLQKRQFFHSHRVQPMALEQVLSDRDSEDEVDDGVANLEDRRMLDDFVDVSKDEKQLMHLWNSFMRKQRVLADGHVPWACEAFSKHYAKELNSSRTLFWCWRLFMVKLWNHGLLDASTMNNCSIILESNQNGGSDSGTN >RHN55817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24265648:24267201:1 gene:gene31085 transcript:rna31085 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:aoc MASMSSLKMISSLNLSTSPLQTQNQIVSSGLSLSFPSRRNFNTSTTKQVSTTKRSSQNTRTNALFFNNQKQHQESSKPAKVQELFVYEINERDRGSPAYLRLSQKSVNSLGDLVPFSNKLYSGNLEKRLGITSGLCILIQNEPEKKGDRYEAIYSFYFGDYGHISVQGPYLTYQDTYLAITGGSGIFEGVYGQVKLQQLVFPFKLFYTFYLKGVADLPADLLGKPVDPSPHVEPSTAAKATEPHASLPNFTN >RHN82516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54380713:54381868:1 gene:gene6687 transcript:rna6687 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMKYRCRQQHPFLESKNVHHCVCMCVCSDQIRVSTVFKPP >RHN50532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7957386:7957943:1 gene:gene34828 transcript:rna34828 gene_biotype:protein_coding transcript_biotype:protein_coding MITPPTLSQASGWLVCNFASSLSQPIRLTRKELKQDVHEKL >RHN56162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28373276:28374357:1 gene:gene31519 transcript:rna31519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rho GTPase activation protein MECVILLNSFVLIFHTCSATVFGVSTESMKLSYDRRGNIVPTILLLMQEHLYAQGGLQAEGIFRINADNRQEEYHRDELNKGVVPEDIDVHCLTGLIKGATKGVLDSLSPEQVMQCQTEEDCAELASHLPHTEASLLNWTINLMADVVQEEHLNKMNACNIAMVFTKHDSG >RHN59151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7957908:7959770:1 gene:gene21142 transcript:rna21142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MVFTSIPAAYLDAANWQQQQQNHHQQPGNGGSVSQQLLQTQTPPPQQSQSQPHGGGSTGSIRPGSMSDRARMANMPMPETALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGFRRNKRSSKSSNNGNSTKSPASSDRQTGSASSTNSITSNNNIHSSADILGLTPQMSSLRFMTPLHHNFSNPNDFVGGGDLGLNYGFSYMGGVGDLGSALGGNSILSSSGLEQWRMPMSMTHQQHQFPFLANLEGTNSNLYPFEGNVQNHEMITSGGYVRPKVISTSGIMTQLASVKMEDSINRGFLGINTSNNNSNQGSEQFWNSAVVTGNSAANWTDHVSGFSSSSNTTSNQM >RHN39107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4142958:4143559:1 gene:gene45101 transcript:rna45101 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSTRLQFTIHGGCTVQYYLILHHFFIAGTRSLFCTYLVISKPRKIIDGRLIIGKRQLCLY >RHN57337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38183136:38186202:-1 gene:gene32863 transcript:rna32863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MHYFFTLFTFFIFLTFIALTNAGNIGINYGRIANNLPSATKVVQLLKSQGITHVKIYDTDPSVLRALSGSKIKLTVDLPNQQLFAAAKSQSFALSWVERNIVAYQPNTIIEAIAVGNEVFVDPNNSTKYLVPAMKNIYRSLQKHNLHNDIKVSSPIALSALGNSYPSSSGSFRPELIQPVFKPMLDFLRETGSYLMVNVYPFFAYESNADVISLDYALFRENPGQVDAGNGLRYLNLFDAQIDAVFAALSRLKYDDINVVVSETGWPSKGDGNEVGASVENAAAYNANLVRKILTSKGTPLRPKADLTVFLFALFNENQKPGPTSERNFGLFYPDEKKVYNVPLTVEALKNYHDDPSPASPVSGGGGSGGGGVSKSTTGNTWCVANPYADKNKLQDALDFACGEGGADCRPIQNNATCFDPNTLVAHASFAFNSYYQKQARAGGSCYFGGTSYVVTQEPSKYSIITFSHCHCYLLDSCNKA >RHN59950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15243309:15253167:-1 gene:gene22122 transcript:rna22122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, non-heme dioxygenase domain-containing protein MLNSSPFPPLVPQNAVVPRHTMSSYSTVSIPFSHLQDKNHDLSLKIEEGFGPNGLGILLVTDVPGYSTLRRNLLHLAPRLANLPKEVKDDLEDPNSRYNFGWSHGKEKLESGKLDLLKGSFYANPILDTPTTDKSLIQRYPSYCGSNIWPKNTLPELEFAFKALGKLIFDVGLLVAYHCDQYVSKGMKIRKDEGLESILNRSRSHKGRLLYYFPAQQGISGGNSMSSWCGWHTDNGSLTGLTCGIFTRDGVEIPCPDSTAGLYIRTRNDQIVKVVYGADDIAYQIGETAEILSGGILRATPHCVQAPKGEESSGVERSTFALFMQPDWDEKLSFPEEVHIHKELIPSNASLTYGEYSEIVIDKYYDKKQ >RHN60706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29732513:29732644:1 gene:gene23061 transcript:rna23061 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNLADKLLRESQICCLQGFVAISLFPLMLSFAGRARACSFN >RHN79777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32045308:32046329:-1 gene:gene3613 transcript:rna3613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MMPTLSKIYARGCRLLLPKHKDILSSTVASNVEHLILENNNLSDECIRVVLTLCANVTCLRLSEKNMKILPECLSECHLLKVLRLDDCKSLEEIRGIPPNLKWFSAMRCESLTSSCRRMLLSQKLLEAGCIEICLPTGTEGIPDWFQHQNWEHTVSFWFRKEIPSIKSVILFSDPKLSDDDDDDDDSDDDNDDTELRVKLCVNGYEYTLSGDWWMSSHFKLQSDHTYLFDLKLEKMIQFYNQHYENLMCELDEALLKYEWIQVELQLIEKGPHFNFCEEHTQMGIGMLKKKRSTEENVRFTDPYRKRKLD >RHN72908.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11758269:11759379:-1 gene:gene8674 transcript:rna8674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MANLSLMLPLLALSLLAVFAADATTLQDFCVTNPIGQGNSLCKDPKLVEANDFFFSGLHIAGNTTNAAGSKVTPVFAAQLPGLNTLGISMARVDIAPWGVNPPHSHPRATEIFTVLEGTLEVGFITSNPENRHFSKVLHKGDVFVFPIGLIHYQRNIGHDNVIAIAALSSQNPGAITIGNAVFGATPEIASEVLIKAFQLEKNAINYLQSKF >RHN46969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36136552:36142125:1 gene:gene41513 transcript:rna41513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAD-like domain-containing protein MGELYALDFDGVICDSCGESSLSALKAAKVRWPVLFDGVDSTIEDWIVDQMHTVRPVVETGYENLLLVRLLLETRTPSIRKSSVAEGLAVEGILESWSTLKPIIMEEWGENREALIDLFGKVRDEWLEQDFAAWIGANRIYPGVSDALKFASSKVFIVTTKQSRFADALLRELAGVTIPSERIYGLGTGPKVEILKQLQKRPEHQGLTLHFVEDRLATLKNVIKEPELDKWNLYLGNWGYNTAQEKEEAAAIPRIRVLQLSDFSKKLK >RHN76540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51093128:51098695:-1 gene:gene12883 transcript:rna12883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Phox domain-containing protein MHPYAYDLSPLMNDISYTTYPYPEEEYEDDYLNPLGNDAVSETPSPLPFGMDWSPPPPNWNGPTTLWPHTSSSSWTFSSTIPSWLLVPQSTPSSDPVVFYKIQVGVRSPQAITTTRIILRRFSDFLDLFSQLKKEFPMKYLPSPPPKKILRIKSEALLEERRRLLEDWMKKLLSDISVSRSAPAAIFLELEAAARASFHDANQPISDEQTSGGTTPSHRIQDGSHGFVKARGSSAASVSGDDTPSEVSELGTPRHAKFESSDHIVDNLTSSHDLNNPTETSVDHAASSQDFINEDNSTDKVAENSGDAIALRLDGADFTPANAHVKRPSTESIGSDLTSSSAVSTLLQDVSHDLPGSCVSSGNSDLLLTLPLDERHKLNRIINTQKQRLATAKTDVEDLVARLNQEMAARQYLVTKVKDLEVELETTQLNCRENMQQAVLTEKERFTQMQWDMEELRRNCLETEMKLKFEEDERLLAESTKASVIQEKQMLQQELDVAREQLKHLQKHHDEFEMKSKTDMKLLVKEVKSLRSSQLELKQQLSELMEEKVDVERTLQKEKERIQFSHDANAKLLHECAILQKRLQECSVNFLVEEEDKLNVDTSPSDALDLLATSDNRIGLLLAEAQLLAQDVENVVDAAEGYRTTDDTGTTNEELRKMLANIFVDNASLRKQVNSVIRCALKSEENEEDEIHLQKTFLSKFLER >RHN77011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3165791:3166311:1 gene:gene418 transcript:rna418 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNEDWRKNAETHKMSSEEVKAAGVEASKRPPGSNHGSAAAGANVLHQRKSLPYNFTTMTIGGLLITAAIGYTVLYTKKKPEASAVDVAKVTAGVANPEDTHPKK >RHN50153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4534669:4541347:-1 gene:gene34408 transcript:rna34408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MFPCIYAIGPLSSFLNQSQQKHLASLGTNLWKEDTKCLDWLESNEPRSVVYVNFGSITVMTAEKLLDFAWGLANSKKPFLWIIRPDLVIGGSVVLSSEFVNEISDRGLVASWCLQEQVLNHPSIGGFLTHCGWNSTTESICAGVPMLCCPFFADQQANCRYICNEWEIGIKIETNVKREEVEKLVNELMSGDKGKKMRQKTIDLKMKAEEETRLGGCSYMNLEKVIKEPMFITCFSTSYLAHITITFNRELSKMGNFANRKPHAVLIPAPLQGHINPLFKLAKLLHLRGFHITFVNTEYNHKRLLKSRGPNALDGFPGFSFETIPDGLTPMEGDGDVSQDIPSLAQSIRKNFLKPFCELLTRLNDSTNVPPVTCLVSDYFMSFTIQAAVEFAIPNVILFPASACFLLSIHHLRSFVEKGLTPLKDESYLTNGYLETKVDWIPGLKNFRLKDIADYIRTTDPNDIMLNFVIDVADKDHKNSTIILNTFNELESDVINALSSMFPSLYPIGPLPSLLNQTPQIHQLDSFGSNIWKEDTECLKWLESKESGSVVYVNFGSLTVMNEEKMLEFAWGLANCNKPFLWIIRPDLVIGGTIVLSSEFVNEISDRGVIASWCPQEQVLNHPSIGGFLTHCGWNSTTESICAGIPMLCWPFFSDQPTNCRLIYNEWEIGMEIDTNVKREEVEKLINELMVGEKGKKMRKKAIELKKKAEENTRPGGCSYMNLDKLIKEVLLK >RHN58176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44346848:44347723:-1 gene:gene33793 transcript:rna33793 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFKLFQSHNLKFLLSFSLLCVLFSFLPLLSQSLNPFLMQFFSYIIEKTYMFLLCNTLLAFIALYSNLINPSSSTTHQNIQHVLDSEKSNRFEFYISESEITEPIGGDNVTESESQQEEKSLIMVEPENVVIEAEEDEEVKSLVIVEQENMNIEEEENDPMIIDKDETEKLNKKCEDFIKKMKATFCYDSRAENKFYFDYDQNHKSLVLVI >RHN69571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44503337:44503899:1 gene:gene18054 transcript:rna18054 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSNSRGKDGEGTSGINRVDDDDDDSYEYLQEMNFVPYETLLHNNMNTNDFVVPFVMQQEPLVLQFLSEQYLRKDANQ >RHN42148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35846271:35848043:-1 gene:gene48552 transcript:rna48552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LysM family MVSSFFHTLIFFSATHILLQLPQANGKNFSCTLNSSPSCDTYVAYFANSPNFLTLTAISDIFDTSPQSIARASNIKDENMNLIHGQLLLIPITCGCNGNGNYSFANISHLIKESESYYYLSTISYQNLTNWQTVEDSNPNLNPYLLKIGTKINIPLFCRCPSNYFAKGIEYLITYVWQPNDNLTLVASKLGASPKDIITANTNNFGQNFTVAINLPVFIPVKNLPALSQSYYSSSERKRINHFSIIISIGICLGCTILISLLLLLFYVYCLRKRKACENKCVPSVEITDKLISEVSNYVSKPTVYEVGMIMKATMNLNEMCKIGKSVYKAKIDGLVLAVKNVKGHITVTEELMILQKVNHANLVKLVGVSSGYDGNHFLVYEYAENGSLYNWLLSEFCTLSWSQRLSIAVDIAIGLQYLHEHTQPCIVHRNIKSSNILLDSKFKAKIANFSVARTTKNPMITKVDVLGYGMVLMELITGKKFLSYSEHSEVNMLWKDFKCVFDTEQKREEIVRRWMDPKLGRFYNVVEALSLFTLAVNCIEEQPLLRPTMGEVVLSLSLLTQPSPTLLEVSWTYGLDVEVAEMVTPIIAR >RHN40798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21282291:21290576:-1 gene:gene47009 transcript:rna47009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MCEVEQDLSYNDLSGSLPSWINEPNLQLNIVANNLTIGNSNISGLPIGLNCLQKNFPCNRGVGRYSDFAIKCGGPQLTTDGIVYEKDNETLGPATYFVTDTNRWAVSNVGLFTGNPNPLFQSIGSNEFNGTVNSELLEHLIWTLQQRVLLQTARISASSLRYYGLGLQNGFYNITLQFAETTILDSPTWKSLGRRIFDIYIQGTRVLKDFDIQKEAGGVSYSAVQKQFRFEVKENYLEVHLFWPGKGTCCIPGQGTYGPLIQAISATPDFVPTVNSKPPSSKKNKVGLIIGVVVGVGAVCFLAVSSIFYILRRRKLYNDDDDLVGIDTMPNTFSYYELKNATSDFNRDNKLGEGGFGPVYKGTLNDGRFVAVKQLSIGSHQGKSQFIAEIATISAVQHRNLVKLYGCCIEGNKRLLVYEYLENKSLDQALFGNVLFLNWSTRYDVCMGVARGLTYLHEESRLRIVHRDVKASNILLDSELVPKLSDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGRLTEKADVFSFGVVALELVSGRPNSDSSLEEDKMYLLDWAWQLHERNCINDLIDPRLSEFNMEEVERLVGIGLLCTQTSPNLRPSMSRVVAMLLGDIEVSTVTSRPEYWTDWKFGDVSSIMTDTSAEGLDTSNYNSTASTSIVSGAYIHSPNDPSKSILHDNLRDDGW >RHN78738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17978487:17979161:-1 gene:gene2386 transcript:rna2386 gene_biotype:protein_coding transcript_biotype:protein_coding MFILPMFFIFIFPFPAQFFFSSLTPKNHDTIITFLFLINFLLELSSSFSKSNLTQYISNRNPFFIFHSWSFLSTKHN >RHN75661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44143360:44144777:1 gene:gene11896 transcript:rna11896 gene_biotype:protein_coding transcript_biotype:protein_coding MNRATATSKAWMVAASVGVVEALKDQAGICRWNYGLRQAQQHLKNRVRSISQAKNFSSSSFLANKLKDEKKAKQAEESLRTVMYLSCWGPN >RHN79545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30031886:30034490:1 gene:gene3350 transcript:rna3350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium/calmodulin-dependent protein kinase MPQLDFETLVSALSGVANDSKVACEPTDEAEDSPPESFWLSNDAEYDWWDRNAVYERNESTKASSISINPNSASNSQRFSKNLKKSKATTIIGLPKSQKASFAEARGCRRNHHKPGNTRLFPKRSASIGGKSDSTVFEPSSPKVSCIGRVRSKRGHNRRLRTRQRSISSTATGVSAAGVVRQKSSRSTQRKKKTGFIESVCSIFRSHRREKTVQKSNPPVEDSSTKKKRNNGRKTREGSTVSRTVEDTVHSEPAGLGSMNRFASGRRSESWGVGEIENHV >RHN72499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8101764:8104646:1 gene:gene8218 transcript:rna8218 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTTTLHNQVHSSGHSIIPTALHRQKHRNSAIAIALRRRGTHKKYSGISSPVNFRQGFVKNEISARKLAAGLWQLRFVEVSGDGGAAIGDEPFRSSKSKLAYRNVNYQNSKDKFKVTKDQKRKPVTILRSRNGLLCELEAFMPFIKSSKEGKTKLADDQHDSIVTVLLEELLRAQRSINKLKAERNSSKKKVEQFLQNLQDEKVLWKCNEHKKIEKMLDELKDKLTRERRSRERMELLNTKLLHELDVGNESTAQFMKNYEKEKRERKLTEEVCHELTKQIGEDKTKIEKLMRDSIKIYKEVEDEREMMQMTEQWREVRVQMKLDDAKNVLEEKYNQMVELIAYLQMFLRSKGDEANQKEIEDARLIKQVVESVNIQQILELSYNFSKSDDVFPIYEECREKVGKEYSPASNQVASCRNDETMISHTKYSQHRMENNMIGETTTSLCKDYSVEGSFKHFELLKQANSTDHNINPHIARGMKGCIEWPRVIPKPNLKVIPLEERVKSQKSQLQHILKPKAF >RHN72227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5931994:5939242:1 gene:gene7914 transcript:rna7914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S10, serine carboxypeptidase, alpha/Beta hydrolase MAKFRFHDFLFGKVPFLLLLLFLSNICFQVATCGSIVKFLPGFQGPLPFVLKTGYVGVGEQEDVQVFYYFIESERNPKEDPLLLWLTGGPGCSALSGLVYEIGPIMFKKEYYNGSVPNLILRPASWTKVSSIIFADLPVSTGFTYATTESGAKRSDLIQVNQAHEFLRKWLVEHPKFQSNEIYIAGDSYSGITIPAIVQEIAQGNEKGLQPKINLQGYVLGNPLTIRKEKNYQIPYAHGMGFLSDELYESLQKNCNGDYTNVDPKNLLCSRDINSYDEVIKGINTAHILDPTECRWLRPENILRRSLIKKYLSRVPPISCPNYPQLLSGYWANNSTVRKALHIREGTIGKWSRRSDRIPYTGDISNSFDYHVNLSDKGYRSLIYSGDHDISIPFLDTKAWIKSLNYSIVDDWRQWHTDGQVAGYTRTYSNGMTFATVKGGGHTAAEYRPEECLAMFSRWISKRPL >RHN80726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40459095:40459514:-1 gene:gene4685 transcript:rna4685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGCVSLTASICVEQSPILRPRMSQIVTLLRGEKSVMYARACSKRRNLQRTYSEELLDAQEYNSTKYLRDLNRHKKILGLEV >RHN41994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34611212:34614711:1 gene:gene48376 transcript:rna48376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MELKVGDDGETDRKQYGSDLFWWLTVSIVAILVVGAGVFTILINFHHHRSQSHSSQPYDIVPKYASSLQLALQFFDVQKSGKVQNNRVWWRGDSGLRDGSEENLDLSKGLYDAGDLMKFGFPMAFTATVLSWAILEYGNNMDAVKQLDYALESLKWITDYLVNAHPFADVLYIQVGDPEVDHNCWERPENMTEKRPLTQVNSSFPGTEVAAETAAALAAASLVFKEINLTYSEILREHAQQLFMFADTYRVSYSVSIPQVGKYYNSSGYGDELLWASSWLYHATKDPSYLTYVTETNENEFGSIGSVSWFSWDDKHAATQVLLSRVNFFGARDIPDAENLDLQKYRETSEMLMCNLLPDSPTATTNRTKSGQIWVVPWNSLQHSVASAFLAVLYSDYMLTSQTENLYCSGKMYKPVDLRKFAISQVEYVLGENPMKMSFLVGYGSNYPKYIHHRGSSIPVNAKTGCKDGFKWYDSPQPNPNVAFGAIVGGPFFNETYNDFRNNSMQAEPTTYSNALLVALLSGLVASSSVVSSF >RHN81425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45819420:45822470:-1 gene:gene5464 transcript:rna5464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative asparaginase MVGWAIAVHGGAGVDPNLPPQRQEEAKQLLTHCLNIGIFALRSNGSAVDVVELVVRELETNPLFNSGRGSALTAKGTVEMEASIMDGTKRRCGAVSGVTTVKNPVSLARLVMEKSPHSYLGFSGAEEFARDQGVDIEDNEYFITPDNVGMLKLAKEANTILFDYRIPTAENEKSPLPMNGLPLSAYSPETVGCVVVDSEGRCAAATSTGGLMNKMSGRIGDSPIIGAGTYACEVCGVSCTGEGEAIIRGTLAREVAAVMEYKGFGLQEAVDFVIKHRLDKGFAGLIAVSSKGEVAYGFNCNGMFRGVATEDGLKEVGIWK >RHN53565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2586442:2588870:1 gene:gene28484 transcript:rna28484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase MRCSLLINSLILLFVMGSWNGLLAITDDEFISTNSSANYDYADALGKAILFFEGQRSGKLPKDQRVKWRGDSALSDGKTQNVDLVGGYYDAGDNVKFGWPMSFTVSLLSWAAVEYESEISSANQLDYLRSAIRWGADFILKAHTSPTTLFTQVGDGNADHNCWERPEDMDTPRTTYKIDSNSPGTEAAAEAAAALSAASIVFKKTDINYSSKLLSQSKSLFDFADKYRGSYSGSCPFYCSYSGYQDELLWAATWLYKASGESKYLTYITSNQGWSQAVSEFSWDNKFVGAQTLLTQEFYGGREELAKFQTDAESFICALMPGSSSLQIKTTPGGLLYIRDSSNLQYTTTSTMVLFIFSKILNKNHIDGIHCGSAHFSPSEIRAFAKLQVDYILGNNPMKMSYMVGYGSKYPKQLHHRGSSIPSIKVHQTKVGCNDGQSNYFSSSNPNPNIHVGAIVGGPNSNDQYNDARSDYSHAEPTTYMNAAFVGSVAALLGESNTETFQFS >RHN72144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5353641:5356683:-1 gene:gene7824 transcript:rna7824 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRVRYTPLATDEDDYVGNQTRSFDPRFDYTPRALDRVPWKSIALALFLLFLGTGLLFLSYFIFTGHMGGERSQAYGLLALGFLSFLPGFYETRLAYYAWRGAKGYRFSAIPDY >RHN40179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13900564:13902409:1 gene:gene46294 transcript:rna46294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MASSLSLLTLLLLTVISTVKSCPPSDRAALLAFKSALTEPNLGIFNSWSGYDCCRGWHGVSCNPTTWRVTDINLRGDSEDPIFQNLTHSGDMTGEISPEVCKLDELTTLVVADWKSISGEIPSCITSLSSLRILDLTGNKISGNIPGNIGKLQHLTVLNLADNAISGEIPMSIVRISGLMHLDLAGNQISGELPSDIGKLRRLSRALFSRNQLTGSIPDSVLKMNRLADLDLSMNRITGSIPARIGKMRVLSTLKLDGNSMTGQIPSTLLSNTGMGILNLSRNGFEGTIPDVFGSKSYFMVLDLSFNKLTGRIPGSLSSAKFMGHLDISNNHLCGTIPIGSPFDHLDAASFSNNDCLCGNPLKTC >RHN43941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49635301:49637461:-1 gene:gene50580 transcript:rna50580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MGGLGRTSSLSPLWLWIPIYICVVVVVVCVSVNVSAELQRIEHPAVKADATLSFLVIGDWGRKGTYNQSQVAFQMGRVADKLNIDFVVSTGDNFYDDGLTGVHDPAFQYSFSDIYTANSLQKQWYNVLGNHDYRGDVEAQLSPFLQNIDHRWFCQRSFFVHTEIAEFFFVDTTPFVDKYFLKPKDHKYDWRGVLPRKKYLSNLLKDLETALRDSTAKWKIVVGHHPVRSIGHHGDTKELLTHLLPILEANNVDMYMNGHDHCLEHISSTDSQMQFLTSGGGSKAWKGDVDKNRRDGVKFYYDGQGFMSVELKQMNAKVVYYDIFGNVLHVLNLSKALHYAI >RHN74210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30228230:30228775:1 gene:gene10231 transcript:rna10231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MNNIHLRVEMEQLQKKRKSCDEASTNLKTSRRRLCNNKNEEQNNNQNNDNKGSVSTTLKLYDDPWKIKKSLTESDLGILSRLLLAADLVKKQILPMLDVDDARAAETEEGSPVNVWDMETNSMHELVLKRWSSSKSYVLIGKWNQDFVRRRELKKGDEIGFQWDPFNRAFNFCVLKRAIPP >RHN57994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42987242:42988153:1 gene:gene33598 transcript:rna33598 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMCRLKFQNISTLTQGMKTHSNLMKFTQIFISLSVFSFICSPSSLFVILHYFKLYFSTFPSQLYTHNIDKNNMFLLCNGLLVFVGLTKSFSNSSSDDDDKPSNIEEKEEEICSRSHVLDVEVNEPLLEREVEMRTNEADEHNAAVEEKEVQEKVEKIIFIEEEQEEMKDVEEEVELFDADDEDGDKGSEIDYILIEENNIEEEEHEEEEEEEESSVLSTEELNKKFEDFIRKMKEDLRIDARRHLVMV >RHN57422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39039609:39045600:1 gene:gene32965 transcript:rna32965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MTRICCSSRIIKLLLIVSFLHGGFALTTDGLTLLSLLTHWTFVPPLINSSWKASDSIPCSWVGVQCDHTNNVISINLTNHGILGQLGPEIGNFYHLQNLVLLGNGFTGNVPSELSNCSLLEYLDLSKNRFSGKIPYSLKKLQNLKVIGLSSNLLTGEIPDSLFEIHSLEEVSLHSNLLSGPIPTNIGNLTHLLRLYLHRNMFSGTIPSAIGNCSKLEDLNLSFNRLRGEIPVFVWRIQSLLHILVHNNSLSGELPFEMTELKYLRNISLFDNQFSGVIPQSLGINSSIVKLDCMNNKFNGNIPPNLCFGKHLLELNMGINQLQGGIPSDLGRCATLRRLFLNQNNFTGSLPDFASNLNLKYMDISKNNISGPIPSSLGNCTNLTYINLSRNKFARLIPSELGNLLNLVILELSHNNLEGPLPHQLSNCSHMDRFDIGFNFLNGSLPSNLRSWTNITTLILRENYFTGGIPEFLAKFRNLRELQLGGNLLGGKIPRSIVTLRNLFYGLNLSANGLIGGIPVEIQKLKMLQSLDISLNNLTGSIDALGSLVSLIEVNISHNLFNGSVPTGLMKLLNSSPSSFMGNPLICVSCLSCIKTSYVNPCVSKSTDHKGISNVQIVMIEIGSSILISVVLVIIIQRRFLRKESDTEDLKQWYIGRGAGLIGTRYAYEFNVSGEDKPPDLQKLVLQATENLSDQYIIGRGAHGIVYKALLGQQVYAVKKFEFTSNRVKRLRMMCNEIEVLGMYKHRNVIKYADYWIGKDYGLVLYEFMKNGSLHDILHEKKPPPLFTWSDRLKIVVGIAEGLAYLHNDCDTPIVHRDIKPKNILIDDNLEPIIADFGTVLYRKLSEDSYGHSETRKMRSSIVVGTPGYIAPENAYAIVQSRKSDVYSYGVILLEIITRKKVVVPCLNDDTNVTSLVSWARSVWLETGKIEYIADSYLARRFPNSAALTRQVTTMFLLALQCTEKDLRKRPIMKDVIGLFKMHLFKRCDEEEYGDAVAANMLPNIPFVSIDHNLHGESSRAAAQRQREVIFNMETDPMDYYSDFGFWQDTEHEPMDYYSDSSFWHDIDQFLSGFGVEDDWNLKPTICIDGLLVKLMGNGKIIAEKLVKVAALNVPKVTYVWPCLIFLPSGVGPVVTKPFNWFFLSRWGQYMHLQQSLYYQPKSYFLNANKINALQDLVLEATENLNDHYIIGRGAHCSVYKVILGQQAFALKKFEFGRNNKMQLSVMFNEIEVLAMFKHQNLMKYAHYWIGGDYGLVLYKFMENGSLHDILHEKKPPPPFIWSDRLKIAVGIAQGLAHLHYYCIPPIVHLDIKPNNILLDDNMEPIIADFSTALLCDMSEDSCSHFETRQMFSSHVFGTGDYTTPENANAAMHNRKSDVYSYGVVLLELITRKKVFAPYFDDETKETSLVCWARSIWLETGKIEKIVDSYLASSFPNSVELTKQVTSMFLLALQCTATDLRKRPTMKDVIDLYKSDMCKWRCGEVEDGDAFVANTTLQPCSTCNIFSDVPVVSIDDHLHGKVTFNVDAEPRDNSDFGFWQDADKFPLGVYDWDDWKLMSRPAVGIHGLVIQLMGNCETEVKTVVVAALIVPKITYSQPCLIYLPSITGPTLTQLFNWFFLSQWGQYMYQKKSLYYQPKSYFIHANHIDSLLFSFFEGI >RHN47846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43001338:43004283:1 gene:gene42492 transcript:rna42492 gene_biotype:protein_coding transcript_biotype:protein_coding MKHWKLRVEEECIQKQDAVIAPEQVARQKVAELKSVLDSEKSQGSVLKAIMKAKETGQIEGIYGRMGDLGAIDAKFDVAISTACSGLDYIVVETTTAAQACVELLRRENLGVATFMILEKQVDLLPMMKKSVSTPEGVPRLFDIVKVQDERMKLAFFAALRNTVVAKDLDQATRIAYGGNNEFRRVVTLDGELFEKSGTMSGGVVSPRVGRWAHRFEVQMCLEKTLQELRRNCLD >RHN65555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3178838:3179728:-1 gene:gene13402 transcript:rna13402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MVSESSSISSTSRDRGECSHSKKVANMKEIEHIQSSNTKPDNPIDFMKPSKDDPVPVSKVQEHNFFGPIQIGSSSCFPNDNNQGKDENINEEKNSDSRSFSCSFCKRQFSTSQALGGHQNAHKAERALEKQRKQRYDDSASSLGQSYFYPTLFRPYDYRSLGVRTESMIQKPPYISPKITPHSFGYRHSALLQEILNPSLVSLRNMRGGNSGFGNLAIGGASTSRIEDGTNNKIGAILKLGDSSTNVAASSNSNIEKQIIVAPTSTKDDIHDQSKSNIEKEPSDSESSELDLSLKL >RHN75452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42408998:42410562:1 gene:gene11663 transcript:rna11663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MALQKFEQEDQNSALSLTLDDFQCNNDKNFSSLNMDEFLASIWSSNDEDTAQTHNNTESVATAEHTISQQLGNSSSVPPPICKKTSDEVWSEIHKNQPQFKEANNLKRNETLKKQETPGEMTFEDFLVKAGVVKQSSSLSFQNHSGNVSNNMEPLNIASSGLRPSMEVGFPTQCVTSNSSATYQMTSGAESSGAANRKRIIDGPPEVLLDRKQRRMMKNRESAARSRARKQAYTIELEAELNLLQEENKQLKQFLAEAERKRKQELLQRKQSAKVQKGTEKSSSSTTW >RHN53649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3096016:3101696:1 gene:gene28578 transcript:rna28578 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-methanol-choline oxidoreductase, FAD/NAD(P)-binding domain-containing protein MGALLWKLNLLFLAGILFSLKHCASHRDTGRKYSFMQDATSAPIISYYDYIIVGGGTAGCPLAATLSQNHRVLVLERGGSPYGNPNITNLSAYGVPLSDTSPSSPAQRFISEDGVINSRARVLGGGSCLNAGFYTRASPRYVREAGWDGKLVNESYKWVERVVAFRPSMRQWQSAVRDGLLEVGVLPYNGFTYDHIHGTKVGGTIFDHNGHRHTAADLLEYANTNTITLLLHATVHRILFTTHKERSNSRPVAHGVLYKDARGTEHRAYLNHGTKNEIIVSAGALGSPQLLMLSGIGAGHHLREHNISVVLDQPLVGQGMSDNPMNAVYVPSPSPVEVSLISVVGITNFGSYIEAVSGAAFTSNGSEFTMFTPKIGQFSKLPPKQMILQAIAKAIGRIESLDQEALRGGFILEKVIGPISTGHLELRNTDPNDNPLVTFNYFQDPRDLERCIQGMGTIEKIIDSNAFAPFRYNNISFSMLLNMIANAQVNLLPKHTNTSMSLEQFCRDTVMTIWHYHGGCQVGRVVDNDYKVLGVDALRVIDGSTFNYSPGTNPQATLMMLGRYMGVRILRERLAADETN >RHN52773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38634777:38635994:1 gene:gene37478 transcript:rna37478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase MMLLEMVGGRKNINADASQTSEIYFPHWVYKNLDLRNDLRPDEVIVSEEDEIARRLTIVGLWCIQTFPNDRPTMSRVIEMLEGNKNCLEIPPKPILSSPTRSVQESSAS >RHN43177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43778119:43785176:1 gene:gene49713 transcript:rna49713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diacylglycerol kinase (ATP) MSFVMFDLGIVILRFLTSPDASIASIFGWLITGSFGLVAVIYAVLKWQRRASLNWIKAAAREKKKVWKKFKVPLSDHLWVEDFTYGEQPSTCCFCLTSLWPSQNIGATASPRTPLHRCSVCGVAAHFICSPYAAKDCKCVAQAGFSHIRHHWSERWVNVDDHHEMSAFCFYCDEACGVPFVKSSPTWHCRWCQRLIHVKCHNNLTRDSGDFCDLGSLRPIILSPLCVKEVDENQKGGKLSSIITSSVRGQIRKRRNHNKNGGGCHTNGKSRGSAVADPTLLEYVLNGLKRKKYNDGKLFDPTNNGRVSGDGLNVTPSQIKKYTLVDLPKDARPLLVFINTRSGGQLGPSLHRRLNMLLNPVQVFVLSASQGPEVGLELFKNVPYFRVLVCGGDGTVAWVLDAIEKHNFESPPPVAIIPLGTGNDLSRVMNWGGGFSALDGQGGLTMLLHDISIAAVTMLDRWEVKLAEEDSEGKPHKVKTKSMMNYLGIGCDAKVAYEFHVTREINPEKFSSQFFNKLRYAKEGARDIMDRTCADLPWQVWLEVDGRDIEIPKDSEGLIVLNIGSYMGGVDLWKNDYEHDDDDFSLQSMHDKMLEVVCVCGAWHLGKLQVGLSQARRLAQGKVIKIHSSSPFPVQIDGEPFILQPGYIELTHRGQVFMLRRTSEDEPKGQAAAIMTEVLLEAECKGIINTSQRKVLLKDIAINLS >RHN56473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31088707:31098192:1 gene:gene31881 transcript:rna31881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative iron-chelate-transporting ATPase MSHPSSSYCFFVCLLFLQKTGSITYNGHEIDEFYVRRTSAYISQTDNHIPELTVRETLDFGARCQGAEEGFAEYTKDLGHLENERNIRPSPEIDAFMKASSVGGKKHSVNTDYILKVLGLDVCSDTIVGNEMTRGVSGGQRKRVTTGEMIVGPRKTLFMDEISTGLDSSTTYQIVKCIKNFVHQMEATVLMALLQPAPETFELFDDLVLLSEGHVIYEGPREDVLEFFESIGFQLPPRKGIADFLQEVTSKKDQAQYWADPSKPYEFISVREIAEAFRSSRFGRYMDSLQAHPYDKSKCHPSALAQKKYAVSKLEVTKACFNREVLLIKRHSFLYIFRTFQVAFVGFVTCTVFLRTRLHPTDESYGSLYLSALFFGLVHMMFNGFSELPLMISRLPVFYKQRDNLFYPAWAWSFTSWILRVPYSVIEALIWAAVVYYSVGFAPAAGRFFRYIFILFVVHQMALGLFGMMASIARDMVLANTFGSAALLIIFLLGGFIVPKGMIKPWWIWGYWLSPLTYGQRAITINEFTASRWMKKSAIGNNTVGYNILVSNNLPVDDYWYWAGAGILILYAIFFNSMVTLALAYLNPLQKARTIIPLDDDGSDKNSVSNQVSEMSTNSRSRRGNGNTKGMILPFQPLTMTFHNVNYYVDMPKEIRNQGIAETKLQLLSDVSGVFSPGVLTALVGSSGAGKTTLMDVLAGRKTGGYIEGDIKISGYPKEQQTFARISGYVEQNDIHSPQVTIEESLWFSASLRLPKEISIDKRREFVEQVMKLVELDSLRYALVGMPGSSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGRVIYGGKIGVHSQTLIDYFQGITGVPPIPSGYNPATWVLEVTTPAVEERIGSDFAEIYKNSAQFRGVEASILEFEHPPAGFQPLKFDTIYSQNPLSQFYLCLWKQNLVYWRSPSYNAMRMYFTTISALIFGSVFWDIGSKRSSTQELFVLMGALYSACLFLGVNNASSVQPIVSIERTVFYREKAAGMYTPLAYGAAQGLVEIPYIAVQTIVFGLITYFMVNFEKTAGKFLLYLLFMFLTFTYFTFYGMMAVGLTPSQQFAAVISSAFYSLWNLLSGFLIPKSHIPGWWIWFYYICPVQWTLRGIITSQLGDVETRIVGPGFEGTVKEYLSVTLGYDQKINGISSVGLSVIVLIAFILVFFGSFAASVKLLNFQKR >RHN43988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:526062:532169:-1 gene:gene38032 transcript:rna38032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MASSLEQLLAEEGFKASSRKVQRSRSSFHHGASSDPLHSLEDRLCVSSSERIKTQKAKSKTASKYQISNTSDNKNIRSSDNNVFIGNKLNNERLKNNESEKNKSYNSSDSDSGFEDAYSNQVSNVKRGKEKKEQLGEKPLKDSYMLRQFSGNRKNIKHQEKSHSRSNSNSKNYEDSKNKNSNHAMQLQDASSLAIDEIAVKALVSILNGYIESFLMDEDFRSALRHNCFSSLNFIQLEEEHKSETKVITSLEQAIECIEKTASEETISATRLKRATMQLSIITGLSLNDLKYGFTCGIQNFKLSACAHLYLSVVYLIQRKKKVSAKHLLQVFCDSPFQARAILLPELWERLFSSQLSDLKKWYNNKEGEIVLDIQNKARKIKILQKVYNENLDSGTQLFALYYKDWLSEGVETPTIPSIGIPSLSITSRQGSSLGHSFESSSSNEPFSPQAMVSKKLYDSFFGSKPQVYEVELDEDEDSFENYERGSYGSTIVKKTLIYESETVKYIDQSTEEFTPRVPVHEFYIPRKGTSRTAAEEWKDRNSRNATRKYFSIETNSNSHIFNDQPHEKENEISIKKTQPNKITSTIDGSYSPSIPQEFICPLTRNIFEEPVTLESGQTFERKAIKAWFQKGNRTCPVTGNTLECVVIPFSNLILKRLIDNWKSEDFDRLLDFASQTFENSKEIKLKKRDEDIVFKLQVLLSSLKKEDKSTYAKHIISLGVLSFLCRRFEQGNLEEKSHVIEILLNCIRSDSSCIYKIARGVNRKFLLELLHSKDVTPTKNAILFLTELLSMKRRKDVTSFISGLVGEDVVSTMHIVLMYLKNSSPIEKPLIAVLLLHFELLVEQPQKFSIYIEMAVKAIAEALDASLNDDKVQKKCCRAILILCGHFSSTGMITNNTSILKQEGYNNGSSELKSPSLDDEDQRLNVTISSEDEEEEMDEEFMANLLESMIGDGESLFLKTISKCLDSRHVDLMRACLITVTWLSSSLSKQYNAGLHLPAFLAIISQLKGILENGELELKALASMSLFNFSKISECRTLLKIMADDIAPLLHGLVDVLWTAKKLHAILYRENL >RHN55821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24404326:24404631:1 gene:gene31090 transcript:rna31090 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVGIALEALKEIRWRAGGGSPDPVSRKKMAEVRERGKKREKGEEKKE >RHN65667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4233596:4234744:-1 gene:gene13535 transcript:rna13535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAYSDSDRHDKKWHRVCDSLHELPPLPTLPSDVILEIICRLPVKFILRFQCVCKSWNSLISDPKFVKKQLCVSTTRNLHFLNYAYDSRKYILTSYPLDSDFTDINSNFTQSDWPYAKFYRFIGSCNGIVCIADNEYTSLVICWNPSTRKFKELPLFEKPMTGVNVMTFGFGYDSSKDNYKVVVVLEYLVLDEDDSFFNKTQVKVHTLGTNIWRTIQDYHFGGLIVPMKGEFVSGTINWLFSKEQFWEYPCFIVSFDLAKESYQKISPPNLGGVDVCDLSALGVLRDCLCVTTSGYDVWLMKEYGNKESWTKLFTISYKRHPSKFKAFAKAIYVFEDDQVLLELYDSDLNLVLYNPRSGTLKATNFKLIPEVCIESLISPSSL >RHN75943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46362532:46363540:1 gene:gene12207 transcript:rna12207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative senescence regulator S40 MAATTTTKNHHVRKQTYHFLPSTNNNSVTDSLFEFDESELYNTNSNSPEFRKSIRASRFQGTSSSSTTDGRVSSLPVNVPDWSKILGEDYRHNRRRNYDDVDEEDEGDDEKIPPHEFLARTRMASFSVHEGVGRTLKGRDLSRVRNAIWAKTGFQD >RHN58509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2365643:2366231:-1 gene:gene20428 transcript:rna20428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific protein-tyrosine kinase MTFWFCCGNTSDRKRGGSERFRWRVFSLKELNSATNNFNYDNKLGEGDFGNVHWGQLWNGSQHKNLISLRGYCAEGQERLIVYDYMPNFNLFSHLHGPQSAECLLDWNR >RHN66799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18973025:18973261:-1 gene:gene14887 transcript:rna14887 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit I MLLMVSGFMNYGQQTVRATRYIGQSFMIILSHANRLPVTIQYPYEKLITSERIHFEFDKCIACEVCVRVCPIDLPVVD >RHN44180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2279248:2279810:1 gene:gene38270 transcript:rna38270 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLTVRMENRGCTKILLKLQNVTFVKVTVSFGLEMKNLLIFMRRDLVMLVIFILCLEGLRTVVMVCYNLQRTPSLKKIHFSILSFVHVFVIMSPITQSSC >RHN56706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33031238:33039789:1 gene:gene32144 transcript:rna32144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC110 MNPSTLNPSHTHPSILLPSPPLRSQRRRFRVSLPRCSSDANPPPSPSPPSRPAKELAGLEILVDKLPLPARLATSAVIVAGAVAAGYGIGSRFGGSRNAAIGGAVAVGVAGGAAAYALNATAPQVAAVNLRNYVAGFDDASKLKKEDIEVIANKYGVSKQDEAFKAEICDIYSEFVFSVIPPGGEELKGDEVDRIVTFKNSLGLDDPDAAGVHMEIGRKLFRQRLEVGDREADVEQRRAFQKLIYVSNIVFGDASSFLLPWKRVFKVTESQVEVAIRDNAQRLYASKLKSVGRDFDLEKLVTLRETQRLCRLSDELAVNLFREHVRKLVEENISVALGILKSRTRAVPGVSQVVEELDKVLAFNDLLISFKNHSDIDRLARGVGPVSLVGGEYDVDRKMEDLKLLYRAYVSDALSSGRMEDNKIAALNQLKNIFGLGKREAEAILLDVTTKVYRKRLGQTVSSGELEMADSKAAFLQNLCDELHFDPQKASELHAEIYRQKLQQCVADGELNDEDVAALLKLRVMLCVPQQTVEAAHADICGSLFEKIVKDAIGAGVDGYDEEVKKSVRKAAHGLRLTRETAMSIASKAVRKMFIIYIKRARSAKSNAESAKELKKLIAFNTLVVNQLVADIKGESADVKTEEPAKEEVIEIEEIDEEWESLQTLKKIRPDKELVEKMGKPGQTEITLKDDLPERDRTDVYKTFLTYCLTGDVTRIPFGAQITKKKDDSEYVFLNQLGGILGMTGKEIMDVHRGLAEQAFRQQAEVLLADGQLTKARVEQLGKLQTEIGLSQEYAQKIIKNITTTKMAAAIETAVTQGRLNMKQIRELKESNVDLDSMVSVSLRETIFKKTVGDIFSSGTGEFDEEEVYEKIPLDLNINKEKARGVVRELAQSRLSNSLIQAVALLRQRNHKGVVSSLNNLLACDKAVPSQTLTWEVSEELADLYTIYLKSDPSPEKSSRLQYLLGINDSTAAALRESRDRLDITAEEEKFVF >RHN50712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9690740:9691209:-1 gene:gene35034 transcript:rna35034 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSFVLEDTSIVWVTLTNFVFFDGMSLSSSDSIICLLLTTCVTP >RHN72483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8020761:8023967:1 gene:gene8200 transcript:rna8200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylinositol N-acetylglucosaminyltransferase MVTIVLMLAFYIGLNFMSTPSPASLNAVFDEYSRDPLSRDFLEDKDEKPIDPISDVGIDRINDAMFCNSD >RHN66766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18489086:18489842:1 gene:gene14845 transcript:rna14845 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSPGVLAIGSSMKGQNSYSHHLDPDVCMNLIVAMVVET >RHN67153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24417340:24425079:1 gene:gene15302 transcript:rna15302 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MEKVDSEIIPMPFPPYRRSHFRSHTYTTLLRILTHLSSSTVSTQQQPLNVPDNCNVGMGQENQEKETENSELKVGADVKDPKGPIPLKEIHDVHETIESVGDMGRDLSANAMVLDDAAEFLMGVDEPSTQTYGFHLEQMIMDELELVVKGAEDPVCDDSLIPLNCEKQNSGSEVDLMDYRVEHVEHLCSGTNTSESVSEVQSQVQGELNQLDSEGFHVSESRKEQASDSVINSTSSINNERQQKETESVTLVFPLVGSLPTIQEGEFEKEEQYGHKVDEATHSSLDLDTNIEALNMTEDGGLLDSTIMKDKCETQNQEKSETLIYVKNTTNSSDILLDEGDLEEGEISGEISMDGNTFDVSSADAIVSEQRKLDEIEKPGSSFENTSSPFNTGISFQCFPSNLFMENAIQDTPILTKNQVLRKGFMEETSHGNLSVVQIVDASRKEQGGPDPKKKNKKQMVDASGSKRGPGSQEKKTRKRKKYRKNRAEKNRELGVKRPKLDPEQKPKPKQCRHYMNGRCHKGDECNFSHDAIPLTKSKPCVHYAHHRSCMKGNDCPYDHDLFNYPCSNFVSKGSCYRGDACLFSHQVQTSQDIPTATNACKPELKSSLSSGNTNFSTLLNNHGTGSVQQSNSNSKGIYSHTNVEHKVTDVSKTKPTSAPKGIRFINVANLSPSTPKQGTVQPNKGNLVHGGTCEDKGQNSAEISKKIPAVMPKGVNFLSFGKGSVCSFKRSIQSTAQEMILKLPQSVNFGFSEHSVSLLNKDDHGKAIDITAQNLPQTALFSHEISDKNQSMAERMKSRFLGKDSTDDSLRDHNHCKSVQEVNKASDNSQASTVTSATLLASPFVSLQSSEGLVSGCHKQASNLGQRALISTLAFAAEHESNIKMKCPTGALPV >RHN54807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12520521:12520862:-1 gene:gene29895 transcript:rna29895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase MTSVIRRRHGQALRLSLPKPQITHSSHSFPNQTRMPSLISSPSSHGIRSLSDLEKVAVLGHGNGGIGYRVHHKKSNSFYALKILNFDQDGIAFHQPPTFEAEILKRSLILLIL >RHN63681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53166637:53167223:1 gene:gene26413 transcript:rna26413 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLFDKKPQKGYVFWNKVAIVCWQSIVTKEAYEADSHCNNFFTHPPIIKHLKNLDNVETNDSRNVRTQVKDIIHNWIGKKTVDSHSYIARFRVFFLSTLIFDNGWGMPQFKCLNLFVMLVALSIE >RHN54560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10499804:10500187:-1 gene:gene29624 transcript:rna29624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DYW domain-containing protein MIKQMGYVPNIEAVLHNVEDEQKESYLNYHSEKLAITYGLMKTPFLAPIRVIKNLRICDDCHTAVKPISKVTNRMIIVRDASRFHYFCDGTCTCADHWYHFHKLKNIKHSLRMHIQHGLHTFKFQDA >RHN72352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7005271:7016180:1 gene:gene8054 transcript:rna8054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MNKDTSNCMNDGSGSSNESSGSGMDGEGASYLVHEIAQITKLRSSPHENLSRVVPGMGKLPASTVRMLVGREGNFSGRGKFSAADRCHMSSRYLPTKGPWIVDRMGSRAYVSQFSDDGSLFIAGFQGSHIRIYDVDKDWKVKKDISARNLRWTITDTSLSPDRQYLVYASMSPIIHIVNAGSATTESVANVTEVHDGLNFSSDNDNDEFGIFSIKFSTDGRELVSGTSESSICVYDLGADKLSLRIPAHLSDVNTVCFADESGHLIYSGSDDSFCKVWDRRCFVAKGQPAGILMGHLEGITFINSRGDGRYLISNGKDQTTKLWDIRKMSSNAMNLGLGDDEWDYRWMDYPKYARNLRHPQDQSLATYQGHSVLRTLVRCYFSPSYSTGQKYIYTGSSDGSVYIYDLVSGEQVAKLDHHEAPLRDCSWHPFYPMLISSAWDGDIVRWEFPGNGQPPAPPPNRRSTGVRIRAEREMLLEFRSMLRLRTMVIYPAMIIECMCFNENKIYFNNKFYT >RHN46738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34475358:34475965:-1 gene:gene41260 transcript:rna41260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stigma-specific protein Stig1 MTTFLHFFNMSTLAIHFVTIVALLLIFQIKIEANPISLIPKDEVKENPINTNVVVKVGDLDQESYNCALRPWICSAGENPPRSVCCRNRCVDVTSDADNCGFCGIRCPFIGNWQCCNRFCANINFSPFNCGACGIRCLGCLFGRCPSTNPAQPPFLPLGCRNKMHK >RHN76512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50874798:50875887:1 gene:gene12852 transcript:rna12852 gene_biotype:protein_coding transcript_biotype:protein_coding MFETKVEEFEGKMQQIENQTEDNLKSVKALELKENQIEVQIKDLFDEEKEFDISNMDDQFSITIDGTSEEIGILDNLRESSDPAKLVLDIILNPTIPLPKKGDKAVIIDEGWIYLLEQLMIISPNIIKSCVRDEALKLACELKANMKENTENSLEALGFLLILSIYGLVNYFDEDEVFKIFAYVASAAEYKIAVKLCRTLGFANKVSGMLI >RHN63006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47531892:47532191:-1 gene:gene25654 transcript:rna25654 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIKKNCNGIFIVDLCESKTNPFTVESSSVRGLPSHSRPFRVVASGVKKIKTNTPYGNKREMMFVDEYSANADGYSPISTHPKKFCCHANFTEILRFW >RHN42115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35495171:35496605:-1 gene:gene48514 transcript:rna48514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TUBBY family MSLKSIVQEPKGIKNGISKQGPESEHLLHRIVSHLAMDSLPIKPLPKGEWETLPRELLLDIIRKVEESETSWPARAVVVVCASVCKSWRSVTKEIPGPRYHPIHCFIRRNRRTSTFLLYLVQSENKSTKLLLAAKRIRRSNFVISLAADDFSRASNKYVGKLRQVLAIKILIYPSNGISSWSNFWRTKFTIYDSQSPLFDETLSSSPALKGKRPTKDSYFASLSKLPELSRGSFETFILKNKALRWDEKHNGWCPDFMGRVKVESMKNFDLVAVVDRSHNVSPGVRKWPILQLGSADGKDIFFMHYTYPFSAFQAFAICLICCI >RHN43677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47662181:47662920:1 gene:gene50291 transcript:rna50291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fe(3+)-transporting ATPase MPNTLLRDISGAFRPGILTALVGVSGAGKTTLMDVLSGRKTSGYLEGSISISGYPKNQATFARISGYCEQNDIHSPNITVYESLLFSAWLRLSKEVDIETRKVCYYQTNFHV >RHN79051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21965023:21966216:1 gene:gene2745 transcript:rna2745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MAGNKEENENEKNQIDDTENGSKKPNGDSNGKKHVGIDPTSPFYLHPSDHPGMNICSVTLKGDNYQEWETSMRNAFRAKRKLGFLNGTVTQSDANEIEDWWSVNSMLVAWIFQSFESSLRSTITYYDTVKELWDDLHQRFSIGNGPRILQLRSELARCNQNGQSVAAYYGNLKKIWDELSTYITSRACTCGKCTCKWAADLSKERQDERVHQFLMGLDDDMYGTLRSSIIAQDPLPPLNRVYALVVQEERHKNMTKERDARTEAVAFAVHGSPSTYSGTKARSNKYICPSCGRTGHEVTSCFKIIGYPEWWNNGRGSGKGNVKGKGVDSSTGRGRGKTPFGKRCWSNLDR >RHN68678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37747175:37751140:-1 gene:gene17060 transcript:rna17060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MMMDGRRHSVDLPISKALVALRRVRSLKDPSTNSVTNHSPLIDNLHWENSTGNGSLMFPDAFDSDDNLASSRHLGFKGGRELDATSLELSHGLLNSRPNRRSVYGNKSPSESCGSNHGGKGLDINDFKDGGLYYREIGRSSKLGRMDHAKPARKLLRKNQVKPSDVVGDIASNLSTPCPCVRNALSPQGSLALVNKDFGVLDNRENGCGKNCCLSNSPRFRESNLCFEKEDRSLILNCVDDTDLHGHRNVRDNGGESSPNLETPRMLSMKFRPLSFDDLVGQDMVVRSLLAALSSRRITSFYLFHGPRGTGKTSASKIFACALNCISNKKQRPCGLCRECVLFFSGRSKDVKEVDSVRINHTDQVKSLVKNACTPPVSSRFKVFIIDECQLLNPETWACLSNNQDNISQHAVFVMITTDLDKLPQSAVSRAQKYYFPKVQDANIACKLEKICTEEGLDFEQAALDLIAARSCGSVRDAEIMLDQLSLLGKKIKISLVYELTGIVSDDELLDLLDLALSPDTSNTVIRARELMRSRIDPLQLVSQLVNLIMDILAGNHENGGDEVRSKYSSRYTSKADLRKLSHALRIFTEAEKQLRISKNQSTWFTVALLQLSSADYPSTDADGNKLSLGGACNGDELGKNMEHFDAGSCENKSFRLVAQEDHEGTLDAIWYKATGICQSSKLKTFLRRKGKLSSLLVDQSTSSLAIVELEFGRRHHVSKAEKSWKLIASSLQCLLGCNIELRIIDVPLSTSNSPKRSSFNIFSCSRRIQQTSNEQESEIDYADYTSENPIMKNKTLSCSSSYCGSQIPPQNSYNETDPVSSLRSCEGNLLSSREIFLNTSMHEIMGASCSGVDSSKEEGHNGVHLVPSLPNSDNRSNCFPQSLWFQKKFRSSYSSNLSFQGI >RHN46372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31092825:31097294:1 gene:gene40854 transcript:rna40854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial brown fat uncoupling protein MVGGGNANSDISFVGTFASSAFSACFAEICTIPLDTAKVRLQLQKQAVAGDTVSLPKYKGMLGTVGTIAREEGLSALWKGIVPGLHRQCLYGGLRIGLYEPVKNLYVGKDHVGDAPLTKKILAALTTGAVAIAVANPTDLVKVRLQAEGKLPPGVPRRYTGSLNAYSTIMRQEGIGALWTGIGPNVARNAIINAAELASYDQVKQTILKIPGFTDNVVTHLLSGLGAGFFAVCIGSPVDVVKSRMMGDSSYKSTIDCFVKTLKNDGPLAFYKGFIPNFGRLGSWNVIMFLTLEQTKKFVKKLESA >RHN72172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5524795:5525335:1 gene:gene7855 transcript:rna7855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MSSLIELDLCNSSLIGQALPMLSRWNLYKLQQLDLSYNYLTGDTTETIEALSCGNQSLKFLNLGSNQLTGKLPHSLGQFNSLFFLDLSNNSVNSHSGISGHIPTSIGNLSNLNHLSLENNMMTGIIPECISQLTNLYYLKLLENYWEGIMTNIHFNNLTNLVSLTLS >RHN57423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39047643:39051033:1 gene:gene32966 transcript:rna32966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MKRTSYSSRIITHLLTISFLHGATALNYDGLTLLSLMTDWTIVPPIIDSSWNPSDSNPCSWVGVRCDHANNLISLNLPSQGIFGRLGPEIGNLYHLQNLLLFGNAFSGKVPSELSNCSLLQNLELSENRFSGKIPYTLKNLQKLQFMALASNMLTGEIPDSLFQIQSLEEVSLHSNLLSGPIPTNIGNLTRLLRLYLYGNQLSGTIPTSLGNCSKLEDLEFSFNRLRGEIPVSVWRISSLVHILVHNNSLSRELPFEMTKLKYLKNISLFDNQFSGVTPQSLGINSSIVKLDCMNNKFSGNIPPNICFGKHLLVLNMGINQLQGNIPSDVGRCETLMRLFLNENNFTGSLPDFESNLNLKYMDMSKNKISGRIPSSLGNCTNLTYINLSRNKFARLIPSQLGNLVNLVILDLSNNLEGPLPLQLSNCTKMDHFDVGFNFLNGSVPSSLGSWRNITTLILRENYFTGGIPGFLPNFNNLRELQLGGNFANGLKGDIPSGIDWIGLQQLQSLDISLNNLTGSIDALGGLVSLIEVNISFNLFHGSVPKGLMNLLNSSPSSFMGNPLLCCSSCIKSVYVNLCVDKSTGHIGISELKIVTIVLGSSICISVPLLIIIRMYLNRDELKRTSDLNKRISNKRGGGRKLPDLHKQVLEATENLNDRYIIGGGAHGIVYKAIICETVCAVKKVEFRRNKQKRLSITRNEVEVLGMFKHRNLIKCLDYWIGNDYGLILYEFMENGSLHDILHEKKPPPPLTWDVRCKIAVGIAQGLLYLHYDCVPPIVHRDIKPKNILVNDNMEPIISDFGTALCKKLSEDSNSHSETRKMLSSRVVGTPGYIAPENAYDVVPGRKSDVYSYGVVLLEIITRKKLLVPSMNDEAEETHIVTWARSVMMETGKIENIVDPYLVSAFPNSITLVKQVNAVLSLALQCTEKDPRKRTTMKVVIGFYNKNLFKMRYDEVQYGDGLVVELMGNGKIQYKKVFGIANLVVPKITYAWSSLIFRPSITGPILTKPFNWFFLSHWGQYRHLQKSLYLQTKSYSSYSISINTNQTEASFQISE >RHN49084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52322165:52322443:-1 gene:gene43873 transcript:rna43873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vinorine synthase MCHYFFFYHSNEDSDQNSKISQLRKSLSQILSKYYIFAGRLKDKITIECNDQGVTFIVTKIKNKLSDILQNPSEETLNSLFPDKLFIIDKTT >RHN48055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44603245:44613864:-1 gene:gene42726 transcript:rna42726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA recognition motif domain, nucleotide-binding alpha-beta plait domain-containing protein MRTKNGKSRQFAFIGFRTDQEAQAAIKYFNKSYLDTQAITCEVAQKLGDANLPRPWSRHSTKKDDKVITTDVSNHARAKEHEDNSMDIDDPKLQDFLQVMQPRAMSKLWANDTSVVSNDGNNQPTLNKETESTLIANHPILSDSHVDELPNNPKSDKSRDIKHDGVISDMDYFKSKVTTEWSDSESSDDDSSDSASSDDDDKDKHSHATEHEENRCNNPSETTPRSGAQELDLEDQKNTVGKDVANDKSQVNATEEEGQLSNPEDKKGVSEPCRLFVRNLPYSTTEEELEEHFSQFGSVSQAHLVVDKDTKRSKGIAYIHFSAPEFAARALEESDNSIFQGRLLHVMPAIPRHSNNEENKDQGSKTLKQRRDEERKAAEAKGDTRAWNSLFMRPDTIVENAARKHGVSKSDFLDPEADGLASRYSLAETDGIKETKNAFRKAGVNVESLEEHAKGKVDGRKRSNHVLLAKNLPYGATENDLAKMFGKFGSLDKIILPSTKTLALVIFLEPAEAKAAFRGLAYKRYKDAPLYLEWAPSDILTPKLTSINDEVNIVIGEKDVKRGILEKDVERISDADIDPDRIEARSLFVKNLNFKTTDEVLREHFSEHMKEGRILSVKVKKHLKNGKNVSMGFGFVEFDSTETATSVCNDLQGTVLDGHALILQLCHVKNDDDKVQKKVEKDKSSTKLLVKNVAFEATEKDLRQLFSPFGQIKSLRLPMKFGNHRGFAFVEYVTQQEAQNALTALSSTHLYGRHLVIERAKEGESLEELRARTAAQFNEHSGFQDTNLSKKRKGISMLDEGNMKFERFD >RHN71419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58873897:58881730:1 gene:gene20100 transcript:rna20100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase MAKFWCDFRFLLFVAALVFIYIQMRLFASQSQYADRLAAAIEAENHCTAQIRSLIDQISLQQGRILDLQQERNRREQECSQIKSLVQDLERKDVRRLIDKVQVPVAAVVIMACNRADYLERTINSVLKYQRPISSRFPLFVSQDGSNSDVKRKALSYDELSHMQHLDFEPVQTERPGELIAYYKIARHYKWALGQLFDKHNFNRVIILEDDMEIAPDFFDYFEAMATLLDKDKSIMAVSSWNDNGQKQFVHDPYELYRSDFFPGLGWMLARSTWDELSPKWPKAYP >RHN46938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35899804:35900444:-1 gene:gene41475 transcript:rna41475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I12, Bowman-Birk MGLMNKKTMIKLAMLIFLLSFIATIDARFDSKSFITQVISSNDVNSTTNRCCKNVEWFYVEWIPIMCQCLDIVETCESTCKYCTCKQPKRCTCDDYEQDCNEC >RHN80354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37382056:37383012:-1 gene:gene4269 transcript:rna4269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MNIFGRSSPPKWSAKLCGCGENPGTCLITCCLPCITFGQIAEVVDEGRSSCAMQGCVYGLLMTITCHWLYSCLYREKLRAKYGLPAEPCCDCCVHFCCDACALCQEHAELKARGFNPSKGWIGPPHAPPRMPPTMFR >RHN49160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52910001:52914540:-1 gene:gene43961 transcript:rna43961 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L21 MHTPIRRCLQALTRQSQPTLSLFKDPLSLRLLSSAALLNHNISSPLLPIFTNSKPTSAFGQWHHGRYFSSSKQDDHIKEGGTHEIEDEDDDSDGDDDDDDDDYDEEEGDYEDEDDDTVAVSSRKKVYTEEEKEAEAEAIGYKVVGPLQKNDNVFKPYEPAFAVVQIGSHQFKVSNGDSIFTERLKFCEVNDKLILNKVLLLGSPSQTIVGRPIVPDGAVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITNIEGVEKPLNELVEKPSKSAKKEREKVAVSA >RHN76468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50618540:50618882:1 gene:gene12800 transcript:rna12800 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGLDVIPHTLVPISLFSFSTKIDRSLIRPKNKVFLSSKESIKRKPETHTVRIRSSFERIMDVGDE >RHN54145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7278846:7280631:-1 gene:gene29135 transcript:rna29135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MVTLTSMVFTISHFNLLTLLFLFSTYQHVTCTTTQLFKQAPKFYNSPNCPSIHTSTWTTSSEQTTHTNTDDFICSDEAVHVAMTLDIKYLRGSMAAILSVLQHSSCPENTIFHFITAASKTTSTVTLNTTLLNSFPYLKFQIYPFNTETISGLISTSIRSALDTPLNYARNYLSNLLPNCVHKIVYLDSDLILVDDIAKLAATNLTNEAVLAAPEYCNANFSYYFTPTFWSNPSLSLTFATRKACYFNTGVMVIDLARWRIGDYTTQMTEWMELQKRMRIYELGSLPPFLLVFAGKIVPVDHRWNQHGLGGDNFHGLCRDLHPGPVSLLHWSGKGKPWARLDANRPCPLDALWAPYDLLETPFALET >RHN72370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7112089:7114027:-1 gene:gene8073 transcript:rna8073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-methionine (R)-S-oxide reductase MYRKLFNVDLLGILYFLLISYLFHTSKSKSMASTASSIEKSEEEWRAVLSPEQFHILRQKGTELKGTGEYNKFYEEGVYNCAGCGTPLYKSSTKFDSGCGWPAFFEGFPGAINRYPDPDGRRTEITCAACGGHLGHVFKGEGFKVPTDERHCVNSVSVKFIPGNATSSI >RHN75537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43110192:43112620:-1 gene:gene11760 transcript:rna11760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MYGLSPPNEIQNAKKGQGFTNGTFLSEKETMQKFGTSKGFVESATHILEGITSDLHKSLDLEAASKVASCDYEYNTAWGKQVGWLYGSTSEDVLTGLKFHTKGWRSELCSPDPIAFMGCSPQDNLGQMAQHKRWSTGLLDIFLSKHCPIFGTLFGKLQFRECLSYIWITNWALRSIPEICYALLPAYCIITNSSFLPNKELSMWIPTTLFVIYNVSNLIEHVKSGLSIRTWWNNQRMGRITTMNSCFLGFLTIILKNLRISDTNFEITKKEQVPSNESTNENAGRFIFNESLIFLPGTTILLVQLIAIFTSWLGWKPLIKSGADGYGAGEVFCSAYVVLCYLPFLKGLFGKGKYGIPLSTICKSMVLALLFVHLCGTTIAN >RHN78527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15955765:15956750:1 gene:gene2106 transcript:rna2106 gene_biotype:protein_coding transcript_biotype:protein_coding MYTINTIGSCKVTEDDKLMEYDALLLDWFFDSYYPGFTGDDP >RHN47949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43768703:43771069:-1 gene:gene42607 transcript:rna42607 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKQSAIVEDFVSDEDDKVPAHRKRGRPLKPVTDENEEVEATEKIEKDEENVNGNVSNGDLKTQVITVNKRKRKRSSQVKEKIEPMKEENGVRAKSSPGDSVKSTGFRQNGSRRKSKPHRAAEAGVDCK >RHN48976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51509064:51509450:-1 gene:gene43753 transcript:rna43753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFPKSLNFPALTNLQLWHFAFSAEDNDRAEPFSTFNRLNSLVLHDCTVKGAQTLSISNETLVNLTMDKNIYNLYNIDLSTPSLCKFVFTGSHYQNLSGSNASSLKHVDIYAHVVPFSEDSPYFHSAGY >RHN77434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6848554:6852149:-1 gene:gene886 transcript:rna886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MSHLLLMLIFILLLVFIARITYSIILLPWIISRHFHKQGIRGPSYCLIKGNSDEIRRMFAEVQSKPMDLCHDIVHRVCPSYHRWAPLYGKIVLSWYGSEPRLIISDPVIIKEALLKTGELFEKLEPNPSLKRFYGEGILFSKGKKWTVYRSIASHAFKMEHSKSWIPQIIDTTKLMFCKWEDENNGIEEFEIDVNKDLQKFSADVISKVAFGSSYEEGKEIFKLQDQHCHLASLSIRTAYFPGFRYIPTKKNRERKRIEKKTRELIKVLIDNNHKTQNNSENLLALLMSPHKFINNETQRLGLDEIIDNCKNFYLTGKETIANSVSWAIFLLGLNQEWQSKAREEVFHVLGHHTSPTAETLSDLKLVSLVIQETLRLYPVAAVMVRQASKRVNIGNIDILAGTQLYMSIISVHHDTQIWGEDAIDFNPMRFTKSQKHSSSYFPFGFGPNYCVGQNLALIEMKIVLAMLLQRYSFTVSPTYVHGPMLVMSVSPQYGMQIVFRRL >RHN60601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28688761:28689380:1 gene:gene22938 transcript:rna22938 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRFALVIHHGGGFNEFHHAGYEGTHTVLECEPDYWSYFSLIATIKRLGYPMISQLWYYDPYMLYELIRLKSDKGYRRMQAIAEMNGRVHLYVIHSVGAPEIHNLNPLDEANDFPVPNVGVVLEEIVEEGQNVGGAGLNLPMIEYPVGINGEMAMGEAMVEDQGSVEYMVEKEGSAEIMM >RHN49731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:717957:719075:-1 gene:gene33938 transcript:rna33938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MKTSILFVTAFLALASCAFAFDPSPLQDFCVAINDTKNGVFVNGKFCKDPKLATPNDFFFSVKEGNTSNPLGSKVTPVTVNDILGLNTLGISLARIDFASRGLNPPHTHPRATEILIVLEGTLYVGFVTSNPENRLITKVLNKGDVFVFPIGLIHFQLNVGYGNAVAIAGLSSQNPGVITIANAVFGSNPKISSEVLTKAFQVDNNIVDNLQKQFWVDNY >RHN46475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32081822:32091275:-1 gene:gene40964 transcript:rna40964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MFAKRLLHKAVQHHYNHKFQQQGSLQSTELDPRIVIHYGIPSSSSVLAFDPIQRLLAIGTLDGRLKVIGGDNIEGLLISSKQLPYKYLEFLQNQGYLVGVLNDNDIQVWNLESRSLICSLQWESNITAFSVISGSHFIYVGDEHSLFSVIKFDAEERQLLKSSNHLSAKFLRETAGFPESSDQPIVGILSQPYSSGNRLLIAFQDGLLILWDVSEAKIVFLGGGKDLQLKDDDGNSTETDTNLPADILEQNLGDKEISALCWASSDGSILAVGYLDGDILFWNLSSAAPSKGQQTTSSKNVVRLQLSNAERRIPVIVLQWSSSHRSHNDYIGQLFVYGGDEIGSDEVLTVLTLEWSSGMESLKCIGRADLTLNGTFADLILLPSIGARGLNSKDDLFVLTNPGQIHYYDNDSLSALMSEQNRTSSVSAQEFPVLIPMNNPSLTVAKLIKLPSQLNSSKTLAEVAAVLKTSSTPGSASCSNWPLTGGVPSHLSMVKGAGIERVYFVGYSNGSVLLCDATHPILSYICYIEGEVNGVKVAGLNAPVTKLDFFSASLLLAVGNECGLVRVYDLKDCSDRKKFQFVTETKNEVHDAPQGKGPHFSAVFSLLDSPVQALSFANSGTKLAIGFLSGRVGVCDMKSLSVLFLIDGVPSSSSPITSLVWKQQACFQSAVNSPRKPETPSGNSHEEILFILSSDGKISVVEGDTGKTVSNRPLHLKESTAISMYIIDDSITTSEVSNDKQHEEPLKTTAEVRPEEPVQESSSTAVNSSEAEHFSSETAHSGDIHLDPLVLLCCENSLHLLSAKALIQGNKKPIRKVEHSKSCYWTTILKKDEKFCGILSLLQTGTFEIRSLPDLELVAESSLLSILRWNYKVNMDKTMCSDDYGQIVLANGSELAFISLLAGENEFRSLERLPCLHDNVLAAAADAAFSFSSNQKKKQTTVPAILGGIVKGLTGGKTSHAELTKIQTSNFSHLEHIFFKPSLSDSLPTVVVVSNEKEVELDIDDIQIDEPQTTASTSSPDVKNKQKDKLQSDKEKLFQGGTNDDVKPRVRTPEEIMAAYRKTGDAASVASQTRNKLMERQEKLERISQRTADLQNGAENFASLANELVKTMERRKWWQI >RHN61433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35490506:35491230:-1 gene:gene23902 transcript:rna23902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPSIIRSRVSSSKAVDVPKGYLAVYVGEKMKRFVIPISYLNQTSFQELLNQAEEQYEYDHPMGGLTIPCREEVFLDITSRLN >RHN72424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7505472:7505811:-1 gene:gene8133 transcript:rna8133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysine--tRNA ligase MGGYPSHIHISQNSSTIITLFHFIHLSNDESHSATSEDQDMDPMQYLENRLKHLALEKAKGKYLYPHKFHVTMSTDNTLRNMKV >RHN48135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45302151:45302429:-1 gene:gene42821 transcript:rna42821 gene_biotype:protein_coding transcript_biotype:protein_coding MSFATTLVEVERRRFSSSLGWIVIVPFASTIALLSNESLGASLVNFHGDFVPSLKSKTGRTDVFSGCGIDVSAGELPFVSGSCKNMKSLKQG >RHN75938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46294476:46295361:-1 gene:gene12201 transcript:rna12201 gene_biotype:protein_coding transcript_biotype:protein_coding MINPIGFFLISLSLVTIVTSQERAPHGLVYQNPEAFSPSAYEFFHPNSQKHDHTKDDPCTSSKCSPMQPLAVEATQIHESKGGKLDKGRKHLGAGGIVGIIFGVAFVVVLAMSVYHVRVTRKANMIRAKANNSVQPPV >RHN61536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36237600:36244464:1 gene:gene24009 transcript:rna24009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RanBP2-type, nucleotide-binding alpha-beta plait domain-containing protein MASIPGKFAPSNGSIYVCNLPYGTDDNMLAEYFGTIGVIKKDKRTGRPKIWLYHDKETNEPKGDATVTYEDPHAAVAAVEWFNNKDFHGNTIGVYIAESKNKDDQTYTAIAEPVVAGTDVGPEETESDVDGVNGRGRGQIDASSKPWQQEGDWMCPNTSCSNVNFAFRGACNRCGSARPAGASGVAGGGGRGRGRSGPDAGGIGRPAGATAGLFGPNDWPCPMCGNINWAKRLKCNICNTNKPGHNEGGVRGGRAGGYKELDEEEIEETKRRRRQAEDDGELYDEFGNLKKKFRAKTQQSETARVLPGSGRAGWEVEDLGIDRDRDATEKSRDRGRESRDRYDGEQRNREHNEERQSSRNRERDRGRDRDRDYGRERDRSRHRY >RHN71544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:924548:925846:-1 gene:gene7156 transcript:rna7156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MEKLNLRTKAGKAKIVGTIIGIGGAMILTFIKGVEIKMGSFHLNLLHHQNDVGSHSHATTISTGNTILGSLYAMVSAISYALWLIIQAKMNERYPTHYSSTTLMSFWASLLSTMFALCFDRDLSQWRLGWNIRLLIVAYAGIVASGAMVVVISWCVHMRGPLFASAFNPLVLVIVALASCTMLNENLYLGSIIGSVLIVCGLYAVVWGKSKEMKKNNQLVPSQSSNEFDTVEIVVRHVVDDKRNHNSNNGLSNTSQEVKDNQDSLEAVQHEKQSEYLQNQEV >RHN51716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23339602:23343428:-1 gene:gene36221 transcript:rna36221 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGVGLCIFSCLYILVFRPLVMSRPLDYFVELFSGFVAATCSAMLLILHFWWGFGAAESSNLLLFLFVQVLWCPCCF >RHN38669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1203226:1204107:1 gene:gene44628 transcript:rna44628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSKTEMMNPCVWLQTYDGSIQQVEQEIAMFCPFICQELILLPQQGSSKTSPICLPQQVTPAILTLILDYCRFHHVKGRSNKERKSYDEKFVRIDAPRLCELTSAAKYLQLNPLYDLTCHAITRIIEGKSSEEIRDIFHLPDDLMEEEKLELMLNLTCDPSIRLLNSLYAKKREQLIELKRVTKNVEVEDEDVVDGRSVDELLSFINGSNDGETKRKKTCKNKKNRRKKKDQQKNSSLKEEDDLVVEFDDEIDQALQERIDREVEEFARRLNCSWEERKKEILRDAGNHREIIA >RHN70849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54454122:54460994:1 gene:gene19477 transcript:rna19477 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSYVEIDSISIDLNTTIDQWDAGKCEHFSIREYVSEIRKKDWKLCWPFPIDESDKQPSFLPLDVPKHRCCRSPNYEQENAAKDIPKDIQTDFNRVSTGCRSDTNCSNAALKPCIQKDPISDIIVRRDIDLNANLNSVDCFLPITIEKEKKAGVGLGSRIDLEIGLEDNLNHQVTSAPSPKIYPDFAQEVSTTKRGGESNGVSYVQLAKNLRLKCTGKNSAEICNGGTPADNQCRKDLVTTATEAYNKYDHTTGPPIESFACNNSVPAVSTDNMVDDDFQDHHSEKSTGLSRRKPRKVRLMTDLLRENGESKTEKIAVQESQFRGTSNTSAASQARSNFPGKVDVQGDLTLTNKGQSRKRKILPDEVRSMESMPFHRAGVEAQNLEGNAKTTSTVFNNRSNSKNVLAGTCLQFTEKGNCSKPGPERNQIMGKKKKNKKNQVGDNYYLIPEPQQGQRRENEDTVYTTDKAYASKTVSSRLSPSVFTGKGVDDFSFHNLRIENEFNLSKEKGKMLQTDGELNSLSYHRNDMFDRDSFAYSGVKIRSSVAADVPVPSDQGVMSGKGKEESLHLSLNSYMSEHGYSKKCIHQIENRLPFSLPFQESTSRVPQFNRNDSETNVFGAPSIPCRHTTNNVYGKGVHCKENTGARNTGKTVEDVEQLGIKKRYNEQAAEVSEQGTLDGIPMEIVELMAKNQYERCLPDVENRGSMFEKSSTSRNTQMTAGTAVYGKGKMSLLKEGQKEKSRGRPKKINTVTRGENVKPNKRKPNHYFSPFNGSNLGVNNPYPPQPSFGFEIPQSQKLSNGFQFSPMISNQLGSARNIKFNGSLEERAPSNATLQSLGGCSLHKNILQQDDEASHIWASLAPNHASIGYNGLQKVVSQPSSSNIDRTSLQSGASHKQNMRRDIDLNYTNINATGQEKHNRNTGPGVFSRVNGEYSVPCKHNGIEAHQNLRGSLDLYSNETIPAMHLLSLMDAGMQSRTPFNVGVNSQMLKRPSYAGDCNTKLEIGASKANGTLKRQPSDYYNRSYLSDRPHGCFIGSPTFGASSSAQHGNKFTKDDGSNAQNSTKFGKKEKMKSSNSLLQNRFVKQCSLSCNETETSLQRRLEIHGTHTSVPLKTTSGISCTVNRNPAEFTVPEAGNVYMIRGEDLKFEGSIPQNKHLFPIPCGHKQQRNLKGTKMKEHSKH >RHN42656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40026447:40027453:-1 gene:gene49125 transcript:rna49125 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRIHKKYGSDESMGTLSSSQRSPLYYVESPQSLDVEKLSIHSNSVDFTPSHSRGESSTSRYSTTWKKLDEKNDENDEDDEDVHDSTHKYICCFFGFLLLFALVCFILWAVGRSFKPRANLENIVFKKLDVQFGNDRTEVPTYLLSLNSTITMMYTNPATYFGVHVTSTLLQLRYYDLTLASGQVHPLLSLVTINLFVLRCMRMHQ >RHN62163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41330237:41331831:-1 gene:gene24708 transcript:rna24708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polysaccharide biosynthesis domain-containing protein MKNNSNPKLILLHHSLHKQAPTTPFHSHRLWLFFFLLTFFTIVFTLTLITTITSSTSSATSSTASPLPPSVTKALLHYASSLNTTTKSMSFEEINAITTTLHLTPHPNFLIFGLTHESLLWSALNQNGRTVFIDENEYMISKFEQSNPGIEAYDVQFTTKVSEYPKLLSHAKAKSKHDCKPVQNLLFSECKLGINDLPNHIYQVSWDVILVDGPRGYFPAAPGRMSAIFTAAVLARSKKMGKTHIFVHDFGREVEKIFSYEFLCEENLVNNVDQLGHFVVKSETDSGESSEFCRSSNSPLLSVSVSKDVD >RHN40978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25278190:25291953:1 gene:gene47237 transcript:rna47237 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Actin family MYGGDEVSAIVIDLGSHTCKAGYAGEDAPKAVFPSVVGAIDQMDVDESDNAEKNSGSGESKNNIRNVDGDKAKGKRKLYVGSQSLGYRRDFMEVVSPLKDGIVADWDIVDSIWDHAFRECLLIDPKEHPMLLAEPSSNAQQQRERAAELMFEKYKAPALFLAKNAVLTSFASGRATSLVVDCGGGSTTVAPVHDGYVLQKAVATSPIGGEFLTDCLMKSLESKGIAMKPRYSFRRKEIRPGEFQTVDLDFPNTSESYKLYSQRVIASDIKECVCRAPDTPYDESAYSNIPTTSYELPDGQTIEIGADRFKIPDVLFNPSLAHSIPGMESFAEIAPSVRGLPQMVIQSINKCDVDIRRELFSSILLAGGTASMQQLKERLEKDLLEESPQAARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKSEYEEHGASYIQRKCP >RHN82573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54882050:54888936:1 gene:gene6746 transcript:rna6746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-exporting ATPase MGVAQNASDNDDGTLEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLEGGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRKDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDAGN >RHN54348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8908560:8913691:1 gene:gene29367 transcript:rna29367 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCYSRVDREETVSHCKSRKRYMKQLVEARHAFSASHVMYIRSLRSTGSALFHFANAEKTTHLNHHLPPEPQPILPPPPPRAPSPMPPPPPPMSPSLSSYTWTSGTGSHSSALPPPPPPPPPPPPVISSGWDFWDPFMQQPPPPPSSRSATEEEWEAATTTGSEVVVMAGGAAASMATPASGVVGYSKVSGSELAMVVSRNSKDLVEVIKELDDYFLKAADAGSHVSYLLQVPSSGFSDHSKTSKMYGNGWNLSPSMWAWGSSSPKLNGFGKLSQEVSVGSFRANNGVGNGGHCSTLERLYAWEKKLYQEVKNAKTIKMEHEKKVELVRKLEMRRADYVKTEKAKKEVEKLESQMMVASQTIDSTSAEIVKLREIELYPQLIELVKGLMCMWRSMYECHQVQKHIVQQLEYLNTIPSNNPTSEIHRQSTLQLELEVQQWHQSFCNLFKAHRDYIESLSGWLRLSLYQFSRNPLSRTTEESKIFTLCEQWHLAVEHIPDKVASEGIKSLLTVIHAIVVQQTEEHKQKKKSEYAFKEFEKKVVQLRSLECKYGPYSMSERSGSVRRTKDPVVEKRAKVEAFRAKAEEEKIKHEKAVSVTRSMTLNNLQMGCPQVFQGIVGFSSVCMEAFESVYNKAKVAEQERDVKRILP >RHN48935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51255106:51259209:1 gene:gene43708 transcript:rna43708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyltransferase A, auxiliary subunit MVAMAMVAAQQFLCFSKSNCRITTTRPCIPLHHFSSTLLRSRNNKIQFPLISYSSSSSQTPETETETAESCVNLGLELFSKGKVKDALAQFETALSLNPNPVEAQAAFYNKACCHAYRGEGKKAANCLRTALREYNLKFGTILNDPDLASFRALPEFKELQEEARLGGEDVGSSFRRDLKLISEVQAPFRGIRRFFYVAFTAAAGISLFFNVPRILRAIQGGDGAPDVLETAGNAAVNIGGIVVFVALFLWDNKKEEEQIAQISRNETLSRLPLRLSTNRVVELVQLRDTVRPVIIAGKKETVTLALRKAERFRTDLLRRGVLLVPVIWGEGRETKIEKKGFGLQPKAAEALPSIGEDFEKRTQSVTAQSKLKAEVRFKAEAVSPAEWEWWIRDQQKSEGVPVGEDVYIILRLDGRVRRSGRGIPDWDQIAKELPPMEAILSKLER >RHN55449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18509724:18511597:1 gene:gene30630 transcript:rna30630 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSNPQPHLLFLLVLMVGLFTCLVQSMRFDLQTGVTRCIAEDIKKNSMTIGNYSIVNPNEGQPLPSDHTISVQVSTHGGSANHHLATHVQSGQFAFVAHQSGDYLVCFFGDKTHDSQATLSIDFVWKTGVAAKDWSKIAKKSHIDRMQLEVQILQETAMSIKEEISYLHERGVEMLDFNSTTNSRMLWLSFVSFLICFSVAGLQLWHLKTFFKKNKIL >RHN47843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42986714:42994082:-1 gene:gene42489 transcript:rna42489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MATTTSPYCIQLSGVTESTRPPKSTTTRKKSSNEVAFKEKKDGFVDYDRGHHQVSTKISGLRKEDIPAHYRLRVAGNRFQKDWTVSEVVDSVLSLTLRDDIEGLLNRWIGRFARKNFPFLIRELTQRGSIEHCNLVFSWMKNQKNYCARTDIYNMMIRLHARHNRTDQARGLFFEMQKCRCKPDAETYNALINAHGRAGQWRWAMNIMDDMLRAAIPPSRSTYNNLINACGSSGNWKEALNVCKKMTDNGVGPDLVTHNIMLTAFKSGTQYSKALSYFELIKGTHIRPDTTTHNIIIHCLVKLKQYDKAVDIFNSMKEKKSECHPDVVTFTSMIHLYSVCGHIENCEAAFNMMLAEGLKPNIVSYNALLGAYAARGMENEALQVFNEIKQNGFRPDVVSYTSLLNAYGRSRKPQKAREIFKMIKRNNLKPNIVSYNALIDAYGSNGLLEDAIEILREMEQDKIHPNVVSICTLLAACGRCGQKVKIDTVLSAAEMRGIKLNTVAYNSAIGSYINVGEYDKAIDLYNSMRKKKIKSDSVTYTVLISGCCKMSKFGEALSFMEEMMHLKLPMSKEVYSSIICAYSKQGQIIEAESTFNLMKSLGCSPDVVTYTAMLDAYNAAEKWEKLYALFEEMEENDVKLDTIACAALMRAFNKGGQPGRVLSLAQSMREKDIPLSDTIFFEMVSACGLLHDWKTAVDMIKYMEPSLPVISSGCLNLFLNSLGKSGKIEIMLKLFFKMLASGAEVNFNTYSILLKNLLSSGNWRKYLEVLQWMEDAGIHPSNEMYRDISFSQKNCGVENAAVIKERLESLKRNAGDQSSASQPCESHVCS >RHN79511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29761384:29761809:1 gene:gene3311 transcript:rna3311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MSLFNQILLLMCVLTLLSTHNSLRVNAYSSLFFQRMHVNVTNTLLENLDLTLHCKSADDDLGPHVLHHGQNFVMNFKNNFLGETLFFCSFRWNKELHWFDIYIANRDDCRTTCNWYILQSGPCRTIAPKDNVECFAWNKNK >RHN54682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11277442:11277867:1 gene:gene29756 transcript:rna29756 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPDGDCPLCLFPLVTEDHQSETLPFMKLMSCFHCFHRWGQFYPFIRCSIHYFIILDFFRTSMKLTLYWFNIAFSISNFSFQFFSIIIGSECIIRWWNWIQSSKETGSANSDNATAHCNRGMYNCNVTFDDQRKIILLYS >RHN78795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18500683:18505978:-1 gene:gene2448 transcript:rna2448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MSRNIKEGKVLAKGYYVILLLLTLFLFSTEGLNLEGQILLEIKNGLHDKYNYLSNWNSSDENPCGWIGVNCTYSGNGSDPVIVSLNLSSMNLSGTLNASIGGLTNLTYLNLAYNGLNGSIPKEIGECLSLEYLYLNNNQFEGSIPVELGKLSALRYLNICNNILAGVLPDEIGKLASLVELVAFSNYLIGPLPSSVGNLENLVTFRAGANNITGSLPKEISRCKSLERLGLAQNQIVGEIPSEIGMLENLKELILWENELSGVVPKELGNCSRLEILALYGNNLIGPLPGEIGNLKSLKWLYLYRNNLNGSIPREIGNLSSALHIDFSENSLGGDIPSEFGKIRGLSLLFLFENHLSGVIPIEFGSLKNLSKLDLSINNLTGPIPHRLQYLTNMVQLQLFDNSLTGIIPQGLGLFSRLWVVDFSDNNLTGTIPPHLCRNSHLMLLNVADNQLYGNIPKGILNCESLAQLLLVGNRLTGGFPSELCKLENLTAIDLNDNRFSGPLPREISNCRNLQRLHIANNYFTLELPKEMGNLSQLVTFNVSSNLFTGRIPTEIVWCQRLQRLDLSRNRFTGSLPNELGTLQHLEILKLSDNQLSGNIPAALGNLSHLNWLLMDGNLFFGEIPSQLGSLSSLQIAMDLSYNNLSGRIPSRLGNLNMLEYLFLNNNQLDGEIPSTFSALSSLMGCNFSNNNLSGPIPSTKIFESMAVSSFVGGNIGLCGTPLGDCNRISAPCSTHPAKDANLSRAKIVIIIAATVGGVSLILILVILYLMRRPREAVDSFADTETPSIDSDIYLPPKEGFTFQDLVEATKRFHESYVIGSGACGTVYKAVMKSGKTIAVKKLASNREGNNVDNSFRAEISTLGRIRHRNIVKLYGFCYHQDSNLLLYEYMERGSLGELLHGSASNLEWPTRFMIALGAAEGLSYLHHDCKPKIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGKTPVQPMEQGGDLVTWTRNHIRNNNNTLSSEILDTRLDLEDQITINHMLTVLKLALMCTSMSPTKRPSMRDVVLMLIESNEREGNLTLTRTNHDDPHSKDST >RHN81145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43633185:43634752:-1 gene:gene5146 transcript:rna5146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation efflux protein, cytoplasmic MSHVSPSTEDQQDSWSGDMDQNSLAPAGDSNIEGMVSDTISANFPQMSVERITRHMFQSKIVLQIEVSMPPDIPIRHAMEMAEKAEREILKATSTIIHVGIQLRLGRPFPYTSHD >RHN50943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12072799:12073991:1 gene:gene35299 transcript:rna35299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MSYEEYRRLTMQAFPNVWKGPTLDLMAGSLSGGTAVLFTYPLDLIRTKLAYQVDYISAQKSDQFSSMTLVVFCDLQIVSPTKLNVSGMVNNEQVYRGIHDCLSKTYKGGGIRGLYRGVAPTLFGIFPYAGFKFYFYEEMKRHVPEDYKKSIMAKLTCGSVAGLLGQTFTYPLEVVRRQMQVQNLAASEEAELKGTMRSMVLIAQKQGWKTLFSGLSINYIKVVPSAAIGFTVYDTMKSYLRVPSRDEVD >RHN58919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5924110:5926755:-1 gene:gene20875 transcript:rna20875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MQTKDPSFMFFQTSLSSAKCLEDQRTLLLQFKNNLAFHPENSTKLILWNKSIACCNWSGVTCDNEGYIIGLDLSEESISGGIEESSSLFNLLHLKKLNLANNYLNSSIPSAFNKLVKLTYLDLSYNEFVGQIPIEISQLTRLVTLDLSSYVDTKPKIPNLQKLIQNLTNMRQLYLDGISITSQGHEWINALLPLSDLQELSMSNCNLSGPLDSSLSKLENLSDLNYLDLSSNYLSGAIPSYLFTLPSLEEIWLESNQFSKFNEFIDMSSSLINTLDLRSNNLSGPFPTSIFQLRSLSILHLSSNRLNGSLQLDELLKLSNLLGLDLSYNNISINENDANADQTAFPNFEYLHLASCNLKTFPIFLRNQSTLENLDLSANQIQGVVPNWIWKLQSLEQLNISHNFLTELEGCLQNITSNLHLIDLHNNQIQGTIPVFSESIRYLDYSTNKFSVIPHDIGNYLSSAWFLSLSNNSLQGSIPHSLCKASNLQMLDISYNNISGTISPCLMTMTSTLEALNLRNNNLNGSLPDMFPTSCVVSSLNFHGNLLHGAIPKSLSHCSSLRVLDIGSNQIVGGFPCFLKNIQTLSVLVLRNNKLHGSIECTHSLENKPWKMIQIVDIAFNDFNGKLPETFFTTWEKMMHDEVVSDFIHIGRTSSLLYYQDSVSISTKGQEMKLVKILKIFMAIDFSSNHFEGPIPEGLMKFKAIHVLNFSNNAFSCEIPSTIGNLKQLESLDFSNNSLVGEIPLQLASMSFLSYLKLSFNHLVGKIPTGTQLQSFQASSFEGNNGLYGPPLTETPNGKRQDELHPQPASVPSSSIDWNFLSVELGFVFGLGIIIGPLLFWKKWRVSYWKLVDKILCLIFRRMHFEYVTDRGQTYTILRWS >RHN75110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39452972:39455244:1 gene:gene11269 transcript:rna11269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MVIGEISRKRKKGKTLEAIHEDVLGETSVLKSAKARRNRAAVVPPGLTITDEPENETLKKLRSFKQFDAVADSSDHYFIKNHSSMKQNAKSWAKKFQEQWKILEEHLPDTIFVRVFESRMDLMRAVIIGAEGTPYHDGLFFFDVYFPPKYPNVPPQVHYHSGGLRLNPNLYACGSKI >RHN53644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3036778:3037452:1 gene:gene28572 transcript:rna28572 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MDFFMSSFGEYSDTSSSETSSSEVILASVNKPKKRAGRRVFKETRHPVYRGVRRRKNNKWVCEMRVPNNIVNKNNKSRIWLGTYPTPEMAARAHDVAALTLKGKSACLNFADSAWRLRLPESNDATEIRRAAMEAAQLFAVEDKQCCVTVEDGVFMDMEDSKNMLEAQVPVVSSEFEDMHHLLLSIANEPLRSAPPSPTNYGSYNWGDMEIFDTQLVSLWNFSI >RHN69439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43405910:43412990:-1 gene:gene17914 transcript:rna17914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA polymerase III, clamp loader complex, gamma/delta/delta subunit MAEETYAMDIDVTDKGKTVIAAGNPSFGGKASLWVEKYRPQSLDDVAAHRDIVDTIDRLTTENRLPHLLLYGPPGTGKTSTILAVARKLYGAQYHNMILELNASDDRGIDVVRQQIQDFASTQSLSFGVKPSVKLVLLDEADAMTKDAQFALRRVIEKYTKSTRFALICNHVNKIIPALQSRCTRFRFAPLDAVHVTERLKHVINAERLDVQDSGLSALVRLSNGDMRKALNILQSTHMASQQITEEAVYLCTGNPLPKDIEQISYWLLNEQYSESFKRIYDMKTRKGLALIDIVREVTMFVFKINMPSAVRVQLVNDLADIEYRLSFGCNDKLQLGSVVASFTRARSAMVAAAA >RHN41833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33438683:33443770:-1 gene:gene48195 transcript:rna48195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative periplasmic binding protein-like I MIRVWFVVLMVLYNLMFSSTVAGLDNSTVPPFVNIGVLYSFNTSVGRMVKIAVEAAVADINSDPTILGNTKLNLSLQEDSKYRGFLSIAEALQLMATQTVAIIGPQTSTTAHVISHIANELQVPLLSFTATDPTLSSLQFPFFLRTSFNDIFQMTAIADIVSHYGWREVITVYGDDDHGRNGISALGDKLAERRCKISFKAAMTPDATSEEITDVLVQVALAESRIIVLHTSTAWGPKVLSVAKSLGMLQNGYVWIATTFLTSYIDIDSPLSSDEMDNIQGVLTLRMYIPDSKLKRSFISRWTNLTSGKTANGPLGLSTYGIFAYDTIYVLARALDTFLKQGNQITFSSDPKLNQPRGDSLHLDAVKIFNEGNLLRKSIYEVNMTGVTGPFRYTPDGNLANPAYEIINVIGTGTRRVGYWSNYSGLSVIPPETLYSKPPNRSIDNQKLLTVFWPGETTQRPRGWVFPNNGKLLKIGVPRRTSYREFVSQVQSTDTFKGFCIDVFLSAVNLLPYAVPYKFVPYGDGQNNPSNTELVRLITAGVFDAAVGDITITTERTKMVDFTQPFIESGLVVVASVKKTDSNAWAFLTPFTPMMWTVTAIFFLLVGAVVWILEHRLNDDFRGPPKKQVATILWFSFSTMFFAHRENTVSTLGRFVVLIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIESLVNSKEPVGYLQGSFSRSYLIDEIGIHESRLVPMKTPEETMKALEKGHQNGGIAAYVDERAYIELFLSSRCDFSIVGQEFTRNGWGFAFPPDSPLAVDLSTAILELAESGDLQRIHDKWLLSSACRSQGAKLEVDRLNLRSFWGLYLVCGLACFLALLIYFIQTLRQYKKHSPDEIDSSGQGSGSSRLRTFLSFVDEKEAIVKNRSKRRQMERISYRSTSEVGSNITSNKDFSRSSTSLNRIDSPNIV >RHN66341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11084502:11093365:-1 gene:gene14301 transcript:rna14301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MELLHPSPPYPPCITISWSSSISSSAPITCSTKFPNKNHFHSSSFRVYSLKRSSKPSYEGLQKDPKKDLSRILRTEAAIKGVENKAKSWKHKQLWPKAVLEALDDAIKGCQWQNALMIFELLRNQYWYEPRCQTYTKLLMMLSKCKQPKEASQLYETMLFEGLKPTVDVLTALVSAYGQSGLFRHAFSTIEDMKSVVDCKPDVYTYSVLISCCAKFRRFDLIERVLADMSYSGIECNSVTYNSIIDGYGKAGMFEQMENSLTDMIENENCQPDVFTLNSLIGSYGNGGKIDKMEKWYDEFQLMSIKPDIKTFNMMIKSYGKAGMYDKMKSVMDFMERRFFAPTIVTYNTVIEVYGKAGEIEKMDKHFKNMKHIGMKPNSVTYCSLVNAYSKAGLIRKIDSILRHVENSDVILDTPFFNCIISAYGQVGDLKKMGELFLAMRARKCEPDRTTFTCMIQAYNTQGITEAAKNLETMMISAKDSSDTKLIGC >RHN82418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53573328:53579345:-1 gene:gene6582 transcript:rna6582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleolar complex protein MVGRKAAVKEIVSTQIDKEINVDGAENNVKRKSKKNSMVVIEAKQHKEQIENLQHKDEDFYEYLKENDPDLLKFSDDDIDEDVDDDMEDGEPQEDEKAIEHEVQAKDKKHSNKVITTSMVDLWCKSIKENGSLNAVRSLMRAFRTACHYGDDDENDSTAKLSVMSSVVFNKIMLTVLNEMDGILRKLLKLPASGGRKEIIMNLMTTKQWRTYGHIVKSYLGNALHVLNQMTDSQMISFTLHRLKYSSLLLAAFPSLLRKYIKVSLHFWGTGGGALPVVSCLFMRELCICIGSGCIDDCFKGIYKAYVLNCHFVNAVKLKHIRFLSNCVIELLGVDLPTAYQHAFIFIRQLAMILRDALNTKTKEAFRKVYEWKFINSLELWTDAIRAYSSQSDFKQLAYPLTQIIFGVARLVPTARYFPLRLRCIRMLNQIAASTQSFVPVSMLLLDMLEMKELNRPPTGGVGKAVDLRSILKISKPTLKTRAFQEACVFSVVEELAEHLALWSYSVGFMELSFIPIVRLRNFCKLTKVERFRREMRQLIREIEANVQFVNERRMSISFLPNDPAASSFLEDEKKSASSALSKYVITLRQRAKQKNDSLKESSVLVGEESSVFGDEESASDEEDTKENEDAKENVDGTAAFSSSWLPGNDKIKQQPTEPKGKRKKHKKEKTAIDDDVVEDLVLSSDEDEDLPSSDSPSAGKNADIDHHSSPKQNRKTKHKTKRLKRNKSHT >RHN56566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31906725:31907069:1 gene:gene31984 transcript:rna31984 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFSSLLTKRNLTSRLSAVLSSTFQIRHISNNTTPCIDEPRCKIWIAGLMGTESTSPELRDLFYACDMEGYRKTKSGNSHNMSRIRYKIRSEYQIDPCQIVYMYLRLKGVKVM >RHN81766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48732171:48733516:1 gene:gene5853 transcript:rna5853 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSSMDNMACNKGQQHARKTKKKQVKDELDRLKQAEKKKRRLEKALATSAAIISELEKKKQKKKEEQQRLDEEGAAIAEAVALHVLLDEDSDDSYKVECKTWDDYNNNLDFFMSGKRACFPNLDGSTWSVTSQNGKWSISSGPFENNAHEPLYEAGWDPTRFSVDLIAAQAVRSLHIAENADDDRILF >RHN64680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60947741:60951269:-1 gene:gene27521 transcript:rna27521 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGCALQQTLTAEAASVLKHSLVLARRRGHPQVTPLHVAITLLTLRLSSFKRACLKSHQPHHQTSQHPLQSRALELCFNVALNRLPTTPSPLIHSQPSLSNALIAALKRAQAHQRRGSIEQQQQHQQTVLTVKVELEQLIISILDDPSVSRVMREAGFSSTLVKNNLEDSFSPSNSVFKCYNSSGGGVFSSPCSPSTSENHRENINLSSFRQNNFMAATSAKHSSSEFNSVLFSPPKRTELMSTSGGLGLTLHSSSVLDSKMSISQNLSHMLETKPFSSNKVHEDKLNCCEECASNYEKEAQFLRPAGQKKTLPFWLQSHGTEEQNKDALTELKRKWNRLCHCLHQNKQHQNHWNRSNNNHSSNLNNSSSIISHTSNLTPRFRRQQSCSTIEFNFNDKRETTKPVFDSIASMEGKEVKISLALGNDDSSEKVGNITDTALQQAHVCKLLQENVPWQSETIPSISKALFDTKSTKLNEISFRWLFLQGNDFISKRRLALGIAESVFGSADLVLQLDMLKKETLIAPFSEMLLGALRKHQQLVVLIENVDSADTQFMKFLSDGYEKGKFETLSNKEGNLGQVIFILTKGGSKSIEEKNQKTVINLLWQISETKPNFLSPKRKAEFDLFSKIKNPRIEENEKGLLISEQGSKKEEFLRQSSFNSNTLDLNMKADEEEDGENKAIESSSISSDNPLNSNVFLDSIENKFEFNTSSDKDREKTEFFLFKIKGSFEDVCGKKNVVNFSVDEKVIEDMCMGCCFFTNKMFEKWLKDIFQSSLETVNLGGKEGIHFRLCLGDNRNWDSGFMDSSLPKSIQVNYFIE >RHN39929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11776697:11780406:1 gene:gene46013 transcript:rna46013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S27/S33 MASGGLKKMLTSAINGGLTSARATIFGHQLNPSGKKSAHKILRMKMFGEKVAQWYPHDINEDDPNVMARQQQERLSKLEMLKRRGKGPPKKGQGKRAKKSNK >RHN77639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8352621:8357368:1 gene:gene1112 transcript:rna1112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MMQLTTSPMASSFLIRFIVLFALCYKTKGLIKLPPNVTVPAVIAFGDSIVDSGNNNDLKTLVKCNFPPYGKDFQGGVPTGRFCNGKIPSDILAEQFGIKGYVPAYLDPNLKSSDLLTGVGFASGASGYDPLTPQIASVIPLSAQLDMFKEYIGKLKGIVGEERTNFILANSLFVVVGGSDDIANTYYVVHARLQYDIPAYTDLMSNSATNFIKEIYKLGARRIAVLGAPPIGCVPSQRTLAGGIVRECAEKYNDAAKLFNSKLSKQLDSLSQNSPNSRIVYIDVYTPLLDIIVNYQKYGFKVVDRGCCGTGKLEVAVLCNPLDATCSDASEYVFWDSYHPTERAYRKLVDSVLERYLNRLI >RHN66401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11847484:11847831:-1 gene:gene14371 transcript:rna14371 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFLDHSQDGKAMEEVGDECFSELLSRSLIQKLHGDSEGQIFVMHDLVNDLAIVVSGKSCHKLKFGGDNSENGRHLSYNQEYDIVKKFQILYKFKCLRSFLPIGFYGYQYYLS >RHN40992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25479937:25481157:1 gene:gene47257 transcript:rna47257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MIIFVSLFLVVTFSKEECTYAADCYKRYPRWSLLPNYCIEGSCYSDFLNSGPKGHA >RHN72876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11462052:11466297:1 gene:gene8640 transcript:rna8640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MMGKVIVEGLLFVLILMFVSKNVEAQQKSILINCGSNSSVNVDGRKWIGDMAPNNNVTLSSSPGVVASTDGLSGNSIYEPLYKTARIFTASLNYTIKDVHGNYFVRFHLCPFEIVDHNVNESSFGVVVNGMKLLSEFNVPGKISEKNVNLQNSGKNSSSFFLIREYILDVNDGMLLIEFLPSGNSFGFINAIEIVPVVGELFDGSVGKVGGGNLNLTGHGMETMYRLNVGGPEIQSNQDPDLRRIWEVDSSYMVTENAGAAIKSSSNITYASANDTSVAPLLVYETARAMSNTEVLDKRFNMSWKFEVDPDFDYVVRLHFCELMYDKSNERIFRIYINNRTAADNVDVFVRAGGKNKAYHQDHYDSASLRMDTLWVQLGPDTAAGAAGTDALLNGLEIFKLSRNGNLAYVEKFDLAGKSGSSSKAKVLWIGVGAGIASVAIVACVGVFVFCFCKRRRKESSDTKNNSPGWRPIFLYGGAAVNSTVGAKGSTGNQKLYGTVTSTGAGKRFTLAEINAATNNFDDSLVIGVGGFGKVYKGEVDDGVPAAIKRANPQSEQGLAEFETEIEMLSKLRHRHLVSLIGFCEEKSEMILVYEYMANGTLRSHLFGSDLPPLTWKQRLEACIGAARGLHYLHTGADRGIIHRDVKTTNILLDENFVAKMADFGLSKDGPAFEHTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEAVCARAVINPTLPKDQINLAEWAMRWQKERSLEKIIDPRLNGNHCPESLSKFGEIAEKCLADDGKSRPTMGEVLWHLEYVLQLHEAWLNRDNNSTENSFSGSQALSGLNDGRVEVAQEHSNQDEEVDLDSKNTTGVVHSSVTPLPRIIVQVWRDSRKTICH >RHN65181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26143:34808:-1 gene:gene12998 transcript:rna12998 gene_biotype:protein_coding transcript_biotype:protein_coding MGENKEELIPQKYDVDAKWDACLDLTVRRFVYSSFAGAFGGLLLFRSPQTRWASIAFGAGVGIGSAYAECSRLFDGSPAKLAQHKDSEAPTQFVQDSE >RHN65113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64302751:64307745:1 gene:gene28014 transcript:rna28014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyl-CoA C-acetyltransferase MSSKSRDVCIVGVGRTPMGGFLGSLSSLSATHLGSLAIKAALKRANVDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPTSVICTTINKVCSSGMKATMLAAQTIQLGSNDVVVVGGMESMSNAPKYIAEARKGSRLGHDTIIDGMLKDGLWDVYNDFGMGVCAEMCADQHAITRDQQDSYAIQSFERGISAQNGGHFAWEIVPVEIFSGRGKPSTLVDKDEGLGKFDAAKLRKLGPNFKKVGGTVTAGNASSISDGAAALVLVSEKKAHELGLHVIAKIKGFADAAQAPEFFTTAPALAIPKAISNQMLAVALANQKLLGLDPEKLNVHGGAVSLGHPLGCSGARILVTLLGVLRHKGGKYGVGAICNGGGGASALVVELMQGSTWRRSSL >RHN63627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52784520:52789805:1 gene:gene26352 transcript:rna26352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 2 MSTPARKRLMRDFKRLQHDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLSLQFSEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREVVEQSWTAD >RHN46486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32201843:32204357:-1 gene:gene40977 transcript:rna40977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP deaminase MPTPAQWTDKNNPTYSYYIYYCYANLYTLNKLWKLSSCDLCEIARNSVYQSGFSHALKSH >RHN70191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49509928:49513499:-1 gene:gene18747 transcript:rna18747 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMNLKSQLSCTKLCSQYESNIEKMTEEIAQLKEEVAMHREEKSRSQSQEEDLNIPEKGFDDIQVSKEQVNNLSHLLKELQLRKEKHDSREMKQGLDLLLSEESKDKNKLDIPGMSGSYEKSYKRERVCEGLFCSCSKKSLCKTTKCKFRSTGGSCGPSCRCTRFKCTNREPLKSLADNELPKSENSECSTNKDGGVIAYECAKLLQSAFVEKPASRRDNQGPNKKPLCDILNSLVGNLDAQKQGRKKIVRKPLIQLVTKDTMSSTPEK >RHN54089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6843990:6849286:-1 gene:gene29072 transcript:rna29072 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BH1 MLAHTKICLQKPSNMLKDTRQSNLVSGKGGLLVAANQRYNAIECRKALTNFVILDEHAFRVVEGEGFKQLCKQLQPLMTPPSRRTIARDCFQLFLDEKLKLKAFFKSDCRSVALTTDCWSSQQNLSFITITAHFIDRCWNYQKRIISFAPIPNHKGLTIGKKVEEVLKEWGIRNVSTITVDNASSNDVAVSYLKQRLKIKNGLLGEGDHFHMRCVAHILNLVVMDGLKDQDLSISSIRNAVRFVRSSPQRALKFKECIDMSRITCKKHVCLDVSTRWNSLFMMLDTAEKFQPAFEKLVHEDSSYVEWFKEAGLPTPNDWEKARAFVSFLKIFYDATKVFSSSQQVSIHTAFHSLASILCELQKACMDLNTIVADMGVEMKAKYDKYWGNVVKMNQFLYFGVIFDPRYKFGYIEWSFNDLYGAGSDIAKERAGSVSDNLFKLYNLYKSEHESFVGPSGSNNSSVEQPAVPKIPSLNTRADAYKKHLKTKETIVPQNDLERYLSDPPENDDPSFDILTWWKKNCVRYPVLATMVRDVLATPVSSVASESAFSTGGRILDTYRSSLSPEMAEALICTQNWLKPSFVDFKDLNLSEEYELLENVVAEIGQVLSGVHVGPASPAAGVGPATGV >RHN40193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14058472:14059875:1 gene:gene46313 transcript:rna46313 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLIIQWRMQNRFNYVITKNLMNHPSALLHITYMHSVPYNIRFLPLSKMRWS >RHN62762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45691832:45692418:1 gene:gene25369 transcript:rna25369 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSRGSLFMNMFAEGMTLTSSTFMFAMFNLTPGITFIMAIFFGLEKLNWSVVEGKAKVIGTLMGISGAMVLTFYKGAEINIWSSNINLLHNTHHQNQNGHMELQHADFSNKLLGFLYAIGSSCSFSLWFIIQVSLIFSLIFLFNCLPLVFFFFHKRQLLRLLS >RHN54111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6972333:6974983:1 gene:gene29097 transcript:rna29097 gene_biotype:protein_coding transcript_biotype:protein_coding MMEELPGAFGTSASLTLRLGQIVFSSASLCFMCLDVGFYSYTSFSFLVTVMGLAIPWSITLFMVDAYSVFIQCLPIQKRLILIIVLGDMVMSYLSLAAACSAASVIDLLHEAGKSHCPAKLCGRYQLSAAMAFLSWFLSLASCLFNFWILPSL >RHN63096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48399897:48402139:1 gene:gene25755 transcript:rna25755 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDFQFNFLSFIKHGLSVLQISSHHNLSHPPRHHQQSHQMFVSAQIAFSNNNKALLSLFVSNSSTNPSIPNLHFMCIRCPSITHRSRVLPSSTPDFAFLCPPYADPKFSYFKIVRSGIEFHANKVLAAAAEILANTLTRSDGC >RHN74633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35352970:35360483:1 gene:gene10736 transcript:rna10736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-16S rRNA nuclease, ribonuclease H-like domain-containing protein MWTQQQLVQPVPPLKLFHSFQPSNHAKIQSLSKLNSTQNLKTLTLEELPPNALRRKKDAEWRGGFSLGVDLGMARTGIALSKGFTFRPLTVLKLRGQKLEVRIMNIAEEEEADEFIIGLPKSCDSEETIQSNIVRSVAGRLAIRAAERGWRVYLHDEYGTTNAAIDRMINMGVNRSQQKKQDAYAAVMLLERYFSTSGQKTELVVPKNLELQGKLRSGPPRDDDYLSDDD >RHN52846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39483685:39485513:-1 gene:gene37569 transcript:rna37569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mediator complex, subunit Med25, von Willebrand factor type A MQFINWTKDVTKFMENLSHLSFNGNDANQSTMAEGLAEALVMYPKPCDTMTEREYYISERHCILVAPGDPAPKSMLVCLPMIQRAHVIGQRLKACQADFLEVAKTCIPLSVSLSVITPNPVPIFGAIFNMGNNALTLSNAPISSYSTGQLTVLLSKNFREAHIALKEKGIMEYPSTTSVGSISAAPDTTLLRAFSTNFQGYYFYPYICVRACVFFHNFLKHFLTLFYLFNIFRRTSLLLYGNRRQNTRNWQCYNTGACKHLAT >RHN72797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10759162:10764101:1 gene:gene8555 transcript:rna8555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain-containing protein MANNQNQKQLIYAFVSRGTVILAEFTEFSGNFNSIAFQCLQKLPSTNNKFTYNCDNHTFNYLIDNGYTYCVVADETTGRQVPMAFLERVKDDFVSKYGGEKASTAPPNSLNKEFGPKLKEHMQYCVDHPDEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTDNLHHQAQDFRSSGTSIRRKMWLQNMKVKLIVLGILIALILIIVLSVTRG >RHN58528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2518901:2519635:1 gene:gene20449 transcript:rna20449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MVTATASSAKSKVPKTMAAEACSNLPDELWEYIIKFLDGDHCTVKSLSIVSKQLLSITYSFRFTLKITQQTIPFIPRLLQRCRNITSLNLTSINLPQYNHLNTLLTQISALPLDLKSLILSNLFKIPKNGLRPLSKKMKNLTSFMCFKITWINKKDIYFIADCFPSLEVLVLKETRSVGNIYPYPFDWNYDFELDDDNKFLALPKLRKISLYGNSIDSQIINYLRKNRGPFTEEKNNLDGNDTA >RHN81746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48642140:48643381:1 gene:gene5831 transcript:rna5831 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRTNQIQMSSNFQPLTLHKRCLRISSTAHNIPKSNNLIQIPTTLKTQP >RHN51604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20802023:20804746:1 gene:gene36076 transcript:rna36076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L36e MAPKQPSTGLFVGLNKGHVVTKKELALKPSSRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRAGGGAGDKKK >RHN39794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10543009:10548642:-1 gene:gene45857 transcript:rna45857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MGNSEDGKSIKTERPSSPVATDQTDQANPSNMHVYPDWAAMQAYYGQRVNIPPYFNSAAAPGHAPHPYMWGPPQPMMHPYGPPYAPPFYSHGGVYTHPAVAIGSNSNGQGISSSPAAGTPTSIETPTKSSGNTDQGLMKKLKGFDGLAMSIGNGNAESAERGAENRLSRSVDTEGSSDGSDGNTTGTNGTRKRSRDGTPTTTDGEGKTEMPDSQVSKETAASKKTVSVITSSAAENMVGPVLSSGMTTSLELRNPSPISTSAPQPCGVLPPEAWMQNERELKRERRKQSNRESARRSRLRKQAEAEELARRVDALTAENLALKSEMNELAENSAKLKIENATLKEKLENTQLGQTEEIILNGMDKRATPVSTENLLSRVNDSNSDDRAAEEENGFCENKPNSGAKLRQLLDTNPRANAVAAS >RHN42177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36128680:36129190:-1 gene:gene48588 transcript:rna48588 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRISRSESALTSERDQKESIDQQHIDIRDNIKDSTTIGDGISSELHLKSPSQTLDKHVVLRRIKQRKSYNKAKNAFEALLGALKTNPNTTQEQKWLQHDDTFSSP >RHN66417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12148734:12154124:1 gene:gene14390 transcript:rna14390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAATLVGGAFLSATVQTLVEKLASQEFCDYIRNTKLNSSLLAELETTLLALQAVLDDAEQKQITNTAVKQWMDQLKDAIYDAEDLLNQINYDSLRCKVEKIQSENMTNQVWNLFSCPFKNLYGEINSQMKIMCQRLQLFAQQRDILGLQTVSGRVSLRTPSSSMVNESVMVGRKDDKERLISMLISDSGTTNSSIGVVAILGMGGVGKTTLAQLLYNDKEVQDHFDLKVWVCVSEDFDILRVTKTIHESVTSRGGENNNLDFLRVELNQNLRDKRFLLVLDDLWNDSYNDWDELVTPLINGKTGSMVIITTRQQKVAEVAHTFPIHKVDPLSDDDCWSLLSKHAFGSEDRRGRKYPNLEEIGRKIAKKCGGLPIAPKTLGGILRSKVDAKEWTAILNSDIWNLPNDNILPALRLSYQYLPSHLKRCFAYCSIFPKDFPLDKKELILLWMAEGFLEHSQRNKTAEEVGHDYFIELLSRCLIQQSNDDGKEKFVMHDLVNDLALVVSGTSCFRLECGGNMSKNVRHLSYNQGYYDFFKKFEVLYDFKWLRSFLPVNLSIVKGSYCLSSKVVEDLIPKLKRLRVLSLKNYQNINLLPESVGSLVELRYLDLSFTGIKSLPNATCNLYNLQTLNLTRCENLTELPPNFGKLINLRHLDISGTCIKEMPTQILGLNNLQTLTVFSVGKQDTGLSLKEVGKFPNLRGKLCIKNLQNVIDAIEAYDVNMRNKDIEELELQWSKQTEDSRIEKDVLDMLQPSFNLRKLSISLYGGTSFPSWLGDPFFSNMVSLCISNCEYCVTLPSLGQLPSLKDLTIEGMTMETIGLEFYGMTVEPSTSSFKPFQYLESLKFFSMPNWKEWIHYESGEFGFPRLRTLRLSQCPKLRGNLPSSLPSIDKINITGCDRLLTTPPTTLHWLSSLNKIGIKESTGSSQLLLLEIESPCLLQSVKIMYCATLFSLPKIIWSSICLRFLELCDLPSLAAFPTDDLPTSLQSLRISHCPNLAFLPLETWGNYTSLVALHLLNSCYALTSFPLDGFPALQGLYIDGCKNLESIFISESSSHLPSTLQSFRVDNCDALRSLTLPIDTLISLERLSLENLPELTLPFCKGTCLPPKIRSIYIESVRIATPVAEWGLQHLTSLSSLYIGGYDDIVNTLLKERLLPISLVSLYISNLCEIKSIDGNGLRHLSSLETLCFYNCPRLESLSKDTFPSSLKILRIIECPLLEANYKSQRWEHLSIPVLEINNEVII >RHN46046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28056853:28058306:1 gene:gene40486 transcript:rna40486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative intramolecular oxidoreductase MPCLTLSTNVSFDGVDISSILSQLNSTIANILGYPKSYVTVSLEGSIPICFGETEEPAAYGEFVAIGILNPDLNKKLSAEIALVLHTMLLVPKSRFYLKFYDIEGYNCGLNGGLMVVETK >RHN62039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40243880:40244239:1 gene:gene24568 transcript:rna24568 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQQQRPNDQFQNLSRTDDSEKDIRKSDAFQSGVTEPVAAYPVTAYAYEATGVTPDGTTTSRYEYTAVYGTAAPGFGDANAGGGQSGQEANMGGGGGGAAGGNTGMNMNMGGNKQK >RHN69903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47231373:47234910:1 gene:gene18436 transcript:rna18436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative COX15/CtaA family protein MFGRAILKRSKEICLIHKLTGRKTTTTTIPFSHPSSIQQQSFKFFNPSISTHFFRTFRSHNTPKGHRVSKTVSAGAIHNEGLKLLVTGGSHAQKVVGIWLFGSAAWVFTMVILGGLTRLTRSGLSMTDWKFTGEFPPLSDEAWLQEFEKYQQSPEYKRVNKGMKIEEFKFIYWMEYGHRMWGRALGLMFVLPYSYFLHKGYITLRLALRVSALFGLGAGQGLIGWWMVKSGLEEPPTEYSQPRVSPYRLAAHLTSAFAIYCGLFWTALSVVMPEPPAESLTWVRGAAKVKRLALPVSLLVGLTAVSGAFVAGNDAGHAFNTFPKMGDTWIPENILEMKPLIRNFFENTSTVQLNHRILATATLISVSALWLSTRKLDIHPAVRSVIGGIFGMASVQVTLGITTLLSYVPVSLGTAHQAGALTLLTFMLLLNHTVRRPSSALLKSLPQVVKAN >RHN41452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30106432:30112456:-1 gene:gene47775 transcript:rna47775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MGRGRVQLKRIENKINRQVTFSKRRAGLLKKAHEISVLCDAEVALIVFSHKGKLFEYATDSCMEKILERYERYSYAERQLVANDSESQGNWTIEYTRLKAKIDLLQRNYRHYMGEDLGSMSLKELQSLEQQLDTALKLIRTRRNQVMYESISELQKKEKVIQEQNNMLAKKIKEKEKIAAQQQAQWEHPNHHGVNPNYLLQQQLPTLNMGGNYREEAPEMGRNELDLTLEPLYTCHLGCF >RHN57523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39767214:39770342:-1 gene:gene33078 transcript:rna33078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MSTSEDKPEVVERGLFKDDKHKEEDKQEEEEKGGFIEKVKDFIHDIGEKIEEVIGFGKPTADVKAIHVPKINLHKIDLVVDILIKNPNPVPIPLIDINYLIDSDDRKLISGLIPDAGTIHAHGEETVKIPLTLIYDDIKETYADIKPGTIIPYRVKVDLIFDVPVLGRFTLPLEKKGEIPIPYKPDVDIDKIQFKKFSFEETVANLHLKLENMNDFDLGLNALEYEVWLGDVNIGGAQLSKSAKLEKGGGISYIDVPITFRPKDFGSALWDMIRGKGTGYTMKGNIDVDTPFGAMKLPISKEGGTTRLKKNREDGGDDDDDDED >RHN52426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35196154:35201556:1 gene:gene37104 transcript:rna37104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, leucine-rich repeat domain, L MERLQGWKKALSQAANLSGYHDSPPGYEYKLIGKIVKYISNKISRQPLHVATYPVGLQSRVQQVKSLLDEGSDDGVHMVGIYGIGGLGKSTLARQIYNFVADQFEGSCFLHDVRENSAQNNLKYLQEKLLLKTTGLEIKLDHVSEGIPVIKERLCRKKILLILDDVDNLKQLHALAGGLDWFGCGSRVIITTRNKDLLSSHGIESTHAVEGLNETEALELLRWMAFKSDKVPSGYEDILNRAVAYAFGLPLVLEVVGSNLFGKSIEDWKHTLDGYDRIPNKEIQKILKVSYDALEEEEQSVFLDIACCFKGYQWKEFEDILCAHYDHCITHHLGVLAGKSLVKISTYYPSGSINDVRLHDLIKDMGKEVVRQESPKEPGERSRLWRQEDIIHVLKENTGTSKIEMIYMNLHSMESVIDKKGKAFKKMTKLKTLIIENGLFSGGLKYLPSSLRVLKWKGCLSKCLSSSILNKKFQNMKVLTLDYCEYLTHIPDVSGLSNLEKLSFTCCDNLITIHNSIGHLNKLEWLSAYGCRKLEHFRPLGLASLKKLILYECECLDNFPELLCKMAHIKEIDISNTSIGELPFSFQNLSELHELTVTSGMKFPKIVFSNMTKLSLSFFNLSDECLPIVLKWCVNMTHLDLSFSNFKILPECLRECHHLVEINVMCCESLEEIRGIPPNLKELCARYCKSLSSSSRRMLMSQKLHEAGCTKIYFPNGREGIPDWFEHQSRGPIISFWFRKEIPSITCIFILPKGNEYATSVNVFVNGYEIEIGCYWSLFFFTDHTTLFHTSKLNELIKTQCEYNIEKGLLKNEWIYVEFKLKDHENSVYAQRGIHVWNEKSNTEEENVVFTDPCITKTKSDEYLNQSNNTSLSQFEPPLKKQRLVEVGVSETEEDINASLQQQELMKEEQRKTWGTF >RHN73875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20949901:20950998:1 gene:gene9760 transcript:rna9760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSNIIQSNSPRKAKVPSSLILPDDLIAEVLSLLDIKFLMQLKCVCKSWNSLISSDPTFAKMHLIKSSPQNPKHLTMFSCKNKDYSFPSQFITISKDFVLNHYQVKNEDCNLVLGSSNGLICMLSQHIYHNVQNFHLRFWNPATRSVSKIVGCFTNTFMDRYDYFRFSFGCDNTTGKYKVVAFSSVEVRVFTLGDKFWRIIQRFPLLSIRSNNVGYNEWKDLNVTVEQFVIISLDLGTETYTQLLPPRGFNEVPHVMPVVSVLMHCLCFCHYSNGMDFVIWQMTKFRAEESWTKFLKFSCQNVRGRVDDHLLPLYLSENGDTLILLSDQGHVHYNRKDDKVEQREISDDIKRIVAKDYIESLVSTC >RHN51500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19048553:19049141:-1 gene:gene35946 transcript:rna35946 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKNPRVRQDVSQECKTVEAKQLQLRREDFQFQREAVSLMGDKRLSKWKKC >RHN41143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27464446:27464712:-1 gene:gene47433 transcript:rna47433 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAALSDDFIWSSLQSTDSVGSPPKMEGRTIYYHVAEDNGDVDDENVPGYSLVFNGNDVEQLTHKFAEEMGLDGVIVCSRSPLNGKL >RHN82278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52510304:52510925:-1 gene:gene6428 transcript:rna6428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diphthine synthase MLYIIGLGLGNEQDITLKGLQAIHKCDKVYMEAYTSLLSFGLSSKGLSNLEKLYGKPITLADREMVEEKVDVILSQAHLSHVAFLVVVATTHTVLVVRAKKMGIEVKIVHNASVLNAIGICGLQLYRYGETVSIPFFTQTWKPDSFYQKIQQNRILGLHTLSAILNYQLMLNRFKFYHKKMH >RHN72577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8810467:8810931:1 gene:gene8304 transcript:rna8304 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCTIKMSGCDINACNKFENRCLIVDVLLNLLLSSEYWKIIIGGILIGFMNTSVCHGSARSIYDRSSGGRGDYSGCGGSDNGGNGRGSGGCSDGGSVDNMNTSVNVGDVGGDGGGQGGWDNVGGGGGGRCGSGIDFLHTSGCWGGNGIDHQFI >RHN48099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44988705:44994887:-1 gene:gene42774 transcript:rna42774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MSSSLISDFLHRAGGTAIIDGGLATELERHGADLNDPLWSAKCLISIPQSHLIRQVHLDYLENGADIITTASYQATIQGFKEKGFSNEESENMLRRSVEIACEARDLYYERCAACSSGKNADDRILKQRPILIAASVGSYGAYLADGSEYSGNYGDAITLKTLKDFHRRRVQVLADASADLLAFETIPNKIEAQAFAELLEEENIKVPAWFCFNSKDGINVVSGDSIVECGSIAESCNKVIAVGINCTPPRFIHGLILLLKKVTTKPIAIYPNSGETYDGERKEWMQNTGVTDKDFVSYVSKWCELGACLVGGCCRTTPVTVRGIYNTLYSNQSAILSTQ >RHN79881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33139036:33139251:-1 gene:gene3732 transcript:rna3732 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKHPFSIPLLYTPLYGRVFLVISQTLFFNPVTLSIPPLQRETHTLTFFLFPSFSSPAMNHRRHHHLRCH >RHN72081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4820486:4825212:1 gene:gene7753 transcript:rna7753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 14-3-3 protein MASPNQNFVYTAKLAEQAERYEEMVDAMKNVAKLNVELTVEERNLLSVAYKNVVGARRASWRILSSIEQREEAKGSDVNVNRIKEYRKKVESELADVCNDIMTVIDDHLIPNSSGESNVFYYKMKGDYYRYLAEFKSGDERKEAADQSLKAYQEASTAAEAELPPTHPVRLGLALNFSVFYYEILNSPERACHLAKQAFDVAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDIPEEGVEEQKSESARAPPAGDNAE >RHN53102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41994157:41996069:1 gene:gene37865 transcript:rna37865 gene_biotype:protein_coding transcript_biotype:protein_coding MQATYKIVDFGISKMFKNTDNGNAESAMVLIDPALVLIQMIILHFIMSVLQSQPKIAHLTINVIIRECI >RHN53316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:905283:908788:1 gene:gene28209 transcript:rna28209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oberon, PHD finger domain-containing protein MGRSKRGDTFTGMTLHPVPPDESGEGLPYAPENWPQQGDIWGWKTGKRLHNNGCFQDRYLYSPSRLSTQKGGSSRKHRHIFASKLSVERYIKATFPDSDIDAFFSSFSWRIPSLNASNGCNMVPIAAVPLQQIPLIIESDSDDSKTDVVRCKARNKKCDSLKLDVVEKHSPAMPCDICCSESGFCRDCSCILCCKTVNSTLGGYSYIKCGVNVGEGICGHVAHVECALRSLLAGTVGKSFGLDTEYHCRRCDGRTDLVSHVERLVEICKAVDLNDEIKKKVLDLGACLLRGSKKPVAKELFNRVELAIAKLKCVSNGEDIKMDDDNHMVHSEGLPDHGTDPMEVTMNGSPSNVRLKEEAYDYRSHSLKFEADIDDVMETLRKSQELECKVVEERLRAQQIYLQGLYDQIDREMAELECPNLTHSEPLFRAIRERKEQIRQELAKYEDMKKVASGFSRTSNDISKEIFNN >RHN82811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56560375:56564367:-1 gene:gene7001 transcript:rna7001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MYRPPSSSASSSSSSSQQQQSSISQTGLTRYGSAPSSLLNTTVDAIIGGSRLLPGTGQHYFSDDSTQQQQQHHHQQQQQQQQQRSSYEGQGGGFDGSALLRQKSSPAGFLNHLATLNHNNNNNNNNNNAGGGFTITRGNSRLKSELSFTGGGQECLSRISENVVDYASAAAAAGNGSLHTNSTNTWGGGGPDNNNNSNSIVFSSSQTQTNNNSKKRSSRTDPNDDPDLLLHCLNALETQYSLPQTSLEMDQLMHNIPQDSVPCKIRAKRGCATHPRSIAERERRTRISGKLKKLQDLVPNMDKQTSYSDMLDLAVQHIKGLQTQVQKLHEDLENCTCGCKQST >RHN63034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47815508:47821161:1 gene:gene25684 transcript:rna25684 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative formamidase MARYGSRMLVPVDLKKKPWEQELPLHNRWHPDIPPVAEATTGELFRVEMIDFSGGAITKNYTAEDAKYVDLSAVHHLSGPIRIVDSDGIPAQPGDLLAVEICNLGPLPGDEWGFTATFDRENGGGFLTDHFPYATKAIWYFEGIYAYSPQIPGVRFPGITHPGIIGTAPSMELLNIWNERERDVAENGIHSLKLCEVLHSRPLANLPTEKSCLLGKIEKDTDEWEKIAREAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEVSLCGAIEMSGFLELKCEIIRGGMKEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGRQHYLDASVAYKRAVLNAIDYISKFGYSKEQVYLLLSCCPCEGRISGIVDAPNACATLAIPTAIFDQDIRPKNNKVPIGPRLVKKPDVFKCTYDGNLPITKNLSASS >RHN74189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30031335:30031918:1 gene:gene10204 transcript:rna10204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial import receptor subunit Tom22 MPSRHSFSIEVKFKVPKFSFSDIKEMASNSVVTAKKLFHRTSTAAWVGGISFMVLVLPLIMALDREQKMLNQLESQVSTTNI >RHN42963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42205065:42205545:1 gene:gene49475 transcript:rna49475 gene_biotype:protein_coding transcript_biotype:protein_coding MPHKRYGCKYRHQMHSLEQVIPGLYPTDIDRETRNSQFYQIPQLLFHNRS >RHN74230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30583954:30585420:-1 gene:gene10256 transcript:rna10256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MAYLRTIHPCLAIIALLFLLSSIGAHNDGFSVKLIRRNSSHDSYKPSTIQSPVSAYDCEYLMELSIGTPPIKIYAEADTGSDLVWFQCIPCTKCYKQQNPMFDPRSSSSYTNITCGTESCNKLDSSLCSTDQKTCNYTYSYADNSITQGVLAQETLTLTSTTGEPVAFQGIIFGCGHNNSGFNDREMGLIGLGRGPLSLISQIGSSLGAGGNMFSQCLVPFNTDPSITSQMNFGKGSEVLGNGTVSTPLISKDGTGYFATLLGISVEDINLPFSNGSSLGTITKGNILIDSGTTITYLPEEFYHRLIEQVRNKVALEPFRIDGYELCYQTPTNLNGPTLTIHFEGGDVLLTPAQMFIPVQDDNFCFAVFDTNEEYVTYGNYAQSNYLIGFDLERQVVSFKATDCTKF >RHN63083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48251740:48252128:1 gene:gene25740 transcript:rna25740 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYVYVLTGRKIHKQSGFQQTVSEEKMILRAQIGSRPPKCDRRCRSCGHCEAIQVPTNPQVQNVKINSSKFSSIAYSRGNYNSNYKPMSWKCKCGNLIFNP >RHN52666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37532927:37534552:-1 gene:gene37361 transcript:rna37361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MTFTILRYATPNSFLLLNRRLHIQPLPSFIDNLDDAVSSFNHILHMNNHTPPIFEFNKILSSLVKMNHFKIAISFSQQMELKGIQPEMFTFNILINCFSHLCQLNFAFSMVAKILKLGYQPDTVTVNTLLRGLCLNGKVKEALNFHDHVIRKRFHLDQVSYGTLINGLCKSGETRAALQLLRKIEGLLLVRPDVIMYTAIIDSFCKDKLVIDAYDLYSEMIVKKIYPNVVTFNSLIYGFCIVGQLKEAVGLLNEMSLNNVNPNVYTFNILIDGLCKEGEVKKATSVLSVMIKQGVEPNVVTYTSLMDGYFLVKEVNKAKHVFNTISLRGVTPNVHSYSVMINGLCKNKMVDEAVKLFKEMHLKNMTPNTVTYSSLIDGLCKSGRISDVWDLIDEINNRGQPANIITYNSLLNGLCKNHQVDKAIALLTKMKDEGIQPDMSTYTTLVDGLCKNGRLKDAQRIYQDLLCKGYPLNIRMYTVMINGLCKEGFFDEALSLLSQMEDNGCMPDAVTYETLISALFKNNKNGKAVKLLREMIARGLL >RHN66547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13654553:13655799:-1 gene:gene14553 transcript:rna14553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MAEGFLDCSQGGKMTEEFGDDCFLELSSRSLIQQSNDDGFGKIFFMHDLVNDLATVVSGKSCCRCEFGDISKTVRHFSYNQEVYDIFRKFEKIYNFKCLRSFLPINYKSFHNSLSIKVVDNFLPTLNMLRVLSLSKYTNITKLPDTIGNLVQLRYLDLSYTEIKSLHDTICNLYNLQTLILSSCEGLTELPVQIGYFASLRHLDISGTNISELPMMEGPNCHFLGAILLARCNMHTFLQHLKLYDIPSLTVFPSSGLPTPLQSLEIEKCENLSFLPPETWSNYTSLASLTLRSSCDALTSFQLDGFPALQRLRISECRNLDSINILESPPSRPSRLQTLVIKSHDSIGSLKVKLRMDTLTALERLDLECRELSFCEGVCLPPKLQSIVIWSQIATPF >RHN67947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31715488:31723448:1 gene:gene16211 transcript:rna16211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VIII-1 family MHLSNGCCKHVVIFIILWFCCCLIPAAAQVINVTDPTEVSALRSIYESLKDPNGHLRHWNDGDPCLSSWTGVVCSNETIEENFLHVTELELLKLNLSGELAPEIGNLAYLKILDFMWNNISGTIPVEIGNIKTLELLFLSGNELTGQVPDELGFLPNLRIMQIDENKLSGPIPSSFANLNKTKHFHMNNNSLSGQIPPELSKLPSLIHLLLDNNNLSGILPPELSKMQNLSILQLDNNNFEGNSIPDSYANMSKLVKLTLRNCNLQGPIPDFSKIPHLLYIDLSFNQLSESIPPNKLGENITTIILSNNNLTGTIPSYFSILPRLQKLSLANNLLSGSVPSNIWQNKISNAAEILLLELQNNQFVNISGNTNLPPNVTLLLDGNPLCSDNTLNQFCKVEGASIDTNGTSPTNFSDPCPTKKCPPPYEYSVNCFCVAPLIFGYRLRSPGFSYFPPYFNTFEEYLSSNLKIHPNQISYTFEWQVGPRILMILKLFPEYVDENSSHIFNTSEVQRIRNMFTGWTIPNRDLFGPYDLMDPVPYNNGTDTSSKSGISTGALVGIILGSIACVISLSAIFILLILRVRLRRHDAISKPRHSSRISMQIDGTRAFTYEELSSATRKFDNNAQIGQGGYGKVYKGILSNGTVVAIKRAQQGSLQGEKEFLTEISILSRIHHRNLVALIGYCDEAGEQMLVYEFMSNGTLRDHLSVTSNKPLTFAMRLKIALESAKGLMYLHTEADPPIFHRDVKSSNILLDSKFTAKVADFGLSRLAPVPDMEGIVPGHVSTVVKGTPGYLDPEYFLTHTLTDKSDVFSLGVVFLELLTGMQPISHGKNIVREVSVAYESSEISSFIDERMGSYPFEHAEKFLNLALKCCEDEPEPRPKMAEVVRELEDICSVMSDSDAMRDSSTSSGGKTVSFVSSTTSSSSTIKTTFVSRNV >RHN75047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38956428:38956721:1 gene:gene11196 transcript:rna11196 gene_biotype:protein_coding transcript_biotype:protein_coding MESFTFFSPFSGSFCSSPVRSGFDCFGSVLACLCLFVICVVI >RHN69812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46443737:46444974:-1 gene:gene18328 transcript:rna18328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GATA-type transcription activator MGLGTLPLTPPYYTSFSSKSSGLVHKNTNNCNRSKKFIVSAKQEKKEEDKDKQSFFTSVTDALDFAQPRSAQDAQLIQEAREATKSGEQMSREQYGALRRKIGGTYKDFFKSYVEVDGEYVEEGWVDKTCKVCKKDTKGEARQVDKLGRYVHVACAEKAKSGNFFTRLFSL >RHN38966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3128315:3132639:1 gene:gene44946 transcript:rna44946 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MSGEEEENAAELKIGDEFLKAKCLMNCEVSLILEHKYEQLQQSSDDAMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILARYQLAEFELCVLGNLCPETVEEAIAMVPSIKTRGRAQDDEAIEKMLNDLSLIKKFE >RHN59302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9246430:9251971:-1 gene:gene21307 transcript:rna21307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MTTYATILFLFFAMSTTTSFCLQEMEVLQMAKTQVSQAINWVDNSMKLHGLESMSISLNQTSVLALRDCAKLYEESEFMLNNMMVEKSSYTKEDAIIWVSAMMTNHKTCLDGLEEKGYVQANQVLDRNLTSLLGQTLVLYSNNKIKVKEQPQRSTILEENDGLLDSWSLANHRADFTVAQDGSGSHRTIKEAVDALASMGHNRPSRAVIHVKAGVYHEKVEIEKKLHNVMFVGDGIDKTIVTGNRNVVHGSTTLNSASFDVSGDGFWARDMTFENTAGPENHQAVALKVSSDLSVFYRCSFKAYQDTLYVHSNRQFYRDCHIYGTIDFIFGDAAVVLQNCDIFIRKPMSHQSNFITAQGRDDPNKPTGISIQNCRVRPDSDFSMVKDSIKSFLGRPWKKYSRTVFIKSDLDGLIHPKGWGEWEGNFALSTLYYGEYMNTGNGASTQNRVNWPGFHVLKSSDEASQFTVSRFLQGEHWISASGVPVWSGI >RHN60245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24202117:24202911:-1 gene:gene22515 transcript:rna22515 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLTHKCMWYQISKRSSPPMEQLNIICKGSPPPYIISEVKELNPFTTTRLCCITRLDNATTITIFSTIYRTTPNYIVHLHIICIIKKTCPYTIKS >RHN72937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12001211:12003248:1 gene:gene8703 transcript:rna8703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MLLPIVVLLSLFPFGFATNSPQNIFIQCLVNNSEPSNPIIESIFTQNSPSFPSILQAYIRNLRFNTTKTPKPFLIITPLHVSHIQASIICGQKHNFQMKIRSGGHDYEGVSYVSNVPFFILDMFNLRSIEIDINTETAWIQSGATLGEVYYRIAEKSKTHAFAAGVCPTVGVGGHVSGAGYGNLMRKYGTSTDNVLDAEIIDSKGRLLDRKSMGEDLFWAIRGGGGANFGVVLSYKIKLVKVPKIVTVFEVQRTLEQNASDIVYNWQHVAPTTSNDLFIRLILEVVKDAKNGAKTIRATFISLFLGDSKTLVSLMNETFPQLGLREQDCIETSWLQSVFLWDNIKIDTPFKILLERQPQLLRYLKRKSDYVKEPISKEGLEGIWKKMIELEKGVMFFNPYGGKMDEISPTETPLPHRAGNLWKIQYQANWNEEGEEVTFYHINVTRELYKYMTPFVSKNPRQAYLNYKDLDLGINHHGFFSSYSQGSVYGIQYFKDNFHRLVEIKTKVDPSNFFRNEQSIPVLGYVDRVRIIVIEKSLISIIFCLAVNWIWKSSQGRGTKKQ >RHN64911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62919178:62920604:-1 gene:gene27788 transcript:rna27788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pseudouridylate synthase, tRNA pseudouridine(38-40) synthase MFISSLCLLLIHVVIAIETVLASLGSENELVKCLPCSERGRKVEGVVGNSKRNLELEAVDVENGSSNKNDVVDSGVTKDVEDEVAPINGGSENNLGILEEEKVNREDTAANGSGFCYGEKERERFNNILKCYVGTHNFHNFTTRIKPEDPSAKRFIISFDASTTVVVEGMEFVKCEIVGQSFMLHQIRKMMGLAVAIMRNCAPESLIEKALQKDVSITVPTAPEVGLYLDECFFTSYNQKWKDTHEEVSMKAYEKEAEDFKMQYIYPHIASTEYKEGTVGLWLHSLNHRNYPDLRILDGEGVTNDKKVEVDMNDKKTEIEVVTE >RHN53374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1267496:1270941:-1 gene:gene28275 transcript:rna28275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L14P MAATFASRCSRVGRSLFGGLSNSSPGLFTTSHEMTCNSYFSQQQRTFIQMRTVLKVVDNSGAKKVMCIRALAGKKGARLGDMIQASVKEAHPNGKVKKGAVVFAVVVRAAMPKGRCDGSEVKFDDNAVVLVDKQGQPIGTRVFGPVPHELRQKKHVKILTLAGHIA >RHN44762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8192663:8198845:-1 gene:gene38923 transcript:rna38923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate dehydrogenase (succinyl-transferring) MAWFRAGASIAKNAIKRTISQNRSSSYLVSRSRILPSTHGRKFHTTVFKQQAAPVPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQASTSPGISGQTIQESMRLLLMVRAYQVNGHMKAKLDPLNLEARQIPDDLDPALYGFSEADLDREFFLGVWRMAGFLSENRPVQTLRSILTRLEQAYCGSIGFEYMHISDREKCNWLRDKIETPTPVQFNRERREAIFDRLAWSSLFENFLATKWTSAKRFGLEGGETLIPGMKEMFDRASDLGVESIVIGMAHRGRLNVLGNVVRKPLRQIFCEFSGGLSPEDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDVDRTKNMGILLHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVLNNQVAFTTDPMSGRSSQYCTDVAKALDAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKVIRSHPSTLEIYQKKLLETGELTQDDIDKIHKKVTSILNEEFQASKDYIPKRRDWLSAYWLGFKSPEQLSRVRNTGVKPEILKTVGKAITTIPENFTPHKAVKRIYEQRAQMIETGEDIDWGFGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVVHDQTTGEKYCPLDNVILNQDEEMFTVSNSSLSEFAVLGFELGYSMENPNSLIIWEAQFGDFANGAHVIFDNFLASGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMADDNPYIIPEMDPTLRKQIQECNLQIVNVTTPANFFHVLRRQIHREFRKPLIVMSPKNLLRSKSCRSNLSEFDDVQGHPGFDKQGTRFKRLIKDRNDHNTVEEGIRRLVLCSGKVYYELDDHRSKVDASDVAICRVEQLCPFPYDLVQRELKRYPNAEVVWCQEEPMNMGGYTYILPRLVSSMKAVGRGGFDDVKYVGRAPSAATATGFLKVHQKEQAEIAEKALQREPVNFPF >RHN53018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41260853:41261097:1 gene:gene37762 transcript:rna37762 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLPTIILSSASCTTCSDCTSKALVASSSRSMIGFLSIALAIAILCFCPPDN >RHN57170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37061035:37061346:-1 gene:gene32674 transcript:rna32674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rad21/Rec8-like protein MKAHFDTHKSASGAPQFVSLDILAAGMTKKSAAILFYQTCVLATRDVLRVEQKEPYGEILIFRGPEM >RHN72928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11910502:11912479:1 gene:gene8694 transcript:rna8694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MAKSNPISLCYFSIPFFVLSVFFSASLAAPTESLYTTFLHCLTQNNTDPTISNIVFSQTNTSFSIVLKNYIRNARFNTTSTTKPLLIVTPKQPSHVQSTVICAKQVNIQIKIRSGGHDYEGISYVNQSPFIILDMFNLRTINVDIKNEVAYVQGGATLGEVYYRIYEKSKVHGFPAGVCPTVGVGGHFSGGGYGTMLRKYGLSVDNIIDAEIVDVKGRLLNRKSMGEDLFWAILGGGGASFGVVLSYTVKLVAVPETVTVFRIEKTLEQNATDLVVQWQQVAPTTDNRLFMRLLLQPITSKVVKGTKTIRASVVAMFLGRAEELVGILGKQFPLLGLKKTDCIELSWINSVIWYNDADDFNKGAKPESLLDRNLNSAAFGKRKSDYVQKAISKDDLEGIWKKMIELGKVGFVFNPYGGKMAEIPADATPFPHRAGNLFKIQFSVNWNDPAPNATVGFLNQAKVLYSYMTPYVSKNPRSAYINYRDLDIGINSFGKNSYEEGEVYGTKYFNNNFDRLVKIKTAVDPDNFFRNEQSIPVLPGKA >RHN46164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29313759:29314232:-1 gene:gene40607 transcript:rna40607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MFLKLVFMLFFLVTLVASEDNSFIYNNRGFQSFHLYLDGIAELTSGGLPRLTNDTKQYKGHALYPKPIVFKNTSSESVSSFSTTFLFAIKSPDPELTLSGHGIVLLFQLSPTKGQPNSLPGQYLGLFNNSNNGNSSNHVFGVHHTYSESQYVTSLYI >RHN56491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31265423:31266424:1 gene:gene31899 transcript:rna31899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-hydroxyphenylacetaldehyde oxime monooxygenase MSSATIITTLLYFLLFTFTCFLFKHFLHPKQKNINHKKPPGPPTLPIIGNLHLLGKLPHRTLQSLSKKYGPIMSLQLGQVPTIIISSSKAAESFLKTHDIKFASRPKIQGTELITY >RHN43051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42831500:42836937:-1 gene:gene49573 transcript:rna49573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonucleoside-diphosphate reductase MYVVKRDGRQEAVHFDKITARLKKLSYGLSTEHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAGMTANHPDYASLAARIAVSNLHKNTLKSFSETVKVMYNHFNHRSGKKAPLIADDVYEIIIKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGVVVERPQHMIMRVSVGIHKDDVESAVKTYHFMSQRWFTHASPTLFNAGTPRPQLSSCFLVCMKEDSIEGIYDTLKECAVISKSAGGIGVSVHNIRAHGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLELKINHGKEEQRARDLFYALWVPDLFMERVQSNGEWSLFCPNEAPGLADCWGEEYDKLYTQYEKAGKAKKIVQAQSLWFAILKSQIETGNPYMLFKDACNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRYVRDKGLPVESHQSKLVGSRGSSSRYFDFEKLGEVTAVVTTNLNKIIDVNYYPVETAERSNLRHRPIGIGVQGLADTFILLGMAFDSPEAQQLNKDIFETIYYHALKTSCELAAKEGPYETYSGSPVSKGILQPDMWGVTPSNLWDWGALREMISKTGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYNRRVLSGEFVVVNKHLLHDLTEMGLWNPALKNKIIYDNGSVQNLSEIPAELKVIYKTVWEIKQKILVDMAVDRGCYIDQSQSLNIHMDKPNFGKLTSLHFYAWSKGLKTGMYYLRTRAASDAIKFTVDTSAIKDQPKVKEADDEDDDTKMAQMVCSLTNRDECEACGS >RHN65878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6151720:6152013:-1 gene:gene13771 transcript:rna13771 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTVRYAENWEPTVKDFDGCNINGVPMCECLCWKGFTPKFQAKFDLSDWSSSCVLCKENEVKLSQYLIILIEIRVLYLFSSFWNEVFQVLFVAQTH >RHN79548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30037835:30038324:-1 gene:gene3354 transcript:rna3354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbonic anhydrase MNQDMKSLDRNYLPTNATLVNHQYSIGVHFEGKVGDININGMNYSLKQLHWHAPAEHRAHGRL >RHN45951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27198918:27199996:-1 gene:gene40381 transcript:rna40381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MSTVAYSDHDAKQRNLADYHPSVWGGFFLQYASETMELDQNLASQIDTLKDEVRNMLVSKTEMPLTKVKLIDSICRLGVGYHFEKEIDEVLQHIHKSYVENGEITLEDSMCSLAMLFRVFRQQGLHVSPSIYMHASTLFAFIY >RHN66586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14369551:14371680:-1 gene:gene14602 transcript:rna14602 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVKLVTLAVFMLTTFLIVQTKNVEAGKCPSAEIMERETAEREIGERGQTSPV >RHN69124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41209577:41211494:-1 gene:gene17553 transcript:rna17553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MFFGFAKQTPLKHSFEHIAEMEATVLSKRRKRRKRRSNRNPNIAISSPSPTVTEMQQSTETLTPQSRHAPPLPTLPFELVAEILCRLPVKLLLQLRCLCKSFNSLISDPKFAKKHLHSSTTPHHLILRSNNGSGRFALIVSPIQSVLSTSTVPVPQTQLTYPTCLTEEFASPYEWCSCDGIICLTTDYSSAVLWNPFINKFKTLPPLKYISLKRSPSCLFTFGYDPFADNYKVFAITFCVKRTTVEVHTMGTSSWRRIEDFPSWSFIPDSGIFVAGYVHWLTYDGPGSQREIVSLDLEDESYCEVLPPDLETDLWTLGLVWDYLCIFASNELFMDVWIMEEYGKKESWTKLCKVPYLEDQSPRLRAFYKAFYLSEDGQVVLDACVPLKLAVYDSKTDALKIREIQNRNGWKDPKVYTESLISPCS >RHN63767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53904962:53913299:-1 gene:gene26511 transcript:rna26511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S49, protease IV, peptidase S49, SppA, ClpP/crotonase-like protein MSLTRSAIHRFRYIIYHTISPPLTNHTFQSQLHRSFLSHPIPKPFHFHSSSYSSSSSSSCSTFVRGGADDDYPTGDFDFKPVTGFNKFLVKLKMLIALPWERVQHGSVLKIILRGQISDQLNNRFSKKGLSLPQICDNLLKAAYDPRISGVYLQIDNLNCGWAKLDEIRRQILNFRKSGKFVVAYVPSCREKEYYIACACEEIYAPPSAYFSLFGFSVQAAFVKGVFDNLGIEPNVEKFGKYKSAGDQLTRKTMSEDHREMLTALLDNIYSNWLDKVSSARGKERTDIENFINEGVYQVDRLKEEGFITSILYDDEVITKLKERLQVKTVKKLPVVDFRKYSGVRKWTVGISGGKDLIAVIRASGTIRRTEGPFSAPSKGVIGEKFIEKIRRVRESNKFKAAIIRIDSPGGDALASDLMWREIRLLAAKKPVIASMSDVAASGGYYMAMGAGAIVAESLTLTGSIGVVTGKFSLGKLYEKIGFNKEIISRGKYAELLAAEQRSFRPHEAELFAKSAQNFYKQFRDKAAFSRSMTVDKMEEVAQGRVWTGKDAASHGLVDAIGGLSRAIAIAKLKANIPQDRQVTVVELSRPSPSLPEILSGLGNSLVGADERLKELLEDLTFSDGVQARMDGIMFQKLEGNPSANPILALMKDYLSSAT >RHN65989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7307171:7312802:1 gene:gene13898 transcript:rna13898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADVLLGTVIENLGSFFREELASFLGVGELTQKLCGNLTAIRAILKDAEVKQITSHAVKDWLQKLADAAHVLDDILDECSITSKPCGDNKWITRFHPKKILARRDIGKRMKEVAKKIDVIAEERIKFGLQVGVIEERQRGDDEWRQTTSVITEVVVYGRDKDKEKIVEFLLRHASDSEELSIYPIVGHSGYGKTTLAQLVYNDESVSTHFDLKIWVCVSDDFSMIKILHSIIESATGQNPNLSSLESMQKKVQEVLQSKRYLLVLDDVWNEDHGKWYKFKFLLQSAITRKGSSILVTTRLEIVASIMGTQPRHLLVGLSDDDIWPLFKHCTFGPNGEEHAELATIGKEIVRKCVGSPLAAKVLGSLLRFKREKHQWLSIKESKFWNLSEDNPIMSALRLSYYNLKLPLRPCFSFCAVFPKDFEIHKECLIHLWMANGLLTSRGNLQMELLGNEVWNELYQRSFFQEVKSDIVGNITFKMHDLVHDLAQSIMGEECVASEVSSLADLSIRVHHISFIDSKEKLDYKMIPFNKIESLRTFLEFRPSTKKLDVLPPINLLRALRTSSFGLSALRNLMHLRYLELCHSRITTLPGSVCRLQKLQTLKLKDCPYFSHFPKQLTQLQELRHIVIENCFSLVSTPFRIGELTCLKTLTVFIVGSKTGFGLAELHNLQLGGMLHIRGLENVSNDGDAREANLIGNKDLNRLYLSWGDYTNSQVRDVDVARVLEALEPHSGLKSFGVNGYRGTHFPRWMSNTSILKGLVHIILYGCETCRKLPPFGKLPCLTNLVIVGMRDIKYIDDDMYDPATEKAFASLKKLTLCSLPNLERVLEVDGVEMLHQLLDLDLTDVPKLTLPSLPSIESLSARGGNEELLKSIFYNNCSDDVASSLGGIACNNRYNLKFLFIAYFAKLKELPVELSTLSALESIYIYYCDEMDSLSEHLLKGLSSLRILVVSKCPKFKSLSDSMRHLTCLEILKITNSPQFVFPHNMNSLTSLRQLVVWGCNENILDNIEGIPSLKRLSLDNFPSLTSLPDWLGAMTSLQVLQISRFPMLRSLPDSIQQLQNLQKLSILRSSMLLRKRCKRGVGEDWHKIAHIPALILESDAKTSFCENIISACNTGKQIWYRLQHGYTTTGEFDRLIEEL >RHN47026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36551646:36555934:-1 gene:gene41574 transcript:rna41574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-V family MSIFDGTFLNTQLSKHTSIFGLRVWVVIGILIGVAIVFILFLISLCVVSRRRHRRTGDYKITGATPAKEIQEIVHVPGPHMLRRPTPVPEIHVDIGRQEHRVVVKSDKVSSGESRGTVGSGCETTSSFGSGSVGGIGPEVSHLGWGRWYTLRELEDATGGLCPENVLGEGGYGIVYHGVLTDGTKVAVKNLLNNKGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLEQWLHGDVGPVSPLTWEIRMNVILGTARGLAYLHEGLEPKVVHRDVKSSNILLDRQWNSKVSDFGLAKLLNSENSYVTTRVMGTFGYVAPEYACTGMLTEKSDVYSFGILIMELITGRSPVDYGRPQGEVNLIEWLKTMVGNRKAEDVVDPKLPELPSSKALKRALLIALRCVDPDATKRPKMGHVIHMLEADDLLFHNDHKTGGESSRSLNDFQPEHEDSNLDKRTDEGISGQSEHGSGRNDNHQSRR >RHN57890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42432446:42435253:-1 gene:gene33481 transcript:rna33481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase WNK-NRBP family MMPSVNSGSSDKDSEAFAETDPTGRYGRYPELLGCGAVKKVYRAFDQEEGIEVAWNQVKLRNFCDEPAMVERLYSEVRLLRSLTNKNIIELYSVWSDDRNNTLNFITEVCTSGNLREYRKKHRHVSMKALKKWSRQILKGLNYLHTHEPCIIHRDLNCSNVFVNGNVGQVKIGDLGLAAIVGKNHIAHTILGTPEFMAPELYDEDYTELVDIYSFGMCVLEMVTLEIPYSECDNVAKIYKKVSSGIRPAAMNKVKDSEVKEFIERCLAQPRARPSAAELLKDPFFDELVGDDEDEDDENDYVNSY >RHN78394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14725543:14730197:-1 gene:gene1944 transcript:rna1944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MTRKLAEVMWPALVANKILNRRLGSRNFIADYPSYTDPLLSTNNDDQLSLSTKSINDHSDTQKYKVFVSTWNIGGIAPDEGLNIEDLLETCSKSFDIYVFGFQEIVPLNASNVLGSEDSKISTKWNSLIRNALNKRTHHYCCKDIERDDDDNIEQDLKNICQGNIPAQQCKSAPQDFHCIISKQMVGILISVWVRSDLSPFIRHPCVSCVGCGIMGCLGNKGSVSVRFLLHETSFCFVCSHLASGGKEGDEKHRNSNVAEIFSRTSFPKGTILNLPRKILDHDHVILLGDLNYRISLPEETTRLLVEKRDWDSLLENDQLKMELESGQMLRGWHEGTIKFAPTYKYFLNSDEYYGCCYHGMKKAAKKRSPAWCDRIIWLGNGLKQIEYARSESKLSDHRPVKALFTAEVKVSSALKSFPSLFLSERFEQIKNVFEISPTNEFVCKKQSSFRL >RHN41477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30320089:30322296:-1 gene:gene47807 transcript:rna47807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase with an alcohol group as acceptor MAEKKHGVIGYALAPKKQNSFIRDSLLTLASSRGIKLIQIDSTKPLIDQGPFDCILHKLYGDDWKRQLQQFQIRNPNAVILDAPEAIERLHNRISMLQVVSELRVRVGVDEKGGETFGIPKQIVIYDKETLSDGQAWESLKFPVIAKPLVADGSAKSHKMALVFSHGALNKLKPPIVLQEFVNHGGVIFKVYVVGNHVRCVKRKSLPDVSEEKVLGVSEDLLSFSQVSNLANRDSVDDDEKFYKMMSLDDTTEMPPQAFIVDIASGLRRAMKLNLFNFDVIRDSRYGNRYLIIDINYFPGYAKMPGYEKVLTDFFVDLMCKKELGENVGKGHGDEGKSVKVLTEEKDISVKVLTEEKDISVKVLTEEKDKSVKVLTEEKDKSVTV >RHN62000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39973833:39974291:-1 gene:gene24520 transcript:rna24520 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAKRIGKLGEKFAYEYYKNKLKNVEWLNQDFELHNPYDLIGFDKERNYKVFIEVKTTIWKGKKWFNFSKSEYGWARKKGRKYIIAHIYIDEKEKKQKFMVTEFVDPTGESKLTNSLCGTPSSICDDYCLHFAIIFYYSLFFFVLYTFAY >RHN46149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29234234:29234521:-1 gene:gene40592 transcript:rna40592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (S)-norcoclaurine synthase MSVNIDDIEMEEAPTYAPSLPVPNVQEMVKMNPLEVPTKYVRNEEEMEKVNYMPQLSSEIPVIDFTLLSNGSMEELLKLEIACKEWGFFQVIHQL >RHN69203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41779222:41785703:1 gene:gene17641 transcript:rna17641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyribonucleotide nucleotidyltransferase MAIFSATLGSFGAISFLSSSQSQQHDPSSSSIVNPFNFPKCPHKLPPPCQWHPHYRHHNPKIMVSSSSSSNNTHIDEFDSGLLQRPSAPDSYDALRQARRSSDWKAANAYRDSGLIYNGRVEGFNAGGLLVRFYSIMGFLPYPQLSPVHSCQEPDQTIKERARELIGAILSVKVIIVDEEKRKLVLSEKEASWFKHSKHINIGDLFEGRVGSVEDYGAFVHLRFPDGLYHLTGLIHISEVSWDLVQDVRDFLREGEEVRVKVISIDTVKMRLTLSIKQLEEDPLLETLDKVIRQDGSGVPNSISSTTSSPIDPLPGLDTILEELLQEDGINEARISRQGFEKRVVSQDLQLWLSNAPPMDQRFTLLARAGRQVQEIHLKTSLDQEGIKKAIQRVLERVP >RHN72170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5519635:5521682:1 gene:gene7852 transcript:rna7852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSSLLELHLASCGISSLPPTSPFLNITPLSVLDLSGNPLNTSMPSWLFNMSTLTELNLYASSLIGPIPSMFGRWNLCQIQYLVLGLNDLIGDITELIEALSCSNQSLEFLDLRFNQLTGKLPHSLGKFTSLFYLDLSTNPVNSHTISGPIPTSIGNLSNLVYLNVDNNKLNGKIPESIGKLTNLHSLHLRENYWEGTLTNLHFHNLTNLVYLSVSSKKNSLSFKVTNDWVPPFKNLFHLEISGCDVGPTFPNWLRELNSLNDIILKNAGISGIIPHWLYNMSSQISQLDLSHNKISGYFPKKMNFTSSNLPRVDFSFNQLKGSVPLWSGVSGLYLRNNLLSGTVPTNIGEEMSNLIDLDLSNNNLNGRIPISLNEIQNLNHLDLSYNYLFGEIPEFWMGMQSLQIIDLSNNNLSELLLRGNILTGSIPKELCGLRSLHILDLAENNLSGSIPTCFGDVEGFKVPQTYFIDLIYSITDDSIVPYTRHTELVINRRIVKYLKQMPVHSIIDLSKNYLSGEIPEKITQLIHLGALNLSWNQLTGNIPNNIGSLIDLENLDLSHNNLSGPVPPSMASMTFLSHLNLSYNNLSEQIPMANQFGTFNEPAIYEGNPGLCGYPAN >RHN78666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17315400:17322777:-1 gene:gene2300 transcript:rna2300 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHFEKPVLVLNMIYDMLPFFSHSWITIKFVWIHSLFYFLFCIFCSLVGSYCLQTCVLLNLSLTYILFSNNANGIVNPKGEMKGNN >RHN40866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23503825:23504308:-1 gene:gene47105 transcript:rna47105 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDELEPLLVKRQSALAPPLTETRKIHLKSAAEKYSETKIAKVKSSTPKDPSLPSKHHSSKRQEYAEFYGSSEIPAPTKSKIQKQRSRHRRREKSEEVSGAVGTEQKPLEMRAAGYSNTKYDQYNYNMMRPKYVPEDTSRFNPH >RHN79991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34166948:34167430:-1 gene:gene3858 transcript:rna3858 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIIVTVGTTWEQFGTYSQLLDCEQNNARKPILLEKIKK >RHN57289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37842256:37845579:-1 gene:gene32812 transcript:rna32812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MAKSSLTITLVILFCGLTLASRTKILPLSTITALAPELNDGVCSSLVKTQGYACEEHLVTTKDGYVLNMQRILPRGKPGNSVPVVLQHGLFMDGVTWLLLPPSQSLAFLLADNGFDVWIANTRGTKYSHGHTSFSNNSSDYWNWSWDELVAYDLPATFQYVHDQTGQKLHYVGHSQGTLVALAAFSKDQQLDKLRSAALLCPIAYVGQMTSPVAKNAADHFIAESLYKLGIFEFSIKEGSVVKFLKDMCKGTSIDCTNLFTSFTGPNCCVNPSIMNTFLDHEPQPTATKNMIHLSQMIREGTIAMFDYENNDENTRHYGQSTPPIYDMTRLPNDLPLFVSYGGADALSDVKDVQLLLESLKDHDADKLVVQYRNDYAHADYVMAQSAKQDVYEPLISFFKLQ >RHN51977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29446110:29452204:-1 gene:gene36565 transcript:rna36565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MPSLPITNDTVSMESPKSKSSKKKKLLQNDVVSEVEAVSAKKKESSKKRKKSSDDDEETKSDISSEEGSRKVKKEKKKKKSKVEDEEIVEEEEVAVKKDDPNAVSNFRISEPLRMKLKENKIEALFPIQAMTFNTILDGSDLVGRARTGQGKTLAFVLPILESLTNGPAKSVRKTGYGRVPSVIVLLPTRELANQVYADFELYGSSLGLSACAVYGGAPYGAQETKLRRGVDIVIGTPGRIKDHIERGNIDLSHLKFRVLDEADEMLRMGFVDDVELILGKVQDVTKVQTLLFSATLPSWVKQISTKFLKSDKKTADLVGNEKMKASTNVRHIILPCSSTARAQLIPDIIRCYSSGGRTIIFAEKKESASELAGLLPGARALHGDIQQSQREITLKGFRSGKFMTLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYDPRRSNIAKIEREAGIKFEHVSAPQPDEIAKAMGGDAAEMITDVSDSVIPAFKSAAEQLLNNSGLSAVELLAKALAKAVGYTEVKNRSLLTSMEGYVTLILEGGKPMFTPSFAFGTLRRFVPEDKVDAVQGLALTADGLGAVFDVPAKDLDIFLSSQKNAVNVSLKVAKELPSLQQREESSGRRFGGGRGFSGGRGGGGYRFGGRGGGGGGGRGYGGGRGGGNRW >RHN77944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10719448:10720838:1 gene:gene1454 transcript:rna1454 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDFDGLFGISFKFIAKCNIMLTGVGKRHDQHYLLRDLVRKIRK >RHN45131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12056092:12061081:1 gene:gene39341 transcript:rna39341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGF29 tudor-like domain-containing protein MSSSSSSPDIVSILENSKELDRLRKDQEDVLSEINKLHKKLQTTPEVVEKPGDNSLAKLKMLYTQAKDLSDNEANLSTMLISQLDVLLPPGPQGQPRRRLGTEQKRKRVKTESDISRLTPSMRNQLEACASLKGEQVAARVTPRNADKDEWFVVKVIHFDKELKEFEVLDEEPGDDEDSSGQRQYKLPMGNIIPFPKSNDPSSAQDFPPGKHVLAVYPGTTALYKATVVQGHRRVIILYFPS >RHN61681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37468969:37475481:1 gene:gene24169 transcript:rna24169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase with an alcohol group as acceptor MAPPHKLNSPTGNSSVTSQAENGFISSYSPFSEKAVQELLQLPIQGSDDHLIEFSESLRTVAKTLRKVAEGKAAAQAEAAEWKRKYELERTRNLQIENKGKSCLEHRADLDDVKTNNSAKQTTLYKVEANGKSEECYSRNGICSHDVLRDGNPHSDSKMLRKASFKLQWCCKGEQSDQHKHDIVSFERGNITTAERSSKQISLKWESSPQTVLILTKPNSDSVKILCAEMIRWLRQHKKLQVYVEPRVKVDLLEESSYFNFVETWSDDKEILRLHTKVDLVITLGGDGTVLWTASMFKGPVPPIVPFSLGSLGFMTPFYSENYKECLESILKGPISITLRHRLICHVIRDAAKNEFETEEPILVLNEVTIDRGISSYLTNLECYCDNSFVTRVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQIPFNSRGSAWASFDGKDRKQLAPGDALVCSMAPWPVPTACHGDSTSDFLHSIHEGLHWNLRKTQSSDAPREA >RHN49933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2418248:2423440:1 gene:gene34169 transcript:rna34169 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTISSQPFILSRITNNPSHPSLHHPLPSLFFLRRPPHRRPFLFATSCHHVDGDDVVSTRKSTFNRGFTVISKMLRRIKPLDNSVISKGVSEASKDSMKQTISTMLGLLPSDHFDVTVSFEIQPLHRLLVSSIITGYTLWNAEYRMSLTRNLEMSHADQGADCETPLESLELKGGEEEHGETEKVVSDLGLANSEICSSSTGAGVFGDLPPQALKYIQQLQSELTNMKEELNAQKQEMMQLEHDRGIRNNLLEYLRSFDPDMVTEMSRPSSEEVEDIIHQLVQNILRRFLVDEASSNFMEQSVEGNIDDGDEFSDKIATSRDYLAKLLFWCMLLGHHLRGLENRLHLSCVVGLL >RHN42594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39576702:39578547:-1 gene:gene49051 transcript:rna49051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase, beta-propeller MDEFIELIPGLPSELGLECLTRLSNSSHRVALRVCNQWRRLFLSDEFYSHRKNTGHTRKVACLVQAHEQQPHSEFDKQTGSTPPSYDITVFDPESMSWDRVDPVPEYPSGLPLFCQLTSCEGKLVVMGGWDPASYEPLTAVFVYDFRMNIWWRGKDMPEKRSFFATGSGYDRVFVAGGHDENKNALKTAWAYDPKIDEWTMLAPMSQDRDECEGTVVGGEFWVVSGYATESQGMFDDSAEVLDIGSGQWRRVEGVWEAGRCPRSCVDIRENGKVVDPGLRIGVCSVRVGSRKWVTGSEYEGAPYGFYLVENDEGQNRKLNKISSVPDGFAGFVQSGCCVEI >RHN73385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15880937:15882465:1 gene:gene9188 transcript:rna9188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MVENIKRSNFEVLGMCCATEATLVERILKPLHGVKAVSVIVPARTVTVVHDVLLISESKIADALNTARLEASFRPQGETNNEKKCPDILTMACGLLLALSFLKYIYPPLGWLALGSVAIGFPKVLFRAIASIRALTLNINILVLLAVCGTAALQDFTDGGVIIFLFSIAQWLETRATHKVL >RHN49846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1639798:1654515:-1 gene:gene34070 transcript:rna34070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MIMAELTDSTAAGNNLPPPQQPPQQIDTRSILCIDLNEIPSPSSSFVETLPDFTVDIVRTYHENPAPPPGGPAPLPGGVTSCAVCSKSGAGGLVCDGCERSFHLACAGVRGGRIGAASIGEWVCGECLAGGVKSKRWPLGVKSKQLLDINASPPSDVDGEELHESRKHAMGDQSFAANQFGASVTYSTFNNGSVVGFQRASGAVTRPVRVGFEDILNHTQSMARSFEEVPSQTHGPNELILQALRDFISERHGVLVEGWRVDLRPSMAGSDMYAVYCAPDGKIFDSVYEVANYLGLPSGYNSIESEVRNEMSLSSGGPHLSRKRKSSRTLAANGFAEKQGTFVNSNYKDCSSDGLSMEHVNAQGTALKATEIRRKENGHSDPHQFADELPLQFKDFSVLSLGKVDGRPSYYDVNLIFPVGYKSCWHDKITGSLFTCEVLDGGDSGPIFRIKRCSCSEFPIPDGSTILSMHNRCQFASETNEGQRETNDSMDLDGDESIQMMLLEPCAPTEDDILSCVVSCSSEAYVSEGLRPVVGSIQYNTGYSFSDTMNFCDEIGQILVEERSPFSAWRVISQKLVNACKDICKKNGTFKFYCKHVESEMGLHRWDLRNSESDTHFTSLDKFCGSPGSVSIPDTIYADSDVKDLYELLEKWLEQDRFGLDAEFVQEILEPLLGVQDCLQYERLGSRNNSSSLPTVENGYLVVDCKGQSKYQDEVIQGLYRRPKKARLTEYVKENRCPPPGKPLCSRAPTELIGDIFQVWDLLERFNEILDLKEPLFLEELEKELINPWFNELDFPEKSDRGMGGSSRGGDGDCRLISEACPSGSTGASSYVRCFGASLTKAHSSLLRVLIGELQSKVAALVDPNSEPGEARTRRGRRKDMDSAVPRTKINMLPINELTWPELARRYILAFLSMDGNLESAEITARESGKVFRCLRGDGGLLCGSLPGVVGMEADALLLAEATKKIFGSLSREKDVLILEEEESDANGSSEAQLANDGNIPEWAQVLEPVRKLPTNVGTRIRRCVHAALEKNPPDWAREILEHSVSKTVYKGNASGPTKKAVVALLDDVTGGVQQNPNKGRKKKFFISISDIIMKQCRIVLRRAAALDDSKVFCNLLGRKLTNSSDNDDEGLLGTPAMVARPLDFRTIDLRLASGAYGGSHEAFLEDVRELWSNVRVAFGDQPDLVELSEKLSQNFESLYNEEVVTYVQKFTDYAEVGCINAEMKKEVQAFIASSNEIPKAPWDEGVCKICGIDRDDDSVLLCDTCDAEYHKYCLNPPLARIPEGNWYCPSCIGGKHATQDLTERAQLTGKRRSKKFQGQVNCLYLDALTHLSSVIEEKEYWEYSVGERTLLLKFLCDELLNSSLIRQQLEQCSELSAELNQKLRGLSVEWKNLKIKEDVLSTKAAKFGTLSQCTTGEVGLKEGFTSLFSNTSKCLVKPHATTTNPSGLGVFDDSLPSEEIPKEKCRFNSVDKSISVTHSNSDSQNMNSIGQLKTVPVAVEFQCTDKSPKSFPFSNHMPQEINGYSEGQCVPVEVSQNAVNESEPYHLELNAVKRNISLLHESINSVGSQLLKLPVRRELLGIDSIGRLYWALATLRGRSRIVVDASAVVQHGRGLSVSKDSGEKLSALQHCKLSEKDNYKMLGLMKDCSPLMSQPLNALANSSPWIVYETDSEIEELLGWLDDYDPKEKELRDSIMLGPKYRSQEFINAHTEGQVEDQGPVYLPRNTAPNSLVTKATSLLEKNYGPFFDLDTAEGLKNQNKKARTTNDEKLYRCECLEPIWPSRKHCLYCHKTFLSDVEFEGHNGGKCKAGLMALGRNKDKNGSSKGRKNLKCDTSHEKSRADTETDGTSINGCSSLNSRLTKFSNENPSCPFNFEDTCAKFVTNDSNKELVREIGLIGSDGAPSFVPSVSPFVSDYTQALTIKDVGVVGGASKASESQVSQENTDGAGTCHDHKSGKSTESLAANRSNKAGNSNKSSLGEQRDGKLSICSPASDKGVDGCCVVPLSSLRPLVGKISHILKQLKINLLDMDAALPKVALRPSKAQLDRRQAWRAFVKSAMTIYEMIQAIITLEDMIKTEFLKNDWWYWSSFSAAAKSSTLPSLALRIYSLDSAIMYEKMPNSSLAESSDPPAVAEPKPVITVDADKSKGSRKSNRKRKELDG >RHN62637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44859047:44861482:1 gene:gene25232 transcript:rna25232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MPCHFVWFHYFHNIKFSVMASSCNYMKPHLLAFFHVTLSLSLLVTSHAAPLTFNYDNLSSDKTHALNFSGDVYHDNQVLQLTRYEKDSLGRVTYSKLFHLWNINTSEVTDFTTRFSFTINTLNKTDHSDGITFYLAHPNFPLPVPRDGSGIGLMSSVQLATPNYTKENPFVAVEFDTFVNTWDPTYDHVGIDVNSISTSYTTQWFTSLDERGYDVDISYNSSSNNLSVTFTGYGDNNTIQQNLFQIVNLREVLPDWVEFGFTSATGLFWGEEHTLRSWSFNSSLDFEAHKDEGKTGLVMGLAVGLGIGGFWKKESEEEDGEFEEYMGEDFGRGAGPRKYTYAELAHAANDFKDEYKLGQGGFGGVYRGFLKDTKSYVAVKRVSEDSHQGIKEFASEVTIISKLRHRNLVQLIGWCHERKKLLLVYEYMPNGSLDIHLFKNQSFLKWGVRYTIARGLASALLYLHEEWEQCVVHRDIKASNIMLDSEFNAKLGDFGLARFVDHAKGAQTTALAGTLGYMAPECATTGRASKETDVYSFGIVALEIACGRKPIINLKAQENEIHIVEWVWGLYGRGKILEAVDPKLDGDFEQEQIKCMMIVGLWCAHPDPNNRPSIRQAIQVLNFEVPLPNLSSSMPVPTYLEGPLNSFSAPFSTNNSEESQNQNIKSFSSTSNSSCYSVSSKTLAFENNQTRTSQSTFDVISPSAALLNTYQ >RHN56711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33065055:33065384:-1 gene:gene32149 transcript:rna32149 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MIVAQVELDSNNAASSRNVGDNNLTSLDFTPTRVENTYYRDLLYKRGVLHSDQQLFKGQGSESDKLVQLYSKNPFAFASDFKTSLIKMGNIKPLTGRQGKIQLNCRRVR >RHN70909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54968155:54968676:1 gene:gene19543 transcript:rna19543 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFCTSFTEDHPNPAIDGRSLQFLRVEQTDELESHGNDILVNLLASSDPSKDVLDIIQNHIIPHCKGDNVVTINGSHVVLLEQLMRISPHIKPHVQEEALKLVLNLKAYISENTENSVVVLGYLLLLSIYGLVPYFDKDEILKYFGFVAQHKIAVELFGMMGLTHKISGMLA >RHN62349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42808617:42809527:-1 gene:gene24913 transcript:rna24913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MRQNMDVILKFVYVMILFLSLFLVVTNVKSFHCHMDYDCYDQITCIIGDVTCLEGSCDCPQDV >RHN68089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32776450:32779518:1 gene:gene16386 transcript:rna16386 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEAGMFKVHQTIGSVLCCKCGIRMQPNAANMCVKCLRSEVDITEGLLKRLVLVHCPECESYLQPPRTWVKLQLESKELLTFCLKKLQKNMNINKAKLVNAEFIWTEPHSKRVKVKVSVQKEVYHGAILEQSYPVEYVQQDHMCESCSRVAANPDQWIAAVQLRQHVSHRRTFYYLEQLILRHGAAARAIRIKQMDHDMPPTILLSRSLVVVRETILVRQEHRQQTCSNHSKRLYLFALVVFIKCTCFVVFSFE >RHN54214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7861489:7865834:-1 gene:gene29214 transcript:rna29214 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKLLLLVLCLVVNVVMCRSWVEDVAEEETNAKDEDVKQFAAEIFQSLGLENNDATQNMRHKAEEETNAIDDVAKQFAAEISQSLGLENDDCTQNMKYKAEDAASRATDTMKSAASGASEYASQKARDTKEAISGAISYGREKTSESYNRIGGDENIRMPTEKVTETYEDAKQKLNIASDKASNMAQNVKDNMDDGMGYGRKGAANVYDQGNQKLNMDSDMASEKFHDAKEKASNVHDEAKERIKVASHKMYDEARNGMNVVGDKGNDAKDKMGCGGDKVEETFDQAKHEVGEAYMSARDSTSEEAKAKYQAAKEKASEATGNLGAKMRNTP >RHN65544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3117382:3118441:-1 gene:gene13391 transcript:rna13391 gene_biotype:protein_coding transcript_biotype:protein_coding MLNICVPNINGVCDPICIKPFIKTLASLSNSPALVKFCATSNIGILGLIVRLPKKFLFEYLRRQKGYHKIADALLGQCCLSNLLEF >RHN49318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53993775:53997718:-1 gene:gene44133 transcript:rna44133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectin lyase/virulence factor MSSSIYVFVIFFIHITILVNPFLTYPPQTQLQKLDSVIRLTHSGSMIRTRTRFRRVLSITDFGAIGDGFQDDTQISGTIVAPQDPEVWNGLNKRK >RHN47655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41296485:41301835:1 gene:gene42278 transcript:rna42278 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MMITGAYCALTRNPLLLSRSFNIFPKPTPLQFPTTPSPSFPSFNRFPSLFPQLCIRHSRLSTLPHNDSGGFGGDSGSGGWDPGDNDSDDGGGKWSFLSCIAKKTEPMNTLASSVWWVFVFSSIVVGGQALVEAPFLLLWLTFVCLAFNGFCDGISSFSCLIVFGALFCIIQIIVLAYALVQPLRIREGASEDDIKSLPMYRFSQPNVMIMVDKNKKQLDEARIGSHNQSHISELSLHPDDSECCICLCSYVDGTELYRLPCTHHFHCECISRWLRTKATCPLCKFNIRRGDILV >RHN68805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38735909:38738649:-1 gene:gene17211 transcript:rna17211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MSLKQIWFWIILTFLFLFFLKTNSESENVRKALVKFMHQLEPPDQNSMQGWNLTSDPCAHNWLGVTCYANNQYIKTIVLEELNFTGVLDATSLCIANTLQYLSLNNNYLHGFISEDIKDCKFLTHLLLSGNKFSGNLPVSIPQLRNMKRLHVSDNLFTGNLPNMVNVTSLISFLAQNNNFTGQIPDFDFSNFEVFNVSNNNLRGPVPDVGGRFSADSFYGNPNLCGKPISNSSCPPPPPPPPPIVIKDKKKNPFLNDLPIYSGYIVIGLMFIIFLIFKLSRKCMTKNRETGLDHVEKKDMSQDTSGGVVIVGGEKLSEILNSNGSKNWFNGLGVRSEYSMTSMESGVTTSGLVLLSSRKLRGLQFEDLLSAPAELIRRGKHGSLYKVMLDNGVLLAVKRIKDWGISKHEFERRMNLIAQVKHTLVMSPVAYYCSQQEKLLAYEYLPNGSLFMLLYGSQSGHSLDWRSRLDVAAKIAEALAYMHEELGESGIAHGNFKSSNILFDKNMNPQISEYGLMVAENQGVISHIRSRRNKNMSASATFRADIYAFGVILLELLTGKVVKNDGFDLVKWVNSVISEEWTAEVFDRSLISQGASEERMVNLLQVALKCINPSPNDKLSMSQVALITNALKDEDEKSVSFDR >RHN40599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18034177:18038864:-1 gene:gene46765 transcript:rna46765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exodeoxyribonuclease III MSEMDEEAPDVLCELENVQGLVDALTAVRWKRHQDAVIELSEHGIVLIVEESGCLQAKVYLKKELFVRYDYNAEGRPRFGVSLGLFVDCLNAFSVPGHSGVIQIQYPGPDMQLLLKSVDSLDASICAEIRTRIPDTVAWDYNFEPAGTNPLTFTVKSAALKEAIEDLEWPGSSIQITMEPDPPSVTLRAEGHGDLQIDFMYCANSELLIAFQCDHRASFKYKYKFLRASTSNMPSSVIKENRASKLSIGRGGMLKVQHLVSISKPTSHPYVDSAGYQQPGRIAHIEFFVKPEESED >RHN62239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41948651:41948857:-1 gene:gene24793 transcript:rna24793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MFPTWQSFMKCDLKMAKMLANHTQGVGEGFKFVLNKWKPYYFACGEKNRLHCNVGQMKFAIMPMIRPF >RHN41677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32005408:32005672:1 gene:gene48024 transcript:rna48024 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVKSKILQAKNECFKSPECFAKWLIWSPFSPAIERQEGKSEITKVRAILSCLVSDL >RHN71907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3499812:3508332:-1 gene:gene7558 transcript:rna7558 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIMLLHFQCLFFFFSFSAVAWCAKLNVIACATETCVNGIPRSSVNPWFWIPIHIVIPERPTEIAAFNVVADSSLDSVQSIQWSPICCPRALLIANFQGRVTIWTQPSRGPPNLVIDTNCWQREHEWRQETAVVTKWLSGASPYRWLSSKASAPTDSKSTFVEKFISQQPETSARWPKFLCVCSVSQSGKVQLHWSQRPPTQNATPPKWFCTSKGLIECGPSGIIAADAIITDSGMLHVAGVLAFSPATVIVWVVLPGQGNGLEFSPKTSITTSAPLSPPNWIGFAPLPAFLFSWQDQSIPLHCSTVSNFSAYVSSEAAPSTQCCGVAGVAFDPTRGGSVIAAVVVEGKYMSPHDPDESLSITGWRVQRWESSFQPVVLNPIFGSMDGNPPMRTVWESKVDISIPATDDKSKGIHFNPFDLPKYLGTLARVVFSAQGGEIAVAFFQGRVRIFSGSNFEPVTNYEINVGSSISVPAFSATSCCSASVWHDTSKGEAMLKIIRVLPPPFPIGQEKATSSTWEHAISERFWFSLLVGVDWWDVVGCTQRAAEEGIVSVNGVIAVLDADFHSLPTAQHRQQYCLGLDMIKCRLLVGSNAQEVRATVLDMQARVLLDMLGKGIESALINPSALLPDPWQASEEILSNFDMEEMAVEPELTPCIQAYVDSVIDLASHLITRLRHYAKIFRTLANQAVTVASGSTSGGVPNLTLNPSISGPSSLMLISINTGTFPGTPAVRLIGDCHFLHRLCQLLFFCFFFKRSQLARYKSGLRRTAETSLVRSNDGQTGRDRQIVPGSKGGEEPSPGPVRLGNGNAGQGYSVEEVKVIFQVLLDLCRRTSGLQHPLPVSQVGSSNIQVQLHYIEGSYTVLPEVVEASLGPYMQNMPRLGDADDTGLLLRELQLHPPAEEWHQLNMFVRPCTDSNDTPKPFRSNPLDSRSLESNDIDYGTNGLRPKKRRMIERDAAFGLNTSLGLGAYLGIMGSRRDVITTSWKTGLEGVWYKCIRCMRQTSAFTSLGSANIPHQNERESWWVSRWAYSCPMCGGRWVRVV >RHN43400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45469683:45472058:-1 gene:gene49968 transcript:rna49968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plectin/S10 MIISEKNRKEICKYLFQEGVCYAKKDFNLAKHPEIDVPNLQVIKLMQSFKSREYVRETFAWMNYYWFLTNDGIEFLRTYLNLPSEIVPATLKKQAKPPGRPFGGPQGDRPRGPPRFEGERRFGGDRDGYRGGPRGEFGGDKGGAPADYRPSFGGPGGRPGFGRGSGGFGAPPTSSDA >RHN53820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4375683:4378305:-1 gene:gene28770 transcript:rna28770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amylase MALTLRSSISFIHKKETRVLKSLDDFSSNKVSCPKFKPLFHLKAKSSMQETHFTKENTNSAVKENKKREKVLAPSIAHNHDADSTRVPVFVMLPLDTVTMGGKLNKARAMNASLMALKSAGVEGVMVDAWWGLVEKDGPMKYNWEAYAELVQMVQKHGLKLQIVMSFHQCGGNVGDSCSIPLPPWVLEEIRKNPELVYTDKLGRRNPEYISLGCDSVPVLAGRTPLQVYSDYMRSFRDRFTDYLGNVIIEIQVGLGPCGELRYPSYPETDGTWKFPGIGEFQCYDKYMRSSLEASAAAIGKKEWGTGGPHDSGQYNQFPEDTGFFKREGTWNTEYGDFFLDWYSSKLVEHGEKILVSAKSIFQTSGVKLSAKIAGIHWHYNARSHATELTAGYYNTRFHDGYIPIAQMLAKHGVILNFTCMEMKDNEQPGHANCSPEGLVNQVKMATKIAGGELAGENALERYDSSAYGQVLSTSGLSAFTYLRINKRLLEGENWRQFVDFVVSMSDGGKPRLSKSDSYGTDLYVGHIKGIKESEVIIEIALV >RHN48017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44330130:44330660:1 gene:gene42685 transcript:rna42685 gene_biotype:protein_coding transcript_biotype:protein_coding MLINASSRMNLAYSMRLPSSSRMNLSNGARLRSSSHPCKSV >RHN66612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14938674:14939359:-1 gene:gene14639 transcript:rna14639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MKTSPNMFLLLQLTLIFLSFIYFSKSNASNDLSCHPNDKAALLKIRDHFGGPNGPLSDWHTNADCCIDDWISVGCLAEQQGSTVGRVIAVTFGSTFGLSGTIPAEFGDLPFLGTLWLTGALNITGPIPNSFSKLKKLRSLKLNSNNLSGPIPTFLGRLKRLEEVDLSNNKLSGVIPASLATLPSLSQLNVSSNHLCGAIPTGLKKLEKSMFEHNKCLCGAPLAACK >RHN66689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16589899:16593561:-1 gene:gene14739 transcript:rna14739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RIN4, pathogenic type III effector avirulence factor Avr cleavage MERKEQKPKMSVPQFGGWENKSKGVPTDYSMVFNIARENKKNHKTDWSEVKRRLSISNERVATNATNHRHGHGHGHGFGHNHHHHSHADSPVMGRSNALSYMNCCLRP >RHN68993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40150449:40151211:-1 gene:gene17419 transcript:rna17419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MCPLPPSFFCISDGLTLPPSFRHAAAVLQVPAVQHVSTILKTTIFLIPIFSHSLHFRIAMETTTTTKGAGGIKGGKGKKAVSKSSKVGLQFPIGRIARFMKKGHYSQRIGTGAPIYLAEVLELAGNVARDNKKNIINPPRHVCLAARNVDELGKLLQGVAIASGGVLPNINPVFLPKKNGSAATEKSTKSPKK >RHN47956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43812826:43813713:1 gene:gene42614 transcript:rna42614 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNYTYTHSLIIFLSTTCSFNHTKMFTPSLQIFSLKSSSSSSSNLTSIKLKRIIHTLLVSHLCRIIRALSKVKDFIVEILKDDNNSTINFTYYPSSHKKRNNIRKKIILGSFRLHYNWCSSKSSHVLPVPERVYEGLFDTSEQPKSEDCPDSHLAGYLQWLEEKKIQEGTKEKEIVNENIDMLAEMFIANCHEKFKLEKQESDRKYQEMLARSI >RHN42096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35369533:35369754:1 gene:gene48491 transcript:rna48491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MATSWTARQNKLFEQALALYDRETPERWHNVAKVVGKSVEDVKSHYEILKEDVQRIEHGHIPFPRYKTNTNNS >RHN48251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46109256:46115647:1 gene:gene42948 transcript:rna42948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MENSGKSIMNNNTKLLNPWMLHFQKLALELKCPLCLNLFKKPVLLPCNHLFCSSCLADSTSIRSECALCNTKCSQKDIRHLPFVESIVTIYKSLDATFCANAFQQRSSDNTRVLEQCQTLHNSAFSNKVDKVLQNSCMSNEVGVGKNHQSGIKMNGKAKEVEMSCKRGADNHIAEKPDSMNLNQATQSEPDSPPFCDTKGSDNGCSDLNSEKPLSLGRSESSSFKRSSTTGKGNLKERMPHFWSESSASENEDLTRDLKRQKNLTNEDGIVQQSTSYHNKLVDSRCDLEIKSDKDTGALVPSNAPSDLYPSTSICSFCQSSKISEATGSMLHYASGISVTGEAAMEPNVVHVHKVCIDWAPQVYFVGETVKNLKAEVARGAKLKCTKCGKKGAALGCYVKSCRRTYHVPCAMDISACRWDHVDYLLLCPSHSNVKFPNEKSNLDKQATQKHPVSSHLPSQQSNQLGAVQGDGKKMVFCGSALSNEEKVLLINFASKVGATVSKCWTSDVTHVIAATDANGACSRTLKVLRAILNGQWILKMDWIRACMKAMNLVEEELYEIDLDNQGCQGGPKAGRLRALANEPKLFSGLKFYFSGEYDSSYKKYLEDLVEGGGGVVLKSKDELEVGRDANLLAVYNLDPPEGCELEDEVSILWHRLTEAENLTANTAGHTWILESIAACKLQPFVS >RHN58387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1345110:1349184:-1 gene:gene20294 transcript:rna20294 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTSEGKSIIQSNEQAHELIGNIDGNGNKGVSTRVNHKVVFMERINEHKHELSVTVRKGGGGKGGGGRGGGFGIGRGAGAAAGVVVGGTTAGVVGEESINHGPRHSHNSAASFYAGTYFCFSTFILCVIFWL >RHN58234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:147292:148618:-1 gene:gene20122 transcript:rna20122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin 11-oxidase MCHFHIPFYFLVVDETIRHITIFSAFREAAIDVNINGYFIPKGWKVLVWLSALHMDPEYYSNPKEFNPTRWDDYNPGSGTFIPFGVGRRLCPGRDLAKYEISIFLHYFVLNYKLERINPECPITSFPYSKPIDNCLAKVIKISDS >RHN62123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40927034:40934868:1 gene:gene24658 transcript:rna24658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MVKKHVPDPPNLKKYGVPLYSVAWIPQSILKSLQNVTTDDDHSSDDAADHKSSPETEAVDAGKYLVFAGGGGDSRSGIPNSVLLAHFDVVSDSLSDPPVFKLGTNSELPYRMALHPNGEGIICAMEKPKCCRWFDWDQNEDAEIHKLGLKVSEKLLTQLDNVGQQLALAFNNDGTTLAAGGEDGSLRVFKWPSMENILNESNAHSTVKDLHFSSDGKLIVSLGGGGPCRVWDVSSAIVLATLANENRENFSSCRFSQTNDGTQVLYIAARTDKGFGSIVTWNTQTWERISSKHILRDPICAFNVSADGKFLACGTPEGDIVIVNSTNMQIQTMIKKAHLGVVTALAFSPDSRALASVSMDSSARVTIIEEKKNGGGLSLWIAIFIFLLAIAASFLKVEGIEKLKLQYYNQL >RHN61237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34058148:34059176:-1 gene:gene23678 transcript:rna23678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative allene-oxide cyclase MAFSSYALRSSVPTTLMKPSAIATPTAFHTPPLPINAFSPFASSIPSLTRNLKINTALPQSCFSSLHKKAFTCKSQVDQNSDIEKVQELNVYEINERDRGSPAYLRLGFKSVNSLGDLVPFSNKLYTGDLQKRIGITAGICILIQHKAEKYGDRYEAIYSFHVGDYGQIAVQGPYLTYEDTYLTVTGGTGIFEGVTGQVKLNQIVFPFKIFYTFYLKGIKDLPQELLGKPVEPNPNVEASPAAKALEPHATIAGFTN >RHN76343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49521830:49523276:-1 gene:gene12652 transcript:rna12652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal transduction histidine kinase, phosphotransfer (Hpt) domain-containing protein MDRNNSRRQLAAMKQSLFDQGHLDEQFIQLEELQDDANPNFVEEIVTLYYRDSSRLISSLEQTLERSPLDFNKLDTIMHQFKGSSSSIGAKKVKAESTLIREYCRTGNAEGCRKSFQQMKREYAALRKRLETYFQLARQAGPLDRACRPK >RHN41227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28423885:28429407:1 gene:gene47526 transcript:rna47526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MAPKSISKRRQKACLAAATGGTDRLSGLPDSILCHILSFLPTRTSVATMNLVSRRWRNLWKHAQVFDFDFDCDGVSADYERFRFFVNSVLALRKSRDIQKFHLTITSDCQFMSDIQNNYVEMWICAATGPHLQELSLIIPSYADQIVKLSPSLFMNCSNLVSLSLDGAFEVEVKHSSVYFPSLKRLRLGELIVDSEVSFLSGCPMLETLEIGFYLNNIPLTEVTRLKSTNHNFTWSYFEFYVNSRFIKLGIVGSFHSMLEAFLYVFSLHESECFDPILYLFGDYNDYKDDGGDYVHLKMCHSTLKWTLHAPVLDYPEFRQLNHIKFILPCFNSNLLVTVLHKCDMLKFLIIQSDKEEHSPLRTLEPQSITVPGCLKSHLTYIQIEGYQGCEDELAFAEYILRNGLVLEAMLIYVDASMDPTNKYCSVKRLLEIPRGSAKCQIRFDPAVSS >RHN62312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42548797:42551456:1 gene:gene24874 transcript:rna24874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative magnesium chelatase MASTLSSSSIAVLSSRRHSSPSIHSLSLTKGQVSGCKFCGGIGFHGVKGKSQFSVLSVATEINATQQDIQAQRIAYKESQRPVYPFSAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIKVVAGDPYNSDPEDPEFMGIEVRERVLKGEQLEVVFSKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISIAHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDKNPKEFRDSYKAEQEKLQQQITAARNFLASVQIDHELKVKISKVCAELNVDGLRGDIVTNRAAKALAGLKGINKVSAEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFT >RHN72384.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7221712:7222550:1 gene:gene8089 transcript:rna8089 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIMNLPPSISSHTINLNFRFTEKLIRITKNSNNFVIIIHMIITKTKTSKTTWSPIIP >RHN42752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40660757:40661136:1 gene:gene49236 transcript:rna49236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Arf GTPase activating protein MIGRSLTGKRRLKDLLVQKDNRFCADCSAPDPKWASANIGVFVCLKCCGVHRSLGTHISKVNHSRSFN >RHN57030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35861285:35861692:1 gene:gene32516 transcript:rna32516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein TIC214 MIYQSFILDRLVVLCLKILNSAIVMGLYYGFLTTFSIGPSYLFLIRARVMDKGTETEITATTGFITGQLMMFISIYYAPLHLALIRPHTIIVLTLPYLFFNFVYKKNKHYYSADSHFYLDLDYGYKNPNSIRKKI >RHN78560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16255104:16255881:-1 gene:gene2178 transcript:rna2178 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDNLVWSFRVFSSATVAVIGSCSCFGGNLIILVFASHVLSEATTLLVSLGGVGCSCAIVARLAALVKVVMGFLRDLFLESGGLITFDSRSGVSFLIQVF >RHN44090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1551782:1552115:1 gene:gene38149 transcript:rna38149 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEGNKSRSKSIANDVGEEVAVEGSGMGKRHNEGPCLENATVSK >RHN60218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23730355:23733166:1 gene:gene22481 transcript:rna22481 gene_biotype:protein_coding transcript_biotype:protein_coding MLISRILDIVNRISEPQSHRTETSIEPSISQNSESNPLTHPLKSQSIPQSLRILNQTSVSNSQVQSPSPHLNLNRRVICANICSRPVQI >RHN52186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32495307:32497286:1 gene:gene36827 transcript:rna36827 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLDKFYASVYSFMLKYCSYLKYLCSRNPKTTFESRMVFELNVILCFCIPTCITWEDH >RHN48106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45058645:45066332:1 gene:gene42781 transcript:rna42781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein Networked (NET), actin-binding (NAB) MAALSHSESNKKYSWWWDSHISPKNSKWLQENLTDMDVKVKQMIKLIELDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVIRQAHRTMAEAFPNQVPPVPTDDLHANNPSMENEPHTPDATRHSHAFSDSDELQKDPSTHETDTAISRKGLKQLNDLFMTGESISHVKFAEGRARRGLNFFDPEETNGLNNGSHDTENHVLSDSERMTKTETEILELKMALAKLESEKEDDLIQYQKSLERLSNLESEMSRARENSQGLDDRASKAEAEVQTLKESLAELQAERESNLLQYQQCLEKICNLEKNISSAQKDLGELNERATIAETEAESLKTDLERLDGQKEAALFQYSQSLETLSKLEMKLVQAEENARRTNEQARIAKNEIDDMKLEIGKLTKEKEDAALRYQQCLEIISSLEHKLSCAQEEVRELNCKLNDGAEKIHISEEKCLLLETLNQTLQSELQSLAQKIGSQSEELCEKQKELGRLWTSIQEERLRFIEAETAFQTLQNVHSQSQDELRSLAAELHNKAEILETMESHKQSLEDEVHKAKEENKILNDIKLSSSLSMNNLQDEILSLRETIKLLEMEVGLRIDERNALQQEIYCLKKELNDVNKRHVSTVEEIRSTGLDLQCFSLSVKTLQDENSKLKETCEAGKRENAALNKKLEIMENLLEKNANLESSLSVLNTELESVRGRVKVLEETCESLLEEKSTLAAEKATLFFQLQTTSEKLEKLSEKNHLLENSLFDVNAELEGLRIKSKILEETCLSLDHEKSSLASEKETLDLQLNMTRLTLKNLEKQHSELELQHLELKADRESALQKVEDLLVSLYAEREERSRTVQLNEGHLVEKEFQIQILQEDANYQKKEYEEELDRAVHAQMETFIFQKCIRDLEQRNFSLLVESQRLLEASKMSDRLISKLENDNVQKQVDTNLLSEKINVLRIGLLQVLKSLDTNRKNLSEDNVEEDQELLNHVHDKLQETQNSFVTVFHENQLAAIENSVLVSFLVQLKLKAENLVTEREALDGALRTKSKQYLALQEEVRMMVEKNQELKLTISKVEEKMEVMATEKLTALKELSKELDKLVSVNTDLEERLKIVMGKLEDVQRENSYLKESFVVSNTELKLVESVNDKLNCQIRNGKELLSQKENEILGAAEMYSALQDEKKALQTSVEDLKSKYDDAKVILEDQDSQILKLSADKDCQNDELGFLCEVNKKLEAEMRHLHQELGETKLREKNISYALLKGVDEIEQWESQAATLYTRMLISNVNETFFEGKVRELADACENLEYRSSSEVMESGKLKERVNKLEGENRRLQGQLAAYVPAVGGLNDCITSLEMQTLVHAKPHHDYKETKIKELANIKYAEGDPHSSKDQNATETDALPDFQDMQKRVNAIEMAVKQMNESFKPKDEMREIQVLKSGIGWCQGNIPASKHSTQMDEAKEHQFGAVYGHKTGKSLQDIPVAEIEVLQKDIMLDHTHGKSRRGARESDDQMLELWETADKDGTIGVTVGKGQKDTAPTEYYQRRPSKESKNRYSSVESLIEKELSVDKLEISRLQEQPLLLEGNNKRKVLERLDSDAQKLMNLEITVQDLINKMEIIEKSTMGKGIEYEAAKGQLESAQEAITKLFDANRKLMKNVEEGTSSFAGKSTTVSDESGSVSRRRVSEQARRGSEKIGRLQLEVQRLQFLLLKLNDDKESKGKGKAMIDDQNPRVLLRDYLYGGTRKSYHKLKKKKASFCACVQPPTKGD >RHN62761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45688072:45688269:1 gene:gene25368 transcript:rna25368 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIGNSLEGVKPVILMLFVQIAGAAVNIICKLAINDGMSMRVATAYRLTFASAFTIPLALIFDR >RHN63178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49116535:49144817:1 gene:gene25852 transcript:rna25852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA (guanosine(18)-2'-O)-methyltransferase MISEAEAGSMVSVVASLSKSFDQVPPSAVPAVLDCILVSTGLSPPSLLASLIHHFPRFLKDIIKEDGTLDSDKRHRLVSLVGAFCHLLKKTGADSDTIQLFVWRCFLPLAKVLQPSQHDLLNQITESFMDVVIGTSTLEVLEETLVPVFLRSVRLSMGMLHNVDLCLYKWSTEPSHQGSHDIMDKEQFMSSSNCFKLTMSCNVLSVILEVALQFLNTDAVSKSVEREGCIADTFVKVLILELCNMSERMLLHSPEHRSCAIGFLLPIILKAFSAIGSFEISIRGLKHGFSRVYFFMKIWNCCKTLFSMGPLERREAYNTLSLYFSFSWPTEEYEMSDSDTVIKAEGFDIRAEKEFWDEIKTGLVDKESLVRKQSLHILKMALSIQEGTNSLSSISKSNTNGKCSVPRGVTRKERWAYKEAKSLGVGKLSTIDELIYNSQQYWGAFVLLYEMLEEYGTHLVEAAWNHQVSLMLQFSESHLNVATNISKAHQDQFEIYGEPFDWLSILWERGLCHDNPQVRCLIMQSFLDINLENYGNYIKSVPETFVLGPFLQGLNDPIHHKEFGCKGVYTSTVIKCAAQFLRQYVSFLAPRNLFAFLCNLASTAKHQSFGRAGLMGLAECIASASTGIGILIHASTESFTDTSPVELVIGMENQTVKKELLDIFRYVVESSKQHFNPSYRLQVCGRILEAAASVVCTFDIPLETLLLFISALPREFTDYGGQLRLTVQRWLSGCGYKDCRANCCINEMKLCKNLYDFPQSFISNHLSMDTSLNFDDGDFSAWEFEANRWARVLFLAITEEHPLEPILMFIQKIGSNIFKQNHDATYTGVKLLILASSLVLELRRTTERVIQYGNNARTNIGSGFPGVVDDLSFIDDISSKLVDTFLYLLDDLVQFANQSCSIFWSGAVEEDTALPGAVKGKLGGPSQRRLPISATTAVLQAVMSVKAMSLILLWCKQIKKDALLSSAFTFMWQFFWRTTRSPHSFSETQAEVCLAAYEALVPVLKVLSSTYCSQSFHLIDENEQLFSETEGGPQLDRMCVSFVQNINDLLGAGILARTRRAVLLDIKWACLESLLSIPSHALKNGFHLEGINACFSDDTLRSIFGDLVESLENAGESSVLPILRSLRMLFELVARVTPSAVVSCSKVIDAQLMWNLVHSSWILHINCNKRRVASIAALLSAVLHPLLFNDESMHQKDNAPGPLKWFIENLLEEGTKSPRTIRLAALHLTGLWLSNPMIIKFYLKELKLLSLYGSVAFDEDFEGELADNNDARLEVSLLAGSPDPELTEAFINTELYARVSVAVLFYKLTDLACMVGSPKEDTNCIAALDSGKSFLLVLLDSAVNDKDLAKELYKKYSSIHRRKIRAWQIICILSPFVEEDIVGKVLNYLYISLNRNNLPAVRQYLETFAINIYLKFPSLVNEQLVPILRDYDMKQQALSSYVFIAANVILNSSKDVQSGHLDDLFPPLVPLLTSHHHSLRGFTQLLIYQILHKLFPMLNYGSSDIPPLEKRCFVDLKTYLARNSDCARLRVSMEGYLDAYNPNSSATPAGIFINRAEENNFECVPTCLMEQVLKFLNDAREDLRCSMAKDVVTIRNETLKFNGDDCMEKLSGGSEAALFKDMSSDFQKKITFTKHDAGDNDAGLCCDDDETYRKMAEIERDDLLLDQVLQSRRSSLDQQKASRQSFILVASLIDRIPNLAGLARTCEVFKASGLAIADTNIINDKQFQLISVTAEKWVPIIEVPVDSIKTYLQKKKREGFSILGLEQTANSVPLDQYIFPKKMVLVLGREKEGIPVDIIHILDACVEIPQFGVVRSLNVHVSGAIALWEYTRQQRFR >RHN60429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26648530:26651654:-1 gene:gene22741 transcript:rna22741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAMQSPSSCSSFSYRFTYQVFLSFRGSDTRNGFTGHLYKALTDKGIHTFIDDRDLQRGDEIKPSLDNSIEESRIFIPVFSINYASSSFCLDELVHIIHCYKTKGRLVLPVFYGVDPTHIRHQSGSYGEHLTKHGKSFQNNKKNMERLHQWKLALTEAANLSGYHFSPGYEYKFIGEIVKYVSNKISRQPLHVANYPVGLQSRVQQVKSLLDEGSHDGVRMVGLYGIGGMGKSTLAKAIYNFIADQFECSCFLENVRENSASNQLKHLQEELLLKTLSLKIKLGGVSEGIPYIKERLHTKKILLILDDVHNLEQLHALAGGRDWFGCGSRVIITTRNKHLLSNHEIEIMHEVKGMSRENALELLRWMAFKSYKVPSSYEEILKRAVVYASGLPLAIEVVGSNLFGKNISECESTLDKYERIPHEDIQKILKVSFDALDEEQQNVFLDIACFFKGCRLAEVEEILQRHYGYRINSHIGGLVDKSLIKIIWWKKVTLHDLLEVMGKEIVRKESPKEPEKRSRLWCRDDIVHILQENKGTSKIEMIYLNSPSMDLVIDWNGKTFKKMTNLKTLIIENVSFSKGLKYLPRSLRVLKWNGCCSESLSSIICCKRFEDMKVLTLDNCRYLTHIPNVSGLPNLEKFSFRFCDNLIAIHDSIGNLNKLEILNAWACTKLENFPPLWLPSLKELDLSFCKRLKSFPELLCKMTNTKEIGMCITSTTELPFSFQNLSLSLRRCEMLRFTKHNEKMYSIRFSNVETLHLHYCNLPYEGLQIVLKLCVNVKFLDLSFGNFKILPECLNECHRMRTLGLNSNEHLEEIRGFPPNLKYFEALRCKSLSSSSRRMLLSQVCCCFFLQ >RHN46419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31536792:31537699:-1 gene:gene40902 transcript:rna40902 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEDHVVVDIIDTTQTRRQQQLKLKRCALRLLTAGVTIKAKLPDNQQTSTSGFGLSCFSFVWNILCGVLFWLCSVFLVNGKHFDADDSHEEVEMKGLDFYFKFKKGKLEIEQLHITNTTKSKWYNLIAWEHHKKYKYFSRFASSYNDKDRHESQQNTRKIVSGGKFTWAASIFNGLICSADDVQLLKAFIFKQMWRTVWTSFTYRQEWLLTFLNRNYNFVAMVVSVLAVVQTVYTILAYYFPK >RHN75150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39768539:39770000:1 gene:gene11312 transcript:rna11312 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNNLEAIECELKKMRRECNWIYVLYCSVLCSMAFLCSYKVHF >RHN82741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56039954:56041655:-1 gene:gene6925 transcript:rna6925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone--flavonone isomerase 2 MALPSVTALEIENYAFPPTVKPPGSTNNFFLGGAGERGIQIQDKFVKFTAIGVYLQDIAVPYLAEKWKARSAHELTDTVPFFRDIVTGPFEKFMRVTMILPLTGHQYSEKVSENCVAIWKSLGIYTDEEAKAIDKFVSVFKDETFPPGSSILFTVSPKGLGSLTISFSKDGSIPEVETAVIENKLLSQAVLESMIGAHGVSPAAKQSLASRLSKLFKEGGNANN >RHN75629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43887285:43888524:1 gene:gene11862 transcript:rna11862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MDKCRWTVIASNFPGRTDNEIKNHWHTTLKKRFVKNIKSKRGTRIAKDSNSNNHPTLEEPKKFEVVLESNNDPNIANQISPQPSSSELSCITMDNAILDDNDLSFMETYMENFSENFWTEPYMIDNSYVPHNHPTMEETKKLEGVFENNIDPNITSPLSSQPFSSGFSCITTENFASTISHENSVFDGSELPFMDAFMETVSEFFWTEPYMIDTSYSPYKHPTLEEANKFEGVLENNNNPNTASTTISYENPILDDNDLHFMDAYIENLSENFWSEPYMIDSSHFLRNHLTMEEAKKIEGVFEYNIDPNSVSPVSSQPSSNGFSSITMENVTSTISHENPLMDALFPAECEHEYFSSVYDVEFWSHTAE >RHN80044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34582185:34582832:-1 gene:gene3920 transcript:rna3920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MNHSFFQNPNTEMLQDPSEISFDSFGIHDYPIPDSLSFDMVDFSYSSNEPTKSKSMLKSSAEAKQEHNRMDQKPYIGVRKRPWGKYAAEIRDTTRGGKRVWLGTFDSAEDAALAYDQAAFSMRGNNAVLNFSVQRVKESLQEIQYDCRKGSSPALALKERHYEQRKLLSKGVKNNKAGRQDESEGSSVLVLEDLGVEYLEQLLTISDNQTTSNFY >RHN41549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30997816:31001658:1 gene:gene47883 transcript:rna47883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VI family MERLRNKRDQEKKEKRWRMVDMITGNNNNPKKEFGFANENNNDSPTASPRGVFEVPISDSDSTGSSNYSNGGKPSSPGGGDGGHQWKAMIDALRFKSVRRFSSIPLLAASYEISRKNLRNKLARKRTANDEDENIFDAAFDLDGITKPSWRNFSYEDLVAATDNFNPENMIGKGGHAEVYKGRLPDGMVVAVKRLMNNEKEAGDRAAGDFLSELGIIAHINHPNATRLIGFGIDRGLYFVLQFAPHGSLSSLLFGSECLAWNIRFKVAVGVAKGLQYLHQDCPRRIIHRDIKASNILLNNDDEAEISDFGLAKWLPDKWAHHVVFPIEGTFGYLAPEYFMHGLVDEKTDVFAFGVLLLELLTGRRAVDSNSKQSLVIWAKPLLDAKQVKEIADPRLGEQYDPIEMKLVMATASRCIHHMSSKRPFMDQVLLLLKGEEVAMDLTQKTIAPRSLLLDACDLEDYTCSNYLNDLNRHKQLIMEI >RHN66157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9203747:9207691:-1 gene:gene14084 transcript:rna14084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MGAMYEELRRNQEMDSSSIIHSKQHYQQQNSGLLMRYNSAPTSLFTNLIDNNAQNFINNEESFTNESYLPSTSSEMDTMLSKLISSNNGWNNSEENLQEFDVKPVKQEIGESVAQQNGNYSYGGSELIYQGFSNGSGNGFYGSFGGVNTMESDDSNQSKIGVRNCSNLIRQKSSPAEFFSNENGFATLTLREVESFKANGISNGTLNFSSRPSTCLKRMPQIAENGIQSLESNCDQTINLVNENGSSKFTNEFWDNSAFNAHKTENEDEIMFSTTNGLDSQEADFGYQNLGLTHHLSLPSSSTKMTSMEKFLQVQGSVPCKIRAKRGFATHPRSIAERVRRTRISDRIKKLQGLFPKSDKQTSTADMLDLAVEYIKDLQEQVQILTDCKDKCKCASHEKKHCKPCS >RHN47487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40108604:40110406:-1 gene:gene42097 transcript:rna42097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MVNIHIYRSYTVTPSEKTPTTTLSLSLCDQLKLPNHGYQLYLFTNKNHSSSSLISSTNILITSLSKTLTHYYPFAGRLSWIKPQNHLLQLHCNNKGVQFLEATCDITLKDFGSFDSTHVVQKLVPKINYDVPVEDLPLLVVQFTKFPCGSLTLGLSMGRSVLDGSSAGSFISSWAKLAKGESLDSSLIPFLDKTLLDSKILHLPPRFHHHEFSPPPLWENSSNNSTHLSKNPLFATTMLKFTKKQVEKLKNKANNNENKVRGYTSFEVISGYLWRCVSKVRFEGNWNQPTRLTTLVNCRNRLNPPLPMNYFGNATFPTVTQTCSFDDVVNKPFCSVVGKVREAVKKVNDEYVRSVLDYVANQKDMNLLRDKFYNFAKRNGQFGGEPNLYVVGWTNFPFNESDFGWGKPDCMVPGIVNSDGIGKAYILDEANGDGFVVSVCLQPFHIDALKKLFYEDMEMITSSKL >RHN59010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6639176:6639957:1 gene:gene20991 transcript:rna20991 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIFLCFLLANTILCHVMATTTNKPQISVQSISSPSSNAPSQSPISSQRTNEKESSIGRKFGKHQHDHIITAPSPSPLEGSILSHQKTSILDSQGHIHLVNHHHHHPFDKSMAGGGVILGGLATTFLVAVYCYIKATSKHNKLDNTTTST >RHN41118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27159145:27160627:-1 gene:gene47407 transcript:rna47407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Band 7 domain-containing protein MGLALGCLQVEQSTVAIREVFGKYEDVLEPGCHCVPWFMGRQIAGYLSLRVQQLDVRCETKTKDNVFVTVVASVQYRALAEKAVDAFYRLTNTREQIQAYVFDVIRATVPKMGLDSSFEQKNEIAKAVEEELEKAMSAYGYEIVQTLIVDIEPDEHVKKAMNEINAAARFRMAASEKAEAEKILHIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFAENVPGTSSKDVMDMVLVTQYFDTLKEIGASSKSNSVFVPHGPGVVKDISSQVRDGLLHGNVARP >RHN69060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40683691:40698330:1 gene:gene17488 transcript:rna17488 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPPSKSNNTETEEETIAFNRKRARRVSFADNEITSVHIFRPDDDHSSSSSDIPADTLGFFRKIGGDSDDEVEPRQNPTDDAAEVVDHRNSFLQPIGSPSPGGSSNNADDDSDDDEFRGPVSTDFIKPERLSDSGVSDDITMDSTAFSMHYRSLARSDSGDLKTPTRFEATVTTAVQSSATSGSLMDFTDGDKKTPQSPVVASASGDSDAMSIEGEQGRKTHDYGRLSPKLVAILAQGSKDLDGVSLLGSKATQSPNGNDIEVLPDLACSANSPVRRITLDSAIASASESSTKNTKEFVNDATPLAFKQLSSAKANRRTPPKMDKGDKLATDSPIAVHVEVLPTMESSVNSPVHQITPVASASKSSTKNREFVNDATPLSFKQLDSSKANRGTPPKMDEGDKLDLAAKYELGFCEVPIKESNSKDRGHVTDSNHKSDQVTGNHPVHEFTPLSLSANKVVFMGSSDSFRCTGNITPPLKQSGLLVPEVHVENSATLLSIHKSISKLKTLKATPNTSTLKEGTDKLKLRFSKYSPGTSFFGERDFENKQVETLTAPLVEEPFSLTLENNMNRSFINTDDRVVDSLISANVSYNDENLEPVIGASSLLKTRITRVADFDLADGTVEEKNEVLTTTHAKPFASPVKSFGHTLSPSVECQSNCHVELKQTDMQNESFNGGLGQAIEYDKPTAAKKLDLSGDGNSEQPNSPFEDAQVSKLIKSTLKGKPPRSPCNRFLDLSSPIQELTTVLPSLQEPPSDIRDLSHRINSDGNGVDLDNNGYPAPQVAQSPLTETGIKVSSGKKMKGVDLDNNFHPALQVAQSPFTKTGIEVSSGKKRKGVEFLSDIRDLSHRINSDGGGVNLDKNCHPTLQVAQSPLTKTGIEVSSGKKWESVDLDNNCHPTLQVARSPLTKSGIEVSSGKKRKGVELLSNFRDLSHRINSDGHGGDLDNICHPALQVAQSPLTKNGIEVSSEKKMKGVKLLSDFQDLSRRINSDGHVVDLDNNCHPALQVAQSPLTKTGIEISSGKKGKGVELLSDGDNIEKTGRTDRNPEVHKSGDGDLQFVLEQTGSMGSEREKFGDQKWDDLDHVIKKFSTSTKQLLSPSFDKLNLRLIGTLEDILVHLQKVKKWDILSSEIHSQKKLMDPLNIRRRKRIVEMKMLLFNIAYEKSKLQLMNAKRERLLKKVQQLSSGLQETQMIKNSMSCSAKSRPVDIQADGSHINTRFFNSQGKSQVSCKKVIEMRQELESLDQKAKPLSEFFYSYCKMEGDQSYTNVIKSVPGYLEKIMSYKLEFQNLKLWDIEDFERKDDHHMIILNYCGYIIQRLTVNAGLSSIIVSNCLNDANIGKTYPNMDAFSAFAFALNPHTTSKRTGRISMAQETQTTGSLLSNLLDVVEEVQLARVDIRNLVQAKFNSHSVHQLDLQLSFIDFCGGKKVQVILDMTCLKCGAYPAEVLPSQIYDPAASGEQKALPSSLVDEIRTAAESVSVGYSRIIRLCRRISQAVQGCTKGR >RHN64702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61126238:61127359:-1 gene:gene27549 transcript:rna27549 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAVIEAGLIAPLVNLLQYAEFDIKKEAAWALSNATFSGTPEQIKYLVSYDCIKPLCDLLVCPDPKIIIVCLECLENILKVGEAEKRFCNTRDVNLYAQMIEDAEGLEKIENLQSHDNNEIYENAVRILETYWLEDEDETLPPSHGRQDRDLKHKIVRLYSLANPWHARVCLESKVGWLGWDRCEKSWERWNRANMSFPLLGFSDIFSRVGSAAQFINSAFWDLKP >RHN66267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10198060:10198527:-1 gene:gene14216 transcript:rna14216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MVRKPYEDCCKVRMILKGLGIRVGERDVSMHIRFKEELKELLGERYYDKGGLPKVFIGKKYIVVVEEIHKLHNDKKLEKLLDCCERIDDIEGGDGGCEACGDIKFVPCETCHGSCKIYYEDDYEEDDNCEVGECGFQRCPHCNENDLIRCYMCCF >RHN65948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6839254:6840405:1 gene:gene13852 transcript:rna13852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MGKLNNLQTLSYFIVEAHNESDLKDLAKLNHLHGTIHIKGLGNVSDTADAAPLNLKDIEELHTEFNGGREEMAESNLLVLEAIQPNSNLKKLNITHYKGSRFPNWLRGSHLPNLVSLQLNGCGLCSCLPPLGQLPSLKKLSVYDCEGIKIIDEDFYDNNSTIVPFKSLQFSIFENMVNWEKWICLRFPLLKELSIRNCPKLKSTLPQQLPSLQKLCINNCNKLEEWLCLGEFPLLKEISITSCPELKRALPQHLPSLQKLEIIDCSKMEATIPKCDNMIELDIQTCDRILVNEFPTSLKRLFLCDNQYTELSMQQNVINFPFLEELGLDWSGSVKCPSLDLRCYNSLWRLSIKGWHSSSLPLELHLFMIGTQIKRNKRNSIID >RHN56767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33558060:33558398:1 gene:gene32211 transcript:rna32211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate decarboxylase MSLFILELAGLYGVSVMAFSHKHRRIYNEYTISKMLRHYGWIVLAYQMFLGAQHIIVLRAVIRARFSCALVERLVLNIKIVFRELHKFSLKLRPTLRGRGTWLNLQLVYNLY >RHN68135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33153577:33153921:1 gene:gene16437 transcript:rna16437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGSSSMETNKVKLENTLGLIRNWLEIPKDVTSNILKLLGAVDLVMNARLVCPMWREICRDPLMWKSIEMINGLHSPHNLEKICMYAVDQGGDHVEEINVEYFVTDDLIRRLAER >RHN49906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2182759:2185999:-1 gene:gene34136 transcript:rna34136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDLVLNFQNVTTIGFLSLIFLIYLFHFRPSKVVNKGREPPMASGAWPILGHLLVLGGSKTPHKTLGTMANKYGPLFTIKLGTNRALVLSNWEMAKECYTINDVAVSSRSKLVAIEHIAYNQASFGFAPYGPYWREMRKIVSIFLSNRRMEQLSHVRVTEVKTSIKELFHVWSNKKNDSGYMLVEMKQWFTQLVFNIVFQTMAGKRYFGETAVVKEKEAQNIVKALREFMHMLGVCTMADAVPILRWMKLGVKAMKETAKELDIVLDDWLVEHHKNKGLGEKVESDQDFMDMMISMLDGATIGGFDADTINKATTLALILGATDTSTVTLTWVICLLLRNPHVLAKAKEELNNQIGEERFINDSDINKLVYLQAIVKETLRLYPPGPLSAPREFTEDCTLGGYRIKKGTRLITNLWKIQTDPSIWPDPLEFKPERFLTTHKNVDAKGQHFELLPFGSGRRICPGISFGLHMIHLTLANFLHSFEIVNGSSEPVDMTENLGMTNEKATPLEILVKPHFSPKYYETM >RHN72672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9608443:9613591:1 gene:gene8418 transcript:rna8418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MGRSRGNFHHNDDDPSQRSRRKKNAASGDNSESGVAGQGAGEAKRALYHCNYCNKDITGKIRIKCAKCPDFDLCIECFSVGAEVTPHKSNHNYRVMDNLNFHFICPGWHADDEILLLEGIEMYGMGNWAEVAEHVGTKNKEACIEHYRNVYLNSPFFPLPDMSHVVGKNREEPAMAKGQGDDKKGLPMGDLSIKEESPFSPSRVKMEDSNKSGSTGRLTSNMNSGSDSGPSVNTHAAASANQKASNKGRGKGGPGIVKMEDSPMDRDFGGNKPNSSRNEGPSLVEVSGYNPKRQEFDPEYDNDAEQLLAEMEFKDTDTEEEREIKLRVLRIYSKRLDERKRRKEFILERNLLYPNPFEKDLTPEEKTICRKYDMFMRFHTKEEHDELLRTVISEHRTLKRIQELKEARAAGCRSSVEADRYLAHKRRKESEESACRARESAHVVPNNHGVPNALMSPDSAGTRPAGSSSVNEMDATGYYGADLLSEAEKRLCCELRLPPTVYLKMQEDLSVQMIAGNVSSKSDAHQMFKNMDTIKIDRVYDMLIKKGIGSP >RHN80406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37787302:37788091:1 gene:gene4332 transcript:rna4332 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNSNPKRGLIVAYAYRDRPPSITRDAHSDSQLTRVLSEFTDLLHLRSGFTHSSSLNLDDKSCCC >RHN81715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48428254:48429926:-1 gene:gene5798 transcript:rna5798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamyl-alcohol dehydrogenase MFTQISTFFPHKFHYDCSSSSPSSASPHACFNPWKHVGFFSPGTLNVLNSCAKSPSLKRVVLTSSVAAVAYNGKPRTPDVVVDETWFTDADFCAKSNVCEEPPKHQVPTIDAGDDNSVFLEALYMSMGIGFFTSFVGFIGSILLLPSWRETYSKFLNTLILRIIIWWKQ >RHN62622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44757842:44764263:1 gene:gene25217 transcript:rna25217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine phosphatase superfamily MDCGAGTCLFPLHRCKTIHLVRHAQGIHNVEGDKNYKAYLNPDYFDAHLTPLGWEQVDNLRKHVHSSGLINKIDLVIASPLMRTLQTAVGVFGGEGYTDDKTDVLPLMVANAGNSFHGAISSHNCPPIVAGELCREHLGVHPCDKRRSVSEYQFLFPAVDFSLIDSDEDVWWKDNVRETKEELAARGVEFLNWLWTRKEKEIAIVTHSGFLFHTLTTFGNDCHPLVKKEISKHFANCELRSMVLVDRNMIGSEASTTNYPGKIPSGLDKPSDAVDENVEKQGV >RHN60659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29208895:29212453:1 gene:gene23009 transcript:rna23009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase C MVVSKTSMSLLLLFILFSSSYATSRTTHNNQQIQKTSAFEKLVRSFNLSPKDPVNLIHGNQSFDYFPGKIVEKKFSFLSNTNETENLNHHFGYYSIPRALGARMFYFFFESRDTPKDDPVVIWLTGGPGCGSELALFYENGPFHIADDLSLVWNQYGWDKASNILFVDQPIGTGFSVVNDVNDIPHNETVVSDDLYVFLQSFFEEHPEFVKNDFYITGESYAGHYIPALASRIHQENKGNPKIHINLKGIAIGNGITNPRIQYLFYTQFAEDNHLITPGERKDIDDELYEGCTEATYNCDTQGGDQNCLLARDKCEAIVNKIMSIAGDINVYDIRKKCIGEMCYDFSKLEKFLNNHEVRGIIGVANEFVSCSRVVYDAMRADLMKSFHLGIPELLEDGIKVLIYAGEFDFICNWLGNYNWVSFLQWSGQKQFKEFKGVPFLVDGTDISEGGMLYTFGPLYFLKVYGAGHMVPMDQPDVALQMLTAWMKGQL >RHN71887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3373697:3374098:-1 gene:gene7534 transcript:rna7534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geranyllinalool synthase MMENPEFNIEDSINFVREIVEKKKKELLELVLIDGLCDLPKPSKQLHLACLKVFEMFYNSKNRFDSNTDLVEDINKAIYLPLGRTTKCFSPQTFPKKKHTISIIHNNFPFKHNSKIGFTRMRFMTPKVGLGFI >RHN39261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5377888:5382580:1 gene:gene45269 transcript:rna45269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase D MEETPTLLHGTIEATIFNASPYTSFFPFNCICSNGEPAYVTIKVDNKKVAKTSKERNRIWNQTFHIQCAHPSESVITITLKTLDSNLGKYHIKAQQLLKEGSIINGIFPLLMENEKPNPKLKLKFILWFKPAKLEQSLAKVLSNNEFQGLKDAKFPLRSNCQVKLYHDAHHSPTFQPPFDPCGTPKNLWEDVYKAIEGAKHIVYIAAWSLNPKMVLVRDPHTEIQHARGMILGELLKKKADEGVAVRVMIWDDETSLPFIKNTHDEDAVTYFNHTKVICKNCPRLHHKFPTLYSHHQKTITVDTKVPKSVSDRQIMSFVGGLDLCDGRYDTEQHSLFQTLIKESHCYDFYQPNIQEANLNKGGPRVPWHDAHACVIGEAAWDVLTNFEQRWTKQCDPSLLVPTSTLVNLIPQTNSNTSIETNWKVQVYRSIDHTSVDDFCGNFNVESTIHEAYVEAIRHAERFIYIENQYFIGGCQWWGKDKQCGCTNLIPIEIALKVVSKIKAKERFAVYIVIPMWPEGVPESESVQDILHWTRETVAMMYRLIGEAIKESGEIGHPKDYLNFFCLANREHKGKGEYLPLDSPYPETQYWNAQKNRRFMVYVHSKLMIVDDMYILIGSANMNQRSMDGKKDTEIAIGCFQSQDELEKQTNLGDVHAYRMALWYEHTNSVDELFLEPQSLECVKRMCSIGDQMWEIYSNEEIVDMEGVHLVTYPMRVTQEGYVKDLSNGVYFPDTNSLVKGKRSTLLPPNLTT >RHN61035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32412384:32414699:-1 gene:gene23446 transcript:rna23446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative universal stress protein A MASGRQIGVALDFSKGSKIALKWAIDNLLRTGDTLYIVHVNHSHPTESRNLLWATTGSPLIPLSEFREKNVVHQYEVDPDAEVLDILDTASRQKQVTVVGKVYWGDAREKIVDSVGDLKLDALVMGSRGLGAIQRVLLGSVSTYVTSNASCPVTIVKESVAPTV >RHN45940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27040476:27041374:-1 gene:gene40370 transcript:rna40370 gene_biotype:protein_coding transcript_biotype:protein_coding MIILFQFYTQTKCVSFLNLFAYISCMSTISSVAKPYLQAGAFEVVDESIQGTFDLESMKKATFIAVKSVERDASQRPPIAEVLAELKEAYGIQLRFLESK >RHN44677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7376280:7377429:-1 gene:gene38825 transcript:rna38825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative maturase MatK domain-containing protein MKEYQVYLERARSRQQDFLYPLIFREYIYGLAYSHNFNRSIFLENVGSDSKYSLLIVKRLITRMYQQNHLIISANDSNKNPFWGYNNNFYSQIISEGFAIVVEIPFFLELSSSLEEAEIIKSYKNLRSIHSIFPFLEDKFTYFNYVSDIRIPYPIHLEILVQILRYWVKDALFFIYYDCFFIILVIGIVLLLPKTLFLLFQKVIRDYSCSSIIFIEHLVEVFAKDFSYTLTFFKDPLIHYVRYQGKYILASKNSPFLMNKWKHYFIHLWQGFFYVWSQPRTMNINQLSEHSFQLLGYFLNVRVNRSVVRSQMLQNTFLIEIFNKKLDIIVPIIPLLDRWLKRNFVMY >RHN63127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48683938:48684342:-1 gene:gene25788 transcript:rna25788 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNSHDSRYVPFHKWLEENIIDVDEDIIVHVLALCYEIWCARNKKCFEGTDVDVAATIQKAQRSIGSFKSASTVLVETLSEAGPIEGDKWDIGVVVRDNEGVVVGASS >RHN47356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39123351:39125330:-1 gene:gene41947 transcript:rna41947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative light regulated Lir1 MQTALTFATTTFVPLTTPKSISHLATNLSFPTKLIASRSSPPRYTAIKVVTDNSDPSTVDYNSAFSVFPAEACETVGGDACLADMNPEVKLQPEARNDTPKTAASGNIEREYLDYNEPKTVFQAEACDDLGGAFCEPDYQKGVY >RHN74682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35823301:35824043:1 gene:gene10789 transcript:rna10789 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSISQFQHSQPQLQSTQSHLRPQSTQSHPPLTQLEPSQPQPRSRSQSTQPHPQSTQPQRSQPLPRPQSNPISPSIHSTSTKSTSTAASIHPISPSTHSTSTKST >RHN61941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39503803:39508897:-1 gene:gene24456 transcript:rna24456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MVTKIQNWPPLSLSHTSPTPSKNFVGDNNCLETMVETPINGRIRQALTVVNGGHDVGLSSAPPSNAGSDYGVIEFTREDVEALLNEKAKKKERFNYKERCENMVDYIKRLKVCIRWFQDLEMSYSIDQEKLKNSLEMTKQKSIEIEMLLKIKEEELNLIIIEMRKNCTSLQEKLIKEEAEKSAAVESLSKEREARLDIERSHTTLSEDLGKAEREIQSANQKISSLNEMYKRLQEYITSLQQYNGKLHTELSSVEDELKRVEKEKATAEENIAMLKGQLTLSISSQEEATKQKDDLASEVYSMRGELKQVRDDRDRQLSQAQSLDAEFMKLKESRENSCIELDSLTLKANELEEKSSLKENQIKALQEKLAAAEKKLQVTDVSVFETRTEFEGQQKLVDELQRRLEDSEYKLIEGERLRKKLHNTILELKGNIRVFCRVRPLLPDENRSTEGKIFSYPSSMETSGRGIDLAQNGQKHSFKFDKVFIQDISQEEVFVEVSQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGHPDEKGLIPRSLEQIFQAKQSQQPQGWKYEMQVSMLEIYNETIRDLLSTNRSSSDVTRVENGTPGKQYTIKHDVNGNTHVSDLTVVDVHSAKEVAFLLNQAANSRSVGKTQMNEQSSRSHFVFTLRIYGVNESTDQQVQGVLNLIDLAGSERLSKSGSTGDRLRETQAINKSLSSLSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPSSVGESLCSLRFASRVNACEIGTPRRQTNGRATDSRLSYF >RHN47198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37814574:37821286:-1 gene:gene41764 transcript:rna41764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MTFQKQRRMDCSILCLLIFTLLLNHVHASKKCYIVYLGAHSHGPTPSSIDLETATSSHYDLLGSIMGSKENAKKAIIYSYNKQINGFAAILEEEEAAQIAKNPKVVSVFLSKEHKLHTTRSWEFLGLRGNDINSAWQKGRFGENTIIANIDSGVWPESASFNDRGIGPIPAKWRGGNICQINKLRGSKKVPCNRKLIGARFFNKAYELVNGKLPRSQQTARDFYGHGTHTLSTAGGNFVPGASIFGIGNGTIKGGSPKSRVVTYKVCWSQTIADGNSAVCYGADVLSAIDQAISDGVDIISVSVGGRSSSNFEEIFTDEISIGAFQAFAKNILLVASAGNGGPTPGSVTNVAPWVFTVAASTIDRDFSSTITIGNKTVTGASLFVNLPPNQSFTLVDSIDAKFANVTNQDARFCKPGTLDPSKVSGKIVECVGEKITIKNTSEPVSGRLLGFATNSVSQGREALSAGAKGMILRNQPKFNGKTLLAESNVLSTINYYDKHQLTRGHSIGISTTDTIKSVIKIRMSQPKTSYRRKPAPVMASFSSRGPNQVQPYILKPDVTAPGVNILAAYSLFASVSNLVTDNRRGFPFNIQQGTSMSCPHVAGTAGLIKTLHPNWSPAAIKSAIMTTATIRDNTNKLIRDAIDKTLANPFAYGSGHIQPNTAMDPGLVYDLSVVDYLNFLCAAGYSQRLISTLLNPNMTFTCSGIHSINDLNYPSITLPNLGLNAVNVTRIVTNVGPPSTYFAKVQLPGYNIVVVPDSLTFKKNGEKKKFQVIVQARSVTPRGRYQFGELQWTNGKHIVRSPVTVQRK >RHN76077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47513706:47518211:1 gene:gene12353 transcript:rna12353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSMRKLMSIAIYAKFIETIRRTPSVIETHLCFKFFCTQTTQNQDSLCKRIEKLPKGESIASAFRSWMRDGFPVRGADVFHTINRLRKLNFNKRALEVMEWVIRERPYRPRELDYSYLVEFTTKLHGIPHGEKLFTRVPVEFQNELLYNNLVIACLDKGVVRVSLEYMKKMRELGHPISHLVFNRLIIVHSSASRRKMIHGLLAQMKADKVTPHVSTYNILMKIEANEHNLENLMRFFDRMKRVHVEPNEISYCILATAHAVARLYTATETYVEAVEKSMTGNNWSTLDVLLILYGYLGSRKELERIWSIINGLPFVRTKSYLLAIEAFGRIGELNRAEEIWSEMESVKGLKSVEQFNSMMTVYCKHGVLDKAARLYKNMKTKEFKPNAITYRHLVLGCLKSGKADQALKTLDLGMRFPVNKKVRNSTPWLETTLSIAELFAEKGDVENVERLFEEFHKAKYCRYTFVYNTLIKAYVKAKIYNPNLLRRMILGGANPDAETYSLLKIAEQFRT >RHN44321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3528436:3529186:1 gene:gene38424 transcript:rna38424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MEPNATKFDLIILGASGFTGKYVLKEALKFLNTSSSPLTSIAIAGRSPTKLAQTLQWASKPNPPPSLPILHADTTDPSSLRSLCSQTHLILNCVGPFRHHGEPVVAACTDTGYTFYFFKSEDSFWSSFDVFILRTNWFLIYYNRSYTKMSGSIHIHSSD >RHN78073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11676610:11681041:-1 gene:gene1588 transcript:rna1588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed RNA polymerase MGKSKTIELSGFPCYETVYDVKSFVEHYTGEGSVVAMKIREGKGHVRRTFAIIQFTTAKHATYMMTLSNISLPTWRYGGYDLKVREMERDINPRPRAFLESLDDVKLHFGCQISKERFSALWREVDVSVEFGIGMRKWRFSMRHHDKKFKLELSYENIGKIELHRPRGKTAKYLLIQLIGAPQVFELYIPNSKNVYEDPAKNYYKDSPDEQWTRSIDFTPFSCIGHSSALCIELPSNRDFPNFRENFAHYEEIEGNYTLERGLPFSRNLDVVPIVAPPRGINIPFDIMFKVNALVQHGCLSGSELDNDFYRLVDPLKMTSDCVEHALEKMYYSKDFCYEPARWLKDQYKMYIKSNYLPPSPTISLDDGLVYVRRVQITPSKVYFCGPEINVSNRVLRRFHKHIDNFLRVSFVDEGLDKLYSADLSSHISESGRTKIYYRILSILRNGIDIGGKKFEFLAFSSSQLRENSLWMFSPTTTGLTADSIREWMGDFSRIKNVAKYAARLGQSFGSSTETLSVSRNEIETISDVKVKHGATKYVFSDGIGKISIELARRVAKKCGHDSMPSAFQIRYGGFKGVVAVDPTSSLKLSLRKSMHKYDSDNIKLDVLACSKFQPCYLNRQLITLLSTLDVKDSVFEKKQREAVNQLNTILTDSTKAHEVLDLMFSGEVSNILKEMLICGYKPNIEPFLSMMLQTFRASKLLELRQRTRIFIPKGRTMMGVLDETRTLEYGEVFVQYSNKRLSGLSHVVKGKVVVAKNPCLHPGDVRVLKAVDVPALRHMVDCVVFPQKGHRPHPNECSGSDLDGDIYFVCWDSELIPPRTIEPMEYDSAQPTVLDHDVEIEEVEEYFTNYILNDSLGIIANAHIVFADKEPGKAMAEPCIELAKLFSVAVDFPKTGIPAVIPPHLFAKEYPDFMEKPDKTTYKSKNVIGKLFREIQGISTNDGSITSFTLEVAKESHDPDMEFVGFMDYADDAFYHKTNYDYKLGNLMDYYGIKTESEIISGNIMKMSKSFTKRRDADAIAMAIKSLRKEARSWFNEDNTGADSGSDCPYAKASAWYFVTYHHSYYGLYNEGMQRDHFLSFPWCVYHLLVQIKKEKVRMRMLSRMEQSFSRRLRLD >RHN45566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22963526:22963939:1 gene:gene39934 transcript:rna39934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MEKLDKVIKLDELKGERKTQENPSFNVSSVQINHQRICSDSETKETTQKPLRRTKVKSCSIKKSRAKKFIGERSCSHCETKETTQWREGPLGKNSLCNACGLRYKLNGLVKGYRPKASPAFDIRNHSNLHKKVMGKE >RHN43785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48461773:48467344:-1 gene:gene50409 transcript:rna50409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative XAP5 protein MSGMGDGYVGTAQDAVRIRRLEKQREAERRKIQELKSKSATSNGQPGLLQFGSSTSEILETAFKKETVGLVTREEYVEKRVNIQSKIEEEEKEKLQKQIQEEEELQLQKRKKRKIKGNSRLSFSEDIDNDAQEEEEPHQSNNIETNGGVRCGKLGKDPTVETSFLPDSEREAEEQAERERLRKQWLREQDQIRNEPLQITYSYWDGTGHRRVIQVRKGDTIGEFLRAIQQQLAPEFREIRTTSVENLLYVKEDLIIPHQHSFYDLIVNKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >RHN81466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46172635:46174376:1 gene:gene5514 transcript:rna5514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MTCHFLLHSLHIVFLVSYTADDERLFFLTRITYALTISPVAMSLEELIPANHAKSYLFSIFIRTGLVFSTLVIGLSVPFFGLVMSLIGSLLTMLVTLILPCVCYLRILRGKVTRIQAGLCITIIVVGVACSSVGTYSALAEIVKSLSG >RHN75590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43575578:43581476:-1 gene:gene11820 transcript:rna11820 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGGDNDDASKLDLFHLIKRFGAFVTFKIGHLFSLDIRTIGAVAGLAVAIVFTWRLLRSPNPTQGRQRKRQGGASSNPGASTNSNASVVPSDASLPSDELRAQNVVDEFFQPVKPTLGQIVRQKLSEGRKVTCRLLGVILEESSPEELQTKATVRSSVLEVLLEITKFCDLYLMDRVLDDESEKRVLVALEEAGVFTSGGLVKDKVLFSSTENGRSSFVRQLEPDWHIDTNPEIVTQLARFIKYELHIAPYKTERTAANVFSAPSLELFFGSA >RHN77547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7640364:7642800:1 gene:gene1013 transcript:rna1013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase MTTATYLLFLLPILITTITTTPYLVAAGNGQWQVLQKSIGIVAMHMQLLHNDRIVIFDRTDFGLSKLPLPNGKCRHDPRETTVKTDCTAHSVEYNIKSNTFRPLFVQTDVWCSSGSVNPKGTLVQTGGYNDGDRTIRMFDTCNNCDWQEFDGGLAARRWYATNHILPDGRQIIIGGRKQFNYEFYPKNNIGVYRLPFLEQTNDAGAENNLYPFVILNVDGNLFIFANNRAILFDYTKNVVVRTFPQIPGGDPRSYPSSGSGVLLPLKNLQSKFIEAEVLICGGAPKGSYQKASKREFLGALNTCARIKITDPNPTWVVETMPRARVMGDMVMLPNGDVLIINGAGSGTAGWEYGRDPVLNPVLYKTNNPIGARFELQNPSHTPRMYHSTAILVRDGRVLVGGSNPHIGYNFNNVLFPTELSIEAFSPSYLEPRFANVRPRIVASTSELQKHGQKLGLRFQVKAALDKNLVYVTMLAPPFNTHSFSMNQRLLVLESNKVNIVEGTTYDVQVTMPGSPILAPPGFYLLFVVHKEIPSEGIWIQIL >RHN44157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2025584:2028705:-1 gene:gene38239 transcript:rna38239 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVQISIFNHQFKQNFLHFGKSRNKEFCKILYETLYSLKENIGTKFFTTKKNKSKLFKLGISNREFVGWDYGKKSESVVLDTYRELLLRGTTKVLVWDVSISSLNLCHVANKPYLHESFRFKDL >RHN59412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10400480:10401697:1 gene:gene21438 transcript:rna21438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MASMKVACIVLMMCMIVAPMADAAISCGTVTSALGPCIGYLKGGPGPSPACCGGVKRLNGAAATTPDRQAACNCLKQAAGAISGLNTAAASALPGKCGVNIPYKISTSTNCATIRA >RHN61849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38848114:38849424:1 gene:gene24352 transcript:rna24352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MTANNQLYHSISLSLLLCLGLFAIQVTSRTLQDDSIIYEKHEQWMVHYGKVYKDLQERENRLKIFKENVNYIEASNNAGNNKLYKLGINQFADITNEEFIASRNKFKGHMCSSITKTSTFKYENASVPSTVDWRKKGAVTPVKNQGQCGCCWAFSAVAATEGIHKLSTGKLVSLSEQELVDCDTKGVDQGCEGGLMDDAFKFIIQNHGLHTEAQYPYQGVDGTCSANETSTPAATIAGYEDVPANNENALQKAVANQPISVAIDASGSDFQFYKSGVFTGSCGTQLDHGVTAVGYGISNDGTKYWLVKNSWGNDWGEEGYIRMQRSVDAAQGLCGIAMMASYPTA >RHN76529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50993045:50994475:-1 gene:gene12871 transcript:rna12871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal transduction histidine kinase, phosphotransfer (Hpt) domain-containing protein MLGLGADLLWADMNRLLAFLFHQGVLDEQFLQLQQLQDHTSPNFVSEVVNIYFHESEKLLTNLRSLLMEREFSDYKKIEIHLNQFIGSSSSIGAKRVTSICIAFRAASEQDNRAGCLRALEMLEHEYCYLKNKLHELFQIEQQRALAAGVRYPMQQHQ >RHN74087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27334185:27334712:-1 gene:gene10058 transcript:rna10058 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MLEESTNSVEQGVVFDSIWKSPAPSKVVVFSWKLLHDRIPSKVNLAYRHVLPPEASLNCDLYLRMAESTNHLFIHLSFASEVWQGLFGWQDFSFVLPPNLFIHWECWSGGESKKKIRKGYWLIWHAAVWSIWRVRNDRIFTNTICGVVEVVEAIKVLSWRWVLSRMNMSASLYYE >RHN78283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13596953:13615174:1 gene:gene1818 transcript:rna1818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MEILISVVAKIAEYTVVPIGRQASYLIFYKGNFKVLKDHVEDLEAARDVMIHSVERERGNGKEIEKNVLNWLEKVNEVIEKANCLQNDPRRFNVRCSASSFPNLVLRHQLSRKATKIAKDVLQVQGRGIFDQVGYLPPLDVVASFPTRDGEKYDTRESLKEDIVKALADPTSCNIGLYGLGGVGKTTLVEKVAQIAKQRKLFNNVVMTEVSKNPNIKLIQDEIADFLNLRFEETTILGRAQRLRQRIKMEKSILIILDNVWTKLDLKIVGIPFGKEHKSCKLLMTSRNQEVLLQMDVPKDFIFKVELMSENETWSLFQFMVGDVVKDRNLKGIPFQVAKKCAGLPLRVVTVAGAMKNKRDIQSWKNAFRQLQSYDDTDQMDAETYSALELSYNSLQSDEMRALFLLFASLSGNDVEYFLQVAMGLDILKHTVDDARNKLVTIIKSLEATCLLHEVKTDAKIQMHDFVRDFAISVARRDKHVFIRKQSDEKWPTKDFLKRCTQIVLYMHELPQTIDCPNVKLLYLCNKNQSLEIPDTFFEGMRNLGALALTSLKLSSLPTSFRLLTGLQTLCLDHCILENMEAIEALQNLKILRLWKSSMIKLPKEIGRLINLRMLDLSHSGIEVVPPNIISSLTKLEELYMGNTSINWEDVNSTVQSENASITELQKLLNLTALELQIRETWLLPRDLRLMFEKLERYKIAIGDVWDWSDIKDGTLKTLMLKLGTNIHLEHGIKALIKGVENLYLDDVGGIQNVLYQLNGEGFPFLKHLHVQNNANMKHIVDSKYKRNQIHVSFPILETLVLHSLKNMEHICHGQPSTTSFGNLSVIKVKNCIQLKYLFSYAVVKELSQISKIEVCQCNSMKEIVFEDNNSSANNDITDEKIEFLLLRSLTLEHLETLDNFTSDYLTHLRSKEKDQGLEPYASTTPFFNAQVEFPCLDTLKLSSLLNLNQIWDDNHQSMCNLTSLIVDNCVGLKYLFSSSLVESFMNLKHLEISNCPMMVEIIAKEDRNNALKDVQFLKLEKIILKDMDNLKTIWHHQFETSKMLEVNNCKKIVVVFPSSMQNTYNKLEKLEVTNCVSVEEIFEWNLNEKNSEEVTTQLKEVTIDGLLRLKKIWSGDPQGILSFQNLINVQLESCTSLEYLLPLSVATRCSHLKELYIEFCENMKEIVAEEKESSMNEAPIFEFNQLTTLWLYGSDKLNGFYAGNHTLLCPSLRKISVTKCAKLNLFRTISTKYSNFQDDKLSVSTKQPLFIAEEVIPNLETLKMAQADADMILQTQNSSALFSKMIWIGFSSYNTEDATFPYWFLENVHTLESLLVEWSCFKKIFQDKGQICEKTHTQIKNLILHELPKLQHICEEGSHIDPVLEFLECLDVDGCSSLTNLMPSSATLNYLTDLEIKKCNGLKYLFTTPTARSLDKLTLLKIEDCNSLEEIITGVENVDIAFVSLQILNLECLPSLIKFSSSKCFMKFPLLEEVIVRECPRMKTFSAGNTSTPILRKVKIAENDSEWLWKGNVNDTIYSMFENKVAFGSFKHLKLSEYPELKELWYGNLEHNTFKSLKYLVVQKCDFLSDVLFQPNLLQVLMNLEELYVEDCDSLEAVFYLKDEFAKEIVVQNSTQLKKLKLSNLPKLKHVWKKDPHYTMKFENLSDVSVVGCNSLISLFPLSVARDMMQLQSLQVSQCGIQEIVAKEEETYEMVKFVFPHLTSINLKYLTKLKAFFVGVHSLQCKSLKTINLFRCPKIELFKAEPLRHQESSRNVEHNISTYQPLFVSYLQVWRVHLNSESWNYGSCTSLNIYVKKDFKWILFCIFLKALMFMSVPV >RHN73738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19473965:19474803:-1 gene:gene9594 transcript:rna9594 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLEKAVDLNLNSLWIECDSVTVVKAFSYALLVPVAEPFMGVAHPEKFKNQRL >RHN57716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41252477:41262814:-1 gene:gene33298 transcript:rna33298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, Rad18-type MDSRFDGFSIREYTSKMRSIDVFKCWPFTSTSNPHLTRDQLHSWLPPMSPCPSRSNQNHQSSAQQQFSTIQSSKSDHPVRAEDDEKVEMICPVCREFNAATLTAVNAHIDGCLAESVRVERRHMRIINSKSKALKSFKSKSKSPKKRSIAEIFKVEDKDRYIDQQHHQEKEKEAIQNESVMKLLQLPPEEEDVVQEDEVSITVRKFRWLSQRLEALRSKPGGGESAKESEKLSIEEEKLEMICPVCKDFNAATVTAVNAHIDSCLGKAVRDERRQTARTGFKSKPKAPKKRSIAEILNVVPPIEFNNIKSKTVEVEEDEDKFSDYSGEESSASDAAATFVSITKNKKSLNKNSKNKKMKKTKKKTMTIKKMVKYCDSGVLLNNEVKTKKKMNMKKKKKMNIFNSEFTRKKESANKREVQTDVNSCRKLRDTIGDEMGALQDIEPSVHRKKLGLNGLSVEKKPQVKNYNSVGKWQKADSPVRGIFRNHLKHVSGKISNACKIQDGTEESDEYDQVLTSDRHVKFSYKDEIVGPEKRYSFDETMFSTSSDALATSFAKEQSSGTDEECSSFEANKNYDQIALNIEVDKREEVCPITESKQFSCTLKQVMGLNFLKPCINQEKANQLEQKSELLTKMAVYDDNNSQLLDGGNITTVNFSKPLSAVQEGQMCAKNIQVSESGDFSFGGKFIDYSEDPTFQVDIVNKNASTKTLLEPSSSYSAPYGKVNEKPESPSPSSSYYGDNGNSNQTLDDKQLDNMFPEDMIDKSYPFSSWGQGCIRNSCLDPNFFGLPLNSHGELINFSSNGNVGMDKINFSSNGNVGTNQLETSSPLGDSLSGLSSNNILCQSSQENLSINERHFGQKTLGKDGPNSLPNYPPRLAVTELCSQTEDIHPPNSEMCSSHHVQPLHSEFLKHNSCVEQNQRERVQNHNRNGMVSLKEGSDHISPSSSQPTMRLMGKDVPIARSSQEPQQFTGVVWSDEESKRRHYAEYTALENSLLQRCSKPDWVSGSSLQISTDSVLQSEKIQSTHGLQSTQGFPQQFTDMQSNHVSQNGSLGVGRNAGSYFNSIAQESTSYTVYNGTPNDSSEQFIAGSKPSVLCSRPQVLPTPCNFNQPTCSRNGELNGRNKNPHVTKSAFGFPFLQPAANEQAKTYWSQGPYRSDIVWLSSSTDDMLSGTYSQQFSGVANQSFPQNRWGNNFITPSPNHSTKVLYSSNPLISRGPMKTTPLCPASIVQSPQASVTHTTMNNVFRNINKAVDRVMLDGMVVKDHHHPCTNIRKRPADNLDDSRKPIKVSNIEVRENMSRMPKLTRENSNVELQCNKRAVVLDPQVEIARSRCCQNVAQNLNPTSYPAVDSFKPNGTIRSSPVRLGPKRAKHILKSS >RHN64872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62609315:62610311:1 gene:gene27740 transcript:rna27740 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNHYGDLSLSLWCRIMILQVETSFGGATFVKKRNKMDPKRVEDVVYAHDLLDCVGIELAELSLDESELETTMFFDDGNGGEETDTILVSS >RHN45146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12274448:12275073:-1 gene:gene39362 transcript:rna39362 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNSVPEKNAVNDVDLFRETERSSSYEIGEGSRNVNLVEGHNHNRSSGEGGEVSESLNVFDVLRYLANTANKVEDDGLTLLESLKRAGIKFPRPSWWSDDMKSELFNFDDEEQRK >RHN42834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41362490:41363056:1 gene:gene49327 transcript:rna49327 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRHVVILALVMLAMIGLTYAADAPAPIEDDGDEYLVGTRSGDPPSASGGIVAGPLGGPVPPGAFDATAPPPSAASSIYFSAVAGTAATAAVAGFFYF >RHN41353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29358356:29359289:1 gene:gene47667 transcript:rna47667 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTLTLCYFSYRFGLPLPLRFRSGLARYVFRFSRFDSVITFFSFHDPVQALFLLAAQFRISLDLNVTLVPFLLVYGDEHCVHVLEILEKSYGKKCLI >RHN45855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26326646:26327491:-1 gene:gene40276 transcript:rna40276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-heme dioxygenase domain, isopenicillin N synthase MFHTGKLDLGENSSSGSDLCFPTIDLNDIHTDPTRRLEVIGQIQRACNEWGFFQVINHEIPIYVLDEMIEEIRSFHEQEASERRVYYNRDFKKNVRYYSNATLFSGQPANWRDTIAYSAAPVPFKPEDLPPICRDIMIQYSQKVNDMGLKIFELLSEALGLDEMIEGIHSFHEQEAYERRDSFLVLLLTIQKQE >RHN80611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39432591:39433998:-1 gene:gene4555 transcript:rna4555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MCETLKTKYQLSEEIGRGRFGTIFRCYHPDSAVPTAVKVIDKSLLADSTDRECLENEPKFLSLLSPHPNILQIFDVFENDDFLSIVLELCQPHTLLDRIVANPLTEQQAAAIIKKLLEAVVHCHRLGVAHRDIKPDNILFDSEDNLKLADFGSAEWFGDGEKMSGVVGTPYYVAPEVLLGRDYTEKVDVWSCGVLLYIMLSGIPPFYGDSTAEIFESVIRANLRFPSRIFRSVSSSAKDLLRKMMCRDDSRRFSAEQALAHPWIVSGGEAMNLN >RHN63199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49286357:49288869:-1 gene:gene25875 transcript:rna25875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MGNMIMETTPLSVNEIATIVPETSINPDQSLPIETAPSSMNETSNVQQTSHNQEHETPSATAGNASMNVTDIDVPEINNNQEHSLTMETTSSSIPEPLPRQPTIKHNKKTILAWLTACKEIDEGTQVRYHDGEKPITGRIFNGAILCSCCDEEISVWKFEKHAQSEDIQPYKRILVATRKSVLQNILISKWLKEDEQKRRDMFMYAPIHDIVCLVCGKGEDEGEFINCTNCPSTYHRSCAFIQGFSQENDDHWLCSYCSCKYCKGGHEEEYPLDILCRQCNKKCHWMCLNKSEKDLLRTHCSIDEKLKGSIGIQNNINGNESYSWRVLQQMDTKSEDHYVISNSKVAATCMLMEEAFGMITDKHTGVNVVQSVLYNRRFHGKGLAEMPFIATDEAYRGKRICHLLMEIIESFLQSLEVERLTIPSNPQTVEMWKQKYSFSVVNDKKLKKDMVSYNLLMFPGAIRLSKRLFLDLNEPFGNVEPNTVN >RHN74355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32140617:32144967:-1 gene:gene10401 transcript:rna10401 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLQQSNFCPIPSSQFPSLKQRNPFLHHLQFRRKDKASTFRISSLAAAGFFNDVAQIAHNKVLIAAGVSMAIGQLSKPFTSVFLYGKEFDIKALIQAGGFPSSHSSATVACATLLGLERGLSDPIFGLAVVYAGLIMYDAQGVRREVGIHARTINKLLLQMHVNHLHSKHKDGLINSQPGSSSPPKAETQEKSLLFQETTSLEPQQANTNVLVKSESIIRQSDEELQSSDFLEDAKETSKLVADGLLPLKESVGHTEVEVVAGGLLGFLVGLAVYNLK >RHN48469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47688267:47688632:-1 gene:gene43188 transcript:rna43188 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSNSVTKFCDQRICDEFYVFRHKFPSLILLFLVVYGDPLCFLISILGEFGVADSWTRLYGIEPLPEVVEPIGVVKNRDIFYLNIDEEVERLVLKKDMNVVKWLFIMKVFFQSEKYIYS >RHN42008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34733814:34736599:1 gene:gene48392 transcript:rna48392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NPK1-activating kinesin-like protein MLSRQMQRKLSKSERDNMYLKWGISMSSKHRRLQLAHRLWSETDINHVRESATIVAKLVGTVEPDQAFKEMFGLNFAPRRRRKKSFGWTSSMKHIL >RHN64617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60467799:60477219:1 gene:gene27448 transcript:rna27448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol O-acyltransferase 1B MAISDDPHGAVRNPKVLNDSSVLRRRPSAASVSGFFESSATVESESSGEESVKDSSSDDSISSDQNIAAANSDQNRGPDISSIKFTYRPSVPAHRRIKESPLSSDNIFRQLQSHAGLFNLCIVVLVAVNSRLIIENLMKYGWLIKTGFWFSSKSLRDWPLFMCCLSLAIFPLAAFIVEKLAQRKCIYEHIVVLLHIIIATTAVLYPILVILRCDSAFVSGVTLMLLACIVWLKLVSYAHTNYDLRALTNSIEKGEALPNTHMEYAYEVSFKSLAYFMVAPTLCYQPRYPRTPSIRKGWVLRQFVKLIIFTGVMGFIIEQYINPIVKNSQHPFKGNILYAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNARTVEEYWRMWNMPVHKWMIRHLYFPCLRTGIPKGAAVLIAFMVSALFHELCIAVPCHIFKLWAFSGIMFQVPLVLITNYLQNKFSNSMVGNMFFWFTFCILGQPMCVLLYYHDLMNRNSKLD >RHN81096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43302874:43304745:1 gene:gene5093 transcript:rna5093 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MAFKHRSIAYLLSILLFVSLHVANGIPPETICGSTVNPTYCKNILANQNGNIYDYGRISIRKSLSQSRKFMNSIDSHLQGGSSLSQSTIRALEDCRFLAELSFEYLSNTYTTTNQSSNVLPTSQAEDFETFLSAVLTNQQTCLEGLNTIASDQRVKNDLLSSLSDDMKLHSVTLALFKKGWVPKNKIRTSWPQNGKHLNFKNGRLPLKMSNKARAIYDSARRNGRKLLQTNTNEDSVVVSDVVVVSQDGSGNFTAINDAVAAAPNNTVASDGYFFIFITKGVYQEYVSIPKNKKYLMMVGDGINQTVITGDHNVVDGFTTFNSATFAVVGQGFVAVNITFRNTAGPSKHQAVALRSGADMSTFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNGAVVLQNCNIYPRLPLSGQFNSITAQGRTDPNQNTGTSIQNATIKAADDLAPKVGTVQTYLGRPWKEYSRTVFMQSFTDSFINPAGWHEWNGDFALNTLYYAEYGNRGAGSSTVNRVTWPGYHVIGATDAANFTVSNFLSGDDWIPQTGVPYSSGLI >RHN51737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23859971:23860192:-1 gene:gene36247 transcript:rna36247 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNPGMEDDPTCGPIIDNIAIKKLFTPDKPKGIYYQLDAHYCLSMYIYPCIYTFLSILVSSVNVFLQGHLNF >RHN48033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44425482:44426075:1 gene:gene42702 transcript:rna42702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSSTTKKITLKSSDCKSFEVSEPVAMESQTIKRMMEENCGNNDILILNVKSKILAMVIEYCKMHVEADLDSEFVKVNYSDYLTVKAIECSKKLFNEVNEAWGVTGNVLAKGIDYCEKHVDDAAANASSSDLKVWDAKFVRQIDMDTLCDLMLAANYLNIKGLLDLTCRAAPDQARREKEQIFFKFAIALVLFWIFFR >RHN38907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2743698:2745063:1 gene:gene44884 transcript:rna44884 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYALIRKGLSPGFQLQATWLEPHPDDNDEIKWVDEELPVACGKFKLCNTETIEDHLTFSHPVMFKRNGRDTFQVYPRKGETWALFKNWDITWYKDVESHRQYEYEFVEILSDYVEGEGVYVAYLGKLKGIVSIFIQIMKEDNQPFQIPSFKMTGQEGVGVHLGYSEFDPASLPMNLEEIAVTQNLDVKTGHSSCGSKNARTSKRSKPSMSAEDIVSILKVKVETSNLTEVKDSLDDMDDCHASASTPEAFEIPDAQFFNFETGRSLDKFQVGQIWAFYSDEDGMPKYYGQIKKVVTGPTIEFYLLLHSTTAFSFPFPPHLIMFIHNILNTCFSLIFIQFQIHQF >RHN58975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6332529:6335342:1 gene:gene20947 transcript:rna20947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MVNTNFLQLIAKFIAILCLLMHGHVLCNGGLNSQFIASEAEALLEFKEGLKDPSNLLSSWKHGKDCCQWKGVGCNTTTGHVISLNLHCSNSLDKLQGHLNSSLLQLPYLSYLNLSGNDFMQSTVPDFLSTTKNLKHLDLSHANFKGNLLDNLGNLSLLESLDLSDNSFYVNNLKWLHGLSSLKILDLSGVVLSRCQNDWFHDIRVILHSLDTLRLSGCQLHKLPTSPPPEMNFDSLVTLDLSGNNFNMTIPDWLFENCHHLQNLNLSNNNLQGQISYSIERVTTLAILDLSKNSLNGLIPNFFDKLVNLVALDLSYNMLSGSIPSTLGQDHGQNSLKELRLSINQLNGSLERSIYQLSNLVVLNLAVNNMEGIISDVHLANFSNLKVLDLSFNHVTLNMSKNWVPPFQLETIGLANCHLGPQFPKWIQTQKNFSHIDISNAGVSDYVPNWFWDLSPNVEYMNLSSNELRRCGQDFSQKFKLKTLDLSNNSFSCPLPRLPPNLRNLDLSSNLFYGTISHVCEILCFNNSLENLDLSFNNLSGVIPNCWTNGTNMIILNLAMNNFIGSIPDSFGSLKNLHMLIMYNNNLSGKIPETLKNCQVLTLLNLKSNRLRGPIPYWIGTDIQILMVLILGNNSFDENIPKTLCQLKSLHILDLSENQLTGAIPRCVFLALTTEESINEKSYMEFMTIEESLPIYLSRTKHPLLIPWKGVNVFFNEGRLFFEILKMIDLSSNFLTHEIPVEIGKLVELSALNLSRNQLLGSIPSSIGELESLNVLDLSRNNLSCEIPTSMANIDRLSWLDLSYNALSGKIPIGNQMQSFDEVFYKGNPHLCGPPLRKACPRNSSFEDTHCSHSEEHENDGNHGDKVLGMEINPLYISMAMGFSTGFWVFWGSLILIASWRHAYFRFISNMNDKIHVTVVVALNKLRRKFHTQQPPM >RHN54468.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9839324:9840610:-1 gene:gene29518 transcript:rna29518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MGIVSWLIKNQVLVSGTNVFCQGSNKVVKRGSLFSDAIVCDCCHVTFTITGFESHAGCTRHRPSTSILLEDGRSLLDCQREALSSSDHKGNHSVVNENQKKNHSIVKENRKKNHCVVKEKSEANNDNVCSICGFGGDLALCDRCPSAFHLGCLGLNRVPIGEWFCPTCCCKICYRPKCKQECKDHKDNNILVCVQCEQKYHFGCVKAVGIEFNHMENWFCSVVCGNMFLCLKKLLGKPIKVADNLTWTLVKNVSSVDDKEFNQKESKLNMALGVLYEGFNPTFDALSGRELIKDVVFSRESEHNRLNFCGFYNVILEKMGEVISVATVRIYGQKVAEVVFVATKEQYRRQGMCHLLMDEIEKQLTRLGVEKLLLHSSEDAMNTWTRSFGFARMASKDKCQFIDHTFLEFQNSTMCLKALKTPKWPCIA >RHN40757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20406870:20408679:1 gene:gene46954 transcript:rna46954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MMMMNTTDTNFTNMYNNYSYSSLLPLSGTTTVSENLIPSQSPSNNPFITDYIRQKITTVKPDTIFAYNNNVVSFPQKRSRDSINNNNYPFISEDFSQYIHHQNTDIDNFISQHTEKFRVELEEKRKRETMMIMEAIENEVMKKMKAKEEEIQKIERMNMILEEKVKTLCMENQIWRELAQNNEATANALRNNLQQILMQQMENNNNGGEYSDGGDFAGAAAAGEDAESCCSNDEYSGWRKVAMTVQDKDEGTSNGRVMNNRLCRNCGEGESSVLIMPCRHLCLCSMCGAMVLTCPICDSVKSATLNVNLD >RHN53330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1018221:1021430:-1 gene:gene28226 transcript:rna28226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein RDM1 MKRPFPWDEDEIDVSDSSSSDDSPNETPNVATVLPSIHIHIPLPNPSSDVNSLDALTRRAAMYQDYMKHIPIPSSRGSLIPFTSWMGLGRSIKQLYGQPLHYLTNILLKQWDQLRIGSEDEYTPLDDIIHPRKAESTIWLMEEIHRQTSSHFHIADLWKKDPMYHGFIDSIFPKLEDTS >RHN62931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46811042:46812974:-1 gene:gene25569 transcript:rna25569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MSSGSGDNQNMHEQTGCFDPDTMAEGVENSPEDNNSPQTMPNQVVAGNSNNSIEENFRPSVEEFSYHNHHSPQHLEDVSTYTNGFTPSSENIAQQNLGLNIGNYYYNNMDNLLEQEVYQNSSWDPSAQDMDYANHQEYHQLHNHKQSYNPSTTQAPHYPSPDVLNLHFPRSSASSLLTNPSTICITNPTQKPPNFHYSMSFLGDLPIGSDNSSGSSVLYDPLFPLNLPAQSPALRELPQSLPRVYSMPTNSRNGSPFGGGDEMEGDGGMGVSQFNKVTAFVGKGKGKATEHLTTEKQRREQLKGRYKILRSLIPNSTKDDRASVVGDAIEYLRELIRTVNELKLLVEKKRHEIEICKRHKTEDYAAESCHMKPFGDPDGSIRTSWLQRKSKDSEVDVRIIDDDVTIKLFQRKKVNCLLFVSKVLDELQLELNHVAGGHVGEYCSFLFNSKVIEGSSVHASAIANRVIDVLDTQYAAVVPHNRM >RHN78548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16132978:16136131:-1 gene:gene2128 transcript:rna2128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MRGILSLKRAVLVRQHSEKLGLGVRLFSTQGASTASTPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWHRTKDLVIKGTDWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERLNLEKARKEAYAAGLLGKNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNAGTKLYCVSGHVNKPCTVEEEMSMPLKELIERHCGGVRGGWDNLLAIIPGGSSVPLIPKHVCDDVLMDYDALKAVGSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERMKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIKENAQRELLQATG >RHN62732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45494946:45496256:1 gene:gene25334 transcript:rna25334 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MNASSLTSNLSISNSKQFHHLSKQLRPSHVHFATISCRATKLVEETKNGSNFYKMLSVNPKSATMEDIKRAYRSMALQYHPDVCHDPSMKEESTKIFVRLNAAYETLSNPMLREQYDSELGLRNNMMNNNNIVNEEIWRSRWQEQVVELKKRSNRRMEQRGRSWGSTSRMRTQSMKDRN >RHN62480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43729072:43734903:-1 gene:gene25060 transcript:rna25060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MDNLIFKIRNLYTLVLLLFVLCFKPSFSSRSHRHYSGGDGRKLRSSGDNVSDLVTHLPGQPQVDFQHYAGYVTVNKTNGRALFYWFYEAMAKPEEKPLVLWLNGGPGCSSVGYGATQEIGPFLVDNNNDGQGLKFNNFSWNKEANMLFLESPVGVGFSYSNTTSDYQQLGDDFTANDAYNFLHNWFLKFPSYRSKTFYIAGESYAGKYVPELAELIHDRNKDPSLYIDLKGILLGNPETSDAEDWMGLVDYAWSHAVISDETHKTIKTSCDFNSSDPWKNEDCDQAVDEVLKQYNEIDIYSLYTSVCFASTARSNGHSMQTSTKRSSKMMPRMMGGYDPCLDDYAKAFYNRPDVQKALHASDGHNLKNWSICNNKIFTDWADSKPTVIPIYKKLISAGLRIWVYSGDTDGRVPVLSTRYSLSTLALPVTKPWSPWYHENEVSGWYEEYQGLTFATFRGAGHAVPCFKPSNSLAFFTSFLHGETPPSTK >RHN46467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31954450:31957023:1 gene:gene40955 transcript:rna40955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGSTRSDGSATHKERLRWTQQLHDLFVEAVNRLGGADRATPKGILKGMKAMGVSELKILHVKSHLQKYRISKLIPESTTRKIEKRSISDILPNFCSISALQLKEVLQMQAEVQNRMSDKVEVPKSLKLKIEAQGKYLARIGQSNQIRTITRKACKPFVGKATPLPSLSEESESFKTQSDEEHRTAKKKKVTNENVFPTGFELGSSTTSEFSNQTWNLSWSQLAEATYQSPLVPSFLL >RHN67291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25647263:25648474:-1 gene:gene15470 transcript:rna15470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MGGLGKTTLTKKVFDNNRVLKHFDCRLWITVSQPYDIEKLLQKMLHKFEVDPPPQMDRNLLLDKLRNYLQGKRYVVVFDDVWESNFWYDIEFAMIDNKNGCKILITTRNKDGVDACKKSSFVEVHELKGLTEEKSLELFNKKVFHDLSGYCPENLIDISSKIVEKCNGLPLAIVVIGGILACKDRNPIEWSKFSENINADQANENPVIRKTLGLSYHDLPCNLKSCFLYFGLYPKDYIVYSKTLTCQWIAEGFVKEKTGRTLKEVAEGYLIELIHRSLVQVDSISIDGRVRSCRVHDLVHEMILKKYEDLSFCKYITENNQLYLTGMIQRLSIAPNSGILMEGIENPHVRSVLVLTNKTSLESFVRRIPTTYRRLKVLALAHEVFLEIPNDLGSLNHLKYFGF >RHN75723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44570288:44570677:-1 gene:gene11962 transcript:rna11962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MCIIVLLLLSMSLIFVSNNANATKVYNESTFSTCERIFEYFTNSLPYLVRDLNEIYGFGIPLRRCCQHVDKLNILAQHRTNPRFICWCIQAMMKGTTLALDPSRIQDLPLMCNTTLTFPIYNGMDDCSN >RHN60867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31139056:31141038:1 gene:gene23255 transcript:rna23255 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNCDSNSLVHVWLSSGKGETHVCLPQKRFDVVWLSFTLPPSPQVCIIIIDFQ >RHN79476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29355960:29356085:-1 gene:gene3270 transcript:rna3270 gene_biotype:protein_coding transcript_biotype:protein_coding MCHWSLHFHQILALVSALFFVWHWSLHFVKILILVPLLTFC >RHN71445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:222412:226451:1 gene:gene7049 transcript:rna7049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor EF1B, gamma chain, S-crystallin MAALILHAPNNGNKNVYKTLIAAEYVGVQVQLTPDFQMGVSNKTPQFINMNPIGKVPVLETPDGPVFESNAIARYVARLGHNNLFGSSLIHQAQIDQWIDFSSLEIDANIMKLYLPRLGFATYFPPVEENAISSLKRALEALNSHLAHNTYLVGHSVTLADIITTSNLYIGFAKLLVKSFTSEFPHVERYFWTLVNQPNFRKILGQVKQTEAMPPIPSAKQQPKESKPKTKDEPKKVAKSEPEKPKVEVEEEAPKPKPKNPLDLLPPSKMILDDWKRLYSNTKRNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLIIGSEPPFKVKGLWLFRGQEIPKFIIDECYDMELYEWTKVDISDEVQKERASQMIEDAEPFEGEALLDAKCFK >RHN73567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17733582:17741372:1 gene:gene9392 transcript:rna9392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MHHIMTQPSLSGSSIFTYDVFISFRGIDTRNNFTRDLYDILDQNGIHTFFDEQEIQKGEEITPSLLQAIQQSRIFIVVFSNNYASSTFCLNELVMILDCSNTHRRLLLPVFYDVDPSQVRHQSGAYGEALKKHEERFSDDKDKVQKWRDSLCQAANVSGWHFQHGSQSEYQFIGNIVEEVTKKINRTPLHVADNPVALESPVLEVASLLRIGSDEGANMVGIYGTGGVGKSTLARAVYNNQISDQFDGVCFLDDIRENAINHGLVQLQETLLSEILCEKDIRVGNVSRGISIIKRRLQRKKVLLVLDDVDKAKQIQVLAGGHYWFGSGSKIIITTRDKHLLAIHEILNLYEVKQLNHEKSLELFNWHAFRNRKMDPCYNDISNRAVSYAHGLPLALEVIGSHLFGKRLDVWKSALDKYERILHEDIHEVLKVSYDDLDKDDKGIFLDIACFYNSYEMGYAKEMLYVHGFSAENGIQVLTDKSLIKIDGNGCVRMHDLVQDMGREIVRQESTLEPGKRSRLWSDDDIIHVLEENTGTDTVEVIIIDLYNDKEVQWSGTAFENMKNLKILIIRSARFSRGPKKLPNSLGVLDWSGYSSQSLPGDFNPKKLMMLSLHESCLISFKSLKVFESLSFLDFEGCKLLTELPSLSGLVNLGALCLDDCTNLIAVHKSVGFLNKLVLLSTQRCNQLELLVPNINLPSLETLDMRGCLRLKSFPEVLGVMENIRYVYLDQTSIDKLPFSIRNLVGLRQLFLRECASLTQLPDSIHILPKLEIITAYGCIGFRLFEDKEKVGSKVFPKAMLVYKEGSPVLLDMSSLNICPDNAIEVFCSSFIRMNADFISIGILEGRGNWYQHESNESSLHFWFQNKFPKIALCCAVKPPVCKGNMLLDFNFSVLINGTKQFTSSCNYMFSAEKITEQVLMCDLQCKVERLYLEHEWNQVDILYEFKYLMPCGSKRIMATHDRTTTRNPSWSFIYAFEDNKVGVKFLSEFEEREEQYRRNEAYSWFDSFFFARSLSPLVKKKRPVFPFFPVQRLEVPVIGIDLGTTYSCVGVWQHDRVHIIANDQGNRTTSSYVAFTDSECLIGDAAKNQVSSNPINTVFDAKRLIGRRFSDISVQSDMKLWPFKIISGPDDKPMIGVNYNGEDKQFTAEEISSMLLWKIRQIAEAYFGSPIRNAVVTVPVYFNNSQRQATKDAAVIAELNVMGIIDEPTASAIAYVLETTSVGEKNVLIFDLGGGTFDVSLLTIIKNYHGLFCEVKAISGDPHLGGEDFNNRMVNYFVQEFKRKNRKDISGDQRALMRLRTACEKAKRTLSFTLQTTIEIVCLFKGMDFFTIITRARFEELNMDLFMKCMETVEKLLRFARMDKTSVHDVVLVGGSTRIPKVQQLLQDFFNRKKLCDSINPDEVVAYGAAFYAAILSGCDSVGEKNVLIFDLGGGTFDASLLTFEESVVEVKAISGDTHLGGEDFNNRMVNHFV >RHN74182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29983107:29983697:1 gene:gene10193 transcript:rna10193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEWVHLIFHVILVFHLYTIEAQSLETTTISRDNLTIMFVGFLSALILMALFALLLRHCSSTSYSYNCNLITDLFRRGFNRRVINKCPILVHSTGKTTLECAICLNEFQHNDKIRLLPKCYHVFHRDCIDVWLLSHMNCPICRSKLIPNVPESEQQQEQEHSSTITVPGEVASADNNENVIVSPHSTDHSLAEHCAI >RHN70222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49793165:49796328:-1 gene:gene18780 transcript:rna18780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MAPSFDCVSSLLCTEEDSSVFDDAEYGGGGSVEVYGDSWRPRNDHQMTQQRYDGVPDELPLQSEECLVLMLEKECQQWPGADYLNKLRFGDLDFEARNEVIDWIQKVRAHFGFGPLCAYLSINYMDRFLSVYEFPKGRAWTMQLLAVACLSLAAKVEETAVPQPLDLQIGESKFVFEAKTIQRMELLVLSTLKWRMQAITPFSFIECFLSKIKDDDKSSLSSSISRSTQLISSTIKGLDFLEFKPSEIAAAVATCVVGETQAIDSSKSISTLIQYVEKGRLLKCVGKVQEMSLNSVFTGKDSSASSVPSVPQSPMGVLDTLCFSYKSDDTNAGGGGSCSSSHSSSPDAKRRKLNKTCGSELL >RHN60480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27232569:27237628:-1 gene:gene22795 transcript:rna22795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MATRVAARFASRRLFSSGSGKVLGEEEKAVENAYFKKAEQEKLEKLARKGPQPEATPAAGSGGSVTDAKPSASGHSDTSGPKVSSDKYRNYGVVAGTITIFAALGWYLKGTAKKPEVQED >RHN45897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26576811:26577618:1 gene:gene40321 transcript:rna40321 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWLGSFPNQSSPSIGGGGGGGGSSSLVTTSLLTFRWISSSSVSSLSLLALLVLEAALFENKI >RHN77629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8253097:8254113:-1 gene:gene1101 transcript:rna1101 gene_biotype:protein_coding transcript_biotype:protein_coding MMFKTFSRSFATRASQSHHCENHNFLSPTSFVGSWQAPKDPKEMLAQLRRDYAKQVKEVRKEYIREMEAMALEKQRKDDAQRESIRVANEERKKLKAQAAQLRAQERNIARQQFQQFLLKERVEKLEIWRMQAKKHEEKKAEKKELLHKRSSLWVDEAELEKEITNVVIATTYL >RHN51946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28921981:28926824:-1 gene:gene36523 transcript:rna36523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-exporting ATPase MEKIEERRGNGRKKREREKREHRACGLFVSVLLLLRLLSITPTDQLLSIIILRWAREVLTEVHFFPFNPVDKCSSLTYIDTDGNWHRVSKGAPEEIIDLCNVREDVRRRAISIIDKFAEHSLSSLAVGPSHGSGLTWHMRIKISLDTTRFSRTVVAENLPDDHSHQNLQKIFAIVESVKTIRICHPQEPNSSRPKGDFLISNKVKKICHILYYYVVLAVKGDASLE >RHN41729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32458413:32459006:1 gene:gene48081 transcript:rna48081 gene_biotype:protein_coding transcript_biotype:protein_coding MSISNTTRRYPSSESHEVDVLISYLFYHLCYSPLRTFIFLFRFWRSRIPTPWFIHVCILVMVILSTYFYMWPPYINLWIFYP >RHN65941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6738297:6739835:1 gene:gene13840 transcript:rna13840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MEPKSINEAIIDDSWIEAMKEELSQFERNKVWNLVPNNQDKTIIGTRWVFRNKLDEEGKVVRNKARLVAQGYNQQEGIDYDETFAPVARLEAIRILLAYAAHKSIKLFQMDVKSAFLNGFLNEEVYVSQPPGFIDKEKPNHVFKLTKALYGLKQAPRAWYDRLSTFLIENGFSRGKIDTTLFRKTQNTDLLIVQVYVDDIIFGATKIKMCEEFSNLMQSEFEMSMMGELGFFLGLQIKQHSNGIFISQEKYVKDILKKYKMNEAKIMSTPMRPSSSLDKDESGKSISEKEYRGMIGSLLYLTASRPDIVFAVGLCARFQTCAKESHLTAVKRIFRYLVAYCDADYAGDKVERKSTSGSCQFLGQALIGWSCRKQNTIALSTTEAEYVSAASCCSQILWVRNQLEDYSLRYTSVPIYCDNTSAINLSKNPIQHSRSKHIEIKHHFIRDHVQKKNIALSFVDTENQLADIFIKPLVEDRFNFLKEKLLIMKNPNKD >RHN42562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39332066:39345808:1 gene:gene49015 transcript:rna49015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MKISLQNYSNSKAGTIRGRTVVFTWFCVCVFVASIIVAGAEAAWFKPFNVTYDHRALIIDGHRRMLISAGIHYPRATPEMWPDLIAKAKEGGVDVIETYVFWNGHQPVKGQYNFEGRYDLVKFAKLVASNGLYFFLRIGPYACAEWNFGGFPVWLRDIPGIEFRTNNAPFKEEMKRFVSKVVNLMREEMLFSWQGGPIILLQIENEYGNLESSYGNEGKEYVKWAASMALSLGAGVPWVMCKQPDAPYDIIDTCNAYYCDGFKPNSRNKPIFWTENWDGWYTQWGERLPHRPVEDLAFAVARFFQRGGSLQNYYMYFGGTNFGRTAGGPLQITSYDYDAPIDEYGLLNEPKWGHLKDLHAALKLCEPALVAADSPTYIKLGSKQEAHVYQENVHREGLNLSISQISNKCSAFLANIDERKAATVTFRGQTYTLPPWSVSILPDCRSAIFNTAKVGAQTSVKLVGSNLPLTSNLLLSQQSIDHNGISHISKSWMTTKEPINIWINSSFTAEGIWEHLNVTKDQSDYLWYSTRIYVSDGDILFWKENAAHPKLAIDSVRDILRVFVNGQLIGNVVGHWVKAVQTLQFQPGYNDLTLLTQTVGLQNYGAFIEKDGAGIRGTIKITGFENGHIDLSKPLWTYQVGLQGEFLKFYNEESENAGWVELTPDAIPSTFTWYKTYFDVPGGNDPVALDLESMGKGQAWVNGHHIGRYWTRVSPKTGCQVCDYRGAYDSDKCTTNCGKPTQTLYHVPRSWLKASNNFLVILEETGGNPLGISVKLHSASIVCAQVSQSYYPPMQKLLNASLLGQQEVSSNDMIPEMNLRCRDGNIISSITFASFGTPGGSCQSFSRGNCHAPSSKSIVSKACLGKRSCSIKISSDVFGGDPCQDVVKTLSVEARCITITNGSFQL >RHN46965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36105402:36112650:-1 gene:gene41509 transcript:rna41509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSEVDMSVIKPEMMKPYIWLQTSDGSIQQVEQEIAMFCPLICQEIIQKGTGSSKNCAICLPEKVSPAMLSLVLDYCRFHQVPGRSNKERKSHDEKFIRMDTKRLCDLTSAADSLQLRPLVDLTSRTLARIIEGKSPEEIREIFHLPDDLTEEEKLEPLKNTTDDPRIRLLNRLYAKKRKELKERGRLKNVELEEEHVDERSVDDLLSFINGNDADSKVSKTSKNKKKNRRKKEQKKSSSLTEASKQKNKELNGHSAVSDIAGTSNMLTEDDAFAHREFGDDDDNIDDEIDPALQEKIDKEVEDFARRLNSDWPERMQEFLTGQERTSMLFATNGNGFLRRNTSLTALEGNESTQAYPSGQWLEQF >RHN60369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25899531:25903066:-1 gene:gene22674 transcript:rna22674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MASVPVNNNSFPEDTNNLELKLSPNKNMDATHSTIPFLVNIRSSNENQNSPISSHETKIEFDFFKDNNNDHQVVSASVTDNDHIHTDTSSLLELKLSLGPNPITTNTSSDQSMMDDGMSPNSKEKRTKSTEMAILQGELEEAKMENCRLKLMYDLLRTDYNYMRGRFERMMQDHRGKKLKGKEVFDGKFKEKTRTENGGVLGPRKFMDLGSTTNKVKEVKVQEVFDRQFGENMRIKNGGELMKRKFDDAGLATNKVKEVKGKEVFNGKCEKKKRLENGGELVPRKCKDLVLITNVETAMDHEASSSSMRKPRSQAIKSIDFASNEIVLSKNEDANVDNVEDSLTKARVCIKARSEETMITDGSQWRKYGQKLPKGNSCPKAYYRCSTAHGCPVRKHVQRCALDKTVVMTTYEGNHNHPLPAAAKEMAKTTSAAAKMLLSASTSSNDGQLNANLLTRTLLPCSSRIATISASAPYSTITIDYTQSPNTPQRNPDQFQTPLIPQSSANSSASLICQIPNQNQSKFSRLHMSKDAADPSQLLAIPNITAQTVNAAIAAVTSIIGDTQPNNNIVVADNNNCNNVNEGNSNGSNNNVTEAKCNRNITFGNNNDGKE >RHN49586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55798857:55799743:1 gene:gene44432 transcript:rna44432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGSGERSFKGFLLHGKKQEFRGVPKGCLAIKVGQGEDQQRFVVPVIYFNHPLFMQLLKEAEEEYGFDHKGAITIPCRVEEFRNIRGLIDREKSLHHNHHVGCFGF >RHN77442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6885201:6886266:-1 gene:gene894 transcript:rna894 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSSTTYLSAMHLSSVFLAAILLNLDSCSSFLFSLYARNRTHSTSNFMGWAYLL >RHN79416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28618309:28621804:-1 gene:gene3205 transcript:rna3205 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSGSFSRFRNSDQVSPDNHHHVIEMSSPNTAQTGVHLDKFFEEVEGVKEELKELDRLYESLRVSHERSKTLHSAKAVKDIRSKMDADVALALKKAKLVKLRLEALDRSNEASRSLPGAGPGSSSDRTRTSVVSGLRKKLKDSMDSFNNLRQQISSEYRETVQRRYYTVTGENPDDKTVDLLISTGESETFLQKAIQQQGRANIMDTIQEIQERHDTVKEIERNLMELHQVFMDMSVLVQSQGEQLDNIESHVARANSYVRGGVQQLHVARKHQMNTRKWTCIAIIILLIIILIIVLPIVLKK >RHN74990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38520404:38521658:1 gene:gene11135 transcript:rna11135 gene_biotype:protein_coding transcript_biotype:protein_coding MANQIITLVIPKTLTPFFSRSLSAISFLRGLRPLAAAAVTSRHILLPSFRTLSTSPTTSSLNDQNPNCYNRPPKHTVVLNGCNFEHWLVVMWKPDGDPSRDEIIDGYIKTFVEVIGKHYFAFGALCSEELRDKLKELPKVRWVLPDSYLNVEEKDYGGNFSCTIT >RHN39320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6003653:6005475:-1 gene:gene45330 transcript:rna45330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGHHSCCNKQKVKRGLWSPEEDEKLIKYITTYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSHQEVALIVELHNILGNRWAQIAKHLPGRTDNEVKNFWNSTIKKKILCHDIIPSFTTFSEIHTPYHNIGSMENSFPLNANPNVILNFNHYNHQDYLYHSTSSPNLQGNFHQIDTKVDINDHYNANFPHIQNPIPQNIVQPISDPFPYEDGVALHLNPVNQYSKSDTTPLNKLMNPIELMQQYDQYHDLVELDATMPELVNHQSLEDYACNILDSSNSQEHEAPAKIQCYTYGLIHPQDQNVEANPLDYNIDALMCMSSLSSSSSHIVTNPIIPLGWES >RHN51394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17160901:17162055:1 gene:gene35824 transcript:rna35824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 13S-lipoxygenase MHPIYKLLHPHLRYTLQINALGREILISSYGVIESTFFTKKYSMELSSVAYDKLWQFDLQGLPNDLLHRGMAVEDPSAQHGLKLAIEVYLPNILLV >RHN47020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36510268:36511035:1 gene:gene41566 transcript:rna41566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zingipain MDLRTNPLRIPNPMLGSRELQERHLGIKISLIFLPTYTGGNVEQLLQSRIKEDVLRCWAFSTVAAIEGIQQITSGNLVSFSEQQLVDCVASNWTNGCNGGNKIDAFKFNLENGGIATEASYPYKGVKGNSKKVHHQVQIKGYEQVPKNSEDSLLKVVANQPVSVNIDMRGMLKFYSSGIFTGECGTKPNHAVTIVGYGTSNDCTKYCSVL >RHN49011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51746832:51751331:-1 gene:gene43790 transcript:rna43790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MSVHTKHRLITYSQELVDGQPIFVSSNCLPVKAVKYDPAGHSFHAAALKLLGVTKQDNKDVDKKNVVEDKEHVYLPSDSYSNKSKKKSGDGDKQQDHYALLGLSHLRYLATEDQIRKSYHKTSLRFHPDKQAAAVLSEQTEAAKEAKKIEIDVHFKAIQEAYAVLVDPVKRRIYDSTDEFDDEIPTDCDPQDFYKVFGPAFMRNGRWSVNQPIPSLGDDKSSIKEVDSFYNFWYSFKSWREFPQADEFDLEQAESRDHKRWMERQNAKLSEKARKEEYARIRTLVDNAYKRDPRILRRKEEAKAEKKRKKESKYMEKRLEEEEAARIAEEEKQRKTEEDKKAAEAALQQKKVKEKEKKLLRKERTRLRTLSRPILSQHILDIAEDDVEELCMSFDIEQLRGLCEKMEGKEVLEQAEALRDALSCKKDVVDEKSNQQNGSVKVNGSSSSLAGYVEKKEKPWTKEEIELLRKGIQKFPKGTSRRWEVVSEYIGTGRSVEEIMKATKTVLLQKPDTAKAFDTFLEKRKPAAQSIASPLSTREELEGVSIPAATTENSDAKTTTTIPTPTMTTTTIPTPVPTATSSINSEDSQGVSEQEAWSAVQERALVQALKTFPKEANQRWERVAAAVPGKTVIQCKKKFAVMKENFRNKKTAV >RHN69412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43256399:43260680:1 gene:gene17881 transcript:rna17881 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKNQFKEAILADKLAKLNSTQQCIETLSHWCIFHRSNAEQVVATWKNQFDKSDMIHRIPLLWLANDILQNSKRNGKEFVIEFWKVLPAALKDVIAKDDDRGKRAVSRLFEVWEQRNVFGSRVPNLKDAMLGEGSPPPLEFGKKRPRSARIMKRDSVKILKRDSRSIKSKLSIGGTTEKIVSAFHLVLGEQANEDAEMSKCKSAVQRVRKIEKDVDIACATAKDPTRKTLRKELEEQQSLLKHCIEKLKLVEENRVALVSQLKEALHEQESDLENVRTQMQVAQAQIEEASNMQKRLDNEDSSQNASIKTSQSEAATKKSAAAIAAEVADKLTASSSSQLIMSSVLSTFAAEEAKSVGLTSESTSKPMISMPSSDAHVYMSGQQMTAVPNHSYPSVLVTQPTMHNAAPALQGQYPLYCNPSSQQYVQSNGGQYVQSTGGVISPYGYGSIPPLQPVPPPPPFANQTPQITQQQPLPTFTNQTLHITQQQPLPTFTNQTLQITQQQPVPLTQYAPAPPSFRPLQPSGMMYYGNH >RHN78120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12066723:12067504:-1 gene:gene1641 transcript:rna1641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKVSASGGSGIGKDATFCSWKSEEDPSFGNYTMSVDSEASPHIVIMEGEKRRWRSGYWDGRVFTALENRDKIRFQLGYDGYERQFRWNEEEKECNVLQSELNKKCEFYNSCGSFAICDMSDSSLCKCIKGFEPKDVKSWDSGNWSKGCKRMIPLKGERGSNSFGGEDGFLVQRILKLPDFARLVSAADSKDCEAMPSDHLVWCLHHCLLVKFVTDSELLEKAETDFQWLERAGCCFLEEIQVSIKG >RHN58008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43084221:43090595:1 gene:gene33613 transcript:rna33613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribosylaminoimidazolecarboxamide formyltransferase, IMP cyclohydrolase MFGLATSSTRVLCATLYSPPPPPPSSSSSLTTKHHFSSHHLPTTWVSSSSQRFRCIPIKPIAGAHTMSAPKSASSTHGSKQALISLSDKKDLAFVGNGLQELGFTIVSTGGTASALESAGVAVTKVEQLTQFPEMLDGRVKTLHPNIHGGILARRDQKHHIEALSTHGIGTFDVVVVNLYPFYDKVTSTGGIEFEDGIENIDIGGPAMIRAAAKNHKDVLVVVDSEDYPALLEFLKGNEDEQFRLKLAWKAFQHVASYDSAVSEWLWKQSVGVTDKFPPSLTVPLSLKSSLRYGENPHQKAAFYVDKRLAEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVCEFRNPTCVVVKHTNPCGVASRDDILEAYRLAVKADPVSAFGGIVAFNVEVDEVLAKEIREFRSPTDGETRMFYEIVVAPSYTEKGLEILRGKSKTLRILEAKKNEAGKLSLRQVGGGWLAQDSDDLTPSDIKFNVVSEKTPQDGELRDAEFAWLCVKHVKSNAIVIAKDNCMLGMGSGQPNRVESLRIAMRKAGADVKGAALASDAFFPFAWKDAVEEACESGIGVIAEPGGSIRDQDAVDCCNKYGVSLLFTNVRHFRH >RHN53151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42336354:42338718:1 gene:gene37921 transcript:rna37921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MSGSVRRIAKTEGLLGFYRGNGASVARIIPYVDLQYMSYEEYRRLFVQAFPNVWKGPTLDLMEGSLSGGTAVLFTYPLDLIRNKLAYQQQYCISLLCCYCRTLMLIMATAPTLFGIFPYAGLKFYFYEEMKRHVPEDYKKSIMAKLTCGSVAGLLGQTFTYFLEVVRRQMQVQNLTASEEAELKGTMRSMVLIAQKQGWKTLFSGLSINYIKVVPSAAIGFTVYDTMKSYLRVPSRDEVD >RHN41355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29391863:29392640:1 gene:gene47670 transcript:rna47670 gene_biotype:protein_coding transcript_biotype:protein_coding MKEINCKFVQINIVIEISMQFFFTNEDDLLHISQQPGCVQITRHQLTLKLD >RHN67798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30358881:30360025:-1 gene:gene16034 transcript:rna16034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative taxadien-5-alpha-ol O-acetyltransferase MLKLATPTISSHPYISYPCPCELVLYFYKSILPSHAHFSSFSIMAYQKARLNLKLEMKDIVLVKPSKSIPSCILSLSTLDNIYINNNLCHFVHVYRSSTIHDSDSGFHPSHVFKEALSKALDYYYPLAGILVKKKFYND >RHN56578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32014944:32016172:-1 gene:gene32004 transcript:rna32004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MCHLGWGVGGEAWTWRRRLFVWEEGLFGDLILLLQNVNLQVAKVDKWLWTLESSGVFTVRSAYNYLTLQPPLVLSVDACFLWHRDIPLKVVLFAWRLFRDRLPTKDNLFRRGVINQTSRLCVAGCGSLETSNHLFLHCNFFGSVWHFIHRWLGLSVASPFQVSDHFNQFSLGGGFTKAHRSILQVIWYAVVWEIWKERNNMLFNVKECSVNQVVDNIKSLAFTWLKAKYSSLPLNYHGWWISPFTIMGIG >RHN68862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39178227:39181995:-1 gene:gene17274 transcript:rna17274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MKQTQTKDRNMGGGLVGDNIGLNEEVIKKTCSLSFKAHNSENEPYISELFRISSDLTEYLVLSFPGSWVETDWFVRKSFGETKIDLAKFPLLKSVGNDETALVNQAFFNRFERLLKLSSFVAEVKKAIAEGKQVVFTGHSSGAVLAILATFWALEEYLYPTKIQIQHKSPMCVTFGSPLVGNHIFSHASNREKWSHHFIHFVMQYDIVPRIFLAPFSSIEKLISPVLQLLTPNNNSLTQDPIRDSVTCEFYSTVMRNAATVTSHVACNLMGSTNLLLETMTNFVELSPYRPFGTYIFCNGNGQMIVVKNSDAVLQLMFHIAQLRDLAQLSEVANKSILQHLAYEAELEESLGMQNVVYLNKLDDLPLSSGEGHDTDIAAALDSLGLSARARLCLRAAGELEKQKERNEEKIKKEFQDKAVPSMRDLEEYKSTCEINNGKGYYDAFKVQKEPKDFQANVKRLVLAGVWDEIIEMLKRYELPDEFEGKKEWIEHGTRFRRLVEPLDIANYHRHLKNEDTGPYMNKARPKRYRYTQRWLEHANRSPKAEITESTFWAEVEELCSWTSNNKPFEDIKERVLKLEQDIKMWTDKEVLTKDVLSKDPTFIKLWETLPQEHKATSCISTLFTVKE >RHN61413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35445189:35445467:-1 gene:gene23878 transcript:rna23878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFHFNSILRGSVTARQATSKSVEVRKGYVAVYVGEKLVRFVVPVSYLNQPSFQDLLSQSEEEFGYDHPMGGLTIPCTEDVFQHIISSLNGL >RHN50921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11847265:11847495:1 gene:gene35274 transcript:rna35274 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MESVENCIADSGINKSNFHDVVLVGGSTRIVKVQGLLVDFFEINEHSRTKLCKSINADEAVAYGACNTPFSQYKNF >RHN72804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10834438:10839491:-1 gene:gene8563 transcript:rna8563 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQHHKLPQPLSSYICNGPKGSSSSSSSQFKRVGSTSERSNPKSLVSEDSRMDESSIITAVIGILSGYIGRYVRDDNFRRIIREKCNSFLDRVRTRKRRKDLGDDDDEIFVNIEFCMKKIDKLIEDQGTKKQVTMMKSLRNSIELLTKISSLNSSKSYLSSCAHLYLAIAYKLHKNDRVSSKHLLQVFCYSPNLARTYLLPDLWDHLFLPHLLHLKIWYTSEFEFLSNEFHGEKEKKVKVLNKVYNEKMDSGTYLFAMYYKQWLKVSGASELPLPIVPLPSRPSFRSSRRMSSDSSISNTSINPNLYKEVFGLKQEQQKSTNLRDQTGILTPRKGLEIDKKMYGDDYRCSSVQKEDRKSFGRSSNQIDKNKAHQRLDYFQCLSCRFIPTETMSKINYIKSKNASSSVLSSDLVEAITTICSSDILTECEFAIRVVTKAWLNSPGDPLIEEALTQSIVVQGILEVLFVSTEDEILELIISILAELITRNDSIRQIILNSDPQLELFVRLLRSTSLFLKASVLLYLSKPMAKQMISSEWVPLILRVLEFGDKLQTLFTVQCSPQVAAFYILDQLLNGFDEDKNLENARQVLSLGGLTLLIKRIDDGEIHEKENSALIISCCLRAEGSCRSYLAENINKSSLLELIVLGRKQNSSGYALSLLFELLHLDRRTKILKFLRGLKDGWSGLNTMHIFFIYLQKAPLEERPLVAVILLMLDLMEDKHLKGSIYREEAIEAIVAALNCKMCDDRVQQQSAKALLLLGGHFSYAGESLMENLLLQKAGFQEFCLEDSFPPCKEIVLYDSIHKEEEEGESWQKRAACVLFKSGNKRLLSALANSIANGIPSLARASLTTISWMCSYLHLVEDTKLPQMAFSILTPQLLQSLNYDNDVEERVLSSYSLLYLTKYSGCDSIFPSLNKDSLTHLRNLSLVTWTANELISIFSKRSIQLKQ >RHN55575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19626148:19631079:1 gene:gene30776 transcript:rna30776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentacotripeptide-repeat region of PROPR MAKKLMKLNVVPNRTRSFSSETPSLYSFLQPSLFKFNLHNDNDNDNEPHNLPTSPPQSLSLTHQQLTTLQTTLHKSLITSQTDEAWKSFKTLTTHHSFPPKPLTNSLLTHLSSLPDNILNLKRAFASTLFLIQKHPNLLEFNTIHAILLSIKSANTAPPAFSLVRSMFKYRFFIPFHLWGGVLVDIARNNDNLAAFLPLFEENCRVAFDEKLEFMIPDVASCNAALEACCFCLQSVTDANRVVEIMSKLGVKPDVFSFGFLAYLYALKGLQDKIDELKVLMVGFGYSKNNKCFYSNLISGYVKSANLVSVESTILSSLNDRDDEGEVWSFDRDTFCLVVKEYLHKGNIKGLANLIIEAQKLESSNIKVDESIGFGIVNACVSIGLSDKAHNILDEMNALGGSVGLGVYVPILKAYCKENRTAEASLLVTEISSSGLKLDVETYDALIETSMSGQDFQSVFSLFRDMRGARVLDLKGSYLTIMTGLMENHRPELMAAFLDEVVEDPRIEVGTHDWNSIIHAFCKAGRLEDARRTFRRMTFLQFEPNDQTYLSLINGYVSAEKYFDVMMLWNEVKRKLAGDGPKGIKFDQNLVDAFLYAMVKGGFFDAVMQVVEKSKEMKIFVDKWRYKQAFMETHKKLKVARLRKKNVRKMEALIAFKNWAGLNA >RHN74329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31891217:31895771:1 gene:gene10370 transcript:rna10370 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLSKLLQNSTSTNTHRQPLLQVTEIIPRLSEDTFQPATGYFLKLSDSLHSAYVSVSDSDAELIRSDKLQLGQFVYVTRFDNDASSSVPRVSGLKTISKRRACVGNPVDLVSTDSLRLATAKLNGNVKKKKKINGNKDNNVVVNVEMRRLSLDSSTRRVWDHASLVSKTKSSSSPSSNSSSRFTFSKSASTSPNVIDKKVSMKIDSHSPLKSPSTSIVSPLKNKNENLCPKTTGTPPRKSATTIPIPKSPCAGNGSVPSKLVKVPLKFKTWYDKSGSWDNLPPPICNLGKQVVTHRNVAFLAAVRSLEEASAADTVLQCMCMFAELCESRQTLSAGLLVKQFLELHLSLQRVTVVFDSLLSTPPETKPTGHTTLQSLVEDACNVPTRKNATFWVQAALYTNLSKLNLYKTQEKSKVLNGEKSHFVVIENGNSHEERNAEESTLQNKQSRVAQANPLQNSTGKRISSSKRNLLVAKNKDTEKRDQSKESELKVAVSLSEKLLAASREWFLKYLEESIGNEFGLKNEGSSEAACLLGQLREVNHWLDNLVDGGKVDDRVEKLRKNLYRFLLEHVNSAVASS >RHN40083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13077404:13078672:1 gene:gene46179 transcript:rna46179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MADATDKKKVSTPSHIHDDIALSILSKLPLKSLTRFTCAKKPWSLLFQNPHFMNLFRTNFISKHNEEEDNKNLLLDYDTLCTFSGESFENRVRLELPPPLHFQREGCPFVRCWCSASVNGILCLSQGFIDPMTIVLWNPANGEFKIVPCSHRPYKNIEFNTQPYSFGYDRVRDDYKIIRMVNFHIFFEGAWVFLPEKNSPFWEMDLDFDDDDDFWKGVPINMYDPDYWEIYSLRSNSWRKLDGGDIPMPDSWEERCQVNLNEFCYWLNLDNDMGSFDFINEIFFVTNLPSFDFSKETSLEGVSSIYDFNRRKLEKKLVVLNGSVAFICTVLMTSFSHIWVLGEHGVKESWTKLFVVGPLPDITRPIAVGIKSVLFYIKEDTELACFDLSTQRIEEIWVNEEPQRLQIITYKKNLLSFGVMNN >RHN76774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1211851:1213819:-1 gene:gene150 transcript:rna150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative helicase, P-loop containing nucleoside triphosphate hydrolase MVTLLKEDPTQKIVVFCEFIETLVRLYFALRSNNTKGYCCFHSMKGTINTHHIHQFQNKDDVNILLVDLRMMDNFESFNLSCVSKVYLMNACLKTMEQKIVSLFTKQKTNQVKFVSVLTENSVEDKLIGDKVEMDVFGIFDGGADTIYPTIKLV >RHN44621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6910039:6914935:1 gene:gene38760 transcript:rna38760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Yif1 family protein MYNNVRPQLGVPQFQPQLPTSSQPNVFGNAFNAAGSGLIRGGLGAYGEKIFGSSSEYVQSNITRYFSDPQYYFQVNDHYVKNKLKVVLFPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPLMAFGTYVVLAGISLGLRGKFSPEALNWLFIKGMVGWCMQTALLKLTLLSLGSGEAPLLDIVAYAGYTFAGMSLAALGKIISGYSYYVLMPWFCLCMGIFLVKTMKRVLFAEVRSYDSSKHHYLLLFIALAQFPLFMWLGNITVNWFI >RHN60408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26394313:26398244:-1 gene:gene22718 transcript:rna22718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MEKGNRSEDLVETLVAKESNYDVGVTPSPTNPASLDSIENSSSADDDWEAIADREPDKLVPMVSSDILTGVSKIKLEDSKSPTPKRRGRGTFSYDKDKLYSDRLLDGSIIDDVEDDETNRGSEDKKDTTNLQYGTNHVLLLSHFSPSTRTTDLEKVFEDIKNCDFVIRWVNDTVALAVFRTPAEALQAQSNVRCSFNMSIGILDEDDAILSSLKANDLKPPQQRPKTSATAAQRMIANSMGIKLPSPSHVTGSREHKRHEDTRRERIVNRQKLKDEAWGDD >RHN50075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3895362:3899984:-1 gene:gene34327 transcript:rna34327 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIQVAASNPAIYVRKNYQPHHFLSTPKGLSMNANPLSRTFLIPRAKLSSGLYCVRQSATTAPVLKVYPSNLTQSVPVLKSQKPRHVCLAGGKGMMDNSEDSQRKSLEEAMKSLQEKIQKGEYSGGSGSKPPGGRGRGGGGGNSDGSEEGSTGGMSDETAQIVFATIGFMFVYIYVINGVELTKLARDFIKYLLGGTQSVRLKRASYKCSRFYKKITGQNEVDEDGLETGPLRWNIADFYRDVLRNYMKPNSNE >RHN69509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44058310:44059362:1 gene:gene17988 transcript:rna17988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK family MYAYLALKKRSLVKLKEHFFQQNGGLLLQQQIAKHGGSAGTPKVFTIEELNKATNNFNESRILGQGGQGTVYKGVLQDNRIVAIKKSTISDQNQIEPFINEVIILSQINHRNVVQLLGCCLETEVPLLVYEFISNGTVYDHLHDQNQSIKLTWNTRLRIATETAGVLAYLHSAASTPIIHRDIKSTNILLDGNLIAKVSDFGASKIVPLDHTQINTLVQGTLGYLDPEYFQTSMLTEKSDVYSFGVVLAELLTGKKALCFSRPDVERSLAMHFVSSMKEDQLLHILDSSIDWEDVDHLKEVAIIAERCLKMNSEERPTMKEVAVELEGILVIEEQPLGSESSNETSSIKC >RHN57086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36342121:36343643:-1 gene:gene32582 transcript:rna32582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative receptor protein-tyrosine kinase MMSTVGSMKLVGTIYVLLQLELLLSNYCGVVVAKHVGLGCIEKERHGLLQLKAGLRDCCEWKGVVCSNQTGHVEVLDVNGDQFGPFRGEINASLIELRYLKYLNLGLNQIRNNVLEGEIPHQLGNLSHLQHLDLSSNHLVGAIPHQLGSLLNLQVFHLEYNLGLKFHDKNPAGGEWLSNLTLLTHLDLSWSQKYLEVVDISDAGITDAVPVWFWTQGTDIRFLNISYNNITGQIPNLPVRFPEFFQSILD >RHN39488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7477883:7484290:-1 gene:gene45514 transcript:rna45514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyltransferase A, auxiliary subunit MSSKESCRSELRIAIRQLTDRCLYSSSKWAAEQLVGIEQDPSKFTPSNTRFQRGSSSIRRKYRTHEITPTTTPIAGVSYVATPVMEEDEFVDGDFYLLAKSYFDCREYRRAAHVLRDQIGRKSVFLRSYALYLAGEKRKEEELIELDGPLGKSDAVNQEFVSLERELSTLRKNDKVDPFLLYLYGLILKQKGNDTLARTVLVESVNSYPWNWNAWTELQSLCTTVDSLNSLNLNSHWMKEFFLASAYQELRMHNESLSKYEYLLGTFGFSNYIQAQIAKVQYSLREFEQAEAIFEDLLRTDPYRVEDLDVYSNVLYAKECFSALSHLAHRVFTTDKYRPESCCIIGNYYSLKGQHEKSVVYFRRALKLNKNYLSAWTLMGHEFIEMKNTPAAVDAYRRAVDIDPCDYRAWYGLGQAYEIMSMPFYSLHYFKKSVFLQPNDSRLWIAMARCYETDQLRMLDKAIKCYRRAANYNNSEAIALHQLAKLHSELGRPEEAAFYYKKELESMESEERDGPHMVEGLLYLANYYKSIKRFEEAEVYCTRLLDYTGPERETAKSLLRGMRSTQSSFPDAEHFPS >RHN72033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4532837:4544446:1 gene:gene7700 transcript:rna7700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MAQFWKPGTEKPEAQVVDDEEGGVLFIPGASSFSSSSSSGYGYASIDKQRQRLPVYKYRNAILYLVETHSTTIIVGETGSGKTTQIPQYLIEAGWASGGRLIACTQPRRLAVQAVSSRVAQEMGVKLGDQVGYTIRFEDVTNQDETVLKFVTDGVLLREMMNDPLLTKYSVVMVDEAHERSISTDILLGLLKKIQKRRPELRLIIASATIEAKSMADFFRPRKKRREPENDVNGLKVEPAILSVEGRGFNVQINFAEEPVQDYVQAAVSTVLLIHERESTGDVLVFLTGQDDIDAAVHLFNEEIQNNRKHSSGLVVLPLYSGLPRADQELVFSPAPRGKRKVVISTNIAETSLTLEGIVYVVDSGFSKQRFYNPISDIENLVVAPISRASARQRAGRAGRVRPGKCYRLYTEEFFLNHMSNEGIPEIQRSNLVSCVIQLKALGIDNILGFDWPASPSPEAMIRALEVLYSLGILDDDAKLTSPTGFQVAEIPLDPMISKMIIASSQLGCSEEIITIAAALSVQSIWISGRGIQKESDEAKLRFAAAEGDHVTFLNVYKGFHQSRKSSQWCHKNFVNYHAMKKVLEVREQLRRIAQRIGLVLKSCESDMQVVKKAVIAGFFANACRLEPYSPDGMYKTLRGSEEVYIHPSSVLFRVNPKWVIFNSLVSTDRKYMRNVMTIDPSWLLEAAPHFYKLQQPNLLH >RHN81518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46660082:46666041:-1 gene:gene5575 transcript:rna5575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 23S rRNA pseudouridine(1911/1915/1917) synthase MASPKKIGIPWPEFNDGLSYDDVVRPSDAGLTLIEFYSTKYKSSAPLQGWLQRIKSDQITLDARVVTDPNTILRVGSKLVYHRIPWKEPDAPHTIEVLYEDDDIIALNKPSGLQVLPGGLFQQRTVLTQLQWETNNKSTIEAHQKPHPVPVHRLGRGTSGILLCAKTKLARARLASYFADGTSRIEGKGHTNQELGKIAKIYRALVSGIINNDQVTINQPIGMVKYPGVAKGLYVASQSGKPALSVVHVLERNVKENSTLVQVKIQSGRPHQIRIHLSFIGHPLLGDPLYAVGGQPKCLDCDFLDESFAEDGGYTRPSKPVPGDCGYHLHAHRLFLSHPITNEIIEMIAPLPSILQTHEEAIAQAQQTTA >RHN40047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12750290:12750944:1 gene:gene46139 transcript:rna46139 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDTSTKDSYETYCNGGKFPPPSLPTSRGMLPLCRCLPMKPMILYIATTLENQGRRFWRCRDWQKKYKTCNEWIWDDELGPATRPMTQCYSAVSNSKEADSAPLVREEGNAHLIREDESAPVNGPVIREAESAKSGRYTNQHCNCGELWEKKKDKWKMKVLAEKKKVELLKWIIIASWLIFAVFFVKK >RHN65509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2791287:2791877:1 gene:gene13355 transcript:rna13355 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRLYLHDTKEIGMLKYEKYMLSLKIGYLDNKISRLNERCGRYEVDQPGINCEEKKEVIHIDYNTQLQNILDEFLVSNQVSFEKFDVQCGDLVEKAHESQRKLVKMETECHKVMLEENPKVRSVDIGPKSEPTEVKEITRVQMDARPSLRWESLNSKRSTVSAWEYMIIYAKFMEFLPNKRKKKDDIFFLSYLPP >RHN58518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2423942:2426331:-1 gene:gene20438 transcript:rna20438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MLRLQHQMVLSSSCSFFLWLLILSATFSLVLGNKAFTGTYGVNYGRVADNLPPPESVVTLLKAAKIKNVRIYDVNPQVLSAFKGSGIGLSVCLPNELLTDIGVGEDRAMNWIKDNVQPYLPGTKIVGIAIGNEILGGGNIEVWEALLPAAKNIYSALDRLGLAKQIEVSTPHSEAVFANSYPPSSCTFRDDIVPYMKPLLEFFSQIGTPFYINAYPFLAYKNDPQHIDINYALFKKNPGIYDPKSKLHYDNMFDAQVDAAYFALEKFGFDKMEVIVSETGWASHGDDNEAGATVKNAKTYNKNMRKRLLKRKGTPHRPKMLVRVYIFALFNENLKPGPGSERHFGLFNHDGSIAYDIGFTGLKPSSATSSFLSFKGIGSSYVMVSSSCIGILLLLIAL >RHN38587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:496257:501050:1 gene:gene44542 transcript:rna44542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MPLSDLETVCIREPTSSARCGVGISRSKRDLAPADYLFKIESYSLSMDTKMEKYESNAFQAGGHTWKLVLYPSGNSKRNGKGHVSLYLAIADTEKLSRGWEVYVNFKLFVLDYNCNNYLTIQDADGVVRKFNEMKSEWGFDQLISLEVLFDPCNGYLVEDSCVFGAEVLVIGHSAKSESLSMAVNTLPVKPPIGPPVEPPTYGSLTWRLQNLLTWAASDVVISKTFTVGDREWNLQVTPKGDSADGIRGKYLSLFLQLTDCERFPSNTTVNASFKLKILDQLHNQHYEKTENSSFCASHKQRGYSKFISLSELYEVKNGYFKDDDIILEVEILKMAIIMEPLAYENFTWKLENLSKFDWLKRNHSGPERHWKFEVHTKGVEAVSKKKGVDTDSIVGKYLALFVNLSETKKFQSNRTINLTLKCKILDQLRNKYYEKTENYSLLISDTQWLLSNVISLSELNLAENGYIKDDAIIMEVEISNISMV >RHN46554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32709896:32715768:1 gene:gene41052 transcript:rna41052 gene_biotype:protein_coding transcript_biotype:protein_coding MADDTPEHEPDSSQPLTLHNLSSIRSLLINPSTPNTTLSQILKTLTNSQNPSHHTLTLLSHPSLSHLQTTTTVDSLASISQLPSSKPFVLDDERFVSLCFGPSISGRVWMLRNAGLGFNVRPALLFTVLLGFTNDPYPNVRAASLEGLVRLSECGEFNDVSMINGCYQRGVQLLNDMEDDVRLAAVRVVTSWGLMLSAFNADMKAYWGNDVFAKLCSMARDMSMKVRVEAFNGLAKMEIVSKDFLLQSLSKKVLGNGKQKETLDQSTSEQFAKLASNVAGALVHGLEDEFFEVRKSACQSLHRLTILSVEFARETLDLLMDMLNDDSMVVRLQTLETMHRMAINSCLKLQEKHLHMFLGALLDNSREVRCAERKILKIVKLNNLAMFKSSIDRLLENLDRYAQDEADVFSVCSNLGRNHKKFVCSIIKETFEEVEASFDGNVEFKSGRIAALLIISISAPLFNEDVCSIPPVMFSYAVTLLGRIYCAFSDIMDRDALLAYLCEKSRPPSYSTSNINHGEGDQQLPLIEGDTPNCASNGVIDSTIASEIMKEQKEVANYQVEQHQSEDSEVTTVVNYILAKFPDMWQMTETGLTNEVLRCLKDELATLKFDSLGSDDALAFTLLYLRIIKLLVEVWEHLSLGKGSYSHGMGELEFKLRKLDRRVKELMSKFVGFSAEEELNILEIILVTYALRLCKVETICVNLAFKRLTSIYSCVESILKERSDSPTNFVVELRKLLHECQTTSINGASCSPLQFDRCLKLFSLKKFVFHGTIRQLKAELRISNNDSLHPFPFVSGLPVSIPCEITLHNIISKCKLWLRMSLDDGLVQYIFLDLDHLVGSGDVRNFVFAAPFYRTPKANSFTLKVCISLECLFENVCPVQRYGGPKYELVSLCKEKQVYFSDVNKD >RHN62751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45633404:45633850:1 gene:gene25356 transcript:rna25356 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNDGIYEIDLKVFLNLRCTLGLFKSGKVKPKLHCDMRIPLISHNVTSSSLDNGFEATNCDWIYKWRLFH >RHN70079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48535852:48538783:-1 gene:gene18623 transcript:rna18623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MLSRMNSNNNGWMEEREDENNTTNPNTPSSASPAFIHNHNNKQQDFSSFIKPMLGEIDEDDEQWYIRDMSFSSAPNLDNVLLHTINDSVSSSCSPSSSVFNTLLHPEPTPSNSNHLQYFLPPQNHKPINPFDLPCELGFLDHQASTMAASSFMGSFVDFASKTPLTATATTTQLLSLPHLPQINNAFMGFQNSQEGSGKSLFLRPLDSLPSSGTQPTLFQKRAALRKNMGKCEIGEGSDKKRKFSGGDEIDDLSFDGSGLNYDSDDLTESNGKNIGNGSNGNSTVTDQKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNELESTPAGSSLTPASSLHPLTPTPSSLPGRIKEELCPSSLPSPNGQPARVEVRLREGRAVNIHMFCTRKPGLLLSTMRALDNLGLDIQQAVISCFNGFAMDIFRAECKEGQDVHPEQIKAVLLDSAGFNGMI >RHN79011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21048142:21052843:-1 gene:gene2689 transcript:rna2689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MCNLTSLIVDNCVGLKYLFSSTLVESFMNLKHLEISNCPIMEDIITKEDRNNAVKEVHFLKLEKIVLKDMDSLKTIWHRQFETSKMLEVNNCKKIVVVFPSSMQNTYNELEKLEVRNCDFVEEIFELNLNENNSEEVMTQLKEVTIDGLWNLEKIWSGDSEGIISFQNLINVQVVNCDSLEYLLPFSVATRCSHLKELSIKSCWNMKEIVAEENESSVNAAPIFEFNQLTTLLLWYLEEFNGFYAGNHTLLCPSLRKVDVYNCTKLNLFRTHSTRSSNFQDDKHSVLKQQPLFIAEEVIPNLEFLRMEQADADMLLQTQNTSALFCKMTSIGFNCYDTDDASFPYWFLENVHTLESLDVEESRFKKIFQDKGEISEKTHTQIKALNLSELPKLQHICEEGSQIDPVLEFLEYLDVENCSSLINLMPSSVTLNHLKYLKVTKCNGLKYLFTTPTARSLDKLIMLKIEDCSSLEEVVNGVENVDIAFISLQILILECLPSLIKFCSSECFMKFPLLEKVIVRECPRMKIFSARDTSTPILQKVKIAENDSEWHWKGNLNDTIYNMFEDKVQ >RHN40424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16101252:16106879:-1 gene:gene46572 transcript:rna46572 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRLSQLKEAQERFDRNNRISIPKIQRVPIFLRQNERFYKYCSPKIISFGPIHHNAKNLKEGEHYKLLWTSIFVANYAKKIDKDDNEACKLLLKKIEDNIENVKNMFTEDAIEGYNDNDLAWILFVDGCSLLHFMGNVDDQCPNALNLKFDQLMHIWRDTLLLENQLPRIMLEILCEEMGIDLEFLINNSFDMGESKRLGMVIVKLENPKPFHILDFARSVYLPPNMVSNIEEHGKMETQSEGSEHNSMRKNKTQDQEDIDEEEINLNWNTYKSIRDLKTVGIQVVANKTDEWNWSNISFTSKWFNGELRLPIFLFNDVTPYFFRNLVAYEMCSDVHYNYECCSFFSFMDSLVDNADDVKELRSAGVFQNLLGSDKELAKLFNDLGDDLPTKMYCNNSYTNAVAYSKKYILIKLQIEKHYTNKWKTWLAQAYNTHFNTPWAMIAFLAALLALVLTFIQTWFAINPSK >RHN54643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11001811:11006465:-1 gene:gene29712 transcript:rna29712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquinol oxidase (non-electrogenic) MMMRHGGAMNTAMMFAKKGLLGGEVGVPNKWGYLVRSTPLVRKTSTFTANLSDQKDNKNVDKTPPSSQGGAGDNKDEKGITSYWGVQPSKITKPDGTEWKWNCFRPWETYKADVTIDLTKHHKPTTFLDKMAYWTVKSLRWPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHCKSLRRFEQSGGWIKALLEEAENERMHLMTFMEVAKPKWYERALVITVQGVFFNAYFLGYLLSPKFAHRMVGYLEEEAIHSYTEFLKELDKGNIENVPAPAIAIDYWQLPQNSTLRDVVEVVRADEAHHRDVNHFASDIHYQGRELREAAAPIGYH >RHN79402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28514377:28514676:-1 gene:gene3189 transcript:rna3189 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIPGGCGKFVSLISFPFPTWSAQKGPLSHVWLLESQCPFSFANYSKIHYNNMSTHIPFRGTVAAETKHIHAHYGFLSRFQISKINSMVFFTFVTCTQ >RHN46036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27982011:27983016:1 gene:gene40476 transcript:rna40476 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGNVVIWTMDTMTHRHAHTHLRCMHRIAWLNKRLGFKHLEKIHLFPKKNN >RHN47889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43331555:43333674:-1 gene:gene42540 transcript:rna42540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MVDPQHQLQLPHSFNQQPLYRNLCNTLLSLTFSRSLPKGLQLHAHIIKLGLQTIPLLSHHLINFYSKTHLPYSSLQIFHDSPHKSATTWSSVISSFAQNDLPLLSLNYFRLMLRQGVPPDDHIFPSATKSCGILSSLPVAKMLHCFALKTAYHLDIFVGSSVIDMYAKCGDICYAHNVFDEMPYRNVVSWSGLIYGYVQLGEDDESLRLFKRFLVEEENEGVNDFTLSSVLRVCGGSTLLQMGRLIHGLSFKTSFDSSCFVASSLISLYSKCGVVEEAYDVFEEVTVRNLGMWNAMLIACAQHAHTDKTFELFDKMKSVGGMKANFITFLCVLYACSHAGLVEKGKYYFELMKDYGIEPGTQHYSTMVDLLGRAGKLNDAVKLIEEMPMEPTESVWGALLTGCRLHGNTKLASYVADRVSELGSVSSGLHVMLSNAYAAAGRWEEAAKARKMMRDRGIKKETGLSWVEEGNRIHTFAAGDRSHAKSVEIYDKLDELGEEMDKAGYVADTSFVLKEVDGEEKSRSIRYHSERLAIAFGFITFPHGQPIRVMKNLRVCGDCHTAIKFISKCTGRVIIVRDNNRFHRFEDGKCTCGDYW >RHN45674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24332209:24338068:-1 gene:gene40057 transcript:rna40057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MGKNIVMNENKNMNGSIRSIFMHADGEDWFLMILGTIGAIGEGFNAPLILYICSHMINNIGSSSTMDVDTFIHNINKNALVWLYLACATFLVCFLEGYCWTRTSGRQAARMRYKYLKAVLRQEVAYFDLQVTSTSEIITSVSNDTIVIQDVLSEKVPNFLMNISLFIGSYIVAFTMLWRMAIVAFPSVILLVIPGIIYGKVLMGLSCKIREEYNQAGTIAEQTISTIRTVYSFVGENKSMFAFSNALQGIVNLGLKQGLAKGLAIGSNGVVFAIWSFMCYYGSKLVMYHGAKGGTVFAVGASITVGGLGLGASLLNIKYFSEACSAGERIKRVIERVPKIDSNNTKGEILNNVFGEVEFDHVEFAYPTRPETIILKNLCLKIPAGKTMALVGESGSGKSTVISLLQRFYDPIGGEIRLDGVAIRNLQIKWLRSMMGLVSQEPALFATSIKENIIFGKEDATEDEIVEAAKICNAHDFISLLPQGYNTQVGERGIQLSGGQKQRIAIARAIIKKPRIFLLDEATSALDTESEKMVQQALENATNGCTAIIIAHRLSTIQNADIVAVVDDGRVNEIGSQDELLENENGIYSSLVRLQQTNKSKTQSDETVTATFTNVDTDITCLVDPTSSAEDHISVHQASTSNNKNEEDVKQLNNPVSFWRLLLLNAPEWKQAVLGCLSAMVFGAVQPVYAFAMGSMISVYFQTDYEELKNKIKIYSLCFLCLSLISLVVNVGQHYNFAYMGEYLTKRVRESMFSKMLTFEVGWFDREENSSGAICSRLANDANVVRSLVGDRMALLVQAFSAVATAYTMGLIISWRLNLVMIAIQPIIIACFYTRSVLLKSMSSKSMKAQQQSSKIAAEAVSNHRTITAFSSQDRILKMLETSQQDPIQENFRQSWFAGIGLGFSQFLLSCSWAMNYWYGAKLVADGNITRKALFESFMVVVSTGRVIGDAGSMTKDLAKGVDVVSSIFAILDRSTKIKPDNPNGFKPDTLMGHIELYDVHFAYPARPNVAIFQGFSIKIEAGKSTALVGQSGSGKSTIIGLIERFYDPIKGNVTIDGTNIKSYNLKSLRKHIALVSQEPTLINGTIRDNIAYGTTTCDNIDETEIIEASRVANAHDFIASLKDGYETWCGDKGVQLSGGQKQRIAIARAMLKNPKVLLLDEATSALDNNSEKVVQDALNKVMVGRTSVVVAHRLSTIHNCDVIAVLEKGKMVEIGTHKALLDKGPFGAYYSLVSLQTKHA >RHN60364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25841167:25841900:-1 gene:gene22669 transcript:rna22669 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMTEGFSGLYFKVKHMIYCLHQILLNIRAGRMNSIEKYVDEFNALEQKIVEIKENKITQLKLAIAESFEAREREMPFVQEVDAKVKELRQTIPNLNNKQMSLTTNLKKLKEENVEMDEKISDAEYRLIQNVQENANLRSKIAQSPDKVHVFSFDSSIIRISL >RHN72642.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9325098:9326309:-1 gene:gene8385 transcript:rna8385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MAADRLPPEIVAEIFSRVPVKSLLRFRSISKSLKSLIDSHSFTNLHLKNSLNRSLILRHKFDLYQLQIDDDDDFSKSIIPLNHPFKTVPITRTSFMPLIGSCNGLLAISNSQICFTHPYAPNEITVLNPNTHKHRIIPFLPLAIPNILESENIDRAGVCVHGFGYDPLTGDYKLLRISWIADLHYSFDPQVRLFSSKTNSWKIIPSMPYALQYVQAMGVFVQNSIHWVMTRTIVESHPCFIVAFNLTLETFNVVPFPAEIEGEEVTIAVAVLGECLCMTVNYETTKIDVWVMKQYGSRDSWCKLFTLVKSRFTLKSLRPLCYSRDGSKVLLDGTQVLLEVNHRKLFWYDLKSEQISYVEGIPNLDEAMICVESLLPPSFPVDNCRKKENHTNKRKKRYFLLII >RHN65994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7381029:7382170:1 gene:gene13903 transcript:rna13903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MAPYLLKRIFNYAVSHNVQRLQIDVNSDIKSFSSCFFSCHTLTSLNLYVAHPRTSKKIFFPDYLNLPALTRLHLGDVAFRGGAEPFSAYPRLNSLMISNFEIIGEQNLYISSTTLVKLKIQVYYEPKKNYCKIELSTPGLCTFSFVGTPFEILSGNNPSSVKHVKIYANMWWNYVTAPSILLSWLQELADTKTLTVSSNTLQVLSLVPGLLKVKLHSLRNLKSLRVKMSRLSCGLSKSLIDAKLAQLPAGSQEEAAKLREAFKEGSSSIPDGIVYFLLQNSPSAKVHIIN >RHN46778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34820347:34824612:1 gene:gene41305 transcript:rna41305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MLKKFTRRRRTKEENGSGGGGGSSGSPCGACKFLRRKCVQGCVFAPYFDSEHGTTHFAAVHKVFGASNVSKLLLGIPTGRRLDAAVSLCYEAQSRLRDPIYGCVGHIVALQQQVMSLQAELSYLQSHINSSGLTQQPPLPPPQATAAPTVFSFADLPPTTATTMPVTYDMSTLFDSMGQPSFSMQQQRSSIDPRQYLAAHHHAPTSTTAGIQSVACDLTHRQIGSSSVPSSNASSSASFSKFSK >RHN48052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44563368:44566930:1 gene:gene42723 transcript:rna42723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione peroxidase MGASHSVSENSIHEFTVKDARGKDVNLSTYKGKVIIVVNVASKCGFTNVNYTQLTELYSRYRDKGLEILAFPCNQFLNQEPGNSLEAEQFACTRFKAEYPIFGKIRVNGPDTAPLYKFLKEKKSGFLGSRIKWNFTKFLVDKEGHVLQRYSPTTSPFSIENDIKKALGET >RHN66649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15682814:15684295:-1 gene:gene14687 transcript:rna14687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-PERK-2 family MKRNHNENGISTQEIGINHIIDQEQEEEKSFVQKKRGLRPVPISGMIVQTQLQNSFTENSHDEHQDEIILEKSKSSACSICKSKRPKVSRMKDFTHDDLLEATNGFSVENSLSESEDGPTFKGLLPNKVKIVVKKYQITNSQEEKIFKSEVQLFTNVRHKNVVMLLGLCTEKSQLMIVYEHLCNGSLDHYLSRGNFQSLTWRERVKISIGTARGLKYLHGNSIIHGSIKASNILLTHDFEPLIGDFGFGKVKFEPKKSYKDKSGRDSGYAAPEYLENGKLSTKIDVYSFGVVLLELITGRRATDKLPGGKSLVGWARPLLGGKKYALLVDPKISNSYEEEQLQWLVQVTDKCLKKNPKERYTMNMVSLNDI >RHN43715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47938547:47946800:1 gene:gene50333 transcript:rna50333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MFLSSLPLFLIFTLIFILSAITLFLRRKQPKYDRRQPPGPRGYPVIGNLHLLGTLPHRALQALSKKHGPIMLLRLGQVPTIIVSSSSAAEQFLKTHDVVFSSRPKLEATHYLSYGSKGLVFAEYGAYWRNMRKVCTLQLLSASKVESFGPLRQRELKVALESLKKAVSLGEVVDVSEFVHGVIADIVYKMVLGCSVDEEFDLKGQIQQGMELSGTFNLADYVPFLGVFDLQGLKRRYKKTAKAMDQVFEKIIKEREQSSHVQNEGQKHKNFIDILLSLTHQTIDPSDEQSHVIDRTNIKAIALDMIAAAFDTSATIVEWALSELMRHPRVMKNLQQELDSVVGMNKLVEENDMAKLSYLDIVIMETLRLYPAGPLVPRESTEDATVHGYFIKKKTRVIVNLWAIGRDSKIWSNNAEEFYPERFVDKNFDYRGHDFQFIPFGFGRRGCPGINLGLATVKLVVAQLIHCFSWKLPSNMTINDLDMTEKFGLSIPRAKHLLAVPTYRLHGEAT >RHN63911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55001212:55001998:1 gene:gene26667 transcript:rna26667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MAFPDDIPTSKMSPPQFASLSNNDFKREGTSPSNALICPPPRMTLPQSNKSDHIFYKTRICTKFRFGTCRNGQNCNFAHGAEELRQPPPHWQKLVGLRSEGRMQLGNHAKDKKIIQTMKLCKNYCNGEECPYGDNCIFLHEDPAQFRDDSLKLRECSAITIETNNLEGSRALNKQARSTYRKTKLCRNWKHTGYCSFGMNCLFAHGEEDVVQNVIFCDIMCKWKNVR >RHN61978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39704283:39704572:1 gene:gene24496 transcript:rna24496 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLLTQWPLVAIQLKYYYNLECSQPHLWFVFPLFSRSFQTISESGELGHALLIIDNN >RHN76157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48093541:48093971:-1 gene:gene12442 transcript:rna12442 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTLLFCWMRKTVPIAPLPKILSGLRLLRLRSCVLGDVGESIFCLE >RHN69079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40840582:40843020:-1 gene:gene17507 transcript:rna17507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MTMKGGQRKNLLYTNNILCILLLQWLDLSLVKSAKVPAIIVFGDSSVDAGNNNFISTVARSNFQPYGRDFLGGKPTGRFSNGRIATDFISEAFGIKPYIPAYLDPSFNISQFATGVSFASAATGYDNATSDVLSVIPLWKQLEYYKEYQKKLGAYLGEKKAKETITKALYIISLGTNDFLENYYTIPGRASQYTPSEYQNFLAGIAQNFIHKLYDLGAKKISLGGLPPMGCLPLERTTNFAGGNDCVSNYNNIALEFNGKLNKLTTKLKKDLPGIRLVFSNPYDVLLGVVKKPGQYGFQVASMACCATGMFEMGYACSRASLFSCMDASRYVFWDSFHPTEKTNGIVANYLVKNALAQFLH >RHN61957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39625782:39626217:-1 gene:gene24474 transcript:rna24474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nck-associated protein MILDSWSETHRSHLVAQLIFLDQLCEISPYLPRSSLETHVPYAILRSVDSQYYADTQSTPLEITNASPR >RHN54999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14293363:14294840:-1 gene:gene30115 transcript:rna30115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MQMTDIRDKIHKRQFLSPTIIAKLPSLTTKNMNLLKQFFRISDNGATEKRMKETLENCERAPARGEIIKCVRSMDEMEIFASSMLGPKVVLRKTLNVKGSGKNVMVGRVSRIQGGNVTESVTCHQEVFPVMLYFCHYIPMVRVYNVEILTLQKIKINQAVGVCHIDTSSWSRSHPAFLELGSAPDGRKWAKWAKSLFFREKMLNEGVVMQMTDIRDKIHKRQFLSPTIIAKLPSLTTKNMNLLKQFFRISDNGATEKRMKETLENCERAPARGETIKCVRSMDEMEIFASSMLGPKVVLRKTLNVKGSGKNVMVGRVSRIQGGNVTESVTCHQEVFPVMLYFCHYIPMVRVYNVEILTLQRIKINQAVDVCHIDTSSWSRSHPAFLELGSAPGEIEVCHWIFQNDISWTADAN >RHN77759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9239880:9243260:-1 gene:gene1246 transcript:rna1246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK family MKLNTNLTQLCTILIVIISIARVFASDESFSSSLPGCKNTCGNVKIPYPFGISNSSIPNQGPCSLEPKFNLKCVNDTKLIFGNLKVSNINILEGQLESLFLVSSYCNSTNYILSGLNSPAFTISSKKNKFVTVGCDSYGYLNSVYNKETYSTGCLTRCNGNRNRIENGTCSGIGCCQVDIPPMMRNISIEASDFPNSTDSLGCSYSFVVKDGFYNFSISHLDNFPYERLPMILDWSVGSKYCNASKNEDDYACKKNSDCDDKDIDFGYRCKCKEGYEGNPYHPDGCIDIDECKTSNNTCINEDHCRNTDGSHECFCPDGHSGNGTLEGGCHRREVITKVAIGASAGLIVLFVTISSLYLTFQKRKFIKLKQKFFQQNGGSILLQQLSTREDTSQSAEIFTEEELKKATKNYDENLIIGRGGFGTVFKGVLPDNKIVAVKKSKIIDANQIEQFINEVVVLSQINHRNVVKLLGCCLETEVPSLVYEFVSNGTLFDFIHSTKDKTNNPTWKTRLKIAAETAGALSYLHSSASIPIIHRDVKSTNILLDDNYTAKVSDFGASRLVPLDQTEIATMVQGTLGYLDPEYMQTHQLTEKSDVYSFGVVLAELLTGDKPLSFNRPEESISLAMHFLSCLKQDRIFEAIQVGILNDENKEEIKEVAILAARCLRLRGEERPSMKEIAMELDGIRLMEKHPWNDTDLKFEERQHLLKKATSSIYSEIGDSIDLGYSGYDSLKDQSLIILDDGR >RHN39083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4008191:4013584:1 gene:gene45076 transcript:rna45076 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNKHKEPWNWHGEDYGVQKTSNFEISEEMWTDVPQNGEDLSYMFDGETETTPVKACGDLAYNVSNGDSYYVAKELEEGRDTSQAKRRRMLQFDDVDMDQSLCNMEMSSTCFKNGKDDSIKEIFPEVSQWMSGAPEYTLENVPDLEATEEWLAEYLNDTEMQFSPDDLNFSGADDVHIDVAELCNITPSHQQNVVPQPVTRTPKNIVFKGRKSFIRTPTKLASTVAYPFTFIKPSGAQGDITLKEINQRIRTPSKSAQSSDDSSAYPKSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >RHN43232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44198831:44200304:1 gene:gene49776 transcript:rna49776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MGHKEKSKEKKDKRSQEISLLRTIPYSDHQRWWSKETIAVVTGGNRGIGFEISRQLAEHGVTVILTTRDAGVGVESIKVLQEGGLDVACHQLDVLDSSSITQFSDWLKENYGGLNILASLLSKYGINFNFGSDNSVENAHTVIDTNYFGTKRMIEAMIPLMKASAAGGRIVNVSTRLGRLNGKRNRLDNDDLREQLSNVDSLSEELIDGVVTNFLHQVEDGTWKSGGWPRIFTDYSLSKLAVNAYTRFMAKKLSDRPDGEKIFINCYCPGWVKTALTGYAGSVSVEAGADTGVWLSLIPEQAITGKFFAERREINF >RHN54053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6551802:6557307:1 gene:gene29032 transcript:rna29032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein MDLLQTLIFIFNFNPFSMFSRRGLLQLARKFTCHVVLSLILYYFVAYELCSVNGVQNSPDYDACASFEKSYHFDSSDTTVSDSRLSHGFPAAHNGFGNVCPSSHLFCFPSLLDGFFRGDKIKREASVEESGSQFKSPHCVELAQDSLQAINKSWSSDFGVFRLLSGGVISCSLNSKGGLNEVPSLQTEIGSKNDISSCGGSLLKLKSKHIRPKTSEVSTESSSLDGSVSPNIKIGPTVLDWGQKYLHSSSAAFLTVANTCNESTLHLYEPFSTDLQFYPCNFSEFSLRPGESAIICFVFFPKSLGFSSASLILQTSSGGFVVEAKGHATESPFGIQPLLGVEISPGGRLSRNFSLFNPFDEPLYLEEITVWISISLGDNYSVETEGICSVNHFQVFDTRLSPTVKDRLVVRSSQVGSPIVAIRPHRKWNIGPHSSETLFEVDITVGFEGKIFGAFCLHLLRSSQDTSDTIMVPIEAEVDSHSASDTVGKFVSATLEGLATCDSGEIALTISLRNDASFILSFVKVLEVADMELFHIKYKEGLLLFPGTVTQVGIIYCSHLHLDSPKVSDSQVNCKLSILTNDSSSPLIVIPCEDILYICSEHHRLSSAGVEDKSKHIEARNTREGYVSRSMQLPPNVKVLETTGDELVLENWKSQGTMSGMSVLEDREMLFPMIQVGSYVSRWITVKNPSQHPVMMQLILNSGELINECKGLHDLLNPSSSGSLVVDEGATPTKFGFSVPENALTEAYVHPYDHVTLGPIIFYPSDRCSWSGSALVRNNLSGVESIPLRGFGGLLSLVSLDESSEHVHSVDFDLKMPKLLNFSLPYSLLHTKEITSLCSQPLVKELYAKNTGDLPLEVKSIRVSGRECGLDGFKILNCRGFTLEPGESIKLTMSYQTDFSTAYVHRDLELALDTGIFLLPMKASFSHDMLSNCKKSVFWTRVKRTLFGFLLVASLICFVFWFISPQSTVLDSLDFSHMSDGNLVHTTAMKDGGKTSLLDHNQRKSGSYSYGIGNPSEHRTSQHPTQTSENHHSLDTRVPSSEQMKASENGHLVVKTGKDKGRRRKRKNLGAKLTALSEVSSSQSGNSTPSSPLSPVTMPKYNWPLSSDVEQPLETLGSTTSVAAQHSVNNQGSVLKPAFPQVSHSASRRAATANITVKLPHATSPFRAGTHTPSLLSSECTVATSHARAPGSELDNQKDVRAEEVTGPADEYEYDIWGEHFSLPHLLVPKNVTHMKPSPVTNNSFDSFFVRGPQALVTNSQEG >RHN77756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9201841:9203918:1 gene:gene1243 transcript:rna1243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK family MGLGSGSVLLLEVSDINECKTGNNTCIYKDHCHNIDGSYKCFCPKGQSGNGTREGGCHTQDVVIKVVIGSSAGIVILFVGISSLYLIYQKRKLIKLKQKFFQQNGGFILLQKLFTREDTSQSAQIFTEKELKKATNSYDESLIIGRGGFGTIFKGVLPDNRIVAVKKSRIIDANQIEQFINEVVILSQINHRNVVKLLGCCLETEVPSLVYEFVSHGTLFDFIQNTKNKINNPRWETRLRIAAETAGALSYLHSSASFPIIHRDVKSTNILLDDNYTAKVSDFGASKLVPLDQTEIATVVQGTLGYLDPEYMQTHQLTEKSDVYSFGVVLAELLTGDKPLDFNRPEETISLAMHFLSCLKQDKLFEAIQVGILNDDNKEEIKEVAILAARCLRLRGEERPSMKEIAMELDGIRLKEMHPWNDTELNFGESQRLLHEASSSFYDETDSYNLGYSGV >RHN64533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59857027:59858179:-1 gene:gene27356 transcript:rna27356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin-containing monooxygenase MLIRTLHWTVPHYWIWGLPFFLFFSTRFSQLLHERPNQGLLKALLCFILSPMRVGISKFIESYLLWKLPLEKYGLKPEHPFAEDYASCQMAIMPENFFSEVEKGKILFEKASKWWFCNEGIEFEDNTKVEADVVILATGFDGKKKIKTILPEPFCSLLEYPSGLMPLYRGTIHPLIPNMAFVGYVESVSNLHSSELRSMWLSGLVDEKFKLPKIEKMLSETLKETEVMKRATRFYKRHCISTYSINHSDEICNDLGWNSWRKKDWISEAFGPYSSQDYEKED >RHN40232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14436689:14438229:-1 gene:gene46355 transcript:rna46355 gene_biotype:protein_coding transcript_biotype:protein_coding MRKASNLQRPLLAFWVPLLLLVTFCYATSVVARNDPSGNQVNTDGKLANEEATKTNLEGHNEEEKFKGLFHLKHKLKGYFHQKPIFKKPIPFYKPIHKDIPYYKPIPKYVPIYKPIHKPIPIYKPIPKVIPIYKPIPKVIPIYKPVPIYKPIPKVIPIYKPIPIYKPIPKVIPIVKPIH >RHN57096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36429425:36430248:1 gene:gene32594 transcript:rna32594 gene_biotype:protein_coding transcript_biotype:protein_coding MILLIGGTSCEGFVLAGGILTTYGGYHYCDVTKAITWFCLFINGMNQSRFIYYYDHDFHVNNTKNHL >RHN51082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13793973:13799541:-1 gene:gene35457 transcript:rna35457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5-formyltetrahydrofolate cyclo-ligase METQALRLRYPFTLSPLYRSLSTKPHTSISFKLEATNSNNNKASFNEAAFEEEKSSMQDDPKAWKWVIRKRIWDLMEGNNFAQNPRPVHHRIPNFVGASLAADKMRALDVFRDAQCVKVNPDSPQKPVRFLTLSDGKKLLTPQPRLRTGFFSVIESNMLTSGTIKEACTSVGVAKYGRPIGLDEKIKVDLIVIGSVAVDPNTGARLGKGEGFAELEYGMLRYMGAIDDSTLVVTSVHDCQLVDDIPVEKLLIHDVPVDIICTPTQVIFTNTSIPKPQGIYWDKLSPEKLGQIRILRELKRRIELEIGKKLPTGPSEKLPPTAQRNRRG >RHN63080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48227747:48230988:-1 gene:gene25737 transcript:rna25737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase, Xyloglucan-specific endo-beta-1,4-glucanase MAIFYPFKNNGVFFMLLLWIVVSSVWGRPATFNQDFHVTWSEPHIKQIDQGRTIQLTLDQGSGCGFASKVKYLFGRVSMKIKLVPGDSAGTVTAFYMNSDTDSVRDELDFEFLGNRTGQPYTVQTNIYAHGKGDREQRVNLWFDPSADFHTYSILWNHHHIVFYVDEVPIRVYKNNEAKGIPYPKMQAMGVFSTLWEADNWATRGGLEKINWSKAPFYAYYKDFDIEGCAIPGPTTCSTNPKNWWEGVEYQALSAIEARRYRWVRMNHVIYDYCQDKSRYPMTPHECLSGI >RHN38481.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000010.1:378868:383157:-1 gene:gene50678 transcript:rna50678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGNCCSQGGDTPADNPAVADKGETNTNQDNEPSTNPITTPPPTSKPPQSTAPPSSKPSKPAAVGPVLQRPMEDVRATYSIGKELGRGQFGVTHLCTHKVTKEQFACKTIAKRKLVNKEDIEDVRREVQIMHHLTGQANIVELKGAYEDKQSVHLVMELCAGGELFDRIIAKGHYTERAAASLMRTIVQIIHTLHSMGVIHRDLKPENFLLLNKDENAPLKATDFGLSVFFKEGEMFKDIVGSAYYIAPEVLKRRYGPEVDIWSIGVMLYILLSGVPPFWAESEHGIFNAILRGHIDFTSDPWPSISPAAKDLVRKMLNSDPKQRLTAFEVLNHPWIKEDGEAPDKPIDNAVLNRLKQFRAMNQFKKVALRVIAGCLSEEEIMGLKEMFKGMDTDNSGTITVEELKQGLAKQGTKLSEQEVKQLMDAADADGNGTIDYDEFITATMHMNRMNREEHLYTAFQYFDKDNSGFITTEELDQALREYNMHDGRDIKEILQEVDGDNDGRINYDEFAAMMRKGNPEAHTKKRRDSFVSH >RHN66794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18879770:18880042:-1 gene:gene14880 transcript:rna14880 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNHQELTFMKPAQISNQEEPTKPHRRDKTHSTRFERTTPRTPKSKKELHELRASRIEQDRARESKILKRDRVGTLLNGEKQVKTERIK >RHN74614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35195053:35208038:1 gene:gene10711 transcript:rna10711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MGDERRYSCETAADTVAWINDIIQFLTPHFSSFINPHVVHFFKDRLWENVNAEWINFLRREPVQNLLLIPSGVVQDHWPTSLKEFILKLRSMVFCREQADINTALPGLQMTSINRVIAQGMNGKKKHEVEALSAVVSIVADSVRADAIVDVGAGQGYLAQVLAFQYQHPVIAIDACSHHGMVTDARAERIKKHYTSKMVKSGSGMQNLNVPKTITCRVLSIESLKTLVETSVPSDDHEQSTLRGENQEDKGKLDCPNDANNRPSTVLAGLHACGDLSVIMLKTFLGCRDVEAVVSIGCCYNLLSEESIGDGESQCGFPMSHAVRSTGLSLGKSARDLACQSAERWRSLDMHAGIHNFELHAFRAAFQMVLSKYYPEVAISTPSIGRKGKALRRRNQRRSAESDSKLHLKGSTCNTRQNFPAGVPAESKAEGTFGSASETQTLSGEISSNESAEYEGIKIDNKFSNFENFCQSGLSHLGLKHSHDVSLQGTWKEVEPFSDLIGPYWSLRAALGPLLETLILLDRLLFLQEQGNALEAYLLPIFDPNISPRNVAIIAKKIDKDLRSS >RHN75388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41835693:41848354:-1 gene:gene11578 transcript:rna11578 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLTSPHFRFQPFLTRTNYLFFSDLTEPQFHDSLTLLRRRPISVTSPPALKPVKCSRSTEEKQWNDAETEAVASDSDESNGQAPSKTSSIESPRIATTSSGDSLSLGIREPVYEVVEVKSDGTVSTRKINRRQLLKSSGLRPRDVRSVDPSLFLTNSMPSLLVREYAILLNLGSLRAIAMQDCVLIFDYNRKGGQAFLESLLPRLNPKSNNGGPSMPFEIEVVEAALLSRIQRLERKLMDLEPRVQALLEALPNRITGDILEQLRISKQTLVELGSRAGALRQMLLDLLEDPDEIRRICIMGRNCTINKGNHNVECSVPLDKQVAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAREMEDSIAVSLSSRRLEVSRVELLLQVGTFCVAVGALVAGIFGMNLKSYLEERVFAFWFTTSGIIIGGIVVFFIMYNYLRARKIF >RHN76117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47825269:47831458:1 gene:gene12397 transcript:rna12397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid binding NABP, pumilio, RNA binding domain-containing protein MLSDMGRRPVIGGGNEGSFGDEFEKEIGMLLRDQRRHEVDDHEPELNMYRSGSAPPTVEGSLSAVGGLFGGGSTAAAAVSEFSGNGFGSEEELRSDPAYLQYYYSNVNLNPRLPPPLLSKEDWRFSQRLKGGASAIGGIGDRRKVNGADENGGRAVFATPPGFNNRKRETEVVADEKIRGSAEWGGDGLIGLPGLGLGTKQKSLAEIFQDDMGHNTSVTGFPSRPASRNTFDENVEITSSAEAELAHLRHDSSATDGLRFGSNVQGSPASQNAGPQVSYSYAAALGGSSLSRSTTPDPQHVARAPSPCPTPIGSGRVVAAEKRGITSPDVSGINGSADIASALSGMNLSTDDALEGDNHFPSQVESDVNNYQRYLFGMQGGQDHGKQHAYLKKAESGHMPKTAHSDSGKRSGSGSDMRSPSLDRQVEHQKSAVSPNNLYFNGSPGSPYSGGGGLPAQYQPSDGSNSPFNNYGLSGGYAGNPAVASFMANQLGTGNMPPLFENVAAASAMVSPGMDSRILGGGLPSGVASPSDVHVLSRMGNQIAGGALQSPYVDPMYLQYMRTPEYAAAQLAALNDPSVDRNYLGNSYMNLLELQKAYLGSLLSPQKSQYNVPLGGKSGGSNHHGYYGNPTYGVGLSYPGSPMANSLSTSPVGSGSPIRHNDLNMHFASGMRNVAGVMAPWHLDAVNVDENFASSLLEEFKSNKTKCFELSEISGHVVEFSADQYGSRFIQQKLETATTEEKNMVYQEITPHALALMTDVFGNYVVQKFFEHGLASQRRELANKLYGHVLTLSLQMYGCRVIQKAIEVVDLDQKIKMVQELDGNIMRCVRDQNGNHVIQKCIECVPEDAIDFIISTFFDQVVTLSTHPYGCRVIQRVLEHCENPDTQQKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKPHERSTIIKELAGNIVQMSQQKFASNVVEKCLTFGGPSERLLLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSPHTT >RHN81656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47849933:47852535:1 gene:gene5728 transcript:rna5728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S13 MSLVANEEFQHILRVLNTNVDGKQKIQFAMTSIKGIGRRFANICCKKADVDMNKRAGELSAAELDNIMTVVANPRQFKVPDWFLNRKKDYKDGKFSQVVSNQLDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >RHN40310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15216484:15220297:-1 gene:gene46453 transcript:rna46453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MQTHCGPKAFRYSAFISFRGLDTRRGFSGFLNKYLTDRGFRTFFDDGEIEIGTQITVRIHKGIEDSRIFIPILSENYASSSFCLDELVKILAEFQKGNGRWVFPVFYYVSISDVKNQTGSYGQALTVLKNGVEHERFEKWISALTSIADFRGCHMERAKGIYEFQYIYEIIQEVSKHVACSIGLDHRVEKVMRCLTSGSDDNRVYVVGICGVPGIGKTTLARGIYLFHGAIEFDCRYFFDNVEEYLKKHGLVRLQQMLLSEIVGHHNSTRFKSLDKGISSTIKHMLNQKKVFLILENIHDSEVLKVIVKLTTFFGSGSKVIITAREKCFLEFHGIKRIYEVERMNKAEAFQLLNLKAFDSMNISPCHVTILEGLETYASGHPFILEMIGSYLSGKSIEECESALHQYKQIPNEDIKMILQVSFDALDNFQQNMLIHIALHLKDQELAVVEDLLHCKYGVCPKDDIRVLLNKSLIKINENGQVVLHGLTQDMVRDDIPVEDLG >RHN59619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12542569:12543315:1 gene:gene21677 transcript:rna21677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I MLAGLFVCVVRKEEDSMIIRSPEPKVKILVDPEVKILVDRDPIKTSFEQWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLNDPTHIRPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITNELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGIKYMYLYQLTNF >RHN41183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27947449:27948210:-1 gene:gene47475 transcript:rna47475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MYHPISPLPSSLIHSHYKKIKMVNLLSRLLLFLLSLHCFVACLAANTKNITTDQSALLAFKSLITSDPYDMLANNWSTSSSVCSWVGVTCDERHERVHSLILQNMSFKGTVSPNLGNLSFLVILDLKNNSFGGQFPTEVCLLRRLKVLHISYNKFEGGIPAALGDLSQLQYLYLGANNFSGFIPQSIGNLYQLKKLDTAQNRFAGPIPQSILNLSSLEYIDLSSNYFSGNPNTDIMCHICYIFLVVTLFLMLE >RHN66381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11624191:11627398:-1 gene:gene14351 transcript:rna14351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MEKKTDLYLPHELIIQIMLRLPVKSLIRFKCVCKSWLALISDHNFAKSHFELSPATHTNRIVFMSTLALETRSIDFEASLNDDSASTSLNLNFMLPESYSNLEIKSSCRGFIVLTCSSNIYLWNPSTRHHKKIPFPPSNLDAKYSCCLYGFGYDHSRDDYLVVSVSYDKSIDLIEENISSHLKFFSLRANTWNEIECLGLVKYKHFPYYMNVNDDPTVGTLFNGNIHWFSFRNDLSMDVIIAFDLVERELLEMPFPDGFDHEPMDCDLWIFGEFLSLWAMGGVTIEIWVMKEYKVHSSWTKTLVLSIDYIYIQYDPPICSTKCGHIIGTNGTGLVKYDGNGQLLENRSYYNDPCGRLVAMYTESLLSLPGDSEQI >RHN46047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28063056:28063652:1 gene:gene40487 transcript:rna40487 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAKYIKNTRKVQKDMHNKIADNIDELKGHFSDDVLTLTGKSLEGFGSIKEKLSWMLFVDGCSLLHIMDTAKLHEPGQMNIKLDQRNLVMIDVVLLENQLPYEVLKLLWKDNNENELIMTMKNFLGCHLWATPDNKRNQRWRRNQETSNMVPDRKGKEQHSVLIMNESETPTHLLDITHLLDLYRNIILGTSKPKVE >RHN73996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23327946:23328364:1 gene:gene9917 transcript:rna9917 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIAIRCLCKLSAGLYFLLGIYFLVPVPFCYRWLTSIVTDNMDEENNALVSKITVGVSGTASAASHLRDGLNLAKMYAKYQEAVDALSLRHEKLARKESSSILQQV >RHN52498.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36158694:36160647:1 gene:gene37185 transcript:rna37185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MAIQSPSSSSSFSYGFTYQVFLSFRGIDTRHGFTGNLYKALTDKGIKTFIDDNDLQRGDEITPSLRKAIDESRIFIPVFSIFYASSSFCLDELVHIIHCYKTKGRLVLPVFFGVEPTNVRHLKGSYGEALAEHEKRFQNDKNNMERLHQWKLALTQAANLSGYHSSHGYEYKFIGEIVKNISNKISHQPLHVANYPVGLQSRVQHVKSLLDEGSDHGAHMVGLYGTGGLGKSTLGKAIYNFIADEFECSCFLENVRENSASNKLKHLQEELLLKTLQLEIKLGGVSEGISHIKERLHSKKILLILDDVDDMEQLQALAGEPDWFGLGSRVIITTRDKHLLRSHGIESTHEVEGLYGTEALELLRWMAFKNNKVPSSYEDVLNRAVSYASGLPLVLEIVGSNLFGKTIEEWKGTLDGYEKIPNKKIHEILKVSYDALEEEQQSVFLDIACCFKGCGWKEFEYILRAHYGHRITHHLVVLAEKSLVKITHPHYGSINELTLHDLIKEMGKEVVRQESPKEPGERSRLWCEDDIVNVLKENTVSKIDIYVQFVI >RHN67842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30675702:30679243:-1 gene:gene16081 transcript:rna16081 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGWIESWSKRKGLGADSVRKYKDWKTKYVSPKVRSLARPVLALARPCHPPELLLLLLLRF >RHN67779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30200225:30200875:1 gene:gene16011 transcript:rna16011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MKKANELSTLCGVEVCAIIYGENHGQAEVWPSAIGLERVLHKFENLSELKRNKNMVDLYSFWMQRIEKAKEKYEKAMMENKKAEMTNFIRQFIHTRNYNIGDLSLNDINYLTTLINDNMKEVDQRLDSMVTQADEQVVNGAEAIKDGDLLASTNIAQVPLSGGDTLNNMDEVVDTHGYETNMNYGLQSDEQLPMDFLMPPFDDFDFDFDPNGFGSI >RHN69791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46300445:46300657:1 gene:gene18305 transcript:rna18305 gene_biotype:protein_coding transcript_biotype:protein_coding MIYHIFALFLNEGEHDHFTLQGSTVSPSVDDGGNCLSPLLLNATSYKIEVFHNKAVDYTLMVTFVSFIHE >RHN57681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40986480:40986830:-1 gene:gene33260 transcript:rna33260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MRFQFIFLILVVLFVSLASNQAKRPIQSSPVNINDPYVINITTFAVIEYNKQNTKAKLVFEKLLNGVIDTLNDGINFRLTLSANNGSTSNKYGAIVLEKAYKHFRKLTAFAPVQHA >RHN39412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6914857:6915213:-1 gene:gene45433 transcript:rna45433 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYHAPIRYCHNCPDSESLRILYYQATVAQKQHRMLEIRTRNF >RHN47418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39601972:39602241:1 gene:gene42015 transcript:rna42015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MSKICSFSINSGYQSRGPLFGKLFFAIWVIAHLYPFLKGLLGKSNRTRTVVIVWVVLLASIFSLLWVRIDPFISDPRKSSSNSQRGINC >RHN59537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11784117:11784596:-1 gene:gene21579 transcript:rna21579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MAEEENNGGENDNIDRLSNLPDSVLCHIFSFLPTKTTIQTTPLISHRYRNLWKTLQTFDFDDEYEFNGKTESENTEHFMFFSIFVNAVLAQRESRRIRKFRLSCCHFHEDVFYTSSIDTWVRTAIGPYLEEFYISLCNYDDGFNLPLTLLSCSNLVFLR >RHN66241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9978473:9978703:1 gene:gene14185 transcript:rna14185 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L30 MDEEEQPKDLNFIPEVILKKRKHSEAWALSKKVNSKKGFHLKKSKDFIKKAEDFIFEYRSREIDLIRMKRWVKRIL >RHN55027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14495656:14496740:1 gene:gene30148 transcript:rna30148 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSVRIQHGIPDKYGAEKLLLLMYNLYFCIGEFNNQCENLN >RHN62038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40231022:40237490:-1 gene:gene24567 transcript:rna24567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MGLRSIVVMILAIITLLLEIQTCLSAEISRANFPHGFIFGTASSAFQYEGAVKEDGRGPSVWDTFSHTFGKVTDFSNADVAVDQYHRYEEDIQLMKDLGMDAYRFSISWSRIYPNGSGAINQAGIDHYNKFINALLAKGIEPYVTLYHWDLPQALDDKYKGWLSTDIIKDFATYAETCFQKFGDRVKHWITFNEPHTFTTQGYDVGLQAPGRCSILLHLFCRAGNSATEPYIVAHNVLLTHAAVADIYRKKYKNTQGGSLGIAFDVIWYEPATNTKEDIAAAQRAQDFQLGWFLDPLMFGDYPSSMRSRVGNRLPKFSSSEAALVKGSLDFVGINHYTTFYARNNSTNLIGILLHDSIADSGAITLPFNGTKAIAERANSIWLYIVPQSMRTLMNYIKQKYGNPPVFITENGMDDPNNIFISKKDALKDEKRIRYFSGYLSYLQASIKDGCNVKGYFAWSLLDNWEWAAGYSSRFGLYFVDYRDNLKRYPKQSVQWFKNFLKPAK >RHN65693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4448777:4449462:1 gene:gene13565 transcript:rna13565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-fructofuranosidase MALFYSALRCSREMLIVNDTTRDLVAAVSNRLSALSFHMREYYWVDIKKINEIYRYKTEEYSTDAVNKFNIYPEQIPSWLVDWISEEGGYFIGNLQPAHMDFRFFTLGNLWAIVSSLGTTRQNEGILNLIDAKWDDIIGQMPLKICYPALEGEEWCIITGCDPKNT >RHN45143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12262711:12263015:1 gene:gene39359 transcript:rna39359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfite oxidase MQFTVSPQEPFNAEPPQSALFSAYLTPADLFYKRNHGPIPIVDDIGKYSVSISGLIENPKQLFMEDIR >RHN45796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25698121:25709478:-1 gene:gene40200 transcript:rna40200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator FHA-SMAD family MGTPTTGAGGDVEAGFAKLQGEDFEYYMQTYSIILGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFARRRFALEVLGKNGCLVEGVLHLPGNAPVKLDSQDLLQIGDKEFYFLLPVRSILGGAGARRNVATPAAVAPSAAVPHYSYGHLPAGAGAGAGAGAMVKKGRREYYEEEYEMDDDVDVGGGSSGKKVSRREGYDGYGYGGSGGKASMPGPIDKKAEGRSRVDRDADNLQLQQLEEKDVVSSVANVLSDLCGPGEWMPMEKLHAVLLDKYSGVWHHGRVRRYLTSEEWSCPESKGKPWYGLLMLLRKYPEHFVINTRSKGRVTLEFVSLVSLLS >RHN76043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47255745:47258758:1 gene:gene12316 transcript:rna12316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP cyclohydrolase II MDSASFPGPLISHVITNSSLHRSCTTHHSARFGLWNNKVRNLAFYAIGFSKVGTEDVFDDSGDLKENENGSLLKTLNAEKEIKQETDDFFVSEAEGDPDCPSKGYSSIEHALNALHQGKFVIVVDDENNNVEGNLIKAASLISTKDVAFMIKHGSGIVSVGMKEEDLQRLNLPLMSPETEEEDSSAPTFTITVDAKFGTSTGVSAADRAKTILALSSPESKSEDLRKPGHVFPLKYRNGGVLRRAGHTEASVDLVALAGLPPFSVLTALVDVEDGNMASLPNLKNLALEYNLPIVSITDLIRYRRKREKLVERTYVSHLPTKWGLFQAYCYSSKLDGTEHVAVVKGNIGDGQDVLVRVHSECLTGDIFGSARCDCGQQLDLAMELIEEAGRGVIVYLRGHEGRGIGLGHKLQAYNLQDQGRDTVQANIDLGLAVDAREYGIGAQILRDIGVRTMRLMTNNPAKFVGLKGYGLAVTGRVPVLTPITDANKRYLETKRTKMGHIYGSDI >RHN47905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43458824:43459145:1 gene:gene42559 transcript:rna42559 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRKVTCAVLIAAASMSAALAATEVPAAAPAGAGAGGAAAAPGPSSGASADIPIVASLIGASVVSFFALFH >RHN60047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17636755:17637184:-1 gene:gene22244 transcript:rna22244 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQVRSQILWSSVNSPRSTKHSFSLIEDPPSNISKAIPVRPSLLLDFKIDSLFNHSFIICHTRKGCLQRDPTMISRVNV >RHN56080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27475088:27481440:-1 gene:gene31407 transcript:rna31407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MGHFSITITFISFIFLFLTRYHRLVHADSPTHVPPYSCDTTNPLTKSLPFCNLNLTITQRAKDIVSRLTLDEKISQLVNTAPSIPRLGIPSYQWWDEALHGVANAGKGIRLNGSVAGATSFPQVILTAASFDSKLWYQISKVIGTEARGVYNAGQAQGMTFWAPNINIFRDPRWGRGQETAGEDPLVNSKYGVSYVRGLQGDSFEGGKLIGDRLKASACCKHFTAYDLDNWKGLDRFDFDAKVTLQDLADTYQPPFHSCIVQGRSSGIMCAYNRVNGVPNCADYNLLTKTARQKWNFNGYITSDCEAVRIIYDNQGYAKTPEDAVADVLQAGMDVECGDYLTKHAKAAVLQKKVPISQIDRALHNLFTIRIRLGLFDGNPTKLQYGRIGPNQVCSKENLDLALEAARSGIVLLKNTASILPLPRVNTLGVIGPNANKSSKVVLGNYFGRPCRLVPILKGFYTYASQTHYRSGCLDGTKCASAEIDRAVEVAKISDYVILVMGLDQSQERESRDRDDLELPGKQQELINSVAKASKKPVILVLLCGGPVDITFAKNNDKIGGIIWAGYPGELGGRALAQVVFGDYNPGGRLPMTWYPKDFIKIPMTDMRMRADPSSGYPGRTYRFYTGPKVYEFGYGLSYSNYSYNFISVKNNNLHINQSTTHSILENSETIYYKLVSELGEETCKTMSISVTLGITNTGSMAGKHPVLLFVKPKKGRNGNPVKQLVGFESVTVEGGGKGEVGFEVSVCEHLSRANESGVKVIEEGGHLLVVGEEEYSINITL >RHN68071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32561903:32563646:-1 gene:gene16363 transcript:rna16363 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UTP--glucose-1-phosphate uridylyltransferase MATATLNSATTDNNLSNLKSAVAALSQISENEKNGFINLVARYLSGEAQHVEWSKIQTPTDEVVVPYDSLAPTPEGSSEVKNLLDKLVVLKLNGGLGTTMGCTGPKSVIEVREGLTFLDLIVIQIEVIFLSFVKFSISTLHLNFLLMT >RHN68023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32215149:32218281:-1 gene:gene16305 transcript:rna16305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVEIVKFVYVIIIFISLFLGANVEGRIKCKEDSGCQNELYLSLLKSNFNSINKSKSMMP >RHN56927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34863855:34865615:1 gene:gene32396 transcript:rna32396 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQSSRAFGSTSLQLDTSEKTDGVESLYNGILANLQESSDPSKLVLEMILNPIFPLCQKGDNVVIIVDYQIYLLEQLMRISPDIEPCVRKEALKLAFDLKANMKENTEFFLAVLGFLMLLSIYKLLDSFDEDEVLELFAFVALHKIAVELFESLGFANRVSDFVKHLINRKQIVAAVRFSCAYDLDDEDQLVDMLREHVQNAKLICESFCKKTNSIEIKDKARDQEIASLGTVLQCISENRLESADLLHKEIDHRILVLKSHKGN >RHN73430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16374568:16375550:1 gene:gene9242 transcript:rna9242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase I associated factor, A49 MESPDPKSKKKAKTNKKMVTEPVHEPVQAKIEVVSSDPSKMPPFIGYFTSGFDPVKSSSGTDVQVYRNKVMHKRTELVVSPAGSSVEFVGTSYEGEAVAAARNPATYMLGVFDKESQTLKIVPIGGNKIFRLEPRVKGVEYKDAASDSD >RHN43714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47935351:47936049:1 gene:gene50332 transcript:rna50332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MHQPIDPSDEKTHVVDRTNIKAISLDMIAGAFETSATVVEWALSELMRHPRVMKNLQQELDNVVGANKLVEENDLSKLSYLDIVIMETLRLYPPGPLVPRESTQDSTVHGYFIKKKTRIILNLWAIGRDSAIWSNNAEEFFPERFVDKSLDYRGHDFQFIPFGFGRRGCPGINLGLTTVKLVVAQLVHCFTWELPSNMTPNDLDMTEKFGLSTPRAKHLLAVPTYRLHGEAT >RHN59757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12841246:12841671:-1 gene:gene21869 transcript:rna21869 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVIELSPLDNSAIFDLNFESFDHSSSEKWLKTSNIAPFIQLDIQSNEEFSHSSEIQHMEKIYTITRRNEKLSNVLLPLSAASFYNGVSPKMEIVESCESIDKLNAYLKARKDDVSAGVPGKFLHAIIGSDDAGNFSSL >RHN80806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40983929:40986655:1 gene:gene4773 transcript:rna4773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hypoxia induced protein MSDAKTQIESLRKWVVEHKLRTVGCLWLSGITGSIAYNWSRPNMKTSVKIIHARLHAQALTLGALAGAAVVEYYDHKSEEKAKAARDSRR >RHN67898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31263114:31264564:-1 gene:gene16143 transcript:rna16143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MADRISELPDSILSHILSLIPTKLTATTSILSKRWRSVWLSVCTLDFDDATFKDFQSFTNFVSSTMSARETATAPPIHSLSFKCSNESSPYDLKHMNQFLNLVVQQGQLENLDLHMPDQSTEILYLRVVVEIKLVPTIFSCRTLQVLKLNNLILRDITRDHNKLDLPLLKTLHWNGVVFESFKYLIKLLSSCPILQELQGYHSRVMFEKYSGEESFEGLLPNLVKAKIFDSYIPITLLSHVQNLHLETFWIDSYADFPMFHHLINVELILTLGSFIVQPSKLMWLLKLLQHCPKLQNLTIQDCADEDHWYYLKCLEDCVDEDDMFDNKQIVPCVSSQLEMFDQQIVPSCLSSQLKTCCLKDYKGTKNELRFATYIMQNSKVLQTMTIKSSCLVDINAKHQMLMELSSSTKGFTTCKLLFDS >RHN80534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38860413:38860911:1 gene:gene4472 transcript:rna4472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MESLQTLSGVKIGKGGIELIKELGKLRQLRKLTLFYARAEHFSALSSSLNEMRHLEKLCIVSGWEDGSYYDVIDLHLVSPPPMLRILKLGGRLEKIPEWIPQLKNLVNLI >RHN66202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9680608:9684232:1 gene:gene14142 transcript:rna14142 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKIDWKNLEWNFTVDELYEHLNAPKFVDFLSLNHNTNNNDDEAWFCKPDCNHPKTAEDFLRSPSPFKARSPFYLSENFPSGDQIRRDGKIKRRVPPLSSSSPQDDKFRFNIDNENQNPNLVTPQFKSMKSLIKSSEEKKKLVDDTLQESTEVPSLKSTLSAKNLFSRRPILNQITEFCNELKRLALRARERENDENLNPVESIEEEVVVVHEKTSPVNALAELDRREKERKPLLEMGKAERLEGMCVKGKLNRKKPDEAENMPITLDLENVRQKRENSLLQVRTNPPSPQCFSAGLNNPNPSKGSRSRLMERGILKEVEQNKEVAKDSPAQNNKSITISDGRETKALDMFWFFKPCTTLSS >RHN78703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17662103:17669648:-1 gene:gene2341 transcript:rna2341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSSHKILLSVFNKTVSFPSKQHQPNEIVHKITQILVNYSKTSNNPDLHSIISCITPHVTYRVLSQPILQPHSCLAFFHFLKTQHSNISLKPDLKAHLILISRLFEARKFATMKSILNGLVTDSDIECPVSSIVDLVDEFESHFVEKFCDMLFRVCSDNRLFDETIRVYDYVEEKGLVIEERSCFVLLLALKRCGEVDFCVRFFHRMVESNKFEIRVQSLTLVIDVLCRRGEVEKAKELMDEMVGKGIVKPNVFTYNTLLNAYVGRKDRKGVDEILKLMEKEQVVFSVATYSILIQWYSSSGDIEEAEKIFEEMREKNIEMDVYVYSSMISWSCRLGNMKRAFALFDEMSQRDIVPNAHTYGALIGGVCKAGQMEAAEILLLEMQSKGVDLNLVIFNTTMDGYCRRGKMDEALRLQAIMEKKGINADVFTYNILANGLCKLHRYDEAKCILNSMVEKGVKPNVVTFTMFIEIYCKEGNLAEAERLFRDMEKKGEVPNIITYNTLIDAYCKKEKVKQAHKIKSEMINKGLLPDLYTYSSLIHGECIVGRVDEALKLFNEMRLKGITRNVATYTSMISGLSKEGRADEAFKLYDEMMKIGLIPDDRVFTSLVGSFHKPLTHAGLEQT >RHN54749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11841664:11842364:-1 gene:gene29828 transcript:rna29828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MNNDETSMFSMSSSGRTILHVAVIAGHEEIVKNLVKEGKDKLVKMKDNRGYTALALVSELTGNTNIAKCLVEMKGGQVIRKDLLYMKNNDGEIPVLLAAAKGHKDMTSYLFAKTYTSEDMDDKKFHSRVLLLTRCINAEIFDVALSLLQRFQQLPLAHKSESETESDGVQPLYALARMPHVFPSGSRYGFIRRFIYKSKSIFFE >RHN48291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46368180:46373443:-1 gene:gene42993 transcript:rna42993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tyrosine decarboxylase MIAILSLTFSTPTFSMEEGGGSELKAMDAEQLREQGHMMVDFIADYYKTIENFPVLSQVQPGYLGKLLPDSAPTHPESLQHVLNDVQEKILPGVTHWQSPNYFAYFPSNSSIAGFLGEMLSAGLNIVGFSWITSPAATELETIVLDWLAKALLLPPDFFSTGLGGGVIQGTASEAVLVVLLAARDKILRTVGRSALPKLVTYASDQTHSSLQKACQIGGLNPELCRLLKTDSSTSFALSSDVLSEAISNDIASGLIPFFLCATVGTTSSTAVDPLPALAKVARTNNIWFHVDAAYAGSACICPEYRHFIDGVEEADSFNMNAHKWFLTNFDCSVLWVKDRSALIQSLSTNPEYLKNKASQGNMVIDYKDWQIPLGRRFRSLKLWMVMRLYGLEGLRTHIRNHIALAAYFEELVGQDTRFKVVAPRTFSLVCFRLLPPPNSEDNGNKLNHDLLDAVNSTGNVFITHTVLSGEYILRFAVGAPLTEMRHVSAAWQILQEKATALLESL >RHN62629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44799769:44803992:-1 gene:gene25224 transcript:rna25224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 70 kDa protein MAAVLRRALRRDLASSSASAFRSLTGSTKPSYAAQKWASLARPFSSRPAGSDVIGIDLGTTNSCVSLMEGKNPKVIENSEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTLFGTKRLIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVEINKQQYSPSQIGAFVLTKMKETAEAYLGKTISKAVVTVPAYFNDAQRQATKDAGRIAGLEVKRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALLDFLVSEFKRTDSIDLAKDKLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFEALVNNLIERTKAPCKSCLKDANISIKDVDEVLLVGGMTRVPKVQEVVSEIFGKSPSKGVNPDEAVAMGAALQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLISRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMAADNKSLGEFDLVGIPPAPRGLPQIEVTFDIDANGIVTVSAKDKSTGKEQQITIRSSGGLSDDEINNMVKEAELHAQRDQERKALIDIKNSADTSIYSIEKSLSEYREKIPSEVAKEIENSVSDLRTAMEGESVDEIKTKLDAANKAVSKIGQHMSGGSSGGSSDGGSPGGGDQAPEAEYEEVKK >RHN66846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20106184:20107226:1 gene:gene14951 transcript:rna14951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain, legume lectin MAFFPHNQKLFSFVLVIFTISFLLLATELVNSDKTVSFDLTDYTSGEQNLTLQGNAIIHDTHLELTSIEDDPYANVGRALYPTPVPIWDKTTGNVASFVTSFSFSLARFGSYPPADGLIFFLAPPNSVIPNSSIHGGDLGVIDDTTAFNRFVGVEFDNFVNEWDPNHSHIGIDVNSLISSKIGSWKSETGVLYNVRIIYDSLSKTLSVSLTDENGQVSTVAQVVDLKDVLPETVSIGLSASTSANLRQKHVIKTWSFNSILKTTISSNILENTNHTAIRMS >RHN67253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25213915:25227466:1 gene:gene15425 transcript:rna15425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEMVVSLVIDQLLPVLREETKLLRGIHKEFANIKAELESIQAFLKDADKRAAGAEGDNSSEGVKIWVKQLREAAFHIEDIIDDYLIQQKRSERYGFQRSFEQGTSNSRGSRNAKWNDPRVAALYIEEAEVVGFEAPRKRLTDWLVQGREERTVVSVVGMGGQGKTTLAKKVFDSKDIMGHFDCRVWITVSQSYNSEGLLRDMLLKVCKQKGVTLPEGISQMNRESLTDEVRNHLRKSRYLVVFDDVWNEFFWNDIKYVAIDCKNGSRIFITTRKKNVVVSCKESSFIEVHELQPLTLEQSLELFNKKTFKIDCDGCCPNELIGIADEIVKKCSGLPLAIVAIGGLLSTREKNVFEWQKFREHLNSELNTNAHLIGIEKILSLSYDDLPYYLKPCLLYFGVYPEDYEVKSKRVIRQWIAEGFVREEKEKTLQEVAEGYLIELINRSRVQVSSLKIDGKAKGCRVHDLIRNMILEKSEDFNFCKHVSDDGQTSLSGIVRRLSITTIDDVFKECIDKSHVRSLFCFGIKRMSPSFDRGIPTKYRLLKVFDFEDFVMNNIPMNLGNFIHLKYLSIMMSINAVEVVPKSIGMLQNLETLVLRGRYYFELPKEIRKLRKLRHLIGTELSLIHLKDGIGEMKSLQTLRYVSLNMDGAAEVIKALGKLKLIRDLGLLNVPKENESIFSSSINEMLHLEKLSE >RHN70949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55336001:55339939:1 gene:gene19585 transcript:rna19585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin-fold modifier-conjugating enzyme 1 MEGWDPNTKSTLTQIPLLTTKAGPRDGAAWTTRLKEEYKSLIAYTQMNKSNDNDWFRISASNPEGTRWTGKCWYVYNLLKYEFDLQFDIPVTYPSTAPELELPQLDGKTQKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEVPILVDSGMIKHKDDATTSAES >RHN56924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34835588:34836347:1 gene:gene32393 transcript:rna32393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MTGWELLDKIVNHGRMIEREARRYFHRLINVVDYCNSRIVLSQRPEGYLPFDDPNLMELLKKISSAEFTFPRGFL >RHN53458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1768007:1768240:-1 gene:gene28367 transcript:rna28367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPSIIKRTSSPKGVDEPKGYLAVYVGEEMKRFVIPVSYLNQSSFQKLLNKSEEQFEYDHPMGGLTIPCRETFS >RHN76464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50562347:50562973:1 gene:gene12795 transcript:rna12795 gene_biotype:protein_coding transcript_biotype:protein_coding MRFMKEILISKLNEIPKLYIDDFKCVTYSKDKLREIQEEWCQFMLGLKVI >RHN79021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21186062:21186222:-1 gene:gene2701 transcript:rna2701 gene_biotype:protein_coding transcript_biotype:protein_coding MHESELSFLKKNFNRSRSEFEVAVLNEVFSSSLCFFRLKTSIILQIYT >RHN55072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14924544:14930325:-1 gene:gene30200 transcript:rna30200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, EF-hand domain pair MPKLKKISQKRLTIKLVLDTLYFRVSGVFFPQFLGFAKQNNHTHRGRVSSSMVGVRRGGDVVHVVVAGDSGTGKTSLVHGAPRFLHCDGVPIKIIDTSSRTEDTDKVAEELQRADSVVLTYACDRSETLENLTTFWLPRLRKLEVKAPVIVAGCKLDLLDENQQVSLEQVMSPLMQQFCEIQACVECSSYKTFEVREVFFFAQKAALYPMAPLHDQESQTLTPRCVRALKRIFTLCDHDKDGALSNAELNAFQVRCFNAPLKPHEILDVKEVVKKNLSEGVNERGLTSTGFLFLHALFIEKGPLEATWTVLKKFGYNYDVKLAADLFPPLKLAPDQSVELTNEAVDFLETIFDEFDGDSDKVLQPHELEELFSSAPKSPWIENPYKDAVERNASGGLSLDAFLSEWALMTLLNPTFSVENLMYIGYPGDPSSAIRVTRRRHMDRRKQHSERNVLQCFIFGPMKAGKSALLNCCIGRPYSEAYNPATNEDRFAANVVDISTENKKYIVLREISEGGVTKLLANKESLGSCDIAVFVHDRSDSSWKTSSELLSKIAGHGEDTGFQVPCLTVAVNDDQDSFTMAIQETTMVSQYIGVEAPMPISVKLGDSNNIFHQIVTAAEHPHLNIPKTEGRKTCKQYHRLIDRSLMFVSVGVAVAFGLSVQGRMRQVKRQAL >RHN57017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35782917:35783586:-1 gene:gene32500 transcript:rna32500 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFSKDSHILHSSPLPDSGMPPNNTAGNASMFLDPDSSHYCAARKPNTRLNNAARTDSNIRSNETSLTNFGCFVHNNVPHNVRSTGQLRRRLLPQ >RHN67243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25138163:25138471:1 gene:gene15413 transcript:rna15413 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIIYIFELPINSLFRIGAPPVQWRSYSDIHALQFDDHSLCPLLVFLDKDNVLTFVHPKHGLKYKYTIKLPKVNFLEGKAYDDVPLNSDCEICYSKDGFIH >RHN72188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5623432:5624402:-1 gene:gene7872 transcript:rna7872 gene_biotype:protein_coding transcript_biotype:protein_coding MIPITLFRCWSPKLPTFDHLSDNHRAYLNLFLTHFMVSTLLLKKEYKVAPLIWLRLYMTLEQENMC >RHN64006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55854814:55857898:-1 gene:gene26778 transcript:rna26778 gene_biotype:protein_coding transcript_biotype:protein_coding MNYCETNCVVKRNKGKETDETLSFTQSKDNIKMSHDRNKGKQQRYSLVSFMELPNYMKDNEYILRYYRANWPLKEAFFSLFRWHNETLNVWTHLVGFILFLGLTLANLMKPHVVDLLQQFTRSISSGGEKNVSDSIKVLGTALLFDLNHQLPLTMEVESLEFVIARWPFFVFLGGSMFCLLSSSICHLFSCHSHDLNLFLLRIDYVGIAVMIITSFFPQIYYVFLCQPHWQLIYLAGITAMGLFTIVTLLSPSLSTGKHRAFRAMLFCSMGLFGIVPAVHACVANWGNPRRNVTLAYECVMALSYLIGTLFYVTRIPERWRPGWFDLAGHSHQIFHILVVVGALSHYAATLKMLEWRDTFGCDKA >RHN56094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27648554:27649356:-1 gene:gene31430 transcript:rna31430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative auxin response factor MDAVELVVKSMAFEVLYYPRANWIDFVEDANVVDDGMKISWTSGMKVKLPLKKEESSNSKMIFYQPRGTNSDVYKAPSNVPNWRMLQVKWDEPEISQNPNRVNPWQVELINHTHVSYPSFPQQ >RHN60637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29027936:29032334:-1 gene:gene22983 transcript:rna22983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] MHLTMASHSLMSPSPLTSHSLLRSSFSGVSVKLSPQFSTLSRSTFKPLSVVAAAKKAVAVLKGNSTVEGVVTLTQENEGPTTVNVRITGLTPGLHGFHLHEYGDTTNGCISTGPHFNPNQLTHGAPEDEIRHAGDLGNIIADANGVAEATIVDNQIPLTGPNSVVGRALVVHELEDDLGKGGHELSLSTGNAGGRLACGVVGLTPV >RHN50449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7214259:7214606:-1 gene:gene34732 transcript:rna34732 gene_biotype:protein_coding transcript_biotype:protein_coding MSANFGDICLFKGRPYAVDKIGKTIMVGPDSSVQLVAEPLVGGGNVKFLVESEGDLLLADVYDCLFTDLYNLNHNDRVRIDLFKLNEKEKKWVKLTSFGDRVLFLGLGSVVNASF >RHN64578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60166248:60166864:-1 gene:gene27406 transcript:rna27406 gene_biotype:protein_coding transcript_biotype:protein_coding MTVALHQLLGSQGGHHQQCFQMSMFLLLIEKLMNFHEICALLFHRSPTHSLNFPPNFLHHIYLQQILNLNLQRSSSASLTFLE >RHN39341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6220920:6221576:1 gene:gene45351 transcript:rna45351 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKDICNCCCSSTSLRMDSSEFFNRLSRLCKASLTATSLVEQVAFGEDSGLPNRMLSSSAKGSSSDPSSCLLRSVIGAFSFSCSGSLIRSLVSGTMICSPCMFGFSSGFLVSSQLSGLS >RHN60585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28484710:28488154:-1 gene:gene22916 transcript:rna22916 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MACSDEKHDRLSNLSDDLICHILSFLSTKECYRTCFLSTRWESICTKIPNLHFQLPEISDPELSKEIKFVNVALLRRTENIRKLRLDGEKGCQPHDVHMWVSKALDLKVQELDLGLLLHEKTLLPLRLSTCESLVVLKLQGRIQPTLNSAFHVYLPSLKILHLRETVRYSMVDDGKEYDLNNFLSGCPHLEELLLHETFALPINTSFHLLKRLFLCLYMPTSVIKCCPLQINVPSLEVLSMVDFSLKPRKYDFTNLSTLDEAALCICKRPVFNNLYTLLKGLSNVKTLALGSKTFHFLSMEDKLDNLHLLTFHNLLYLTVEISENCNWNMLVSFLQNAPKLKDLAVMRKIEINSRRKEVGNSSWVEPLVTPACLATTLITFEFKGIQNIKTDLDFTRYIVSHSSKLLKVKIFTPTAKKRRVEKSLRMGLKKSSLLVWDINSIEDHLDFTKISMTTTRPS >RHN49755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:886510:891865:1 gene:gene33963 transcript:rna33963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphodiesterase I MCGQPARTVGWRDPGFIHTSFLKELWPNMSLREGFGLKGSSSSSNRAVSSSSPPTVQPSMRHVPL >RHN77354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6240870:6248191:1 gene:gene800 transcript:rna800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MADLTNFPPSSSELPKRNVRGATKMKKMTKVLKSGVKPLVNFNPETGRCYGPNSAEFKSYAAYLARSKCSILIDEWKQVDASTKDAIWVDLQKHFVMLESDDPKNDPLKKKWMVYLGVRWNGFKAQLTSEYIAYPNPERPPPYVRYPFIKEHIWKRFIESRNTDDEFKEKSQKGRDCVAKNIYRHTLSRGGYELLQEKMMKEKRKLLEESGDVDDILNDDLSLSPPSRHDTWKRARQKKGGEYTSEAAKVVAEKIDALVEETAKGTFVPQGRDDILTRAIGTKEHGGRVRGVGPGYTLSNYFGRSSRLTQTIDVNQHLSQLQTNLERQIKEKFDAEFEQKMAVERELMQQAFLDKLKTMGFTQTLQINEEIEHSSPQKVDVHGSTKGSCTAAQENYKEDLTIDNVQKLLCMVLRSEEDIRIALEHEPNTARFFIPAKCIRELLVGNAWLDFSILQLWCTCMHRLCISRNRLKVFGILDPVCLDFNPTDPSTKSKVQGHIQTRLRDLNKVCYLAPYLFKGHWQLIIICPKDNSLVVLCSMH >RHN43339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45018741:45022322:1 gene:gene49896 transcript:rna49896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipid-hydroperoxide glutathione peroxidase MASASNPQSIHDFTVKDAKGNDVNLGDYKGKVLIIVNVASQCGLTNSNYTELSQLYEKYKSKGLEILAFPCNQFGAQEPGSVEEIQNFVCTRFKAEFPVFDKVDVNGATAAPIYKYLKSSKGGLFGDGIKWNFSKFLVDKNGNVVDRYAPTTSPLSIEKDLLKLLDA >RHN73183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14169238:14171021:-1 gene:gene8971 transcript:rna8971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MLNIHPRYRPSLYLKALTFLPAPNPNPLFPSLLTFSLHFCTNTSNSTSFAASYLIHNFGFTPQFASKLCSAHRLRFKTTQKPESVLTFFRNYGFSESQLRHMIPKMSRLLSCDPSKRVLAKFQFFLSKGASNSDIVNLVTKTPIVLSPSLNNHIVPTYELLYRFLQSHKDTIAVLNPASIISSIESILINFFWGGSEDNRKVAWVDWNSICMSKGCWRFGDLRGFMTYRCTRVGRRWGAWRWRRRLLAWEEDLVLEIRNLLSNITLQESEADVYIWCPNIGVGYTVRGVYHMLMRQEIHSYDVTADAPWHKSVPLKVSICAWRLFHNRWPTKDNLVRRGVITNENQLCVSGCGEQETIDHLIIHCTIFGDLWKHIKSWIGVYSVDPQQVTDHFYQFVHSSIAYTPRRSFLHLVWLCCMWVLWTERNHILFTNKTITTVQLVEKMKMYSFRWLKAKNVCFPFGYHMWWQNPLACLGIGRSLFFIYNITLQDFLM >RHN79095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22523484:22531622:-1 gene:gene2797 transcript:rna2797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MATAKIGLFGVATLPPTHNHRITTASSHHRFIFINSRRSLRTRSSSSLTLRASSDTSSSSVASPGNAVENVVIIGSGPAGYTAAIYAARANLKPVVFEGYQMGGVPGGQLMTTTEVENFPGFPDGITGPDLMDRMRRQAERWGAELHHEDVEAIDVKSSPFTVQSSERKVKSHTVIIATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQILAVVGGGDTATEEALYLTKYARHVHLLVRRDQLRASKAMQDRVYDNPNVTVHFNTETVDIVSNTKGQMSGILVRKLDSGEESVLEAKGLFYGIGHSPNTQLLKGQVELDQSGYLLVKEGTAKTSVEGVFAAGDVQDHEWRQAVTAAGSGCIAALSVERYLVSSGLLIEFHQPKHEEVKKELTDRDVQAGFDITLTKHKGQYALRKLYHDSPRLICVLYTSPTCGPCRTLKPILSKVIDEYDQSVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKENLKTVSGVKMKREYREFIEANI >RHN74122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28774197:28776061:-1 gene:gene10115 transcript:rna10115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDDHRNTTSNAIGLCLLPQELIQNIFLSLVLPEIVRLKLLNKSFSTIISDHTFVRQCNSLSNSTTWLFVYKKRWLRDAVLHAFTDRSSDRWFRIPMTELLKPVDFHGEDLYFLAASANVFLFASNNVREVIAVNLVSVTVKKIPPSPLGPRGTSSWRRSGMKLVTDSSGSGHFRFMFAEFVGNRPVLFVYDSVTDTWKSTEGEEKGNDGVLARGGNHVFLSVVHGPRESVLVASSSTPDCDVPVILRPRFNDAEGLTVGFNWGNVIDRLHVYGDGYMMIVKSDGENGSRRGLRVLKEVELWGLSLDGRKWEFVSCVSGEVMRVIEKRYGVMMGCLEEKNGIIRAALVSNCDGFWDMLWLSFDTKWNRWNWMPLPDCKMKGWNMAGISFSSGLTLQ >RHN64024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56001865:56003983:-1 gene:gene26799 transcript:rna26799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MVLAATFLDCCIAHRVFSGILRSDVMCMACGFTSTTYDPCVDISLDLEPNQGGSTKMAAAASNPSCTGEADSSQNFGISTLMGCLDRFTRAEKLGSNQKFFCQQCKVKQETLKQMSIRKLPLVSCFHIKRFEHSSRKKMSRKVDRYLQFPFSLDMSPYLSSSILRSRFGNRIFPFDGDEPDASNDLCSEFELFAVVTHSGKLDAGHYVTYLRLSNQWYKCDDSWVTQVDENIVRAAQGYMMFYVQKMLYYKATDKSVAS >RHN81925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49858329:49861668:1 gene:gene6023 transcript:rna6023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MAKYQITLIEKMSCILTTCFLLSIVLSTHAEFVKKTYIIHMDQSAKPDIFSSHQEWYSSKVKSVLSKSVEAEIDSSEEERIIYSYNTAFHGMAAKLSTEEAKKLESEAGVVAIFPDTKYQLHTTRSPYFLGLEPIQNTNRSWSEKLANHDVIVGVLDTGIWPESESFIDTGLKPVPSHWKGACETGRGFRKHHCNKKIVGARIFYHGYEAATGRIDEQADYKSPRDQDGHGTHTAATVAGSPVHGANLLGYAYGTARGMAPGARIAAYKVCWTGGCFSSDILSAVDTAVADGVDVLSISLGGGVSSYSHDSLSVASFGAMERGVFVSCSAGNSGPDPVSLTNVSPWITTVGASTMDRDFPADVSLGNGRKFSGASIYKGKSVLSVRKQYPLVYMGSNSSSPDPRSLCLEGTLDSRTVTGKIVICDRGISPRVQKGQVVKNAGGVGMILTNTAANGEELVADCHLLPAVAVGEKEGKDIKQYVLTTKKATATLAFHNTRLGIRPSPIVAAFSSRGPSLLTLEILKPDIVAPGVNILAAWSGLTGPSSLPIDHRRVKFNILSGTSMSCPHVSGIAAMIKAKHPEWSPAAIKSAIMTTAYVHDNTIKPLRDASSAEFSTPYDHGAGHINPRKALDPGLLYDIEPQDYFEFLCTKKLSPSELVVFSKNSNRNCKHTLASASDLNYPAISVVIPAKPTNFASTIHRTVTNVGPAVSKYHVIVTPFKGAVVKVEPDTLNFTRKYQKLSYKISFKVTSRQSEPEFGGLVWKDRLHKVRSPIVITYMPPM >RHN52616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37118107:37122363:-1 gene:gene37310 transcript:rna37310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MVLKLDSLEKRDLSHCRNLVSISPLKLDSLERLVLSNCYKLESFPSVVDGFLGKLKTLFVKNCHNLRSIPALKLDSLENFDLSHCHNLVSISPLKLDSLENFDLSHCHNLVSISPLKLDSLEKLVLSNCYKLESFPSVVDGLLDKLKTLFVKNCHNLRSIPALKLDSLEKLDLSHCHKLVSISPLKLDSLEKLVLSNCYKLESFPSVVDGLLDKLKTLFVKNCHNLRSIPALKLDSLEKLDLLHCHNLVSISPLKLDSLEKLVLSNCYKLESFPSVVDGLLNKLKTLFVKNCHNLRNIPALKLDSLEKLDLSDCYKLESFPSVVDGLLDKLKFLNIVNCIMLRNIPRLSLTSLEHFNLSCCYRLESFPEILGEMRNIPRLHLDETPIKEFPFQFQTLTQPQRFVSCDCGYGRLPNRDVVMSESAELTIKAEEKMNQMQSSHVKYICVRNCKLSDEYLSISLMLFANVKELHITNCQFTVIPKSIEKCQFLWKIVLDDCKELMEMKGIPPCLRELSALNCILTSSCKSKLLNQKLHEAGNTRFRLPRAKIPEWFDHQCEAGKSISFWFCGKFPAIALCVVSPLTWGNSSEHLVRVIINGNTFFYTHGWQRVMGTQPDMYHLHLFHMQMEYFNDNMDKALLENKWNHAEVDFGFPFMFSGIHVLKEKSSMKDIRFTNPENDANTVHLGLSHATRAL >RHN50019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3193043:3199093:1 gene:gene34266 transcript:rna34266 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQNCYIIVLLLLVDSPIPRYSKIRKNIVHFISPFIVSRTSLHYTRSNPKPPNASITTFTMPSTTTLILITLVVLITTTSTTTATPIIGLDSHLTTQSHTDPSATTDTFTTLPSQIKTSLSSLPLSLSLSLPSLPISLTIHLIGTFPVNTPSLLSSFLSQSPSSFSLISPSLSLSHTLSLPHTLHVTTLSQPTLTQTLTQTLTNLHVTTPTSLRTSLLSFPYSSIDKIIQNHFNTLSPEPNGFHLYLINLPEPKIDPITKTVKPYAYSYTSTSSDNSSPGFTNCLGTFWNSENRYFWIDLSAGPVDYGPAISGDGLIPRGEFHPFATLNGRPKGDRSISADLASIVMSSYQTFLAPSLRIPVGFDEKLVVQFVHVYGGDEKEKDLDWKLIEKRFRDGNVLFRDQKLEFRVYEVKYDECSICSFAVSKSINSYTSRFLFDNYTLIVSEYLDSKRLHQILSDNGDEMRKIAGIPEEEDFGRVVPVYVFDLDYTSLLMLDRYHQSVAFKDMVIAVRTRNTQTVSDYSCNGRHVFVHTRELERPIVGSVLQSMWGVSPTHLNWSPLHNSTLVDYTWSMGQTPFGPFSQISSLSFVQKDAAKRNVLLTSLNYSVSSAHDVLQSLETHGGDRNLLKPKQHAEFVQRWNLFKYKLNKAVSALSHLDYEMALFYLRSSDHDLYAIHSIVYHASQEIEASLTCFKDPPFPWFSVSTSAVAFIAISYVYAKRDKLFRNKRKQF >RHN53509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2163797:2165985:-1 gene:gene28421 transcript:rna28421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MATLKIYFSFPILILLFHSTKSTAPMCDKRHCGNSSDLFFEFPFQLRKENQINNAQSDRCVYPGFEVVCKNKQPLITLSNGREFVVKHIYRERQRILVKDLNDCPPRRFIQNIDIDIDDDSPFQLDKSYENDHYENVIFLNCTNNVEKEPFDDLPNIPCLSSGSYTIVYTIESPLTNLWNSSCSKIGFAKVPVTNNSGEPMVILNSDILLRWNTPLCKCEADQSCGFLADTGLSVTCYDTNGYFGPPGGNATAPKAKNIYFFPVVWGISGLLFFMWVTLSVCKDRQQNHTQQTQTITNIEPSNQEPHWFVFGLDHSRIEQYPKIQLAENGQLPKFIDNVCSICLSEYKPMETLRSIPQCNHHFHADCIDVWLKMNATCPLCRNLPE >RHN59710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12693529:12694266:1 gene:gene21810 transcript:rna21810 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpI MNVLLCYINTLIYDISAVEVGQHFYWQIGDFQVHAQVLITSWVVIAILLISTILVVRNPQTIPTSGQNFFEYVLEFIRDVSKTQIGEEYGPWVPFIGTLFLFIFVSNWSGALLPWKIIKLPHGELAAPTNDINTTVALALLTSVAYFYAGISKKGLAYFGKYIQPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >RHN65334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1274483:1276489:1 gene:gene13160 transcript:rna13160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MHPLTLFTLFSTFILTASGAGNIHLNFPYFTTADTATNLTFLGDSSLTTNGVVSLTQPTTFSTGAIIYKHPISLFDISTNTTSSFSTTFSFSITNSNPTSFGDGIVFFLSPNNLSSTSSPSPFGLPTNFIAVEFDTRFNPHFNDPNENHIGFDIDTLNSLKIVDPIFNGIDLKSGNTITSWIDYKTDQNLLSVFLSYSTKKPHDPILSATVDLSGYFRDNEAVYVGFSASAEKSTELHQIERWSFYTVGFEPARPRLRSHNVSDNSVGVSTGNEVKVRGSRSSFSSSSKKKFGFGFAVAGPVFFRVVFTLLGYYSFMKWKGVRKGVSKNFQTGFVACPREFSYRELKSATREFHPSRIVGHGSFGTLYKAFFISSGTIAAVKRSRHSHEGKTEFLSELNIIAGLRHKNLAQLLGWCVEKGELLLVYDFMPNGSLDKMLYKEPERGKLLTWSIRYNIVVGLASVLVFHQECEQRVVHRDIKTGNFNARLGDFGLAKLMDHDKSPVSTLTAGTMGYLAPEYLQYGKATDKTDVFSFGVVVLEVACGKRPIEREGPKMINLVDWVWGLYYEGKIIEAVDKRLNGEFEEEEMRKLLLLGLSCANPDSAARPTMRWVLQILNNEAMQFTVPKVKPTLTFSSDLPLSIHEIVSDDDLDYEEFNTSQSMCEIKIH >RHN67487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27653640:27654011:-1 gene:gene15680 transcript:rna15680 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHRSLPELKDLTIYEFHELEEIIAENGELVQLSNSEVCIPKLERIEVSFCHNLRSLFSVAMVRMPLQLCALDISNATQLEEVFSHASGDNSNNVSEIMETVECLSHSKLSNLHLQRQCNKV >RHN73139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13712900:13714265:-1 gene:gene8925 transcript:rna8925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MLVMATLEFHRPHKNTSHLLNHLLCSQLSSLSGPSDRGITLNTLELMDHKMRRLQDPQSVEEAVKVAYTFTSQPAPWLFDELSTTIVCKLHQGSTVTNMEFHPSIHSIFAAGSENGKISIWEARLRERLISKPFTIWNISNCSVEFQVYIQLFNCIITYGSCISVQRILFKMILYVMVEFRVAFAKHLIHLYTYQVHNGLQEHLEIDAHDGDVNDLAFSFKKNQLCVVTCGDDKLIKVYSVLPHSKENIQVKLFSHHLFTLRIFFLIDLVVSTFIINFQFCDHYN >RHN38798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2092905:2095406:-1 gene:gene44765 transcript:rna44765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MSALKTIIMERKSIVSICFFLVILLTSQKQVVHIEAYCEKQSRNFIGQCLGLIGDKLCYIICVTKENSISGSCQHLRCICSHAC >RHN69499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43969085:43969850:1 gene:gene17978 transcript:rna17978 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKLRFCCEGVDIVKLAAVEQNEKKVTIQHKPEPWGKGNNDATVIPPKRKCVKNTVCNCFVQCICAKCLNTNTLSPPTPTITSANYRIKTKGVK >RHN72474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7968810:7973197:-1 gene:gene8189 transcript:rna8189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKSLKFFYILISFVSLYFGVVNSHPCLSDHDCYIQYPKTPFGHMECYKGSCRPI >RHN54481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9934612:9935359:1 gene:gene29531 transcript:rna29531 gene_biotype:protein_coding transcript_biotype:protein_coding MICVHPFPHTPPLYTPYVAGHFGNFTFFKFFYFLFPDHLPSLFSHILSLTLPFYSPTCRPTTVLAVLFSCPHSLNSNLTHSVLLHALSPIWVSRSVYGMTLLVVDGGWCGEFG >RHN44287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3215949:3221729:1 gene:gene38387 transcript:rna38387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cystathionine gamma-synthase MAVSSFHRVFTFECRSDPDFTSLPSTDNHHHNRRRHFPTTSLSSAAAISSPILRFPPNFQRQLSTKARRNCSNIGVAQIVAASWSNEGTGNPNAGVPTPVPAVASAVDATTAPVPLDLDADVTDGDVVENGAVQTNRSSYSSFLKSDASKTIHAAERLGRAIVTDGITTPVVNTSAYFFKKTADLIDFKEKRQTSYEYGRYGNPTSTVLEEKISELEGAESTILMASGMCASIVLLMALVPAGGHLVTTTDCYRKTRIFIETVLPKMGITTSVVDPADVGALQSALEQNKVSLFFTESPTNPFLRCVDIKLVSELCHKNGALLVIDGTFATPLNQKALALGADLVMHSCTKYIGGHHDVLGGCISGSLKLISEIRILHHILGGALNPNAAYLFIRGMKTLHLRVQQQNSTGMRMAKLLEAHPKVKRVYYPGLPSHPEHELAMRQMTGFGGVVSFEIDGDITTTIKFIDSLKIPYIAASFGGCESIVDQPAILSYWDLPASERAKWKIYDNLVRFSFGIEDFEDLKADVLQALEAI >RHN41782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32910920:32912609:-1 gene:gene48141 transcript:rna48141 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFKRKLEVASVGHTKPFVWLAAIICTIFAIAVTITGIVVFIGYILIHPRAPIISVTNAHLDLLRNDHAGLLQTQLSIVVTAYNGNAKAHATFSKITFSLSFQGQGIAMLVADSFDVPKNSTNDLRYVVQSSSIPLTPDQIDKIDESWKRNEIGFDFKGAARTRWRIGPFRSVKYSCHLNCYLKFRPLNGSYIHSKCSSKSK >RHN48100.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44999992:45000984:-1 gene:gene42775 transcript:rna42775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MRNIPSIIEFNKILSSLVKTKKNYLKPISISQQMEFQGIRPDIVTINILINRYCHLSQMTFAFSLFGKILKMGYHPNIITLTTLLKALCLNGDVQKALHFHENIVNPDVCTFNIFIDEFCLQGNMKEAKNILGVMMIQGMKPNVVTYSALMDGYCLLNGVNKAKHTFNTMVAVGVAKDVHNYNIVINGFCKSKMMDEALDLFEEMYSKNIIPTIVTYNTVIDGLCKSGRISYAWKLVREMRDMGQPADEITYNSFLHTFCKNHQLDKAIALVKEIHNQGIQVDLYTCSMLIDGLSKGGRLKDAQQIFKDLLIKGYNVDVVTYKYMISGLC >RHN63054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47974970:47977286:1 gene:gene25708 transcript:rna25708 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >RHN79161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24764156:24764573:1 gene:gene2888 transcript:rna2888 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLHGDINNLFLACGSSSPSPLFARIFRRRPWISSPPVAFLTCEDRGGCDDVQSWICVLGSGLFVLVLCFGTRSWLFRFRRLQVVASHSSTINYPSSYF >RHN69044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40549835:40553554:1 gene:gene17471 transcript:rna17471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium/proton exchanger MVVQVGSLEGRGVIELENASLLNPSSFPKKDASFGEEDSPIDHEYRNFSQDVIKIKMLSSIKLVILSTKINLLLPFGPMAILVDKLFHSHGWVFLFSLLGIIPLAERLGYTTEQLAFFTGPAVGGLLYATFGNATELIISIHALKSGLVRLVQQSLLGSILSNLLLVLGSALFAGGLVFHKKEQLFNHADANVDFGLLLMAVMGLLFPAILHATQTESEYGTSQLSLSRFTSCIMLVAYASYVVFQLKIQKNLELSVHEDKNFNEDASNDAETPEISMWESMIWISILTGGISILSEYLVNTIEGASKQFQMPVSFLSVILLPAVGNAAEHVGSVMFAIKDKLDISLGVAVGSSIQISMFVIPFCVVVGWTIECPMDLNFKPFETTSLFMSVIIVAFMLQNGTSNYFKGIVLILCYLIVSASFFEHIDPMLVEDNPSTAGP >RHN50104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4089894:4090900:-1 gene:gene34357 transcript:rna34357 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MKGIKLLVSMSQAMMILLLVCTVLVMLPVASAKRWIVGDKKGWTTNINYSTWIEGNNFYNGDWLFFSYDRNQMNVLEVNKTDYETCNSDHPIYNWAAGAGRDVVPLNVTRDYYLISGKGFCFGGMKLAIHVKNYPPPPVARGVHGLGQPKKSRKTHPKNQKKRVGSGNCKKQR >RHN40624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18297853:18301642:-1 gene:gene46794 transcript:rna46794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate dehydrogenase (NADP(+)) MQNHLVQILALFAIEPPVSLDAEDIRNEKVKVLRSMRPIQLEDVVVGQYKGHSKGGRSYPAYIDDSTVPMGSLTPTFAAAALFIGNARWDGVPFLMKAGKALHTKRYGTFSLCLEKLRLLN >RHN66017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7687210:7696325:-1 gene:gene13927 transcript:rna13927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAELIAGAFLSSLFQVTLESIASRDFKDLFNQKLVENLEITLYSINQLLDDAETKKYQNQNVKKWFDRLKHEVYEVDQLLDEIATNAQQKRKVKRLLSTLSNQFESRIKDLLDKLKSLAAQKDVLGLTQSNEGGVSLQSSKRSPTASLVDESCIYGREGDKEEIINYLLSDKDNNNQVSIISIVGMGGMGKTTLAQLVYNDQRMEKHFELKAWVHVSKSFDVVGLTKTILRSFHSPADGEDLDPLICQLQKTLTGKKCLLVLDDVWNGNEECLEQLLLSFNPGFSGSKIIVTTRDKHVAFVMKSDHQLLLNQLEEKDCWSIFVKHAFRGKNVFEYPNLESIGKKIVEKCGGLPLAVKTLGNLLQRKFSQAEWFKILETDMWHVSKGGDEINSVLRLSYHNLPSNLKRCFAFCSIFPKGYVFEKDELIKLWMAEGLLKCCGRDKSEEELGNEFLDDLESISFFQEPLYSWGDKRLFMHDLVNDLAKSESHEFCLQIEGDSLQDITERTRHICCHLDLKDGARLLNHISKIKKLRSFLVVSRGYDEECFIISNNLQHDLFSKLKYLRLLSFGGCKLKELSGEIGNLKLLRYLNLTKSLIERLPDSICKLYKLETLILERCSELTILPSKFYKLVSLRHLNLKGCNIKKMPKQMGSLNHLQTLSHFVVGEENGSNIQELGNLNRLQGKLCISGLEYVINPEDAARANLKDKKHVEELNMKYSDNFKFNINRRESDVIEALQPNSNLKRLTIEGYNGRSFPNWITGCHLPNLVSLQLLSCGLCSHLPPLGQLPSLKELSISKCDGIKIIGEEFHGNNNLTNVPFLSLEVLKFEMMNNWEEWLCLEGFPLLKKLSIRNCPKLKRALPQHLPYLQKLNISDCNKMEASIPKCDNMIELDIQRCDRILVNELPTSLKWLFLCDNPYTEFSVDQNLINILFLEELKLDFRGCVNCPSLDLRCYNSLCYLSITGWGSSSLPFSLHLFTKLHSLYLHNCPELESFPMGGLPSNLRHLKICNCPKLIGSREEWGLFQLNSLDSFFVSDEFENVESFPEENLLPPSLTDLNVINCSKLRIMNKKGFLHLKSLNWLYINNCPSLESLPEKEDLPNSLSNLWIEDCGIIKEKYEKEGGERWHTITHIPNVWIDNIKQK >RHN80066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34756805:34760329:1 gene:gene3942 transcript:rna3942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain, CDT1 Geminin-binding domain-containing protein MKTSSETPSQSSHNTRSKKPNLRSSKSLFKDPIELTTKTPEKSLEKPPPMRTRNRGVALSISDIRKVAKGLQDQKKQSNETTSLKGKSARRQITLASPRKSSESSNLPEKYENLGEFFDSLDSSIRLLRLKGSMTSFNNIRPKIETLTDRRFTHAHLAQLKFILPEAIAIKKLLVFDERTSCMKPDLHVSINPDAVEFNAKLLSESGTMSLRKLFRVRLNEFWKSHPEGDEVPEEMLPEPFSRPKQDPLVHMMKPPSSFPAVKLSVARASSDIADNTDPANSESHVSVPAETSVEALNQKPAVASHMPQSFRRRFSQKLKENVQPLQSDSFQPSVVPVSESSLRINSTSEVPKISPPEFVVESSSSEAHPAIHGSSDCFTPSRVTLATPEFVVESSSSEAHPAIHGSSDCFTPSRVTLATPSKTIEYAESKDGSFKTVDAMSTPAKFVSTPARLMSATPALKPPKRSFMTPDDNSTSSPDKLVKRPPRSRSLKFDTPMKNENDAGGLSIDDDIFDILPDNLLHSIQEKERIAMEERDPAISQAKKRKKIIASLPKLFNMIHMMFHSINRSVITKEELMSKIISSHRDIVDRSEVEEQLHLLLELVPEWISEKAASSGDMLVSVNKMLNPESLRASLEEAK >RHN76865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1923466:1925601:1 gene:gene249 transcript:rna249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdate transporter 1/2 MANQNSHSIPISDPETTITNPTKKFSANLNFQKVKNNLVLHSKWAELNGAMGDLGTYIPIVLSLTLSRNLNLGTTLIFTGVCNMLTGVIYGVPMPVQPMKSISAEALSDTTFGVPEIMAAGIMTSGAVLLLGVTGLMQLVYKFIPLSVVRGIQLAQGLSFALTAVKYVRKMQDLPKSKALGQRPWFGLDGLVLAIVCVCFIVIVNGAGESENHRCCNSEESGEKKRTSRVKKILFSLPSAFVIFVLGVILAFIKRPNVVHEIKFGPSSIEVVKFTKHAWKKGFIKGTIPQLPLTILNSVIAVCKLSKDLFPEKDFSVTSLSVTVGLMNLVGCWFGAMPSCHGAGGLAGQYKFGGRSGGCVALLGVAKLVLGLVLGTSLAHILMQFPVGILGVLLLFAGLELAMCARDMNSKEDSFVALICTAVSLVGSSAALGFLVGMIVYLLLKLRNLTKDKP >RHN41079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26799869:26800276:1 gene:gene47361 transcript:rna47361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MSNQTSKTQWVHEQSYGSVGSSLRRRGNPSCRCGEPTVVRTVTDSLNPNYGKKFWGCSNYMNAYDKGCSYFKLVGEDDFIDERELKIEKQSKKIKKLKFELSRTRKWLLMALIFGFVWFGIGLVLGTILGGFYLK >RHN62904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46646191:46652756:1 gene:gene25540 transcript:rna25540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cu(+) exporting ATPase MAPSIQLTSAGAGEDSGDLEDVRLLDSYDKNDVNDDGTNRIQVRITGMTCAACSNSVEAALMSVDGVIQASVALLQNKADVVFNRNLVKDEDIKNAIEDAGFEAEILHEPVSTGPKPVGDSTVVGQFTIGGMTCAACVNSVEGILNDITGVKKAVVALATSLGEVEYDPIVISKEDIVTAIEDAGFEASFVQSTSQDEIVLGVVGVCSLVDARVLESMLSGMKGVRQFRFDPLLSELNVVFDPQVLSSRSLVDEIRVVSNDKYTLHVRSPYARMASKDGSESSSMFRLFITSLLLSIPLFFMGVICPHIPFIYSLLLWRCGPFLMDDWLKWALVSVIQFGIGKRFYIAAFRALRNGSTNMDVLVALGTTASYVYSVCALLYGALTGFWSTTYFETSAMLITFVLLGKYLECLAKGKTSDAIKKLVELTPATALLVVKDKDGRPVEEREIDSLLIQPSDTLKVLPGTKIPADGIVTWGSSYVNESMVTGESIPVSKEINASVIGGTINLHGVLHIKATKVGSDTVLSQIINLVETAQMSKAPIQKFADYVASIFVPTIVALSLLTLLCWYTAGALGAYPDEWLPKNGNHFVFALMFSISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGESLERAQMVKYVIFDKTGTLTQGKATVSVAKVFAGMDRGEFLKLVASAEASSEHPLAKAILQYARHFHFFDESSLTNGSQNDANELKSGWLYDASDFSAIPGRGVQCIIDGQRVLVGNRKLLVESGISISTEVENFVVELEESAKTGILVACNGILIGVLGVADSLKREASVVVEGLQKMGITPVMVTGDNWRTARAVAKEVGIQDVRAEVMPAGKADVVRSFQKDGSIVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAANYVLMRDNLEDVITAIDLSKKTFSRIRLNYVFAMAYNIIAVPVAAGVLFPSLGIKLPPWVAGACMALSSVSVVCSSLLLRRYRKPRLTTILEIIVN >RHN68661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37552769:37558288:1 gene:gene17041 transcript:rna17041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MAPTVPIDFAGQKESRKYSQSQIMGKSRKYSKGYATGFVPEFRHVVETMGESDGLGSSGRIDMEPTASADSYAPNRKQLGLKGDDGYGSFDIPFQLYSLSKMSAMERKNLKSRLIWELEQVRKLQKKIDSMNSNIVGLSPSSDIRSCSVGQKRPQLESQHSILQASVPHGKKRPLPGRSGPKTKKSMSGRFEYPKTAAPMASYATLMKQCENLLNRLMSHQFGWVFNEPVDVVKLNIPDYFNVIKHPMDLGTVKSRITSGKYSNPMDFADDVRLTFKNAMTYNPPGNDVHGIALALSKAFEKGWKSIEKKIPVIDHRVPSEPSNPTYVETVISDPIPPTKKKKMTPNDTFTKPEPAERIMTDMEKQKLSQQLEDMLGDLPDSILDFLKEQSRIAGGQTNDDEIEVDIDALSDDTLFKLRKLLDDYLLEKQQFQPKAGQCETELLNESGFSNSSMQPSKGNELVEEDVDIVGGNDPPNSNYPPLEIEKDGVNRNSKCSSSSSSSSESGSSSSDSDSSSSSGSELDTAKASEPLSSKENVGPGLTYDQNRGDPSNPETGNDSTNLGIQADQSLQTKPDTIESESHLDGEGVASKRQVSPEKLYRQALLRSRFADTILKAQEKALEKDEKRDPEKLRIEREELERRQKEEKARLQAEAKAAEEARRKAEAEAAAEAKRKRELEREAARQALQNMEKTVEINESCQFLEDLEMLSAVHDEKTPSFKEEASPDDHQNGFGGIKLQGNPLEQLGLYMKVDDEDEEEELPQSAAEPSKDVEEGEID >RHN47522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40294371:40295241:-1 gene:gene42135 transcript:rna42135 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFGSDCQISLDPVQIQSMNLASSTLQILRHEYFGDLNIVISVGFCILPCYVINMDAVSLFTDSSLLFLANLHCINVLYQFE >RHN58045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43334748:43337701:1 gene:gene33651 transcript:rna33651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polar-amino-acid-transporting ATPase MENVREDEIEANMSMSPPSVGSMQIAGSFGHSIEFMSQAYLHNRYSEIDIRVEESSFNQDPPLPVYLKFEDVEFKVRNSQTASKNPVKTMMSKVATQHHVEENNKYKTILKGITGSIGPGEILALMGPSGSGKTTLLRVVGGRLLDDVKGKISYNDVPYSPALKRRLNIVIGFVTQEDVLFPQLTVEETLIFSAFLRLPTNMSKQEKYARVENTIRDLGLERCRHTKIGGGYLKGISGGERKRTSIGYEILVEPSLLLLDEPTSGLDSTSANKLLLTLQGLAKAGRTIITTIHQPSSRIFNMFDKLLLISEGSPVYYGKARDTAEYFSSLRFVPEIPMNPAEFLLDLATGQVNDISIPQDILKDQESTDPSVTIIKYLQLKYKDILEPKEKEENHRGANTPKHLQLAIQVKKEWTVSWLDQFTILYKRTYRARFKEYFDILRLVQALGIALLLGLLWWKSSTNTEAQLRDQVGLMFYICIFWTSSCIFGAVYVFPFEKVYLRKERKADMYRLSVYYASSTLCDMVAHVLYPTVFMLIVYFMAGFKSTAACFFLTLFAVLLIAITSQGAGELFGAAVMSIQRAGMVASLILMLFLLTGGYYVQVCTKK >RHN56856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34233243:34237868:1 gene:gene32316 transcript:rna32316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nonaspanin (TM9SF) MFCFVSVCGSVYMEKSMTCLVSAIVILCCFCCINYVTSDASDHRYKEGDFVPFYANKVGPFHNPSETYRYFDLPFCSPENVEEKKEDLGEVLNGDRLVVAPYKLEFLIDKKPESICQKMLTRKEVAQFRHAVLKDYFYQMYYDDLPIWGFLGRFETDEKDVDTNEATVYLFRNVHFEILYNNDRIIDVFVKNDPNAVVDLTEDREVNVDFTYSVKWIETDIPFEKRLEKYSQTSSLSHHLEIHWFSIINSCVTVLLLTGFLAMILMRVLKNDFVKFTPDEEALDDQEETGWKYIHGDVFRYPRFKSLFAAALGTGTQLFTLVIFIFMLALVGVFYPYNRGALFTALVVIYALTSGIASYSAASFYYMIEGKNWVKILVLTGSLFSGPLFFTFSFLNTVAVAYNSTAALPFGTIVVIFLIWTLVTSPLLVLGGIAGKNSQSEFQAPCRTNKYPREIPKLPWYRKTLAQMAMAGFLPFSAIFIELYYIFASVWGHQIYTIYSILFIVFIILLIVTAFGNVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCMFFYHARSDMSGFMQTSFFFGYMACICYGFFLMLGTVSFRASLIFVRHIYRSLKCE >RHN70048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48307563:48312137:-1 gene:gene18588 transcript:rna18588 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNHSTASDAPIPPENQHDVGAKISKSVNELNDLSISIQAFKNRYDELQNHLNFIIHAIDTRTKELEAPGTTTAANVATDNDNIVPSEPESKPKSIADGEEIEEEHEEEDPEEEEEEDPEEEEEEEEVEEVEEVEEVQKEEQKEEEKGEPHCLCKTMNSRGLRKYILMHLSETPSLEEKIPVALKKAPEPAKLVFECIGRFYLQGSKAYTTNSPMITARQASILVLEYYLMSGCVESEAKMERKLKAEAADAAGAWRKRLVVEGGVAMASEMDARGLTLFLACFGIHGVFRNEDIANLVRLSKPGEISHLLRVSGSLSSRCSDIAQGMMKKGKVVEALDLAYTFGFEERFSPQTVLNSFLQKSNEVWKKAKQARDVPSLLNKANGKYLSDLKSVINCLEGHKVDFAKLLPDWKLKDTILKLEKDIRDVTEKIEDNSASKRKLDKSSASKKVSGPDTKRTRHTVRDPYMASPSVTTLQRQMIAGHMDGSSYDSSLTAHFLEGRSYGYPNNYSTVASAAQIGSVSGSLPEGYLGRGVSIGGAIGGPLSGYQSDMPIDNVGTTLNSSSSLYSRLHSADEGALSYGRSVEPSFVGQPSSARVNHMYGRTSAEGYAGLPDHHSIGVPSHGGGSDLYSFADSVFDV >RHN45937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27009136:27017969:-1 gene:gene40367 transcript:rna40367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MEEENKEMKSFKDLGLPESLVEACEKVGWKTPLEIQIEAIPPALEGKDLIGLAKTGSGKTGAFALPILHALLEAPRPNHFFACVMSPTRELAIQISEQFEALGSEIGVKCAVLVGGIDMVQQSVKIAKLPHIIVGTPGRVLDHLKNTKGFSLARLKYLVLDEADRLLNEDFEESLNEILGMIPRERRTFLFSATMTNKVEKLQRVCLRNPVKIETSSKYSTVDTLKQQYRFLPAKHKDCYLVYILSEMAGSTSMVFTRTCDSTRLLALILRNLGLKAIPINGHMSQPKRLGALNKFKSGDCNILLCTDVASRGLDIPAVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYVQIEKLIGKKLPEYPANEEEVLLLEERVGEAKRLAATKMKESGGKKRRGEGDIGEEDDVDKYFGLKDRKSSKKFRR >RHN39518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7836049:7847192:-1 gene:gene45546 transcript:rna45546 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDTLLDYAVLQLSPKRSRCELLVSSDGFTEKLASGLVKPYLDHLKFAEEQAAHSVQSIRLEIDRRRNAETWFTKGTFERFVRYVGMPEVLEMVNTFDAEMSQLEAARKIYSQGTGDQRMDSQGGDGTRVIGAADSTTKELLRAIDVRLSAVRQDLTTAYANASASGFNPYTVSQLKHFAHQFRAHRLNEACTKYSSVYERRPELITQQDEKPRGNDTELRSSINSDMSIDNEDEEAQAHNQAQTSTWQPPKTFTTFNSLQRNNDNNVIINKNKDEPNNDGTSSNKEQTDSSPTPLPSSPTPAPAGRRLSVQDRINLFEKKQKENTGKPVELRRMSSDVFRRWSGSSDMSIDASMEKKGSESVNDNNNLDKVVKTDQGSSSDVVFKDHQLKGSSSSDRYEFVVDDDHNHNDNDHDGGDVKFDGGVKSNNVVATSLGRVHRSHSRSFSAQFESSGGGGGFKSREASNSSSVVGLNGVDQSTTQPHLRSSFALEAEVLKNQVKEEDSQVVMKTKYQKPVPASSEQTGGTRNKRDEIRGGNESAKLNLSGKNQVLESPDSACVTVPLEQNQRVRQSKGNQEMHDELKLKADELEKLFAEHKLRVPGDQSGTARRIEPADARVEQAVNSQSRRPGIRDSIPQPADDAHLEQVVNLQSRRPGIGDSTHQPADARVEQAVNSQSRRPEVGDSTPLPPSRSRVPEPAVSLGTKSLMKTVDSQNYGGAVRQNFSELNIGAESRGKFYEKYMKKRNAKLQEEWSLNRSEKEARMKAMQDSLERSRAEMKSKFSGSITRQNSAGGSQRADKLGYFKSNTKRDQHPIDSLQNEDDEDLSEFSEDKIYGASKQGRKNFPNRNVSSGTPRTVVSISRSSGKRRDNTLAQSVPNFSDLRKENTKPSSGVSKPTRSQVRNYARSRSTNEEEQSIKEEKLRQSLSLRKSSANPAEFKDLSSLNSDGIVLTPLKFDLEETDPDPCDQSSRSFLKKGNTAGPGFVGSAIRVKTSMAPDTEKEFNDLEYDMEDSFHSATGEQDDIQSMAIEDSDFNHNNGKVSLSQESGNSGSEIGDSTRSLAQADPVLGGEMPNAFPSTFNGVGSQQESPVESPVSWNSRAPLPFSYPHESSDIDASIDSPIGSPAWNSRSLIQGENDAARMRKKWGSAQKPYLVANSSQSQPRKDVAKGFKRLLKFGRKTRGTETLADWISVTTSEGDDDMEDGRDLANRSSEDLRKSRMGLSHGHPSDESFNENELFNEHVQSLQSSIPAPPAHFKLRDDHISGSSLKAPKSFFSLSTFRSKGSDSKPR >RHN47965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43883335:43887805:1 gene:gene42625 transcript:rna42625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MPMEFKRMQCSYESLDESFEIGKEMYRGQQYSQIYFARLHLMRTLLYSLVPHWKPNTPVCTVLGLEEGKECVIVGTLFKNMKLKPCILDEYSKQRSVPVKSHNFVHEDDYLVLEDESGRVKLGGSIIVPSVYVTGIVVALHGKETGAGDFLVQEVLEAGLPPQIEFPIKSREDKYVVLVSGLSVGSSKSNPLQFQLLVDHITGHLGDEKEQSIAAQIVRVVIAGNSVEMPRGLLNGQFDPGSG >RHN80142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35473762:35474133:1 gene:gene4029 transcript:rna4029 gene_biotype:protein_coding transcript_biotype:protein_coding MFISIIKSCINKPVEHLNHVLSLDFEFLVSEVEEEDDEEASTDISRLLGHLGRRSCKYQKRLSRRGLSCTKTGTRCHHFPCKDIVLQVNTSAGATPPPSLSIQHKGNASHEGQSPINGSSNES >RHN39446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7201184:7202418:-1 gene:gene45469 transcript:rna45469 gene_biotype:protein_coding transcript_biotype:protein_coding MMENTVVGKEDVGGGGRDERTERGRKCFFPMVVLAEVEESGKKIQC >RHN57375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38503860:38504294:1 gene:gene32910 transcript:rna32910 gene_biotype:protein_coding transcript_biotype:protein_coding MPYIKRDMLMLENQIPLTVLYTLIQVKTGVEEEDHHELLDEKIIKLLNPSTPLIQSLGKCMHILDVYRKSLIQHGPSYPTRIPKLPKRNWFCLEVGDEDHII >RHN40911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24226612:24230380:1 gene:gene47156 transcript:rna47156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MYNIISIVTNVPNNNYNTYATLLDSGNLVLLNASNKQILWQSFNHPTDTLLPGMNIGHDINTGYTLSLRSWTTAEDPAPGPYTLQYDVGMASLTINKGSNVLWVDGNSNLSIQGVLNRVDLQLKRDHDTLSIGSNSRLVLEVSGDLKYQGWSEESKRWVSLQSSKCGTNNSCGIFSICNSQDRDPCHCLNGFEPFDADSWRKGNRSAGCVRINELSCNSKNSIDGFKRFSLVELPPYEVNLQFDALSQCNNTCYTNCSCVAYAYDFNGNCKLWNDQVQTLKNISTEIQDRNNNKPNFYLRLAGSDLLPPKPNETTAVGRHENRKRNLILIATLISFLILLILIGLFVYWTRKQRRKGDDLLNFEVGMTMKVKDSEITKADKGAKVRRKEVKLPLFSLVSVSAATNNFSDTKKLGEGGFGPVYKGTLLNGGEVAIKRLSRISGQGWEELRNEALLIAKLQHNNLVRLLGCCIERDEKMLIYEFMPNKSLDFFIFDAVKRRMLDWETRVRIIDGIAQGLLYLHQYSRFRIIHRDLKASNILLDANMNPKISDFGMARIFGENVLQANTNRIVGTYGYMSPEYAMEGVYSIKSDVFSFGVLLLEIISGKKNTGFYQTNSFNLLGYAWDLWTNNSGMDLIDSKLDDISNKHLVPKYVNIGLLCVQQSPEDRPTMSDVVTMIGNDTTSLLSPKPPAFQNVRGIENSRLSRSIEENVSVNVVTNSLVEAR >RHN78154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12351553:12359419:1 gene:gene1678 transcript:rna1678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Phox domain, sorting nexin MGPLTMDTIFDLIEEAKLRFLWWSLCIFAISYFLTHTSKSMWMNIPMSILFFTGLKILVNKVEFRWKVQPPRLQTYLSHLEKKQLPLDEEHPSTSPPPPKWKKKIDSPVVEAALNDFIDLILKDFVINMWYADITQDGEFPEQIRDLIMDALAEVSVRVKEINIVDLLTGGIVDLISDHIDLFRRNQAAIGRDVMLTLSSEERDERLKFHLLNSKELHPALISPESEYKVLQRLMSGVLATVLRKEEAQVSVVRSIAREILTCLILQPIMNLACPAYINELIESLLLILNDGDINWMGGGDHSSNATSTTHNHDNHTASADWAQMLDAATQRRTEVLMPENLENMWARGRNYGRETRKNAKSGSPAKHSSADHSLFERHSTHETSPRKPGGTHAYPLHSVGSDPILYDGSTTMSESSMDHGKSLSFESDRQVAEVSDIMDLGSNMHKLPLRRTGSGSSSLLGAHPHKGGFNAEFHAPEFKKHEGFWGKSSFDMATRREGQGVPKLRCRVMGAYFEKLGSTSFAVYSIAVTDGQEKTWFVKRRYRNFERLHRHLKHIPNYTLHLPPKRIFSSSTEDAFVHQRCIQLDKYLHDLLSIANVAEQHEVWDFLSSSSKNYSFGKPSSMMRTLAGRGVQGKVRHRLDKLEVESSVNVDDAVDDIVRQFKGVSDDLKRKVGTASSSPTAEGSSKSFTWNMDEMDRSNPSKNAAESALSSDTEEGEKEGNYSHESIAREVAEDSLCLNDNELSSKDYSQGVLNHGNEFSNLDLDRKHDVVIEAKVGKDVPATNGSLSHDNPEDPIGVPPEWTPPNVTVPILNLVDNVFQLNKRGWLRRQVFWISKQILQLVMEDAIDDILLSEIHWLRREETIAQGIRWVQDILWPGGTFFLRIQTPQVFIGGGVTDQKPLQTISESGERTSQKSQLWCFEEQLEAARRASDVKKLLFG >RHN62601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44604844:44607119:-1 gene:gene25194 transcript:rna25194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-Xb-1 family MAFQIRRDNLFAVFLCIGMLMTVQSSETDMYRLKYIKNSLEDPFNDKSIDFHESFKGGLIVGYVFSLSCSVMLTCMIYSKCVQFNKRKNNNLNKAKELGKYICSIMSTRTRMVTNQMHELLHPWLARKEIKEISILLGRLTSTIWLEELRDTTDCFAIDNAIGVGKMGMMYQGNLPNGQLLAVKRLSNYRLFERQFLLETTIMSRYRHKNIVPMLGFCIEGKERLLAYEYMSNGRLSKWLYPLKSEVIRLKWPERVNIALGLARGLSWLHHSCDLGIVHFNIYSQCILLDENFEPKISNFGQAKFMNPNIEDHLSTMFKVNDGKKDVYDFGSVLFELITGKTYNELTRSSTTTNLYDNPSNFYNAIDKSLPGQGFENGVCALLKIACECVKPINQRPTMLEVYNNLSNTSKSQYVSSGDSNPTGGSQIASGIL >RHN73387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15887227:15891704:1 gene:gene9190 transcript:rna9190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation efflux protein MMEAQSAHHGQIIEISGELPDVGRKICGEAPCEFSDAGSISKDSEERSTSMRKLFIAVTLCVIFMAVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSIWAGGWEPNPRQSFGFFRIEILGALVSMQLIWLLAGILVYEAIARLIAGPQEVDGFLMFVVAAFGLVVNIIMALVLGHDHGHGHGHDHGHGHDHHGHSHGISVSTKHTDEHHHTHGDQTHHHDNEKHSHDEHHHTHDDVHHHDHDHKEVTEPLLGESKGRSEKKRNINVHGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIVDLICTLIFSVIVLATTINMLRNILEVLMESTPREIDATQLQKGLLEMEEVVAVHELHIWAITVGKVLLACHVKVIPEADADVMLDKVVDYIRRVHNISHVTIQIER >RHN70201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49606039:49619411:1 gene:gene18757 transcript:rna18757 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 peptidase MNESPRRDLEVFDSKVEDDENNPDKNLNRFNKISNLDPFTHVYQSRRNKNNTVLATHQLQVEVKQENVLQDAQINSVDVKNVPSIPCVDVVGSILDLEVGCSNPSFETMEDTFDSKVKNSELGADSQSNSISQENHCHFNINVDYYDRPENSDTTSGASTPETSQIGPSGSPSSKESVDVSSDADDCMNYESAPRSAVSDIAENGVFHRNPISLNGCGLDGALISDASDTDDTNTEVVLRPDYIIYQDNYYTGPMLTFSHSCIKINVSTACMEHEAFDLEWGIDDLIDIKCQSIQSSGTVIIKINVISRNANQVDHASETSGIEELKIAVVDSNWSLIHKQITSLNVKYLAICNIMLHLDVEDDETKSGGSRCYFPNFEEPFDEVIYPKGDADAVSLSKRDFDLLRPDTFVNDTIIDFYIQYLKNQIQEEEKPRFHFFNSFFFRKLADLDKNPSSISDAKAAFQRVRKWTRKVNLFEKDYIFIPVNFNLHWSLIVICHPGEVINNDKELDNALKVPCILHMDSIKGNHSGLKNLLQSYLWEEWKERHKEASEEDFSALFSNLRFLPLALPQQENSYDCGLFLLHYLELFLAEAPLTFNPFKVTKFSNFLNVDWFLPAEAYLKRTLIQRLISELVENHGSREISSSDGSDDHQYIENIENGTGIEHLEFNSASKPSHAGEGIEMTLLSGSSFLDPQSFNNPGMVLKDLFEPGTTAGTTSAQCQSFDQRSSDYRFDNSIFTMEEDTDLGEQFMYLATDTNFQQVAGVTPQACSLPYLPRDCGNGTNHIPEISLQEAVSSPSSASGDTEDIGVTEYCHGDWNEPITSYEAERGEKICSPIENTEHFIDISGSAGNNFPTPSVVGISQDSIMSCDGYKNGDIHSPYQETTTLTWHQVSDAVVDDETACDGQIDISGSAGNNLPTSSVVGIFQEPNCDGYQNGDIHSPCLETPTVTLHQVSDAVDEEAACDDGKMIDGTEPDNSEEQAAKRRRVMPLEGRSEEIVTESNL >RHN40099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13287798:13291730:1 gene:gene46202 transcript:rna46202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Sin3 associated polypeptide p18 MNDGGPKRQGGRPLPPPPRGPPPPSSRPRLEPVDREKTCPLLLRVFTKIGSHHSMDDFSVRGKEPKDEVQIYTWKDATLRELTDLVKEVAPAARRRNAKLSFAFVFPDKNGRFKVQEVGKTLSYGTGRLDDGKALADLGFEIGDYLDVAIL >RHN56886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34468425:34469453:-1 gene:gene32350 transcript:rna32350 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKLALLIQGLLVVVILISSIGEARNLVEVATKQNNVDGIKYCTTKNVKDNDDASSDCFIPGFPGIPFPKIPGFPDIPEIPFPKIPGIPDIPGIPIPYIPGIPFPKIPGIPDIPGIPIPDIPGIPFPKIPGIPFPFPFPFPFPGWPDIPGWPTPSTPKAPSPTPESPSPSESPSPSESSSSPSPSESPSSPSLSPTYVM >RHN59518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11620009:11622062:1 gene:gene21559 transcript:rna21559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-S seed storage protein, plant MDINLSPQLAKKVYGGDGGSYYAWSPSELPMLREGNIGAAKLALEKNGFAVPRYSDSSKVAYVLQGSGVAGIVLPESKEKVVAIKEGDALALPFGVVTWWYNKEDTELVVLFLGDTSKAHKAGEFTDFFLTGPNGIFTGFSTEFVGRAWDLDENNVKTLVGKQSAKGIVKLDGKISLPQPIEEHKKGMALNCLEAPLDVDIKNGGRVVVLNTKNLPLVGEVGLGADLVRIDGRSMCSPGFSCDSALQVTYIVRGSGRVQVVGVDGKRVLETTLKAGDLFIVPRFFVVSKIADNDGMEWFSIITTPNPVFTHMAGSSSVWKALSPTVLQAAFNVDPEVEKLFRSKRTADAIFFPPPN >RHN57251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37552035:37553014:-1 gene:gene32772 transcript:rna32772 gene_biotype:protein_coding transcript_biotype:protein_coding MARYNNSYYFWEYFSFPVHLCLFMFILFFVLAFSWYINYEYVYEDKFIQVKILLSLVPLLLLLVVHCLSSGESFTLPLPEESESLHRAGGSPWGVALLLVFLLFMISYQSSFHERWFPFATR >RHN63359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50651394:50652891:1 gene:gene26050 transcript:rna26050 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAERVDLRRLVEAERVDLRRLVEAERVDLQRLVEAERVDLPRLVEAERVNLPRLVEAERVDLRRLVEAERVDLPRLVEAERVDLRRLVEAERVDLRRLVEADRVDLRRLVEAERVDLRRLVEAERVDLRRLVEAERVDLRRLVEAERVDLRRLAERVDLRRLVEADRVDLRRLVEAERVDLQRLVETERVDLQRLVEAERVDLRRLVEAERVDLRRLVEAERVDLRRLVEAERVDLQRLVEAERVDLPRLVEAERVDLPRLVEAERVDLQRLVEAERVDLRRLVEAERVDLPRLVEAERVDLQRLVEAERVDLQRLVEADRVDLRRLVEAERVDLRRLVEAERVDLRRLVEAERVDLPRLVEAERVDLQRLVEAERVDLQRLVEAERVDLRRLVEADRVDLPRLVEAERVDLRRLVEAERVDLPRLVEAERVNLRRLVEADRVDLRRLVEAERVDLRRLVEAERVDLRRLVEAS >RHN40742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20161899:20162141:1 gene:gene46938 transcript:rna46938 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMFLNMVSEPLHNSLGHLLSGFRYRATRHLCSRIRCAILSVRGCVKSPTSVRNWPDNLFISGVKAASGGEKHLRLTSY >RHN70885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54734883:54736630:-1 gene:gene19517 transcript:rna19517 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIIFLGFYIIIIHTPNFIFSISHFHFQIAATQFYCSHTLFHFHYYNNNHYNSNLHEEEKTLPFSSPPSLHFPPHPQILSCFFLVFVFICVFLFLFVPTVGSLVFSICTLVAKTYSHECYRSKFLIPRFSHISKHRFIIISWVLNIFGYEIEE >RHN46416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31525777:31527198:-1 gene:gene40899 transcript:rna40899 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLNSVDHEYIQSCSISLVPVEFVDSTNEEAYVPRVVSMGPRYKGREELVHMEEIKLRCMLALIDRVEKNDVKPGDILEKCSKVIWDLNDQIRASYVEDISLEVYELVKIMLVDGCFLLELLITKELELDSQLSSQLNFTPCLFAPQVLKNDDVLLQAAGVSIQLAENNGVTGLDFEFQFEKGNGKLQIAALYIRKTTKAKWRNVIFWEHHKMDWKKLGSNKGNDESKNSKISNSGTCGKFTSAALIFNDLICCADDVKILKDKNIIVDHMKMSNKEFIRTMSSGVDHGIVGSDYVKMVEKLNDYSTVFLLIRIWKKLRHYFTYYFEWFFKFMKRDNNFIATVVSFATLVQAVYAIIAYHTVK >RHN76624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51758044:51764017:-1 gene:gene12975 transcript:rna12975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MDAAAVLVLGILFFKAFCSNGTINIIVSKDAGSGDYTSVGEAIRNAPDWSHQPYIVHVLAGIYEEYIFIPPSKINIKLLGHGSNHTILVAHQNGSTIDIRGEGFMAQNIGFVNTAELDASAAVAVRNEANNSIFFQCSIQGFQDTLWAVSGRQFYKNCEIYGTVDFIYGNAAAVFQDCMVYARYRQFVTFTAQSRESPYEKTGFTFQRCKFTMSPEDEKRKSEVHATLGRPWRAYSTVAILHCFIDSMVDPRGWEGMSGLATDKVTYVEFENVGPGSNTDGRVDWPGVTVLRNPNKALPFTASYLLDADSWIPSTGVPYHSGL >RHN55462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18605516:18609858:-1 gene:gene30644 transcript:rna30644 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPSVSPSMVLPPTNPFRRHTRRLSLFSRHLPPRLFSTPRVRTNRRKEVSCNVVGGGGADDGGNEEEVEKALHMDGKIPGSSDEFLKQVSSRAYDMRRNLHQSFDSSSYDVLDDNPWRETSKPVYVLTQKENQLCTMKTRRNISEVERELGLLFSKGSNRRAAIENQSKQARGGTKFPMQVEDIRDGVLVFEDENEAAKYCDLLQGGCEGVAEIDASSIFDLCKKMRALAVLFRRGRTPPVPESLKLNLRARKRSLEDQDDLI >RHN52433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35228351:35229880:-1 gene:gene37113 transcript:rna37113 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGGSNHRIRGLFEMALSNDMLCNSVVLWHCYMGYELNIAHDPYAARHICFLRTRSKLIWLDGFLSLKSVLTGSFLI >RHN47182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37719707:37720848:1 gene:gene41748 transcript:rna41748 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNNISPSLKEAEILLAIREILFQYKAAKELGLLGVALPTPSASRGTSKPYYRSASFPSASSRPYQSASFEGRAPFYPNDNNLASPSSTYYNKAKRESGLPLSLKKLGNTREKKSLTWKAFISHACDSVLDIPPKYHWALLLICVSFLSYQAKNTTAPFEYFQLEKTIKAPPQTLVLAFGEAFEVFFPEITHITKFMLCYFLTLMLVCSLQLGLQIFCILLIISAPLFYFPELVRRASKVGVDCGLFELPLIWFATLGAVGLSLICGILTLLLAYLSWRFLSKGKQNVEDVDVSLEELSEILQV >RHN74041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:24312437:24316070:1 gene:gene9980 transcript:rna9980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ANTH domain-containing protein MKKAFGKAKSHGEYKGLDTAIVKATNFDHALPKEKHIRYIFQSLSPSKPRSEVAYCIHSLARRLNETNNWAVVLKTLIIIHRAMRELDNTVWEELVNYSKGRGHLIDISHFHDTSIPNALDYSVWIRNYGLFLGERLRCFIIVNHDVAAYTPSNSQKLDTKELLEQLPALQNLLFRLLDSKPGGASAFNRLIQYALSMIAGESVKIYVAITVRVVELLDKFFEMNRDDAVSALKIYRKSGSQAERLSQFFETCRALDFGRGHKFINIKQVYIYISFYSAMLKMNETHVHPPASFMTTMEEYIKEAPNTLMLEYEVNGKEEGSTGKEDGTCVGDLLSVDESDPSPDKNEATVPTQAADLMGLYDLLTGASEFDENPVALAIVSTENSLNSNNDENEASPITGWEVALFTEQNMVAVAENKELGLQNKQDDEVDMLKLEGLYNEANAGVQHDGGYQIGQVNSNPFDFQTIHDPTQYNMALEVPPNVFCVPPNIPPQFVSMQPYQVSNNNAQQQQEEPFTMIKKSTNPFDEPNILPPPPSTSVVPQHPAQTTYSD >RHN77958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10835883:10839632:-1 gene:gene1469 transcript:rna1469 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIPYGVAQSLINGLASVALREFGRINNVKDELESLTKTVESIRAVLLDAENKQEKSLCVQNWVTRLKDVLVAADDLIDEFLIEDMIRKRDEAHKNKVTKLSLLDKKKWKTEVDA >RHN59706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12686347:12688041:1 gene:gene21806 transcript:rna21806 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta' MRGNPHVRFSKGEIHLIGSYPNFSFARPVVKKPTFLRLRGSFEYEIQSWKYSIPLFFATQGFDTFRNREISSGAGAIREQLVDLDLRIIMDSSLVEWKELGEEGSADNENENEWEDRKVGRRKNFLVRRMELVKHFIRTNIEPEWMVLSLLPVLPPELRPIIQIDGGKLMSSDINELYRRVIYRNNTLIDLLTTSRSTPGELVMCQEKLVQEAVDTLLDNGIRGQPMRDGHNKVYKSFSDIIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHRCGLPREIAIELFQTFLIRGLIRKHFASNIGVAKSKIREKEPIVWEILQEVMRGHPVLLNRAPTLHRLGIQAFQPILVEGRAICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEARLLMFSHTNLLSPAIGDPISVPTQDMLIGLYVLTSGNRRGICANRYNPFNCRNSKNEKISNNNSKYMKKKEPFFCNSYDAIGAYRQKRINLDSPFWLRWRIDQCIMSSREVPIEVHYESFGTYYEIYGHYLVIRSIKKEIRCIYIRTTVGHISFYREIEEAIQGFSRAYSYGI >RHN46879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35526625:35530847:-1 gene:gene41414 transcript:rna41414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MMLNPTYFTTILFTSLRNSSSTTTTFLLLYPFTTFFARTHHKKLHKLPTFNDTVSSFHHILHHHPPPPIQHFNKLLSTLIKLKRYNAVVSLYTILQSKPTIKPSLITFSILINAFAQLGQMGFAFSLLGNILKMGFQIDVRILTTLMKGLCLKGRVLEAVSLLHEYVDRGFRFDEVCYGTIINGLCKIGKTRDAIQMFPKMKKIRVYPNLIMYNTVIDGLCKQGLVDEACGLCTEMVENGIELDVYSYNSMIHGFCSVGRFQAAVKLLDEMVVRGKVYPDVYTFNILIDGLCKLGRVSEAYNVVAVMIKRGWKPDIVSYNALMNGYCLSGSVGEAKQVFDKMVERTALPNVISYCTLINGYCKVRMVDEAMVLLTEMHNKNLVPDTVTYNCLLDGLSKSGRSLYEWDLVEAMRASGQPADLITYNVLLDDYFKHEKFDKALALFQHIIEIGISPNIRTYNILLDGLCKSGRLKYAKEIFQLLSAKGCQPNIRTYNIMIHGLCKEGFLDEAEALLYKMVNNNYLPNYITFDTIVRAILVK >RHN66976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22370096:22375577:-1 gene:gene15103 transcript:rna15103 gene_biotype:protein_coding transcript_biotype:protein_coding MEYWRSQKLQIVDQMLCKHFQKYFKIFRLLYDIMVRDEEAEVDGPYKVHASSVISKKIHFLPSS >RHN47490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40124082:40126324:1 gene:gene42100 transcript:rna42100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MTSRVSAAPTCDCEAKCVIFISRTKKNPDRPFFRCPYYGQIRKTHCDYFMWEDDFIASQAAMVELQETTIERKMEAMIIQMNKDMKAMKIQINKDMEAKINELKRDTEYKFNILEREIEAMTFQISADVVAFDIKHLSLGGLKGSK >RHN82519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54416990:54418345:1 gene:gene6690 transcript:rna6690 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHEILGFAFFVIGLWHLFNNIKLHFLCYTFKSYTSTLWFPTKISRYVELHLIMASCIIFIAMELFIAPLHHQPFDPNDGTIPSNHLHNFEHSSMALSFLVYATFAMILDRKSTSTKSQNEITHLLAAIAFAQQFLLIHLHSRDHMGIEGQYHYLLQVLISICFVTTLMGIGYPMSFLVSFVRSVSITFQGLWLMFMGLMLFTPGFEPKGCFTKLEGDQYVVKCSDEKALHRAVSLVNLQFSWFLIGVTVFAVSFYLIMAISYGEKVKYVSLMMKEEHYREDGLKNLHVESQCQKITTGMPEQI >RHN45469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21216285:21216770:1 gene:gene39814 transcript:rna39814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin MVLHNFGEKLYSKLVATMTSHVKEIAKSVEASEGSSFLEELNTKWNDYYKALEIIRDILMYMDRTYIPSTKNKPVYELGLNLWRENVIYSNQIRNRLSNTLLEFVFKERAGEDVNRELIRNVTKMLIDLGPSVYEQVFETPFLQVLAESYKAESHKYIEFV >RHN68048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32357207:32360869:1 gene:gene16338 transcript:rna16338 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTTTRNHSSKRKFNDTVSSSTNDIDLSLLEAIEKSQPTIDAVDNRTLKKLVLSFERRLKENIEARLKYPNQPERFADSELELHEELHKLKVLAGAPELYPDLVNLNVVPSIVDLLNHDNTDIAIDVVTLIQDLTDEDVLDENDEPAKVLVDALVDNSALELLVQNLHRLSEKDADENAAVYNTLATIENLIEVKPAVAEMVCEKTKLLKWLLGKIKVREFDSNKQYASEILAILLQSSTANQKKLGQMNGVDVMLQAVAMYKSKDPKSSDEEEMVENLFDCLCCLLMPLENKERFVKAEGVELMIIIMKQKKLAYASAIRALDFAMTNYPPACERFVDVLGLKTAFAAFMGKIPISKKNKKERYQEELEERIVSLVASLFGGILRGSRRERLLSKFVENECEKIDRLMELYIRYSDRVKAETERLDQIEFDDLEMDEDEKYNRKLESGLYTLQLVAVILGHIWCSEHSQMRGRIELLLKQNKLSKQHVKDILQEYHDNIGDLDGPEEKERAQTKIQKFLMAL >RHN79832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32663358:32665368:1 gene:gene3675 transcript:rna3675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S12/S23 MGKTHGMGAARKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >RHN60701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29685658:29695244:1 gene:gene23056 transcript:rna23056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-BEL family MRPDQLHVAQQIRRDKLRIQNQEFPNNNIEQLLSLHQTSQPAGFNNLDLLHLRNANNNMLDDHDQEGLYSSNQMINSFSTPSNPLHRNPLEYYYENHEINPNSFLPTSEIHNNDIFKSSTSISSHSTSEMASLMNHHGIWGGGNSNSPTVPVCHENEANLWTNRGVTVVDNSNMGSFFSDCNPQGLSLSLSSNSQSKPSASSSNFEQGSLNVVKPTTLISRDSTCSSSGFRNVGPLGPFTGYATILKNSRYLKPCQELLEECCCFVKSFENNNNNVPKWVSRDVVGCSSSGGVDEKGSSNSGGSSSMLYGSKEKENSGDGGIGNNFCLSSSASSSRPECQKNKAQLLYMHDEVTRRYKQYHQQMQMVVSSFESVAGLSSATPYISLALKSLSRHFRCLKNAITDQLKLTCQVLGEDFSILPTTTSSSNKFDSNNNNMTRLRCMNHHHSLQKNKSGGNSNVDFVEPQQQHVWRPQRGLPERSVAILKAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLESKGTAEAQNQNNDKNEACRVGTHEKQFQCLEMGSSSGINNVEKVITNEEQWINNLQEKSSKLESDQITPNIDSTVMGFMPYQRGSVSLTLGLRHGVESVQQQQQQLQQDVELRHQFGEHMIHDFVG >RHN67837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30640386:30641717:-1 gene:gene16076 transcript:rna16076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MPEIERASPDVAAVTSIFGKYELGRVVGCGAFAKVYYARNVQTGQSVAVKIINKKKLKGTGLAENVKLEIIIMSRLHHPHIVRLHEVLATKTKIYFVMDFVRGGELFAKISKGRFSEDLSRRYFHQLISAVGYCHSRGVFHRDLKPENLLLDENGNLKVSDFGLSAVTNQIRSDGLLHTLCGTPAYVAPEVLAKRGYDGAKVDVWSCGVVLFVLAAGYLPFNDQNLMVMYRKIYKGEYKCPRWMSPGLQRFLSKLLDTNPETRITIDGMTRDPWFRKGYKEIKFHEEDYGYGHGFGFKCRCDGSKVLNLNAFDIISFSSGLNLSGLFGKFDGSERFVVRESPKRILKVVKKIGVAEGMALRWKKECGVELEELNGKFGIEIEVYRLTEKVAMVEVRRRGNNAATFSDLWNNKLKPQLLLCGATTSHLDEREPESSHSNEREPE >RHN43260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44409610:44412957:-1 gene:gene49805 transcript:rna49805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MCWQRWKAIAMTVVLLQLSSSMEIFCLSSHADRIHKLPGQPHIGFQHFSGYVTVDEKKRRYLFYYFVESETGPSSKPLVLWLNGGPGCSSLGVGAFSENGPFRPNGEVLIKNEHSWNREANMLYLETPVGVGFSYAKGSFSNIKVNDEVTARDNLVFLLHWFNKFPQYKHTDLFLTGESYAGHYIPQLANLMIGINNKEKIFNLKGIALGNPLLEYATDFNSRAEFFWSHGLISDSTYKMFTAGCNYSQYVSEYYRNSISLLCSKVMSQVSRETSKFVDKYDVTLDVCISSVLSQSKVICPQNHHANESIDVCVDDEVTNYLNRRDVQKALHAELIGVPKWNVCSNILDYNMLNLEVPTLHVVGSIIKAGVRVLIYSGDQDSVIPLTGSRTLVHKLARQLALKTTIPYRVWFEGHQVGGWTQVYGNTLTFATIRGASHEAPFSQPERSLVLFKSFLENKPLPKSF >RHN40957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24985692:24986357:-1 gene:gene47208 transcript:rna47208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MPLIFFLYIIYCINAEGACGYGNLFQQGYGLETTALSMALFNDGYRCGACFEIKCVNDPQWCIKDVNSIIVTATNFCPPNYDKPDGNWCNPPQKHFDLSMKMFTTIAVYRAGIVPVQYRRVPCVKSGGVRFELKGNPYFLMVLVYNVANAGDVLRVSIKGSNTDWTPMIHNWGQVWHTGVNLVGQNLSFWVTTTDRKALLFTSVTPSNWQFGQTYESPANF >RHN77053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3573737:3577710:1 gene:gene462 transcript:rna462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MESENLVKQELEELQKQLGKKQKFEDAVSSLKSLLQTTYPLASTSLRKSFYSVICRVATVLKTRYTAPGFWSAGLSLFEQAILFVSEPSEKEHLKACIAQAREHLHLEDNPSQTSQPAVNRENRGYLFEGHLTVDQEPPQPEWMVQANLLNEAVRLFEAQPSQGLATNDTTPEDVASVLEMLRNRLEEVVPLMETGGPAAPRVPPASKEVVSKLPVITLTEEILSKMGKDAECAICRENLVLNDQMQELPCKHTFHPPCLKPWLDEHNSCPICRYELQTDDHAYESWKEREKEAEEERKGAANALRGGEFMYV >RHN45637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24004405:24005614:1 gene:gene40018 transcript:rna40018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MMPRKFVEKYGEGLSNAIYLKTPNGAKWKLNLLKINGKIWFEKGWKEFAEHHSLAHGHLLLFKYLRNSRFLVRIFEKSALEINYPFQRVAAKNVSNGQKRKANSSFEFHQPCEIGSNSCVEVDKLNKVATLHHAGRESKGKQVLATKRVTALERAQSFKTCNPSFVVVMRASYVEHRFLVNIPRKFGNRHFDLDKKRGDVYLVLNEGIWPAKYLIRMTLKGPHFDLTTGWKAFAKDNKLKVDDVCKFELISCTILTFIVHIFRETDNDNTNCSASQSMIN >RHN66046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7934939:7938084:-1 gene:gene13957 transcript:rna13957 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGSDPRQPSSAKPYVPPVIAPQDLPIDYAGFIAVLFGVAGVMFRYKLGSWLALIFCAQSIVNMRNVENDLKQVMMAMMFSLMGLMTNYFGPPRPGKQS >RHN63423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51180249:51182161:1 gene:gene26121 transcript:rna26121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative centromere protein X MEEPTFESDLIHSIMKRIWTLRTLERENVATNDALDSEVAAGSSKKNRTTSANASALKLTCELLRVFVTEAVQRAIAIAETEGDSQIEATHLESILPQLLLDF >RHN75574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43441210:43445061:1 gene:gene11802 transcript:rna11802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MATLDSDVPMVPAGETSCCAHGGPSSKKPKRFEIKKWNAVSLWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >RHN68536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36310929:36312375:1 gene:gene16903 transcript:rna16903 gene_biotype:protein_coding transcript_biotype:protein_coding MTYTSHEFSVFYEIPFKFYFMEHLHLGHAKQGLNGGVVKVIYPILEPDLVSWNNVIAGLADNASPYEMQFVS >RHN67541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28116373:28117441:-1 gene:gene15739 transcript:rna15739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >RHN77789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9435761:9436459:1 gene:gene1280 transcript:rna1280 gene_biotype:protein_coding transcript_biotype:protein_coding MINDRLVNIYIEIDVLQTISNNLILAHFQQMENIAFFFFCNFSCYNYF >RHN43167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43682326:43687538:1 gene:gene49701 transcript:rna49701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (non-electrogenic) MSHIALSASPSLVAFHRGAKQWSTLFPSNWRSSGTNPSLFANSNRKRLQLRFFASGKNGGNGGVVDEISETVKAHANFVWPDNKKPRVCILGGGFGGLYTALRLESLEWSEDNKPQIALVDQSDRFVFKPMLYELLSGEVDEWEIAPRFSDLLANTGVQFLKDRVKVLQPSDHLGVNGSNASTHGGTVHLESGLHIEYDWLVLALGAEAKLDVVPGATEFAIPFSTLEDARKVNNRLTILERKTFGKDYQISVAIVGCGYSGVELAATVAERLQNRGIVRAINVDTMICPTAPPGNREAALKVLSSRKVELLLGYFVNCIRKASESESSNTPKGLDFEKYILELQPAERGMQSKIIEADLVLWTVGSKPPLPHLEYSDVPFVIPLNARGQAETDETLRVKGHPRIFSLGDSSALRDSNGRILPATAQVAFQQADFTGWNLWAAINGRPLLPFRFQNLGEMMTLGRNDAAISPSFVDGLTLEGPVGHAARKIAYLMRLPTDEHRLKVGISWFTKSAIDSVSLLQSTLSKVLSGSTSRSD >RHN64186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57135750:57139875:1 gene:gene26973 transcript:rna26973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L15 MLRRRLSVLSTSIIRSSTHNKSILGYPSIQFHPLQLPHSLNVFATFNPNARPDFQGFRAYSLLALNDLRDNVPRKQKTRKGRGIGSGKGKTAGRGHKGQRARKGSKLGFEGGQTPLRRRMPKRGFKNPFSLTFQPIGLGKIATFINAGKIDSSELITMKTLKDAGVLGKQIKDGVRLMGRGSEKIQWPIHLEVTRVTVRAKEAVEAAGGSVRKVYYNKLGFQALLKPEWFEKKGRLLPKAARPPPKQKDKVDSIGRLPAPKKPIPFLVEGSKDLLVGQLS >RHN61477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35742110:35743027:-1 gene:gene23948 transcript:rna23948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II D2 protein MDDWLRRDRFVFVGWSGLLLFPCAYFAVGGWFTGTTFVTSWYTHGFASSYLEGCNFLTAAVSTPANSLAHSLLILWGPEAQGDFTRWCQLSGLWTFVALHGAFRLIGFMLRQFELARSVQLCPYNAITFSGPIVVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIQGATLENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYAVEPVAQHNCFLHPKRNQTKQNETTL >RHN78554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16228662:16229243:-1 gene:gene2167 transcript:rna2167 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVSICFARLKLPCLSLILSLVLTIQLYSKNNLVPYECV >RHN47260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38346785:38347663:1 gene:gene41835 transcript:rna41835 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMLSIEVEDCFHLPRNMSYMIFNIFRKGNCCTHKIANTGLFVISFTR >RHN60698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29642407:29643376:-1 gene:gene23053 transcript:rna23053 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMFTNNQRQQERTGRYGTSRLQYLQELVTQFQNSSEDEIKEKILANLANFAYDPYNFNFLRQLNVLELFLDCVTEPNEKIIEFGVGGICNSCVGNSDCCTFHDPANATIVAGVGGIPLIIQCLSSPVRNTLKNADP >RHN49197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53214823:53217932:1 gene:gene44003 transcript:rna44003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein dehydration-induced 19 MQRKRKSRKGGSYSTLSLLRKELREGNLQSLLGGSSRIVSSSNVAPDPLLSSFILPVADEFSSSQCQPNFLTGTRQSRKSSDETLSKKNVETPTLSVKDKEEKEKRCEFVQGLLLSTFLDDIL >RHN77728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9005641:9011069:-1 gene:gene1214 transcript:rna1214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MFHFIRMQSENQFSPSIMCKEKSFLFLIQIMVGVLLLHHHQTCDAITNTSNQTFCPPSSCGKITNITHPFRLKDDPTSCGDPRYELSCENNITMLTLLSGKYYVKSINYNNYTIRLVDPGIEEGDCSTIPHYYLYNYNFSSSYIYDSVDPYQTYQRRIVDTTIYENAEALTLEILKYPTTLQMFQHVIYMNCSSPVRDDPVYADAAACMNKSNSQGGHVYAIAGDLKVRDYKDDDCHVEVVTPISFFRYNYSSKEWNTPDGKFSYMDKSYPSYYKNKWKVPNQMFDYSEIHRMLVSGFEVSWMTGACEDHCGVRDCYLRETTWSLECNDPSSPCITTLGFHVGCPPYLFGDLGPPSKLRMFVEGIMYGIARGLTHAIGVKIYDGAQGVSESKIGIDIGKIIGRYILSSYILIRFMLGLIALFGKLIHTYRSRHTSMYENIEDFLQGNSLMPIRYSYKEIKQMTRSFKEKLGEGGYGKVYKGKLRSGPLVAIKMLGKLKGNGNGQDFISEVATIGKIHHTNVVRLVGFCVEKSKRALVYDFMPNGSLDRYISSREDHISLTYKQMYEISLGVARGIAYLHQGCDMQILHFDIKPHNILLDQDFIAKVSDFGLAKLYPVDNSIVTLTAARGTIGYMAPELFYKNIGKVSYKADVYSFGMLLMEIANRRRNLNSNADDSSQIFFPYWIYNELMEEREIDISGEATDEEKKNVKKMFIIALWCIQLNPIDRPSMDRVIEMLEGDIEDIEIPPKPSPYPTEIIQDNGVSSTESLWDDDVTGSISFIEETVEDPLL >RHN59490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11203087:11207932:1 gene:gene21521 transcript:rna21521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase response regulator and transcription factor RR-A-type family MMESCNCIEPQWPADDLLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTIHTRTVAIVMTTAKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPTRTGLELQLSYTLRQQNPVGYTVPINLPVINHVFSSNRAVKISSNCPVARLRPHAAKYMPGAVVAIRVPLLNLSNFQIYDWPEVSTRSYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVALDMARREAETAIHARNDFLAVMNHEMRTPMHAIIALSSLMQETDLTAEQRLMVETILKSSNLLATLVNDVLDLSRLEDGSFQLEISTFNLHSLFREVLNLIKPVASVKKLSLTLHFASDLPVHAIGDEKRLMQILLNVVGNAVKFTKEGSISITVVVVKPESFRDIRFPDFLPVPSDSHFYLRVQVKDSGSGINPQDIPKLFTKFAQNQTLGTKNPVGSGLGLAICKRFVNLMEGHIWIESEGIGKGCTVTFIVKLGIADRPNEFKLPYKPKASVNHGSTNISGLKVLVMDDNGVSRSATKGLLIHLGCDVTTVSSSEECLRVVSLEHKVVFMDVCAGLDGYELAVRIQEKFTKRQDRPLIVALTGNTNKLTKENCLRAGVNGVVLKPVSVEKMNGVLTELLERRFVFETI >RHN73114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13568664:13569071:-1 gene:gene8897 transcript:rna8897 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTQMFEKQSQSGEIISQQPTKEIDNGIYMEIVGGINKKENILKLGSQVVVFKESLRPSPSISTDVVSSEKVAVMGAKIEALTVELKKKNLEQETLRQKMERLKQIIGNIVPNMNTSIQQEGEGDNINNKIDEL >RHN53771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4009772:4016930:-1 gene:gene28715 transcript:rna28715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA oxidase MEGVDHLAFERNKAEFDVNEMKIVWAGSRQEFELSDRISRLVASDPAFRKDNRTSLDRKELFKNTLRKTAYAWKRIIELRLNEQEASKLRSFVDEPAFTDLHWGMFVPAIKGQGTDEQQEKWLPLAYKMQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFIIHSPTLTSSKWWPGGLGKISTHAIVYARLITEGQDQGVHGFIVQLRSLDDHLPLPGITVGDIGMKFGNAAYNTMDNGVLRFDHVRIPRNQMLMRVSQVTREGKYVQSNVPRQLIYGTMVYVRQAIVADASTALSRAVCIATRYSAVRRQFGSQKGGPETQVIDYKTQQARLFPLLASAYAFRFVSEWLKWLYTDVTQRLQANDFSTLPEAHACTAGLKSLTTSATADGIEECRKLCGGHGYLCSSGLPELFAVYVPACTYEGDNTVLLLQVARYLVKTISQLGSGKKPVGTTAYLGRVEQLMQYQSDVKRAEDWLKPNVVIEAFEARAARMSVAVAQNISKFSNPEEGFQELSVDLVEAAAAHCQLIVVSKFIEKLQQNIPGKGVKQQLEVLCSIYALFLLHKHLGDFLSTGSITEEQGSLANEQLRSLYSQVRPNAITLVDAFNYTDHLLGSVLGRYDGNVYPKLYEEAWKDPLNDSVVPDGFKEFVQPMLRQQLHNARL >RHN45275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14174058:14180846:-1 gene:gene39520 transcript:rna39520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MTKSLDVPPKGGFSFDLCRRNDMLEKKGLKSPSYLKTGTTIVGLVFQDGIILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVVTALTLLKRHLFNYQGHVSAALVLGGVDITGPHLHTIYPHGSTDTLPFATMGSGSLAAMSVFESKYKESLSRDEGVKLVVESICAGIFNDLGSGSNVDVCVITKGHKEYLRNHLQPNPRTFVNPKGFTFSKKTEVLSTKITPLKENVEVIEGDAMEE >RHN50937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11988878:11989822:-1 gene:gene35293 transcript:rna35293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin D MFTLFLFLNLALSIFFSIIVFCICIHYKAAKENLNHNEEKSFWYDYEDDFYKFHHLEECQISTNLYGARINCEERKFLIDSIIDAHYALNLAPETLYLCVNIIDRFLSKLNPPSTPIEKIKFVPHISLLLASKYEERRKLHVRDLVHIPPEVVCETEKLILQKLDWNLTVTTPYVFLVRNIKDEDKMMENMVFFFSELSLTHYSIVCDYKPSMIAASAVYCARIVIGRYPLWNNDLKICTGTGYSEKELRSCAMVMIEFCNEICRDGTMHVFRKFSSRDYCEVACFAKREISKKLFYPRIYCSFQFIKSFKALY >RHN44358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3832690:3833241:-1 gene:gene38468 transcript:rna38468 gene_biotype:protein_coding transcript_biotype:protein_coding MYFEESKAPVSDIANTLVNVKNAEEAVQLIKSTIDHQKQKLDIRDYSHVVSDDKSNLLEGDVAGEDEDNNSDSKEISSSESDPEEISSFESFPRTPIEKSVSRTPIEKKDDRKKARKESEKKMKEKKREKRKTKISKAAKKRRIKLTKAPKTR >RHN51303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15998370:16002294:1 gene:gene35719 transcript:rna35719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S13 MSLVANEEFQHILRVLNTNVDGKQKIQFAMTSIKGIGRRFANICCKKADVDMNKRAGELTAAELENIMTVVANPRQFKVPDWFLNRKKDYKDGKFSQVVSNQLDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >RHN41276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28800673:28802611:1 gene:gene47581 transcript:rna47581 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPPSCNIQAFTTFINLQVLELGQFIGSQSRLDLLQSSSALLTIKDGILEMLKRLW >RHN82540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54624565:54625558:1 gene:gene6711 transcript:rna6711 gene_biotype:protein_coding transcript_biotype:protein_coding MAWYAKVSHPRILPPDEGSPPKSVNVEQIIEEEHAREMPDTLRIIRDVVHIVDDIVARQAETTKEEIVQEVMRIASTSRPALTYQIVRRRRGQR >RHN67341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26243812:26246172:-1 gene:gene15521 transcript:rna15521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MALLALYLSYLFSFVLLAIVVQKVGKKLKKTDSTFNLPKGPRKLPIIGNIHNLLSSQPHRKLSDLAKKYGPLMHLQLGEVSTIVISSAEFAKEVMKTHDIHFATRPKVLAIDIMSYNSTSISFSPYGDYWRQARKICTLELLSLKRVNSYQPIREEVFSNLIKSIASENGSPINFTEAVLSSIYTIVSRAAFGNKCKDQEKFISVVKESVKIAAGFDLGDSFPSSKWLQYVTGLRPKIEKFHQQTDEILENIIDEHKREKYTKVKGDQGEVAEDLVDILLKYEDGSDQDFSLTKDNIKAIILDIFGGGGETSASTTDWAMIEMIRNPRIMKKAQDEVRDVIKMKGRVDEKCINELSYLKSVVKETLRLHPSVPLLLPRECGKACEIHGYHIPVKTKVIINAWAIARDPNYWTEPEKFYPERFIDNGIDYKGSNFEYIPFGGGRRICPGSTFGLRNVELALAMLLYHFDWKLPGGIKCDELDMTEQFGVTMRRKDDLLLLPFVYHPLNVR >RHN68401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35166901:35167532:1 gene:gene16747 transcript:rna16747 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLKIGDGTARFKNSTLCSSVVNILMIFILFQLHFGNLGCMHCFDLVNGYENQRFVKSRSKNDFLVADVLALGNGGIRIGFDIGIGSGSFAAVMAERNVTIVTSTLNIDAPFNEFIAAGGLFPLFLSLDHRFPFYDNVFDLVRATNTLDDDVGKKQEKLEFLMFDVDRIFNVLKQIEMLTK >RHN79689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31260588:31262530:1 gene:gene3513 transcript:rna3513 gene_biotype:protein_coding transcript_biotype:protein_coding MIERRARSKIEAQSREINLTKTLCRISCLVSSCAWWISGAISGIGVYRVRLALI >RHN59834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13837191:13842656:1 gene:gene21995 transcript:rna21995 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSQKLHEAFKGTVERITGHRTVSAFKEKGVLSVSEFIIAGDNLVAKCPTWSWESGEPSKRKSYLPSEKQFLITRNVPCLRRAASIEEEYEAAGGEVLLDDEENDGWLATHGKPKETKSDEEENLPSMESLEISEKSSVKQTSSHTGGEDDEDIPDMEEFKEYDNIIETDPSTYLVAHEPDDDNILRTRTYDISITYDKYYQTPRVWLTGYDESRMLLQPELVLEDVSQDHARKTVTIEDHPHLPGKHASIHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFMASVIPTIEYDYTMDFDLGSSSN >RHN53650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3106412:3106753:1 gene:gene28579 transcript:rna28579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-methanol-choline oxidoreductase, FAD/NAD(P)-binding domain-containing protein MQDATSAPIISFYDYIIIGGGTAGCPLAATLSKNHRVLVLERGGSPYGNPNITNLSAFGVALSDPSPSSPAQRFISEDGVINSRARVLGGGSCLNAGFYTRASPRYVRYVTQK >RHN64586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60217816:60221370:-1 gene:gene27414 transcript:rna27414 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSNGVRLLSSHLIRDSCKSIYEGVFHRTLDSGLWTLSRVIGGGGVAHGHGVNVNNRVLPNSSIRGSASLSRYHIYESYPSSSSLVKRKRKGRKGIDGVTDADFQKGFENWCKKHKATFSSEEDKQTTFNWFRKTYIYGREFPCAAAPPVHLKDIIDCIRRYGIHGDSKVKYEFLLERSRHPIYPPYLANYNMDDPSVVAEFHELEEVSKCNCPDCCTGRDLGQY >RHN73905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21415924:21416829:1 gene:gene9797 transcript:rna9797 gene_biotype:protein_coding transcript_biotype:protein_coding MWKADILCHLLPSSMIDIENKVPQIFMISNGLASSCAALIFYSSNTYWQGQVPYLVGKWRFQAWWVGDAGFVECCAHYLGFLTKLTRRIVTGGVQ >RHN77132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4320258:4324808:1 gene:gene556 transcript:rna556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAETAVWFVLRQVYQLLKDETRLLKHVRRDFEDVKNELEFVRAFLKDADKRATSDEVSIQIWVKQLRELSFHIEDVIDAYIMDVAHHHHHDHHDGFIGKLHNVVGLMKWKTLKPRHRVACEIQEIKLTIHGIKERSERYNFQRSEQRGSSIVEDCVMVKWRDPDRLASLFVEEGEIVGFEKPRDEIVDWLVDEEERTRSVISVVGMGGLGKTTLAKNVFDNQQLKGYFDCRAFLVVSQSYSVEALLRSMMMQFSEETKEPLPQGINTMDKTSLINFARSYLKNKRYVVYFDDVWKVDFWDEIQLATPDNKLGSRIMITTRNLDVANYCRKDSVVQVHKLQPLSPNKSWELICNKAFRFGFSGNCPPELEDMSKEIVQKCEGLPLAIVAIGGLLSTKDKTVSEWKKLCQNLSSELDRNPHLANITRILGMSYDDLPHYLKSCVLYFGIYPEDYSIRSSRLIRQWIAEGFVKHEVGKSLEEVGEEYLTELIHRSLVHVSRVHYDGKATSCRIHDLLREMIMRKMKDLSFCHVMDEDGHEQISDAMIIRRLAINTSSKNVLRSIENFPIRSLYIFDALIKLSDYFGSRFFAKSKLLKVLDLEGTWLDYIPDDLGNMFHLKYLSLRYTNVKNLPKSIGKLHNLETLDLKGTLIHDLPIEINKLTKLRHLLVYNRRAHLRISGESGVRIIQGVGSMTVLQKLYHVEVDHGGLELIAELKKLKQLRKLGLKNVKREYGNALCESIEEMKCLESLHISAINENEVIDLQFISSLPQLRQLHLFGRLEKLPNWVPRLEQLVRLSIRFSKLKDDPLKLLKDLPNLLRLAIVCDAYDGEMLHFQVGFKKLNKLYLVQLNNLNSILIDNGTLPALKLIEMVSIPKLSEIPSDFHLLKSLETLRLVNMPYEFNQSIDPNGGPKNWVIEHVKMVTVVEKVGSNSVEFSYRTIRHPRST >RHN62816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46008470:46008762:1 gene:gene25430 transcript:rna25430 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-dehydrocholesterol reductase MVESKKIETVHSSLVTYASMISLHTLAPPFVNLLWYTMMKAMDGSILKTSDYLNNNGLQGFLNLWPKPTLLSF >RHN71174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56944795:56945958:-1 gene:gene19824 transcript:rna19824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative procollagen-proline dioxygenase MQRSLVVDGVTGQGILNSVRTSSGTFLERGKDKIVQNVERRIADITSIPIENGEGLQIIHYEVGQKFEPHYDYNFNWRITNNGGPRVATVLMYLSDVEEGGETVFPNAKPNFNSVSKYHPGKGLVVKPKMGDALLFWSVKPDGSLDTASLHG >RHN78534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16042256:16045859:-1 gene:gene2114 transcript:rna2114 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLSLSTLPSSLLLPPNRLLSSSTRRPSQVTPSSSFRFTTVQCRVNRAGNSTYSPLNSGNSNFSERPPTDMAPLFPGCDYEHWLIVMDKPGGEGASKQQMIDCYVQTLAKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPENKDYGAELFVNGEIVQRSPERQKRVEPQPQRHQDRPRYNDRTRYVRRKENTR >RHN75422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42183152:42185413:-1 gene:gene11628 transcript:rna11628 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLEPPFRPREKIIEKQKYFQHIPKHTYLKGPFDRVTSVAIPAALAATSLFLIGRGIYNMSLGIGKKE >RHN75120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39533870:39537153:1 gene:gene11279 transcript:rna11279 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TrxS3 MATPTITMSSFIFIILFHTLSASMATAQLLNAATQDASNGVASITDENFGSLVLNSETLVLVEFYAPWCASCKGVHSKMVELANDYAGAVKFFKLNVDDNRLAPYAYWIQVLPTVLFFKNGEHRYRLHNVSKTTFLKHIKQNL >RHN61542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36267915:36269536:1 gene:gene24015 transcript:rna24015 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative uracil-DNA glycosylase MATGRIKLPDMLVEQSWLEALPGEFQKPYAPALCMFVQNEIFAFKDSVYPPSHLIFNALNTTPFHSVKAVILGQEPYDGPGQAMGLSYSVPEGVKVPSILKNVFKELKKDIGCSIPSHGNLEKWALQGVLLLNAVLTGRKNEDNLNSHANIGWEQFTDVVIKTISEKKEGVVFLMWGESAQEKIRLIDQTKHHILKAEHPSVLTVKRGFFGCKHFSKTNQLLEQKGFDPIDWQL >RHN46763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34674229:34679332:1 gene:gene41287 transcript:rna41287 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vestitone reductase MEEGKGRVCVTGGTGFIGSWIIKRLLEDGYTVNTTVRADPAGQNKDVSFLTNLPNATQKLNIFNADLSNPKSFNAAIEGCIGVFHTATPIDFELEEPEEIVTKRTIDGALGILKACKNSKTLKRVIYTSSASAVYTQDKEEDTMDESYWSDVNILRTLKPFAWSYGVSKTLAEKAVLEFGEQHGLDIVTLVPPFVVGPFICPKLPGSVHSLLAFLFGDIDKHSLLLVSRTGMVHVDDVARAHIFLLEHPNPKGRYNCSPFIATIDEIADLVSSKYPELQMPTSKELIGVKGSKLPQLTSKKLMDAGFKFKYSLEKMFEDAVECCKEKGYL >RHN58144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44059025:44066434:1 gene:gene33756 transcript:rna33756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclear protein MESDVGGVCDSSTVLSYKKRRVSECYVTRSNKTMTKIEASLPILHSPGYYTEPSLKDLAAREVLYPGYCSSVPDFTVGRFGYGYIRYVNETDVRGLCLDDIVKFHKNEVIVYEDENNKPVVGQGLNKAAEVVMVLNSRKLKSKECRNDVLVKKLKQSTESQGARFVSFDLVTCELKILVEHFSRFGFDDDDEEDAVMDDAETHDVEKELPINVDEIELSHSLPAHLRLDPVKMREMRSLMFPDEEEMEDLGRKSSFGKESVRPLKNSAQSAMNRSTPPTVRNTPFPLLEYKHGNLESNSPGSILMVQQHKNMPLRAVKAQGFKLDLKQETPISGSYAHNIVDAGLFMGKSFRVGWGPNGILVHSGTLVGSGGDHKLMSSVVNLEKVAFDNLVRDENKKVCEELVDHALVSPLNFHKGINHVTKEVDVGPYKLTLQKLEANRTDLPEISHQYCDIIERQMSVPGLPSWNRLGLTHQVMTWELIRVLFSERKQKGQIESLGADNEEDMMEDIKEVDNDVDQEALPLIRRAEFSYWMRESVSYHVQNQISSLNDSHYLQHVFTLLTGRQLDEAVQLAVSNGDVRLACLLSQAGGSTLNRSDIAKQLDIWRNKGLDFNFIEEDRLRLYELLAGNIHDALHDIQIDWRRFLGLLMWYQLPPDTSLPAAFETYKHFLDEGTAPYPVPLYIDEGTSEEVVSLKADKHFDISFYLMLLHAKEDTEFSFLKAMFSAFSSTPDPLDYHMIWHQREVLEAVGVINSNDLHILDMGFVSQLLCLGKCHWAIYVALHLPHREDYPFLHVNLIREILFQYCETWSSDESQYHFIVDLGIPKEWMHEALAIYYNYNGDLAEALEQYLQCANWQKAHTIFVTSVAHKLFLQAKHSEIWRIATSMEDYKSEIENWELGAGIYISFYSMRNSLQGDANTMTELDSLQSKNAACQDFVSQLNESLAVWGYRLPIDARVVYSKMASQICDLLLSAVGEGASRDEQFGCFNTAFSAPIPEDLRSGHLQDAVYLFTSYLSEIAT >RHN62869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46358986:46362760:-1 gene:gene25497 transcript:rna25497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MQTHEYFLSGKAVHPLADEAIGTCMNAPHASAFGTYMNAPHGSAFGTYMNLSHASTFDAVLPPSARQITPFERFNLQPSEVCPKNFIVFDQTDQQNRILFHPATTYKFNSPGFNSHATCNQDFEKDKINQMETELSSSFVEDSKDIDALLSLDSDELEDYDEEEVSTARTHEKDDSTSDTCSSYCTKSRKKRLLSSAHNSSGTKGYCISEKKQHLEMKRMVKILRNIVPGGGNQMDTVTVLDEAVKYLKSLKVEVEQFGVGP >RHN77945.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10729984:10732440:1 gene:gene1455 transcript:rna1455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MGVLDKLSDYFPEYSSTGKKHKPMQTVEIKVKMDCDGCERRVRNSVAYMKGVKQVEVNRKQSKVTVSGNVDRNRVLKKIQNTGKRAEFWPYIPYNLVAYPYISQAYDKKAPSGYVKNSIQALSSPNAMDEKLTNMFSDENPNACSIM >RHN75942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46326581:46327081:-1 gene:gene12206 transcript:rna12206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L11/L12 MPPKLDPSQVTEVKVRITGGEVGAASSLAPKIGPLGLSPKKIGEDIAKVTAKDWKGIRVTAKLIVQNRQAEIEVVPSASALVIKALKEPERDRKKTKHINHNGNISLEDVIDIARVMSPRSMAKKLSGTVNEVLGTCVSVGCTVDGKAPKDLQQEINGGVVEIPAF >RHN57683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41001161:41001505:-1 gene:gene33262 transcript:rna33262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MRFQSLVLILAVLFASFATNQAIPPNNLRRININDPRVIEMAGFAIIEHNNQITGAKLRFEKLVDGYIQKVGLETDYHLTISAKNGSTINNYEAVVFYSPIFELSLKSFVLIHA >RHN50544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8030289:8031107:-1 gene:gene34841 transcript:rna34841 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTCKFIINTSSLLIMANKSSSTAIVFLAFLLLAGSAYAQDNFDIWNQCAPECEDSSGICITCIVAGWGCPTGCVEWVYGPSLCNCIPERYYPRLDSASP >RHN61338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34900319:34911064:-1 gene:gene23793 transcript:rna23793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative V-type ATPase, V0 complex, 116kDa subunit family MGEVARGGGCCPPMDLFRSEPMQLIQLIIPMESAHCTVSYLGDLGLLQFKDLNSEKSPFQRTYAAQIKRCGEMARKLRFFKEQMFKAGVSPKGSTTQSDVNIDDIEIKLTEIESELTEMNANGEKLQRTYNELVEYKLVLQKAGDFFHSAQSRAIEQQREYESRQLSGESMEAPLLQDQELSGDSSKPVKLGFLAGLVPREKSMAFERILFRATRGNVFLRQTAVEDPVTDPVSGEKTEKNVFVVFYAGEKVKAKILKICDAFGANRYPFAEELGKQAQMISEVSGKLAELKTTIDAGLSHRVNLLENIGTQFEQWNLLVRKEKSIHHTLNMLSLDVTKKCLVAEGWSPVFATHQVQDALKRAAKDSNSQVSAILQVLHTRESPPTYFRTNKFTSSYQGIIDSYGVAKYQEANPTVFTVVTFPFLFAVMFGDWGHGICLLLAALYFIIREKKLSSQKLDDITAMTFGGRYVIFLMSLFSIYTGLIYNEFFSVPFELFGPSAYVCRDDSCRDSTTIGLIKAGPTYPFGVDPVWHGTRSELPFLNSLKMKMSILLGVAQMNLGIIMSYCNAKFFKNNVNVWFQFIPQVIFLNSLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPTDDLGENQLFAGQKNVQLVLLLLAGVAVPWMLLPKPFILKKQHEARHGDESYAPLPNTEESLQVESNHDSHGHGEFEFSEIFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLMAWGYNNVVILIVGLIVFIFATVGVLLVMETLSAFLHALRLHWVEYQNKFYEGDGYLFLPFSFSLLDEEDEML >RHN77117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4206218:4209095:-1 gene:gene540 transcript:rna540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosidase MENIVEDAPPNNINLEQPYRTWYHFQPKKNWMNGPMYYKGFYHFFYQHNPDGASFGVNKMVWGHSISKDLINWTHLNHAIEPTCAGETSCFSGSATIVPGEQPVIYMLYTGLINEKTHQVQYLAMPKDLSDPKLIEWIKHPQNPLMAAPNGVEVGEFRDPSTAWQGKDGKWRVLIGARNGEQGKAILYRSEDFVNWIVDPNPFYATDGTGVCECPDFFPVYINSTNGVDTSVENSSVRHVFKISYLLRCHDYYFIGKYVSDSDQEKFIPDEKFTGTWKELRFDYGNFYASKSFFDYAKNRRILWAWVLESDTKEDGIERGWAGLQTIPRKFWLDESGKRLLQWPIEELEQLRYNQINITRETLLSGSTLEVIGITASQADVEVLFELPDLESAEVLEPSEVDPQELCKEQYASIKGMIGPFGLQALASEDQTERTTISFRIYRVSDEYKCLMISDQTRSSSSLREGLEKPIYATIFDIDPNVKTISLRSLIDRSIIESFGDGGKVVITSRVYPLLAIEKDAHLFVFNDGSQSVVISELNAWSMNQAEFENEQTYYG >RHN60229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23960429:23960914:1 gene:gene22493 transcript:rna22493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MLIDSGTPISILPEDFFHRLLEQVRKKVALEPMPFDPSLGYQLCYRTPTNLKGPTLVAHFEGADVLLTPTQIFIPVQYGIFCFAFTSSFSNEYGTYGSYVQSNYLIGFDLEKQVVSFKATDCTNLQDAPRVLPNFLSSPMYLFLLLVIFTKLIFLSLHCMN >RHN82041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50790482:50790877:-1 gene:gene6157 transcript:rna6157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I PsaD MAMATQTTLFTPPFFSAKPNEHVSVPWKQSPTLSFTNPNLFKLTISSNIKTAAVTEEATEAPVGFTPPELDPNTPSPIFSGNTGLEAFYARPKLKNFM >RHN46658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33816713:33820526:-1 gene:gene41177 transcript:rna41177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase WNK-NRBP family MYKGRFCTSGGIKAPLGYVETDPSGRYGRFKDVLGTGAMKTVYRAFDEFLGIEVAWNQVKLGDVCHSPDQLQRLYSEVHLLKHLDHKSMMIFYGSWIDINGKTFNFITELFTSGTLREYRQKYKKVDNQALKNWARQILSGLEYLHNHNPPVIHRDLKCDNIFVNGHKGEVKIGDLGLAAILCRSQLAQSVIGTPEFMAPELYEEKYNELVDIYSYGMCMIEMLTLEFPYNECSNPAQIYKKVTAGKLPNAFFRIKDLEAQRFVGRCLAHVSKRPSAKELLMDPFLATEQFELSLPNTTLSKNQTLHHFSLGDSTTSTNMTITGSISEEDNTIFLKVRLCDEIGQTRHIFFPFDTKNDTAIKVAMEMVEELEINHLEPLKIAAMIDNEISTLFPTWMGTHGKCEHQLQHSYNYEEDEDVNNHNPFVLSSSYPSSPHDSLTKSHSKAHFCAKHSMFPQEWNQDLYMNDDGSSPSSMNSYKCSNIQYHEDEHCPTIVEEARAKHNNLQCIRSRHIEEGDTSNFAKYFLHPKMDYCNGCRCGGHGTSHHGSSRIPKNHSNMEQHRSLQLHRPQLLEEVYKRPMFNTIATMEGIGFQYPNRGGRRTR >RHN67638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28881870:28886460:1 gene:gene15846 transcript:rna15846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein xylosyltransferase MAHSSKHTPRTPTYLLPCVIALSFFSLTALLLYKVDDVASRTGTVVGHNLEPTPWHVFPTKSFDEETRQSRAYKIIQCSYLTCGSADSGGKTGPSFAAKDAKQQNCPDFFRAIRKDLEPWKKTKISKGHLVEAQKYAAFRVVIVGGKLFVDWYYACVQSRAMFTVWSLLQLLRRYPGLVPDVDLMFDCMDKPSINKTEHASMPLPLFRYCTTKGHFDIPFPDWSFWGWPEINIRPWQEEFPDIKQGAQVVSWKNKNPLAYWKGNPDVASPLRTELLTCNDSMKWGAEIMRQDWDAAARSGFQESKLSKQCNHRYKIYAEGYAWSVSLKYILSCGSVALIIRPQYEDFFTRGLVPLQNFLPVDPLDLCPSIKRNVDWGNKHPKEAAALGKRGQDYMESLNIDRIYDYMFHLISEYSKLLDFKPALPSTALVVCEESVLCFADEKQRSFLSRSTVSPSQTPPCTLKPA >RHN65451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2335616:2337365:1 gene:gene13290 transcript:rna13290 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVRFDLQYHRRTLMVAMHHMLGLPSLHDTRSQRGQEGIFHKD >RHN41142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27428141:27428926:-1 gene:gene47432 transcript:rna47432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVNLLSCLLLFLLSLHCFVACLAVNTKNITTDQSALLAFKSLITSDPYDILSKNWSTSSFVCNWVGVTCDERHGRVHSLILRNMSLKGIVSPNLGNLSFFVILDIKNNSFGGQFPIEVCRLRRLKVLHISYNKFEGGIPAALGDLSQLQYLYLGANNFTGFIPESIGNLQWLKELDTSNNRLSGPIPQTISNMSSLEVLKLFSNYFSGTLTSDIMHHVSLFLTICDFRILI >RHN78766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18139799:18140749:1 gene:gene2415 transcript:rna2415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNKPYSSPSSHHSFHKPKNSHDHDHQQSLENDKKNPGSRIYNCEFCHKTFTSSKSLGGHKTIHRSSKILVQPETNKQIRDHDVNNGGTLKKTTKITCVFSSSLHNHDHDDWKPKNHTSDVCHKVFSSNTALKGHMRWHTPKGLKKDTIIPSLTAPSSLEQSHLEFLPATDLSKYLPPISYKTKKRSPRRRRIADNDEEITIAAKTLLYLSYGGYEGSTKRQKISSNTVDDNEKMKEQGVLLTRCVCHNEKNLVLKLRIPKDTIFQTSQDSKEPAGSNLDIEGMKNVSEDETELGSRVVRNFDLNELPSDDFEDETN >RHN43852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48990966:48992889:-1 gene:gene50482 transcript:rna50482 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSSKSPPLIPSRHSPQFTPIQEEHEFDEYRSQSRRTTPTSASTPKHHHHPPTPININKNTKPNHKKKRSETELEDGSVSCNKCRPHSRDKIFILPFDHSNNSNSNSKYSSLLQSPNGIFKSIVSKLTRKSPMSTSTTNNNEEQWKMAVAELSHKLVHATRKRDEALQEASRLMNSMSELEKKLNKLEIYCHTLKSGLEECNIGSSNVVAQKNFHHHVQDNDNVVQHFLVAVSEARSSVRLLSRSLTMQLRHTGSKVYEKVSLLLQPYDIKISFSKNPRSLLFYLEALLNKTFFEDFESIGFQKNACNRILNPMERCESSFASFNMIHGLTWDEVLSKGTRHFSEDFSRFCDRKMSEIVAMLGWNRAWSEPLLQAFFVASKSVWMVHLLANSVHPSLQIFRVDKGVNFDSVYMEDMGGDKSSRLVPNMVRIMVAPGFYVYGSAVKCKVLCRYLTNNLSNNKQDKGLNPSP >RHN76054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47328163:47332313:-1 gene:gene12328 transcript:rna12328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MNRSMDVEMEHLNLNRNVMNLREKELQIVVQSPSKVEVPDGWLVEQRPRPSNPNHIDRYYIEPHTGQKFRSLVSVQRYLNGGETGDYLPTQRIISENNNNLPDGWLVEHRPRLSNSDRVDRYYIEPRTGQKFRSLTSVQRYLMAEARDYLPTERMISENATCIKSRTAQKLRPAKDFEGRLSFSAENACRATPKLVFKCGSGKKSAPSISYKENDSQKKIKIGEDDRGSIHNLARPPTKVSWVLSDPRGFWNPFLDDSVVPASEKRKWSEAFSISINEGATSGVNN >RHN57742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41425890:41431458:1 gene:gene33326 transcript:rna33326 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKVAACAITTLLIFLTCLQFSTFKSPFHPRDLLPLMPKQISWPIINSLHSAVDILPVFVAAASSPNNTPEWKGACFYKNQAWMEFHNKTGSQFGGGTLHLKVSNAHSYTCMDIYIFATPYRVTWDYYFLSREHTLEIKEWDGRAEYEYVKNHGLSIFLMKAGMLGTLQALWEVFPLFTNTGWGEKSNIGFMEKHMGASFETRSQPWVTNISVDDIHSGDFLAVSKIRGRWGAFETLEKWVSGAYAGHTAVCLRDSDGKLWVGESGHENEKGEDIIAMIAWDEWWDFELNKDDSNPHIALLPLHPDMRARFNETAAWEYALSMDGQPYGYHNMIFSWIDTLSGNYPPPLDANVVACVMTIWSQLQPAYAANMWNEALNKRLGTKGLDLPEVLVEVEKRGSSFAELLTIPEQDDWTYSDGKSTSCVAFILAMYKEAGLFDPIASSIQVTEFTIKDAYLLNFFENNSSRLPTWCNDGDTVKLPYCQIKGKYRMELPGYNTMQPYPHMNERCPSLPTKYSRTKNC >RHN49200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53255086:53258789:1 gene:gene44006 transcript:rna44006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MSKRELSRMKPSPVPLATLIGREIRNEKVEKPFVKFGQAGLAKKGEDYFLIKTDCHRVPGDPSTAFSVFAIFDGHNGISAAIYTKENLLNNVLSAIPQDISRDAWLQALPRALVVGFVKTDTEFQKKGETSGTTATFVIVDGWTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENVEERERVTASGGEVGRLNIFGGNEVGPLRCWPGGLCLSRSIGDTDVGEYIVPIPHVKQVKLSSAGGRLIIASDGIWDTLSNDIAAMSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDHPVLLPTPKKKHNMLTTLFFGKKSENSTNKATSKLSAVGVVEELFEEGSAMLIERLGKDFPFDKNSEIFRCAICQVDQPPGNGLSVNSGPFFSPASKPWEGPFLCTNCRNKKDAMEGKRPSRPTVTA >RHN44058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1359308:1360046:1 gene:gene38110 transcript:rna38110 gene_biotype:protein_coding transcript_biotype:protein_coding MILVCGDRHQFANIKWAKWTSTYMLKMRMRMRLACQRESTTNMDRWNLLL >RHN59263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8888644:8889270:-1 gene:gene21267 transcript:rna21267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVESNSKRQKASEENDDRISSLPDDVLNHILSYLPIKTTVATGRLSRQWQHLWKHLHVLDFNEYDDYHRSDNRKEQLRRFVVLVNNVLHRNRHGIRKMRLTCAHSLVDDDNFRSHTVDTWVRSVIGPQLEELELDLDLFSDDFDEPLDGPDFDEPLDGTDFKLPLSLFTCPNLVSLRHVLLFFIASFSFLNVKCHCGKIESYTCTSHL >RHN80421.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37999431:38004995:1 gene:gene4349 transcript:rna4349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, ARF/SAR type, P-loop containing nucleoside triphosphate hydrolase MGAMMSRFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTNPTVGSNVEELVYKNIRFEVWDLGGQERLRTSWATYYRGTHAVIAVIDSSDRARISLMKDELFRLLGHEDLQHSVILVFANKQDIKDAMTPAEITDALSLHSIKDHDWHIQACSAISGDGLYDGLGWIAGRVTGKA >RHN51573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20129258:20129819:1 gene:gene36037 transcript:rna36037 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTLACTAILNLEFSHCSQPIVAGVTTPSVVGDLVAAFRVIENDHFLTDEENWMKTQELVGGSCRGETSDETPSNGVLDVFDGSLNCSFCIQLPERPITLAIAIRMAKLARSEGVGGSIAPKKFHVVHNDELPDTCFSTDRAKKIGKVEACSGKIFVTILKYHFGPILVE >RHN66679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16227988:16228284:1 gene:gene14722 transcript:rna14722 gene_biotype:protein_coding transcript_biotype:protein_coding MEKREGGPNLPTLPSLAWLHGANSGTGSGTATFTSSIVGKGATTDRGSLGGESHRSMIAKIIQIKDSAIVNPAMKMNGLTRTPHGKLRLTGSPEMKVD >RHN47622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41050856:41051337:-1 gene:gene42243 transcript:rna42243 gene_biotype:protein_coding transcript_biotype:protein_coding MPHTNSWYMKIATKFNKKTDTKRTDVSVMMAKASPIFTRRQTCTTNTDHLISELLHHASLYAYLSDQLHVSLS >RHN49182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53116473:53118409:1 gene:gene43987 transcript:rna43987 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAMDFQKRRVRFLLSVSAIIVLSITAEKCRELVGENASSKSGKFTLLNCFDLGSGSLACGVKESVKLYVNNIRSAHVEKARHDAIQSALVDAASQGMSPIVSEKHAQKQGKKAAKLATRKANRITGPIISSGWDFFEAVYYGGTFTEGFLRGTGTLFGTYGVGFVGEQRLGRFGYLVGSQLGSWIGGRIGLMVYDVANGVHFMLQYGSKIQET >RHN67764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30087097:30087672:1 gene:gene15991 transcript:rna15991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyanohydrin beta-glucosyltransferase MEFQLQDPKSPKTLTMSHVLVVPYPSRGHINPMMNFSKLLVFNNPNILVTFVVTQEWLTLINSEPKPDSIRFETIPNVVGDKFIDVVEAVMTEMEAPFERLLDQLVLQPRPPTFIICDNFLFWAVRVGNRRNIPVAAFWTSSTSKLWVQFFHIFLQRKHLGMDAISVHFSSPLSKNNQSFFLSLLYKCVVR >RHN65473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2489394:2489945:1 gene:gene13316 transcript:rna13316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAQVNDENDPNDVKHCCCIEMAQVTSQSLTATTQRQRLTGTQLPTLPFDLLPEILCRLPVKLPVQLRCLCKFFNSLISNPRFAKKHLQLSNKRHHLMVTSSNYLGEYVQYDSPIPSLFSKSIIIQTQLYPPNSIKLGHNYAFVLCSCDGIFCGTLKDGSYFCGTLPLENLSYCLLWKNHKMVP >RHN46723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34346760:34347086:-1 gene:gene41245 transcript:rna41245 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTCFSVNEYHEIFIFFFSIEQESFKKKLTTVQAQIDETTGDGVQEVDGGTKLRLGIESAGGHTRGRVYGTTDLSVNLRRGCTSFTQKSQDHHGSMYEMSLEADQGS >RHN81778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48815287:48818869:-1 gene:gene5866 transcript:rna5866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GPN-loop GTPase, P-loop containing nucleoside triphosphate hydrolase MDADNASKNANPDDTPMEMEAGDSQEKNKQKEELSESMKKLDIEGSSSGSPNFKRKPVIIIVVGMAGSGKTTLMHRLVTHTHLSNIRGYVMNLDPAVMTLPYASNIDIRDTVKYKEVMKQFNLGPNGGILTSLNLFATKFDEVISVIEKRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRAENPTTFMSNMLYACSILYKTRLPLILAFNKVDVAQHEFALEWMKDFEVFQAAASSDQSYTSNLTQSLSLALDEFYSNLRSAGVSAVTGAGIEGFFKAVEASAEEYMETYKADLDKRREEKQLLEENRRKENMDKLRREMEKSGGETVVLSTGLKNKEEDEDEEDEEMDDDDNVDFGTYTEDEDAIDEDEDEEVDKFSF >RHN39359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6387656:6387967:1 gene:gene45374 transcript:rna45374 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVRTDFSMSHHCSFELLLVVNLKSNCHLQFYLQGIAHQKHALTRPL >RHN48607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48780042:48788239:-1 gene:gene43345 transcript:rna43345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate kinase MHSSNLLIEEPIRMISILEPAKTSFFPAMTRIVGTLGPKSRSVDVISSCLKAGMSVARFDFSWGDSEYHQETLENLKAAIKTSKKLCAVMLDTVGAEMQVVNKNETSISLQADSQVILTPDQGQEASSEILPINFDGLAKSVKAGDTIFVGQYLFTGSETTSVWLEVAEVAGQDVVCTVKNSATLAGALFTLHASQIHIDLPTLTEKDKEVISTWGVKNKIDFLSLSYTRHAEDVRQAREFLSTLGDLSQTQIFAKIENVEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFFFQKSALYKCNMAGKPAVLTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICSEAEKVFNQDLYFKKTVKFVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSASNESILKVALDHGKASGVIKPHDRVVICQKVGDASVVKIIELED >RHN71350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58459313:58463999:1 gene:gene20023 transcript:rna20023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative complex 1 LYR protein MSLTSTVAYVARRAAQREKVRILYRRALKDTLNWAVHRHLFYDDASNLRDRFEQNKHVEDLDTIDRLIADAEASYNKWRHPDPYIVPWAPGGSKFTRNPAPPQGIEIIYDYGREDNN >RHN70763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53844048:53844689:1 gene:gene19379 transcript:rna19379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MAPYECYRNHEHPSPTDLFKFNVCIRYYFANSNHIHHEITFNNLPTIACRKFFRQEGRLYLLTHLSKEPLTLDTLDYIITQMTYAIQQSYNIDFDYDDDADVENHSDNSQPREFSMSLILEIQECDIGDELEMELVNDEEGYEESIEEFGTCAICMDEFDHVDINNDIGTSRIFRMPCNHVFHQQCIVKWLQTSHTCPLCRYQMPTTLSNRKN >RHN76485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50727218:50728755:1 gene:gene12818 transcript:rna12818 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAT/LH2 domain-containing protein MKTLLTLIFTFSIIIIIIVAFTHATPTLIIRTQPQMNQSSMLIHTQQQNETKRSGNCDYKVTIATSCKSPLSTKDEISILFGDADGSEVYVPRLDDPDSGTTFEQCTTMDFEILGPCIGKICKMYLFRNGTDGWIPETVIAYHHDNPPVTFKYNIDIPKDSGYGFNNCG >RHN53382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1330613:1333511:-1 gene:gene28284 transcript:rna28284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MMRTPSNDESGLKKGPWSPEEDKILVDHIQKHGHGSWRALPKLAGLNRCGKSCRLRWNNYLRPDIRRGKFSDEEENLIINLHSVLGNKWAAIATHLPGRTDNEIKNFWNTHLKKKLMQMGLDPVTHRPRTDHLDLLSNLQQIILNAANIVTSCDINNNALRLQYSLLPQSLVNDVLGFNNNIQSFYDGSNIGISSQTIQPNLQNFEAPLQQLLPQEFECSQKFGEQIGNTNFASTVLSNSLPKLVSVSPQRSGTVKVGEENMMINAKECCNTSSSNSFETWGDFINDDANDAYWKDFIEQQYSSQP >RHN64399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58759176:58759777:1 gene:gene27215 transcript:rna27215 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSCISIFSSEIGDFPLNPFSQVVASIATSFAPSSANFGSPCFKAATDFGTSATKIALYLPAHEFKASF >RHN67494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27679446:27682726:-1 gene:gene15687 transcript:rna15687 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLTNSEPFSNTGSMEYDDWSSHRQSWKLKSAANAIIEPPINFIVSSHSNGKKRWALIDKAHMHHTWRSSQASYHLYRTGGNYCPPEHVTILMDDLLNLALHKYETVRRPAKKALVKLIKRWPSMISKCVISLTINLQDPNAKEYTVLGSCSVLASQTVLKHLTTLFVKYNNQFSGVPRSFFRISDKENHNGGLGFSDLVSQIGCMSFDSTGLHWRYNLMANRVLLLLALASRSHPNSSSKILSETAGHFLKNLKSQFPQTRILAISALDTLLKESPYKLSSGEKSDVLEDLKGHVKSSLEGTLTQTFQEDGFFNDTLTSLSHVHMITDNDQGDSSVEESITYFYFQFSASWPRTPSRISLLGRSNFCSSFARIFKRLVQECGMPVVLAVKDAVDEFRNAKERSKQCVAAEALAGVLHSDIDGLSGAWESWLMPQLKNIILAPSVESVPEWSSCIIYAVTGKGKYGTRVPLLRQKILDSLMTPLPPTVATTVTAKRYAFLAASLKEISPQKMPVAEIQLHNRLLKEVLGNMCHSSAQVSISSDPLYHSPLVPANLIIVQIPNDFK >RHN77984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11076888:11077493:-1 gene:gene1498 transcript:rna1498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MKILHFDIKPHNILLDENFNPKVSDFGLARLCPTDKSFVSLTAARGTIGYMAPELFYRNVGTISYKADVYSFGMLLMEMASRRRNLNAMAEQSSQIYFPFWIYDRLHDGREVTIENDTDQEIKLAKKMMIVALWCIQTKPDDRPSMDKVLEMLEEEDGDLQIPNKPYFCLQDPPVEDVGDDYNRNSWSSYGTSVSDSKGPT >RHN41203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28123934:28124830:1 gene:gene47497 transcript:rna47497 gene_biotype:protein_coding transcript_biotype:protein_coding MRAILVLFEAMSGLKVNFNKSMLVGVIVSDSWLNEAASVLHCRVGKVLFVYLGLSIGGDPRRLIFWEPLLRSIKSRLSGFNSRFLSFDGRLVLLKSVLTSLPVYAFSFFKAPSGIISSLDSIFTIFFGGDVRIIGSSLGSVGPLFVLGWSVEVWGLREFNVALLGKLCWRLLVERSSLWYRVLVTRYVEEDGRLVVGDRSGSSWWREIANIKDGVSGVGGGWFQEGLYRLAGDGVDTFFWHDLWLGAVSFCVHFRRLFDLAIDKSCTVTHMFSSGLEIETEVVGVGGGDVGGVRYFTS >RHN62385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43057315:43060987:-1 gene:gene24954 transcript:rna24954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane attack complex component/perforin (MACPF) domain-containing protein MGFNVNNTNSYGNAGLDPQLAAEKAVSVIGQGYNLCNDIRFSACKSRLIHIDNSSSNTRDLVFPSGVVVPNVPLSIKSDKGDCTRFRSDVLTFIQMSEHFNRQLSLSGKIPSGQFNSMFDMKKCWSRDAASTKSLAFDGWFITLYSVELDRTNITLSETVKKDVPCSWNPAALAEFIEKYGTHVVVGVKMGGKDVVHIKQSKSSDIPPTELQKLLKQLADERFSAVSNQSSNVNPAAISGKLKDDHTKLRGLHRNKPPSLVGRPIVKSHSKNDDIVSISVRRGGIDVFQPYNQWLSTISQSPNVISMSLVPITSLLNSVPGNGFLSHAVNLYLRYKPAIEELHQFLEFQLPRQWAPMYGDLPLVFDHKYKRNASPSLQFTLMGPKLYVNTVKVDSGNRPVTGIRLYLEGKKNNHLSIHLQHLSEVPGVLEISEDHSYDPIDEPDDRGYYEPVKWSMFSHVYTAPVQYNSSRMDESTSIVTKAWFEVKLMGMKKVLFLRLGYSTVASAKIRRSEWDGPSTSSRKSGFFSALMSAKLSQGLHSPEKQSKVDINSAIYHGGPPVPTRAPKMLNFVDTKEMVRGPEDPPGYWVVTGAKLCVEGGRISIKAKYSLLTILSEESIM >RHN57601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40454369:40459032:-1 gene:gene33174 transcript:rna33174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galacturan 1,4-alpha-galacturonidase MFLGFTKLTLLFLYTCCWVCVLSENNEVITCSNIVPLKYRTDNISLTDFGGVGDGHTLNTKAFREAIYRINHLSQREGGTTLYVPPGVYLTEPFNLTSHMTLHLAAGAVIKATQDSSNWPLIAPLPSYGRGRERPGGRYMSFIHGDGLQDVVITGENGTIDGQGDVWWNMWRKRTLQFTRPNLVEFVNSKDIIISNVIFKDSPFWNIHPVYCSNVVIRFATILAPRDSPNTDGIDPDSSSNVCIEDSYISTGDDLVAVKSGWDEYGIAYGRSSSNITIRRVSGSSPFAGIAVGSETSGGVENILAEHINLYNMGIGIHIKTNIGRGGYIKNINVSNVYIENARKGIKISGDVGDHADDKYDSNALPIVKGITMANVWGVKVLQAGLIKGMKHSPFTDICLSDINLHGVNGTRSRTPSWQCSDVSGVALQVSPWPCSELISHQLGSCANYY >RHN67286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25568603:25569611:-1 gene:gene15465 transcript:rna15465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSALSSSINQMQKLEKLYIIGVVPYTFIDLDLNSPPPKLPKLPEWISKLQNLVKLKVNLPKPENDAMKLLQSMPNLLSLTFAGGGHRYEDNFESLHFQEGLFMNLKKLYLADFHELSHILIDEGALGSLKKLTLWYIPQLMTLPTGIQHLHELQVLRLHYMSEELRQSIAPDEGKERWIFNQVPSVEILK >RHN45016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10804869:10810828:1 gene:gene39209 transcript:rna39209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MKTPSKKNKRTEDEEGETNGGDSYMSESNVTDLPLIKRNDDQHLIKSNKSEDGEQGKKKKKKKKLSEESNHKKYNEFNSNECEDDDQGKKMKKKRKLIEGNTLEEGNGFRSNEGDSGEQGKKKKKKKKLNDESKHKEYNEFKSNECEADDQGKKMKKKLIEGNTLMECNDFRGNDDEDGEREKKMKKKKKKPSEESTHKEYSEFKSNECEDDQGKKTKKKHKLIEGSTLTECNDFRSNGGEKGEQDKKMKKKKKKLSEESKCKEYSKFEPNECEDDDGQGKKMKKKLIEEAKVKEYSDIISNISNEGGNPDQGKTKKNKPSQKKKSKENNDFNSKEGDAVTEVNDQGKKIKKKKKAKTVTSESPNCAHNETPSNEGDADACFSVTDVNDQGKKMKKKKKAKTVTSESPNRAHNETPNSAHNGTSKRKQVTWADEVEENLCCDGLLRGKRYTPEEDEKIKAAVFDYIDSHGLGDEGLDMVLNVKLHPEVSGCWKEIAKGVPERPYESVYRRAHTLLEKEGRCKWTAEELEFIEKTYEQHGASWRAVADALGKSRAQVKDAWRRLKYTKAKTGHWSQEEYQKLFNLVNLDLQERAKEPYKKSQHGMLRDNICWEAIGHKLETRNSAFCCKKWYEQLTSTMVASGDWCDTDDFRLINALYALDACCMEEVDWDNLVEHRSGDVCRKRWEQMIQHIGEHAAKSFIEQVEVLAKRFCPNLLEDREAFDNKPVIC >RHN48578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48560971:48561571:1 gene:gene43309 transcript:rna43309 gene_biotype:protein_coding transcript_biotype:protein_coding MTSANLYFLSYIALYIISSMYEAITSIIQSCNFLNLANLYFLCEFLNPFYMLCLLVHSILVSKNLKIVFVLFVILDVK >RHN46435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31687342:31689736:1 gene:gene40919 transcript:rna40919 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDGRDEHHTNSRRTRRRLETHNEFQRRGNTQAPETIIGPAPGRRNVLPRSVVADFPLNDARLLREFSARHAGFLLSEQTHPPDIRRGAYEYFANFLNLLRSHSTLDLMTTLKSHVAEGLDVINMYGFRGEWLDDLVLRLNPSYLDIAEEELRNLTDQEATYTDSKLVGELEEEYAILTYKLRELRRKISDEKLAMDYVSNRKKQVMEEIASFNAPFNI >RHN53277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:597043:599005:1 gene:gene28168 transcript:rna28168 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLRFSVLFFFSSILLFSHSVHCDHDEEDDLYQGVNMYRTSLNLTSLTKNENANCFAEKLADQFKNKPCTNTTGANTIPGTEPQFPNYEDLLTKCHLNISNTRDGSVMPACVPGLVPSIVLSNFTQSLYSQNLNDSKFTGIGIGSEDNWIVVVLTTNTSDGSFVPESSSGDETSNGENFITKIGLVYCSLLLLVGNVILF >RHN72066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4732239:4734165:1 gene:gene7737 transcript:rna7737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L34 MASLSSSVSPLISMKFRAPTFTASSKPSSISLNSSKFRSPLLHCSFTSSPTSLSFNSSSISGLSLGLDLKPNVGPRRQNGRLVIVAAGRQALCLTKRSRSRKSLARTHGFRKRMSTTTGRAVLKRRRAKGRKVLCTKSHPNSGK >RHN78175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12462375:12468092:-1 gene:gene1700 transcript:rna1700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-fatty-acid--CoA ligase MSEVYTVKVEEGRPATSDGRPSAGPVYRCIYAKDGLMELPTGLESPWDFFRDSVNRSPDNQMLGRRQKLDSKAGPYSWLTYKEVYDVSIQMGSAMRSRGINPGDHCGIYGSNCPEWIIAMEACNSSAVTYVPLYDSLGPNAVEFIINHAEVSIAFVQENKIPSILSCLDRCSSNLKTIVSFGNVSTTQKKEAEEFGTSCFSWGEFLQSGSLDCDLPPKKKTDICTIMYTSGTTGEPKGVIIKNEAFMSEVLSIDQIIRLTDKVAADDDVYFSFLPLAHVYDQIMATYCIFKGSAIGFWQGDVRFLLEDVQTLKPTIFCGVPRVFDRISAGIKSKVSSAGSLQSALFQYAYNYKLRYLEKGLPQHKAAPFFDRLVFDKTKQALGGRVRILLSGAAPLPIHVEECLRVTIGSTLSQGYGLTESCAGCFTAIGDVFSMMGTVGIPMTTIEARLESVPEMGYDALSSEPRGEICLRGNSLFSGYHKRQDLTQEVIVDGWFHTGDIGEWQPNGAMKIIDRKKNIFKLSQGEYIAVENIESKYLQCPLISSIWVYGNSFESFLVAVVVPERKALEDWAVEHNFTGDFQSVCENLKARQYILDELKSTGQKLQLRGFEQLKAIYLEPSPFDIERDLVTPTFKLKRPQLLKYYKDQIDELYKEAKGTMA >RHN42646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39954419:39956383:1 gene:gene49111 transcript:rna49111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative actinidain MVTKTKRSNIGNSFCVMMISKMTKFILSFLILISITCLSFALSSEYSISSHGKLDKFSSDEEVFELFQMWKKEHGRDYANSEEENAKRFEIFKTNFKYINEMNAKRKSQTQHRLSLNKFADMSPEEFSKTYLPKIEMQVPSNRDNAKLKDDDDCENLPTSVDWREKGAVTEVRDQGDCQSHWAFSVTGAIEGLNKIVTGNLINLSAQELVDCDPASKGCAGGFYFNAFGYVIENGGIDTEANYPYLAKNGTCKENANKVVSIDNLLVLDGTEEALLCRTSKQPVSVSLDATGLQFYAGGVYGGENCKKESRNANLVGLIVGYDSVNGEDYWIVKNSWGKDWGEKGYLFIKRNVFEDWPFGVCAINAAVGYPVKTVLSSAM >RHN67089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23701629:23704948:-1 gene:gene15232 transcript:rna15232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-iminobutanoate/2-iminopropanoate deaminase MASWCAARTFHIPPINAAVLHRRASWAAGIGGVSVAGTAMLRSSSSKRAMPFLCMSLSTDTRINKEAVSTEKAPAALGPYSQAIKANNLLFVSGVLGLVPETGKFVSEDVEDQTEQVLKNMGEILKSGGASYASVVKTTILLADLKDFKKVNEIYAKYFPSPAPARATYQVAALPLDAKIEIECIAAL >RHN48589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48628085:48628357:-1 gene:gene43322 transcript:rna43322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MRKHHCFVVGSCNGLLCVCQSHSFPPPRVRLYNPCIKFKSKKSPKSPWLDRALTHYGFGYDQVNDSYEVLVVVRNNNDYLTILYTFEEDS >RHN57960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42752088:42753711:1 gene:gene33555 transcript:rna33555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase (ATP-hydrolyzing) MLFGCYIFVFYFVFKCVQLIRPMAQICFYYRLVMGWIRFVEGKKIFDCISSPDTGRGYPDIPTRRFLRLLVENLHIPAYCLVDCDPYGFDILTTYRFGSMVSICKFISSEVLQHAYLLLQGSQHEVYMIVEWIKSLSNDRQMAYDTKHLRVPEIHWLGAFPSDSERYFVPKQCLLPLTAEDKRKIEAMLLRCYLQREVPQWRLELKMMLQKGVKFEIEALSVHTLSFLTESYIPSKIHGKVQI >RHN64134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56852771:56855505:1 gene:gene26920 transcript:rna26920 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MLGPACCSNPPILNSFSGAGHVAKLGGVDAYLTGSPLCTFVILLVSDIFGYKAPILRMFADKVAATGYYVVVPDFFNGDPYDPRNVDRPIDVWVKDHLPETGFEVSQPIIEALKSKGISTIGAAGFCWGAKTVCELIQAAVLAHPSYITVDDINGVNIPIAILGAENDQVTPPEVIKQFEQVLAANSEVDSFVKIFPNVSHGWTIRYDTKDPEAVKASEEARQILLDWFDKHLK >RHN70448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51479887:51484583:-1 gene:gene19027 transcript:rna19027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MAEEAQYATLKRKYDDQPTATDIELEVANAKQRAQEVAARLLSVTGAPPLSYDPKRTKSDNGAPHSGFDSYDLKPQYSQQGGSYGSSKKIEIPNGRVGVLIGKGGETIKYLQMQSGAKIQVTRDMDADPNSQTRMVELMGTPDAVSSAEKLINEVLAEAEAGASGGGTRRMVAQSGGDEFVMQIPNNKVGLIIGKGGETIKSMQASTGARIQVIPLHPPPGDTSTERTLKIDGTPDQIESAKQLVNQILTGENRLRNSGNSGGYTQQGYQSRPPSSWAPPPAPVQQAGYGYGQPGSYSGPSPQYNMPQPPYAGYPPQQPGGYSANWDQSTAPSHQQSTHASGYDYYNQQPQQQQNPGGPPQPADGSGYSYSQPPSSGYSQPGQGYGQESYGAYNAQQQSGYGQPQTYDQQQGYGSAPSYGSGSNPTQEGHTSNYGSQADTSQTSQPTTVAQQGYATNQPETPQPGYAVAPTTQAAYGSQPQSGYGPSYGAPPSQKPSANPPVYGQSQSPSTAGGYGHSAYPSSQAPPSGYAQPELGTQRAPVQPGYGPQSYGAPQGGQPGYGQTPPSYGNSSYGGSYAQAPAYASDGATAQAVPQGSVAKVSPKS >RHN44436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4732178:4732531:1 gene:gene38557 transcript:rna38557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MQLNFIVCMEVTCNETERNALLSFKHRLSDPSKRLSSWSDADDCCRWMGVRCNNITGRVMELDLSTPLDSPYMQLSGEISPSLLELKCLIDLDLSLNYFVHTKIPSFFGSMERLIIT >RHN57414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38894224:38897487:-1 gene:gene32957 transcript:rna32957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MGSFFILLPYFAFHFFLLLLLTHFTSYTLSLCNHHDSSALLQFKNSFSVNTSSQPDIWSRCSSFSSRTESWKNNTDCCKWDGVTCDTESDYVIGLDLSCNNLKGELHPNSTIFQLRRLQQLNLAFNNFSWSSIPIGVGDLVKLTHLNLSNCYLNGNIPSTISHLSKLVSLDLSSYWYEQVGLKLNSFIWKKLIHNATNLRDLHLNGVNMSSIGESSLSMLKNLSSSLVSLSLRNTVLQGNISSDILSLPNLQRLDLSFNQNLSGQLPKSNWSTPLRYLDLSYTAFSGEIPYSIGQLKYLTRLDFSWCNFDGMVPLSLWNLTQLTYLDLSNNKLNGEISPLLSNLKHLIDCNLANNNFSGSIPIVYGNLIKLEYLALSSNNLTGQVPSSLFHLPHLSHLGLSFNKLVGPIPIEITKRSKLSYVFLDDNMLNGTIPHWCYSLPSLLYLDLSSNHLTGFIGEFSTYSLQYLDLSNNHLTGFIGEFSTYSLQSLHLSNNNLQGHFPNSIFQLQNLTELYLSSTNLSGVVDFHQFSKLKKLWHLVLSHNTFLAINTDSSADSILPNLVDLELSNANINSFPKFLAQLPNLQSLDLSNNNIHGKIPKWFHKKLLNSWKDIQDLDLSFNKLQGDLPIPPSSIGYFSLSNNNFTGNISSTFCNASSLYTLNLAHNNFQGDLPIPPDGIKNYLLSNNNFTGDISSTFCNASYLNVLNLAHNNLTGMIPQCLGTLTSLNVLDMQMNNLYGNIPRTFSKENAFQTIKLNGNQLEGPLPQSLSHCSFLEVLDLGDNNIEDTFPNWLETLQELQVLSLRSNNLHGAITCSSTKHSFPKLRIFDVSINNFSGPLPTSCIKNFQGMMNVNDSQIGLQYKGDGYYYNDSVVVTVKGFFIELTRILTAFTTIDLSNNMFEGEIPQVIGELNSLKGLNLSNNGITGSIPQSLGHLRKLEWLDLSCNQLTGEIPVALTNLNFLSVLKLSQNHLEGIIPKGQQFNTFGNDSYEGNTMLCGFPLSRLCKNDEDLPPHSTSEDEEESGFGWKAVAIGYGCGAISGFLLGYNVFFFTGKPQWLVRIVENMFNIRLKRTNNRYCANRRRMN >RHN47547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40514535:40517338:1 gene:gene42161 transcript:rna42161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MNMSETQKDHGIMLFGWKIPVPECRIPPNSGPMDTCSSTRKTEAEIPCAEKTEQQDNSSDSMDSKQESIQEKEPIVNSKPTEDNTETTDQDKLLKKPDKIVQCPRCNSWDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPIGAGRRKNKHLASQYRQIIVTTNGIPTTRLESTNSSDHHHTSTDNETVLKFGPDTPLCESMESMLHLRDQKRNADANSNSSVQHREDPSLCGSSVTNTGNQGNEVSEHNASNWLQCYPVSPWVLPMNPGWNNVASMAAVHPTSASMQWCPTPMLAIPGIRPQNIPLQLVAASHWSGPSLCLSPPSSTSNSCCSGNGSPTLGKHSRDTVFVDEDKTDKCVPVRKTIRTDVTDAVSKSPIRATLATKKPNEEGKDGVLGGSQILEASSGAISHAHTIQESI >RHN82344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52983768:52989047:1 gene:gene6504 transcript:rna6504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative origin recognition complex subunit 4, P-loop containing nucleoside triphosphate hydrolase MEGENALNLLRSRICDTGFIFKDSSDTNYSKLKFMISTSVAEACNNSILLLGPRGSGKLAVLDLVLQDLLIQYPDSISVVRLNGLLHCDDISAFKEIARQLCMEHQLLFSKAASFDDNSQFMVAVLKECGLAHKTVIFILDEFDLFAQGKQRLLYSLLDAMQSVSSQAVVLGISCRLDADQLLEKRVRSRFSHRKLLFLPPSIEDSQRLLVHMLTLPIDSSLPYDYAVEFNKKVQNIIEDKRFKEIFNKLLNFDSSVKHLLKFLFYAVSHMDLQTGFLSRENFETAFTNIQRQPKLECLKTDCSVLELYILVSMKRLEVKEKSLCNFNAVMKEYKNIHDSFQTSDYYARNVCLRAFEHLLHRELLCFTDNRGHSLSVEFRPVKLLISSAELHQGLKAYQSCPAILQKLVERDG >RHN77070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3748681:3752468:1 gene:gene480 transcript:rna480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IXb family MSFGGINKPHINLVMVAVGKHKNSGHAFRWTTNNIDNPIIIAVHVKHKGTPHRSYVMFAEGTNVFPPDEKDIANVFSPLRQMCNEKVVKLKEAIIIDTDISRGILDYAKRNHIQTIIVGAPTGYKKNVLSRSLNMRTISKKFRGQDDLATAIMKSAPDYSSVYVISKDKVVESRPAIVPLGTVVAQSHGIAPCKSENDKWSQLYRRGSTNSRSGRSSIHEFIKSFSSNNNNNNHNNESLESIDLSSRSQRTPPLSHNSSLNENGSSGSNKFGSLNVAKLERNSSLQSDSQLVGEMEAEMKELRLKLRQTMTMYKSACKEAISAQNKANEITQWKMEEERMVEEVIMSKEAALALAEKEKAKAKAAIKAADEAMRIAEKEAQRRMQVEKTAKKDIEERDQALNVLARKDIRYRQYTLDEIEKATQNFSLSMKIGEGGYGPVFKGQLDHTNVAIKILRPDANQGRKQFLQEVEVLCNIRHPNMVLLLGACQEYGCLVYEYMDNGSLEDRLLRKRNTPPIPWRKRFEIAYEIATALLFLHQTKPEAIVHRDLKPANILLDKNFVSKISDVGLARLVPPSVADSVTQYHMTEAAGTLCYIDPEYQNTGKLTTKSDIYSLGIMFLQIITAKPPMGLSHHVKRAIENENFFDMLDPDVTDWPVDEALAFAKISLRCAELSKKDRPDLALEVIPELNRLKDFGCDLQNYQHNQSHPSGPLTPPQSPL >RHN61973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39686603:39690255:1 gene:gene24490 transcript:rna24490 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MDILVFMIFVSYLLAHSFKLSIAADSLGLSQSISNNNNTLVSQNGRYELGFFTPGNSNKTYLGIWYKNIPVQKFVWVANRNNPINSTSNHALFLNSTGNLVLTQNNSFVWYTTTNQKQVHNPVAVLLDSGNLVVKNDGETNQDEYLWQSFDYPSDTLLDGMKLGRNLRNGLDWKLTSWKSPEDPSVGDVSWGLVLNNYPEYYMMKGNDKIFRLGPWNGLHFSALPEQVSNPFLNYEYVSNDDEIFFRYSIKINSVISKVVVDQTKQHRYVWNEQEHKWKIYITMPKDLCDSYGLCGPYGNCMMTQQQVCQCFNGFSPKSPQAWIASDWSQGCVRDKHLSCNRNHTNKDGFVKFQGLKVPDTTHTLLNVTMSIEECREKCLNNCSCMAYTNSNISGEGSGCVMWFGDLIDIRQFQEGGQDLYIRMFGAELDNIEEPGHRHKRNWRTAKVASAVILSCGVILVCIYFIFRNQRKTVDKQPDKSERHVDDLDLPLFDLPTISTATNGFSRNNKIGEGGFGTVYKGKLANDQEIAVKRLSSISGQGMTEFINEVKLIAKLQHRNLVKLLGCCIQGQQMLIYEYMVNGSLDSFIFDNDKSKLLDWSKRFHIICGIARGLVYLHQDSRLRIIHRDLKASNVLLDDNLNPKISDFGTARTFGGDQFEGNTKRIIGTYGYMAPEYAVDGLFSVKSDVFSFGILLLEIICGKRNRAYYHTDGTLNLVGQAWAAWKEDRALGLTDSNIDETYVVSEVLRCMHISLLCVQQNPEDRPTMASVILMLGSSEKELGEPKEPGFISKNVSSETNSITNPKGCCSSVNEVTISLLDAR >RHN46963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36098803:36104567:1 gene:gene41507 transcript:rna41507 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PA domain, presenilin/signal peptide peptidase MPSFTPIFFFSVFMLLLTFSFAGDIVHHDSIAPKKPGCDNNFVLVKVPISIDGVESGEYVGVGARFGPTLESKEKRANHTRVAIADPPDCCSKPKNKLTGEIILVHRGQCSFTTKANIAEEAGASAILIINNAKGLFKMVCENETDIDIGIPAVMLPQDAGVALKNYIQNKSIVSVQLYSPRRPQVDVAEVFLWLMAVGTILCASYWSAWTAREGVIEQEKLLKDDSDELLNIENAGSSAFLEISTTAALSFVVIASCFLFMLYKLMGRWFIDVLVVLFCIGGVEGLQTCLVALLSHFRWSQHAAQTYVKVPFFGAVSYLTLAVTPFCIAFAVVWGVERRVSYAWIGQDILGIALIITVLQIVQIPNLKVGTVLLSCAFLYDIFWVFVSKLIFHESVMIVVARGDKSGEDGIPMLLKIPRLFDPWGGYSVIGFGDIILPGLLVAFSLRYDWLAKRNLRSGYFLWTMSAYGLGLLVTYIALNLMDGHGQPALLYIVPFTLGTFLSLGKKRGELEILWTRGMPKMPCPHIQENHQPVDE >RHN53777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4094374:4096118:-1 gene:gene28722 transcript:rna28722 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFFLTLFLAFIFQTSVNCNDIPGPSIHYPFHIKGQQQQQQEYDTTLSGFELLHKDNITTIHFPSYGDLVVKSISYDTKKIDLLDPKNCVHRVFLNLNLTLTPFQYYYVLKNFTYLNCSTRLSQTKFIEVPCLSDSSYHVYTVDPELPMPSSCKKVKTIAIPFKYSPYISDNSLGLRLTWDLRESEESKEWNKTRDSHIARYTVLGVGICIFVVATLVGIKVHLSRRNFHKKEGPLLHSVADV >RHN42538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39145513:39149405:1 gene:gene48988 transcript:rna48988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-IX family MHQISFIIIAIIAATFVVADDDGTVMQSLRQSLKPSPNGWSSNTSFCQWSGVKCSSDNRVTSINLSDQKLAGTLPDNLNSLTQLTTLYLQNNALSGPLPSLANLSSLTDVNLGSNNFSSVTPGAFSGLNSLQTLSLGENINLSPWTFPTELTQSSNLNSIDINQAKINGTLPDIFGSFSSLNTLHLAYNNLSGGLPNSLAGSGIQSFWINNNLPGLTGSITVISNMTLLTQVWLHVNKFTGPIPDLSQCNSIKDLQLRDNQLTGVVPDSLVSMSGLQNVTLRNNQLQGPVPVFGKDVKYNSDDISHNNFCNNNASVPCDARVMDLLHIVGGFGYPIQFAKSWTGNDPCKDWLCVICGGGKITKLNFAKQGLQGTISPAFANLTDLTALYLNGNNLTGSIPQNLATLSQLETLDVSNNDLSGEVPKFSPKVKFITDGNVWLGKNHGGGAPGSAPGGSPAGSGKGASMKKVWIIIIIVLIVVGFVVGGAWFSWKCYSRKGLRRFARVGNPENGEGNVKLDLASVSNGYGGASSELQSQSSGDHSDLHGFDGGNGGNATISIHVLRQVTNDFSDDNILGRGGFGIVYKGELPDGTKIAVKRMISVAKGSKGLNEFQAEIGVLTKVRHRHLVALLGYCINGNERLLVYEHMPQGTLTQHLFECREHGYTPLTWKQRLIIALDVGRGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKNAPDGNYSVETKLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMELITGRKALDDSVPDESSHLVTWFRRVLTNKENIPKAIDQTLDPDEETMLSIYKVAELAGHCTTRSPYQRPDIGHAVNVLCPLVQQWEPTTHTDESTCADDNQMSLTQALQRWQANEGTSTFFNGMTSQTQSSSTSKPPVFADSLHSPDCR >RHN49606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55892983:55896376:-1 gene:gene44455 transcript:rna44455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligomeric Golgi complex, subunit 4 protein MGSISTPHTHPNGSDEENKWSIDFGKAEAVEYVRTLTDVGAMTRLLHECIAHQRSLDMQLDDLLSQRTDLDRHLIQLQRSSDVLEIVQSDSDYMLSNVTSTSHLADQVSLKVRELDLAQSRVRSTLHRIDAIVERGNCLDGVLRALDTEDYESCARYVQTFLHIDAQFKDSGSDQIQIQRERLLEVKKQLEGIVRKKLSSSVDQRDHPAILRFVRLYTPLGLEEEGLQVYVGYLKKVIGMRSRMEFEQLVESISMANEQRSVNFVACLTSLFKDIVLAIEENSEILSGLCGEDGIVYAICELQEECDSRGSVILNKYMEYRKLAQLSSEINGRNNNLLAVGGVSEGPDPREVELYLEEILSLMQLGEDYTEFMISKIKALTSVDPELLPRATKSFRSGSFSKVVQDLTGFYVILEGFFMVENVRKAIRIDEHDPDSLTTSMVDDVFYVLQSCLRRAISTSNISSVVAVLSGASSLLSNEYHEALQQKIREPNLGAKLFFGGVGVQKTGTDIAAALNNMDVSSEYVLKLKHEIEEQCAEVFPAPADREKVKSCLSELGDSSIAFKQALNFGIEQLVATITPRIRPLLDSVGTISYELSEAEYADNEVNDPWVQRLLHAVETNVAWLQPLMTANNYDTFVHLVIDFIVKRLEVIMMQKRFSQLGGLQLDRDARALVSHFSIMTQRTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLGLRVDFKPEAIAALKL >RHN66480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12884165:12884713:1 gene:gene14479 transcript:rna14479 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MVMFHNSFVKPSLIVLALYFAPSFCSERIVQVRDICSKHFLNPKKCAIILNSIPGVAKGGEGLVKLSLDVINLANVSALHTINLFNDLIKNASDVYLRQRYTICSENYDDVLFALTKAKDSVTSGNINDIKFYMSGQALIAEQCRSSAPGSFELRKNYEYFEVVGFTLEILANYLAGKYIVI >RHN61829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38670535:38672957:1 gene:gene24329 transcript:rna24329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative retrotransposon gag domain-containing protein MTWTHRQSSSESASYYPATAQKPKHHNRIPKQKLSEEYKDEDNDNNSTDADDVDSESQEDQHRQFEDETEEEEEDNDDDDDDVSESLSNAPHGKPSFSQEAHIGNVPESPSVSSYNVRNPTQPMNASSYIQIAPLPIFRGTPNESPITHLSRFNKVCRANNASSVEMQKKIFPVTLEEESALWYDLNIEPYYISLSWDEIKLSFLQAYYEIEPVEELRSELMGIHQGEKERVRSYFLRLQWILKRWPEHGLEDDVIKGVFVNGLREEFHDWVLMQKPTSLNDALRLTFDFEYVRRISGKKEIVSTCGFCEGPHEESSCGVREETRELWRQSGKKEGSDKVAKDLFR >RHN79899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33338612:33342311:1 gene:gene3754 transcript:rna3754 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHSPANVVECDIISKLEITFGGSLHIQDDQKLEHVSDDDEDGSSNCNIGEGHICCVFEQEETTLKVKSLKECSTFPYPDMVLPSSSSDDEEADGALTESLSKQLPDSVSQPAPAKLVSAMKGSRKKHLGSQMKLSVKWAPDVYDPVPTLSSHTVRTKKQHKSRIKKSEKKGVKKSQKGSYSKGCSSKDKKQYRYRWPESCGKGFDASMELNNLDVAGHDSYHATSNSKIPATESPCHVGEAMSNFLDFVSYIKTA >RHN68620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37187149:37188170:1 gene:gene16992 transcript:rna16992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNCKFLQEVLMFNCRSITHAVIASAICQRPSLSSLSVTDFKEARERENVTSCFIDSLMSLKRLTCLDLSYSCITDSLLYSLTLAALPLRKLVLQGRCQYTYTGISCFLSKCRSLQHLDIQRAKFLNDRRFNVLCAFLGDLVSINVSGCDKLTNSAFFALLRNCPLLTEIRMESTNIGVGSIPSAYVAFEVSKLEVLNLSDSRIDDGALYAISKSCPRLLHLDLEGCHHVTEKGVRLVLDKCIHLRELNLEYCRKVSADTVAKMILLRPSLREIIAPPLLSL >RHN78902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19765951:19771862:-1 gene:gene2570 transcript:rna2570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein disulfide-isomerase MAQHVGFGSHLHLHQTPLFTKTTTSITESSSTTLSLPPCFPFTFPSSSISISRSSLIPLKHEEGEELLQDDDSVVVSSLQPICSEDHFNRVLAQSQHALLVVWMANWCRKCIYLKPKLEKLAVDYYPSLQFYSVDVNAVSHKLVARAGVTKMPTIQLWKDSKKQAEVIGGNKAYLVINEVQEMIENECTM >RHN78773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18204415:18204792:1 gene:gene2422 transcript:rna2422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLYSCGLCGKRYKSEKAHAEHLKSRTHMMRASEGDSQSDGKAIIKPLRQHVVNKPPPKRVVDNSAEHDDSEDERVEVDSDDDLVDDAAKSLTDMNMDENAENDDMDEDDDDVVDLDPSCCFMCPR >RHN65112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64288757:64302246:1 gene:gene28013 transcript:rna28013 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSPSSKSHYLQLLKELGSKFDIIVPTSKDSLIKLLKQATTYLAELDQSPLTTTRDSMNPFFNAIVKPELLKHQDKDVKLLVATCICEITRITAPEAPYNDEILKDTFRLIVSTFSGLSDTSGPSFGRRVVILETLAKYRSCVVMLDLECDDLVNEMFSTFVAVARDDHPESVLSSMQTIMVVLLEESEDVHGDLLSILLSTLGRGNKGVTMAAKRLAMNVIQHCVGKLESSIKQLLLSLMSGDSKLVNSQIEYHGIIYDLYCCAPQILSGVLPYVTGELLTDQLETRLKAMNLVGDMISIPGTSIPEAFQPIFSEFLKRLSDRVVEVRMSAIEHVKNCLLLNPFRAEASQILSALCERLLDFDENVRKKVVAVICDVACHALNAIPLETVKLVAERLRDKSLLVKKYTMERLAEVHRVFCENSCETVKLNEYDWIPGKIVRCFYDKDFRSDIIESVLCGSVFPVEFSTSDIVKRWVEIFSGFDKVEVKALEKILEQKQRLQQEMQKYLSLRQKHQDKDVPEVQKKIRFCFKVMSHSFGDPIKAEENFQFLEQLTDANIWKILANLVDPNTSLHQARAYRDDLLKILGVKHPLYEFLNTFSMKCSYLLFNKEHVKAILEEIVAQKSAENAQRTQSCMDLLVIIARFCPLVLSGSEEELVNLLKDNNDIIKVGVLNVLAKAGATLRKQLSATASSVDLILERLCLEGSRRQAKYAVHALAAITKDDGLMSLSVLYKKLVDMLEENTHLPAVLQSLGCIAQNAIPVFETRESEIKEFITNKILKSDGKEDHTRTSWDDKSDLCMLKIYGIKTLVKSYLPFKDAHVRPDIDSLLDILRNMLSYGEMSKDLQSSPVDKAHLKLASAKAVIRLSRLWDQYIPVDLFHLTLRVTQTSFPQAKKVLLSKVHQYIKDRVLDAKYACAFLFNIFGSKPHEFAEDKHNMADIIQMYYQAKARQIPVQSDANSFPIYPEYILPYLVHALAHNSCPNVEECKDVGAYDNIYRQLHLILSILLQRDEGAKSEETTNKEKEILSTIISIFQSIKLSEDTIDTSKTKNSHAMCDLGLAITKKLVQKDVDVQELSHLLSLPPMLYKAFEKKEGNGTVVSEVKSWLVDDISLAHLESLELEMVQSQLVEDEASKDNEENVTLGVMLKQIKSQGICGKKVKKIKPVPSETEKVENDFAILNMVRQADLDNVGSSTNVETCNGHEHSLSTKTPKVPEHATGRKRKTDETTPAPVSKRSSSSSAHGKPRLSTTTLNASRRVSGENSPEENLRLDAEINPDTDSETMERIMVKDLLVSSLKQKFKGSESHHNDESNKHDDYDMKSPDDLEQNEKTLSNNSKSPTCFSKKTKRKRVAGLTKCAMKRGEIDSEDLIGCRIKIWWPTDKKYYGGTIKSYDSLKRKHVILYEDGDVEILRLEKERWELLDKGRKSTKKIKRSSLETSGHKLKGSSGSPSKKKKKIVNGKQSPSKPAKPRKKYASKSDFYQEQAKETSEISNPEETMISKADETDSGGSEEELTAVHNEITKKGKKSNKKVRSVPRGKRLKKTKNFHHIEESDDDKRDYNERISEDRESVPQYSSEEKKVDESSERESVHGEEESESEGEQDNSDVGDSPGETERSHIEPSSPDDVSIAEISDNIPLIKWNCRKGKKSSGKVR >RHN76194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48446159:48448048:-1 gene:gene12480 transcript:rna12480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MPTILLRIFLLYNVVNSFLISLVPKKLITFFPHSWFTHQTLTTPSSTSKRGLVFTKTITMDPNELKRVFQMFDRNDDGRITKKELNDSLENLGIFIPDKELSQMIEKIDVNRDGCVDIEEFRELYESIMSERDEEEEEDMREAFNVFDQNGDGFISVDELRSVLVSLGLKQGRTVEDCKKMIGTVDVDGNGLVDYKEFKQMMKGGGFTALS >RHN47214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37979474:37983491:1 gene:gene41780 transcript:rna41780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MVPNFNKNLACWQILFIILWVRLTIIFPQQVAGFSNEEAVALLKWKDSFDNHSQALLSTWTRTTSPCNWEGIQCDKSKSISTINLANYGLKGKLHTLSFSSFPNLLILNIFNNNFYGTIPPQIGNLSRINTLNFSKNPIIGSIPIEMWTLRSLKGLDFAQCQLTGEIPNSIGNLSKLSYLDFAENNKFSSGYIPLAIVKLNQLVHVSFANCNRIGSIPREIGMLTKLGLMDLQRNTLSGTIPKSIGNMTSLSELYLSNNTMLSGQIPASLWNLSYLSILYLDGNKFSGSVPPSIQNLANLTDLILHQNHFSGPIPSTIGNLTKLSNLYLFTNYFSGSIPSSIGNLINVLILDLSENNLSGTIPETIGNMTTLIILGLRTNKLHGSIPQSLYNFTNWNRLLLDGNDFTGHLPPQICSGGSLEHFSAFRNHFTGPIPTSLKNCTSIVRIRIQDNQIEGDISQDFGVYPKLEYLELSDNKLHGHISPNWGKCPNLCNFMISNNNITGVIPLTLSEANQLVRLHLSSNHLTGKLPKELGYLKSLLEVKISNNQFSGNIPSEIGLLQKLEDFDVGGNMLSGTIPKEVVKLPLLRNLNLSKNKIKGKIPSDFVLSQPLESLDLSGNLLSGTIPSVLGELKQLQMLNLSCNNLSGTIPTSFEDAQSSLTYVNISNNQLEGRLPNNQAFLKAPIESLKNNKGLCGNHTGLMLCPTSHSKKRHEILLLVLFVILGALVLVFSGLGISMYIIYRRARKTKNKDKDSNEAQAEEVFSIWSHDGKMMFENIIEATNNFDDEYLIGVGGEGSVYKAKLSADMVVAVKKLHSRIDGERSNIKAFENEIQALTEIRHRNIIKLYGYCRHSRFSFLVYKFLEGGTLTQMLNNDTQAIAFDWEKRVNIVRGVADALSYMHHDCIPPIVHRDISSKNVLLDISYEAQLSDFGTAKFLKPDSSSWTAFAGTYGYAAPEFAQTMEVTEKCDVYSFGVLCFEILLGKHPADFISSLFSSSTAKMTYNLLLIDVLDNRPPQPINSIVEDIILITKLAFSCLSENPSSRPTMDYVSKELLMRKSQSHLVEQFSHIKLGQLH >RHN66097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8547304:8548013:-1 gene:gene14012 transcript:rna14012 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIKDTGMRLPTVVHETIRNPITDNFIKYYIFGETKKSQGKQASSSRAPPSQFEPQPHFSHFDPHTTLLLILQTTPNGNTSAILIHETCWRQLTVLTHIFSSLSI >RHN59295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9189906:9190727:1 gene:gene21300 transcript:rna21300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MAQKFILTSLVIFLSLFLESSLAKHNSQTINYIESSCNGTLYPTLCIRCLNKFSSSTINGPQHLAQVALSVSLSRALQTRGYLLNAAKELKAIDHNNKRMYLTVQDCVNQMNDSVDQLSQAIKELKRLNKFNTIINDKVLWHISNVETWVSTALTDASSCVQSFPGHRMSKRVATIKVKAKNVAEVTSNALALFQSYATRYRQAATARTVKKP >RHN65219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:310251:310613:1 gene:gene13038 transcript:rna13038 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRPFLNKDVNTLVLFEELGLGEGPFNVSVDIVSNESKNFVKWDQGCIS >RHN65518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2839234:2843607:-1 gene:gene13365 transcript:rna13365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MEEGLGQHSVPPPGSIDKYKILDIKPIRSLIPVFSKNPQGQSSGQYPSGFSPFFPFGGPHDSSTTGAKPRRTAMPTPLQAFRSPFGEEEDLNDNDDFSNKRSAASQSTRVKLKKHKVYNDVHVDLSGLVGISPGQRDNGNREVVNTVLMTFDALRRRLSQLVDAKELNTGLIKRTDLKAGNICMTKGIRTNQPKRVGSVPGVEIGDIFFFRMEMCVVGLHAQSMGGIDALHIQGDRGEETLAVSIVSSGEYDDEADDGDVIIYTGQGGNFNKKDKHVSDQKLHKGNLALDRSSRTHNEIRVIRGIKDAVNPGAKTYVYDGLYKIQDSWVEKAKGGGGLFKYKLIRVPGQPSAFAVWKSVQKWKAGFPARTGLILADLSSGAESLPVSLVNEVDNVKSPAFFTYFHSLRHPKSFSLMQPSHSCSCSGKKACVPGDLDCSCIRRNEGDFPYIINGVLANRKPLVHECGPTCQCFPNCKNRVSQTGLKHQMEVFKTKDKGWGLRSWDPIRAGAFICEYAGEVIDKARLSQLVQEGDTDEYVFDTTRIYESFKWNYEPKLLEEAITNESSEDYALPHPLIINAKNVGNVARFMNHSCSPNVFWQPVLYEENNQSFLHVAFFALRHIPPMHELTYDYGSDRSDHTEGSSARKGRKKCLCGSSNCRGSFT >RHN74012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23492649:23492868:1 gene:gene9937 transcript:rna9937 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLPMFFVVLYVVRRRKGIICFFDVIIMVGYGLCYQIG >RHN56597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32137016:32142040:-1 gene:gene32027 transcript:rna32027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase TKL-Pl-7 family MAAALECWPTRTTTTMTDEDTVEQQQVLMKTHHRSEATSSSSSLSLASKDSSILIHKNKFHKLGRNLSDAITSFKNTLNLNNTNNPESPPSSKKLAWASVLRNLTQLYPGTQLPDNLISTIRNHYNSLPLSYAQAEFDMKDVFLHIKLMEQALESDQPAILIQQECGGRDGEVQAQGSVLKLNFACNALISWPAMSVALDSFSICSKRAQIFEKKGFTLGVVLLVVRTGHEKLVKTRVENALKFAIKKPKTGSVNVKLSFGLCGCQEESFKGRELGEIGEDSGSGKEFENSSQKIQLQMPLPTSSFTVSVDEWQTIKSGGDEIEKWLLNADYVEFTEQIGPTSYKGIYMGKRVGIEKLKGCEKGNAYEFELHKDLLELMTCGHRNILQFCGICVDDNHGLCVVTKFMVGKSVHDLMLKNKKLQSKDIVRIAVDVAEGIKFMNDHGVAYRDLNTQRILLDRHGNACLGNMGVVTACKSVGEAMEYETDGYRWLAPEIIAGDPESVTETSMSNVYSYGMVIWEMVSGEAAYSALSPVQAAVGIAACGLRPEVPKDCQPNLKYIMTKCWNNTPSKRPQFSDILAILLQPYNKSR >RHN39454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7245860:7247979:-1 gene:gene45478 transcript:rna45478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative salicylate carboxymethyltransferase MDLGQVVHMNGGDGETSYAKNSFHQGNAVSLTKPIRDEAITSLYSKTLFKSLAIADLGCSSGPNTLFVVSDIIMVVEKLCQQLNHSSPEYKIFFNDVSGNDFNNIFKSLDNFKEKLQDEIKTKMSSCYFFGVPGSFYSRVFPNRSLHFIHSSHSLQWLSKVPEGIENNKSNIYINYTSPSNVVKAYYDQFKRDFSVFLKCRAEELVEGGCMVLTMPGRRNEDPCDIKYCCYYWELLAAVLNGMVLEGIIKEDQVNTFNVPQYYPSPYEVELEVLNEGSFAINRLELFEAYVDGSNHHEYVYNAARLMRAMAEPLVVSHFGEDIIEEIFSRHQKIIIDKLPKEKLKAVKVIISLTRKA >RHN68387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35063540:35067433:-1 gene:gene16731 transcript:rna16731 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGSKLLFKGAEMGFSSKEEKSKRILRVVKTLFFLITMILSLLLFSAPVLLVIADALLPSALLSTLSPVSLSLTTLSSHFHNYDFRYSLIDIPLVSIIRSFIIFCVYSLCDGPRLSRSRGPYLCITTMCSVLSLLFVSFKAVYVFGNGSGYVGGSEIALFVCSCALAVGHVVVAYRTSCRERRKLLVYKIDIESISACTNGYPRYPKILQEERIK >RHN81933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49896429:49899145:-1 gene:gene6031 transcript:rna6031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAT/LH2 domain-containing protein MKELLLLLLLSLTFRLTLSGSVSESQSVSLLPHANESFNISYIQMKNAGSCSYFVVISTSCSSPRYTSDQISIAFGDAYGNQIYAPRLDDPSSGTFESCSSDTFQINGPCAYQICYVYLYRSGSSGWKPESVKINGYSGEPVTFYYNTFIPRDTWYGFNLCNDAASSYKVSAQKWLILVILGFVLSFWL >RHN59580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12245468:12246237:1 gene:gene21628 transcript:rna21628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family MEEYNDVLLDAKLADLVDGAVDYRGQPAIRSKSGYWLSAWFIIGVEVAERMSYFGVKGNLISYLTGPLKQSTATAAKNVNVWAGTASLLPLFGAFIADSFLGRYHTIILASLIYVLVGSVLHFSFTFSFTTLLFITILILLIVDFNFTSLIKIFIKYCRKNM >RHN59034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6885732:6889763:1 gene:gene21017 transcript:rna21017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-XII-1 family MGGRCSKFSFCWFHSHLNPSVLESSDQENGGKNEKNLWPSFSEYSLEELKAATNGFSSENIVSEHGEKAPNVVYKGKLENGQLIAVKRFNKFAWPDSRQFIEESKQVGNLRSDRLANLIGCCYEGDERLLVAEFMPHETLAKHLFHWESQPMKWAMRLRVAFYLAQALEYCTSKGRGLYHDLNAYRILFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTAESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNYLMLMDSALEGHFSKDDGTELVRLASRCLQYEARERPNAKSLVETLMPLQKETEVPSHVLMGLKQETESSTKPLSLTSFGESCLRLDLTAIHAILEKTGYKDDEGIANEVFVTLEYTTQYKREEYKLCSLHTFPSNFVLPTPMFD >RHN69742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45978051:45980219:-1 gene:gene18254 transcript:rna18254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAGGYIAQGSGKEYPGKFTIRVFIICMTAACGGLIFGYDLGISGGVTAMDPFLMKFFPDVYAKQLNIKPADNQYCKFDSQTLTLFTSSLYLAALVASLGASTVTRIFGRRLTMLSGGVLFLAGAAMNGFAEKVWMLYVGRMLLGFGIGCANQSVPIYMSEVAPYKYRGALNMMFQLAITIGIFVANILNYFFAKMKNGEGWRYSLGCAGVPAIMIIIGAIFLPDSPSSLIERGLDDKAKKELIKIRGTSDVDDEFNDLLAASQASKAIKHPWSILLTRQYRPQLTMATAIPFFQQLTGMNVITFYAPVLFKTIGFGANASLMSAMITGGCNALATFASIATVDKFGRRTLFLEGGAQMFICQIIVAVAIASKFGVDGNPGELPKWYALLVVIGICVYVMGFAWSWGPLGWLVPSEIFPLEVRSAAQSVNVSVNMIFTFAIAQVFTAMLCHMKFGLFIFFAFFVVVMSLFIYKFLPETKGVPIEEMSKVWQNHSYWKKFVKPTDDHNSGGGQA >RHN71183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57036954:57047003:1 gene:gene19834 transcript:rna19834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase D MAPSPKSEAVVYLHGNLDLKIVEARYLPNMDMLSERFRRFFSAFNSCSANITTKGKNHLTRHRHHKIITSDPYVTVCLAGATVARTRVISNSQSPKWEEHFKIPLAHPVSQVEFYVKDNDMFGADLIGIATVSAKRILSGEDISDWFPIIGSFGKPPKPDCAVFLEMKFTRCDENPMYRSGVIPGPDRFAVQDSYFPVRRGGSVTLYQDAHVPDSMLPEIELDDGVEFQQGKCWEDICHAILEAHHLVYIVGWSIFHKVKLVREPTKPLPNGGNLNLGELLKYKSQEGLRVLLLVWDDKTSHSKFFINTNGIMQTHDEETRKFFKHSSVSCVLSPRYASSKLSIFKQQACFMLWFSSFPRLFYVVGTLFTHHQKCVIVDSQAHGNNRKITAFIGGLDLCDGRYDTPEHRLFRDLDTHVYKDDYHNPTFSAGTKGPRQPWHDLHCKIEGPAAYDILTNFEQRWKKATRWSELGQKLKRVSSWHDDSLIKLERISWILSPSESMSNDDPELWVSKEDDPQNWHIQVFRSIDSGSLKGFPKNTYEAGAQNLVCAKNLVIDKSIQTAYIHAIRSAQHFIYIENQYFIGSSFAWPSYKEAGADNLIPMELALKIVSKIRSRERFTVYIVIPMWPEGVPSSGSVQEILYFQGQTMQMMYEIIARELRFMKLNDSHPQDYLNFYCLGNREKFATEVSSPNSSPSGNGDTVSASQKFQRFMIYVHAKGMVVDDEYAMVGSANINQRSLAGSRDTEIAMGAYQPHHTWSKKNGHPRGQVYGYRMSLWAEHLGTIHECFKEPESLECVESVNKIAEDNWRKFTDDDFKPLQGHIMKYPIKVNANGKVSSLPGYESFPDVGGKVLGSRSTLPNALTT >RHN68288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34296201:34296523:-1 gene:gene16622 transcript:rna16622 gene_biotype:protein_coding transcript_biotype:protein_coding MFMKQGGEELCDVRMTSRDMERRKSRIKDVNLRYEAIEFLKNGEWYH >RHN74892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37607318:37609602:-1 gene:gene11026 transcript:rna11026 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGNFTQEKLVQVLNSSMSSQVRSSFKTTRGGSRRSDLSNGSGGQINNIGTKKEKEGVSKGKREIKSPAWMKDFYRY >RHN60822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30648766:30651819:-1 gene:gene23207 transcript:rna23207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase MSLKISTLYLLAYNSFQAIGWFVSLINILYNLLSTSSITGTYASAGTLISFLQCAAFLEVIHGAIGLVPSGALLPLMQWGGRTHFVLAIVNGIHEVQELPPVFITFLAWSIGEIIRYSHYAFSCLGNCPSWITYIRYTAFIVIYPVGVFPGELWLMYQSLPIIKEKNIYADSFSSLPFSYYDFLKVVIVVYPFLWFKLYLHLFKQRRSKLYKRRDKKRA >RHN43460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46068522:46072963:-1 gene:gene50042 transcript:rna50042 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKPLTTEFVALTEKKMDMTLDDIIKMSKDPKTMKPTRVLNKSKKFSNTLAQDKSLKARRYMESRSSLRQGVLANRRSNFQGNQFPFATEVARKAVTAPLHYGAANRNQMANWNKTRFHVHANQRRVAGGGFSAKPLPPPQQQQHRRHQQQLGDNIKPNQRSQTLDSLFANMKEQRMKAVSRQNNVVENNGAGNRRPPWGRGRYGN >RHN50959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12286010:12293540:-1 gene:gene35315 transcript:rna35315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MEDFWSMICVDSSCSETEGRSSCFDIKFLKDPSSCTNHLFIICFELLLLIMLSAVMIQNKSLIRSPIRMEGHSKLQLVSSITNGCIGLLHLCLGIWILEENLKKSFSIFPLSWWLLELLQGISWMLIGLTTSFLLKKLPRTWLRLFSFLIFFVSSIFCAFSLSYAFHSGELSLRLVLDVLSFLGAFLLLFCTYKVCTYEDTDMEIDGSLRTPLNSELNEVDHVSHVSVTQFSKAGFFSLMSFWWLNPLIKRGQEKILHDEDVPTLRESDRAEACYSMFIDQLNRLKQKDESSSWSLVLRTIILCHRREILISGFFALLKVLALSCCPIILNAFILAAEDNESSKYESYVLAISLLFTKIIESLSQRQWYFRARLVGMKVKSLLVAAVYKKQLRLSNAAKLIHSGGEIMNYVNVDAYRIGELPFWFHHSWTTFLQLCISLVIIFRAVGLATIASLVVIVLTALLNTPLAKLQHKYLSKLLVAQDERLKASSEALVNVKVLKLYAWETHFKNAIESLRFSELKFLSSVLLQKAYNVILFWFSPFLISAATFSACYFLNVPLHANNIFTFVATIRLMQDPISTIPDVIGVIIQANIAFSRIVEFLEAPELQSSNFRKTCFDEKLNGSILIKSSDFSWEYDILKPTIRKINLKVSVGQKIAICGEVGSGKSTLLAAILGEVPHTKGNINVYGKFAYVSQTAWIQTGTIQENILFGSTMDVQRYQEALCKSSLMKDLELFPHGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAHTATNLFNEYILQGLAGKTVLLVTHQVDFLPAFDYVLLMSNGKILQAAPYHDLLTSSQEFVDLVNAHKETAGSDRLVNVTSTTRHSNSDRDIKKSLMEEPLKDLNNDQLIKEEERERGDTGLQPYLQYLNHKRGILYFLIGSLCHLMYVICQILQNSWMAVNVDNPKVRTLQLVAIYLLIGISSTVFMIIRSLLAVLLGYQSSKYLFSQLMNSLFRAPMSFYDSTPLGRILSRVSSDMSIVDLDVSFHLAYAVGSTINCYMDLIILTSVKWQVLFVSIPMAYVIIQLQRHYYACAKELMRMNGTTKSSIANHVAESVAGAITIRAFEEEDRFFNKNLNLIDVNASAFFHSFASNEWLIQRVETAYAVVLASAALCIAMLPFGTLTSGFIGMVLSYGLSLNGSLVYSIQSQCIVANYIVSIERINQYTHIPSEAQQVIEGNRPPVNWPAAGKVEIHDLQIRYRPTGPLVLHGINCTFEGGHKIGIVGRTGSGKSTLIGALFRLVEPEGGRIIIDGINISSIGLHDLRSSLSIIPQDPTLFIGTVRYNLDPLSQHSDQEIWEVLQKCHLREIVKDKEGLDSSVVEDGSNWSIGQKQLFCLGRAILRRSRILVLDEATASIDNSTDMILQKTIRKEFADCTVITVAHRIPTVMDCNMVLVISDGKLGEYDEPMKLMKRERSLFGNLVKEYWSYFKSAESH >RHN39358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6387171:6393137:-1 gene:gene45373 transcript:rna45373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Linoleate 9S-lipoxygenase MFSGVTGLFDRGQKLKGTVVLMQKNTLDINALTAAKSPTGIIGGAFGIVGDVAGNILDTATSFLGRSIALRLISATSADAAGKGKVGKETFLEGLLTSIPTLGDKQNAFSIHFEWDSNMGIPGAFYIDNFMQGEFFLVSLTLEDVPNHGSIHFVCNSWIYNSKKYKTDRIFFANKTYLPSETPAPLVYYRQEELKTLRGDGTGERKEWERIYDYDVYNDLGEPDSKPQLARQILGGSSDFPYPRRGRTGRRKTKTDPKSETRSPTVYLPRDESFGHTKSSDFLVYILKSASQNVIPQLRSVVTLQLNNPEFNTFQDVRSLYEGGIKLPTDVLSQISPIPLFKELFRSDGEQALKFPPPKVIQVDHSAWQTDEEFAREMIAGVNPHIIKKLSEFPPKSKLDSQKFGDNTSTITKENLEPNMGGVTVEQAIQDNRLYILDHHDPLFPYLRKINATETKAYATRTILFLQDDGTLKPLAIELSRPHPQGDSFGPVSNVYLPASEGVEASIWLLAKAFVVVNDSCYHQLVSHWLNTHAVVEPFIIATNRHLSVVHPIHKLLLPHYRDTMNINALARNVLVNAEGIIESTFLWGNYALEMSAVVYKDWNFIEQGLPNDLIKRGVAVEDPASPTGLRLLIEDYPYASDGLEIWAAIKSWVGEYVNFYYKSDAAIAQDAELQAFWKELVEVGHGDLKNATWWFKMQTREELIEASTILIWIASALHAAVNFGQYPYGGYILNRPTKSRRFMPEKGSPEYDELAKDYQKSYLRTITPKNDTLTDLTIIEVLSRHASDEQYLGDRIEGDNWTSDSQPKEAFKRFGKKLAEIEQKLTQRNNDETLRNRYGPVKMPYTLLYPSSEEGLTCRGIPNSISI >RHN51018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12942770:12943294:1 gene:gene35379 transcript:rna35379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 3-beta-dioxygenase MCAFSFENLFLILPLCFLFTVFCVITFDKTFLVGFFIMIYSETMNVMGSKMFELHSLIPKQFNSTIEELKGNCNLRLMKYRVPEINKDCGIGLAPHTDKTTLTILCQNEVQGLEVLTKTNQWIKLNIPQGGFVVFVGDILKVLSCMYCTFALNLFLALLHISSIQNTSFLLVFL >RHN55415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18093007:18095535:-1 gene:gene30592 transcript:rna30592 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterol 14-alpha-demethylase transcription factor MYB-HB-like family MQNQSMHFVLSTDAKPRLKWTPELHQRFIDAINQLGGADKATPKSIMRVMEIPGLTLYHLKSHLQKYRLGKSQQLETCSDNKKQVYTETMSWDEQCSREIGQGDHNQITENMEISHALEMQMEVERKLNEQIEVQKHLQLRIDAQGKYLQSVLMKAQEALSGYNSSPIGIKLTKDELSQLVTMINNACPSSPISDLTESRGLSLNYEERKHENGTSLCSLESSLTSSESSERKEEKHSLEDIRDFKNSSAISLELPLMAMHSEEKSLSCPMSVSVLRSDDSSNEANGRKRNEETKFDGSYVENDSLRKRCGNKLKKAKLSEKFDLNRQCQNDMESTSSKMLLDLNCSLNFCEP >RHN53967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5829769:5830930:1 gene:gene28942 transcript:rna28942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GH3 family protein MAIESEILLEPPSSVDEKSLNNALDFIEEMTKNTDSNQERVLAEILAQNAETEYLNRFGLNGATDRETFKSKVAVITYEDLIPDIQRIAKGDTSPILCAHPISEFLTSSGTSAGERKLMPTIHQEMDRRLLLCSLITPVMNQYVPNLDKGKALHFLFIKAETKTPSGLVARPVIKAETIHEKTI >RHN40172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13837072:13840000:-1 gene:gene46286 transcript:rna46286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MQSLQSFVFILIFLVLAFDVCESQDCSSPFKIITVSESGETNFQTIQSAIDSVPDGNSQWIHIQISPGVYREQIFIRKSKPCIYLEGAGSSSTSIEWSTHEDATFVSKANNTVAKGITFTNTLNNPVLSEVSNIIPAKAFRIHADKCAFFSCAFLGVQDTLCDDYGRHYYNNCYIQGGTDFIYGDGQSLFEACTILFSMGKYGPKRDGVITAHERDSPNDPSGFVFKNCNISGTGGNVQLGRAMGAYARVIITDSYLSDVVRPEGWSPRTYVGHEENLTFVEEGCTGPGANKSERVKWMKHLSQPELDQFLNISFIDEEGWISKLPTTVFS >RHN73210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14413546:14417735:1 gene:gene9001 transcript:rna9001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain-containing protein MSQESFIYSFVARGTMVLAEYTEFTGNFPAIAAQCLQKLPSSNNKFTYSCDHHTFNFLVEDGYAYCVVAKESVSKQISIAFLERVKADFKKRYGGGKADTAIAKSLNKEFGPVMKEHMKYIIDHAEEIEKLLKVKAQVSEVKSIMLENIDKAIDRGENLSVLSDKTETLRAQAQDFRKQGTQVRRKMWYQNMKIKLVVLGILLFLVLVIWLSICGGFNCSN >RHN60474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27193494:27194117:1 gene:gene22788 transcript:rna22788 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain, rmlC-like jelly roll MSSSSLKVVLSLIISTFTLVTVTRAGDPDILTDFISPITGPVDANYFTFSGFRVLVQPPSPNPPPFKAIKASMAEFPSLNGQSVSYAALQFQPNSINPPHTHPRSAELLFVVQGSLQVGFVDTTSKLFTQNLSVGDMFIFPKGLVHFQHNADQKVPALAISAFGSANAGTISLPGTLFNTSIDDTVLALAFKTDVTTIQNLKKGFTS >RHN60466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27108943:27114103:-1 gene:gene22779 transcript:rna22779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain-containing protein MAILYALVARGTVVLAEFSAVTGNTGAVARRLLEKLPTESDSRLCFSQDRYIFHILRSDGLTFLCMANDTFGRRIPFSYLEDIQMRFMKNYSRVANYAPAYAMNDEFSRVLHQQMEFFSSNPSVDALNRVRGEVGEIRTIMVDNIEKILERGDRIELLVDKTATMQDSSFHFRKQSKRLRRALWMKNFKLLALLTCLIVLLLYFLIAACCGGITLPSCRS >RHN40759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20434707:20438611:1 gene:gene46956 transcript:rna46956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVQIVKYFYVILIFLSLFVVAMNGDYLFECTSDHDCEDVGYCPDTFVAKCFVSFALSQFLSKGKCLCV >RHN73508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17212698:17213583:1 gene:gene9328 transcript:rna9328 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDSIVKIGELEEVSFPTKMVGVGDVHKDQTHAHVYCISFLCKIIPENLSYSMSSLYFNELC >RHN39886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11388888:11389295:-1 gene:gene45968 transcript:rna45968 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLDLSIETYMQFCLPSGFDEVPYFQPTLQVLLDRLCFSHDFKETQFVIWQMSEFGFQESWTQLFRIDYFNLEMHKLPIKWGIPLLLPLYLSGNGDTLILAYRGDDQAVIYNQRENRVKKARIFNNVGSLTLKV >RHN43103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43218017:43223493:-1 gene:gene49628 transcript:rna49628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKGGTVQINWHESKPVLTLDFHPLSSTLATAGADFDIKLWSVKPSGSQKKLPVVTYLSSLSYHSSAVNVIRFSSSGELLASGSDGGELLIWKLHSTDTGQTWKVLKILRSHVKDIMDLEWSTDGGYIISGSVDNSCIIWDVNKGTNLQTLDTHAHYVQGVAWDPLGKYIASLSSDRTCRIYISKPHKSKGVERINYACKHVISKAEQPLLKNSKSNKYHLFHDETLPSFFRRLAWSPDGSFLLVPAGSYKIGTASESVNAAYIFSRKDLSRPAIQLPCASKAVVAVRFCPVLFKLKGTNSDGLFKLPYRVVFAVATLNSLYIYDTESTSPIAIFAGLHYAPVTDITWSPDAHYLAFSSQDGFCSMVEFENDELGSPYCLSKENVLEDSKSTLQTANDAVLPTGSIGAVVAESMKMEVEEKVDDMDIEASADIGAVTSESSKTVIQDKADDVIIKSTGNVDNRKNEAEHKADDMVIEEVTADIRKNEAEEKAADMIIATTGTANIGAALLDNRKTEDTENKLLSGLGSINSGAEEKASKQPANPDGKQSEAEEKADKPQSTSGSIKSEAAQKVGNQSSSSKSTPISNKPARKRITPIAIDP >RHN73178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14146397:14147230:-1 gene:gene8966 transcript:rna8966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative immunoglobulin-like protein MTMKYLIAYLLIVVMVIPSNKAQLGIINDLLGSANIQGTVLCTSKDNVGDVNGAATPGFSNAQVQLKCGGNMLSNATTDGDGKFSMMMDNPLLYDLSSLLTGCNLMVPTPLSKCNTKLPSVGGLISTLKYVGISRIGTHTLANIAPSGFHFIPLT >RHN76797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1342341:1343260:-1 gene:gene174 transcript:rna174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase, type IA MMNQGQQITTLFLDDLSAMLAGVLDEESLKLYTLIWSRTVSCQMEPANLEKIQVEFGNVDRSIMLRSSSSRVEFPGYQEVFTVWLVLADKLYCEEVLFILVMVRYHLSIQLIALYK >RHN79797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32293902:32296116:1 gene:gene3636 transcript:rna3636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L11/L12 MPPKLDPSQIVEVYVLKLTVQNRQAKVAVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIAKIMKPRSMAKELAGTVKEILGTCVSVGCTVDGKDPKDLQQEIDDGDVEIPLE >RHN48075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44757387:44763078:-1 gene:gene42747 transcript:rna42747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP-binding protein, ribosome biogenesis MVMSVQFQLSSSPSSSRLWLPNSPSPPISISPQFIPTRCSRFSTSPLAATPTLQSQYPTIQIIGNNTFDGSEGGTGTDLWTGLDTDLYHWTKVLRPVQWFPGHIAKAEKELKEQLKLMDVVIEVRDGRIPLSTSHPQMDLWLGNKKRILVLNREDMISTADRNAWAEYFTRHGTKVVFSNGQLGMGTLKLCRLAKELAADVNVKRRAKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRDLRWVRFGKDLELLDSPGILPMRISDQSAAIKLAICDDIGERSYDVADVAAILVQMLTKLPAVGRDALCKRYKFRVDSECGKIFIEKLALHLFNGDVHQAAYRVLSDFRKGRFGWTSLERPPR >RHN49089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52335448:52336291:-1 gene:gene43879 transcript:rna43879 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQGLEECTFAKLCAEILAAVSDGMLNIEDATEQSVLRY >RHN42360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37698005:37699800:-1 gene:gene48793 transcript:rna48793 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGPSEKEKKKKKMKIGVKLSFHVWVDQLTEPSHQINDVAVIKWVKG >RHN70281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50225275:50225996:-1 gene:gene18845 transcript:rna18845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MAFMEHKGFNGHHGKRVMTVEQFKLWLKTAFDTNGDGRMSKEELRHAVRLARGLFASWSCDTDFKFADANHNGFIDENEVRNLVHFADKHFNVKITH >RHN59025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6780094:6785259:1 gene:gene21006 transcript:rna21006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protoporphyrin ferrochelatase MDKNIEMSYCSYQAFHSSPSMRSRRTPSWARPRGSRSCVTCHTVCNKSTSQASLFLCPGSTNSRIGSRSLVCRSLYSVDASTFNGLTVQSPTHAAEERVGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQQPLAKLISTLRAPKSKEAYASIGGGSPLRKITDDQALALKRALEAKGLSSNIYVGMRYWYPFTEEAIQQIKKDGIRRLVVLPLYPQFSISTTGSSISVLEQTFREDAYLSRLPVSIINSWYQREGYIKSMADLIEKELQSFSEPKEAMIFFSAHGVPVSYVENAGDPYRDQMEECIFLIMQELKARGISNEHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYRELALESGIKNWARVPALGLTPSFITDLADAVIEALPSAAAINAPTSTSEDIDKDPFKYFVKMFFGSILAFILFLSPKMITAFRNRVI >RHN79855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32862106:32869093:-1 gene:gene3702 transcript:rna3702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative integral membrane protein TerC MSLASVVHNGIQTPLNHTDLIRIRASHSKTSTLQFLHRPISSFSSVYHLHRPLRLPLPCSKPIRQVHPFHTSDVKRITAQRDDEISSTEESQSSAVRTVALWVCAAVAFGVGVGFTEGYEKASEFFAGYILEQSLSVDNLFVFVLIFKYFKVPVLYQNRVLSYGIAGAVVFRLIIILLGTATIQRFEAVNLLLAAILLFSSFKLFASEEEESDLSDNFLVKTCQKLIPVTTYYDGNKFITNQDGIWMATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFSSNLFAILGLRSLYVIISEGMSELKYLQPSIAVVLGFIGCKMISDYFGFHVSTEASLGFVASSLSIGVILSLANKSD >RHN69046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40562559:40569823:-1 gene:gene17473 transcript:rna17473 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MGLPIPTLHFLLQILLVSLLHTPTFAEIKSYVVYLGSHSHDSEELSSVDFNRVTDSHYEFLGSFLGSSKTAKESIFYSYTRHINGFAATLEEEVAAEIAKHPKVLSVFENNGRKLHTTHSWGFMGLEDSYGVIPSSSIWNKARFGDGIIIANLDTGVWPESKSFSDEGFGPIPSKWRGICDKGRDPSFHCNRKLIGARYFNKGYASRLTVPLNSSFETPRDNEGHGSHTLSTAGGNMVPGVSVFGQGYGTAKGGSPKARVASYKVCWPPINGDECFDADILAAFDAAIHDGVDVLSVSLGGSASNLFNDSVAIGSFHAAKKGIVVVCSAGNSGPNDATASNLAPWYITVGASTMDREFPSYVVLGNNLTFKGESLSAARLADKFYPIIKATDAKLASATNEDAVLCQNGTLDPKKVKGKIVLCLRGINARVDKGEQALLAGAVGMVLANDKTTGNEIIADPHVLPASHINFSDGVEVFHYVNSSKSPVAYITHPTTKLHTKPAPFMAAFSSKGPNTIIPEILKPDITAPGVSVIAAYTEAEGPTNQEFDNRRIQFNSVSGTSMSCPHISGIVGLLRSLYPSWTPAAIKSAIMTTATTLDNKAEPIMNATKSQATPFSYGAGHVQPNSAMDPGLVYDITTNDYFNFLCALGYNETQMSLFSKGPYKCHKNFSILNLNYPSITVPNLSGSVTVTRTLKNVGAPGTYIVHVQSPSGITISVKPNILEFKKVGEEKRFEVKLKVKKGKATKSYVFGKMIWSDGKHYVKSPLVVKAV >RHN52847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39490203:39493030:1 gene:gene37570 transcript:rna37570 gene_biotype:protein_coding transcript_biotype:protein_coding MSARNLRFLPLKSASNSIYIIKKKQNKKIKTRRNTHLAFYQKNTHLGFPSSHLASSLHIVCFPVVQTSKSQPFSLHLNRSSLVCCSTVLYLNRFPFKGLLMCSSH >RHN63838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54361752:54362462:1 gene:gene26592 transcript:rna26592 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCGENVRLVRINYSIEKAETGVHFRDSVLHTDNQIRRARCWFPCIDDNIQQCWYGMFNTSFVCACSHFIVITIFIIRTARD >RHN48573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48521655:48534586:-1 gene:gene43304 transcript:rna43304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MDARKISMVNGCIVYTRAKRSLNSSTTSSEEIHAKRFKEDAEVKEDVKSESREVPVRATPTFRRITRSATKSKVETGEETVTVLEQRGEGDAVAVGKGDGEVPVKNFKRITRSAMKEKVESGEDKVTVLEQQGAAVATGNGDGAIPVRSFKRITRSAKKEKVESGEETVNVLEEQGAAVASGDGVGRTFNRTMRSATMKANAGSGEETVTKLDQEGAAVESEIDGALAVRRNKMELKTSKKIAVDKKRPTTMKELFRTGLLDGVSVVYVSGIKKVSGLRGVIRDEGILCSCCLCEGRRVISPSQFEIHACKQYRRAVEYICFENGKSLLDLLRACRGAPLHDLEATIQNIVCSPPEEKYFTCKRCKGRFPSSCMERVGPICSSCVESSKSEESSKNVVSKRIRSPRPVLVSKSSCASEMSISPKIKRRGRKRRKSSKRVNSSNSSKSASVPILPRRKVTPKTKKKSLSVKLKTTSNSNCLSPQIKSEWKITKKDNRLHKLVFEENGLPDGSELAYYAGGQKLLEGFKKGSGIVCRCCNTEISPSQFEVHAGWASRKKPYAYIYTSNGVSLHELSISLSKDRKYSANDNDDLCVVCWDGGNLLLCDGCPRAFHKECASLSSIPRGDWYCQFCQNMFQREKFVAYNVNAFAAGRVEGVDPIEQITKRCIRIVKDIDAELSACALCRGVDFSKSGFGPRTIILCDQCEKEYHVGCLRDHKMTFLKELPKGNWLCCNDCTRIHSTLENVLVRGAERLPKSLLAVIKKKQEEKGLDPINDINVRWRLLSGKKASPETRPLLLEAVSIFHECFDPIVDAVSGRDLIRAMVYGKSVRGQEFGGMYCALLIVNSSVVSAGMLRIFGTDIAELPLVATSNSQHGKGYFQALFSCIERLLAFMKVKNLVLPAAEEAQSIWTDKFGFSKIKPDELANYRRNCNQFVTFQGTNMLHKMVPPCRVINNHP >RHN45448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20937516:20938000:1 gene:gene39790 transcript:rna39790 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFVGAEPKISNLGRRKRERVSVPEFLQRIGMNEIWIGMNRIWMTKRVWDWIRL >RHN71910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3516737:3520447:-1 gene:gene7561 transcript:rna7561 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMKESEAAVTVNDPMIEEVEAGTVFHIRLKQSPSTLLHKMNLHESMRRNFSAVAWCAKLNAIACATETCARIPRSSVNPPFWIPIHIVIPERPTENAVFNVVADSPLDSVQFIQWSPVCCPRALLIANFHGRVTIWTQPSHGPANLVIDTNCWLREHEWRQDTAVVTKWLSGYRWLSSKSSAPANLKSIFEEKFISQQSQTSDGPIFCVCSVLQSGSVQLHWSQWPPTQNATLHKWFCTSKGLLACGPSGIITGDAIITDNGTLLVAGVPAVNPAAIIVWEVMPGRGNSLQFSPKKSINNGVPPLSAPNWSGFAPLYHFTARQFQNFQHM >RHN39774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10422939:10433327:1 gene:gene45836 transcript:rna45836 gene_biotype:protein_coding transcript_biotype:protein_coding MATFDSFRDMYDIALKPRLLNTLIRDNLPTVDHPFSNPSQLSNVVSLIKTHSLLSEDVTESMDPKQIKAWKSSVASWVDRVLLLISSREPDKRWAGISLLGVTCEECSSDRFQESYTVWFQKLLTSLQSPEDSHFVRVATCASISDLLARLSGFPKFKKDGSASAVKVVQPVLKMLHDDNSEAVWEAAVHVIYTLITSFPFSIQRHYDSVESAIAAKLVSGGCSHDMMKKLVHCLALLPKSKGDEESWSVMMQKILISINEHLTLTFEGVEEEFILKEFNGLLILPGKQPPPPLGGNVSNEEASSNTTKRSKQSRIYNVPILMSGCCMLLTNTYPVKVNVPVRLLLVLVERILTVNGALPAMSLPFMTARQQERICSELPALHMCSLELLTAIIKATGSQLLPHAASIVRFITKYFKACALPDLRIKVYSIAKTLLISMGVGMALCLSKEVVNNAIADLSTVEKKNGGMLNGSNTEVSTIAPLPASNRKRKHSSTNGSVQEYDAGGGLGVEVPNKCPVTPISLRVAALEALEALITVAGALKSEQWRSKVDSLLIVIAMDSFKEGSSREEINVFQKKDHAATATDLQFAALRALLASFLSVSRPPYLSQGLELFQRGKQQTGTKLAEFCAHAMLTLEVLIHPRALPLVDYVPPNNDNFGEAQFSFGHEYASRNHTTFGLPQTEPPESVNNLFADYLANGDDEMGGLWTENTKKTKVSSEMATSLPSSANIQERSEMVPEIATRADVEMRTVENETTMKSDHPGESVVQFQEPVHCTTSIPAAIDIHSDAATDKEPERIVSESAIAHNEANHVESASQSKSSAQSSDTNMLQQVEFKLDYGNSVDDDDDDPFPDIVDGDPNSDSE >RHN48204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45765163:45768328:1 gene:gene42894 transcript:rna42894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MSHTMKLWKRVIERRLRKETRVTDNQFGFMPGRSTMEAIYLLRRTMERYRTDKKDLHLVFINLEKVYDRVPREILWKALENKGVRIAPRVNPKSLSFCLSVRTQDGTTEDFPITIGLHQGSTLSPYLFALVLDVLTEHIQELAPRCIHFADDVVLVG >RHN40460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16489812:16490847:-1 gene:gene46612 transcript:rna46612 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGFNQFTDAAAASDMNSLTKRRKCSQTLEIQNVLAEIKDINNRLFDCEVVIGEKENVERLVGLASEQVGGLLVKIMYNAVTINQNLVSHFTSDKKSLINPLRLLIPASYPSSSLIFLDELPLQDSEDFRDLFERAKGKLRVNLESMKQPWLIKDVARAWEGCAREAILEYAHVNGGGTFTSMYGGWEFC >RHN72782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10659323:10663155:-1 gene:gene8538 transcript:rna8538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kinetochore protein Ndc80 MRQPTTTHRRQPKDTYPPPTPLNHRDSDISLVSSRPSSVGIPSFDLYKDRSYQQSAVATINSFLSSHNFHISFKSSPSPSAKQIHETLIFLTKLLEFPVTKLEDDLPLLLKLFNYPFKLNKSILKNPATPHQWPSMLCLIHWLVQICNFNLSLSNSSSTTTILHNNNILFGYCLNSYLNFIRGDDEAILDMEDQIRQKILHEKSVAQEKLAAVELTVVELEAQLEEVRSAPSKKDELEKEKAVLEGDVNKFHKIIEEFGSRIDPLERVLMEKEKQLEAKVVENERIVEENEELKSKVELQTFNARDVDRMKKELQAAERDASEAELARNAWEEKCWEVDSTLAHKIKDLEALSIDCNLALRRLKIGNDIQYVLNPKGTTPAEIMGFDYKVMLKPVLESYADDIKRSSVVKLEELVSLQKKSAENAARLEGKRNQLAALQSRIDQLETQRDMIKKETQDYRNRCSAEAKKISDDIQLADHDVGIMEREAAEVLKASELKLQETIRQTDEEIQMHAGELFKLIDAVSKYKEHVGSKVSEIRSGLSETVTEVSNIYRDSFPEKYSYILEARRKIQRIE >RHN55971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26196234:26202610:1 gene:gene31271 transcript:rna31271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase TTK family MDGNPKSNPSHLPNPSSSELLRQFQVALKRRRNPGGALQSNIIRPKRSAVAEAKAMSKSEDSQTSLDQSGNHSLKGSAVVAGEEMDLASGVTEASTRINQDMKYRTSQQAESEKSLISKGGKISMLPRRTLISQDHSDKFKNFLSQPATQSSIVGPSCATTTSVHSSSAPMLNSLTGSSHSHPNSASNLVANRCGNLNPHQITHGVVNSVKETNITSTDQATTGVQACSSLTDAELTFKERNSCKEQQGCALKETIISNNASCYDDALSKGKESAVATNTQPEAPTVSSDVKLESSKLGKPDKAASSKGPSGSRKRTYDPDYFFKVSGKLYQRLGRIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYATAYGFCQEIEYLNRLKGKSNIIQLIDYEVTDKALLEGVLSGSFNNKDGRVKDDGFIYMVLEYGEIDLAHMLSQKWKELDGCNQTIDENWLRFYWQQILQAVNTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMSDTTNIQRDSQVGTLNYMSPEAFMCNESDANGNIIKCGRPSDIWSLGCILYQMVYGRTPFSDYKTFWAKVKVITDPNHQITYEPVSNPCLVDLMKRCLAWDRNERWRIPQLLQHPFLAPPVSSHPSLSQDHSFKWLQVIAETCKCDREAVQLCSQLQQVLGDPFSLITSDTSNSRDGQCKLLSRISEVCVQLHERLTNSDNK >RHN55084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15031075:15043392:1 gene:gene30212 transcript:rna30212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative threonine--tRNA ligase MLCSLIRLRRNIPSSTTSLFLHIGNRFNFSSSAAAMVVAHPKDESYLAATIPKRVQIFEALQAEQQTRRLSLSPDPIKVTLPDGNVKEGKKWQTTPFDIAREISKNLANNALISKVNGVLWDMNRPLEEDSSLQLFKFDDDEGRDTFWHSSAHILGQSLETEYGCKLCIGPCTTRGEGFYYDAFYGDLGLNDDHFKQIEAVALKAVAEKQPFERIEVTRDQALEIFSDNKFKVEIINDLPADKTITVYRCGPLVDLCRGPHIPNTSFVKAIACLKASSAYWRGDKDRESLQRVYGISYPDNKSLKEYLLRLEEAKKYDHRIVGVKQELIYHHEWSPGSWFFLPHGARIYNKLMNFIRDQYRDRGYQEVISPNVFNMDLWVQSGHAANYKEDMFVLEIDKQEFGLKPMNCPGHCLMFKHRVRSYRELPLRFADFGVLHRNEASGALSGLTRVRRFQQDDAHIFCRESQIKDEVRNALNFIDHVYKIFGFSYELKLSTRPEKYLGNIETWEKAEASLKEALDEFGKPWQLNEGDGAFYGPKIDISVSDALRRKFQCATLQLDFQLPDRFKLEFSAEDEAKIERPVMIHRAILGSVERMFAILLEHYKGKWPFWLSPRQAILCPVSEKSQAYALQVRDQIHQAGYHVDADTTDRKIQKKVREAQLAQYNYILVVGEEEAKTGQVSVRVRDKADHSVMTIENLLEHFKDEVDAFH >RHN48461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47646260:47646766:1 gene:gene43180 transcript:rna43180 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFNPSSKMGTKNLHETSNKKRVPTRVWLSFQISMAVLVGGNHTSSRFCTR >RHN49892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2067990:2068725:1 gene:gene34120 transcript:rna34120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MVAYYFVKKKFEKLKYLVQVNYVKPSAPTVVIPIITVPPSQPAKTPASSPAPPPTSKTKPTPIVKSWKDCIPLCIYRCKLHLRKMVCITACMTCCDRCKCVPLDQTYGNRDKCGKCYTDMLTHHDKVKCP >RHN67073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23477015:23485322:1 gene:gene15213 transcript:rna15213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MSVVGFDIGNENCVIAVAKHRGIDVLLNDESKRETPAVVCFGEKQRFLGSAGAASAMMHPKSTISQVKRFIGRKFLDPDMEKDLKMLPLETSEGPDGGVLIHLKYLDGIHTFTPVQIMSMLFAHLKTMTEKDLEAPISDCVIGIPSYFTDLQRRAYLDAAIIAGLKPLRLIHDCTATALSYGIYKTNFNSDGPSYVAFIDIGQCDTQVCIAAFEFGQMRILSHAFDRSLGGRDFDEVLFTHFAEKFKEQYKIDVYSNAKACIRLRAACEKLKKVLSANPEAPLNIECLMDEKDVKGFITREEFENLASGLLERISTPCKEALIEAGLDAEKISSVELVGSGSRIPAVSTLLSSLFKREPSRKLNASECVARGCALQCAMLSPTYRVREYEVQDISPFSYGLESDKVRGVLFPKGHLLPSTVVIKFQQTDSIHLEAFYANEHELPPGTSPKISSFTIGPLPGSQGSKAKVKVRAQLNLHGIFSIDSATLIKDHTDDHHSNFDAMDVDPKSETSDSTSSVANGAEESTNKRDSPQSYADCLRKDKANRRIPIAVNENIYGGMTMKEISEAHEKELQLAQQDRAVELTKEKKNTLESYVYETRSKLFNTYRSFASDQERDVISRSLQETEDWLYEDGDDETEHAYTSKLEDLKKLVDPIEIRYKDDEERTQAINDLSKVISDIRKFADSVPPQEKEQMIDISNKAEHWLTEKVQQQESYPKNVDPILWSSHIKSAIEELSRGMDNIVKSRTTSEDEDEKDKSKDEDDKDKMDSSNHS >RHN61430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35483420:35483793:1 gene:gene23899 transcript:rna23899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLNVIRQASFATSQAASKSAGVPKGYVAVYVGEKQTRFVIPISYLNQPLFQELLHQAEEEFGYDHPMGGLTIPCTEDVFQHITSCLNEP >RHN46537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32587576:32587891:-1 gene:gene41033 transcript:rna41033 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFDEVFVGLWIVEATDDGPDGGDGCGDMLDDGGATLVGGDCVGVVAGDGVGDGGCWVW >RHN59648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12586846:12587061:1 gene:gene21718 transcript:rna21718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b6/f complex, subunit 5 MSIANSWSLRFTVNTDSYLRIDITPTFFPFNQTQMIEVSLFGIVLGLIPITLAGLFVTAYLQYRRGDQLDI >RHN69339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42724788:42727027:1 gene:gene17791 transcript:rna17791 gene_biotype:protein_coding transcript_biotype:protein_coding MNTEIADLETLVSLSDEDNVMGDLGVVSSTMVTRLDLSLACFSEKVSNLGNFVMHLATMESEFETSEKDHMMGIGSVLKVLEFDLLCGALDSLVRELDEFLDSLHYGIVEVRERVCSCTHLVQSFITLQDKLLDYEECLRQSVEMFIEIKIHSASFQRTLCSLKKIKIGNSEIVKEGSKPVKVNAAEMKLQTIEQQRNILTMLEKSLAKERDLEKNLYHSREIQEKLKQSMSSLEHELVQAEEETIDVWERLFEADNAHEILMGISKSLLSRLQISHFNLNGLSRRESELQAKLETFVEQLNTRDIILNKIESSTGELNVSLIGQTNGSEASSKDAEDTQIPSDPEVFALRGKVSLLEKQLKDSEIHLRNVKSSSNEYQNMYDASCAEVSNTKTHIAELKETVLDAESRADIAEAKCKLLTETNSKLNEELNLLKGDGGIMKLHLLERQLKEIYLQLQNSESSVEANKEKQSMLYSTIRDMENVINDHKSKVSKAESRAESAEENCIILSECNDELNEELKFLRIGFKNMEESLVREKEEKMTTAKDIGMRAKLFKELVKQLVIERERLKDQVCATIENTFHVNYIILQIFHGLS >RHN73367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15701522:15703705:-1 gene:gene9169 transcript:rna9169 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNIGMSYSKDPPTNTNSNDAKKNEVSSFKKKIKKNEVSDQMKQMSYSNSPHKANSSPQKSQTLSTQDSMSYPNYK >RHN44193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2335425:2336252:-1 gene:gene38283 transcript:rna38283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MTCTFTKLHIIDLSHNDFSGNFPSEMILRWKAMKTSNPSQLQYEEKLFYSRLNNRHYHVTTNKFLTFTMSSKGMDRVYEKLQEFYSLIAIDISSNKISGEIPQIIDELKGLVLLNLSNNNLIGSIPSSLGKLSNLEALDLSLNSLSGKIPEQLAEITFLEYLNVSFNNLTGPIPQNNQFSTFKGDSFEGNQGLCGDQLLKKCIDPAGPSTSDDDDDDDSKSFIELYWTVVLIGYGGGLVAGVALGNTYFLQVFAWYRDCLSVSVIILVNKIFKRH >RHN46251.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30006858:30007320:-1 gene:gene40717 transcript:rna40717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family MEEMKNENESNERQDPLANFSHSSSTKNKKGGWKSVKYILGNETFEKLASMSLIANLVVYMHTQYNMDTAFSVEVFNIWSGLVNFIPLVAAYIADAYVGKFHMLIFGGIASLLVNTKKLS >RHN76359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49666260:49669323:-1 gene:gene12670 transcript:rna12670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MDQEFFNAIKNNDISTFSSIVKVREGILNQRTDDTFNTPLHLASKYGCIEMVSEIVRLCPDMVSAENENMETPIHEACRQENVKVLMLLLEVNPTAACKLNPTCKSAFFVACSHGHLDLVNLLLNLSEIVEPGLAGFDQACFHIAASRGHTDIVRELLNRWPDLSQVIDENGNSALHHACNKGHRETVWILLKRDSNVALQYNNNGYTPLHLAVMNGKVSILDDFVSGSAASFHYLTREEETVFHLAVRYGCYDALVFLVQVSNGTNLLHCQDRYGNSVLHLAVSGGRHKMTDFLINKTKLDINTRNSEGMTALDILDQAMDSVESRQLQAIFIRAGGKRSIQSSSFSLELDKNNSPSPAYRLSPSRRYIPNEMEVLTEMVSYDCISPPPVSKSSDSRSPQPQASERFENGTYNPYYVSPTNLVKQKHHHNKGKIENVNHTKRKHYHEMHQEALLNARNTIVLVAVLIATVTFAAGISPPGGVYQEGPMRGKSMVGRTSAFKVFAISNNIALFTSLSVVIVLVSIVPFRRKPQTLLLIIAHKVMWVAVAFMATGYVAATWVILPHNQGMQWLSVLLLALGGGSLGTIFIGLSVMLVEHSLRKSKWRKKRKEIGDGAAESDKESENSDFQSSYLQGYHSY >RHN51556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19773209:19781180:-1 gene:gene36014 transcript:rna36014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FMN-binding split barrel MMIIDPPIATRFHLSSSSTRFSNLHNNRSTWNAEDVNGGGLGYVASCRLACSCGGFDAPWIRSKKYLGTPFPRRNKLVKNRIRVSSQHPSSDQEPVKKNEKPSYHPFEEIAASTLEISEDVRLTAAETSRTVIEVNSKATMVFSTFVNDEYHDNIVWPDLPYLTDEHGNIYFQAKPGEDILQSLTSENNFVQVIVGVDTMEMISEMDLSGPSEIDFGIEEIDDEDTDDLDDSDVEDDVNENEDENEDYDSEWLAVLSDDDELDDDDDEALADWAKLETMRFSHPMDFAKKLTEIASDDPIDWMEQPPACVVFQGVIRPAFIEENSPIQKHLSANQSSTAEISKVTENKEESVGAINGHEHNKESSEDNASQKVENNENGDTPSDETSFYRLEIVKIQVFSAHGHPVVLEVEDYTKAQPDAIARSSSKIISHLKAAGESEKTLQALKSLCWICKGIQVEEAQLICVDSLGFDVRVCSGTQVQTLRFGFKKRATSEYSAERQLNDILFPRNHPKQQKTKQTHQNEC >RHN63188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49192119:49198586:-1 gene:gene25864 transcript:rna25864 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MGKQGPCFHCGVTSTPLWRNGPPEKPILCNACGSRWRTKGTLANYTPLHARTDPVDFEDQRVSRLKIVSLNKNKEVKLVKRKQNYDNAYAASGWFTPEYNQGFQKAVDEDTSNRSSSGSAISNSESCVQFGGTDASDLTGPSQSVVWDTAAPSKKRTCAGRLKHSSVEKLTQDLCTILHEQQQSCFSASSEEELLYDSETPMVSVEIGHGSMLIRHPSSIARDEESEASSLSVDNKQCLMNEAYSCYGSIPRLSDYTGMNFSSQGVAKVRNSAGPRLQQEQLVWDKSQLEKLEVLGNHDSSLCSIDLNDVVNYEAFSRNLTNEEQQQLLKYLPVIDTAKFPDSIKIMFDSFQFKEELTYFQKLLEEGVFDISLLRGDPEDCKTSKRLALSNLSKSKCVEHYNFLKKGGNKDGKRNGMESPAMASSNVANVKRLRVSQNQNFPELKTTMRSPKRVIVKAGTGGKEAVDGSSISPKSLFAFPRNGGLHMLDSLNFVDESSDQDLLLEFPSNNSFPQAELLLPSSSLVAQGSTSSSSVYSHVTRP >RHN41167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27711625:27712411:1 gene:gene47458 transcript:rna47458 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIYLFRKLTIEAIAGEVKYNKERQIPQVWGNNTSKTHVMEIQTMDSVVSLITSDTNPSAYMRGC >RHN67954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31819897:31820710:1 gene:gene16219 transcript:rna16219 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta' MIDQYKHQHLRIGSVSPEQISAWAKKILPNGEIVGEVTKPYTLHYKTNKPEKDGLFCERIFGPIKSGICACGNYRVIGDKKDQPKFCEQCGVEFVDSRVRRYQMGYIKLACPVTHVWYLKRLPSYIASLLDKPLKELEGLVYCDV >RHN62797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45915471:45916503:1 gene:gene25406 transcript:rna25406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MIPSTMNFTSCIYLLLIILTTNHFIPSHSENCNPDDKRTLLQIKKEFGNPSQLSSWDPNTDCCNNKWKGVSCNSDTQTNRVENLDLENLDLPKPVPIPPSIANLPFLTLLSLSHIPNLVGTILPPYTNISGEIPNTLSQIKTLLRIDFYNNKLTGPLPTTLSSLPNLTEITLDGNQLKGTIPESYGSFPKSFSWLSLSRNRLSGNIPASLAKLNLALLDLSGNKLEGDASVLFGSKKENNVIWLHRNLLSFDIGKVGLSKNLESVDLSNNRIYGTLPVGFAKLELLKEFNVSYNNLCGKIPQRGKLQSFDESCYAHNKCLSAPPLPPCKT >RHN40457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16474354:16475093:1 gene:gene46609 transcript:rna46609 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQFEGDDPSIPIARGGPIYIANMVNSSITSVHLFQHRLLYQVKILQAELSQHSSSSSTSHHDDDDISVDDLKLFTQDELMDMAFKQAFQGRENNENRPPNARSVTSTPFFCSYPYLMHF >RHN45057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11232401:11232865:1 gene:gene39254 transcript:rna39254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MAYSYPITFNFFVILVLILAFGASNIEPNPFLDCPNHPVYITIINDIYPESTPTEFTLHCKSKDDDLGFHSLAYSQQYVFSFQPSYVFWKNTLFFCSFTWQGSPYRHYIEIYSQKRDGCNSLQWKMNKTGGFKSGQFYPWSSVEIMHANGTSKL >RHN53798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4217796:4221398:1 gene:gene28745 transcript:rna28745 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFASFFDSTPRWNFNTLKNFNQISPRVQNHLKQVYLTLCFAVAAAAVGAYLHVLLNIGGILTAIACLGISVWLLSTPPFEERKRLTLLMAAALFQGASIGPLIDFAIQVDPSIIFSSFVATALAFGCFSGAALVAKRREYLYLGGFVSSGLSILMWLHFASAIFGGSMALFKFELYFGLLVFVGYIVVDTQEIVEKAHFGDLDYVKHALTLFTDLVAVFVRILAIILNSKRAEEEKKKKKRRE >RHN80335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37271698:37276393:1 gene:gene4248 transcript:rna4248 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA repair protein XRCC4 MEEKKRHTCLKLQLTEEESIFVKGTWFNTHFNLSITDGSTSWHCNASEDEVKQRAAQWDQPVEEYVELSERYLGFQQPESVYAFADAGDAHKRLSWTFEKGGMTLHWRWKCMKSPDCKKTTAEILDFLMDANIRLSEEVVIKTELFEKTKVEAEKCLAQSERIANERVEFESQIYTKFLGVLNSKKAKLRELRDQLSKQGNGDKSPQEEEDPEKTESFDEESDDGKSDEDPQKCITSSSKDAGATKRSRPRRTRLA >RHN64324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58147663:58155240:-1 gene:gene27128 transcript:rna27128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartate--tRNA ligase MSSLFLRALPLTTLRRTPSIFFLSRLSKTIPTLISRTRTRTLSSVSASIQQQQPLPSETETLNESLQWVSRTHHCGELSSNDVGKTVRLCGWVALHRLHGGLTFLNLRDHTGIVQVTTLPDEFPVAHSAINNLRLEYVVAIQGIVRPRPNQSINNKIKTGFIEIAANDVQLLNSVNAKLPFLVTTTDHAKDSLREEVRLRYRYLDLRRQQMNSNMLLRHNVVKLMRRYLEDIHGFVEIETPILSRSTPEGARDYLVPSRIQQGTFYALPQSPQLFKQMLMVAGFDKYYQVARCFRDEDLRADRQPEFTQLDMEMAFTPLEDMLSLNEELIRKVFLEIKGVELPNPFPRLTYAEAMNRYGSDRPDTRFDLELKDVSDIFSGSSFKVFSDSLECGGVIKVICVPSGAKKYSNSVLKKSGANGGIYNEAHKSGAKGLPFLKITENGDIEGISALVSSMDSATREDLLRRCSAGPSDLILFAVGHHASVNKTLDRLRVYVAHELGLIDHARHSILWITDFPMFEWNDSEQRLEALHHPFTAPNPEDMNNLASARALAYDMVYNGVEIGGGSLRIYKRDIQQKVLETVGISMEQAEAKFGYLLEALDMGAPPHGGIAFGLDRLVMLLAGANSIRDVIAFPKTTTAQCALTRSPSEVDPQQLKDLSITT >RHN79942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33712981:33714579:-1 gene:gene3801 transcript:rna3801 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPMFLESGEKIAPHAEFPTYHYQKFQPRYSITAPECKLSGTPSFSAYVKGDNDITSGSLFNEVENATRYSLLDSQELDFQCKELHQMPKRKLLELESGSYFNDQMVSPMFPELGERLTPHAEFPTYHSQNFQPQYSITAPNCKLSGTPSFRTSGKGDVTHGSLFNEVDFQCTEIQQIPKRKLLELEPSSSFSDHLLPPMFLRSVDLITPHTDFPIYPHMSRPVFRTEAESEFGELPSYLDKNDVTHGFLCNEQKHETFTLDHFNELGKLEREPIPLLLENAFDCTKDEIKLPVTSNYAKPYMAPALSILDHGEDPISNDSLGDFHFRPSPLLLDKPHDFNSVLDSGLLKYQKPQFGDHLYKDDEEMDMNCNHTALSFSHNKHYFKLSENFQNEASSVQDSIYLPAYHHWVRKAGSCDYHHRRDTEAWLSSSPGCLSLTSSRSNYRSSISRNLQLPESENMSSLFHIEDHYEPKINGGDQGEVLYHLSEAFVQIYNSSCLHMSMQRDNGCPFSLDDSDNINEQEQRHEMLL >RHN46863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35393209:35394290:-1 gene:gene41398 transcript:rna41398 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKWKLFVCQSEYIRKQKEPMYLLRRKMRLKVVGGTETANNRYR >RHN59319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9512666:9515695:-1 gene:gene21329 transcript:rna21329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MLSVLFLLALCLIIPLLIFFQNHRTIKHYPLGPKGLPIIGNLHQLDISNLPIQLSQFSKTYGPLFSIQLGLRKAIVVSSAEIAKEVLKTNDQLFSNRPILYGQQKLSYNGSEIFFSQYTDFWREIRKICVIHIFSSIRVSYYSSIRNFEVKKMIKKISGHVSSSSVSNMSEILISLSSTIICRIVFGRSYEDEGTERSRFQGMFHECQALLAEIFVSDYIPFMSWIDKLRGLHGRLDRNFKEFDDFYQEIIDEHLDPNRKHTDEEVIVDVLLQLKKKRSFSFDITFDHIKGVLMDIIVAGTDTTAATSVWAMTALMKNPRVMRKVQDEIRNLGGEKDFLDEDDIQNLPYLKAVIKETLRLHLPAPLLMQRESRENCTISGYNIPAKTILYVNAWAIQRDPNVWKNPEEFYPERFLESSINFNGQDFELIPFGAGRRICPGMPMAVASLELILANLLYSFDWKLPHGTVKEDIDTEMLPGITQHKKNPLCLVAKIPM >RHN63369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50731198:50736775:1 gene:gene26061 transcript:rna26061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphodiesterase I MKQESIRHRHNMIILVATLAWLLLNSNIVLCSAHANGFGEQPLSKIAIHKTIVSLHSNASLTATPSILGVKGEDTQWMTVHIDFPDPSVDDWVGVFSPANFNSSSCPPVNDPKEQIPFICSAPIKYKFSNYSNSRYTKTGKASLRFQLINQRADFSFALFSGGTSNPKLVAVSNFISFANPKAPLYPRLAQGKSWDEMTVTWTSGYDIKEATPFVEWGPQGKTPVQSPAGTLTFGRNSMCGSPARTVGWRDPGFIHTSFLKNLWPNLVYAYRLGHLLPDGSYIWSKKYSFKSSPYPGQDSLQRVVIFGDMGKAERDGSNEYSNYQPGSLNTTDRLIEDLKNIDVVFHIGDITYANGYISQWDQFTAQVEPIASTVPYMIASGNHERDWPNSGSFYDVTDSGGECGVLAETMFYVPAENRAKFWYATDYGMFRFCIADTEHDWREGSEQYKFIEHCLATVDRQKQPWLIFAAHRVLGYSSDFWYGMEGSFAEPMGRESLQRLWQKYKVDIAFYGHVHNYERTCPVYQNQCVNKEKSHYSGIVNGTIHVVVGGAGSHLSNFSQVTPSWSLYRDYDFGFVKLTAFNHSSLLFEYKKSSDGNVYDSFTVSRDYKDVLACVHDSCEATTLAS >RHN61807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38528451:38528894:1 gene:gene24306 transcript:rna24306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MVTIRADEISKIIRERIQQYNTEVKIVNTSTVLQVGDGIARIYGLDEVMAGELVEFEEGTVGIALNLESKNVGVVLMGDGLLIQEGSSVKATGIIAQIPVSEGYLGRVVNALAKLIDGRGEISMRLNCLGLILLRSNLTLNIFFVRD >RHN67312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25880656:25881236:-1 gene:gene15492 transcript:rna15492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MVKKFISFSMVVMVLGMLVTTLDARQIDDVSCTSALFSLLPCLPFLQGVGPATPTSYCCAGANDLNQKANTTQIRRDVCNCLKPAASRFGVNPDRSKQLPHLCNITLSVPFDPSVDCNTVQ >RHN82524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54452295:54452695:1 gene:gene6695 transcript:rna6695 gene_biotype:protein_coding transcript_biotype:protein_coding MARICITFSMLFVAMFFLSVVAQYPTASPKASVVITIGTGPSIVNSLSPITPPSVSPSLPPSSISSPPAHAPAPHKSGAASHGFSFAIGTFVVALVAAALII >RHN68348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34783139:34785158:1 gene:gene16688 transcript:rna16688 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKNAFCWLRHRVVGEFIRGHYKRCVIDVKLLRQLLVVEALHNRESQSSRSTMGLKLLHQLLVVEALHNKESQIVARQRVQSSWTLGQYKTSHFVNGFTSQFFK >RHN63319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50348256:50353017:-1 gene:gene26005 transcript:rna26005 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFTIQISNDLVNKLVDDPVVKKKPRRVKRKVAREIEKPQPNVAEEAEIAPAPVWPVQPALYVPATLPAHPSQSELEGIRSVLQESEKVLERLQKQEKQMLQEVTQKAKDLHEKEYKVPDPKPERCTAERLASLTCYKEHIKDPLKCAGFVNNFADCLRRLGPLGGK >RHN70057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48388193:48388460:1 gene:gene18599 transcript:rna18599 gene_biotype:protein_coding transcript_biotype:protein_coding MSAILYKRSNAFFCKPAIPSDSAAASSSAFCFSFSMLNLGFHVVSR >RHN66593.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14453702:14453923:1 gene:gene14613 transcript:rna14613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L14P MFISIWPNFCRIICTIRMSLGLSVAATVNCADNTGGKNLHIISVKGIKGRLNRLPSACVGDMVIDGYCEEGNT >RHN64392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58712668:58713234:-1 gene:gene27208 transcript:rna27208 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGGDGGGLGTVVATMLFSSMQVDFSVALVVVVVIAKVDSLRCLTLLFVGCVRAADCGWWKLFFVAACDVG >RHN44395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4196542:4199704:-1 gene:gene38512 transcript:rna38512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MQFIQSQKMNLTKYFCSNIYSPKMWKIFLLTWGLFMGTQFVIVTSQLQMEANAILNSEWWNTSDADFNISNRCSWSSISCNEAGSIKEINIYFATRTWVIQFEKLNMSVFHNLEKLDVIGIGLRGRIPKEIGLLAKLAYLDLRSNSLVGELPPSLGNLKRLEYLDISFNNIQGFIPSSLGNLTQLEYLYISNNHVQGSIPLELGFLNNLQKIDLSHNRLSRNLPIFLTNLTQLQYIDISNNFLTGSLPSNFDQLTKLKTLRLKYNSISGAFSILVKNLSHLETLEISHNLLNGTLRSNLFPLKDYGTSIDLSHNQISGEIPSQFGHFYKLNLSNNNLSGTIPQSLCNVFYLDISYNCLKVPIPQCTYLNPRNTRNKDVCIDTSYDQLQPHKKNSKVKRIVFIVLPILSILIIAFSLLVYFKRRHNSIKNKHGNTETTNNGDLFCIWNYDGKIAYNDIIRATKDFDIKYCIGKGAYGSVYKAQLPSGKFVALKKLHSYEAEVPSLDESFRNEVKILSEIKHRNIVKLYGFCLHKRVMFLIYQYMEKGSLFSVLHDDVEAIKFDWRKRVNTIKGVASALSYLHHDFTSPIVHRDVSTSNILLNSEWQPSVSDFGIARLLQYDSSNQTIVGGTIGYIAPELAYTMVVSEKCDVYSFGVVALEILVGRYPEEILSSLQLTSTQDIKLCEVLDQRLPLPNDVKVLLDIIHVVVVASACLNPNPSSRPTMKSVSQSFSIEVTPLSIPLREISVKQLVNQELKALFQIVNPKLNFEIN >RHN65449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2327598:2334751:1 gene:gene13288 transcript:rna13288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, Actin family MSIVLRKLLEIILKRNPTSSDEQQQQQVLPLPYGDMGELDQLPSDILIQILRLLGPKEVAKLSVICKSLKFSVSDNRLWLHFLQTHQSDPSWDSVFFAETNLISGYPLPSFGQRPQLSFKHIYGQRAQVPGAIIIDGGSGYCKFGWSKYACPSGRSATFLEFGNIESPMYTRLRHFFATIYNRMQVKPKNQPVIVSIPICHYDDTESARASRQQLKEAIYASLFDLNVPAVCAVNQATLALYAAKRTSGIAVNIGFQVTSIVPIFNGKVMRKVGVKVVGLGALKVTGFLKEKMQQNNLNFQSLYTVRALKENLCYVALDYEAELLKENTQASFQATGDGLFTLSKERFQTAEILFKPHLAGVQAMGLHHAIARCMENCHSAGLPGDNDWYKTVVLSGGTACLPGLAERLEKELHGLLPPYMSNGIRVIPPPYGVDTPWFGAKMIGNLSTFPGSWCVEKKKFRQKPRLGLIW >RHN66199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9645297:9648126:1 gene:gene14137 transcript:rna14137 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYILAITSTSSSILSLTLPAFSLLQQNFPKTRSKSPCPVSFTARWNLILEALSKCRSFMGLECAIRKENMQGTKEEIVYALKFLLEVRTGDKLGFKSNCKF >RHN42822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41271200:41273109:1 gene:gene49315 transcript:rna49315 gene_biotype:protein_coding transcript_biotype:protein_coding MIFEMKWCGIKGNISTPNSEANLVCLLVGDKMFLLVTHGSVFDYPEGLLLILRILLVMDFISVIGSMYSTTVLLRSIVFYESQDSSLIFGGGQLEPNLSVGSNVSVQSYYVSFLKNKASTLDQYYAYLMNAFLSFIKGLYEVGPRNVRLTKGTLTI >RHN45510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21688061:21689105:-1 gene:gene39861 transcript:rna39861 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLRVCFNNFCSLIVVVVGFVCVSRIGCCCCDCLVVVVTPLHFVLAPIKSFKPHGGCRRRRQGFNYGMVQHVNKGPLGSKRLIMPAVICRKGCWYVNLVRVGDVSDKTVSSPVASFSADSQPTVVVILKVVVVLQGRRWYVDLLRVDSWSIHRNLDLYLRVHYNTLVLFLIFILPVWVDLHFVNKLVRFISSRFIFFCLYFFPFFQ >RHN47176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37678616:37679802:-1 gene:gene41741 transcript:rna41741 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRFRELLKKYGKVAIGVHCSVSAASVAGIYVAIRNNVDVEAILEKFHLGAASPEENPNLNSDTDSSAVPVKNRTAQMAASAGGAFTLAVLCNKALIPVRVPITIALTPPIARFLARRKIVKTGV >RHN72997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12440472:12442560:-1 gene:gene8766 transcript:rna8766 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAAYDATKDSKNSKELCTYVGSMLCFVVPEFRKPVEAALKEIGVSLRFVSLPSQAHENNNVDSKLPQLNRFYILVIFGYCIFLLFNARKEVCWYNRCSEPTSTDRIYALCTKLGFPPYNDLHIPFNQQNEYAIYTMLATPSLRFSVKTKSPVLSDSRVTTEVYNLSLTSHAVGNFKYFYYFSHLCLDSELFLFDLSRFPTLFAVAMELDRGCSALYKYHDISGRQWHKTEAILSLGMKYEYECGVYL >RHN47525.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40298374:40299592:-1 gene:gene42138 transcript:rna42138 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAVVFIRRKEAVSRNLLIYYILYGQIPCVYVFLFTMLLKVSSHHIWIVPRSDGYCMFHLCSCVCCLRCICATPELGRWITDPTP >RHN53080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41877235:41882437:1 gene:gene37833 transcript:rna37833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Snf7 family protein MFTRVFGKPKQDTSSNALATLDKLNETLEMLEKKENVLLKKAAAEVEKAKEYTKRKNKKAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAATMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSAPIGAAADFDEDELEAELEELESAELEEQLLQPTFTAPAPSMQVPAGRQPARPAPAKPTPEEDELAALQAEMAL >RHN57089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36361776:36363681:1 gene:gene32585 transcript:rna32585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MPSSSPTLVSKCTITPDQKSNIANLKLSVSDLPMLSCHYIQKGCLFTKPSNIPFHILIPLLKNSLSRTLSLFPPLAGRLTTDSEGYVYLTCNDAGVDFITATSTSLYITDLLSTTDVHESFKEFFTFDRKVSYTGHFSPIMAVQVTELADGIFIGIAVNHAVTDGTSFWNFFNTFAQICRGANKCIRNSPSFRRNSVLISDAVLRLPAEGPAVTFNANAPIRERIFSFSREAIQKLKARANNKPCPAKYNTIELMQKHMNDHYNNNNKENGKVTTLIENWFKNNAKPVNGTEVAETDTETVEISSFQSLSALLWRAITRARKFHPSKTTTFRMAVNCRHRIEPKLEAFYFGNAIQSVPTYASAGDVMSRDIRWCAMQLNKNVKAHDNGMVRRFVEDWENNPRCFPLGNSDGGSITMGSSPRFPMYDNDFGWGKPLVVRSGKANKFDGKISAFPGRDGSGTVDLEVVLAPETMAALELDTEFMTYATRQL >RHN52449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35397309:35406175:1 gene:gene37130 transcript:rna37130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, ELK MAEFDNDELPILSDANPKQSNEPDSSKFRNLVPRTRSVSISIPMIPMEPYERDTNFKGHTGPLRSQRKASFNPMSGPLYVTHTPGNLFGQSLVVPTSKAVESKTEKFPLCGMNEHGLQNNNAGKNEHLLRSGQLGMCNDPYCTTCPTYFKATQQSDLKSSSLFNPKFQNVLYGDAKDWARRMFAFLIPHVPRVINPHNKLVHQWNKFFAICCLVAIFVDPLFFFLLSVDYDHKCIVLNSTMTTVLVFLRSVNDFIHFLNIILQFRLAYVAPESRVVGAGELVDHPKKIALHYLQTYFLFDLFVVLPLPQIMILFVIPKHLGTSGENYAKNLLRAVVLAQYIPRLCRFLPMLIYPTGFIFESAWASFFINLFTFMLSGHVVGSWWYLFGLQRVNQCLRNACKHIYFDECSKLIDCGHGSTQEYQKGSNWPDWKKNDNASACFTEDGFKYGIYLKAVNLTTKSNVLTRYVYSSFWGFQQISTLAGNLTPSYFIWEVLFTMGIIGLGLLLFALLIGNIQNFLQALGKRRLEMSLRQRDVEQWMSHRRLADDLRRRVRQAERYNWAATRGVNEEILMENLPEDLQRDIRRHLFRFVKKVRIFSLMDDPILDAICERLRQKIYIKGSKILYDGGLVEKVVFIVRGKLESIGEDGIRVPLSEGNVCGEELLTWCLEHTSGNKVCAKTRIPRQRLVSNRTVLCLTNVEAFSLRAADLEEVTSLFARFLRSPGVQGAIRYESPYWRCLAATRIQVAWRYRKKRLCRADTSQSNNQT >RHN44324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3536600:3536997:-1 gene:gene38427 transcript:rna38427 gene_biotype:protein_coding transcript_biotype:protein_coding MWVVEVIFVAVVTMFEDFGTTYVYVVSLIVVLALFWCFYLLILEIAICLGGVSSKFLGFFFFDSQVYGFSIRSSPSIIYMLLL >RHN80926.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41946014:41946501:-1 gene:gene4910 transcript:rna4910 gene_biotype:protein_coding transcript_biotype:protein_coding MNFILAFNFSTNVLKNISDSINCWNRNTVNMQLMNLTIIGSSFNIRTQSFVLATREMVALKNCFLSENGSSS >RHN47486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40102821:40104221:-1 gene:gene42096 transcript:rna42096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MVSVQSQSIVIPSKPTPNPKLFSLCEQIKLRTHAPLLYVFKPHNHKNTSTFLETLKKSLSQALVTYYPLAGRLSLIKGGRWEIHCNAKGALLLEAKCEELTNLNQLGDFVPTNLVSQLIPNINYNLPIEDIPLLAVQLTRFNCGGFTLGVALCRAATDGTATMCFMNAWAKLARGENLDSSEFPCHDRTVLNSYKLIHSPSLHRHHHEFDMPPIQVGRDVGNTREVSVAILKLTQEQVSILKKNVNSRMSFQPTSKDVPRTKPYSTFEVIAGYLWRCVSKARCMGNSDQPTRLSTLVNCRNRLKPPLISGYAGNAAFPTITPIRSFNDLIRKPLDDAVEDVHKALERVTEEYVMSALDHIDREKDMDLLRYNFHYPAKSVCKGQYKGNPNLFVVSWMNFSYKDADFGLGAPVYFGPGYMDSEGKAFIMNNNGGDIVVAISLEASCMDKLRKFFYDDIKEVFSTSKL >RHN72228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5939223:5940267:-1 gene:gene7915 transcript:rna7915 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPKVFQVHFRRKWIFQIYFSDEILRLLFPFVFRVQWCLCTVLAMRFWWFTVYGEDPYIYFTWNVTYGTISPHDISQQAILIDDHYP >RHN59840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13898058:13898743:-1 gene:gene22001 transcript:rna22001 gene_biotype:protein_coding transcript_biotype:protein_coding MQILILRRIRFQIPLLLQPPFFHRPFTPNHNLSTSLLLHPLLSIPPWPNYKPNKIITRILFHRYVKLLLKLWWSIISRWLKSRIFLNNLRNNLLSLFLKPLTSTILSSINPNPHIIIYRFRRRRSSPFRTII >RHN55244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16381438:16381745:-1 gene:gene30391 transcript:rna30391 gene_biotype:protein_coding transcript_biotype:protein_coding MIEHNVTACRWLHPEQVVEKVDRAATQKYVEKHNQMGLGPRKHLKYLILLI >RHN53426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1600587:1601537:1 gene:gene28330 transcript:rna28330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MEGDHVRQETTEGDHVRQETMEGDVIQETMEGDVFQETMEGDHVHQETTEGDHVRQETMEGDVIQDTMEGDVVQETMEGDVVQETIEGDHVRQKTMERDVYQETMERDVLPETMEGDVVQETMEEDVCQEIMEEDIVNKKKRCRSSDEGSSMSYVERRVKPKTTKKIKPKKHDKENLSSPPPILPIHVENKIKEFNGTDIKNIMCKKLSASDLRDDQNRLLMPLKEVKVDFLTDIEKDESNKQDGLEVTVLDPSFREFTMSLRKWSMGTNKYYSLLRGWKTVVSKNSFKKGKKLNIWTFRVNDKLHFVLDNNQVML >RHN54070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6721118:6725956:-1 gene:gene29050 transcript:rna29050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative powdery mildew resistance protein, RPW8 MADALSGAVMGEVVKKALQTIKKGREFGPTLERNIETLNNLAPLVKEIKVYNDFLDRPSEEIERLEKHIREGEELVRKSKKLTLWNFLSFPGYQGKLKKKDEGLQRHLSVNVQLENKKDLIKLVAKVDEISKIFEILMRKENLGQFDGSQIRGLCGAPEEPQCMGVDEPLNKLKIQLLKDGVSVLVLTGLGGSGKSTLAKKLCWNPQIKGKFGGNIFFVTVSKTPNLKNIVQTLFEHCGLRVPEFQTDEDAINRLGLLLRQVGRNPILLVLDDVWPGSEGLVERFKFQMPDYKILVTSRVAFRRFGTPYQLDPLDHDHAVSLFLHFAQSNDKMPDKNLVHEIVKACKGSPMALQVIAGSLRKQPFVTWQKMKERLKSQSILESNSTDLLCYLQQSLDMLEDIKEKECFMDMGLFPEDQRIPVTVLIDMWAELHGLDEDGTNAMAIVHDLITRNLISVIATRKVATETDMYYNNHYVMMHDLLRELAIHQSKGESFEQRKRLIIDLNGDNRPDWWIGPNQQGIISRVYSFIAGMFVKQKQLKVAARILSISTDESFSSDWCDMQPDEVEVLVLNLQSDQYSLPDFTDKMSKLKVLIVTNYGFHRSELIKFELLGFLSNLKRIRLEKVSVPCLSILKNLQKLSLHMCNTRDAFENYSIQISDAMPNLVELSIDYCNDLIKLPDGFSNITTLKKISITNCHKLSAIPQDIEKLENLEVLRLCSCSDLVEISESVSGLNKLRCFDISDCVSLSKLPNDIGDLKKLEKFYMKGCSNLSELPYSVINLGNVKHEIHVICDEEGAALWEHFPNIPNLKIDMPKVEINLNWLHGTRS >RHN50856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11190018:11192434:-1 gene:gene35195 transcript:rna35195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetraspanin/Peripherin MHRFSNSVIGFLNFFTLLASIPIIGAGLWISRSTTACENFFQEPLLIIGFIVLVISLVGFIGACFHVAWALWVYLVVMLLLIGTIIGLTIFGFVVTTQGGGVEVPGRTYMEYHLQNYSPWLKKRIKDHQYWSTIKSCIMGSNTCSKLASWTSLDYMQRDLSPIQSGCCKPPTTCNFNMETTLVDQDIDCYRWNNAPNLLCYDCDSCKAGVLENIRTDWHKVSVLSVVILVFLIGIYSIGCCAFRNARRSETDYPHGENRMRKVRPRWDYYCWRWWHDKKEQLF >RHN72766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10535130:10545178:-1 gene:gene8520 transcript:rna8520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor EFTu/EF1A, bacterial/organelle MARFSLPVLLYSTATSRRFSSDSMQQQLRNVWISGLLDPSKVRVTERYWLYTGDIDKMIEARKETEKRDKYYESIVKEESWLNQGKKRDSRRHFKWLMNGPTSDSTSADLTFFNWRKQYKMSVLDTPDNVDFTAEVQNALRAFDGAILVLSGVDGVNSHSISVDKQMMGYELPRLVFINNLDHKGANPWGVLYQARSKLQHHIAAVQVPIGLEYNFKGLVDLVHLKAYFFHDSNGQKVFVEEVPGYMEALVSQKRRELIKTVSEVDDKLAEAFSGDKPISAADLEEAVRRATIARKFIPIFMGSAFKYKGLQLLLDGVLNYLPCPTEASNYALVQSKNEEKGTLVALAFTLKRKYDLLTYLRIYDGIVRKGDFITNVDTGKTFKVPDSIEMRKDEIGVPHPLQLRVDKKGVPYVIGLRNEVPYSTEPRKDDLGVPLLIGLSYHEAIQVARAGEIVAVHDPLSAPGDTFTDGLVRYTKSSVDVSELISRDSGVQVSIFFI >RHN65192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:150202:156914:1 gene:gene13009 transcript:rna13009 gene_biotype:protein_coding transcript_biotype:protein_coding MMICTSIIRFTLPHSHSHSPLLIKTFPKTFKCRCSSSSKNSEENNDLKDALSGMMGDQVEELLNREENKVLFDNLQKASQRVEIAKTQLAFIEKQELALKQYKDYTQQLQGNAFQIAESQREISEAKAMLEEAERSLLLNVGGAEEGGAFMGMKSEEVDRDEERLESVKAASISALVGTLSGLPICFTQATNTTQLLLSVAINFVCCALFGVTFRYTVRRNLDDAQLKTGVAAAFGVVKGLAILSAGPLLELNFESLLAYAWDGTIYVSENLIIFVFAAVSLDYCLKTRLLSPFPIDKTR >RHN64563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60072664:60073437:1 gene:gene27391 transcript:rna27391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MSITTIDYAVPNKTHNKHDVFFHSDIIHTMITNKPFMVDKWLSSIKPYENNNSFLVGLDVECLFINHSGVSPPAAVLQLYIGGECLVFQILHASFVPKSLVAFLGNENNKFVGVGIREDMDKLLRDFSLLVVNFVDLRYLAAEKLGEKAMKSAGLKTLALCVLDKEIEKPKKITLSDWGGFPLTVKQVQYACVDAFISFEEITCDLGFDGGATSIDNNSQT >RHN76186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48360824:48362436:1 gene:gene12472 transcript:rna12472 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMLQPDYAELVDVEQKLSLTEKAIMSSHDICLSLDASKHVPNIEGWPISKVAVLLVDSKKENCFLRFNSFTDGVWSLIEKDVDTSYQIAEVTSEIKSPYKRRGVIMKRSKVILNEDQLLQVGYSAVKEAASVNSIDMMLLGSYNVYSQSKERTASRFYIMKCSQSIVEGFTKVPIKDLIESFRGPLVKRSSSSWKTTPVVKYFHMLPYSEIISEWISRLVEKVCSTRMHISKDGIKDQPASDTISKNEFEGCASNVTRKNKKDRKQIGSHDTNQEGPNTRDKNGPIKVGTNSSDTPNQRPKRLIKRPSRFNT >RHN63941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55211867:55212982:-1 gene:gene26702 transcript:rna26702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MVQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDQAAILMSGRNAKTNFPITQTSEGDPKSITSNENKPSTSKDLEEILHAKLRKCSKVPSPSMTCLRLDTENSHIGVWQKRAGKCSESNWVMTVQLGKKMSVTQDSGSSSSSVAPSSAVATEEEIVRGEIDEEDRIALQMIEELLNDKNCPSPSINNIKQGDDIDNSFFL >RHN66920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21634936:21636885:-1 gene:gene15042 transcript:rna15042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MKGVISVFPSEEFHIQTTRSWDFLGLRQSIKRDQIIETDLVIGVIDIRIWPESESFNDKGLGPIPKKWRGVCAGGGNFSCNNKIIGARFYGDGDVSARYSFGHRTHTASTAGGREVEDVSFYGFAKGTARGGVPSSRIAAYKICGDIDNGRCSGDAILAAFDDAISDGVDVITVSLGPEHASDFLNDPIAIGSFHAMEKGILTTQAAGNFGPIPSSVCSGAPWLVSVAATSIDRQFIDKVILGNGKTFVGKSINITPSNGKKIPIAVRNAQACLAGGNASPEMCDCIDENMVKGKLVLCGSRNGKDLAYANGAIGSIHNVTKSQLGASFVKYTINSGTSTSCPHVAGVVAYVKSFHPDWSPAAIKSAIMTTTKPVKGHYDDLAGEFAYGSGNINPQQAIHPGLVYDITKQDYVQMLCDYGYDAKKIKQISGDNSSCHQASK >RHN56198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28654305:28655239:-1 gene:gene31562 transcript:rna31562 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKPKCIKILVKMWCPTNFCGFLQKKKLTFVVVIGSIADYPNSSHDPTMISEFMVRPKVRLTPCVERILTKMRSCVSLMQ >RHN45069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11306697:11316547:-1 gene:gene39268 transcript:rna39268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protoporphyrinogen oxidase MASSAKDDNPRSVKRVAVVGAGVSGLAAAYKLKSHGLDVTVFEAEGRAGGRLRTVSRDGLVWDEGANTMTENEIEVKGLIDALGLHEKQQYPLSQHKRYIVKNGTPVLVPANPAALLKSKLLSAQSKIQVIFEPFMWKRSDSSAVRDENSEESVSRFFERHFGKEVVDYLIDPFVGGTSAAGPESLSIRHSFPELWNLEKRFGSIIAGALQSSVFGKKDKAGETKDVPRKNKHQRGSFSFQGGMQTLTDTLCKELGKDDIKLNAKVLTLAYSHDGSSPSQNWSITCTSNRKAQDVDAVIMTAPLGNVRDIQIKKKGNPFPLNFLPEVTYLPLSVLITTFKKENVKRPLEGFGVLVPSKEQQNGFKTLGTLFSSMMFPDRAPSDMHLYTTFIGGTRNRELAQASTDELTKIVTSDLRKLLGAEGEPAFVNHFFWSKGFPLYGHNYGSVLEAIDKMEKDLPGFFYAGNHRGGLSVGRAIASGCKAADLVISYLNNASDNSV >RHN73850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20629516:20630643:-1 gene:gene9732 transcript:rna9732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSEEENDTQFSPTGDGLLHRQPFLPDELVFEILSRLPVKTLLQYKCVCKSWKTLISDPQFAQTHLRNLILDPTVTYQRFFYNPNIVQCKIASIPLKPLLENISEPPKAIKFSMEHKYRILGSCNGLLCLFFVKEGYVRLLNPSIEWKSKKSPTLDSYESHKYWITYYGFGYDHVNDKYKVLAVHANEFGGKVIQIHTFGENSWTTIPNFPLPVGSVSWSGRFVSGTLNWVGNSCSSNRDVILSFDLANETYKEVLLPEPDGVNVSKPVLGVLSNCLCVCFDSNNTHWDFWLMKKYGIAESWTRLMIIPLNKIWHCLQSRPSFIQPLFMSENSSVFLRTYSKFFLYNLNNGRLDCFPGYYEFDHHIYYESLVSPKF >RHN81322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44856616:44861266:-1 gene:gene5340 transcript:rna5340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MGSGQISGGVGGGSHTNNGGGSNSCCDMSMKCWCRWRLENQHYYNRFFSSGFVFFFACFIFFGSIASFYGWLSFPPSVHESLSPFGCHDDNEGSWSIGIFYGDSPFSLKPIEFSNVSNDDGAAWPVANPVVTCASVSDAGFPSNFVADPFLFIQGDTLYLFYETKNSITMQGDIGVSKSTDKGATWQQLGIALNEDWHLSYPYVFEHNGQIYMMPEGSKRGDLRLYRALNFPLQWKLEKVLIEKPLIDSFIIDYDGKYWLFGSDHSGFGTKKNGQLEIWYSNSPLGPWKPHKKNPIYNIDKSLGARNGGRPFKYEGNLYRIGQDCGDTYGRRVRAFQIETLTAEEYKEIEVSLGFVESNKSRNAWNGARYHHLDAQRLPSGGWIGVMDGDRVPSGDSIRRFMVGCASVAVAAILIVLLGVLLGFVNCIVPLNWFIHNSGKRNLTSLSWERPNAFSSKVRRFCTRVNRVPTFLRGKVKHNACARRVILTIIFVVGVGLMCMGFKNIYGGNGSEEPYPLKGQYSQFTLLTMTYDARLWNLKMYVKHYSRCSSVSEIVVVWNKGIPPEVSDLDSAVPVRIRVEEKNSLNNRFKEDPLIKTRAVLELDDDIMMTCDDVERGFNVWRQHPDRIVGFYPRLIAGSPLKYRGEKYARTHKGYNMILTGAAFIDSKLAFKRYWGEEAKHGREVVDKLFNCEDVLLNYLYANASSSSRTVDYVKPAWAIDTSKFSGAAISRNTKVHYQLRSNCLMKFSEMYGSLADRKWGFDSRRDGWDV >RHN70854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54502387:54503271:1 gene:gene19483 transcript:rna19483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MCLQIPHLYGNGNISNSYLKRLSVVSKQFLSITNLLRFSLTIRAPKHFCFLRRLFHRFSNLNSLNLSIYYGNDINLLFIQISLFPLKLRSLHLSNTPTNLANGLRAFSQNVTTLTSLTFFNVNYIDRNDFFLIADCFPDLQSLGFKYCHGICNKGIGCVLWRCCNIRHLNFTGCSRVKLHGMNFEVLKLEVLNLSHTRVDDEKLYVISKSCRGLLQLSLESCLYVTQKGVKHVVENCTQLRVINLRYCYKVHADFVASIVFSRSSLRKIFVPFSYHFKDRERELFSRHHGCLVC >RHN57143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36819475:36821547:-1 gene:gene32644 transcript:rna32644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MEGPVQIDVPESRSNIEQENKPRLSWDVIIEKSLSNFGWMDFLQAVLVAIAMFFDAQQSFGHHLLVSQSFISIYTDNYPKWHCTNTNTNSSCSSSSDICKLPRSSWSWDTHSSNTIISYWNLECASTFVIGLPQSSFFTGCLLGSSFLAALADSSFGRKKVLIFSCVSMSITSMLIILSTNVWIYSALKFLIGFWRSSIGTCVLVLLTEKVSAKWRFRVGIVEYFTFTMGYMSLPGFAYINRNSSWKSLYLWSSIPAIFYSFIAYFFVTESPRWLVMQGREKEILKMLNKVSSEESVDDDNINLASSLPKPPAKEKISIFKHYSSIGKLFHKKWALIRMIAVMILSIGIGMVYYGMPLAVGNLGFNIYLAVVFSASMEIPSCVAIYFLENYRRKLSILVFSILSGICCVMCVVVENNVPAIKVVLAMIAFFGASTAYNVFLIYIIELFPTCVRNTTTSLVRQATVFGCIFCPFLISAGRKNNIFSYGMFGVVIMLSNLIFFYLPETKGIVLCDTMDQQEKKELAIYDAMNQQE >RHN60388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26092220:26092793:1 gene:gene22695 transcript:rna22695 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTKTSHALTHSRTTPRGKRRGVGETAGSAAAECAAVCCCCPCTVVHVVVLAVYSVPIALFRKAVHRRRRRLMKNNNVNVKKNNVNNDVVLLQSQRSSSFCGNDMKIDSLEEHFVKERAAEEKSEEVALEKEMWARFAGTGFWRSDSQRQP >RHN69395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43114481:43115826:-1 gene:gene17860 transcript:rna17860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAT/LH2 domain-containing protein MATPTSLLALFFLLSFCFAGIVTSEDEDCVYTVYVRTGSIFKGGTDSIIGLKLYDSYGYGIYIKNLELWGGLMGPDYNYYERGNLDIFSGKGPCLEGPVCAVNLTSDGSGPHHGWYCNYVEVTSTGVHIPCAQQQFEVEQWLATDTSPYELSAVRNYCPNDLSQTRHKLKIVDSIRSGSGSRSDFSILS >RHN56549.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31826876:31836207:-1 gene:gene31965 transcript:rna31965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative clathrin, heavy chain MAGDNTPNSMKESSTNVPLEDAPSCLQYFDHLFSSGKLDAFESLKMSRLAVSRNKQKLLGKWLAEDKLECTEELGDLVKKVDKDLALKIYTKASATPKVIAAFAERREYDKILTYSKQVGYTLDYIFLLQTILQTDAQGALDFALMMLRMEGGCPVDYNKIADLFLQRNMIREATTFLLELLKPDLPEHGHLQTKLLEINLKTFPNVADSILAKGVFSHYDRPRIAKLCEKAGLFIRALQHYTELPDIKRIIVKTDAIEHQALVKFFGTLPRKWALECMEQLLLVNLGGNLKIIVQTSKEYSKQLGVDACIKLFEKFNSDEGLYLFLGAHLRSSKNPDIHFKYIEVAVKTGHITEVERVTRESCYYDAEKTKNFLMKTNLPNARPLINVCDRFGFVPHLIHYLYSQNMLHYVEEYVQRVNPQKIPLVVGQLLDDRCPENFIKGLVLSIRSPFPVEPLVEEFVKRNRLRLLTQILEDLVWEGSRDVHVHNALGRIIIDSNSNPVHFLTTNLYYDSRVIGKYCEQRDPTLAVVAYTRGKCDYELVNVTNKNSLFKLQARYVMERMDGGLWKEVLNPGNVFRRQLIDQVVSTALPKCKSPDQVSAAVKAFMTANLTHEVIDLLDKIVLHNSAFSANFTLKSLLILAAIKADPLRVMGYINRLDNFDGSAVGKAAVEARLYEEAFAVFKKFNLNVKAVNVLLDNLKTIDRAMEFAFCVEEDSVWSQVAKAKLRKGLVSDAIELFIRADDATQFLEVIKAAEVANVYHDLVKYLLMVRQKTKEPKVDSELIYAYAKIGRLGEIEEFILMPNVSNLPNVGDRLYAEALYEAAKIIFAFIPDWAKLAVTLVKLQQFQDAVDAAKKANSLKTWKDVCFSCIDAGESSLAQICGLNVIVQADDLEEVCKYYQNRRRFNELISLMEKGIELECAHESIFTELGVLYARYRPEMLMEHIKLFSTNLNSLKLFQACDEHQLWKELTYLYVQFEEFNNAAITVMNHSREAWDHMQFKHIIVNVGRVELYYKAVHFYLQEHPDIINDLLNVLARHVEHARIVEIAQKAGHIRLIKPYMVEVQSSNVFSINEALHEIYVDEVDYDRLRKSIDLYDNFNQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSICMLKKDKLYKDCMETCYQSGDQKLSEDLLVYFSEQGKKECFASCLSTCYDLIRPDVALELAWKNNMMDFSFPYLLQSIREYTSKVDELIKDKVEAENEKKVKEKERKNIIAQQIPPASRISVQIRSKFRFLFQSIEHFVSNIFHQERSDCSVLHYSIVLHQGCNNILHRGRSDCSVQHIILQREYKVAHRFLHQQMDVRQMNESEPCSSSIAGSMWRKDIVTVGLVDG >RHN79265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26856383:26857753:1 gene:gene3032 transcript:rna3032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MSKNSNDFMETLLVSPPLNLSSYLQQEVYVGFSASTSNYTELNCVKRWEFNGVDIADSNKNNLLLIWIIIPTVIIIGVLLLFLVYRKFQRRMEVQEDTYPRIEDQIQHSSMAPKKYRLKELMNATGGFSHQNKLGEGGFGTVYKGILGKINKEIAVKRVSKNSRQGKKEFIAEVTTIGSLHHKNLVKLIGWCYESKELLLVYEFMPNGSLDKYLFNQSSELESHYSKVLDWKTRNGVIRDVAQALDYLHNGCEKRLLHRDIKTSNIMLDFDYVAKLGDFGLARIVQMRKETHHSTKEIAGTPGYMAPEIFLTGRATVETDIYAFGVLVLEVVCGKRPRNMYAQDDYKYSIVYWVWELYEEGKKVSAVDDRISSEEAETEKVEIVLILGLSCCHPNPHERPTMKTVLMVLNGEASPPMVPIERPAFVWNAMPSSFKQNENGSLISGTLTPFTQLSGR >RHN50808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10696998:10699386:1 gene:gene35143 transcript:rna35143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose mutarotase-like domain-containing protein MASTSLFCSNIFIPKTTKNTPPSQLCLPFPSYIQQNQYKREFHLPKVASVPYQPINYDYLEQEFNGHGVTFEEVGGSCMAKMELKNGSIATMLLPSGLITSYKAPMWHGGKVELLNTAVSEGEFGDAVIQGGVSLNFNLQTHDDNDDEVSWSPSNWVLHNIKGNSEESIQVVLINKGPYDKIGLKYIVTLEEDGLGSELEISNSNSLPLQMTGSILSHLTVSTPEATYAIGLERSNYYSRPPIESEFILSPPDSIEEKGFGKIWKSSVKQFLPSWGTDSQNNEAEDSEDMSGEEMDTYKQLKERISLVYTNAPRSFTLIDRGRRNSVLVGRNGFDETYLFSPGSSGIESYSKYAYICVGQAAVLQPIVLSPQDVWKGGAYLHNPNI >RHN79315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27520798:27525423:1 gene:gene3090 transcript:rna3090 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQQRTKIPKKNRRKKNKSSKNKSSSTVTPGHFDFSALLNDPNIRKLAEQLQETLHEAPQDDLPNSRDPKYASTMLQIRKNLDLKTMVRRLICALMQDPSLSSMVEIYTDPSLEGQRKRRTAHLNKDPCLKLILDEIENGGPALCCWKLEERCQQPSVSPIIIKSQMQIESILEEHSLIEFMLKLNQLDLLFRRYPRGPVPYYWYGYVRAQTPRVRSSLTSTHDLPYQETQRAGREGLLTILTRVMEETPSDRFAQEFILVLLCIIVFATCIVEGLFEFFNISSVRSYRNGILGSIF >RHN67672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29103554:29107086:-1 gene:gene15881 transcript:rna15881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (non-electrogenic) MAWLRNLSKFSNNIKSSSQRPNKTDPFFLLPSFTFLSHFSSQPIEEKAYVKPNDYPSGLAPTKPHEKPRVVVLGSGWAGCRLMKGLDTNIYDIVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPIGRIQPAISREPGSYFFLANCTAIDAHNHTVHCETVTDGEQTIEPWKFTVSYDKLVIALGSHPSTFGIQGVNEHAIFLREVHHAQEIRRKLLLNLMLSDVPGISEDEKQRLLHCVVVGGGPTGVEFSGELSDFIMKDVRQRYTHVKDYIRVTLIEANEILSSFDDRLRLYATKQLTKSGVRLVRGIVKDVKAQKIILNDGTEVPFGLLVWSTGVGPSPIIQSLDLPKAPGGRIGVDEWLRVPSVQDVFSIGDCSGFVESTGRPTLPALAQVAERQGKYLASMLNKIGKEGAGHANSAKEIELGDPFVYKHLGSMATIGRYKALVDLRQSKEGKGLALAGVFSFFIWRSAYITRVVSWRNRFYVFINWITTLLFGRDISRL >RHN50201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5086633:5086914:-1 gene:gene34464 transcript:rna34464 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNYTKGTIQLYKRDALVAFQEEEGHRLVSSIEPGNKVEFVFVFKNRFIVKETTMYIIYDELIGETMEQSHAQTDKSVNVSSDGENECTLLD >RHN45583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23263395:23264384:-1 gene:gene39957 transcript:rna39957 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLENYDMYAKDRSYASGLVSVKVQGNAGPDVDVAVRKDLVNLRTFHMEYDTCNKKGRLPACFSRLFGLEVAPAAAVLQDRRRYMGYLCYANRHLFLVELQSMHGEELRYPHFSPPQHILLQTQSAQDYRNSFVRYGSTTHFLPTVFSHLIVKELSQVDVIAGRLKLGWLGFCQAALPSQRTELTIVDYLGYTWKITMNF >RHN55803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23989219:23996888:1 gene:gene31068 transcript:rna31068 gene_biotype:protein_coding transcript_biotype:protein_coding MWPCSVVTTPNPSSFFLFLPSSSTNNSNYKPSLFHLHTPRRCFRLSPQSTTSPDFNGWAHLDTPARSTTAHKPFSSFAPFAAATASVALLLAAFSLSSRNNNGFNIQFTTPLQRMWSSITTTRGDRGKAVVEEEEFDESTAEEAVVQTETTEKPARVTIPVSADSTQEEALSVLKKLKIVEDDVEANELCTRRQFARWLIKLNSSLERNPKHRIAPIVSLSGSVDNAFDDISVDDPDFQSIQVLAEAGVVPSKLSWKNSSNGCRAEYKEDIIFFPDRFISRQDLMEWRTQLEYGFFFGIIDQVSIKKAGYMDVKEITSQGVYLDMLAGDGSILRKVFGQSKRFQPNKPSTIAQAAVALTSGRMKEAISAEMSRLEAENSARQDETEEIRSELLSRGDIQKFWDAKISEEKSHGSDVERLYLEAVNNLVEEKINQEKINADFLKEQAAMACQKQMLLSLKEEVDEISEKLALERVIYVDEKQTVQKLLRDLEFKHEKILDTKSTLEAEKEALQMLRTWVEDEARRSQARAAVLAEVGRRWKWDDQA >RHN40826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21727740:21728348:-1 gene:gene47043 transcript:rna47043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MSTKSLTIFILANVCLLMTTTSIAQFVIDTSGEPVEDDEEYFIRPAITGNGGRFTLVPINDACLLHVGLENADLSPGLALVFSPFAPHHDDDEVRLNRDLKVIFQASTACGQSTEWKLGERDATTGRRFVIIGRDSGTVGSFGNFFKIVETAVRNIYNIQWCPTEVCPNCKFECGTVGIVRENGKILLALDGTPLPIVFQKE >RHN82752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56126004:56126873:-1 gene:gene6936 transcript:rna6936 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKGKDGFWRVLEPVRVIHNNKLVLLFIALFTTLPLSFLLFTLSITTHTLRSHIYHLEALALFTSTLMEARHVWHESRDNAVYLLRIRALFLLICLPLSLAAAVSSVHTTYNFIQGKSVTVNSVVTAVKENWKRPFVTAIFVYVILFVFSSVPRVISSAFTSPELRFIIMAIGFGFEVYLMGVMGLGMVVSVVEERTGLEAITVGSDLMRGKRLIFGWLLSGLFVLVSGFMNGRVEVLLEGTNSEISVWDETILICSYGFIVVFSYVVTTVFYCYSREQQPDCISLLD >RHN64220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57373613:57375463:1 gene:gene27010 transcript:rna27010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casparian strip membrane protein MEGRGKAIIDGVEGNYGRDELAMKKPPAGGGSCELVLRFLGFVLTLAAAIVVGTDKQTTIVPIKVVDSLPPLNVAVSAKWHYLSAFVYFLVANAIACTYGAISMLLTLLNRGKSKVFWGTLITIFDALMVALLFSGNGAATAIGVLGYQGNSHVRWKKVCNVFDKYCHQVAASIILSQLGSLVFLLLVVLLPILRSRRRS >RHN59587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12302248:12304323:-1 gene:gene21636 transcript:rna21636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADPH:quinone reductase MSTKLMKAVQYNSYGGGASGLKHVEVPVPTPKTNGVLIKLEAISINPFDCKIQKGVLRVMRFPRKFPHIPCTDVAGEVVDVGPQVKDFKAGDKVIAVLTHQYGGGLAEFAVASENLTAARPFEVSAAEAAGLPIAGLTAHGALSKIGGIKLDGTGKQKNILVTAASGGVGMYAVQLAKLGNNHVTATCGARNIDLVKSLGADEVLDYKTPEGTSLKSPSGKKYDAVIHCTIGIPWSTFDPNLCEKGVVVDLTPGPSSMLFSALQKLTFSKKRLVPFFSTIKREGLEHLVQLVKDGKLKTIIDSKFPLSKAEDAWAKSIDGHATGKIIVEP >RHN78628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16903029:16903868:1 gene:gene2255 transcript:rna2255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deacetoxyvindoline 4-hydroxylase MADSERVKELKAFDDTKLGVKGLVDAGITKIPHIFYHLPDKIKKASESRESRDASNYTIAVIDLANIDEDPCACKRVVESVRDASETMGFFQIVNHSIQVSILKEMKDGVVRFFEQDSEVKKEFYTREQKPFMYNSNFALYTSQASTNWKDSFLYNMSPNPPKPEDLPVVCR >RHN74663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35573243:35574770:-1 gene:gene10769 transcript:rna10769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MADEVVLLGFWISPYGMRARIALAEKGVKYEFIDKDPRENWPYLLQMNPVHKKIPVLIHNGKPICESLVIVEYIDEVWKDRSPLLPSDPYQRAQARFWADYVDKKIYEAGKKVYRTKKGEEQEAAKKEFIDMLKLLEKQLGDKAYFGGDRLGLVDIALIPFYSWFETYEKFGNLNIEIECPKFIAWANRCMQIESVSKSLLPNQHKVYEFIADALKKLGIK >RHN65746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4903732:4905410:-1 gene:gene13628 transcript:rna13628 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDMLIVKLMLIVHPVLELKGLSALKENVDGPECRTHSMSLILKIVQLHHALKNEIFHWKKQICNIHFAKLFYSYQSLYFIYFFNFTDLAVRGVHGLGKPKKPVKPTQKSGLGWVIERIWF >RHN41916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34076705:34077593:1 gene:gene48291 transcript:rna48291 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSKMKNKFFKFLPKRPVASVSSYQNPTLSPNASVTTARKVSIIPKEARRKHRSISFSAREPSSPKVSCMGQVKSKKKKRKAKRIHQSSTKNNDSVTSHENKKILLQSEKALVVEENQEFAPSMLIVPSLGTMKKFESGRGSLSDFDATLAER >RHN41645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31723945:31728857:-1 gene:gene47987 transcript:rna47987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAMQSPSSSSSISYGFTYQVFLNFRGGDTRDGFIGHLYKTLTDKGIHTFIDDRELQRGDEIKSSLDNVIEESRIFIPVFSINYASSSFCLDELVHIIHCYKTKGRLILPVFYGVDPTHIRHQSGSYGEHLTKYEESFQNSKKNMERLHQWKLALTQASNLSGYHSSHGYEYKFIGEIVKYISNKISRVPLHVAKYPVGLQSQVQQVKSLLDNGSDDGIHMVGIYGIGGLGKSTLARAIYNFFADQFEDLCFLHDVRENSAKNNLKHLQEKLLLKTTGLEIKLDHVSEGIPIIKERLSRKKILLILDDVDNLKQLHALAGGHDWFGRGSKVIITTRDKHLLTCHGIKSMHEVEGLYGTKALELLRWMAFKNNKVPSIYEDVLNRAVSYASGLPLVLEIVGSNLFGKRIEEWKGTLDGYEKIPNKRIHQILKVSYDALEEEQQSVFLDIACCFKGCEWEDAKYILHSHYGHCITHHLGVLAEKSLIDQYWEYGHYVMLHDLIGDMGKEVVRQESIKEPGERSRLCCQDDLVRVLRENTGTSKIEMIYTNLHSIESVIDKKGKAFKKMTKLKTVIFENGHFSGGLKHLPRSLSVLKWKGCLSKCLSSSILNKFQDMKVLILDRCEYLTHIPDVSGLSNLEKLSFENCDNLTTIHNSIGHLNKLERLSAYACKKLKHFPPLGLASLKELNLSGCVSLDSFPELLCKMKNIDNILLHHTFIAELPFSFQNLSELHELSVKAGMLSFPKHNDKMYSIVFPNVTHLTLDDCDFSDECLPILLKWCVNVTYLDLSFNYFKILPECLSECLHLYKINMSGCHSLKEIRGIPPNLKELSVEYCESLSSSSKRMLMSQKLHETGCTYIQFENGTEQGIPDWFEHQSRGPTISFWFRKEIPSITCIFMLPEGNNWVLESGVNFCVNGYEIEIDCCRYVIWNHTTLFHTSKLNELIKTQCEKGLLKNEWIHVEFKLDDWTLEQFSEEENNKILSGVQMGIHVWNEKSNTDEENVVFTDPYLNYSNNTSLSQFVPPLKKQRLVEVGVSETEEDINASLQQQDLTKEEQRKTWGTYLSLGPL >RHN41072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26720035:26720400:-1 gene:gene47353 transcript:rna47353 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKFEVVVHHQGYFKVLKHDAYEGDEITWLSDPDYWSYFEIMGNLEVLGYKDVDSILYYDPYMAEEMVLLKEDDACSRRVQAIAHYEGKAHIYVIHPVLELEIIELLKYNVGPTVVEVEA >RHN82647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55436068:55436611:-1 gene:gene6824 transcript:rna6824 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSSGRKKITVRFLKQINSKTVRRCGNNVDLVREGDAGFGLERIGDEGNW >RHN46907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35696262:35696997:-1 gene:gene41442 transcript:rna41442 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVTATQAGVNALFEDAIRKLGYCWTLLLTVLSLIMLRVSMQ >RHN60638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29035108:29037272:-1 gene:gene22984 transcript:rna22984 gene_biotype:protein_coding transcript_biotype:protein_coding MFENIPLQINEVYEGCLLNSLYSIMKFWKSCVTCRLVINSDIIFSNKVILGNGVLQV >RHN59735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12722505:12722627:-1 gene:gene21840 transcript:rna21840 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbJ MADTTGRIPLWIIGTVTGIVVIGLVGIFFYGSYSGLGSSL >RHN55099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15160503:15161897:-1 gene:gene30227 transcript:rna30227 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGEVYLHCRMIDEALFNMYTKADYKIVTTNSILVLLMLQRRKHLMCKKLPLTTMPSETDLSCSDDYE >RHN76260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48952472:48954316:1 gene:gene12555 transcript:rna12555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative N6-adenosine-methyltransferase MT-A70-like protein MEMETDEGINSLKARIETQHKSHMYMLSSVQSVIPNFVSSLDLSLKVLSSFNHRPFAPTPPLTNFNPPKSSSLQQLPQKPSVKTLKTSLVVTTNPVLEKVTPLSVVLSMVAVCLLSRLPFMEIDSSTLWRKLENDETFTPQDKAAFQELAGDSGGPTLAVEIALRSMADDNGAVELEEFAVSGKSRIMVLNIDRTRLLRQLPETAQHQLQQQQDELSLGDGNMNQNQQQIAKCSMNLEDVDALINKKSFREMQKYETAKELLKIIQTPSIREAAVAAKFKTKGGSQMRPYCDLPTKEDCRRRTGSFIACNKLHFRRIIALHTDINLGDCPFLRTCRHMNTCKYVHYEEDPTPDLPPTMMCAPPPPLKPLKQQRAEYCSEAELGQPQWINCDIRNFRMDILGKFGVIMADPPWDIHMELPYGTMADDEMRTLNVPALQTHGLIFLWVTGRAMELGRECLERWGYKCVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGSPEVNRNIDTNVIVSEVRETSRKPDEMYAMMERISPGTRKVELFARMHNTHAGWMSLGNQLSGVRLVDEGLRARFKAAYPDVEVQPASPSRASAMELDSSVAAQTTTSAMM >RHN44527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5738025:5744522:1 gene:gene38656 transcript:rna38656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MARSAKGHQDEELVDDDDDQDIFTPNTSSSINNNNNVKVDEPIRGKRANPHRSKHSETEQRRRSKINERFQALRDLIPENDSKRDKASFLLEVIEYIHFLQEKLQIYEHPYEGWNQEPTKLIPWRNHHGPSENTTDPSRAIQNGSVDGKNIVSPSFPKNVQNPILSDPSTTIPKGCTPGSSTEVVPLTMQMRLDMFDPVVSGGMVTQQMLELPVSNPDMASNLQPQVWLGKPNKDNHIVSDNTLKEQEEMKIDSGSESDSISSAYSQRILGTLTQALQSSGVDLSQTNVSVDIDVGRRTNTGFTPCQYSSKSNENQFVSNQAIACSGMDYFSEDSEQSSKRFRREAS >RHN66692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16594653:16595789:-1 gene:gene14742 transcript:rna14742 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSFLKILEFKDWFSRYDFTVKHIKVNQNLIPDLLSRPMKPVQIITNTHTFPLILMVKPLPAHASIIKNFPPGINFSCSLSQLKEYARNNLFYFMAKIIKKIKNIPEQSPFLPDTPFYLPFMLNPNAKFTENHLWYIWCAAILYIMPIFVPTEAMCQHLMNPKNHKSLIWTALEWYSPLEWWRIQLGPVLSEVRKRGMAPEAIGKLKTVLTVHRPYQIDPRTKFLICKNYADIWETIDDYPPSLKVTKDLMDYINFTNLHHREKTQIDTTCISHGNLPPQFKPVNPQEIGESSRQASQPEQDFQENIEQQFTQMNFEENPTDIEPLENLDEETIKQLIADSWQYESCQSRSYFSEDCYYSSSDPNMSPSHESIFKD >RHN74572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34813256:34813738:1 gene:gene10661 transcript:rna10661 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKSCLEKACNRYSWTNVICQYSMFNTLEFIICYKLRSPILTTRASGTGGTSIHFSW >RHN49079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52285347:52291694:-1 gene:gene43868 transcript:rna43868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MKSHGVIIGVVVDIHKNGKDPEVQTQQRNLRIMCQNAQDQKLCHETLSSVHGADAADPKAYIAAAVKAATDNVMNAFNMSERLTTEYGKENGAKMALDDCKDLMQFALDSLDLSNNCLSDNNIQAVHDQTADMRNWLSAVISYRQACMEGFDDANDGEKKIKEQFHVQSLDSVQKITAVALDIVTGLSDILQQFNLKFDIKPLSRRLLNSEVTVDDQGYPSWISASDRKLLAKMQRKNWRANIRPNAVVANDGSGQFKTIQAALASYPKGNKGRYFIYVKAGVYDEYITVPKDAVNILMYGDGPARTIVTGRKNFAAGTKTMQTATFANTAIGFIGKAMTFENTAGPDGHQAVAFRNVGDMSALVGCHIVGYQDSLYVQSNRQYYRNCLVSGTVDFIFGSSATLIQHSTIIVRKPGKGQFNTITADGSDTMNLNTGIVIQDCNIIPEAALFPERFTIRSYLGRPWKYLAKTVVMESTIGDFIHPDGWTIWQGEQNHNTCYYAEYANTGPGANVARRVKWKGYHGVISRAEANKFTAGIWLQAGPKSAAEWLNGVIIGVVVDIRKNGEDPKVQTQQRNLRIMCQNAQDQKLCHDTLSSVRGADAADPKAYIAAAVKAATDNVIKAFNMSERLTTEYGKKNGAKMALDDCKDLMQFALDSLDLSNNCVRDNNIEAVHDQTADMRNWLSAVISYKQGCMEGFDDANDGEKKIKEQFHVQSLYSVQKVTAVALDIVTGLSDILQQFNLNFDIKPPSRRLLNSEVTVDDQGYPSWISSSGRKLLAKMQRKGWRANIRPNAVVANDGSGQFKTIQAALASYPKGNKDRYVIYVKAGVYDEYITVPKEAVNILMYGDGPAKTIVTGRKNQMAGTNTQNTATFSNTAMGFIGKAMTFENTAGPAGMQAVAFRNIGDMSALVGCHIVGYQDTLYVQTNRQFYRNCVISGTIDFIFGTSATLIQSSTIIVRKGNYDHNEYNVIVADGSPLVNMNTGIVIQDCNIIPEAALVPEKFTVRSYLGRPWQNESKAVIMESTIGDFIHQDGWTTWPEEQNKPEKHHENTCYFAEYANTGPGANVARRVKWKGYKGVISRSEATKYTASIWLDAGPKTAPKSAVEWLHDLHVPHYLGFKA >RHN77422.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6756633:6760691:-1 gene:gene873 transcript:rna873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Coiled-coil domain containing protein MALRKLFTKRLFDGVKSLTPSSTTLEQTIVPPNASKANFHREYLSSPETKNRNYFRRFLPRRAVHHSGTVSLPEFLSLPVGEKLREKLKGINTGDRFLDLNFAPAPEKATSPAKFTVEDARKILKASQMEKLKRKLREVSENSVSYGEFLRICVESCENHEQGVEFAKILDESGNVIVLGNVVFLRPEQVAKSIESIMYQSIASPNDPRRKELENMEKQKAMIDGKAKAQVQTELYCGLGFLTIQTLGFMRLTFWELSWDVMEPVCFFVTSLHFAFAYLFFIRTSTEPTFQGYFHRRFMSKQERLMKTYNFDANRYNELCKACYPSNHVGVGANAKAETFSPPIRHVEETLLRA >RHN50011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3143858:3146804:-1 gene:gene34258 transcript:rna34258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane transport protein MISLIDVYHVVTATVPLYVTMLLAYICVKWWKLFTPDQCAGINKFVANFSVPLLSFQVISSNNIYKMSLKLIYADCVQKFLAFLVLIVIIKISDIRGGLKWIITGLSLSTLPNTLILGIPLMKAMYKDESDFLLPQIIFLQSMIWYNLLLFLHELDAAIPARTMPAAQPSHETDSESSVEVQSKEEDEGRETRPERKTRILIILMKVGKKLIMNPNTYATFIGLIWASIHFRWGVDMPDVVNQSITILSSGGLGMATFSLGLFMASNSSIIACGPKMTMVSMGLKFLFGPALMAVASIVIGLRDTMLKVAIVQAALPQGIVPFVFAREYNVHPAVLSTGVLLGMLIALPVALIYYLLLSI >RHN80396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37697899:37699589:-1 gene:gene4321 transcript:rna4321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MASSVKLFILLPFLSLFISTSLAQTSFRPKALVLPITRDTSASTPQYTTQIKQRTPLVPINLTIDLGGGYFWVNCDKSYVSSTLKPILCSSSQCSLFGSHGCSDKKICGRSPYNIVTGVSTSGDIQSDIVSVQSTNGNYSGRFVSVPNFLFICGSNVVQNGLAKGVKGMAGLGRTKVSLPSQFSSAFSFKNKFAICLGTQNGVLFFGDGPYLFNFDESKNLIYTPLITNPVSTSPSSFLGEKSVEYFIGVKSIRVSSKNVKLNTTLLSIDQNGFGGTKISTVNPYTIMETSIYKAVADAFVKALNVSTVEPVAPFGTCFASQSISSSRMGPDVPSIDLVLQNENVVWNIIGANAMVRINDKDVICLGFVDAGSDFAKTSQVGFVVGGSKPMTSITIGAHQLENNLLQFDLATSRLGFRSLFLEHDNCGNFNFTSSV >RHN74914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37736459:37741868:1 gene:gene11048 transcript:rna11048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA polymerase subunit Cdc27 MSNTETLSLIHEIESLVSDQLQVVSYKWLSRSYQISSNEAKRLLREFVEKHEDGLEVVYALSGWLKSSIPSYHVRLVTGTMLAEAQEEFDGKCSVQVYSVQASIPKDAAVLWNAEFIQAEELFKQLSKVDNCLGDNRFCGISNSFVQRVKRNVDGQPAVSATPEVKSVVGLGPTKSNVVHQPPKNIAHNSIAKVDLKTQNVVKDVKSESNGTGNAGVHDNINKPIAEKEKSLPLPAGKKKGQADKSGSVNGGSLASFWGRPSAKPKPCAVPEENSNMISKPAEVVENGQTCAHEAVLGDSDDDDNQSVALRRSSNRKRKVVFDFSDEDEDVISLASPEVPSKLSSKDSKQNDKKSLEKVALNFDLPVEIKPLVKEERVTEKKVFQPPKDLSVISKCTSTGKSSTEKLQSSAPEICVNKDSAKKVSPGSPKRRKVMKTRIDERGREVTEVVWEGEETEPKKDDKDTTKKADTVAKKADNISTKAVNGAPATKKPPATSNTTGRGGSKKAGNIKDPKQGNILSFFKKKV >RHN72145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5357341:5360829:1 gene:gene7825 transcript:rna7825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MMRQVGSIEKMKEPYILAKRYNWKGFLEFFLKHKDLLDKQIDLHQSTPFHYAAHCGSPEMYNKMLLKVDPSNMQHVLRMQDDMGNTPLHEVAFTGEVEMTKSILKKEEETMSEQFPRPLLQLRNKLGETPVYRAAALGKTSLVKCFVEELGVDLRDHFHRTGDKMSILHTAVIDQFFGTALWLLKRYNELADLKEQNDLTTLQLLTKMPSAFKSQTQMGAFKNFIYPLLPDYQDYAYYLHDKDDTRKRQDLEIGEKGMKEQYQTQWKQPPQIHHTKLSGFSWMWYTMWKALSKEWKGIEKLWRKKEMHNLAQELVHLLAKNDNSWQHSSVHWDKTVSMGMPHHIIEEKQKEKQEKQDDTGIKAIIYTPLLMAACSGIIEIVEVIIHFHPQSIEHVSKDEQNILYMVVKHRQLEIFQMLKKLKMVGRLAGKIDKESNTVLHSTADFKGGSQPGYALQLQEELHWFERIEKRLPYHYVIHKNNNNQTARELFEEKHEQLLKDAREWIKETAQSCSAVAVLVATVVFAAAYTVPGGTDDYGLPRLLHHPIFVVFTVMDVVALASSLASVVMFLSILTSPCELWDFRRSLPRKLMAGFAFLFFSMATTVLVFSATILVNIKLDKNKWTSSLTYTAAFFPVSIFAMMQFPLYVAMKGCVVSLLRRLKKIVPRFFLNLIKRSQRDRLWDI >RHN48187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45661866:45662270:-1 gene:gene42875 transcript:rna42875 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSKMKQRQREVMLEFRTPQHPSLGTNNWGGASPLLARNIPEESLEQKYTNLNTTRTRDEIFPAKSNDLYYLKQGRSANRSYNRGIARVRDLVIFKLMFSRKEKRTTTLGSNSKKIWLPRLDFKNRWPQGWC >RHN82681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55649588:55650501:-1 gene:gene6860 transcript:rna6860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MVMTHENVYNPSIAIFHFIYLIFLNVLNLILTPIVLPFALQYLVRTEHYLADIPAFGMLSDLELNSVTREILLGLLLKSPSLVTLVFQMLDFDEEPQNFSVVPGCFLSTLKVVNFEKFAGKERELCFAKFVMENAGVLERISFSCSCELHGLEMEKVKRKISLLREVLAR >RHN69115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41145458:41154713:1 gene:gene17544 transcript:rna17544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor P/YeiP MQAAMKQKLKLLYNSNFKSLFTLSSFKFHSYSSPLNSLPHSSTATNSFTTPWSIIQRRGNKIVGSDIRVGKKIGKQGRIYEVLKVDHSHEGRGKATLKVELLDIIQGTKVSQRIATDDEFEKAYIQEKNFMYMCTDQDGTVVLMDTDTLDQIEVSKELFGKNCLYIQDEMKVKVQFYDDKPISATVPKRVICTVKEVIAATPRNKKVTLDNGPTIEVPPHIVAGDAILVNTEDDCYIERAKA >RHN71205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57210229:57216589:1 gene:gene19858 transcript:rna19858 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative N-acetylglucosaminyldiphosphodolichol N-acetylglucosaminyltransferase MGDEDGSDKSRRVVFLTVGTTCFDALVRAVDSENVKKELLAKGYTHLLVQMGRGSYVPTKSEGEGSLAVDYFTFSSSIADHLRSASLVISHAGSGSIFETLRLRKPLIVVVNEDLMDNHQSELAEELANTKHLYCASPQTLHQTVADMDLNSLLPYTPGDATPVAKHINRFLGFPDD >RHN63136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48754169:48759599:-1 gene:gene25800 transcript:rna25800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MGSKNALPGNRARRPLSIFAVLALCCLFYLLGTWQSSGSGKGDSLALKVNKMQATTDCNIVPNLNFEPQHKYVEIVEQSEPKAKMFKACDVKYADYTPCQEQDRAMTFPRENMIYRERHCPPQEEKLRCLIPAPEGYTTPFPWPKSRDYAYYANVPYKHLTVEKANQNWVKFEGNVFKFPGGGTMFPQGADAYIDELASVIPIKDGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPKDNHEAQVQFALERGVPAIIGVLGSIRLPFPSRAFDMSQCSRCLIPWAENEGMYLMEVDRVLRPGGFWILSGPPINWKTYYQTWKRTKEDLKAEQKRIEDLAESLCWEKKYEKGDIAIWRKKINAKSCQRKSLNLCDLDNADDVWYKKMEVCKTPIPEVTSQSEVAGGELKKFPARLFAVPPRIAKDAIPGVTVESYQEDNKLWKKRVNNYKRINRLIGTTRYRNIMDMNAGLGGFAAALESQKSWVMNAVPTIADNTLGVIYERGLIGIYHDWCEGFSTYPRTYDLIHANGLFSLYQDKCNLEDILLEMDRILRPEGSVIIRDEVDVINKVQKIVRGMRWESKMVDHEDGPFAPEKILVIVKEYWVGSSKNNTSN >RHN47417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39593093:39593863:1 gene:gene42014 transcript:rna42014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MKLTATPFHDRQLLAARSPPHVNFPHPEMIKLDNLPLGIKSGVFEASSEEFDFMVFQLTYEDINNLKEKAKGNNTSRVISFNVVAAHIWRCKALSKSYDPNRSSIILYAVDIRSRLNPPLPRAYTGNAVLTAYASAKCEELKEGEFSRLVEMVEEGSRRMSDEYARSIIDWGELYNGFPNGDVLVSSWWRLGFEEVEYPWGKPKYCCPVVYHRKDIILLFPSFNGGEGGGDGVNIIVALPRDEMEKFKSLFYTFLI >RHN70366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50910468:50912170:1 gene:gene18936 transcript:rna18936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family METLGAIPDDGEWECFRRIFDTDNHDDSPPQLLDQTSLLLGENDGNFGVQSMFCSPSEAEGNINTNVFYSFDSHINYNSQESNHSTQSHTNYYFDCSDHVAANNSDKTENFDHSQVQPIVSSTKQLKLKRMLGVPEFDVQVEDKTNSCGNPTKKHRASKDVQRYVNNAESKKSKKVNRNENKAEEANTSIDGHNSSGYTSEEQNMCEENSGGASAASKSTVSLNSNGKKRASRGSATDPQSLYARKRREKINERLRTLQNLVPNGTKVDISTMLEDAIQYVKFLQLQIKLLSSDDLWMYAPIAYNGLDIGFNLNRKISPPP >RHN81750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48658524:48675009:1 gene:gene5835 transcript:rna5835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MHAPSTEHMLALKRILRYVQGTVHFGLHLSPSPITKLLSYTDTDRGGCPDTRRSTSGYCVFLGDNLIAWSSKRQPTLSRSSAEAEYRGVANVVSESCWLRNLLLELHFPIPQATLVYCDNVSAIYLSGNPVQHQRTKHIEMDIHFVREKVARGQARVLHVPSRHQIADIFTKGLPRILFDDFRTSLSVREPPASTVGV >RHN76783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1263697:1267375:1 gene:gene159 transcript:rna159 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB family MTENTRDAVGSRRTRSQAAPEWTLTESLILLNEIAAVEADCSVNFSSYQQWDIISQNCAALDVDRNLAQCRRKWRSLLAEYEEVRRRRRAWNLDRELFDAVERVVKGREERGEVDRESDGELGNEERDVTVEIDMIGTGFKRKRPRSKVERNSVQKPKKYWPVEPSENLKEKQVPEEIHEEVPENNHMEEEFLKDFLEKKPKLKSPAKRRQKHIETPLQNLPEVDKHHDIEKPKPASVGNITNNSREENEEMLTLKLQELAIEIQEISAESADCKEANTENIEDYRTEFTRRQGDKLIARLGNFSNTLKQLCDLLQECK >RHN59124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7726026:7729570:1 gene:gene21114 transcript:rna21114 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIVIMEAINFTLSTKAHFLRECHNFQPLTISTCCNKLLMVATYKLHSLKLQEEFHWFGVILNDQQREQISSI >RHN45075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11424713:11426328:-1 gene:gene39274 transcript:rna39274 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLCFCHDFHGTDFIIWQMKEFGAQESWTQLLKFVFVISNSQKMFLYPLCLSENGGTLILACDEYE >RHN72041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4587023:4589328:-1 gene:gene7709 transcript:rna7709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative taxadien-5-alpha-ol O-acetyltransferase MLKIKEQTLIFPSHTPFLEDHTLPLSHLDIDRNLNTTIRYLRAYTATTTHHHDPFTVISSSLSKTLPHYYPLAATLRYHNHRLELFCSKSQDSVPLIHATVDITLESVNYLDDDPSSHFVEQLVPDPKPEEGLNHPCMLQLTVYKCGGFTLGAAIHHSLCDGMGGTLFFNTMAELARGGEHIMVEPVWDREKLLGPRDVPRVDSALVREFLSLDKEFLVYEEGDGGVVRECFHVKDECLEEFKRSLFDQCGFKFTTFEALGACIWRSKVKASKVEDNEKVKFAYSINIRRLVKPSLPAGYWGNGCVPMYVQLSAKDLIEQPIWETAELIRKSKTNVTDEYVRSFIDYQHLHYADGITAGKWVSGFTDWRHLGHSTVDFGWGGPVTVLPLGRNLLGSVEPCYFLPYSIASADKKNGFKVLVNLSEVALPAFTEDMQMFAGSRELLPESRI >RHN80601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39336927:39341456:1 gene:gene4543 transcript:rna4543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKTLILLLLLFNLTLYASATDSDEYDHCNCDDEEALFSIHTILVGQKVSDFFIAIAYFSIPIELLYFVSRSNVPFKLLFLQFIAFIVLCGMTHLLNAYSYHSHPSFQLLLSLTVAKFLTALVSCATALTLPPLIPLLLRIKVREIFLRQNVMELGQEVGIMKKQKEASWHVRMLTREIRKSLDKHTILYTTLVELSKALDLHNCAVWMPNDDRREMYLTHELKSDSVKSFHHNSIPVIDPDVLEIRKTKGVRILRPESKLGAASSGGGSTEELGAVAAIRMPMLHVSNFKGGTPELVDTCYAILVLVLPGSNSRVWTAPEMEIVEVVADQVAVALSHASVLEESHLMREKLEEQNRALQQSQKNAMMASQARKSFQTVMSHGLRRPMHSVVGMLSLFQEGNMRPEQKIIGDTMLKVGNVLSSLVNDVMDISENKKGGLQLEMKPFLLHSMLREAACIAKCLCVYQGFGFQIDVEKSLPEKVLGDEARTFQVIMHIVGYLLNTRDRGNLVFRVFVTSESGDKDDKKFGVWRSSSPVEYVDIKFDFQITGSSQSDEPISTKQQIGRRLNHNSESKEGLSFNMCRRLVQIMQGNIWILPNSQGLVQGVSLLLKFQIGPSLERYMFAPKDYSNSQFGGLKILLADDDGLNRIVTKKLLERLGCLVTAVSSGFECLGAISGSGSNSFKIIMLDIHMPEMDGFEVAARIRKFNGPNWPLIIALIANAEEQVKDRCMLAGMNGVIRKPILLHQIADELRSVLLRAGEKL >RHN74724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36137021:36140168:-1 gene:gene10832 transcript:rna10832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MITEIISEVDKEIDKIIFPELCSLELESLPRLVSLCAPLTQCIHVPLIDQKVVMPHLELLKLSKINCEKLWDDKLLSHSRMQNLKSLKIDKCGSMRYAFSSSVARELVNLKSLKISNFQLLEDIFVCNSNDEDLLPMLETFEISHMEHLKSVWHNQLAPNSFCKLKQLKIQFCNKLSNIVPSNVLDKLQKLETMTVTDCPNLEVVFETQGLKADGGRQIRLDMQLKTLTLKNLPMLKHIWSGNPNESFKFQNIFQLKVIECKTLNHVLPLSMAKELQHLQEIYIEECGIEFIAAHDELADTYPILIFPELTSLSFRDLSQLRSFSHGLHTLDCPVLRHVDVLHCDKLVLFKPKSLNYQEIVPVDTVPLLSIEKFVPNTRELILNRKDVTMLCNGQLNDELIYRVTALRLRCFHDEADKFPSGFLQKFINLIKLKVTCSSFTYIFSSGSKCAGHSETTMKLRNLVLVQLDNLEFICEEKSEVQSVIQNIETLSVTRCSRLKNIIPSSALFENLEQLEVFNCGGLEYIMKSSTITNLPKLRKLCIDFCEKIEVIVASDDENDASELSFMKLGYLRLNNLPRLRSFCKGRHDFKFPLLRTLFVINCPMMETFSNGMLNAPKLIEVRVTPQDDRWNGDLNTTIKKIAVKRNSTDD >RHN76968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2817343:2822199:1 gene:gene367 transcript:rna367 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosome biogenesis protein BRX1 MGKRKRSEKAEAVAVPKKEDVAPERPVRTLLGWKDKNENEAEVKDNGASPVFRNKEKVLVTCSRRIVYRYRHLMLNLVSLLPHCKKDNKVESKETKGATLNELVELKNCSSCLFFECRKAKDLYLWMAKCPNGPSVKFLVSAMHTMEELKLTGNHLKGSRPLLTFSSNFEKDAYWKLLKEMLLQIFETPKDHRKAKPFHDHVFVFSIVDDHIWFRNYQISVPHNESDKLPRGSLDKMTLIEVGPRFCLNPIKIFGGSFGGPTLYENPLYVSPNQIRALQKKKKAGTFAKKIKAKTRRKMHEMANPLEPDEFADMWKD >RHN45034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11001929:11003994:1 gene:gene39229 transcript:rna39229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LysM family MELQYLNQSVRTTSDKKVSFEGSQDTLDSKIIDATPRKMLLETYTIDDLRKATEDFSSNCHIEGSVFHGCLNGKNMAIKRIKTEMVSKLDFGLFHDAIHHHPNIISLFGTSISEGSDSESFLVFEYAKNGSLKDWLHGGLAIKNQFISSCYCFLNWNQRLKICLDVASALDYMHHVMIPSYVHRNVKSRNIFLDEEFGAKLGNFGMASFVENETEDPQFYSTNYASWSIGYLAPEYVHQGIVSTSIDIFAFGVVLLEVLSGQTPISGSSCGKGEGSVWLSDKIKTILMSENNVNELLSEWIDSALGENFSFDAALEVANIARACVEEDCCLRPSAREIVEKLSRLVEELPNEEQQVLVSESSSKPLVGALESSM >RHN79523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29848242:29848510:-1 gene:gene3324 transcript:rna3324 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGNVGLHSRTFKFITKKFRKILTVLFFLLSKILFAVFLQQWRPVGIFHLSNFGRHRRRRLLLRNKLSFLSFLLLSRK >RHN81306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44706261:44706900:1 gene:gene5324 transcript:rna5324 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRYLAFFRRRSPVTLIDFTVNNSTVNVLFLNFRFYYSRRRHRVGHIRDLIGGRSDYVVVVVEIVDGGRDSKCW >RHN48936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51260611:51261230:1 gene:gene43709 transcript:rna43709 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rapid ALkalinization Factor MSNVSFLLLPLYLFMVSTSIFPATSSATGEHHLRWVAPMTTPTCQGSIEECIEEGEFGMNSESHRRILATTHYISYRALQRNTVPCSHKGASYYNCQTGAEANPYSRGCATITRCRNS >RHN40426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16134612:16135480:1 gene:gene46574 transcript:rna46574 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSGNPRSSFFQSRRQKFPLNASTATHHRSKVSTFSQRVTPPPTTGSNNNASTSDFNDDDSSFGVLLGTCSYMCPGKLIPDYFVLLCVGFWRAGNFCFEIGSWCLTAFLSGGLWCFSGGLGVKGARLVWS >RHN52563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36676290:36677217:-1 gene:gene37255 transcript:rna37255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKTSFLAFSILCLAFICKTIAAPEPVLDISGKQLTTGVKYYILPVIRGKGGGLTVANHGENNQTCPLYVVQEKLEVKNGEAVTFTPYNAKQGVILTSTDLNIKSFVTKTKCPQTQVWKLLKELTGVWFLATGGVEGNPSMATVGNWFKIEKADKDYVLSFCPAEACKCQTLCRELGLFVDDKGNKHLALSDQIPSFRVVFKRA >RHN38991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3345514:3348708:1 gene:gene44975 transcript:rna44975 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSLYFLNKCIIRMFNSFNRSRVLHLSFKYRYEIYVILFVSLNVFLKILLRLY >RHN64843.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62417955:62418630:-1 gene:gene27709 transcript:rna27709 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLQYRPPLHHPLCSIRSTTQFLLTRVHAYHTIISKLTKAFPLN >RHN60320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25203713:25208664:-1 gene:gene22619 transcript:rna22619 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVFTSSWLLQITMFLLHLLLFMNKSQGSPISGSKYSLSITTKVDVFPSDISPSTQPQPFIPLLAPSPLIPFTNNSVPKLSGLCSLNFSAAQHIMTQTATDCWTSFAPYLANVVCCPQFDAMLVTLIGQSSKYSGVLALNTTHAKHCLSDVHKVLVSQGATEDLNNICSIHSSNLTEGSCPVAFVDEFESIVDTSRLLTACRKIDPVNECCDQVCQNAINYAARKISLNDMSNSNGNHSLPRKTALVNDCKNIVLRWLAGKLNSSAANSVFRGLSNCNLNKVCPLVFPNISRIAKECGNQIRNQTSCCKATKNYVSYLQGQSFVTNLQALKCAVSLGEKLQKANVTKNIYDLCHISLKDFSLQVGSQESGCLLPSLPSDASFDRTSGIGFICDLNDNVVAPWTSTSYALQSSCNRTTKLPSLPTSTSSQNGLFINILVLPPLFTSTLLLTRLL >RHN49071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52245588:52245932:-1 gene:gene43860 transcript:rna43860 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNYQTNKIDDVWVMKEYGSRDSWCKLFTLVKSWFNFHLVSLRPLCYSSDQRKVLLATNHASYLFVNPWKLFWYDFKSEQVTHVQGIPTFNEVMICAESLVSPSLPIDSGKST >RHN69077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40822693:40829877:-1 gene:gene17505 transcript:rna17505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MGRCCRAASRSSPIAADDNRSKRKKVALNADNLETSSAAGMGITTDGKVSLYHCNYCNKDISGKIRIKCAVCQDFDLCIECFSVGVELTPHKSNHPYRVMDNLSFPLICPDWSAEEEMLLLEALDMYGFGNWNDVADNIGTKSKSQCIDHYNTVYVNSPCFPVPDLSHFSGKNKEELLAMAKGNQVKKEFPPNAELTLKEEPLFSDGINSEESKKAETTNQTMSRLTSAHDKALSSSIKKASNVSQNNDGVKVEESQAGRSIGEKKPKLSGEYRPSMKVLSGYNSKRGDFDIEYDNDAEQVLAEMEFLDTDTEAEREMKLQVLRIYSKRLDERKRRKDFILERNLLCPNPFEKYLSPEELQICEQYKVFTRFHSKEEHEELLKTIIKEHRLAKRIQELKEARIAGCVTAAEAYQFIEQKRTKEAEQGDCKESGQIGTGGKTSQRPNVSKVELGSSPRSIHKGTTESFPGIKDAPAAIQDIARTLEEWDISDFDGAELLSESEIKLCNDIRMLPPHYLNITRIMQLEISNGRVTKKSDAYPLFKFSPSKIDRIYDMLVEKGVVQEK >RHN43721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47970460:47971851:-1 gene:gene50339 transcript:rna50339 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFKNDTRKRVRDDDSDADSYESKRVHSGLDDNSSGSCVDSDGSEPVQVEPDSYEVNEIKDDILNILDETENVTTVQGLDSVIKSFEEEILAPGQDLNPTHVPVSDNLNPNLGYLLEASDDELGLPPTVTPNEEKELPQINDSGRVGPDGVDLSGFFWFEEDIRNNEAFGFMGYDAVADDNGGGYVTIDGLFDYADPGDLLWRSETLQAM >RHN57942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42685984:42686316:-1 gene:gene33534 transcript:rna33534 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKGTMKVKKDIEIMHFDDNNDDDERCMSQGVHEMNAIYGIAVKNEDPTPSSSLAVQLGTKFANAVNTVKRKFSFSDSETSTSSSSDDSLFLDNLTGRSEVSLAKKKKL >RHN39659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9286194:9286510:-1 gene:gene45703 transcript:rna45703 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWSFLEVRNPAGRVYSHTLPQSFCPFSIFPFPHFSFIFTLLITPFSHISFSFTTTTHFSFSLFNSPLKVAETFGG >RHN65171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64665205:64671957:-1 gene:gene28079 transcript:rna28079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MFMIREMRIQFGTLLADIGLIILPKLNQMDGRKIGSLDRWLSDSSQPFNMYAHHPSILKAILCAGLYPNVAAGEQGIVSAALTSLKQSPSTANSSHRVWFDGRREVHVHPSSINSSTKAFKYPFLSSLKRFVETNKIFLRDTSVISPYSILLFGGSINVQHQTGLVIIDGWLKLNAPAQIAVLFKELRLTLHSILKELIRKPENVIVLNDEIIKSIINLLLEEGSVPK >RHN62710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45318059:45321728:1 gene:gene25311 transcript:rna25311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Caspase-like domain-containing protein MQNCLFRGFMNTITTSSAINSNSSNYGTTHFGYYHQPQPLRPSYPLIPPSPYGSKRAVLCGICYHGRSYKLKGSINDVKCMKYFLIKEFGFPSDSILILTGHGTQEVNMNEIDDRYDNAICPVDHEHKGKMLNNEINATIVRPLPFGAKLHAIIDACHSGNVLDLSFVCKMNREGYYTWEDNTNSRNYEGTKGGVAICISACDDDQVSVDTSALSGKEVTGALTYSFIQTVQNEPGLTYGHLLSTMRSTIHGTKTGIVALNGPIASFLNKLLGLRIKQEAQLSSSELFDMYKKQFIL >RHN68070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32556379:32561901:-1 gene:gene16362 transcript:rna16362 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase MNSFNTHDDTQKIVEKYTNSNVQIHTFNQSQYPRLVVDDFLPLPSKGQTGRDGWYPPGHGDVFPSLMNSGQLDALLSQGKEYVFVANSDNLGALVDLKILNHLIQNKNEYCMEVTPKTLADVKGGTLISYFLDHLPFPSLGTNEFKSIEKFKIFNTNNLWVNLKAVKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDKAIGINVPRSRFLPVKATSDLLLVQSDLYTLKDGFVVRNQARANPENPSIELGPEFKKVSNFLGRLKSIPSIVELDSLKVAGDVWFGAGVTLKGKVSIVAKPGVKLEIPDGTVLANKEISGPEDL >RHN80193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36006733:36014327:-1 gene:gene4091 transcript:rna4091 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TT8 MAAPSPLGSKLQNMLQAAVQSVQWTYSLFWQLCPQQLILVWGDGYYNGSIKTRKTVQPMEVSAEEASLQRSQQLRELYESLSAGETNPPTRRPCASLSPEDLTESEWFYLMCVSFSFPPGVGLPGRAYTKRQHIWLTGANEVDSKIFSRAILAKSANIQTVVCIPVLDGVVEFGTTDKVQEDLNFIKHVKSFFLDGHSLPPKPALSEHSTSNPTSSTDHIPTIMYTMADPPSTNPNQDDMDEDEEEEEEEDEEDDEVESESEDETGGRIRRATSMTAIVEPSELMQIEMPDDIRIGSPNDGSNHLDSDFHLLVVSNQGNPLGHVDSYKTDLTQRWGPIEEPVGNLLQVQLPSSVLHHQLEDLTQEDTHYSQTVSTILQNQSTQWTIDSSPSINYITSSNQSSFTNWTNHHNFHPLPPPETTTTTSQCLLKYILFTVPYLHTKNHDETSPQTHDAGVDPSSKLRGKGTPQDELSANHVLAERRRREKLNERFIILRSLVPFVTKMDKASILGDTIEYLKQLRRKIQDLETRNRQIETEQQSRSGVTVLVGPTDKKKVRIVEECGATRAKAVETEVVSSVQVSIIESDALLEIECLHREGLLLDVMVMLRELRIEVIGVQSSLNNGVFVAELRAKVKENGGNGKKVSIVEVKRALNQIIPHNI >RHN42988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42398260:42403013:-1 gene:gene49504 transcript:rna49504 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGAKRKTKHTDDSQPDSQPVASSQENENKITKPKAKRLKTSKPHSEPEYFEDKRNLEDLWLETFPVGTEWDQLDAVYQIKWNFSNLENAFEEGGLLYGKKVYLFGCTEPQLVMHKGENKVVCIPVVVAVVSPFPPSDKIGINSVQREAEEIIPMKQMKMDWVPYIPLEQRDSQVDRLKSQIFILRCTQRRSALKHLKLDRLKKYEYCLPYFYQPFKEDEFEQSTEVPIIYPVEPKPVFCEFDWELDELEEFTDKLIEAEELAEEQKDAFKEFVREKVREAKKANREAREARKKAIAEMSAETKAAFDTMRFYKFYPVQSPDAPDVSGVKSPFINRYYGKAHEVL >RHN56027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26959385:26960329:1 gene:gene31343 transcript:rna31343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTGVLKFVYTMVFFLSLFLIAIDIKDIISLVYCNGSTQLELKCK >RHN52924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40403137:40410486:-1 gene:gene37661 transcript:rna37661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-hydroxyacyl-CoA dehydrogenase, Enoyl-CoA hydratase, Isomerase MASVKVDFEVGNDGVAVITMCNPPVNALAIPIIRGLKNKFEEAARRNDVKAIVLTGKGGRFSGGFDISVMQKVHQTGDITLVPDVSVELVVNSIEDSKKPVVAAVEGLALGGGLELAMGCHARVAAPKAQLGLPELTLGIIPGFGGTQRLPRLVGTSKAVEMMLTSKPITAEEGQKLGLIDAIVSPAELLKLSRQWALEIAEQRRPWIRSLHITDKLGSDAREVLRTARQHVKKTAPHLPQQQACIDVIEHGILHGGYSGVLREAEVFKKLVLSETAKGLIHVFFAQRTISKIPGVTDIGLKPRNVRKAAVIGGGLMGSGIATALILGNIRVILKEVNSEYLQKGIKTIEANVRGLVTRKKLTQQKAEGALSLLKGVLDYAEFKDVDMVIEAVIEKVSLKQDIFSDLEKICPPHCILASNTSTIDLNIIGEKISSQDRVIGAHFFSPAHIMPLLEIVRTNKTSAQVILDLVTVGKIIKKSPVVVGNCTGFAVNRTFFPYAQGAHFLANLGVDVFRIDRLISNFGLPMGPFQLQDLSGYGVAVAVGKEFAGSFAGRTFPTPLLDLLIKSGRNGKNNGKGYYIYEKGSKPKPDPSVLPIVEESRRLSNIMPNGKPISITDQEIVEMILFPVVNEACRVLEEGIVIRASDLDIASVLGMSFPSYRGGIVFWADLVGAKHIYSSLKKWSQLYGNFYKPSRYLEERATKGIPLSAPASSNPTSKARL >RHN78571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16363667:16364835:-1 gene:gene2189 transcript:rna2189 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGMLKMLHTFMSSPYESSVEYNSKGAWSIRRYNIHHPNIFVFKSSCK >RHN82322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52807091:52811100:1 gene:gene6478 transcript:rna6478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MTSEKAKDFCVRFTGKNYPAWEFQFRMYVKGNKLWSHLDDVSKAPTEKAALEEWEYKDAQIISWILSSIDPQMINNLRSFSTAQEMWNYLKRIYNQDNAAKRFQLELEIANYKQGNLYVQEFYSGFLNLWTEHSAIIHADVPKASLAAVQEVYNTSRRDQFLMKLRPEFEVVRGALLNRNPVPSLDTCVGELLREEQRLLTQGTMSHDAFISEPVPVAYAAQSRGKGRDMRQVQCFTCKQFGHVARSCTAKFCKYCKQNGHVIFDCPIRPPRRTQYPTQALHATTSSAAPPTITSASDGGSLQPEMIQQMVLAALSNMGIHGKSSNVSRPWFLDSGASNHMTGSSEYLHNLHSYHGNQQIQIADGNKLSITDVGDINSDFQDVLVSPGLASNLLSEQVSGKVIAKGPKVGRLFPLQFISSHLSLACNNVLNSYEDWHRKLGHPNSTVLSHLFKTGLLGNKQVVCTASISCPVCKLAKSKTLPFPSGAHRASNCFEMIHSDVWGMSPIASHAHYKYFVTFIDDYSRFTWIYFLRSKSEVFSMFKKFLTYVETQFQASVKIFRSNSGGEYMSHEFQEYLQHKGILSQRSCPNTPQQNGLAERKNRHLLDVTRSLLLQASVPPRFWVEALSTVDLHTFGCVCFVHLPLFERHKLGAQSVQCAFMGYSNSHKGFVCYDVSNHRLRVSRNVTFFDNQFMFHSISPDINDIAILPNFSIMPQSIERYKPGFTYVRQRIKQVPTAPSDTEPPPDPEPVEPRRSGRTSRAPDRFSPDRYDSKHTSLTASLSSISIPTCYSQAVKDVRWIKAMNEELQALQESFTWDIVSCPPDIKPIGCKWVYSVKLNSDGSLNRYKARLVALGNKQEYGIDYDETFAPVAKMTTVRTILSIAASNGWSLHQMDVKNAFLHGDLTEDIYMTPPQGLFSSSKGVCKLKRSLYGLKQAPRAWYEKFRSTLLGFSFCQSQYDSSLFIHSTSTGIVLLLLYVDDMVITGSDNASIQRLKEQLHASFHMKDLGNLHYFLGLEVHATSKGIFLHQHKYATDLISMAGLQSANQVDTPLEVNVKYHRDDGDLLPDPLLYRQLVGSLNYLTITRPDISFAVQQVSQFMHSPRHLHLAAVHRIIRYLKGSSHRGLFFSIGNSPKLSAYSDADWAGCPNTRRSVTGWCMFLGSSLISWKSKKQARVSKSSTESEYRAMSAACSEIIWLRGLLAELGFPQTEPTSLYADNTSAIQIVANPVFHERTKHIEVDCHSIRDAYDDRLISLPHVSTQLQIAIFLPRLFLAHVISFLLAN >RHN81090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43223225:43225815:-1 gene:gene5087 transcript:rna5087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MTLSLSFSFLFLLSLFSSFLLHTHAFPLHRHHPHFATHNYRDALTKSILFFEGQRSGKLPSNQRMSWRKDSGLSDGSAMHVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGGLMKGELPNAREAIRWATDYLLKATAHPNTIYVQVGDAKKDHACWERPEDMDTPRSVFKIDANAPGSEVAAETAAALAAASLVFRRTDPTYSKILVRRAIRVFQFADQHRGPYSNVLKPFVCPFYCDYSGYQDELLWGAAWLHKATKNPMYLNYIQVNGQILGAAEFDNTFGWDNKHVGARILLSKEFLVQRVRSLHDYKGHSDNFVCSLIPGAGSSSAQYTPGGLLFKMSDSNMQYVTSTSFLLVAYAKYLTKSHSVVRCGGTIVTPKRLRTLAKKQVDYLLGDNPLKMSYMVGYGPRYPQRIHHRGSSLPSMAVHPGKIQCSAGFSVMSSQSPNPNILVGAVVGGPDQHDRFPDKRSDYEQSEPATYVNAPLVGTLAYLAHSFGQL >RHN43434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45853231:45857553:-1 gene:gene50010 transcript:rna50010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MNDGDVSKQIHQMVRFIRQEAEEKASEISLSAEEEFNIEKLQLVEAEKKKIRQEYERKERQVEIRKKIEYSMQLNASRINVLQAQDDIVNSMKEVTAKELLNVSRHHLVEDILHVGNHEYRNLLKGLIVQSLLRLREPSVLLRCQKHDVHLVEHVLDAAAQEYAEKAGVYPPEIIVDHSVYLPPAPKHHNTHEPYCSGGVVLASRDGKIVCENTLDARLDVVFRKKLPEIRKQLFGQAAA >RHN49187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53161240:53163886:1 gene:gene43992 transcript:rna43992 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDLLSDDPAAQLEAATQFGKLLSGGYIRLIDKVKQADVVRRFVQFLKRDDMPQLQSMAAWTLINVTAGLSEHTNTVIEHGAVPLLVKLLSSGSDDGKEQALWALGNIAGSSETAKDVVLNHGALPPLLSLLWNPSATEKSTWRIAIWAFANVIPGKLPLTLEDQILPALPGLRELLLMPDELVLLYACSILYWITRDGSGKMVQAILEGNFCPRLVELLLYPKSEVVVTALHALGEIACRNDAQTQVLINSGALLCLKDLLTQSDKIILQEACFVILNIAGGNIAQKQDVIDADLISSLVRLTKADFEIRKEAVWAICNVTEGTHEHIRYLVRNGCIEALCDQLTSTDADMLGLCLTGLYNILKAGEINKDKIKECAGLDKIKRLQSCNMAVYILKTYFPEDLEAIAEEKP >RHN39754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10261167:10264285:-1 gene:gene45816 transcript:rna45816 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase, trichome birefringence-like family MRSENMGSLFSLQNHNLFCVKFAASFFLVGLAFRLLFWDSFSLSSFVVIQIPSPPPQIETKSESHVVSSPLKAPEFDDFQVNNQNQTSLNGTRKCDLFVGDWISDQSEPIYTNESCHVIEPHQNCMKNGRPDLGYLYWRWSPKECELPKFNPKKFLSLMRNKAMAFIGDSISRNHVQSLLCILSQVEPAVEVYHDKEYRSKIWKFPSHNFTLSVIWTPFLIKAAIYEDMNGVSSSMVQLHLDTLDQNWTNQFNNFHYVVIGGGKWFLKSAVFHENNTVSGCHYCPGKNLTDLGFDYAYRKALQLVFNFFTNSSHNATVLFRTTTPDHFENGEWFSGGYCNRTVPFKEGEIDMIDVDSIMRGIEVQEFAKASSLGSENGVNLKLLDTTFLSLLRPDGHPGPYRQFQPFAKEKNAKVQNDCLHWCLPGPIDSWNDIVMKMLVNA >RHN70993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55698077:55699041:-1 gene:gene19633 transcript:rna19633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor OFP family MSKRFKMKFTIPSFQMCRSNDLSSFPGNPGPAIYRLSPVNSKAHDIGYPNLPALSPEHQCSVSSKVKKVKSKGRKSTSDVPSRRSEFLIDKINEEESESLISCLARFSEDEKVHSSSTMDSKVKAISNFSDKKENKDLTSANRRTLSRVKKVERVRFQSITENQRGKETKVMSSRMEENRRGTETKVMSSRMEEKVKESFALVKKSKDPYEDFKKSMLEMIEEMEMSEAKDLEQLLQCFLALNSRDYHGVIVRAFMEIWQQMFVWNPKSITNLQTSVQTKDVEL >RHN44229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2568512:2570494:1 gene:gene38321 transcript:rna38321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MSYNNIQGSIPYGLGFLKNLTRLYLSKNRIKGEIPPLIGNLKQLKYLDISYNKIQGSIPHGLGLLQNLKRLYLSHNRLNGSLPTSITNLTQLEELDISDNFLTGSLPYNFHQLTKLHVLLLSNNSIGGTYPISLTNLSQLQTLDISHNLLLGTLPSKMVLSSEQSWAYYNYENSVDLSYNLIGGEIPSQLEYLSHLNLRNNNLTGVFPQSLCNVNYVDISFNHLKGPLPNCIHNGYNIIIWNDNAYINKRSNNINYDVVIVLPILLILILAFSLLICFKLRQNSTKIKLANTTISTKNGDLFCIWNFDGKIAHDDIIKATEDFDIRYCIGTGAYGSVYKAQLPCGKVVAIKKLHGYEAEVPSFDESFRNEVKILSDIKHRHIVKLYGFCLHRRIMFLIYEYMEKGSLFSGLYDEVEAVEFNWRKRVNVIKGVAFGLSYLHHDCTPAIVHRDVSTGNILLNSEWKPSVSDFGTSRILQYDSSNRTIVVGTIGYIAPELAYTMVVSEKCDVYSFGVVALETLMGRHPGDILS >RHN58632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3466679:3467185:-1 gene:gene20559 transcript:rna20559 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSKQNTQNYLVIPRCCVFLLWIVVLALCITALFFLWPLNPEIEMESLIVKRAKVHPLPPLSVDVSLSVTVKIHNKVLYWMELAEVDVGIKYRGHKLGHVETRGWHVKGWGSEHVFGELEFGGLPSPDVAHLMQDLAKKRVHFHTAVGVVGNLGLFAFHFPKIFKVL >RHN54122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7101458:7102499:1 gene:gene29112 transcript:rna29112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein DA1 MITKPYRLTRRCEVTAILVLYGLPRLLTGSILAHEIMHAWLRLKGYPNLRPEVEEGICQVLAHMWLESELYSGSGNNDAPSSSSSSSMLPSSASSKKGKRSDFEKKLGEFFKNQIESDTSPAYGDGFRSGYQAVLKYGLKSTLDHIHLTGTFPC >RHN71021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55846754:55850927:1 gene:gene19662 transcript:rna19662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannan endo-1,4-beta-mannosidase MKHMFFLFFIVLYVISLLNVEADDEFVKTRGVQLMLNGSPYYANGYNAYWLMYFASDPSQRNKVSSTFQEASYHGLNIARTWAFSDGGYKPLQYSPGSYNEEMFQGLDFVLAEARKYGIKVILSLVNNYEDFGGKKQYVEWARSQGQSINSEDDFFTNPVVKGYYKNHIKTVVTRRNSLSGVAYKDDPTIMAWELMNEIRCPSDHSGNSVQGWISEMASYLKSIDGNHLLEAGLEGFYGQSKTESNPNFQVGTDFIANNQIPTIDFATLHSYPDQWLSNSGYEDQISFLRGWLNEHIQDAQNILHKPLLFAEFGISSKNLGSSNNSTKRDQFFNTVYSAIYSSASGGGTAVGGLFWQLLAEGMDSFRDDYEVILAESPSTATLIAQESQKLKRIRKMYARLKNIDKWNKT >RHN55637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20375826:20378432:-1 gene:gene30847 transcript:rna30847 gene_biotype:protein_coding transcript_biotype:protein_coding MKELSEKMRELLVNLEDICVKETACKERLTAAKNESTSISQTNRNGYLMD >RHN78479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15477069:15478781:1 gene:gene2049 transcript:rna2049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acylaminoacyl-peptidase transcription factor WD40-like family MTRIPAAGKVKEIGRKTGEKHMREKDSLHFFGEVQAVKGIDRFLSVGQVVWAPSSEGSAQYLVFVGWSYETRKLGIKYCYNRACAVYAVKAPHESKPNENEIHSTEDAHALILTQTISSAFLPRFSPDGNFLVFLSARSSVDTGAHSATNSLHRIDWPKDVKLYQSAKVHDVVSFFYNQFNFNPNNCHGALLSIVTLAYFYMLILIKA >RHN59055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7126122:7128435:1 gene:gene21039 transcript:rna21039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative viral movement protein MTEVSYFEGTSSYYANDIIDNKIEESDLSLTAEENFKRKFNKFKEFFSRKNILKFGIMIEEEAISIENTQGNIFISTIQKTQIEKRIETFSEKEKSKSGYIHISTIQILIKSTFMKGIDSPLEITLEDSRILDKRQATIAQVKCNLKYGKIKFDINLLMGLSLKDKDLDRSIVFHYKLENSNFMKKGNHPFTICYRINYSLSNSHHSIEFIGKNTIHIDELFTPLITLKSPIFRNLARNSFSLIEAEKYIFEETEKPASE >RHN49894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2078509:2082081:1 gene:gene34122 transcript:rna34122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MVQSQQFSSGIEVATFVTSSGLLRKLWNVNDANIVSNVVGNNGLSWKVYKEQDTDGTIVAFETAPSNSANLQSELVSSTELKEKNFLHFQFLSTKANPLFHLNIAAVSLFFENHQGLDQLKSEVNSSPKLIITGLALGGSIASLFTLLLLDGFDSRKKKPLCITFGSPLIGDKGLQNSISHSSSWNSCFLHVVSSNDPLPRKFITDHTSSYVPFGTFLVCHDTYSTCFENSDSVLAVLETSIHDQSQVFGSVEYRNIVEILHRKAIWKDTANQVQGMNYSDSLQACIGLQLLTLGLIPHMQQQQQEIDIITLVEKMENLEKNFIKQKREKFDPSKKLNLMKINMAELEMYKTNSKNRNIGYYDSYKKMNSTDDHDVVTRHKKLSNYWKKMVQDSLMKPQKEGASLRTRWLYGGTTYRRMVEPLEIAQFYLNGGKDYVTTERSSHYKQLEDWLVEAAATTTSSNVTKDKVESILTLDSCFWAYVEEALISCKKLDEKLSDIEKDEATRKLVEFENYVYGLLKEYAVSPEIFLSESSYMAWWSQYKKIKAASYGSKLTNFMSNAYNYNVQYVGGTYKFD >RHN58759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4570121:4578765:1 gene:gene20701 transcript:rna20701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKPFSSTNLLLFLLLLCTFSSSVFAEEDAKKNNTFREREATDDALGYPEIDEDALVNSKCPMNLELRWQTEVSSSVYANPLIADINSDGKLDIVVPSFVHYLEVLEGTDGDKMPGWPAFHQSTVHSSPLLYDIDKDGVREIALATYNGEVLFFRVSGYIMSDKLEVPRRKVLKNWHVGLNKDPVDRTHPDVHDDQLVQEATIANSMSQMNGSRHEVNSSASTSTESHPDTKSVSNPEPEKKINGSQSEESINTSTESHPDTKNVSNPEPEKKVNESQSEEGIKMPTNSSVSAGSVETVNADNKTSTGRRLLEDNNLKGAEQVGSESKGKEEVHAATVENEEGLEADADSSFELFRNSDDLADEYNYDYDDYVDESLWGDEEWIEGKHEKLEDYVNVDSHILSTPVIADIDNDGVMEMVVAVSYFFDQEYYDNQEHMKELGDIDIGKYVAGGIVVFNLDTKQVKWTAELDMSTDTANFRAYVYSSPTVVDLDGDGYLDILVGTSYGLFYVLDHHGKVREKFPLEMAEIQAGVVAADINDDGKIELVTADTHGNVVAWTPKGDMIWEKHLKSLIPHAPTIGDIDGDGRTELVVPTLSGKIHVLDGRDGSPIGRYPFITHGRIMNQILLVDLSKQKEKKKGLTLVTSSFDGYLYLIDGPTGCADVVDIGETSYSMVLADNVDGGDDLDLIVSTMNGNVFCFSTPSPHHPLKAWRLPNQGRNNVANRYGREGIYVTHPSRAFRDEEGKSFFVEIEIVDNYRYPSGHQGPYHVTTSLLVPGNYQGERTIKQNQTYYQPGKHRIKLPTVGVRTTGTVLVEMVDKNGLYFSDEFSLTFHMHYYKLLKWLLVLPMLGMFGVLVILRPQGPVPLPSFSRNND >RHN41970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34402750:34405236:-1 gene:gene48350 transcript:rna48350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MSMFSYHKHSSNRFFIFLLILAFKGVTISATTFTFVNKCDHTIWPGILGKPDLGTTGFELKKGNTQTFQALAGWSGRFWARTGCKFDDSGHGTCSTGDCGSGEINCNGNGATPPATLAEFTLGNSSPDYYDVSLVDGYNLPVMVETSGGSGSCQATGCGEDLNRRCPSELRVDGGDACNSACGAFGTPEYCCSGAFGSPSSCSPSVYSEMFKSACPKSYSYAFDDATSTFTCTAADDYTITFCPSSSPSLKSLMESKPGSSVEQAAVATKSWIANLATGASTRISQPFSTSMSIFFVAITFIFSYLVN >RHN46750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34540816:34541112:-1 gene:gene41272 transcript:rna41272 gene_biotype:protein_coding transcript_biotype:protein_coding MWNHLGRCAKYNSDDTQGTQSRKRRKIVKKGQVVSSPSYSKFDQEACGPQLVRTFVCAELPFRSVENEEFRKLLIILLTKRK >RHN49010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51742932:51744055:-1 gene:gene43789 transcript:rna43789 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTSSCSCIPTDSSSFEIFNEKVIKSKKKTTTLLDTDGNIREIKLPMKSAELMIELIGHVITPADELLRTRRIIALRANEDLVAGKVYLVVPVSRVNCKASEFEISIAEKRSGKRKGNETAKVSPVKKLTENDNGGVISVRQRRWNPVLDPIFESS >RHN62863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46312565:46313579:1 gene:gene25489 transcript:rna25489 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPKKNQLSLIFKFYLFTHLFYFKFLYKKGDSRIPLGEKSKKGRCADLISLESKKLFSRLLFEPLVCCTGFLRYGSFWILCLNSKSMWDFLLFRSSGPPLFHRRLFCPRVHCNAFLRLEIFEQWKEANEEAKEKMFADFMNKNVNLNKVDESMIIAGIVAPPAAIVAKRTGQTTFPQLKFMNVIPDVIFVPSATVLALIAVKILRLMFIANTASKGATRSLVNHVMEPSSVDNIHPFAAETASDHSQQDMPKTASDSNAQQEIIKTASDAHQLTPKGNYCALCKKVHD >RHN49289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53830175:53837387:-1 gene:gene44104 transcript:rna44104 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannosylglycoprotein endo-beta-mannosidase MATEEQHRKTTFDGGWLAARSTEINFTGIQLTTTHPPTSSTPPWIEAVVPGTVLATLVKNKVVPDPFYGLQNQTIIDIADSGRDFYTFWFFTTFQCNLSTNQYCDLNFRGINYSADVYLNGHKTVLPKGMFRRHSIDVTDILHPDGNNLLAVLVHPPDHPGTIPPEGGQGGDHEIGKDVTTQYVEGWDWMAPIRDRNTGIWDEVSISITGPVKIIDPHLVSSFFDNYERAYLHTTTELENRSSWTAECSLTVQVTMELEDNICLVEHLQTQNLPVPANSRVQYTFPELFFYKPNLWWPNGMGKQSLYNVVISIDVKGFGESDSWSHLFGFRKIESHIDDATGGRLFKVNGEPVFIRGGNWILSDGLLRLSKKRYDTDIKFHADMNFNMIRCWGGGLTERPEFYHYCDYYGLLVWQEFWITGDVDGRGVPISNPDGPLDHDLFLFCARDTVKLLRNHPSLALWVGGNEQTPPCDINATLKADLKLHPNFESADENIKSAGDLSPVLRDPSQYLDGTRVYIQGSMWDGFADGMGNFTDGPYEIQNPEDFFKDNFYDYGFNPEVGSVGMPVASTIRATMPPEGWQIPVFKKLPNGYVEEVPNPIWEYHKYIPYSKPNKVHDQIQLYGTVRDLDDFCLKAQLVNYIQYRALLEGWTSRMWSKYTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLATYFIEVVNTTSEELSNVAVEASVWDLEGTRPYYKVHENLSLLPKKVAPIVEMKYPESKNPKPVYFLLLRLYNMSDHKIISRNFYWFHPSGGDYSLLEPYRKKKIPLKITSKISVDESTYNIQVNVTNTSEKSNSKIPMLGYSSTASACGVGKEDETGWFNRIQRCFAGKNDGLKFSEINGDDVGVAFFLHLSVHASKTEYKEGEDTRILPVHYSDNYFSLVPGESMPVNISFEVPQGVTPRVTLDGWNYDGRQQSILEIV >RHN50395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6777659:6778971:-1 gene:gene34673 transcript:rna34673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase MQEREERQKIEGNENFDEVKVADSLTVRKVISVDKQLTVKKQFRDIIPEENYPAEFSYRSRVILLFQKIEGADVCIFAMYVQEYGSECGNTNQRCVYISYLDSVNHFTPRRQTSSGEALRTFVYHEILIGYLDFCKKRGFATCYIHACAPKRRGDDYILNCHPKTQKMPKDNKLRKWYISMLTKATKENVVVDLTNMYDHFFVSTETRYSKVTTARMPYFDGDCWSGAAMDQAVIIEKECEATMEMH >RHN61399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35405181:35405594:1 gene:gene23863 transcript:rna23863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylecgonone reductase METKKVPEVVLNSGKKMPMIGFGTGTTPPQQIMLDAIDIGYRHFDTAALYGTEEPLGQAVSKALELGLVKNRDELFITSKLWCTDAQHDLVLPALKTTLKQA >RHN52849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39516238:39516528:-1 gene:gene37573 transcript:rna37573 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATMLFDKELGGAVEDIVVGEYPFLGTFNGGWLLYLLGLEVGFVLCSRGLLIGFVASRAQSWVLQDHIVRDIGICGIARFLIMLWMIFVVRFQI >RHN64721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61261940:61262631:-1 gene:gene27570 transcript:rna27570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysine--tRNA ligase MRSPSNHCSGVLDKLVSYILEETRVSPTFIINHPEIMSPLAKWHRSKPGLTKRF >RHN39640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8983933:8985937:1 gene:gene45682 transcript:rna45682 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MPDNMSISVNGQSQVPPGFRFHPTEEELLQYYLRKKVSYEKIDLDVIRDVDLNKLEPWDIQEKCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIYSNGKRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDNTTNDTNLVSNMIGDGGQEEGWVVCRIFKKKNHLKTLDSPSGEGRRSHHLYDTCDEGALEQILQQMGRGCKEENYEANYNNNYGRFARPFESTLNNNGGYNNERFMKLPNLESPKSTSMENNENNNDGYHAIIQVDMANENEGSFSDHHHHHHHNNMVNNPLEASSSSMVIACGDGGLTNWVALDRLVASQLNGQTEASRQLACFTDPTMGYCTNDQDLQLPTLKSSSSTSSLSTHARTTTSTTTTTATAYISPSHDYASEIDLWNFARSTSSLLSSSEPLCHVSNTSV >RHN77510.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7319237:7323930:-1 gene:gene974 transcript:rna974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MEIGSPICLRHGHRHVHRNVRISLSTTTPTLLSTKSENSMFILGLGFVGQTLARKLQNQRWTVSGTCTTHVKKKKLEDMGFHVHLFDANHPDPSILQQLRNYTHFLVSVPPVVGIGDPMLQHEELIRSSLVNGDLKWLSYLSSTSVYGDCDGELVDEDYPTNPENESAKLRLNSELGWSNLAHHIGLSPLLFRLGGIYGPGRSAVDTIIKQKPLSEGQKRRKHRKYTSRVHVDDICQALMATIDAPSSSRVVYNIVDDDSAPREEVFEYARKLVEKKWPDLNLQHLEQKDWSIVKTRNKRGEKRVSNALMKKELGVQLLYPDYRSGLQSIIDQIENPFICN >RHN46599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33064579:33066318:-1 gene:gene41103 transcript:rna41103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasapogenol B glucuronide galactosyltransferase MESQQSHNKLHVVFLPYPSAGHMNPMIDTARVFAMHGVNVTIIATHANASTFQKSIDSDFNSGYSIKTHLIQFPSAQVGLPDGVENIKDSTSSEMYLKITRGVRMLQDSIEVLFKELQPDCIVTDMKYPWTVESAAKLNIPRIHFYSSSYFSYCAIYFVRKYKPHYNLVSETQKFTIPCLPHTIEMTRLHLHNWERENNAMTAIFEPMYESAERSYGSLYNSFHELESDYEKLFKTTIGIKSWSVGPVSAWANKDDERKANRGHIEKSLGKHTELLNWLNSKENESVLYVSFGSLIRLPHAQLVEIAHGLENSGHNFIWVIKNNKDEDGEGFLQEFEKRMKESNKGYIIWDWAPQLLILEYPAIGGIVTHCGWNSTLESVNAGLPMITWPVFAEEFYNEKLLVDVLKIGVPVGAKVNKLWTNISVEEMVRREEIVKAVEILMGISQESKEMRMRAKKLGDAAKRTIEEGGHSYNNLIQLIDELKSLKKSKALDNKKD >RHN76874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2018600:2018940:-1 gene:gene259 transcript:rna259 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVEYFKISLDCFFAVWFVVGNVWIFGGRSSAAVAPNLYRLCIVFLAFSCIGYANSETTMKSSDINI >RHN53994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6070823:6075129:1 gene:gene28969 transcript:rna28969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MWDLNDSPDQRKNYESEGCSMEGDEVDVDEKGKGVGSVSNSSSSAIVIEDGSEEEDGNMTKKKSSKIFGFSMDDSSDCPPVTRQFFPVEDSETITTVVDSSSGGGGGSSSFPRAHWVGVKFCQSESVNGGKIVEASQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKEAVTNFDPSIYDNELNSESSGNAADHNLDLSLGNSSSKCSNNNQAFGNHNTNATTDQYMAPESNWRNGGIRPKPVNILPKPCGINNMDGFVRDGYGENETLRMLSQTHLHQSSIATNEMHRFGPYRSPMIEQHPQMLHNFPHLHPSNFHVSSSSSNGGRIGSDLTLSMSDQQKWQTGPPPHLLATAAASSGFPPQIRPSSSQGWLQKNGFHTLMRPS >RHN51988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29660527:29660907:1 gene:gene36584 transcript:rna36584 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKVEWAKENGIKQIADLKDVFLNETRSWFIKYLETTLDAGFSRVFQEKGKESKVIAGREMAHAIHIAVTLSHLKNANEWLENLRRALDSESEGLVETIDRLKKNIYSSLLEHIDSVAVALENRA >RHN76965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2777841:2778809:1 gene:gene363 transcript:rna363 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVIPRQSSIKEKLKNSICCFTGNLHHHNHHGDDSPIGGEGFYNKLHIPRTPISPAGSSSSPSSWFRKSPTCNGSDFSRVRGKSQRSRVGRSKHHHHRQSQSADFSYDPSSYALNFENETAQEDIPIMNFSSRLPRSPPRSSQTINYSDELPKEIVGYS >RHN56676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32755229:32755501:1 gene:gene32113 transcript:rna32113 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFLSRKIDLGFEFDLLDFFLQILTVELMKINLMLSIIATTFCYSPMILRLKFDSWNEIGTLRMEVDDIAIEIDGVDNNINEFQSDYSN >RHN56329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29893181:29893585:-1 gene:gene31713 transcript:rna31713 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKTIICCLFALSFFVIVTGRNMPSTALSSDVNLNDPPLPFLPPPPPSPLVNGGVVVPQTPSLSKPPSPVVNSDSDSQINKKEKILIILDYNSSCCFNGVFNCIFYVSLLFIPWLIKENLYLCDFTFYAMSNQ >RHN71699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2018849:2021874:-1 gene:gene7326 transcript:rna7326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Costars domain-containing protein MNVEEEVGRLKEEIKRLGKLQSDGSYKVTFGTLFHDDQCANIFEALVGTLRAAKKRKVLTYEGELLLQGVHDNVEITLNPTPAAAASN >RHN39693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9656403:9660230:1 gene:gene45742 transcript:rna45742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 43kDa postsynaptic protein MTELTVKTPPQMQSFGVFLREPPQNESTDDDNHRNQSPAMRKTIKKTIIIDESSLENPDLGPFLLKMARDTIASGENPEKALDYAIRASKCFERVLGPGVDLATCLHVVAAIYCSLGNLDAAIEELERSIMLLDVENGSGHAMVKFSGYMQLGDTYSMTGLLDRSILCYESGLKIQMDILGKSDPRVAETCRYLAEAHVQAMQFDDAEHFCKKTLEIHREHCSPASLTEAADRRLMALICEAKGDYEPALEHLVLASMAMIANGQDNEVAAIDVSIGDIYSSLSRFDEAVFAYQKALTVFKSTQGENHSSVALVYIRLADLYCKTGKLRESTSYCENALRIYSKPMPGITAGEIASGLTEISAIYEALNEPEEALKLLQKAVKLLEGIPGQYRTMAGIEAQMGVLFYMVGRHVDAWKSFDNAVTKLRAGGERKSAFFGFVLNQMGLACVQLYKIEEAATHFEEARDILEREYGTYHLDTLGVYSNLAATYDALGRVEDAIQILEYILKMREEKLGTANPDVDDEKKRLFELLKEAGRVRSRKGTSLENLIDSNSLKMKKEARRKRGAFGFRT >RHN60019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16657130:16664429:1 gene:gene22207 transcript:rna22207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MDGYEATKMVFSRIKSMDPENASNIMGLLLSKEHGEKEMIRLALSPESLIHSVIFKARKELGLPCSNNSPPTPSSTSPSPSPNFLSRQNSSTSSRLSSGFNLPPSLTIPNPSWGATTTTMSMSDQFQNHDDSDPIDDFQLQDQLSFLNDGSDPSYGWGGNSSLHRRSCSVNDAYLAGSEDPSAGLGWKPCLYFARGYCKNGTSCRFLHGDASAAAAAIVGSPNKIENMMDQYHHELLRSKSSHQQRFAAAAAASQLMASNSFLCSPKGMNFLLQQQQNQNDTQRAAAAALMMNEELHKFGRSRLERNDFSLYSPTGMINPASRQIYLTFPADSTFREEDVSEYFSKFGPVQDVRIPYQQKRMFGFVTFVFPETVKDILSKGNPHFVCEARVLVKPYKEKGKIPDKKQLQQQGDFSPCRTPTGLVDARDQYDLQLAERMFYNTEDMLWRRKEQAELQQQALEIQRRRLMGLQLLDIKNQHQRALSTGSLVHSPTQSPNMFDQNLVLPFRRSSEFSDVIGSNSASAHSNASVSAGQQSVKGYAGKEVLVNGENGNSESNENGKTSSSHEEELNLHECLELEHNLPDSPFASPTKAVGDLVGPFSNGPLETIDSDASTSSKFGTSKLLPTSASLDMGSFKPYNNCQLPRFSSAHGTIGMFAGTGGPIGI >RHN68402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35175662:35177256:-1 gene:gene16748 transcript:rna16748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MPSFYISTLNRGNETQGRVDALSVEARLDAGSANSDQKGANNIAKRSQERIGSAFTRNSLPQDFDDQEILYETCTVSNASQLSVLAPKLQSLRIKDCESLDVLPDDLLDGSTSLKELKLMNCSDLRSIPYPPSLTELYISKCRNFELLRSSKSRENLSFIHRLFIGNSCDSLTTLTLDLFPKLKILFIWNCPNLVSFDVTGVHKGDFPLECFEIRDCPGLTSFPDEGFHTPNLRAFTLSNCKNLKKFPNFIASLTSLLTLFVLRCPHIECFPHGGFPSSLILISIAYCNKLTSQKEWGLENLKSLTTFNIEGGCIGLESFPEENLLPRNIISLHISNLKSLKKLDDKGFQQLNALCTLKIDRCDVLQYLPEQGLPSSLNQLNIRDCPVLTPRLKPETGKYWCKVAHIPHIEIDDKKVGQPWYRY >RHN67518.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27885382:27885783:-1 gene:gene15714 transcript:rna15714 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHKAYTILMTLELGSIGVKYQGLNTNPFQQLSPLMLLFLTARFCHAVATMADMSFLTTMFIFHFSGVIGCETLLWILLPDFWNWYIINLFLLVITSFCFFNCIQNITKLFLTAHSNTPQPPNPEPQDTSQA >RHN54404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9316820:9317832:-1 gene:gene29435 transcript:rna29435 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKYHVRSNSFPSQSHPNSTRIEQELTKIKTWEATSTSTSDSITTGLSFLEDLYISLEDLLNMSSTQKAISHHQGEKFVEELLDGSVKVLDICGITRDTMLQIKENVQSLHSSLRRRKGDSSIETSVAEYKFFTKKMKKNVTKLITYLKHMETKFGVSSLLNQDQDLVAVITVLREVIKMNLSIFQSILSFLVGSSSKSKATKWLKVTKLMQKRVTCEERMENFNELQCVEASLRTLISEGSIVAHDRFEALENAIESIENGLENIFRHLVKTRVCLLNIMTLS >RHN79241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26460545:26463798:-1 gene:gene2996 transcript:rna2996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MYINHWDYEHQSYEPCSIANPLEDISAIIHESNANETYMNFQAQQHHEFDIPIWSNEFSMPTTTDSPFLYCQGCKDNYSENITKQDQPTTCFKLGSESLMSSSEDSISSCEKCSEFPSCSDKRVLESDFSPDHKSHEISFQKTQWESCTKQDKQSSPCGFDFATSTNSDFKITAKGKRRLRWTKELNESFIMIVNQLGGPEKAKPKAILKMMGVDVLTISHVKSHLQKYRSTLHTHKCLKGISEEVQITDGINELQVKIQMQIEESRQLQLEVERSNQRQFEIQRNLQLVIEQQKKQLKLMLDQQKKITKQEKMIDLKRK >RHN44392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4171010:4171351:-1 gene:gene38509 transcript:rna38509 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3.3 MATRKSALATGGMKKPHRFRPGTVALREIRKYQKITELLIRKLPFKRLVHEIAQDFKTDLRFQISAVSALQEIAEACIVGLFEDMNLCAIHAKRVTIVPKDIKLACRIRCERA >RHN55813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24228671:24230470:1 gene:gene31081 transcript:rna31081 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor RAV family MYKFSLYIFLPQTTPIPTHIYTYLSYFDSYNKHKLFHFLPKMDGGSCIDESTTTESFSITISPTKKPSTPPPPNSLCRVGSGASAVVDSDGSGVGEAESRKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNQEDEAAKAYDVAAQRFRGKDAVTNFKPLSDHNNDDMELEFLNSHSKSEIVDMLRKHTYNDELEQSKRSHGFVSRRSHGCSDSVNFASSAYNTDKKAREALFEKVVTPSDVGKLNRLVIPKQHAEKHFPLQKADCVQGSASAAGKGVLLNFEDIGGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLKAGDTVCFQRSTGPEKQLFIDWKARKNVNEVGLFVPVGPVVEPVQMVRLFGVNILKQLPGSDVNSNGNVIAGFCNGKRKELNMFTFDSCKKPKIIGAL >RHN76084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47555230:47556027:1 gene:gene12360 transcript:rna12360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-VIIa-2 family MRIHWLLPNLALALLLLSKDFIKMAYKVTGSGWWAEVNYLGRFSHPHLVRLIGYCLENEHRLLVYEFMANGSLENHLFRKTEGSYFQPLSRSLCLKVALGAAKGLAFQHSEAIVIHGDFKTSNVLLDSVCRIVDF >RHN50785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10489691:10490896:1 gene:gene35113 transcript:rna35113 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFCSRSASIFPLIFSSFCFNLLTSLDLSFPVNFLFFPPLSLSSFFFFSFTSFPSSFSSSSSAFSSVFCPPNSLETTSSLSSFFSFFLITVFSSFSSCSSSPLFFFSFFFLSFFASPSSVFSLSFFGLILSSFSFSFSFLSFFFFLFSSPSSSSPFPLSFFSFFFASFFSSPSSVFPPSFSFSFLSFFFFLFSSPPSSSPFPFDFSTSSSLCFFFSFSFFSLFSFFSLTSPTSSFPLSFFVSISSSFSFFFFFSSVFFSFLRSSPSSPFPFLSSETTPSLSLFSLLFTFSFFSVFSSPSFSLCFFVPLFSSFSFFSFFFLSFSSLSPCFFSLPSSPISLESSDFFSSLCFFFFSTDLFLTFNSTSNSVLSSLTSPSFSSSVLIFREIVDLSSMSRLSQVG >RHN70645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52972029:52973095:1 gene:gene19254 transcript:rna19254 gene_biotype:protein_coding transcript_biotype:protein_coding MGKENVIIDEGKVPNSTYSDDQRFVALLFSPDYAIDPTDPQYKRSAAYARQQLAHKQKGQMELSVPKVMQMSFEDSGYGMMQKDKKEGLVVVNSKKEDKDKLLFLVKSVKMKSKHILDGKTKKDGKHNLKV >RHN50476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7433456:7440349:1 gene:gene34759 transcript:rna34759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MQASTVSVKGSIFGAKNQKGLIGFGEFINGSGLRTKRVCMSKKSNFCGSRLGSVAVESGMSSARVTLGGVLANSVKSRSVRVQASDGDTEHVIPSVPQGKSSGTVLPYVGVACLGAILFGYHLGVVNGALEYLAKDLGIVENTVLQGWIVSSLLAGATVGSFTGGTLADKFGRTRTFQLDAIPLAIGAYLCATAQSVQTMIIGRVLAGIGIGVTSAIVPLYISEISPTEIRGALGSINQLFICIGILVALVVGLPLSGNPLWWRTMFGIAVVPSILLALGMAISPESPRWLFQQGKIAEAEKAIKTLYGKERVATVMYDLRTASQGSSEPEAGWFDLFSSRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGASNVIGTAIASSLMDKQGRKSLLITSFSGMAASMLLLSLSFTWKVLAPYSGTLAVLGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVSLSLGTHWISNFVIGLYFLSVVNKFGISSVYLGFSAVCVLAVLYIAGNVVETKGRSLEEIERALSSSA >RHN81389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45492089:45497217:1 gene:gene5418 transcript:rna5418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative R3H domain, SUZ domain-containing protein MEGSSEDLGGAPESWEVADLDDSMNRLNLLISSNKDSNADGAASPHLPNSSSSSISGDNVSDDAVNQVDQFLREAIQNPRERLSILRIEQDVLNFIKDPHQQQLEFNQLPTSYLRLAAHRVAQHYSLQSMVLQDNNSLPDGSGSRIIVSKTAECKRPVIRLADIPVKLPSENNAVMKVAIKPRPQKQTQTFGNANSNSGKKNSSKSVEERKEEYNRARARIFNSSNNGGTVGGKPECETRQQDNSLHGSSLFTRVEDKYASVSDVSSSRGMVGSSTYTNRGLVESSTNTSRGLVEFSTNTSRGLVESSANTSRGLVESSTNTSRARNRTEKEPVGRYRQSNRVAIFRDREIDRKDPDYDRSYDRYMQRFDPGFGFNGGSYPMQPMYAPIVNYNTEFPQLGSPHGPQRSAEHQPRPLPQHISGQHISGTWVPQSTPAGIGYGHPETMMSPFNPNQVGIHSSSTMYLHSSQYPCQHPGMPFIHPEHIHQPFAQSHQPPPDASFGLARPR >RHN50687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9460042:9460544:1 gene:gene35008 transcript:rna35008 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKLGSILLMVGALSVLFTLTYGSGVDYCLKEIVVDGSCKDHWPHGRDCTDEFINGVGSWTMAKDCQCQQLSSNKRRCQCCINCPDKANVLSDAFHVNVTHDRCSK >RHN72650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9417280:9420094:-1 gene:gene8394 transcript:rna8394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, kelch-type beta propeller, F-box associated interaction MANIPPEIFIDILSLLPPHPLLRFRSTSKSLKSIIDSHTFTNLHLKNSNNFYLIIRHNANLYQLDFPNLTPPIPLNHPLMSYSNRITLFGSCNGLICISNIADDIAFWNPNIRKHRIIPYLPTTPRSESDTTLFAARVHGFGYDSFAGDYKLVRISYFVDLQNRSFDSQVRVFSLKMNSWKELPSMNYALCCARTMGVFVEDSNNLNSNSLHWVVTRKLEPFQPDLIVAFNLTLEIFNEVPLPEIGEVNNESESFEIDVAVLGGCLSMIVNYQTTQIDVWVMKEYGLKDSWCKLFTLVGLFFPTPLKSLRPLGYSSDGKKVLLEIDRKKLFWYDLKSENVTSVPGIPNMNEAMICVGSLVPPSLPVDNCRKENLHKLRCESISKRRDDFLSQGFKLSL >RHN41747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32606167:32608105:1 gene:gene48100 transcript:rna48100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MSNSKTHILIFPYPAQGHMLPLLDLTHQLALQTTITTLTFTIIITPKNLPILNPLLSTHPNTIQTLILPFPSHPKIPQDAENIRHVGNTGNYPFINALSNLQSPIIHWFNTHPNPPVALISDFFLGWTHQLATRLNIPRLAFYSISSFLISVINCCWSNSNSSTQLLKKKNDEVVQFSDIPGTPEFKYEHLPSLILRYKESDPESEFVRDSFISNAASWGFILNTCKALDGPYLDHIRAEFGGNQRVFAVGPLSSIRVDANLDGGSDVLRWLDQLEEDGSVLYVCFGSQKLLKKEQMEALAFGLERSGTPFVWVVKEPSTAEQLEEGYGLVPEGFEDCVSGRGIVVRGWAPQMAILGHRIVGGFLSHCGWNSVLEAVVAGVGILGWPMEADQFVNARLLVEDMGVAVRVCEGADSVPNPDVLGRVVSGVMTGDSPQKRRAKLMREEVVGAVSKGGVSSKELDELIQVLKQLGVKEGS >RHN40478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16676782:16684384:1 gene:gene46632 transcript:rna46632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAEVLTPIPSDSLDQSSPTTTSPPPPPPQPQSPPPTSAPDSDLPLPPPQSSFRRRDYRRDDRDRDFDRPPPRREYYDRNNISPPRDRDRDFKRRRSPSPPPYRDRRHSPPMRRSPPHYNNYKRSRRGGSPRGGYGPDDRSEYDNYGGYERGGRGGYDRGGRGGYDRGGRGGNDRGGRGGYDRGGRGGYGDDRSYGRFGNRSGGGYQNGVSGMESNRGYADLPSGGGQREGLMSYKQFIQELEDDILPTEAERRYQEYKSEYISTQKRAYFSAHKDEEWLKDKYHPTNLLTVIERRNESARQLAKDFLLDLQSGTLDPNPGLSASLSSKSGQASEPNSEEEAEDQRRRDGWGSNKKSDFSAAPKAHPVSSEPRRIQADVQQAQALVRKLDTEKRIGDNILCSSDHNKTDDKSHNGSVGPTVIIRGLTSVKGLEGVELLDTLITYLWRIHGVDYYGMIETNEAKGFRHVRPERKGHEETGKSGSEWEKKLDSFWQGRLNGQDPLEVLAAKEKMDAAAIEVLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVYKHLKLKHPELALEQTSKLREDLYTQNYMNDPDAPGGKPVMQQPQKDNRPLNQRLGFEGRLRDDRGNRRDHERYDRMNGDRANSPSRERQSKALEMGNHDETMQDTFAGPGVPPFSSDIPPPVLMPVPGAGPLGPFVPAPPEVAMQMFRDQGGPSSFDGSGINMLSGPHHMGGPAPIITLPPGFRPDPRKMRSYQDLDAPDEEVTVIDYRSL >RHN50373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6587146:6588891:1 gene:gene34651 transcript:rna34651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MAETTILHMKRKRTSSLKSSSQQMAAATYLYLPYDCWETVIRFIINNDDENNNRHSMISLSAVSKQFLAITDRFTGRFRFLLPLRQNRTQPLLHRLYRKFSILTSLNLSRYSGYDLDDLLCQISRFPTLKITSLNLSYQPTVPENGLRAISQNNTILTSLTCSHIYDINATHLFFIAECFPLLEELDLSHVGMFMHTMVDETESYVLGVQALSLALFKLRKVNLSYFPINNQSLFHLFNNCKLLQEVNIFGCRELTNAGIASALRERPKLTSLLLSISLHHDEFTASHLIDSLVSLKSLTCLGLSALNISDELLYTIARKRLPLTRLVLKYCSGRYYNGLFYLLSMCHKSFQHLDLEDNRFLNDQHVVELSSFLGDLVSVNLSNCSKITESALFALARKCPLLGEITMENIRGSVANYESPAYIGVHPQLKSLYLGKNSWLSDANIIMFASIFPNLEVLDFNSCNNISKGICQVLRRCCKIRHLNLAFCKKVKLLGMNFVVPMLEVLNLSNTNVNDKTLYLISKNCIGLMQLSLQLCNYVTEEGVKHVVENCTRLQEIYLGDIHLSDKTREIFSHHGCHLY >RHN76291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49174492:49175292:1 gene:gene12590 transcript:rna12590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prenylated rab acceptor PRA1 MTTTYGTIPTPLSPLQTNLQLISRTNESIKFVIGTRRPWKLFFNVQSFNLPRNFNDAISRYKINICFFEMNYTIILVIILFLSLLFHPTSLIVFLELMASWLFLYFLRDEPFTVFGRLISDRVVVFPMLILTVVFILFIGTIFNIFVAVFMCVVIVLHAAFRNTNDYSIADLFIDEEDVISFSPPNVS >RHN63087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48285600:48286093:1 gene:gene25745 transcript:rna25745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase STE-STE7 family MLLTWLVFLIFLKGLSYLHGVRYLVHRDIKPANLLVNLKGETEITDFGITSFLENSVVMIEIALQKMSKSVLRILLSCERTARLSHC >RHN60399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26222344:26226867:-1 gene:gene22707 transcript:rna22707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chaperone DnaJ MFGRAPKKSDSTRYYEILGVSKTASQDDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDTYGEDALKEGMGGGGGGGHDPFDIFSSFFGGGGGGSSRGRRQRRGEDVVHPLKVSLEDLYLGTSKKLSLSRNVLCSKCSGKGSKSGASMKCAGCQGTGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGEKVVQEKKVLEVHVEKGMQNSQKITFPGEADEAPDTVTGDIVFVLQQKEHPKFKRKSEDLFVEHTLSLTEALCGFQFVLTHLDGRQLLIKSNPGEVVKPDSYKAINDEGMPMYQRPFMKGKLYIHFTVEFPDTLSLDQVKGLEAVLPAKPSSQLTDMEIDECEETTLHDVNMEEENRRKQQQQQQEAYDEDDDMPGGAQRVQCAQQ >RHN62378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43026284:43026559:1 gene:gene24947 transcript:rna24947 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYFSRLRCLSSNGYWVWNLFPQVVSLWRSYLYRHVKVMKFESPCQQCIVYLDLKREECASLFPYGKVYFQIFHLGGQGFFLSAHCKMSL >RHN52683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37718811:37720750:-1 gene:gene37381 transcript:rna37381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MGVSSALDTFCGQAYGAKQYHMVGIYTQRAMLVTTLVSIPLSIIWAYLEPILVILHQDQTIAAQAQLFARYSIPSLAANGLLRCIVKFLQTQNIVFPMVLANGITTLVHVLLCWTLVINLGFGIKGAAIALCISNWFNVILLLLYIKFSSSCKRTWVGLSMESLHNIPQFLKLAFPSAVMVCLESWTFELMVLLSGALPNPKLQTSVLSICTRISNELGAGSPNAASLAMLVALFMAFACGILEFAFIMSLWKVWAKAFSNVYEVVSYVTSMTPVLAIAIFVDSFQTTLQGIARGCGWQKLGAFVNLGSFYLVGIPFSAVLAFIFHMKGQGLFLGLVTALIVQVVCFLIVIWRTNWEKEVSYSILLKLLF >RHN54869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13099596:13099931:1 gene:gene29965 transcript:rna29965 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSCFLLRPLLLVLRVLARVLACLRACLLACLLACARVCLRARLLACAFDCSFACCFFGSVFFSFLCADSVFLLLPLP >RHN56423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30767677:30768191:1 gene:gene31821 transcript:rna31821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MFEILKFVYVMIFFISLFCFLNGVIAGDYYSCHYDKQCDEDCPFPFKGYCVEQHCLCKRWLQ >RHN67304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25821308:25822169:-1 gene:gene15484 transcript:rna15484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MCDKMCDAAFSCACDHLLPLARDHLLPLLKEAFNMIRGVPKEIEELKDELERIEVFINDVDRTADDVEDKKIKDMIKQLIEASFHIEDVIDDYIFLEEQQSSDPGCATGAIDLVKTKILRLQIADKIQNIKSRIREIKETSEKDHGFHIQSSSDKPSSSSATNRNASLLQNLRDAPFYMDEADVVGFEEPIEKLINWLVEGRADRTVVSIIGMGGLGKTTLAKKVFDNRKIVKHYKKCCILPGAEALAKCQKPWQMDVCQGFCQELNHQQICLSQMTLPGL >RHN67629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28794345:28795199:1 gene:gene15836 transcript:rna15836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSDFIKNLNKAIHSENEHEDRLSDLPDCVLLHILSFCNTKHAVQTCILSTRWKYLWKRIPTLILHSSEFPTIKSFAAFVSKILTLRDSSASLNALDLDCDGNIQPRLLKKILKYVSSHNSQLHELGVYVRGDSDLILQCVSSCHALTSLQLSVYSKGGYHEKTLFPKSLILPALTSLYLMNFAFCGDESSCVEPFLAFKMLNSLVIQNCEVRNARVFVISSETLVNLSIYNRSLKFTITDKIELSTPSLRTFTYNGSPSQKICEKGRSSITISTLKVRYDVFIL >RHN39042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3691101:3691581:1 gene:gene45033 transcript:rna45033 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTSQRTATTTASSGHMERYCGSHWRLKRKIQGGAQSEIGRWRREHEGGKRTGGREIERKTSVGGGWGERGTKTAGCGRMPAEVWWRKRRGKG >RHN62352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42821623:42822141:-1 gene:gene24916 transcript:rna24916 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLRLAMRHLHTRRCCYYLGRYLSPCRCHLRLDASRNCMQLVFQAGSMQKTQFSTLKP >RHN56311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29752924:29759917:-1 gene:gene31692 transcript:rna31692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine phosphatase superfamily MKFHFYPSRASSVLYLSFILSFSSATFHFHFFFFFFSVMDTAPGQSLYPLHHSKTIHLVRHAQGVHNVEGEKNHDAYLSYDFFDANLTPLGWQQVENLQKHVKAIGLSKKIELVVVSPLLRTMQTAVGVFGGEANTDGVNKPPLMIENVGHSDHPAVSSLNCPPFVAVELCREQMGLHPCDKRRTVSEYRHMFPGIDFSLIETDDDTWWKPEREKKEEVTGRGLKFLEWLCTRKEKEIAVVTHSSFLFNTLSAFGNDCHPNIKTEMCAHFANCELRSMVIVDKCMIGSNNSTTNYPGKIPHGPDLPSDATD >RHN80317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37123321:37127091:-1 gene:gene4225 transcript:rna4225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MSLIPNPNSKSSSSSFCIKWPWDSLNPNPKISQTPNPCNFEPPWLFKSLQNLGSFAFNFANSISNSSISQTPNSSKPDQKLNLTWNKKEEKASGGAKQAEAEQRAFAAALESGKEATLLEFYSPKCRLCNSLLGFVLEMETRNAQWLNVVMADAENQKWLPELLNYDVSYVPCFVLIDNKGRALAKSGVPHSRLHVIAGLSHLLKMKRPQQS >RHN50299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5932398:5939461:1 gene:gene34571 transcript:rna34571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MTIGALAPDALVANANPRKKKEVVDENAPLLPMCQETDAEFHEFNGASFPGAVFNLSTTVIGAGIMGLPACVKKLGMVPGLLAIILTALLTEKAIEFMIRFSRAGNLSSYGSLMGDTFGKYGKALVEICVIITNVGILIVYMIIIGDVISGTTSGGIHHPGILEGWFGVHWWTGRTFVVVFTTLAVFGPLVSLKRIDSLRFTSALSIGLAVLFLVIAGGISIIKIISGRIGMPRLFPVITDVDSVFDLFTVFPVLMTAYICHYNVHNIDNELEDSSRMRGVVRTSLTLCSSVYVLTSFFGFLLFGEGTLDDVLANFDTDFGIPFGSALNDAVRFSYVAHLVLVFPVVFYALRVNIDGLIFSSSRRPLVVDNFRFASITIALVGTIFLGANFIPSIWVIFQFSGATGAVCIAFIFPAAITLRDRYNIATKKDKIMSVLMIVMAVFSNAVAIYSDVSALINKKET >RHN68381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35001204:35006652:-1 gene:gene16724 transcript:rna16724 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSFRAQESSMQGGFKREQQFGGLMGSGTTEKDEELALFLEMRKREKERNDLLLHTSEEFDSALGSNPGTSPLFNISSSMPTAPVRKSGADEFLNSENNKNDYDWLLTPPGTPLFPSLEMETRKTVMSQLGTPTARPTALKSRLSNHQLEPTGRTGLASRQQTSSPVLSSSSGGTRRPSSSGGPGSRPASSSGRPSTPTGRPSLTTASKPSRSSTPTRNSIPSTRNVVATSKTTMSTTKPISTVSTTKPSTSSTRSTAPAAKPATQSRSSTPLSRSTARSSTPTSRPTLPPSRSTSRASTPTRRPSIPSNELNISSSSVKISSSPKPTTVSSRQPTPVKARQPTPVTARQPTPTTIRQPTPTKTRQQTPVTSRQPQVPSCGTSPTVKSRTWKPSEMPGFSLDAPPNLRTTLPDRPLSATRGRPGAPTSRSSSVEPSFSGRPKRQSCSPSRGRSSNGTAHISGNSMPAVSRGRSKVNDNVSPGMMGTKMVERVINMRKLAPPMMDNKNSPRSNLSGKSSSSPDSTGFGRTLSKKSLDMAIRHMDIRRTIPGNLRPLMTNIPASSMYSVRSGSHRGRTISVSGSPHATSSNASSEMSVNQNGLCLDSSEIDDEVGSERCVQSPASVRGR >RHN40932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24588852:24591787:-1 gene:gene47179 transcript:rna47179 gene_biotype:protein_coding transcript_biotype:protein_coding MREMNFVHHFLLLHYPLHNLNLEQSYGDEGLVGFVDKEDDYDNLFWGDPDSDPCSAYLLPAFNRTTLLLNQWFCFLFSHSSCNCHHFNFPISIITTKPKNALTVLSLTHSSICLSLSLYHFLPFLQVE >RHN73154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13890263:13890760:-1 gene:gene8940 transcript:rna8940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galacturan 1,4-alpha-galacturonidase MRCLIKTVHEPSLVKIKNISFRNIRGTTTSPIAVDLKCSKLFPCKNVGLHNINLSLGAKKPTASKCANIKPIYSGSQKPPPCR >RHN51861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27178571:27179615:1 gene:gene36410 transcript:rna36410 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DREB1C MYPTTNSVSSSSSDMSLPNSEGSHWMSICNEEMRLAATTPKKRAGRKKFKETRHPVYRGVRKRNLDKWVCEMREPNKKTKIWLGTFPTAEMAARAHDVAAMALRGRYACLNFADSAWRLPKPATTQAKDIQKAATEAAEAFRPDKTLLTNHNDNDNDNDKENDMAVVATATEEQSMICMEEKEEGVMNMQEMWSNMALMSPTHSLGYYEYQYINEDFQDEEVSLWSF >RHN72507.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8219227:8222229:1 gene:gene8227 transcript:rna8227 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNLHSNTESAEIGVAANQNAVSTSDGCERSLKPVEDKEEEEEEEEEEEDADFNPLFLKETLSDASSSLSSEGDGLDRNVVDSGPSMDIDLEKITDNEQICSAVDSEHGEEEIVLEAAGMISQLEIDKEKKNDLISGTSDGFRIEDISNTTKPRSPVIDIDTEDAICMRTRARYSLEGFSLDELETFLQETDDEDDVQNVDDEEEYKKFLAAVLQGGERDGLSSHENENLDDDEDNDADFELELQELLDSDADENAAVETRNECDGAGRRPKTRQNNRRKSSSQSERKTFGQVNRPLRPILPCWLNGQLVSGNGLMPEATCSIQSSASRNGLVNGFTPQQIGQLYSLIHEHVQLLIQVFSLSVLEPSHKQVASQVQSMLFEMLHKRDAVLASTRTPYPAVCFTPSVPGQCHTGSASIQDAMSVRIPQYHQTSSEGLNGQRSCFQDADGSFWFPIVRGPVLSMLDVAPLNLLRGYVNDINSAAREFRKRFIESGFDQVIEKEPLFPFSSSVVGANSTVSSSPDKKQPKKTLAGMLVESSKKQSIALVPKEVASKTQRFLAFFNPALFPHKPPLAASVNRTLFTDSEDE >RHN74290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31530355:31532477:-1 gene:gene10328 transcript:rna10328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MELDLSEKPVERIEEQMKRIEAIFKAKQNQKWQQCHNPIQITNFDGEQLTKTDNVEDDESWMTMEEVEGATLECKKTCKRKAPCLVANALGMVTSANGVGGRTRNFFDCNICLDTANNPVLTCCGHLFCWECFYQLAYAYSNAKECPVCEGEVLDTDIIPVYGNGMIDDISRLELKETCLRVPDRPHAPRVESTRQRRRRRTVASTNQDLSLFGNFGGLEEPDPMQFPSISTDGAMF >RHN55979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26300428:26301605:1 gene:gene31283 transcript:rna31283 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGSGSVADTLAAVKTRRAKESCCFGGVVEGKSTLLLT >RHN39242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5232373:5245263:-1 gene:gene45247 transcript:rna45247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LSM-interacting domain, tetratricopeptide-like helical domain-containing protein MEVEQTLTLTSEAEREPEKDEATAMSDSSNDSDSDSDDEAQQNIQLQSLQTELAANPANYDAHLQYITLLRKTGDVDKLRKAREVMAEIFPLTPSLWQQWIKDELSLTTANNDTFSTVVKLYECAVFDYLSVSLWCDYLQSVQDFDPMLKQSSPTAISKGRDLFERALTAAGLHVAQGSKIWEAYRQYEQAILLTIDESDTQAKEKQVQHIRSLFHRQLSIPLADMNSALIAYKTWEVEQGNFHDVSIDLIDRYPHVASAYQKALEMYNARVHLEEQISSQDISDSERLQQYMNYLKFEQSSGTPARIQVLYERAITDFPLSPDLWLDYTHYLDKTLKVGKIVSDVYSRATKNCPWVGELWVRYMLCLERGHASEKDLAAIFEKSLQCTFSTLDEYLDLFLTRVDGLRRRMTFTKEEDPLEYKIIKETFQRASDYLSPYMKNTDGLLQIHAYWAHLETKLGKDITAARGVWENFLKTCGSMLAAWTGYIAMEVELGHINEARSIYKRCYSKRFYGTGSEDICNSWLRFEREFGKLEDFDLALQKVTPRLEELRLFRLQQESKSVEESENNPRKNVGEKRKPGADIADEQSPAKRKKDAGKKIQKAPKDNIDQVQNSTQVTKVEGSNQKNNKSDDSQKQQLTRGKHRAYSDQCTAFLSNLNPTANDGHIRDFFSDIGGIVAIRILHDKFTGKSRGLAYVDFLDDEHLVAAVAKNKNTLLGKKLSIARSNPKRGGKETSNPKKSEHEHDIDHSDKKGSVSKETDDTSKKDVKDGKFSSRKPGSDNIQFKGKNTFAVPRNVRALGCTENKPKAEVGDEQPKSNEEFRKMFIQR >RHN71306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58106081:58106921:-1 gene:gene19971 transcript:rna19971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(A)-specific ribonuclease MRQIIMTKEDPSSEPIMIREVWAHNLQEEFNLIRGFVGTYNFISMDTEFPGVIFPLKVDHRHLQPYEQYSYLKSNVDALKIIQIGLTLTDAKGNIPRFKNICCIWEFNFCDFKIGRDIHNQDSIDMLRRQDVTWVTFHSAFDFGYLVKILTQSYLPNSLEDFLKFLRELFGSKVYDMKYIIRYCSALHGGLERVANILDVDRAIGKSHQAGSDSLLTWQTFQKIVHTHFTNNEVQKYAGIIFGLEVKAC >RHN63740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53650905:53656509:-1 gene:gene26481 transcript:rna26481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rhomboid protease MQSLVRRFTAVTRHHNNHHHLQPNLTFNPHRISHTRPSTHIAQPSNLNHHIPSPSSAIHSWRFLSTKIRSSFSQPMIVRRNYLSSVKSIIDRRFPRVHFHRQNYISNWNNNSYRSGWKSWLNRLTPNDLVLGLVVANVAVFLFWKIATQDFMLNNFTISLDNIKNGRLHTLITNAFSHVDAWHLMSNMLGLYFFGSSIASKFGPEFLLKLYLAGAIGGSVFYLGHQSYKSLTSKGYGAIKASKDLALGASGAVNAVMLLDIFLNPRATIYLDFFIPVPAALLGIFLIGKDMLRIIEGDSTISGSAHLGGMAVAAIAWSRVRKGRF >RHN62787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45840006:45844178:1 gene:gene25396 transcript:rna25396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MGREEESKKMEIDLSLKIDSDDEQEEEVDRELVKEDDEKEEVHEATAGEIEDDDASVIQLSLQDDTKIKQLSALEMEMESMKEENKALRKVVEQTMKDYYDLQTKFSVIQENNNNKRKDRQLSLSLQDNNAATSGEGPSRSFHEIFSQKIQRTSSPLPPNNISEDDSISESDLGLSLRMQTISTCQRDTEGKKEQLGSFESVQNKMQRVHEVPSVTTTHAAYSPPNRKARVSVRARCETATMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPGCPVRKQVQRCIDDMSILITTYEGTHNHPLPVGATAMASTASAAASFMLLDSSNPNISDYGFTTQQSFNPYTNNSFHHPLNQSPNIRSINPNDPSQGIVFDLTNNNNNELNEQRRFSTAGSSSSNISTDQPRFSWMQNKYQQQSNTSNANITMNNFHNPRVMDIHDRVWKGSEENNNNNVSAIASDPKFRLAVAAAISSLMNKESNNTTTHGSNN >RHN63274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49971476:49974612:-1 gene:gene25955 transcript:rna25955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-YABBY family MSSSSTTTLSLDHLPPSEQLCYVHCTICDTILAVSVPCTSLFKTVTVRCGHCTNLLPVNMRALLLPSPNQFHLGHSFFSPTHHNLLEEMPNPAPNFMMNHINAPNDFSMPPTRTVADELPRPPIINRPPEKRQRVPSAYNRFIKDEIQRIKSVNPDITHREAFSAAAKNWAHFPHIHFGLMPDQTMKKTNVCQQEGDDQILMKDGGFYASANLGVSPY >RHN69755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46037266:46040066:1 gene:gene18268 transcript:rna18268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Band 7 domain-containing protein MASIWKSNSARVALRSITRSLAAAESATSANHLTSSIPSRLSSICHLRSCRDLISSHSLASALTSTTNHTSYRFSSVRYVHFRRRYYDHISKQYAEPPMNFGINFVPEQTVYIIERYGKYFKTLPTGVHFLIPFVDKIACVHKLWIETLKLNSQSAFTNDNIGMFVDGRIDVKIVDPKLASYGTEDNPLYAIHELAQATIRVEIRKITLDDFNKEKNDTLPKKIMESINVAAKRWGLECLGCRINDSGTRLMPQNGADDE >RHN52205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32676052:32676971:1 gene:gene36850 transcript:rna36850 gene_biotype:protein_coding transcript_biotype:protein_coding MPVWSFFILLLEAVSAVFSIAQETTVAVLPSFLHCIPLPPFIRLVTS >RHN64205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57240823:57246575:-1 gene:gene26992 transcript:rna26992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MLPRSAAVVIVLLIIGSVIGVAAVVDCKPHRIVVDTDVATDDLFALLYLLKLNTSEFQLEAVTINANTWSSAGHVVNQIYDLLYMMGRDDIAVGVGGEGGILPNGTILPNVGGYLPIIDQGMTTTGGCRYRQTIPIGLGGRLDVDANYGIRKAFLPQGKRKYTPLEQPTAQQVLIEKVSAGPTTLFMMGAHTNVAIFLMNNPHLKKNVEHIYIMGGGVRSSNPTGCCPKNASSCVPQQCGDRGNLFTDFNTNPYAEYNIFGDPFAAYQVIHSGLPITLVPIDASNTIPITKEFFEAFEKSQDTYETQYCFKSLKITRDTWFDDEFYSSYFMWDSFMAGIAVSIMSKSNNHKGENEFAEMEYMNITVIASNKPYGISDGSNPLFNGLKVPKFNLEKGGVLSGHIQQGLRDPYCFVKNGKGKCQDGYTKEVRGEDSVRVLVATKAKPNQDVGSSLDREYFINFLNVLKQPQQAGRYNFTTQFPCYKKVTYKPDIHNKRLGKPVLFDMDMSAGDFLALFYLLKVPVQVIDLKAIIVSPTGWADAATIDIVYDILHMMGRDDIPVGLGDVFAMNQEDPILPVVGDCKYVKAIPHGSGGYLDSDTLYGLARHLPRSPRRYTGEKSVKFGAPRDTDHLELRQPLAMEVWESVLQTMKPGSNITVLTNGPLTNLAKVVSMTNISSRIKEVYVVGGHISRNTEDKGNVFSVPSNQYAEFNMFLDPLAAKTVFESEVNITLIPLSIQRRVSLFATFIGRLHGTRKTPEVAFSKRLLSSLYHLKQIHDRYHHMDTFLGEILGAVVLAEKASSLKPKFEVKHIKVLASGIESTDGKMVVDEKNGKLVRILSNVEEKAYYNLYANKLGDQYQSAKVGSFDEQTTKWRHPHDDEPSKEKCAQFHGYN >RHN78655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17213750:17216743:-1 gene:gene2289 transcript:rna2289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MSFTLKTIFLSFILALFTNLNPPHFAESSSDYTTLVYKGCSKETFIDPNGIYSQTLSTLFGSLVSQSTKTKFYKTTFGSGQNSITGLFQCRGDLTNSACYNCVKTLPILSNRICGKTIAARVQLLGCYLLYEVAGYTQISGMQMLYKTCGITNVAGSGFGERRDAALSAMEIGVVSGHGFYTTNYMAFYVLGQCEGDIGDSDCGQCVKTAVQRAQVECGNSISGEIFLHKCFISYGYYPNGVPSRHSSYSSFSSGQDPGKTAAIILGGIAGVAFLVIFLLFARNLTKKHNDY >RHN63155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48952123:48954120:1 gene:gene25825 transcript:rna25825 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FHY3/FAR1 family protein MGLNILNGFHNHPMEPALEGHILAGRLKEDEKKIVRDLTKSKMLPRNILIHLKNQRPHCMTNVKQVYNERQQIWKANRGDKKSLQFLISKLEEHNYTYYSRTQLESNTIEDIFWAHPTSIKLFNNFPTVLVMDSTYKTNMYRMPMFEVVG >RHN61083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32835060:32843692:1 gene:gene23505 transcript:rna23505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEILKFVYIVILFISILLVVETEQCVYDADCEKIYPLHRQHLFKCIKAFCVRLDQVEEVHVAKDGVFPSTVHAADATGVDAKGRKDLS >RHN70167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49273479:49303323:1 gene:gene18722 transcript:rna18722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FMP27, GFWDK domain-containing protein MAASPVNFLFGFLLLSVTLWLLFIFASTLLAWILSKILGASVGFRVGGWKCLRDVVVKFEKGAVESVSVGEIKLSLRQSLVKLGVGFISRDPKLQVLICDLEVVMRPSNKSPGKKKTRKSRASGRGKWMIIGNIARYLSVFVTDLVLKTPKYTLEIKELNVDISKDGGSKSSLLVRLQILPILVHIGEPRDSCDQLSNLGGGGCSSSCQASFAAIERSSAPFICEKFSISCEFGHDREVGIVIKSLDISSGEVTLNLNEELLLKSKSSSKSSSNSVSADSSTKKPSKKQQTLATFSKYSSMFPEKVNFNLPKLDVNFVHREHGLSIENNITGIQLKSTKSRSTEDVGESIRLDFQLEFSEIHLLRGAGSSILEILKLDLVSFVYIPVQPISSVRAETEIKLGGTQCNIIMSRLKPWLLLHLSKKKKIVLREEASVVVKPQSTDSRIIMWTCNVSAPEMTIVLFDMVGSPVYHGCSQSSHLFANNISDTGTTVHVELGELNLHLADEYQEFLKESVFGVESNCGSIMHIAKVCLDWGKKDMESSEEGGPRLGLLVDVTGMGIYLTFKRIASLISTAISFQALLKTISGSKNKLTQSQGRLTKSSGKGTQMLKFNLERCSIYVWGEVGLDNAIVPDPKRVNYGSQGGRVIVDVSVDGTPRNAHIMPTTSNEYQKLKYSVSLEIFQFNLCMNKEKQSTQIELERARSVYQEYMEENRPVTKVALFDLQNAKFVRRSGGLKQIAVCSLFSATDITLRWEPDVHLSLIELVLQLKLLVHNSKLEHMGDASHGRDANWKQEATIESGHLGKQKQKESIFAVDVEMLSISAGLGDGVDGMVQVQSIFSENARIGVLLEGLMLCFNGARILKSSRMQISRIPSVSASPSDAKEHVVTTWDWVIQGLEVHICMPYRLQLRAIDDVIEDMLRGLKLIIATKTNLIFPVKKDSSKVKKPSSVQFGCLKFCIRKLTADIEEEPMQGWLDEHYQLLKKEAGELAIRLNFLDELISKTKHVPKSTDTISSSQEGKFCYNNIEVDVKDSSTLESIREEIYKKSFRSYYQACQNLVLSEGSGACKEDFQAGFKPSTSRTSLLSISALDLDVSLRKIDGGDAGMIEVLKKLDPVCLENNIPFSRLYGTNILLNTSSLVVQLRNYTFPLFSGSSGKCEGRLVLAQQATSFQPQIFQDVYVGRWRKVRMLRSASGTTPPIKTYSDLPIHFQKGEVSFGVGYEPAFADVSYAFTVALRRANLSIRNPGPLIHPPKKERSLPWWDDMRNYIHGKVSLLFSESRWNILATTDPYEKVDKLQIVSSCMELHQSDGCVSVFAEDFKFLLSSLESLANRCGFKIPTGVSGAFLEAPIFTLEVTMDWECGSGDPMDHYLFALPVEGKPRDKVFDPFRSTSLSLRWNFSLRPLPLSLKKHSSLSIARDYTEQGSTVFDPPHVSQNFSRVSPTFNFGAHDLAWILRFWSLNYNPPHKLRSFSRWPRFGVSRAARSGNLSLDKVMTEFMLRLDATPACIKNMPLDDDDPAKGLTFTMRKLKYELCYSRGKQKYTFESKRDILDLVYQGLDLHMLKAFLNKEACASVAKAVNMIMKSSQSVSTDKISTDKGYMTEKNRDDGFLLSSDYFTIRRQSSKADPARLLAWQEAGRRRKVEMTYVRSEFDNGSETDEHMRSDPSDDDGYNVVIADGCQRVFVYGLKLLWTIENRDAVWAWVGGLSKAFEPPKPSPARQYAQRKLLDENKKHDEADLGQGDVSKCQTGKSSKSPSSQQAGTSGSVSSPSNSVKADTSLSAKMENIDDSDTEGTRHFMVNVIEPQFNLHSEDANGRFLLAAVSGRVLARSFHSVLHVGLDMIEKAFGATDVHISEYQPEMTWKKMEFSVMLEHVQAHVAPTDVDPGAGLQWLPKILRSSPKVMRTGALLERVFMPCDMYFRYTRHKGGTPELKVKPLKELAFNSRNITATMTSRQFQVMLDVLTNLLFARLPKPRKSSLSFPAEDDDDVEEEADEVVPDGVEEVELAKINLEKREREQKLLLDDIRKLSLWCDPSGDVHPEKESDLWMITGGRSMLVQGLKRELLSAQKSRKAAAVALRLALQKAAQLRLAEKEMNKSPSYAMRISLEINKVVWSMLVDGKSFAEAEINDLKYDFDRDYKDVGVAHFTTKYFVVRNCLPNAKSDMLLSAWNPPSEWALKEMLRVDAKQGAPRDGNSSLELFQVEIYPLKIHLTETMYRMMWEYFFPEEEQDSQRRQEVWKVSTTAGARRVKKGSSVLEASASSSQSAKESETSSKSGISAMLFPATSQPPVHADSAQISKVQTVKENPGTSITPELRRTSSFDRSWEETVAESVANELVLQSFSSSKNGPFSSTEHQDEAKNKSKDSKGVKGGRSSHEEKKVAKSHEEKRSRPRKMMEFHNIKISQVELLVTYEGQRIVVNDLKLLMDQFHRPEFTGTWRKLFSRVKKHIIWGVLKSVTGMQGRKFKDKGQSQPPEPGLPEIDTIFSQHEGQGGKSDQYPLSWLKRPSDGAGDGFVTSIRGLFSAQSRKAKKFVLHTMRGDTENDFQGDSSDNDTEFSPFARQLTITTKKLIRRHTKKFRSRGQKGSSSQQRESLPSSPRETTPFDSDSSSGSSPYEDFHE >RHN75966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46627268:46631231:-1 gene:gene12231 transcript:rna12231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-XVI family MKHQLKVSVALVISLLWFQHSFCAKEPSDSGRNKWKCKCSLRANQRYSISNCSKSCDCHSESASIWTCICDSNGFPKVAAGSHNPNCFIACNCIWGTAKMTLGSKSHISSKIVIIILIMCVTCTIIAFLAFVVCYVRRREIHHPIQSPMVSSSDKETSYSSTSNFISQRTSFVPETKSVINSPISHITRCLQKASILFGNQREMFHGNIIQFSFAELENATENFSASNLIGLGGSSYVYRGQLKNGSNVAVKRLKDQGEPKADTEFFTEIELLSRLHHCHLVPLIGYCSELKGKNVQRLLVFDYMSNGNLRDRLDGVFGKNMDWSTRVTIALGAARGLEYLHEAAAPRILHRDVKSTNILLDKNWQAKITDLGMAKDLRSDSLPSCSYSSQRMKGTFGYFAPEYAIVGRSSIESDVFSFGVVLLELISGRQPILKSAGKEESLVVWAAPRLQDSRRVLTELADPQLKGNFPEDEVHIMANLAKECLLLDPDNRPTMSEVVLILSSISRTKSRRRRYVQLCLFQDRDDDAEQQRQSSLSRFPPHNSLPPCTDYDLRVGNEDKDVDTISTEYMKSLILLTSKGEKWHASEEEMVDLSEPRLESFCMENISFP >RHN62973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47195298:47198217:-1 gene:gene25615 transcript:rna25615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA-dihydrouridine(16/17) synthase (NAD(P)(+)) MKQPKPFSSFFSHFHIKSFVLQPYSSYSVMAQTRTSPDPENEHPPRNLTLTADARVERAWAHWTKLGRPKLIVAPMVDNSELPYRMLCRKYGAQAAYTPMLHGRIFTEAEKYRNEEFTTCKEDRPLFVQFCANDPDILLEAARRVEPFTDYVDINLGCPQRIAKKGNYGAFLMDNLPLVKSLVEKLALNLEVPVSCKIRLFPKLEDTLKYAKMLEEAGCLLLAVHGRTRDEKDGSKFRADWKAIKAVKEAVRIPVLANGNIRHMDDVKDCLEATGVEGVLSAETLLENPALFAGFRTEEWVSGCEEGTFVDGKLDQADLLIEYLNLCEKYPVPWRIIRSHVHKLLGDWFSLQPHIREDFNKQYKVTFEYLYDMVDQLRETGTRIPLYRKSTEMEPTESYTDQHN >RHN65079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64053517:64058135:1 gene:gene27973 transcript:rna27973 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILYGNSEAEPVPEACSQLTQEFFNENTLRLLVHCLPKLNLEARKDATQVVANLQRQQVQSKLIASDYLEKNLDLMDILVSSYENPEMALHYGAMLRECIRHQIVAKYVLESPHMKKFFDYIQLPNFDIAADAAATFKELMTRHKSTVAEFLSNNYEWFFDEYNSKLLESSNYITRRQAVKLLGDMLLDRSNAAVMTRYVSSRDNLRILMNLMRESSKSIQIEAFHVFKLFAANQKKPAEIIGILVANRSKLLRLLGDLKIDKEDEQFEADKAQVMKEIAALEPKE >RHN51134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14233285:14234507:1 gene:gene35518 transcript:rna35518 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPPPICLAKIKYHCHLPQPDEYYYQTAARHSLPTPDESYTPTATKPSNDYYPPSAAPHPHCNRLSKLNTTTPPSEPFESFPNPPRRSSNAPLFDFGEAKTFSKLTFTVLFSSDTTSKTLSS >RHN54240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8003479:8008737:1 gene:gene29242 transcript:rna29242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inorganic diphosphatase MANHDGSNEWSSSLPHPKLNERILSSMSRRTVAAHPWHDVEIGPGAPSVFNCVIEIGKGSKVKYELDKTSGLIKVDRILYSSVVYPHNYGFIPRTICEDSDPMDVLVLMQEPVLPGTFLRARAIGLMPMIDQGERDDKIIAVCADDPEFRHYTDIKELPPHRLAEIRRFFEDYKKNENKKVDVDDFLPAESAVDAIKYSMDLYASYIVESLRK >RHN76720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:692818:693573:1 gene:gene90 transcript:rna90 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQTDLAHQNPFTIALKNLGCKISDKETDVSVKISTATKILTPSQIEQEYHEWILEMHRKYDDEADAGEDKPVIVVNPANKEALGISEDVIRVHRVLKRKEKSWCHGQKIKVLRGACSGFHYSDVYATIEYFLLEGFEGDLGGKIPECST >RHN53646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3053588:3054612:1 gene:gene28575 transcript:rna28575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MDFFMSSFSDYSDTSSSETASSNRTSSSEVILAPARPKKRAGRRVFKETRHPVYRGVRRRKNNKWVCEMRVPNNIVNKNNKSRIWLGTYPTPEMAARAHDVAALTLKGKSACLNFADSAWRLRLPESNDATEIRRAAMEAAQLFAVEDKQCCVTVEDGVFMDMEDSKNMLEAQVPVVSSEFEDMHHLLLSIANEPLRSAPPSPTNYGSYNWGDMEIFDTQLVSLWNFSI >RHN41509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30614132:30615069:1 gene:gene47841 transcript:rna47841 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLEGTVTNSNGGCDTLMRNMSNPLCFQPASWISLHYFWSSFSCK >RHN78328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14168089:14170165:-1 gene:gene1870 transcript:rna1870 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKNSKPKTSIFLCCFRSHMPNKPSTNDSSTIKEVNPIPKQKKSSWFSWRWIKMKMKSTSKTVPLEASFSHYSKSRSKFSTLHHKSRKTLPTNPPPSIPPSTVVLPGTPYYTPTQTRHGPINDIITEDTHVQGRATPTRPKRQVQRLSSTTQNQTTIKNLKNVRSSYDPIVGMSILGVTLLIMIFWGRFCAILCTSAWLYFIPRFRNSGGGNYEEDGAKIMSKNDVDFDSEEYKKKVIMEGLLGRNNRGSNI >RHN76742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:902635:905119:-1 gene:gene115 transcript:rna115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MASGIRVLSIARKSYSGRIPHNFFFRNHSSNSKSNSNPLLHKLLHLPNSHIKPTLDHEFPSLPTSLLSFDFLITSLSPSSQKPNLVLEWILEKLLKENVKDHGRFSELIFLCGKLKNVQLGINVFTSMEGVGVKPTSLVFNSLISACLSSHDIVTAYSLFEIMESSENYKPDFHTYNNFISAFSKSGNVDAMLAWYSAKKATGLGPDLQTFESVISGCVNSKNYEIADRVFEEMKISEMIPNVTILESMLKGFCSQKSLCRANEFFKFVLDNRWQISETMAAMLVVLYHEQGQVEKMEELLETITSYPIDSGVLSQIHCGIVTMYAMLDRLDEVELSVGRMLKQGMSFTSSDDVEKVICSYFRKEAYDRLDIFLECIKNCYVHTRSTYDLLISGYRRANLHEKVDLVLADMESVGLADMKMWDLVLLN >RHN43522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46491062:46495099:1 gene:gene50117 transcript:rna50117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA reductase MLETIKYLIGSAGPSGFGSKSTAEQVTETCGDLRSITAIITGGTSGIGAETARVLAKRGARVILPARSMKNAEETRGRIVTECPEAEIIVMALDLSSVNSVTNFVTRFHSIGFPLNLLINNAGKFAEEHAISEDGVEMTFATNYLGHFVLTKMLMKKMVETAKETGIEGRIVNVSSAIHGWFTGDVISYLAHICRNKSEYDATRAYALSKLANVFHTNELARRLKEMDANVTVNCVHPGVVRTRLTREREGFLTDLVFFLASKLLKTIPQAAATTCYVATHPRLVNVSGKYFADCNETSTSKLGSNITEASRLWAVSELMISKGPKAAFDLINNLEF >RHN56337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29976338:29976792:-1 gene:gene31721 transcript:rna31721 gene_biotype:protein_coding transcript_biotype:protein_coding MKGCSFVTNVHDFTFSPSLICSNSLIPFQSNVFPSNVTLKPLRAKVCISHKQKGKSEFVVGCASWGLVNEFKRELEEGETNDEKGKSGITRYREKCGEREGVVELLECLEKEAIMGDDEGKEPNDYNRRAQIFDKSSQVFQALKESNDHV >RHN69446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43475349:43479774:-1 gene:gene17922 transcript:rna17922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MELGLDSRKLQLQPQNLKQEHFHVLAVDDSVIDRMLLERLLRDSSCKVTCVDSGDKALKYLGLNIDEISSTKSSILESSSPSLPQPLQLQEGNKVNLIMTDYCMPGMSGYDLLKRVKGSSWKDVPVVVMSSENVPSRISMCLEEGAEEFLMKPLQLSDLQKLQPYFLKSLENSSDEQESANSSTTTTDSDDDNDLIDSNNNSNDDNSNSISKRKAMSPEPPERSMPKMKGLAVVV >RHN66551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13661902:13666762:-1 gene:gene14557 transcript:rna14557 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDDVCVFNGQPIAVDGTGRTVTVEPDLSLELVAEAVFDGGKKFLVESDGELLLVDKYFSSLNDYDVIDVDDDDYFGIVMGRDVRFDVFRLDEREKKWVEVRNLGDRVLFLGEDCAFSASALDLCIGNGNCVIFRDDVFHNFHSNEVGIGVFHLDQRRISPFSDLLGSSELFWPPPEWVGLRIDDNRVLILD >RHN53522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2259200:2260673:1 gene:gene28437 transcript:rna28437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MDGIQNRDIGSCLSNLILSSSTNTLDSIFSDIPSTNTTTDSTTFLGCSNFEPLGSSVYLRQRDILQKFYEESRLNGSFVPSSFSNQSLLTPSLYTTNSTSISSSFTSLVNPCKKKLYRGVRQRHWGKWVAEIRLPQNRMRVWLGTYETAEAAAYAYDRAAYKLRGEYARLNFPNLKDPTKLGFGDSTRLNALKNSVDAKIQAICQKVKREKAKKIAAKKMKKNSGSNNHDTKSDKNSDKIINSSSCSSSSSSLPLSPLVFCDDWVNELFSPTVSEDGIWKGENSPNSVSTMVTEETEFEDCSLARMPSFDPELIWEVLAV >RHN53091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41957746:41958370:1 gene:gene37852 transcript:rna37852 gene_biotype:protein_coding transcript_biotype:protein_coding MFKESFYKARIGPQRPGTKIKVAENWTCSLKRPPGSIKRTNYSNKPHCTLSGR >RHN64419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58897129:58898565:-1 gene:gene27235 transcript:rna27235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MDVKGRGSNNKNNLMSSDEDDMDLRRGPWTVDEDLTLMNYIATHGEGRWNTLANSAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHTRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKLAKQLKCDVNSKQFKDTMRYLWMPRLVERIQAAAAATTTTTHNTTMTYFMNLSSSQPHMTAKFQLQQL >RHN74222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30434308:30438847:1 gene:gene10248 transcript:rna10248 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSATATREPREQEEENTITTTTMASVPSSPPRAPLSAAESTTNSTTPFPKQEVKVERNATNNNGVFHADEVKPDLNDGLDHLDSKQCIERFRKYENEYTHRLLNKFFSGKNLNGGNSFYEEIAIGAEVLKTSRVPCFQLYADPVVGFEEQCSKGSTSPAKTQANTPNGKHTEELK >RHN50154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4541349:4541685:-1 gene:gene34409 transcript:rna34409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MSHTTKSRLTNGYLETKVDCIPGLQNFRLKDLPDFIGITDPNYSIVEFINEAMNRATVPLL >RHN49706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:426638:429113:-1 gene:gene33911 transcript:rna33911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MEKEIVENLWNGDSEAQIQAAMKLSRLSNKQKHNLAESGVMVPLVSMLHSQNFEAIEASLCAFLSLSFGSERNKIRIVRYGVLPILLNLLHCESQTVIQLSVAAMLTLSSCKRNKVAIASSGAVQILVELINNSNIETQSQLDTIATLHNLTSCQEIIPLIASSGVIFSLLELIHDSVKSSLLVEKAIGLLENIVSSSESALCEAASIGGAIRILVETIEDGSSLGKEHAVGILLLICQSCREKYRVLILTDGVMPGLLQLTVDGTWRAKSTARELLLLLRDCSSYSSRSTQINHKLIEQIMEEIDTEGEKLTDTTLRLVEEMIAKLNT >RHN62555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44329117:44330677:1 gene:gene25146 transcript:rna25146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MESLENLLCKMLVLHIYFLLLTFSFCSCSSDTISIDKTLRDGELLVSKSKTFALGFFTPGKSASRYVGIWYYNLPIQTVVWVANRDAPINDTSGILSIDPNGNLVIHHNHSTIPIWSTDVSFPQSQRNSTNAVIAKLSDIANLVLMINNTKTVIWESFDHPTDTLLPYLKIGFNRKTNQSWFLQSWKTDDDPGKGAFTVEFSTIGKPQLFMYNHNLPWWRAGHWNGELFAGVPNMKRDMETFNVSFVEDENSVAISYNMFDKSVIARKVVNQSGFFQIFTWGNEKNQWNRFYSEPTDQCDNYGTCGSNSNCDPFNFDDFKCTCLLGFEPKFPRDWYESRDGSGGCVRKKGASICGNGEGFIKVVSVKVADISGAVAIDGLSLEECEKECLRNCSCTAYAVADVRNGGSGCLAWHGDLMDIQKLSSDQGQDLFLRVDKVELANYNKKSKGALDKKRLAAILVASIVAIVILLSCVNYMWKKKTKGMRFFF >RHN82473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54044987:54046731:1 gene:gene6642 transcript:rna6642 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGIGLMADALNKGNSIYDQLHDVAKQQIEIYAQQVAAIEKCNEILKNCRPRVYTGADVWNMLDELDHLLPQFRFKCYEVLCNDNKKKDLVFGVPTDMHLHVLLQMMNANFYH >RHN81531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46762268:46769775:1 gene:gene5591 transcript:rna5591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine/threonine-protein phosphatase with EF-hands MVLDNDPVESFFNSIQVMKESLSPLEVGFRKAAKDFEHCFAKNKTQGVCLIAQVKDGGDFQICDVKKKKGLSMKVPLKAFLGKFSQNSEKLNKTQVVKENESSCSNCLKFSVTWSLLVSGFIQSLPIPFKSVKKRGQKVCDEDSHKEKCSCMKPSLSPCEMKHNESKGRTIKEKVVKRKDGKEHVSLECVIGFIFDQLSHTLQSLDQGINGLQEKNDELECGKASLDSAPFGHVNAFTSFLEGHKVDVNGFLGNLNFAKVGGVPSSVAGEEIASQNEMGDSANDETKEESVGISAQKVASNIFSIPLTNVERLKTTLSTVSLTELIEMLPQLGKTTKDHPDKKKLFSVQDFFRYTESEGRRFFEELDRDGDGQVTLEDLEIAMRRRKLPRRYAKEFMSRTRSHLFSRSFGWKQFLSFMEQKEPTILRAYTSLCLTKSGTLKKSEILESLKNSGLPANEDNAAAMMRFLNADTEESISYGHFRNFMLLLPSDRLQEDPRSIWFEAATVVAVPPSVEIPAGSVLRSALAGGLSCALSCALLHPVDSIKTRVQASSMSFPEIIAKLPEIGTRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLVNVAPNLPELQVQSIASFCSTFLGTAVRIPCEVLKQRLQAGLFNNVGEALVGTWQQDGLKGFFRGTGATLCREVPFYVAGMGLYAESKKGVQKLLGRELEAWETIAVGALSGGLAAVVTTPFDVMKTRMMTAQGRSVSMSIVAFSILRHEGPLGLFKGAVPRFFWIAPLGAMNFAGYELARKAMNKNDEAKTGNLE >RHN82291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52613494:52618327:-1 gene:gene6445 transcript:rna6445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronosyltransferase MGAEKKWLFTLFSAAVLSLMLLLMSSFSTFSSQKPFPSFVQHGSHYPPAFAYFISGGHGDKDRIFRLLLAVYHPRNRYLLHLGMDARNEERQGLADAVSSVPAIRAFGNVDVVGKADWITYLGSSNVAITLRAAAIMLKLDSGWNWFITLSARDYPLITQDDLSHVFSSVNRDLNFIDHTGDLGWKESDRFKPIVVDPGTYLARRSQIFQATEKRATPDAFKLFTGSPWVTLSRPFLEFCIFGWDNLPRTLLMYFTNVKLSQEGYFHSVICNAPEYKNTTVNGDLRYMIWDNPPKMEPLFLNTSVYDMMAESGAAFARQFEANNPVLDMIDKKILQRGGRNRAAPGAWCSGRRSWWVDPCSQWGDVNILKPGPQAKKLEASVSSLLDDWTAQTNQCQ >RHN67969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31876638:31877780:1 gene:gene16237 transcript:rna16237 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLFCFDLVFFGNSALCQICVDMRSSIVFSSSLILMKTLTTVHFHLLCLKLQFHFRDLCF >RHN75459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42459026:42461534:1 gene:gene11673 transcript:rna11673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferredoxin [2Fe-2S], plant, Beta-grasp domain-containing protein MSALSTVKVYRYCTLKATNQNQFTTAATVKCPSSLGSAKNVSRSFGLKSSASSRVTAVAAYKVKLIGPDGKENEFEATDDTYILDAAENAGVELPYSCRAGACSTCAGKVVSGSVDQSDGSFLDDNQLNEGYVLTCVAYPTSDCVIHTHKEGDLF >RHN56023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26920543:26921466:1 gene:gene31339 transcript:rna31339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MSQGPNYQMQQLVSQNSVYNLKIEEVQSQLGDREKFHHSMNSVYNLKNMISTESDQLIQNPTPDINSSFIYGNTSDSDNNLNETMCNKTINEVWSEINQQKNVIGSVDHNNLQQSILGETTLDNFLAHAKAINVGNQENGHVIGDETQVPFIGVEPNLVMASQPEDWLPLQMQMPIPLQMQMPSIHIHQQHQDHHHRLHQNRLHQNQPIIGMCPDFGVANSVYENKLMEIGYSEIPIGATTTHLSSTCADSKGGAGGSGVGRKHKYSDEMMEKTIERRQKRMAKNRESAAKSRAKKQVIKICHFGQA >RHN59986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16171513:16172715:1 gene:gene22164 transcript:rna22164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-l-methionine decarboxylase leader peptide MESKGGKKKSSSSNSSFLYEAPLGYIIEDVRPNGGVEKFKSPDYSNCTRKPS >RHN50376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6601537:6602781:1 gene:gene34654 transcript:rna34654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MTSHIFYFLSHRRRQSLPMKRKRKSSRENLRPGKLFRNPLKRKKIRTSFKSSQQFVQAETCLYLPDDCWEIVFRFLIINGYNSCLKSLSLVSKHFLSVTNCLLFSLTILDQTRPFLDRLFKRFTYLNSLDISEYDSDLDMLLCDISCFPLNITSLNICDNRTFPSNGLQAFSQKITTLTSLNASNIYSMDDQHAAHFSLFLTNLVSINLSECSYLTELSLFSLVKNCPSLSEIKMEDTDIGKENVENNDSMMDIGIYPQLKSLYLGDNLWLSVQKIIMFASSIPSLQLLDLNDCDLSDGIWQFVRRFDMITHLNLASCTDVNKFKMNFVLPKLQVLDLADTGVDDETVYAISKSCPGLLELLLKYCYYVTEKGVKHVVENCKQLRKIEFSDFYVHDTIRELAMDALFASVLKFL >RHN70310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50490339:50491619:-1 gene:gene18878 transcript:rna18878 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MVQQSESTRRIMEEERDCCSTIIRNIESNNKPQEKHNKQQLEKPYRGIRKRKWGKWVAEIREPNKRSRIWLGSYITPVAAARAYDTAVFYLRGPTARLNFPELLLEDDEENKDGSIQQGNMSADLIRKKATKVGARVDALQAALQASSRSDSDQFNADVKPDLNKFPEPEDY >RHN66462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12613135:12613584:-1 gene:gene14454 transcript:rna14454 gene_biotype:protein_coding transcript_biotype:protein_coding MLITLLLFMNKYYFLKYFVFHDGGNGRTEPFFDLRRLNTLIIRNRQVLDAQNLYISSATLANFTTEMDRDDYSKVELDTPSLYSFDFTGIPLQKLCGSKCNLSSLKDASINVPMGSVIPADTPLVLLRWLVELTNIKSLTVPSSTLQVS >RHN56293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29558075:29558509:1 gene:gene31669 transcript:rna31669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MDNDKSVVASSIGEKKPKSETVPMNVDGGDDEVSNSKFLRDPILLKEAKLRWPKRYLEKEKKKTRSRSNSDEDEVLQARCHYTEAKVDGGVIYKLYDDAHVKGEKDDYHFICKIMEMFEAVDGELYFTAQWYYRSNDTTTRKSH >RHN56400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30569635:30570397:-1 gene:gene31794 transcript:rna31794 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHAYKVEGVDNRLYDLRCDMWKSLKMAPMVAINFGCATVRQVE >RHN78905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19835471:19835839:-1 gene:gene2573 transcript:rna2573 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTHNMLSSNIRGVVNGFPLRIEAVKVVEKNVEMNTDFLKNMFEKIDWKAFVEASISMGYTELPKEADSSLLDSDDFLNRFHHALLELHLEEGALVCPETRRRFPVSKGIPHMLLHEDEV >RHN61288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34499050:34503563:-1 gene:gene23732 transcript:rna23732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MLLSYQKHTLYLPHSSIHTPKNPKLTTIVNCQNPNEQHQNQPIPRRHNAKSTSFLIHHLTQKNTNTNPSPTSLQDPTPHEEKIKLLELSLVRKRTPQFPGSIYAQSPSDPDVGSSLPPLSTLFRRDEEEEEMIMQAIEIRRKVTEEVFKEAMRKGKFGITYTGNLVDRLGDFIDYVMIEAANLKRLPEYSNSTFNLRAKTVIEDSQVVPLIRWLKHNSLSYPQIAKLILMSRGKLESIRNRVEWLKSVLVKGEFIGDAMLKSGDNVLLRSDGELDEIVDYLEFNGVRREWMGYVVSRCPKLLSYSLEEVKTRVQFYLDMGLDAKDFGTMVFDFPKALGHYTLEEMNRKVDYLKEFGLESKDVGKLLAFRPQLMACSIEEQWKPLVKYLYYYGITRDGMRRMLTIKPMVFCVDLEMTIVPKVKFFQDLGVRNDGIAKMLVKFPTLLTYSLYKKIRPVVIFLMTKAGVTEENIPKVIALGPELLGCSIVHKLEGNVKYYLSLGIRLQQLGEMIADFPMLLRYNIDVLRPKYTYLRKTMVRTLKDAIEFPRFFSYSLEGRIIPRHKVLVENQINVKLKCMLACTDEEFNNMVKNMIRKRHKLQSTVMKEDTKHPQSTAQT >RHN47408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39500963:39502701:-1 gene:gene42003 transcript:rna42003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MGTSSDPTQDTSDEQQKRSEIYTYEAPWHIYAMNWSVRRDKKYRLAIASLLEQYPNRVEIVQLDDTTGEIKSDPNLSFEHPYPPTKSIFIPDKDCQRPDLLATSSDFLRIWRISDSDESASDSRAVELKTLLNGNKNSEYCGPITSFDWNEAEPRRIGTSSIDTTCTIWDIEKETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELQRHQASVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGQPVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV >RHN81073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43113157:43113851:-1 gene:gene5068 transcript:rna5068 gene_biotype:protein_coding transcript_biotype:protein_coding MPLINKVSDLAKEHPGIKNYRSSDISPCSWFSVAWYPIYGIPAGSTLNNLNTAFLTFYNLSTHPKSKNQLKLQDESLKMPIPIFGITTYNLEGSILTLPKAAKSDEMNSLLKAADVWLKSLKVELHQDYNFFVKDGKQWVGE >RHN55608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19999745:20002271:-1 gene:gene30811 transcript:rna30811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MLPFLLVLCLIFPLLLFFLKRSRNINARHPPGPRGLPIIGNLHQLDNSILYLQLSKLSKIYGPIFSMKLGLRPAIVVSSDKIAKEIFKNNDHVFSNRPMLYGQQRLSYNGSEIVFSQYSDFWRDIRKFCVIHIFSAKRVSYYSSIRKFEVKQMIKNISNQAASSIVTNLSEILTSLSSTIICRIAFGRRYEDEGTKRSKFQGMLHEFEAMITAFFISDYIPFTGWIDKLSGLRARLERNFKEMDEFYQEVIDEHLDPNRQHEDDEEVIVDVLLQLKKERLFPIDLTFDHIKGVLMNMLVAATDTTSATTVWAMTALIKNPRVMKKVQQEIRNSKVKKEFIDEDDIQNFSYLKAVIKETLRLYLPAPLLVPRETREKCTIGGYQIPAKAVVFVNAWAIHTDPNVWKNPEEFYPERFLESSINFHGQDFELIPFGAGRRICPGMSMAVASLELILANLLYSFDWELPDGLVKEDIDTERLPGLTQHKKNELCLAAKIPM >RHN46547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32641276:32642174:-1 gene:gene41044 transcript:rna41044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVQKYQNCVSFWFSGKEAENVVDFSQLPDNVLDTISRKLDFDDQFQFAGVCKEWRRVQKIYWRRFLASQSPLLVQTTPYDTKYCSFYSIPEKRVYRSKRSVYFGSYGGSSSGYLIMPGANKRLHLMNPFTRKHIIIDTSTVGDDFIHFTCRVLLAFAKGSNEFVIVASCRHFFGLHVYQSRYSNWITYSKKGNSCKVVDFVVLHNTIYALTCKAEICVLSLNSPNSETFRTEKHAQYTLLVP >RHN46996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36350896:36359628:1 gene:gene41541 transcript:rna41541 gene_biotype:protein_coding transcript_biotype:protein_coding MFLINSLQVFLCSITILITIFNYLSHYNYDQLLLNFLLFFPRPLFSHGDPHATYRFKYSTIFSMFTKVIFCREQPLEIMPLPHIHTNPLCCNSKPLCSKNIYHLGTVSKTTHLQHTQFRASLKKHEVLVRAFEDSLKALS >RHN45643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24070428:24071855:-1 gene:gene40024 transcript:rna40024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MVVKVEQHVMIIISDTAKEHLSFIDRLDQRLLNFSDETNATIRADFEASRRLLLASLKTQEMTFNCLCDGCSTSCCQRCGGTDFQGHVVLPSFARWWCLFLQDSSAEEEMLLLEALDMYGFGNWNDVADNIGTKSNLSDLSHFSGKNKEELLAMEKGNHVKKGLLLNDLNHNLCRFFMLELVTLFCSMNLKTCYVTEWLR >RHN66463.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12646132:12653107:1 gene:gene14455 transcript:rna14455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-(cytidine 5'-diphospho)-2-C-methyl-D-erythritol kinase MASSQFLCSNNSIPTFRTIHLPQFRPNGSSNFHSKLHFHRPQFVKVKAMVSDSSKKQLEIVYDHDERINKLADQIDKEAPISRLTLFSPCKINVFLRITNKREDGYHDLASLFHVISLGDILKFSLSPSNTKDALSTNVSGVPLDDRNLIIKALNLYRKKTGTEKFFWIHLDKRVPTGAGLGGGSSNAATALWAANQFSGCPATEKELQEWSSEIGSDVPFFFSHGAAYCTGRGEIVQNVPPLVSSDIPMVLIKPQQACSTAEVYKRLRLDQTSNVDPSILLERISTSGISQDVCINDLEPPAFEVLPSLKRLKQRITAAGRGEYDAVFMSGSGSTIVGIGSPDPPQFIYDDEEYRDVFLSDANFLTREENEWYKEPASTPTSESFISESV >RHN62717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45390210:45406894:1 gene:gene25318 transcript:rna25318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MDSAITVTTTAQMLLFRALHRHFSGAPHRTLLHRNFKRAVTSYNNRFHIPCSSASCTSTPSLRNFGALLSRIPCRTRCVSSSAASFGSSAGGGNGGAGVGNGGGGGGSGSGGESGDGSVKLVGDAAQEVSSLSPDVIILDVSGMVCGGCAASVKRILESQPQVSSASVNLTTETAIVWPVSEAKAAANWQKQLGKTLAEHLTSCGFNSCLRDSTRENFIQIFERKMEERNKLLKESGRELAVSWALCAVCLVGHLSHLFAAKAPWIHAFHSVGFHLSLCLLTLLGPGRQLILDGLKSLFKRAPNMNSLVGLGALSSFTVSSFAVLLPKLGWKAFFEEPIMLIAFVLLGRNLEQRAKIKATSDMTGLLSILPSKARLLVNNGETDAASVVEVPSDSLSVEDQIIIFPGDRIPADGIVRAGRSSVDESSFTGEPLPVTKELGSEVAAGTINLNGTLTIEVRRPGGETAIGDIIRLVEEAQSREAPIQRLADKIAGYFTYGVMAISVTTFTFWSVFGPQIIPTAVYQGSSVSLALQLACSVLVIACPCALGLATPTAVLVGTSLGAKRGLLLRGGNILEKFAMVNTVVFDKTGTLTIGKPVVTKIVTGTCIENANSSQTKINALSDIEVLRLAAAVESNSVHPVGKAIVDAAQAVNCNDAKVADETFLEEPGSGVVATVNNRKVSVGTLEWITRHGVNNSVHQEVEYKNQSIVYVGVDDTLAGQIYFEDEIRKDARHVVDTLSKQDIDVYMLSGDKRNAAEYVASLVGIPKAKVLSEVKPEEKNKFIKELQKDKKVVAMVGDGINDAAALASSHIGIALGGGVGAASEVSSIVLMHNHLSQLLDALELSRLTMNTVKQNLWWAFVYNIVGIPIAAGVLFPINGTMLTPSIAGALMGLSSIGVMTNSLLLRFKFSLKQKHIHGILPIIKTYVESDLARQNKKMKYPN >RHN81453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46044598:46045053:1 gene:gene5498 transcript:rna5498 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPNLDNAPVNLTSIREQSQKELINILKNVFSSELRNLFDFAFYSFYGTDH >RHN59878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14327128:14329283:-1 gene:gene22044 transcript:rna22044 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKCLLVFVVLFFCILSFSAKTLARNIVDSSELYAFSDEKGLNKRLLQENRRAPCKRGKRDQMRQARDNPRCHNYL >RHN67429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27124835:27125467:1 gene:gene15618 transcript:rna15618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin A2 MPETLYLCVNILDRVLSKINFEVKTMEKLKLIGLSSLLLASKYEQRRAVGVYDVEYLADYIYMPEEICQMEKLILQELGWILTVPTPYVFLVRNIRACNLSDEDKIMEHMVFFFSELSLTNHSIVCDYKPSMIAACAVYLARFIVGRYPFWSNDLKMCTGYSEDKLLSCAHVMMESCIQICGEGIMEVFMKFSSLYQCRVSCIAQEFLEV >RHN82026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50659477:50668168:-1 gene:gene6142 transcript:rna6142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MEPGTRIGSPSGVVVKNRSSSGCLIVRKKGDGLGGGVGGGGSSSRKQFDSKKVRKKVKVEVDSSDSESSGELVMPSGRRLGPETIRVCNSLSALERGGGGNVGGGEISRKRERMEQIRRNGDGMVEGNGLERREKKVKLDVFDFDEYDGVGKERMRRQFDNDRVGLGGGRFMGTMHAARGSVDREIETGSSRHIVDKRKKAYYNRAIGLHPGDGGEHSRIKMKRDGTQPPLPLLKEKFKPDESIRVQGKNGVLKVMVNKKKVGGSVERYEQRKPVESKQSLRAEGTSKRSVPIHPSSHLETKSAEKQGLLVRPEKKQITTRKSLSSKEDSKGMEQDSDDNDTSMNLEVKNIKAHTPSKKITSENEQTPVHDKLPTTKSSEGKIRRGSGTEKQKLREQIREMLLNKGWTIDYRPRRNRDYLDAVYINPGGTAYWSIIKAYDALQKQLIEDERAAKGESSSFAPIADDVLSQLTRKTRKKMEKDLKMKKKKQRIDDIDSGKERQIKRTSGKKHHMNSIDSDSNEDKLSSFIKQQGSKSMKAKLTENAVTGGSSKSQNATTEKPFSENDPQNPHGRKSRKHGRCTLLVRNKGLNSESDDFVPYTGKRTVLSWLVDSGVVQVSQKVQYRRRKKVMLEGWITREGIHCGCCSKILTVSKFELHAGSKLPQPYQNIYLDSGVSLLQCQIDAWEKQENSGKISFHSVDVDGNDPNDDTCGICGDGGDLICCDGCPSTFHQSCLDIQMLPPGEWRCPNCTCKFCGLASATTDKEDDATVNALRTCDLCEKKYHDRCTKDMGALLANSNMSEHSFCGKSCKELFENLKKYLGTKHELDAGFTWCLVRRTNDDSEAASRGVTQRVECNSKLAVALTVMDECFLPVVDRRSGINLIHNSLYNSGSNFSRLNYTGFYTAILERGDEIISAASIRFHGTNLAEMPFIGTRHIYRNQGMCRRLFSAIELALCSLKVEKLVIPAISELVHTWTTVFGFTHLEEPLRQEMRSLNMLVFPGIDMLQKLLAEQGKHEDAEQFENGDVGSIKPAVVNGLDINSPALQDPHGSEDASSNLANKINNECSDASQDISNQGLTGRTVCSKSHSEERISNFVSENCASPSNSSHGVLKKKIKISMSSPINDPSPKCQLISPNDTSTNGLPSDHSDSHEIRALGQATACSDLATTVKNMVEPASEGKPHAFTDLNCDSPGLNQNPVSDSQVVDNALSFKEFDMNDAHVEVLEAGPLVNSSQANNTEENNENVDVSGSVLNHAITDMNCDSPGLDQNPVSDSRVADNDMSLKKFDMNDAHVEVVEAGPLVNSFKGNNAKENDENVDVSGSVLNHAITDMNCDSPGLDQNPVSDSQVVDNDLSLKKFDMNDSLGLVLEAGPLGNSSQANNTKENNENVDVSGSALNQDITDMNCDSPGLDQNPVSDSRVVDNALSCKEFDMNDTHVEVLESGPLANLSEANNAKENDENVDVSGSVLDHTESDMNNNSPGHDRNPVLDSQVTDNALPFKEFDMNDVRDEVVEASPSVNSSEGNNTKENNKNVDVSGSALNHASIDMNSNSPGLDQNPVVDSQLAESASCKEFDMNDTHVEVLEAGPLVNFPEGNNAKENDENVDVSSSVLNHAGESSLQVRSDLNGELAYEREKNSHLDTEVASNEMHFDETGVNPSGDSAETDQALNG >RHN62665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45066046:45067256:-1 gene:gene25262 transcript:rna25262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-tyrosine-phosphatase MEEVEKVKAEAVQMIGMFQVLPRLVVFDLDYTLWPFYCECRSKHDTPSLFPHSRGILSALKDEGIDAAIASKSPTPDIATTYLDKLKITSMFVAQEIFYSSTHKTEHFQKIHSKTGVPYNSMLFFDDDNNNMKPVSNLGVTSILVRNGLNLGVFREGLTRFSQNWDASKNKQKRRK >RHN77520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7370870:7375292:-1 gene:gene984 transcript:rna984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homeodomain-TALE-BEL family MYPNQAFSSGSYAEMISGNTLLPHNYSESVGGQNELKFMTSMDDTMNMLSIDQGHSNATTSDPRTQFGLVESEQNVQCQGLSLSLGTMMPSFQYQYPGNSFTSLMNAQISNLKGSASLKDDEAECMASLSSGGFQNNVKREGLYNPHPSIGLNEGQSDPCLQGSAVIPNNALNSHYLKAAQELLDEIVNVRKGLKQTGLEKQQSFHDAGLDASKDSDGKSTSQSMQVSSGPNGSNANNSSCELSPAERQHLLDKKTKLLSMLDELDKRYRQYCHQMQIVVSSFDMVAGCGAAEPYTALALRTISRHFRCLRDAISGQIQLTQRSLGEQEGIPRLRYVDQQLRQQKALQQLGVMRQAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSEKIMLARQTGLTRNQVANWFINARVRLWKPMVEEMYKEEFGDSETSSNLLSENTPKAPRDDDVRVWDDKREESHDKLMNVDGAQQQGQIAGLKLDHASSSTTELDRGIQSSDHWTNVMDSRIGKMQGDQQRFNMNNSPYSNPPISINQNGDGCIMDSTPTTYDDLSELNNFGVGGHVSLALELRNSESDGFGLSNDDINKRRNQAMASSPDTDLLDYHFTDTGKQQHKFANPHLLHEFVV >RHN81373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45318787:45330199:-1 gene:gene5398 transcript:rna5398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative iron-chelate-transporting ATPase MEEGLDKDDGLRSISSLRMNIGSSSSRSWTSTSVPAEVWSGDQVFERKNKKEDIDDEEELKWAAIERLPTFERLRKSIVKQAIDSGRFSYEEVDISKLGIHDKNKLMDGILRTIEEDNEKFLLKMRERIHRVDIDIPKVEVRFENLFVEGDAFNGSRALPTLVNSTMNAIEGVLGAIKLLPSKKSVIKILKGVSGIVRPARVTLLLGPPGSGKTTLLQALAAKLDRDLRVSGRVTYCGHELSEFVPQRTCAYISQHNLHHGEMTVRETLDFSGRCLGVGTRHDLLVETTRREKQAGIKPDPDIDAFMKATAMRGQETSLITDYVLKILGLEMCADTMVGDEMRRGISGGEKKRLTTGEMLVGPAKVFLMDEISTGLDSSTTFQIVRFLRQLVHIMDVTMIISLLQPAPETFDLFDDIILLSEGQIVYQGPREHVLNFFESVGFKCPERKGVADFLQEVTSRKDQEQYWFIRDKTYHYISVPEFVTHFNNYSIGQQLSEELGVPYDRAKTHPAALVKDKFGISKLELFKACFAREWLLTKRSAFIYIFKTTQIMIMSLIAMTVFFRTEMKYGHLEDGRKFYGALFFSLINIMFNGMAELAMTIFRLPVFFKQRDLLFYPAWAFALPIWILRIPLSFLESGLWVVLTYYTIGFAPAASRFFRQLLAFFCVHQMGLSLFRFIAALGRTMVVASTFGTFMLLLVFLLGGFIIARDDIEPWMIWGYYASPMMYGQNAIAINEFLDKRWSAPNLDPRIPEPTVGKALLKARSMFTEDYWYWICIGALLGFSLLFNICFIIALTFLNPFGDSKSIALEEENEKKEATNESYASKSKLLESIEMAERNTLESPILKADTATTKRGMVLPFRPQSLAFDRVNYYINMPAEMKKQGIEEGRLQLLRDVSGAFRPGVLTALVGVTGAGKTTLMDVLAGRKTGGYIEGSISVSGYPKNQATFARISGYCEQNDIHSPNLTVYESIVFSAWLRLSKEVNKETQKMFVEEVMKLVELQPVRNFLVGLPGIDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTADTGRTVVCTIHQPSIDIFESFDELLLMKRGGQIIYSGPLGQQSQKLIKYFEAIPGIPRIKDGHNPATWMLEISSPIVESQLSVDFADLYIKSELYQTNEELIKELSAPVPGTKDINFATKHSRSFITQCKGCFWKQRWSYWRNPQYNATRFFMSLTVGVIFGLVFWNKGDKIHKEQDLLNLTGAMYSAILFLGATNTSSVQPVVAVERTVFYRERAAGMYSALPYAIAQVAIECIYVAIQTLAYTLILYSMMGFPWQADKFIWFYYFIFMSFVYFTLYGMMTVALTPNYQIAAIVMSFFLMFWNIFSGFVIPKSQIPIWWRWYYWACPTAWTVYGLLTSQLGDKETLIEVPGAGTISVKAYLKKEMGFEYDFLGAVAVAHVAFVVMFLFVFAYGIKVFNFQKR >RHN72957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12121766:12124524:1 gene:gene8725 transcript:rna8725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L7/L12 MRIATLSRYIRRLPRPGTFVPLQSRAFQTDISKDSKAKPIKYKIPQSYDPYGPRPPPSDKIVQLAERIAALSEEERGHIIPALSERLKLPKLQPISTEGMDLGSDSGAAGPKVEEKKAEKTAFDVKLEKFDTASKIKVIKEVRAFTNLGLKEAKDLVEKVPTVLKQGVTKDEANSIIEKIKAVGGVAVME >RHN38489.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:50369:51461:-1 gene:gene50594 transcript:rna50594 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAELEEETVQEGVKLLSLALKGKHTSGATSSEPTSKAPEAAHPEAHSSGTSSKAKINNQIPDLPSPPSSLSSSSTESDNVPLNQHLEKFINEFRPTKLTTYGTIDYEQTHIEFSEQRIKICEKFNLHADHFFQPPSVEPVSVQNPETSQETNPQNNLTPQKASEVVSEATTSEIPQQQESSTLHNLERHLGGEMQPTPTKASKTVPKKSVLENQQTDTQTETQTIPKQTVPEQVASDQEQTTTDQQQPESPTIDLTTPEQLTASDRPSTSQTTIPEPSPIPDIIMESEYIDEQLIKLSDEIQTLILLRTVLVPPIHYLDQWMDLKKDFNELLDQLSTKCLE >RHN81739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48595768:48598594:-1 gene:gene5824 transcript:rna5824 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLFIIMVELKSRNLHSENMALQGLSTVSVAVTISVRPSTSNLVRIPSSSLCQVPSPRIRIGSVRCKAVGENQQQVYNGVYGPWTVEAEDVKEVISYRSGLVTAAASFVIAASAAYLPDNLSDTLKQNIDFFYVIGSGGLGLSLFLIHIYVTEIKRTLQALWGLGVLGSAATYITLAQPANKTLIQYVIDNPSAVWLVGPLFAALTGLVFKEGLCYGKLEAGALTFIIPILLLGHLSGLMDDGTKLTLLALWTGLFVIFAGRKFTQPIKDDIGDKSVFTFKSLRDDEKKALIEKLEQQKSQY >RHN52726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38161312:38167393:1 gene:gene37427 transcript:rna37427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PB1 domain-containing protein MDPPLISTAATTIPHNIIQQNYPESVESLSSPPPQPPPRSRVNETMNDDSFPNVPGGKLRLMCSYGGHIMPRPHDKSLCYVGGDTRIVVVDRSSSLRDLCSRLSRTILNGRPFTLKYQLPNEDLDNLITVTSDEDLDNMIEEYDRIASASSTMKPSSSRLRVFLFFTKPDTTLSMGSLLDDAKSETWFVDALNNSGILSRGVSDSAGDSFVNLDSVPASDSSNNLESQVESLTLLENNNNKVKNVLDNVNVVNSTPNSPMLENSLSSSSLTPSMENLPPIRVRVDENAGSRLQQENKVVMKQDGGFVVSSANVAMAAIPATSTMAFGGVVTATSGDVNVNRVVSDDERSDYGGLIGSRKPPLPLQLVQPRTSGGVSLPSPDSVTSDSSSIASTNSFSKIIYHQEQVQGTNIENKPQDSSYMLPPQSDPNQQLQQPPQQQQQFVHPNTHYIHHPTSTNQVPITSYYQVYPPPSQQSQLHHPQQYPVYVMPIGSTQQPYNMTLQHNINDPNVVASSRPIIPQNGTPPIYPNKAPNPNVASNSTFVQVPSNQFQQQYVGMPQFHHQPQHPINVAPPSGTNYGYEYSGNVEGQVYYTQQQNNAPLVTQYQSMTPAAAAAALSDASQQFPGENVQQSNRTSQPV >RHN76369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49715599:49719532:-1 gene:gene12682 transcript:rna12682 gene_biotype:protein_coding transcript_biotype:protein_coding MYKEAILAYAVLLKADKSQVTSRRSVGEDCERFMYEAFKVKVEMPIDNALNTLLRLSLATETCIDGRHGLLAIPCPEAYEALKERWNNLLC >RHN64096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56554101:56555262:-1 gene:gene26877 transcript:rna26877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MGKGNLTALRKFTYEGGMQSAYFSPSGRSIAITSSKNTIGIYSGVNLEDAAFVYHESIKVFSTFRCDFRGSIRSLLSLAIWGWDDSYIFMGSEKRGVDVVSAVQKATVMTLESPLISAIPLKFDAHSYEVGMLAGASWGQVYVWTLS >RHN81696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48221767:48223873:-1 gene:gene5776 transcript:rna5776 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNLSQGGMIQGGVGGNSFGGFDLPGSIQVHHQAHHPHTIHQHQANPHQGLSLHSSVQDGFPLTMGPLQNCDQSMSMTDYGKGERGKICTSEEDEPSFMEDGFDGQHEGGRGKKGSSSPWQRVKWTDNMVRLMITAVSYIGEDRTSDGVGGSGRRKFAVLQKKGKWRCVSKVMAERGCHVSPQQCEDKFNDLNKRYKKLNDMLGRGTSCEVVENPALLDGIYYLSEKEKDEVRKILSSKQLFYEEMCSYHNCNRLHLPHDPALQSSMQLALRNRDDHDNDDIRRSQLDDHDEDEQDAETDEHDAFKDNCASRGEGRLNGSMKKLKQNQGQNHANAFGNSFNCQDYFNKGSYPRGQMGQSDGNQVIPENMRAAWLQKQWVDSRQVQLEEQKLQIQAEKLELEKQRFKWQRFSKQKDRELEKLSLENERMKLENERMALELKQKEMSLGFN >RHN55671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20953783:20954433:1 gene:gene30890 transcript:rna30890 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSSKKYLLNILKVYPLQKVKKMHPAMYEDPVVKLRCSERQPVPSKPRCSCCISLYVAGNKLHGFITEKKKSTAKAVVNKKKKSKPSVGHRFQVELPQCTSDSKPTTETYLVGRERRGMCSCKVQGSADCVRFHIGANRTELKLELSSAFYHWGFDKMGEEVKFGSFGDGFGRKAIKHPSMDFMECSENTQCFDFE >RHN46370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31087733:31087888:1 gene:gene40852 transcript:rna40852 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKESNKVLYPEAVKDFVDVLFSFFTLPLGTIARLVATDSNIEAVQFWQH >RHN40815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21586231:21586509:-1 gene:gene47029 transcript:rna47029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRLPAAIRRASFSSSQTSNVLNVPKGYLAVYVGEQMKRFVIPTSYLNQASFQTLLSQVEEEFGYDHPMGGLTIPCTEDVFLHITSHFNGL >RHN74310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31755952:31758138:1 gene:gene10349 transcript:rna10349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exoribonuclease II transcription factor C2H2 family MKLTYIGFININITIYRNKCAACYRQFNKVEHLVDHMKISYHSAHEPTCGICRKHCRSFESLREHLIGPLPKQECREIFANRGCKFCLKVLDSPNSRRIHQQKCQLSGLSGICGRFSNLGIRDNLSIGGGRGPQAVALACKMVGGGSDGSLDLCARVCLIDEYENIIFHSYVIPPIPVTNYRYETTGIRHEYLRDAMPLKVVQRKIQDFLCNGEPIWTIRSRGGKARILVGHGLDHDLASLQIEYPTPKIRDTAKYPPLMKTSKLSNSLKYLTQTYLGYDIQTGIQDPYDDCVAAMRLYMRMRTQNHRIEDYPLASDAQNRNNFASWRQNELERMSPEQMLDISRSDYYCWCMDP >RHN75235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40438332:40442752:1 gene:gene11408 transcript:rna11408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)H dehydrogenase (quinone) MAVKLYIVYYSMYGHVEKLAEEIKKGAASVEGVEAKLWQVPETLQEEVLGKMSAPPKSDVPLITPNELSEGDGFVFGFPTRFGMMAAQFKAFLDATGGLWKAQSLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMEQVKGGSPYGAGTYAGDGSRQPSELELQQAFHQGKYLATITKKLKEAA >RHN58995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6474042:6476219:-1 gene:gene20973 transcript:rna20973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSPTHFIKLENWTPQQSSIISRKSQTTHPRLLQHHTMAATDLQLPNECWESIFKFLITATYDDNKHSYLESLSLVSKQFLSITNSLRFSLTICHPTLPSLPRLFNRFPNLTSLNLTRFTRCFSQKSDLDTLLCQISTFPLNHIKSINLSNQSTIPSNGLRALSEKCTSLTSLTCSNIDYISIPDIVLISDCFPLLEELDLSYPENVDLIVNPLFFELPEQKLRKVNLSGHYYMKDSMLLNMCKRCEFLEEIVMLKCSFITHYGVASAICERPGLKSLSFSKLRLFGIGNHNIFIDSLVKLKGLTCLDLSYSYISDRLLSSIAEKGFPLRKLVLQGCLDYSYVGLYNLLSNCHYFQYLDLQSADFLNDSHVLKLSRFLADLVFINISKCDSLTNLALFALLRNCDKLSEVIMEYTCIGKRIVENSYTPMNSVEYPQLKSLRLGHNTSLRDDDINMFASVCPNLQLLDLSSCEYISDEGVAQVLRKCSKIRHLNLTNCSRLKLLRIDFKVSMLEMLNLSHSGIDDRSLYVISMSCFGLLQLDLGRCYDVTKKGVMQVVENCKQLREINLQDCHKVVADVVDLMVFTRPSLRKITAPPGFCCSDSKRKLFLRHGCLVC >RHN76368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49711879:49715591:1 gene:gene12681 transcript:rna12681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CDC50/LEM3 family protein MMDMTSSKDGQSPPNSKKTSKKPKYSKFSQQELPAWKPILTPGWVIATFTAIGIIFIPIGLASLFSSGKVVEAEFRYDETCLSPDVAKDAVAYIKSDTTNKTCTHKWIVEQKMQAPVFIYYQLENYYQNHRRYVKSRNDKQLWRKSAELQTDHCDPVDKTEGKEPIVPCGLIAWSMFNDTYKFSIDNKDLTINKKNIAWGSDKNSKFGHEVYPKNFQSGGLIGGAKLNESVPLSEQEDLIVWMRTAALPTFRKLYGKIESDLEVNDEIEILIQNNYNTYEFQGRKKLVLSTTTWIGGKNRFLGVAYLCVGGLSLFCAIGFILLYVVKPRPLGDPSYLSWNRNPGILK >RHN74607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35108178:35108612:-1 gene:gene10702 transcript:rna10702 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKERGITKTPGCSWLHVGNRVHTFVGDKSNMESDKIYHFLDELVEKEKTENLCNHSEKLAVAFGILNLNGQSTMRDSLRFYHFKNGSCSCKDLW >RHN80260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36597167:36598731:-1 gene:gene4163 transcript:rna4163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant seed peroxygenase MSVEMERESMITEARNAPVTAQRRVRSDLETSIPKPYMARALTTPDTSHPQGTPGNKHNNLSVLQQHCAFFDQDGNGIIYPWETYTGFRALGFNVIVSVFMAIFINLGLSYPTLPYWFPSPLFPIYIHNIHRAKHGSDSGVYDTEGRFVPANFENIFSKYALTVPDKLTLKEIWNMTEGNRNALDPFGWAAGKLEWGFLYVLARDEDGFVPKEAVRRCYDGTIFEYCAKTIAANKDEAKMG >RHN65909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6382407:6384362:-1 gene:gene13803 transcript:rna13803 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKINALEILIVQNICAHLLWLRSVSIGSAGVVDRSCKYNLIPSDFVFSERTKTGHKSLLEYYIIYHLSILLASNN >RHN41652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31795865:31798466:1 gene:gene47995 transcript:rna47995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MTNGFFLLLHTEQHAMASVQGDGYTGASAVLNIWQPKLDYCYEFSAAKILLSSQKSGDIIETGWQGFSRALQKCTGLGHGLCDIGVDFFKIPVYQHLYGDGRPRLFIHWTADPERQNGCYDLKCSGFVQTHNKVVLGGSVTPASIYDGKQYGLKLTIWKDPNNGNWWLELESGIHIGYWPASLFTELKGEAYFAEFGGEVFNLKPSGAHTSTEMGSGHFVSGRGFGRAAFIKKMKVAVTEQNVYIDLPDPDFSSDHPNCYNVKGGFIKDWGSYLYYGGPGYNKNCP >RHN50555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8071762:8076288:1 gene:gene34854 transcript:rna34854 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKDVWICDSTRRYPLKKKQICSEYNIGHSYHISFYRKNYACIDTIKYHIYFWFWCFLP >RHN49259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53616970:53617868:1 gene:gene44072 transcript:rna44072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MDSTTTTTTTTTKGAGGRKGGERKKAVSKSSKAGLQFPVGRIARFMKKGRYSQRVGTGAPIYLAAVLEYLAAEVLELAGNAARDNKKNRINPRHVCLAVRNDDELGKLLQGVTIASGGVLPNINPVLLPKKTAAAEKTTNSPKK >RHN39130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4329389:4329703:1 gene:gene45129 transcript:rna45129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MYHYITSYVCCGVLHCRQEESPLPGWTTQPSVPNCIVSHLTFIQFKGFKGVPDELLFAEYILQKGLVLKTMIIDDISVDLSKKYDILNKLSKVRRDGIFPLIFD >RHN53134.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42206960:42207513:1 gene:gene37904 transcript:rna37904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier domain-containing protein MKRHVPEDYKKSIMAKLTCGSVAGLLGQTFTYFLEVVRRQMQVQNLPASEEAELKGTMRSMVLIAQKQGWKTLFSGLSINYIKVVPSAAIGFTVYDTMKSYLRVPSRDEVD >RHN58494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2245301:2250383:-1 gene:gene20413 transcript:rna20413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-methoxy-6-polyprenyl-1,4-benzoquinol methylase MALGIVTKRLGNKLFPALSSTSRLLHSHATSFGFKHVNEEEKARMVGDVFTSVAKNYDTMNDLMSAGLHRLWKERLVSMLNPFPGMKHLDVAGGTGDVAFRILDNINRVKQRGLQDGFNDALEAKTQIYVCDINPNMLNVGKQRAAEKGYGEDGSLVWVEGNAESLSFQDDSMDGYTIAFGIRNVTHIEKVLSEAHRVLKHGGRFLCLELSHVSLPIFKELYDLYSFSVIPRIGEMVAGDRESYQYLVESIRRFPPQEKFASMIADAGFQKVEYENLVGGVVAIHSGIKI >RHN76423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50123126:50128610:-1 gene:gene12746 transcript:rna12746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SLC26A/SulP transporter MNCNRVEHFEETIMKIQTEIPIHQVRLPPERTSLNKLKHRLSEIFFPDDPLHRFKNQPSFTKFLLTLQFLFPIFQWGSQYTLTLLRSDVISGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLIYALLGSSRHVGVGPVSIASLVMGSMLSETVSFTQNPTLYLQLAFTATFVAGLFQASLGILRLGFVIDFLSKATLVGFMAGAAIIVSLQQLKGLLGIVHFTNKMQIIPVLVSVYKQKDEWSWQTIVMGFGFLAFLLTTRHISLRKPKLFWASAAAPLTSVILSTILVFLLRNKAHHISVIGHLAKGVNPPSVNLLYFNGPYLALAIKTGIVTGILSLTEGIAVGRTFAALKNYQVDGNKEMMAIGIMNIAGSCTSCYVTTGSFSRSAVNYNAGAQTAVSNIIMASAVLVTLLFLMPLFYYTPNVVLATIIIAAVIGLIDYQAAYKLWKVDKLDFLACMCSFFGVLFISVPLGLSIAVAISVFKILLHVSRPNTLVLGNIPGTQIFHNINQYKEALRVPSFLILAVESPIYFANSTYLRERILRWVREEEERIKEYNGTSLQCIILDMTAVTAIDTSGLETLGELRKMLEKRSLQLVLVNPVGNVMEKLHMSKILDTFGFKGLYLTVGEAVADISKSEKAQP >RHN42756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40692051:40694549:1 gene:gene49240 transcript:rna49240 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKESESESESMKLGSEELSSEFKTLVSSDDLRSLNHLQHTILGRLQDSNAVLSHFNDFSQHCFTQISPDMARNTRVLKSIKSDLDYIFLKLRNMKTKLSTTYPDAFPEDSTSNVIDRRPDLEMPKRPDLEMPKLYECVYLFFFLISYNELLIYSCIVFFISCPKRKNCIGFHCFTNFLPLHFIANKLCILLNRRHVISGGNYMDE >RHN57567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40131141:40131649:1 gene:gene33135 transcript:rna33135 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRHRRTPSQAIPSEIFAADIFTKPFDLTPPNTNNLEAQSSTNGATRSTIAQHNTNQEIKAEFAAPPAVTTSPVKPKAPPVNKSAST >RHN47096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37101993:37105997:1 gene:gene41652 transcript:rna41652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gdt1 family protein MALRFNTNGGITFLALLLCFVVQTFAQDSLAHDDRQESGGSVDLGRRAKVALNDIENGSVISLSLDSSGVGLFDAFFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALIIMTVLSTGLGRIVPNLISKKHTNSAATVLYLFFGLRLLYIAWKSDPKSSQKKEMEEVEEKLEGGQGKTSFRRFFSRFCTPIFLESFVLTFLAEWGDRSQIATIALATHKNAIGVAAGATIGHTICTSVAVVGGSMLASRISQRSVATVGGLLFLGFSLSSYFYPPLD >RHN44512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5563334:5565134:1 gene:gene38640 transcript:rna38640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative naringenin-chalcone synthase MVSVSEIRKAQRAEGPATILAIGTANPANCVEQSTYPDFYFKITNSEHKVELKEKFQRMCDKSMIKRRYMYLTEEILKENPSVCEYMAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIVCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPVPEIEKPIFEMVWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPGIVSKNITKALVEAFEPLGISDYNSIFWIAHPGGPAILDQVEQKLALKPEKMNATREVLSEYGNMSSACVLFILDEMRKKSTKDGLKTTGEGLEWGVLFGFGPGLTIETVVLRSVAI >RHN79150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24530086:24532520:-1 gene:gene2876 transcript:rna2876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MQQRNKPQMKPLLFSQRIWTDTFSNQQILKLNHKLTHLTKTNQFYESLKLFTKIHSSHKPDHCTLSTTITATSKTRHVTVFGNQLHSFAIKTALKAYSHVANSLLSLYAKAHDLVSVELVFDDIQCPDVYSWTTVLSAISRLSDIDYALHVFDKMPKCYVAVWNAIITGCSDNGCEDVAFRLLKDMFRMNVRGDNYTFATMLSLCPLSEGLDYGRHVHSVVVKSGFLDWTSVVNSLITMYFNCGCVVDGYKVFEEMEGGVRNHVTYNAMIDGFVSVERFEDAFLMFRDMHRGSVCLSEVTFVSVLSSCCSLRVGCQAQGLAIKMGFDCGYTAVNNATMTMYSFFGKVNEARSVFEIMEESRDLVSWNVMVSMFFQENINEDAILTYIKMRREGIEPDAFTYGSLLSASDSLQMVEMIHSVLCKNGLNKVEVLNALISSYSRNGQIKRAFQIFSDLAYKSLISWNSIISGFVLNGYPMQGLEKFSALLNTHLKPNAYSLSLALSICSCTPDMDHGKQVHGYILRHGFDSEISLGNALVTMYSKCGFLDRSLSVFNEMVERDTITWNAIISAYSQHGQGKEAVHCFEAMQISPGIKPDHATFTAVLSACSHSGLVDDATRIFDIMVNIYGFVPSVDHFSCIVDLLGRSGYLDEAERVVTDGYFGAHPNMCWSLFSACAVHGNLTLGRKVARLLLEREQNNPSVYVLLANICAEAGQWEEAAKLRDMVKQFGTTKQPGCSWIST >RHN56227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29042694:29045878:-1 gene:gene31595 transcript:rna31595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MAPPSFDFSCLLCTEDSSILDENDLGGSMEDETEQFDEPIEYVPPPLLPPPLLSEENLKVLIEKECHHLPASDYVNRLKNGELDLQGRMESIDWMEKAGLYFGFGPLCIYLAIRYMDRFLSVVDMLKERKWSIQLLAFCCLYLAAKIDEVVVPRSVDMQMDEKKYLFDKKTLRTTELLILSTLNWRMQAITPFSYIDFFLNKVNGDQVPIGDSILQSFRLIMSTVRGLDFIQFRPSEIAAAVAVLVSVEGENLIVQTEKALSLLIEYVEKEKVMKCIEMIQQLLSGSGSSAKDANVSVPFVAQTPIGVLDALCLSYNSDDNHSDATTAPLADSPLHNSPDAKRKKTISNFEE >RHN74677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35697671:35699066:-1 gene:gene10784 transcript:rna10784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MASSFSFLTLFVLFCVYIPIGTMSFSMKLIPRVNFDSILFPKNISLEEKHNRLVQLSKIHALKYQMNNTNAISPQTFQPLVPTISNIYAVEMRIGTPPFTTLLMFDTGSSDTWVQCLGCTKCFPLKGENFKYQASNTFKEVPCEHPLCDPKKCSDGKCEYAITYADGAKSKGILLFETFNFPPGPSNIVIDKRFLSFKGVVFGCGLQSEKMTFGVGVPMTDNNVIGGMFGLGPEPRSFLKQLKAETNMRFSYCLGQFLDPQSHNYLHFGPDAKISGDFKTTSLVPTIGSLTLIHYHVVCKGISLDGNKLPIDPKLFEVKSDGSGGFILDTGAYATILVQSAYQVLKQRVMAYFQQKGLSPSTEKKLSLDLCYLNPPPNIVKPTITYHFDGGADFVVAPESGFLSAGDGRNKVFCLAVLGHNDFGNILGVINQADHTFLFDVGKNQVSFTPKAKTCSK >RHN41371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29574021:29576977:-1 gene:gene47687 transcript:rna47687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MKKMQKQLGHIIHTLFILLSILTVGLAINHEKEKNQNPFTPKAYVIRYWDRVIKNNLPKPSFILSKASPLSATEAATFTKHAAANTLSTKLPEFCSAAHLFCFPELTKQRPFDPSHFTVYETDQNFTFYSLGENFTTYGTHKLNGIDAFKNYSNGLYNEKHNEFARYSKISTGHNDSFKNYALNNNEGVQTFNSYGTKSMAGFGEFKAYSEESNIPNLKFTTYTASVTGREQSFVSYSDGGNSGNQSFASYGKDSIHAVNGFTQYAKNANVFKSDFSGYSEKGSSAVDAFVSYSKNLNKPENTFKNYGKGSFAAQEKFTNYRDGAGSGDDSFTSYGENSQRGVKVDFNNYGQNPDYPKSPGSETFKGYAKGADLDHKVSFKVYNGNNNTFKDYDKKGISFASYNKTTTSTNSLSDSGSLAKNMVQPGKFFREKMLKEGTVMPMPDIRDKLPPRSFLPRSILTKLPFASSKLNEMKQVFKVSENSSMNKMMVDALSECERAPSVGETKRCVGSLEDMIDFATSVLGHSVTVRSTENVNGAGKDVMVGKVKGINGGKVTESVSCHQSLFPYLLYYCHSVPNVHVYEADLLDPVSKAKINHGVAICHLDTTAWSSTHGAFMALGSAPGQIEVCHWIFENDMTWSSAD >RHN52097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31451805:31455904:1 gene:gene36717 transcript:rna36717 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MSNVTEKLGIKIEKNPSEEKLTQLGVRQWSKWGCPPSKFPWTYDSKETCYLLEGEVKVTPNGANESVEFGAGDLVVFPKGMSCTWDVSVAVNKHYLFE >RHN52516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36253174:36253867:1 gene:gene37204 transcript:rna37204 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKRRKYMDQPLMFVYVLIIFLSQFLIVTSRSKFPCLSIIDCPDLRYHTLQNCIRGFCVYLE >RHN60107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20349838:20351851:-1 gene:gene22329 transcript:rna22329 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSNSNLLTINLHFESHVSLLSSFSLPSLESHKESFSSTTIFFIFSETDTHYQPTH >RHN66763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18433448:18438273:1 gene:gene14842 transcript:rna14842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transaminase MDYVNGPGRNHLFVPGPVNIPDQVIRAMSRNNEDYRSPAIPALTKTLLEDVKKIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFVIGQFSLLWVDQQQRLKFNVDVVESEWGRGADLDILESKLASDSAHTIKAICIVHNETATGVTNNLAKVRQLLDAYQHPALLLVDGVSSICALDFRMDEWGVDVAITGSQKALSLPTGIGFVVASPKAIEASKSAKSLRVFFDWSDYLKFYKMGTYWPYTPSIQLLYGLRAALDLIFEEGLENIIARHNRLGTATRLAVEAWGLKNCTQEEEWFSDTVTAVVVPPYIDGAEIVRRAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGALAGVEMILKDVGYPVKLGSGVAAASAYLQNNIPLIPSRI >RHN49567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55695728:55698334:-1 gene:gene44412 transcript:rna44412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MNDKFPIGMRVLAVDDDRTCLKVLERLLQRCQYHVTTAQSARTALNLLLRENKNNFDLVISNVHMPDMDGFKLLELVGLEMDLPVIVFSANDDPRMVMKGIDHGACDYLLKPVTLKEVQMIWQHVIRKKKTSKRSNHDVPNFDSGNVIDSAVTRNSDQNEKPTRKRKDMNDDIEEENEDDHDNDDPTAQKKPRVVWSNDLHRKFLAVVNELGIESTFFRLSSMCKNSYAIPKKILELMNVENLTRDNVASHLQKYRLYLKGISSGENQQANTSHSRFSSLTRVGGHFHTLNNPRQFHNHNSAFRPFPASVNMHGFPTHNLNHSAKITLSFNRPKLMPIRTMFKECQFLL >RHN40803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21398447:21398851:-1 gene:gene47017 transcript:rna47017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MNSQSESIANLTKVSLTIAKHLFSKQEYKETNVVFSPLSLHIVLSIIAAGSEGPTQQQLLSFLQSKSTENLNYFASQLVSSVLSSAAPAGGPHLAFVNGMWVEQSLSLQPSFRKIVATDFKANIASVDFVNEVK >RHN66066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8225957:8233518:-1 gene:gene13978 transcript:rna13978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MQRRRINVHGVEPCDICGHFGFGEVIVTCSKCKVNREHVYCMKINLMEVPDYWLCEPCQSNNGSTSQCIAKQDSGLQASKRQQSARTGPRGKVKYLQEDEVIKLSSCNVSIKPTPPSSSNLLMTRKVNPGRPALSMTRRGRVASKSLLTKIPSLTPKPNPSISPMAHGMFPRNGGQKNPITNLHASSSLGEKQNNLRLCFAAIPIIFEVILCDTVKGPLKEHRLASERRVGPPIPNRKVQYADLRIEKRTRESPNNDLSATKSVPVAVSDVAEYNVLNMEKSKIQSFVENFPRYQKYFPSSIRAWSGQFQIRQEAASGGIYDGFEAQPPCTINRKAYNLSSKIPSVLQLESLPALNVLTDEFQNYSPSLQDIALYFFPSDNNERSRKNLNNLLKFMNDENLMLRSLINGVELFLFTSHKLSDDSRGTIAVVHEGYFLWGVFRTKKSVTANERLPPDMDPIDDDMDIDMMGGNDVGRIDNVLNHNLKSSSQLPLERTPLQSSTSKKVEEKTSTSSSNLNGRDISISSQELRKKIKVEHSSDPSSGSPAKKYRKVLDILDVPPGFQPHGTK >RHN40501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16992760:16993062:1 gene:gene46657 transcript:rna46657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MVYENIIEATEEFDNKYLIGVGGHGSVYQAELPIGQVVAVKKLHSLQRGETSNLKAFASEIKALTEIRHRNIAKLYGYCSHPLHSFLVYELLRKVVFTIF >RHN63236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49595886:49596283:1 gene:gene25915 transcript:rna25915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein EMSY-LIKE, plant MPPQDGIGNRVPNGIKVFAASHPDSVELEKAKPMLKEHEQALVDIISRIADASDGESGNN >RHN52455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35526291:35528819:1 gene:gene37138 transcript:rna37138 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFENDDIPILSETHPQRSDYSSFQRSTSRTQSTSIYIHMDSLDPYEKQSNHVTNKNEHLLGSSTIFDSKFRNSLYGDAKGFGRKLFSSCSSCIPKVMNPDCKVVHLWNKFLAIICFVAIFVDPLFLFSFYVNKDDKCLVTNWKTAIKLLIYKSLIDFLYLLNILIQFRVAYISPRSRGVGAGDLIDHPKMIALNYLKNDFLIDFFIALPLPQVHFFLSLHVVVKTQMFSKA >RHN59473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11072028:11073248:1 gene:gene21504 transcript:rna21504 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MHGGTMEAINQPQSLAAVFPDELVAEVLSLLAVKPLMRFRCVNKFFNTLISDPHFVQMHLKNSARNPHLAVMSHNHNGFDFRVLTLPMSLLLKNPSTTIQYHPYFGLNDHYLRWRVIGSCNGLLCLIDRYYEFTRLDSRLLCLWNPATRTQSEFVLASSDEYNEFSFGYDNLNGTYKVVAYHLNDREHCTPISEIKVFSLRDNYLINIQCFPAVVPVSFLFLSRNNGGVHFSGTINWLVVRDYCFNSIITMEKYVILSINLSTETYTQLLLPRGFDDEVPDYQPRLVVLMDCLCFCYDFQNTHFVIWQMKDFGDQESWIQLYKVGYKNLFSTPVRKNHLSSSLEFKSQVMLPLYLSESGDTLIWTYDEYKAFIYKGKDNRVERIGITSTVLWLHAKDYVESLVSTR >RHN65408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1893804:1894420:-1 gene:gene13243 transcript:rna13243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MISKNYIGPFVMTKLLLPLLERSHVSSKIVNVTSFTHRVVCLLLFSYELHRQLFQIGKSHQIFVKYVYQINFYFLLPSFFPCVVCFYNYRLFVFV >RHN79698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31343313:31344498:-1 gene:gene3523 transcript:rna3523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MAITRVALCLSFAFLFYVVGGAKVTFTNRCGYTVWPGTFTTAQTPQLSTTGFELGQGVTYSVNLPSSWSGRFWARTGCSINKEKFSCATADCGSGQVGCNGAGAIPPATLVEITMASNGGQDFYDVSNVDGFNVPIFVTPQGGNGPCKAASCPANINAVCPAELQVKGSDGSVVACKSACLAFNTDQYCCRGSYNTEATCPPTNYSMVFKNQCPDAYSYAYDNTSSTFTCSGGPDYAIIFCPSQHLLYPSVTVDGSHYFKCY >RHN77920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10512906:10513668:1 gene:gene1425 transcript:rna1425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MFFFFAGGIENQVRQVVKSGVGRCINCNSRADLVEYDKVLKLFFVPVWRWPGKDTLLYCQDCKFMFPQSHSLPPPPSSGGTTLPDALRCRFCDRNVAADFTFCPYCGEQL >RHN80661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39848793:39856759:1 gene:gene4612 transcript:rna4612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Dim1 family, thioredoxin-like protein MSYMLTTLSQKREVDSIIRDTIDKVLVLRFGRESDPNCLHLDQILSKAAREVSKFATVALVDVDSPDIQVYVKYFDITLIPSTLFFFNAHHMKMDSGTADHTKWIGAFHKKQDFIDVVETIFRGAMNGKLIVNCPLPPERIPKYQLLYKDV >RHN46233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29852068:29852646:1 gene:gene40688 transcript:rna40688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQILIFVYAFIILFSLFVFFTSGAIPCGTRDDCPKTTTYMCFNNICVLLR >RHN74234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30625705:30645383:1 gene:gene10260 transcript:rna10260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative post-transcriptional gene silencing PAZ-Argonaute family protein MHTESKTRTTLQMQMKDPEEHQVKSKPLQKCTERRRKSKKRRNQIKTEKSHETGVESKSSLMFPCRPGYGQLGTKCLIKANHFLVDISVSDLSHYNVKIIPEVCSSKTRKAVISELVRVHKNTDLANRLPVYDGGRNLYTAGLLPFTYKEFSVILSEEDYVTGGTREQEFKVGIKFATSVRMQQLRELLSGKQVDTPQEALSVFDIVLKEVAAQSYISIGRNFYSPDLRKPQQLGGGIESWRGFYQSIRPTQMGLSLNIDMSSMAFIEPLPVIDFVAQILGKDVHSKPLSDADRVKIKKALRGVKVEVTHRGNFRRKYRISGLTSQPTRELIFPLDEQMNMKSVVDYFQEMYGYTIKYSHLPCLQVGSQRKLNYLPMEACKIVRGQRQTKGLNEKQITSLLKFSCQRPREQETDILQTIEQNNYENNPYAKEFGISIDKKLASVEARVLPSPWLKYHDSGREKEHLPQVGQWNMLNKKVINGSNVRYWACINFSRSVQESTAHGFCQQLVQMCQITGLEFSQEPVIPVYSARPDQVKKALKYVHTTALDKLDGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLAKYVFKINRQYLANVALKINVKMGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHAESGDDSGPSIAAVVASQDWPEVTKYAGLVCAQPHREELIQDLFKSWKDPQRGVVYGGMIRELLLSFKRATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRNHTRLFPNNHDDRNSTDTSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFSPDEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARCYMEPDHPEIAKLRDARSKDRAVRPLPALKENVKNVMFYC >RHN73382.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15863499:15865309:-1 gene:gene9185 transcript:rna9185 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTRELVTSLALNFPAMSSNKLMSFTFQKLIKRKFHGTAVKSSKFWRGLVEKELQSIKPEEKNESVCIYRVPPNMLNVEPKAYIPSNISIGPYHYGSQHLQEMEVLKNKFFHRLFDPNGANGSKLEEACKFLEKEEINARNCYMGEIKLSSDEFLKMMLVDGSFIIQLLRDLSDNEFKHVPSLSRWMLPTIRREMIMLENQLPMFVLTKLFELTDKNNSLHPQMSFYNLSFKFFYRLLQSESRKTPECQTSYKFKIEHVLDLLRYNIRPKLIGEEPRGCQSQMIHSITELKEGGVKIKACESRELMDISFGKKWGIMVKELTIPPLYIGDHRGTVFRNIVAFEKCHKRCNPDMTTYMFFLNRLINSANDVSALHYKGVIHHSLGSDEHVAELINNIAKEIVPDMNESYLYNVVNEANEYLGCWRARFRASLVHNYLTSWAVGLSTLGALLALYFTFIQAICGFADAATKLEKAKFSSVIRSLIIIPFRDPPHYTVFDDVSKKIDHGTNN >RHN39264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5393570:5394436:1 gene:gene45272 transcript:rna45272 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLPNMCDLNTLPYLQQFATAHHKSLSKTNNLAWLFLFLIKVTLTTILLTNTLSMKLCLLSFIER >RHN78929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20060548:20071871:1 gene:gene2597 transcript:rna2597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative KH-domain/beta-lactamase-domain protein, archaea MGTSVQVTPLCGVYNENPLSYLVSIDSFNILIDCGWNDHFDPSLLQPLSRVASTIDAVLLSHPDTLHLAALPYAIKHLGLSAPVYSTEPVYRLGLLTMYDHFLSRKQVSDFDLFTLDDIDSAFQTVTRLTYSQNHHLSGKGEGIVIAPHTAGHLLGGTIWKITKDGEDVIYAVDFNHRKERHLNGTVLGSFVRPAVLITDAYNALNNQPYRRQKDKEFGDILKKTLRAGGNVLLPVDTAGRILELILMLESYWADENLNYPIYFLTYVASSTIDYVKSFLEWMSDSIAKSFEQTRENIFLLKNITLLVSKADLDNAPDGPKVVLASMASLEAGFSHDIFVEWGNDVKNLVLFTERGQFGTLARMLQADPPPKAVKVTVSKRVPLVGEELIAYEEEQNRIKKEEALKASLMKEEEFKASQGADNNAIDPMIIDTGNSQPSPEVAVPKNGGYRDVFIDGFVPPSSSVAPMFPCYENITEWDDFGEVINPDDYVIKEEDMDQAANNVGGDLNGKLDESAASLIFDTKPSKVISDERTVQVRCSLVYMDFEGRSDGRSIKNILSHVAPLKLVLVHGSAEATDHLKQHCLKNVCPHVYAPQIEETIDVTSDLCAYKVQLSEKLMSSVLFKKLGEYEVAWVDAEAGKTENDMLSLLPVSGAPHPHKSVLVGDLKLADFKQFLSTKGVPVEFAGGALRCGEYVTVRKVGDATQKGAGSGTQQIIIEGPLCEDYYKIRDYLYSQFYLL >RHN60299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24828278:24830154:1 gene:gene22589 transcript:rna22589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAGILKIFYIAIIYVSLFLVVIEDERECVTDADCQKKYPGPYEHLLKCVSGYCVGVTGF >RHN69458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43581725:43581910:1 gene:gene17934 transcript:rna17934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II protein D1 MAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMKVMHERNAHNFPLDLAAVEAPSING >RHN41985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34558414:34561555:-1 gene:gene48366 transcript:rna48366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 3-dioxygenase MAPAQTLTYLAQEKTLESSFVREEDERPKVAYNNFSNEIPIISLDGIDDAGGRRAEICNKIVEACENWGIFQVVDHGVDSKLISEMTRFAKGFFDLPPEEKLRFDMSGGKKGGFIVSSHLQGEAVKDWRELVTYFSYPIRQRDYSRWPDKPEGWKEVTEQYSEKLMNLACKLLEVLSEAMGLEKDALTKACVDMDQKVVINYYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATKDNGKTWITVQPVEGAFVVNLGDHGHYLSNGRFKNADHQAVVNSNYSRLSIATFQNPAPDATVYPLKIRDGEKSVMEEPITFAEMYRRKMSKDLEIARMKKLAKEEKELRDLEKAKIEAKPLNEILA >RHN46859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35368578:35371984:-1 gene:gene41394 transcript:rna41394 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDGVAVDILSTEDWLLHAKELVPIALDKAKEVKGFSTRWKMIISRLEQVPSMLSNLSSHPCFSKNALCKEQLQALSKSLEGTIESAELCVKEKYEGKLHMQSDLDALIGKMDLNLRDCGLLIKTGMLGEATLPLNASSVSGSATESDAAIHNNIRELLARLQIGHLEAKHKALDSVVEVMKEDEKNVLAVFSRSNIAALVQLLTATSTRIREKTVTVICSLAESGSCEDWLVSEGVLPPLIRLVESGSAVGKEKAAISLQRLSMSAETSREIVGHGGVCPLVELCRTGDSVSQAAAACTLKNISAVPEVRQVLAQEGIARVMINLLTCGMLLGSKEYAAECLQNLTASNESLKKSVISEGGVRSLLAYLDGPLPQESAVAALRNLVGSVSETALVSLGLLPRLVHVLKSGSPGAQNAAASAICRVSSSTEMKKLVGEAGCIPLLVKMLEAKQNSAREISAQALASLLTVSQNRRETKKDDKSVPNLVQLLDPSPQNNAKKYAVTCLGLISSSKKCKKLMISYGAIGYLKKLTEMDTPGAKRLHERLERGKLRSLFGKK >RHN54540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10357519:10360620:1 gene:gene29604 transcript:rna29604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polar-amino-acid-transporting ATPase MPQNCIAPKPEYFNSTLSLEGSPHMTDPHSSNNEQSLPKLIMYPITLKFEDLVYKVKVNQKEKTILNGITGVVCPGEILAMLGPSGSGKTTLLTALGGRLNGKLTGKTTYNNQPFSGSIKRRTGFVAQDDVLYPHLTVTETLVFTALLRLPKTLTRDEKVVHVERVISELGLNNCRNSMIGGPLLRGISGGEKRRVSIGQEMLINPSLLLLDEPTSGLDSTTALRILNTIKKLASGGRTVVTTIHQPSSRLYYMFDKVVLLSEGCPIYYGPASTALEYFSSVGFSTSVTVNPADLLLDLANGIAPDSKHVTEQSEALEHERKTVRESLISAYDKNIAPRLKAEVSSMEVNNFNNITKDSCTRNQINPEQWCTSWWYQFTVLLQRGVRERRHEAFNRLRIFQVISVAFLAGLLWWHTPASHLEDRTALLFFFAVFWGFYPLYNAVFTFPQERRMLIKERSSGMYRLSSYFLARTIGDLPLELALPTAFVIILYWMGGLKPDPVTFILSLLVVLYSVVVSQSLGLAFGAILMEIKQATTLASVTTLVFLIAGGYYIQQIPPFIVWLKYLSYSYYCYKLLLGVQYNENDYYECSKGELCKVMDFPPIKSMGLNHMWVDVFIMALMLFGYRLVAYLALRRVR >RHN42286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37117893:37121702:-1 gene:gene48710 transcript:rna48710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLHFARNLFHITGVRVRVSSFNSQFHPNPFSISFALSTPSSQNSIFRSPMIFFTDFLCVVRHPFSSKSFINDNVSETVRSFLQRDGGSQMLDSSLAPILVSKVLVNLKGDPKSALKFFYSAGNQVGFRHTTESYCILVHILFCGMFYFDAKNVIKEWILLRREIPGSDWFDMLWLTRNVCQTGYGVFDALFGVLVELGMLEEARQCFWKMNKFRVLPTVRSCNELLHKFSKSGQGKLSLSFFNEMVVAGLSPSAFTYNIVIGYLSKEGELETARSLFEQMKQTGLKPDVVTYNSLIDGYGKVGLLAEAVFIFEEMKVAGCQPDVITYNSLINCFCKFEGIPHAFCYLREMKERGLKPNVVTYSTMVDAFCKAGMMLEAFKFFIDMIRVGLQPNEFTYTSLIDANCKIGDLGEAFKLANEMLQAGLNLNIVTYTALLDGLCEDGRMKEAEELFRALLEAGVALNLQIYTSLIHGYMKAKMMEKAMDILKEMNKKNFKLDSPLYGTKIWGLCSQNKIEESEAVMREMKDHGLTANSYIYTSLMDAYFKVGKITEAVNLLQEMQKFGIETTAVTYGVLIDGLCKKGLVQQAVSYFDCMTKTGLQPNIITFTALIDGLYKNDCAEAANKLFNEMLDKGIRPDKLVYTALIDGNLKHGSPEEALSLKNRMVESGMELDLHAYTSLVWGLSQCGKLQQAKSFFYEMLINGVVPDQILCICLLKKYYELGDTNEAQELHNDMTRRGLVAETMDIKIPSIHT >RHN44297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3312175:3319835:1 gene:gene38399 transcript:rna38399 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQASEKLVMAETFRYWGNASWGILLLAKYFANSEFRLVMSFLDMEYHLELPNVVKRVAKVL >RHN46006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27678148:27681343:1 gene:gene40440 transcript:rna40440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain-containing protein MGQQSLIYSFVARGTVILAEYTEFTGNFTAVAAQCLQKLPSSNNKFNYNCDDHTFNYLVDSGFTYCVVAVESAGRQIPIAFLERIKEDFSKKYAGGKAENAAAKSLNKEFGSKLKEQMQYCVEHPEEISKLSKVQAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQHGTKLRRKMWFQNMKIKLIVLGIIIALILIIVLSICGGFNCSK >RHN45188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12752882:12754222:1 gene:gene39413 transcript:rna39413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MSSHRSIPNVDRISNLPDSVICHILSFLSAKQSAATSILSQRWNPLWHSVFTLDFDDQSFTDFHTFRHFVYSVMLTRDPTLPIRMFHLKCGTSPGCDPHDINRFVPVAVKKGIENLILDFTSAGHHFLIRLSPTLSSVFNCGRKLVVLKLKTIIVRVAILRDFNKLIEGCPILEELEIPSLLCRFSKDGIGEFKHLPNLVRANISKFVPKSIQFAWICNAKFLRLELRHSEHQVHAFHNLTHMELIFTSNWRTQWKWLLEMLKNCPKLQNLTLHKLYGHGIDEDDWKEPEIIPNCLSSQLRTCSLIYYKGMKCELQFAEYVLKNANLLCTMTISASPGDLTLKHQMLMDLSLCPRGSTGCKLSFIRLHACC >RHN60578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28419316:28419810:-1 gene:gene22909 transcript:rna22909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation HMG family MEDQNRNLPYTLSQSSTPNELETTNIAANVMEVVGNDAGSDTGAKSKSVGSESAPVKRGRGRPRKYEVGGKPLSPATPTPGLAIQPYGSDEKRGRGRPRGSGKLQILASIGMSFFYFSLGFLFLSVCVSIEFSFLFFYIAWLRRVCFLHLKLVEMIVCSIVNLV >RHN70804.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54143816:54148296:1 gene:gene19422 transcript:rna19422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative indole-3-pyruvate monooxygenase MDYCIRELEGKQANDPLFIKTMKNNKSSSTEGRVFVQGPVIVGAGPSGLAAAACLQQKNIPCVILERSNCVASLWQLKTYDRLRLHLPKQFCELPFMEFPSNFPTYPSKQQFIKYLEDYAGSFGIRPRFNETVQNAEFDGKIGCWRLKSFNSKADVTTEYVCRWLIVATGENAEAVVPNIEGVDEFGGVIRHTSLYKSGEEFRGKKVLVVGCGNSGMEVCLDLCNHDATPSLVVRDSVHVLPREMLGKSTFGLSMWLLKWFPLGLVDRFLLIVSWLMLGDTAQLGLDRPRLGPLQLKNLSGKTPVLDVGTLAKIKGGHIKVRPSIKRLKRQTVEFVDGRSENFDGIILATGYKSNVPYWLKEEDMFSKEDGFPMKPFPSGWKGKNGLYAVGFTKRGLQGASLDAKRIADDIELCLESEAKYGS >RHN76932.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2496003:2503177:-1 gene:gene325 transcript:rna325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MDVLCQAKSGMGKTAVFVLSTLQQIDPVPGQVSALILCHTRELAYQICHEFERFSTYLADLKVAVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRKDVQDIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLKEEEKNRKLNDLLDALDFNQVVIFVKSVSRAAELDKLLVECNFPSICIHSGMSQEERLKRYKGFKEGHTRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSCSSDVDVLNNVQSRFEVDIKQLPEQIDTSTYMPS >RHN41896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33969218:33970450:1 gene:gene48261 transcript:rna48261 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKYHVRSISLPSRSHPSTIRVDEELNKLKTWEGTSTSCSIHIGLSLIDELYISLDDLLNMASTQQVISHHRGEKCIEEVLDSSMRILDICGITRDTILQIKENVQALHSCLRRRKGDSSVEISVTQYKFFTKKMKKNVNKLITSLKQMDSKFGMSSILELDQHLYSLIRVFKEVIAMNLSIFQIILSFLTMSSSKSKTTKWKFVAKMMHKGVITCEDNSDNVNEFLCVEATLSTLLSEGTNGENMQAAHERLEALEKVIESIENGLENLFRRLIKSRTSLLNIISQ >RHN61584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36607467:36624180:-1 gene:gene24062 transcript:rna24062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PWWP domain, CID domain-containing protein MPPARRRGANKAKENGHLRLGDLVLAKVKGFPAWPAKISRPEDWEKAVDPKKYFVQFFGTNEIAFVAPTDIQVFTSEYKSKLSARLHGKTKYFTQAVKEICAAFDEFQNQKTSGDDTDDSRIGSEAPTVDEAVGNSKDTTDAVTSNAEKDNIYVSNAGSDSEDCLQKTRERGSLDEQVTESGRPNDSSSVSSPLVKSKLSTGSEIKKNSSKPTLKSASNVNDFGQHDNGNSVLTNGSTPRKLVTGSKRRLEVADDRNKNGGSSAGTILKVGSSTGSADLFRSGATFKIGKKGKDASAVKSDSPDTLKPDLNGNTGEKGKNLISKNTSLEVKNELHEIMSDAKEAGGKNSSMGKKNQVHTKHNVGANESLHATKKLKRMDAKDDSTSGHIPKDVKSTLPCSTFVEDKSSKMFELKRSTSNSKAEKSSGRELPPTIKHHSQVQKTMPDSDRIASDEKKDWSNLKLKGDMKNVMTKQVQKKRKAVCLYEDDDKPKTPVHGGAAKNSKSPFASDVKKGNNAHSEKSDTARLALRNSGEFVDAHLKESSSQLHSHTSSIKPPQKEKADEVITVHVPHSHDKLDSKQFPSKAAKVSSASPVKSPQAVPAITKSNAERSKSSKSLLKASSIATPKKADNGSSKSSHNLSSSQNQVSAHKKKPASSAEVSKTTPKTLPQAVDIPVSAVDFKEPDALHVDRLEEGMEERSNMKNLIAAAQAKWKKAHSQYLSSDIHHVQGETPSPSTLQPFLSVSSNFAHADVQGVHEHTTSVSPPTNEYHSASQNQLDADEIEERRVSSVQRGPGGSLSGGTEAGVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLENETSFHRKVDLFFLVDSITQCSHSQKGIAGASYIPTVQAALPRLLGAAAPPGASARENRRQCHKVLRLWLERKILPESVIRHYMDEIGVSNDDITVSFSFRRPSRAERSVDDPIREMEGMLVDEYGSNATFQLPGFLSCHAFDEDEDEEDLPINSCTDMYGTSPVDPTPKFGGSETDTVTPNDKRHCILEDVDGELEMEDVSGHPKDEKPVYLDSSVETDMLLQSSNRNLDPTSDIAEDILATPEGSIPLPLDSPPPTPPLPSSPPPPPPPSSPSPPPPPPPPPILQPLPLSLPSSAPPVSLVPQSSGLARPSHVSQSLMPPQSYQSSPKLGYQQNVPHDFSRQLEYGQNDLYINAQVHQPNHQYQQGNTPYVQRHTHPAPPQNPSNQFSYTNQTVQQHLPHTFHPPFPLPSLPDNLRQFVSDEQRRMSSTNNQHQNVVWRGINPTGPPFGQEGFRPPLERPPLSNVGFHRAVSSTLPSAPVPGHGVPQMLPGRPDITTVSWRPT >RHN54738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11743256:11745390:1 gene:gene29816 transcript:rna29816 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DYW domain-containing protein MGLEGDVIVQNSLINMYGKCGEIKNACDVFNGMDEKSVASWSAIIGAHACVEMWNECLMLLGKMSSEGRCRVEESTLVNVLSACTHLGSPDLGKCIHGILLRNISELNVVVKTSLIDMYVKSGCLEKGLRVFKNMSEKNRYSYTVMISGLAIHGRGKEALKVFSEMIEEGLAPDDVVYVGVFSACSHAGLVEEGLQCFKSMQFEHKIEPTVQHYGCMVDLLGRFGMLKEAYELIKSMSIKPNDVIWRSLLSACKVHHNLEIGKIAAENLFMLNQNNSGDYLVLANMYAKAQKWDDVAKIRTKLAERNLVQTPGFSLIEAKRKVYKFVSQDKSIPQWNIIYEMIHQMEWQLKFEGYIPDTSQVLLDVDDEEKKERLKFHSQKLAIAFGLIHTSEGSPLRITRNLRMCSDCHTYTKYISMIYEREITVRDRLRFHHFKNGSCSCKDYW >RHN49295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53872251:53876730:-1 gene:gene44110 transcript:rna44110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mevalonate kinase MEVKARAPGKIILAGEHAVVHGSTAVASSIDLYTYVSLRFSTPSSENEESLRLLLKDTALEFEWPISRIREAFPESVSLLSSTPNSCSVECAKSIASLVEELNIPEAKIGLASGVAAFLWLYSSIQGFKPATVVINSDLPLGSGLGSSAAFCVALAAAFLAVTDSVSVDVIRQGWHSFGEKELDLVNKWAFEGEKIIHGKPSGIDNTVSSYGNIISFKSGNLTRMKSNASLKMLITNTRVGRNTKALVAGVSERMLRHPDAMAFVFTAVDSISKELTTVLQSPTPDELSVTTLEEKVEELMEMNQGLLQSMGVSHATIETVLRTTLKYKLSSKLTGAGGGGCVLTLLPTLLSPTVVDKVIAELESNGFQCFTAGIGGNGVEISFEHSS >RHN56211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28787785:28788567:-1 gene:gene31576 transcript:rna31576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-IX family MVVAWVLAFRFCCTGGQAQADVHSLNEIVSHPCHYRDENVPSSSIQNNVEAATNMAVSIQVLRRATNNFSESNVFGKGGFGIVYKGVLEDGTTIAVKRMVPEIVGEKGLKEFKSETEVLSKLRHKHLVALRGHCLEESEKLIVLEYLPQGTLSQHLFDRKDDGLKGLEWKTRLSIALDVARGVEYLHDFAQQSFIHRDLKPSNILLGDNMCAKVADFGLVRLVQEGKASIHTKLAGTFGYIAPEYAGNHILIITRLRDCE >RHN65969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7154805:7156059:-1 gene:gene13877 transcript:rna13877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MSEVVGGTFLSSVFRVIFERLASTDFRDYVHVDVEKKLEITLVSINKVLDDAEAKQYRNKNVRNWLNDLKLEVEEVEKILDMIATDVQRKKIFESRIKVLLKRLKFIADQISYLGLEDATRASNEDGATSRILPTISLVYESFIYDRELEKYEIIDYLLSDSDSRNQVPIISVVGVIGMGKTTLAQLVYYDDMIVEHFEIKAWVHVSESFDLVRLTQSILRSIHSSAADSEDLEILQHQLQQRLMGKQYLLVLDDVRNKNRNMWEHFLLPFSRESSVGKMIVTTHDMEVASIIRSTQLLHLKQLKESDCWSLFVKHAFLGRKVFEYPNLELIGKQIVQKCEGLPLALKTLGNLLERKFSEPDWVKMLETDFWRLPEGL >RHN44022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1062144:1062769:1 gene:gene38066 transcript:rna38066 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTKAMWWNFERNFGAGLLFKNMNMNKCSSNLLIKSPTTWMMHMIYFGEVTFLHGRLSFLYIEKREQLLFIVYDDCHILSTLYLEMLDFKMSKNLSRYIIVQL >RHN72012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4361159:4366205:1 gene:gene7679 transcript:rna7679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MITALSSLIQSWKNKPLNWVGSDPCGSDWDGIRCSNSRITELKLPSLKLEGQLSSAIQSLSELETLDISYNAGMTGIIPREIGNLKNLNSLALSGCGFSGPIPDSIGSLKNLTFLALNSNKLTGNIPRSLGNLANLDWLDLDKNQLAGPIPVSNDKGQPGLDMLLKAQHIDLGNNKLLGPIPTKLFNSSMHLKHVLFDHNQLTGGIPSTLSLVSTVEVVYLSHNELEGSLPDLTGLNSLTVVDLSDNYFNSSIIPSWVSSPSLPDLTTVILKDNKLSGTLNLSSGYRSSLQLIDLQNNGITDLVMGNQKLNFDLRLAQNRICLENGVSEESYCKVPQTIPPYSTPSNGCSPPSCSNDQIASPNCKCAFPYSGNLSSRAFSFSNYSNTSYYKEIEQTLIDAFQKQNIPVDSVSLSNPINVSSTDNFQLTLNVFPSQTDRFNTTGVSTAAFVLSNQLYKPPEFFSPYVFIGFTYGYY >RHN45699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24658706:24659166:1 gene:gene40082 transcript:rna40082 gene_biotype:protein_coding transcript_biotype:protein_coding MNIHTIIFLFSVYVIFWQEDSVANLEPPMDFRVHRIVVPVTPDSVVLRPFVHDVFNFQLTYEKIPCLYDVTAGYLELAEFDFAHMALDSETMVINLVDENGNVWNCSLQFSETPYANFRIGGG >RHN63503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51814953:51818096:-1 gene:gene26206 transcript:rna26206 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVIAYSRGTWHPIMTSDTTIQSYWLNWKVLVCVILIILSTIFSSFLICKYEVLLLSWKPTRNDDMEIQKETSSSSILYEDETWKPSLKGIHPAWLLAFRIFAFIVLMVLLILTVTTDGGSIFYYYTQWTFTSVTIYFGLGCLLSMHGCYQHHKKASGDKVNNVDGYSEQGIDDACTLPQSSNPSSHDKAPQEHIIRQHAGIWGYVFQIMFQINAGAVMLTDCAFWFIIVPFLTIKDYNINLLTISMHTINAVFLLGDTALNCLRFPWFRIGYFCLWTVTYVIFQWIVHAMVKIWWPYTFLDLSSQYSPLCYFSMGLLHIPCYGIFILIMKLKHNVLSKRFPDSYQCGR >RHN39714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9861919:9862188:1 gene:gene45767 transcript:rna45767 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFDYVSACGQELQILFVAFRLWINHQIIFKVALSKNLIGDISPGQKNIHFGYNVKTRQLTMIEGIDVGDFEVWSHINSLVSLPCTPA >RHN64716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61215142:61215597:-1 gene:gene27565 transcript:rna27565 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAQQNKRRRVYSVEPNTIVQTIFARNYLSYLVPALMKIKENNISSKENIKNVKYEVDMAMVSSAQGFAWSDGLKLKLQKECNVNDVATNSRSIIENEASEDEEKMKNLIRLIPGGEDICDEQVVNELESYIRCLQMQVNVLQCLLAETC >RHN69199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41744830:41756582:1 gene:gene17636 transcript:rna17636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase MALPKVLMVAEKPSIALSIATVLSHGKMNTRRGSTEVHEFDGKFRKAPARFKVTSVIGHVFSVDFPGKYQDWAATDPLDLFQAQVIKNESNPKAHICKHLHQEARGCRYLVLWLDCDREGENICFEVIESTGFNINDVYRARFSSVTEKDVLNALDNLVRPNRDEAMAVDARQEIDLKVGVAFTRFQTSFFQGKYGNLDARVISYGPCQTPTLGFCVQRYLQINTFKPEKFWSLQPYIIQSGYEILLEWQRSKLFDINVAMMFQKLVADDGIVEVTNISEKPETKGRPVGLNTVNLLKVASSALGFGPQMAMQLAERLYTQGFISYPRTESTAYPPSFDFRSALSAQRNNPTWGNYVEGLLASGYQKPRSGTDVGDHPPITPMKSASEDMLGNDAWKLYQYICQYFIGTVSPDCKYIRKKVEFQVGGESFHCTGQHVITKGFTAIMPWLAINDKSLPSFEEGQKIKLSKVELYEGSTTPPDFLTESELISLMEKNGIGTDASIPVHINNICERNYVQVQAGRKLSPTTLGITLVRGYQSIDPDLCLPDIRSFIEQQITLIAKGQVDHHRVVQHVIEQFKQKFCYFVKKIEAMDALFEAQFSALVDSGRIMSKCGKCLRYMKYISVQPSRLYCGTCEEVYDLPQKGTIKLYKELTCPLDNFELLICSMPGPEGKSFPLCPYCYSNPPFEGIDTLINSAKTTTSSKTGKGAGMPCNLCPHPTCPNSLVSQGVCACPECSGTLVLDPVSAPKWRLCCNMCNCLVFLAQGAHRISTTKERCPECDSSILEVDFNKKTTPLADGSTLHRGCILCDVLLHSLVEMKYGRGFKSSRGRGRGGRTQRYRGRGRGNAKMMDPKMSFRDF >RHN44146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1989723:1989932:1 gene:gene38222 transcript:rna38222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L38e MPKQINGIKDFLLTARRKDAYSVKIKRSKDVVMFKVRCSKYLCTLYVFDTEKTDKLKQSLPPGLNVQDL >RHN58998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6497266:6497832:1 gene:gene20976 transcript:rna20976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MKRPNTAIKAKREQQELLDDQIINHHNRNNMEEEENYNLAECEHQKKQGLKKPKMRVIKPSEKFRFTFEWEDTEDILEEEENNLGECEQEKTQGSKKPKMRVINPSEKFQFMFEWENTEDEGDILEEEEEEENNLVECEKKLGLKKPKMRVIKPSEKFRFTFEWEETEDILEDIETEDSLEDINILYP >RHN57186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37173619:37173915:-1 gene:gene32694 transcript:rna32694 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTSARLNLPDISDYSSVKEYLMDSSSAAASRSSSLATMPATSEKTTASSHSEVCVAEAVNEIPKLPVNMNNSVEIVEFGGGARCKFRRNNNTNTNT >RHN62315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42568089:42575883:-1 gene:gene24877 transcript:rna24877 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative apoptosis inhibitory 5 MTTEPSEEAAYIEKLYQYGEQLNSSKDKSQNVKDYQGIIDTANTSVKAKQLAAQLIPKFFKFFPELSGPALDTHLDLVEADELGVRVQAIRGLPLFCKDTPENIGKMVDILVQLLSSDEFVERDAVHKALMSLLRQDVKASLTTLFKHIRGNVEEQNADDLSRVKDLIREKVINFVRDKVFPIKAELLKPQEEMERHITDLIKNSLEDVTGIEFRMFMDFLKSLSLFGEKAPAERMEELLGIIEGQADLDLPFNVADANQTDHIHRLISCLHMALPIVVRGASSSKFINFINDYIIPVFDQLPGERKVDLLRNLAEFSPFTTPQDSRQMLPSIVQLLKKYMTWKKTGEEMNFTYVECLLYTFHHLAHKVPNATNSLCGYKIVTGQPSDRLGEDFSEQYDDFTERLKNVEEFTRATIKKLTQGMAENNKSMADAKTDEEKEKIKTKKQNATTGLRTCNNILTMTKPLHAKAPSFIGDKRINLSWKEATKSASTTAPAVGAKRPATTGNGSNNIALKKGRGSGGMQNQLVNRALGGLSGGGGGRGRVSGGGRGGARGRGQGQGWGRGRGSGRGRGRGYW >RHN66287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10374183:10380205:1 gene:gene14237 transcript:rna14237 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIITKACKELGIRALPSKRCLSLLLWLEERYETVYTKHPGFQKGSKPLLPLDNPFATKLPEDLFGERWAFVQLPYSAVRAEASASEERFGYGSGLDLDLLGIEIDEKTLIPGLAVASSRAKILSAFMNGLELCSIETDTARSNLTLSVGISTRYVYATYKKSPTSTKEAEAWEAAKKASGGLHFLAIQDELDSEDCIGFWLLLDLPPPPV >RHN53037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41393427:41393975:1 gene:gene37783 transcript:rna37783 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVTKRIAICHLMGYLSRSLPYNMAIISFKHAVTMLHCLYV >RHN55049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14705914:14706894:1 gene:gene30175 transcript:rna30175 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQEQPMQQQQQQQPVQVYPTTVTYQYAPPEPDHHSSNGSFGSVFVVLAIIIVISAVACCLGRFCSRRGNGKSHSQKPVKHQKQQNHQHSRPKEVDIEFGFDKKIAASKPMNNGHGGFPKNNGHGGFSKNNGHGGVRVQKPVAQGHHDMKSFEIKLGPPQGKYRPGA >RHN40387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15894409:15894735:1 gene:gene46535 transcript:rna46535 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTQRLWCICCCDCVTISVNPSVMGSERRLVHCGSSTLSLFLGCLVACFVLTQLLDSLARNGCFLDLMLWLMQWVVDGLVSVLAAWFIRRYQLFGYITIHFLQCLLF >RHN41157.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27654032:27656395:1 gene:gene47448 transcript:rna47448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MSTARSPAATTGSGASAVSNPAHSIWIRQDKFLYLALLGSCDSEARSVMASADTSREAWVALERAFANRSQSRIMSLRERLSSISKGNSAVSTYLQSIRNIADELALIAHPIDDLEMVIHALNSLGPTFREFTTSIRTRDSPIPFNELYDKLVDFEMCQQREERLSTNTPVTANHVQRRHHANGRGRPSYQPNTENPSFNHKKPAHVAPVICQFCEKPGHSAKECYKIHGYPKKPRNPTVNLAHRSNSSPPDWLFDFGASHHITNDFNNLSIKSDYTGDDHLQVANGNILPITHIGSTILSKSSSPLILSNTLCVPSVTQNLVSVSQLCQTNDVSIEFFPWHFEVKELQTGEVLLRGLNEDNVYKFNPNSTIPQTSVATTATSLQLWHHRLGHPAPKPLLHALKTNKVPFTGSFNACHNCFSNKSHKLPFSKSTISSNYPLEIVYSDVWGPAPFNSIDGFRYYVIFIDHFSKYVWLYPMKFK >RHN42062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35158513:35160088:-1 gene:gene48453 transcript:rna48453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, RING/FYVE/PHD-type MYFCFIILGNFNYFIYNALDALRLISFEDEDFHCEHCNGKLEVESDKLAAQEGEDGDENARRRRREKLKDMLQKMEVLISFIELFVSICGFICWIIFIYICYR >RHN47863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43125524:43126825:1 gene:gene42510 transcript:rna42510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MRGKCPRKMKILSHKTDCSTRFPIKGDETVFLPDELVTEVLSFSDVKFLMQMRCVCKSWKSIISDPKFVKLHLKRSARNPHLTLYFNKSIIYRGFNVVPFPVTRLRENAVISLPNDPHYGLMDNDCKYVVGSCNGLLCLLGYSPLHGKMWFHLWNPAIRKMSQKLGYFWDGVLGLYFSFKFAFGYDNSSHTYKVVMLLLDEAENRTRARVLNLCDNVWKPIQNFPAVLLHFCDTKPGANDGGVYLNGSLNWLAHPSEFGYINFYAWKKMNVKKFVIVSLDLETETYTKLMPPCGFDEMSPIEPSVCILLDCLCFSNDYKKTDFVIWKMEEFGVEESWIQLIKISYQNLRSIHRGFVDLELSKWLPLHLSDHGDTLILAKMLHDPDLPMSVEKEERQAILYNLRDNIAVQTRITDKIKWFFVKAYVESLVSPTF >RHN42102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35404449:35409281:1 gene:gene48497 transcript:rna48497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MPMGKDYDDVRKPFVNNNNFFLKDINNNNNNAGSGSFYIVLCVLIVALGPIQFGFTCGYSSPTEADMIQDLNLTISQFSLFGSLANIGAMVGATVSGQIAGYFGRKGDSSLLYMGRLLEGFGVGIISYVVPVYIAEISPRTMRGSLGSVNQLSVTIGIMLAYLLGMFFKWRTLSILGILPCAILIPGLYFIPESPRWLAEMGMMDKFESSLQSLRGPKVDINIEAQEIQGSLASNNTTDTVRIADLKKRRYWFPLMVGVGLLVLQQLSGINGVFFYASKIFSSAGISSSNAATFGLGAIQVVMTGVATWLVDRSGRRVLLIVSSSVMTVSLLLVATAFYLQGVVTSGSDLYRMMGMLSVVGLVALVIGFALGIGPIPWLIMSEILPPNIKGLAGSAATFLNWFTASLITMTAHFLLDWSNAGTFTIYAIFSAINVAFALLWVPETKDRTLEEIQASFIR >RHN64965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63344415:63349413:1 gene:gene27849 transcript:rna27849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGCHGSKEKKHAKDEFTARQRSSPARPTAPPSRPAGVDSNFRYINVPPQVQQSSTPSSNPKPSAITTQKPVQQKVDTTILGKPYEDIKKFYTLGKELGRGQFGITYFCTENSTGLNYACKSILKRKLVSKADREDIKREIQILQHLSGQPNIVEFKGAFEDRFSVHLVMELCAGGELFDRIIAQGHYSERAAASICRDVVKVVHICHFMGVLHRDLKPENFLLSSKDDGAALKATDFGLSVFIEEGKVYRDMVGSAYYVAPEVLRRNYGKEIDIWSAGIILYILLSGVPPFWAETEKGIFNAILEGELDFVSEPWPSISDSAKDLVRKMLTPDPKKRITSTEVLEHPWMREGGEASDKPIDSAVLSRMKQFRAMNKFKKLALKVMAENLSEEEIKGLKAMFANMDTDSSGTITYEELKTGLARIGSRLSEAEVKQLMEAADVDGNGSIDYLEFISATMHRHRLERDEHLYKAFQYFDKDNSGHITREELETAMTKHGMGDEATIKEIISEVDTDNDGRINYEEFCAMMRSGMPHQGPLF >RHN66643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15594634:15595416:1 gene:gene14681 transcript:rna14681 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQQLNDKAFQGMSPQRFPNHERTQLVYISIQLNYDSNNIGNDNDRA >RHN41419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29871135:29874073:-1 gene:gene47737 transcript:rna47737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,4-dihydroxy-2-naphthoyl-CoA synthase MADNKDIETVIRRVTSVTNHLVSSSPPQLISLCHTSTYQRVHGDVPSHDVVWKVVPSDDDEGKVFTDILYEKSVGEGIAKISINRPERRNAFRPHTVKELIRAFNDARDDPSVGVIILTGKGTDAFCSGGDQALRTPDGYSDHENIGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHILHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLTRFYTAVEAEKMGLINTVVPLENLEKETIKWCREILRNSPTAIRVLKAAINAVDDGHAGLQEMGGNSTLIFYGTEEANEGKTAYMERRRPDFSKFNRRP >RHN66448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12442802:12443986:-1 gene:gene14438 transcript:rna14438 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MERADRISNLPDSILCHILSFISTKQAAITSVLSKRWRPLWLSVLALNFNCNDFKTFVCFVCVINSTMKQRDIKLPIHSFCLRCPKYSSFDQKDVNQFVNFELQHGIQDFYLHLPETCNIQTKLPHNILSCRTLEVVKLKSIMMVDISHLTDMDLPCLKTLHLSRVHFGCHEHVMKLLSGCSILEDLKTKCLHAPKGSERHPLEENFRSLPNLIKARISDLNILISMVSTCITQLPMFQNLTYLELNFKDQDWFLRGLWLIEVLKHSPKLQNLKIQECEHRQGSRYKTIWMDPPSVPECLSRQIKTCCIRGYRGTKYEFEFAKYIMQHSNVLETMTIKSTCLEKYQMSLKLSSCSRGSTRCKL >RHN41234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28460556:28461541:-1 gene:gene47534 transcript:rna47534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 17-beta-estradiol 17-dehydrogenase MLHIKYLSSQEGTRSFISDVKAGISTIKRIVRENRVLLVLDDVDHVNQLDALIGKREWFHEGSCIIITTRDTTVLPEKHVNELYEVTELYPEEAWKNELVGRMGRCS >RHN39138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4390166:4401942:1 gene:gene45137 transcript:rna45137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MDSNLSRSISRSLSRSSWKMEEVFASGRYSRRTSQVDEDEEALKWAAIEKLPTYDRLRTSIMQTFTEGDQPQPGNRQQHKEVDVTKLDMNERQQIIDKIFKVAEEDNEKYLRKFRNRIDKVGIRLPTVEVRFKNLTVEADSFVGSRALPTLPNTALNILESLIGLFGFNTTKRTKLTILKNASGIVKPSRMALLLGPPSSGKTTLLLALAGKLDSELRVQGDITYNGHRLNEFVPRKTSAYISQNDVHVGEMTVKETLDFSARCQGVGTRYDLLSELARREKEAGIFPEAELDLFMKATAVKGTESSLITDYTLKILGLDICKDTIVGDEMNRGVSGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLTEGTILMSLLQPAPETFDLFDDIILISEGQVVYQGPREHIVEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWADKNRPYRYVSVSEFANKFKRFHVGVRLEQELSVPFDKSSAHKAALVYSKNSVPTGDIFKACWDKEWLLIKRNSFVYIFKTAQICIIAIIAATVFLRTEMKRDTEDDAALYVGAILFAMIMNMFNGFAELALTIQRLPVFYKQRDHLFHPAWTYTVPNFLLRLPISMFESLAWMVVTYYTIGFAPEASRFFKQFLLVFLIQQMAAGMFRFIAGTCRTMIIANTGGALMLLVVFLLGGFILPKRSIPDWWVWANWVSPLTYAYHALVVNEMYAPRWMHPNTSGDKTTTLGLAVLKNFDVYANENWYWIGAGALAVLIVFYNVLFTLTLMYLSPFGNKQAIISEEDATELEGEGDVNEPRLVRPPSNRESMLRSLSKADGNNSREVAMQRMSSQNPNGLRNADADTGNAPRRGMILPFQPLAMSFESVNYFVDMPAEMKEQGVTEDRLQLLREVTGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGYPKNQETFARVSGYCEQTDIHSPQVTIRESLMYSAFLRLPKEVGNEEKIQFVEQVMDLVELQSLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELILMKRGGQLIYGGPLGRNSHKIIEYFEEIPGVPKIKEMYNPATWMLEVSSVAAEVRLGMDFAEYYKSSALFQRSKALVKELSTPPPGSSDLFFATKYSQSTFGQFTSCLWKQWLTYWRSPDYNLVRYFFSLACALMIGTVFWKVGENKESSTDLTLVIGAMYAAVIFVGINNCQTVQPVVAIERTVFYRERAAGMYAPLPYALAQVLIEVPFVLFQACYYSLIVYAMVSFEWKLEKFFWFVFVSFFSFLYFTYYGMMTVSITPNHQVASIFAAAFYGLFNLFSGFFIPRPKIPGWWVWYYWICPVAWTVYGLIVSQYHDIDDPINVLGATQNFTVKGYIEHHYGFKPDFMGPVAGVLVGFTCFFAFIFAFCIKALNFQSR >RHN73552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17605706:17612922:1 gene:gene9376 transcript:rna9376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lycopene epsilon-cyclase MECVGARNLTAMAFCISPSLRLRRKKICWRRREVSSNVIRLRSMKVNAKAGGAGSESCVVAKEDYADEEDFVKAGGSELVFVQMQQKKSMDLQSKLADKLPPICVGDGILDLVVIGCGPAGLALAAESGKLGLKVGLIGPDLPFTNNYGVWEDEFKGLGLEGCIEHVWKDTVVYIDNKNPISIGRSYGRVSRHLLHEELLRRCVESGVSYLGSKVERIVEASNGHNHVVCEYDTVVPCRLATVASGAASGKLLQYEVGGPKVCVQTAYGVEVEVENSPYDPNVMVFMDYRDYMKNNVQSLEAKYPTFLYAMPMSRSKVFFEETCLASKDAMPFDLLKKKLLSRLKTMGIKVTKTYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATGYSVVRSLSEAPQYASVIATILNDGTARDIVTYGRSKENPSMRAWNTLWPQERKRQRSFFLFGLALIVQLDIEGTRTFFRTFFCLPEWMWQGFLGSSLSSKDLLLFALYMFIIAPNNLRMSLVRHLLSDPTGSTMIKTYLTI >RHN46851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35317671:35318475:1 gene:gene41385 transcript:rna41385 gene_biotype:protein_coding transcript_biotype:protein_coding MITIPPPMIMSVPIKSDSSRSLYSLLSHKEYSIQFSSIKFITCHGSSFGWLSLVHGNGSITLLNPFKYAIIPPISLPPLESPPLRYLQSVTLSADPIISPDDYVAVVIYNFGHIAFQRANQPFWIPIVTNLLHFNDVVFYKGMLVAETHSDTIQSFKFNTTPPPDRSNDPNFTYYEKITSTEYRARAQDYAGQTHLVKSLNGDIWMVKRYLIDIEKLS >RHN64957.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63288239:63292967:1 gene:gene27839 transcript:rna27839 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MMQPGGPGMPPPNLAQQFHQQVQQQHQQQPPYMMMHPPQPQPQPQTQPPQMWAPNAQPPQQSAVPPPSSADEVKTLWIGDLQYWMDENYLYNCFSHTGEVGSVKVIRNKQTNQSEGYGFLEFISRAGAERVLQTFNGTIMPNGGQNFRLNWATFSSGEKRHDDSPDYTIFVGDLAADVSDHHLTEVFRTRYNSVKGAKVVIDRTTGRTKGYGFVRFADESEQMRAMTEMQGVLCSTRPMRIGPASNKNLGTQTSKASYQNPQGGAQNENDPNNTTIFVGNLDPNVTDEHLKQVFTQYGELVHVKIPSGKRCGFVQFADRSSAEEALRVLNGTLLGGQNVRLSWGRSPANKQTQQDPNQWNGSSSYFGGYAQGYENYAYAPPAGQDPNMYGSYPAGYASYQPPQQQQQLGYS >RHN80261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36604748:36608288:1 gene:gene4164 transcript:rna4164 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDTVTKMDTANGSVNKPVDVASLDCKKVEDHGHVNGKSDSDSNSLLTTLPKRGGMARKLDKTCRRVQWTDTIGNKLVEVLEYEPSDVSDSDDEDSCICSIM >RHN48841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50623378:50625100:1 gene:gene43600 transcript:rna43600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L35A MLWLNTYCFMLFFRSKVNQYPNTSLVQIEGVNTKEEVAWYAGKKMAYIYKAKVKKNGTHYRCIWGKVTRPHGNSGIVRAKFKSNLPPKSMVIIYQHIFILINCV >RHN75916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46133762:46137836:1 gene:gene12176 transcript:rna12176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MVNILLSLFLFSLSMHCFNACLTLETSSNQSTNQTFRSEEFHKPAVVKTIQDLPKRPIGHNQTDILSDNMQFWSSEGTHSIRRTKQDILRTKSISRFGRKLNQVGTNTGEHLYAIARVNGDKFYGAKATINVWRPYVENNSNNDEFSLSQIWVTAGSDGELNTIEAGWRADPKFNGDNLPRLFIFMTKDGYQTGCYNLGCGFVPNTNSVITLGAPISSTSSTYNGQQVEITLSIYKDQKSGNWQLEYESGNVIGYWPSSLFTHLNDGATDIDFGGEVSTTKKGSHTTTQMGSGHFPDEGYGKASYFRNIQVVDSDNKFIPLPNVKYQAEHPNCYNIIGGVSNQGQNFFYYGGPGKNVNCP >RHN41457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30161244:30167390:1 gene:gene47784 transcript:rna47784 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosylphosphatidylinositol-mannosyltransferase I, PIG-X/PBN1 MTTRRFIQLCIYLFAGSSFIWSPGNCSVGDSESGQMVDSPNPISNSKNDKASSPTKQYLMQSYYDRYTSLHDSDFENFMSQEVTSGLCEALPENHNSMLRLSVVRRDLVGEGSHRRMSTLIKLQSQQLKSLSELLSYSCEFIVIERLPSGVFADPFELQHLAQRGVCNNIAVFGDTNLELPSFLSNRSAVEIHLDVDPNTLLKSTDFSIEFPLHARYQPLNESGYSIVEFGAPDMLLRCSRKEKVENNNCLFRLKIDDANLYDAGLVWRIPSGRKAHSELVSAVTFLIALLSTLVIVVTSLRYFNCRLNKDLKQS >RHN76137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47937748:47939743:-1 gene:gene12418 transcript:rna12418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MRKPEICSTKNNININKKKLRKGLWSPEEDEKLMNYMVRNGQGCWSDVARNAGLERCGKSCRLRWINYLRPDLKRGAFSTQEEELIIHFHSLLGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNLSSSTSPNPSDESSPEPNKDFNIQQGSYISIQHANYNSYMSMPMFTSSSTSPSPSILQNTIFNTMNIDTLPVMDHSGYFNNTVTTMPCFSSQNHEVEIKGSYLENGVFGSVNIGVEGDMENKCNIETNPNHSDYYFDDIKINNMLSNCNIIESNNNKIESRGEVVENLFQEQFNLGEWDFEELMKDVSSFLFS >RHN45497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21466020:21467311:-1 gene:gene39847 transcript:rna39847 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MVDHQTVCCMCGDVGFPDKLFRCNKCRHRFQHSYCSNYYGELAEIEQCDWCQSEEKNNSRHIGTNSKKPVTGNEIVATTNRSDYSGEKIIKQHDREESGSEKGKSPSPSPRTATRRYKLLKDVMC >RHN66348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11140071:11140527:-1 gene:gene14312 transcript:rna14312 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain-containing protein MVAKIAEANNVLRRRLRRKPTYNEIAEVLNVNVSTVKLVSERSRQPISLDRSITDQSNLILKEIIPGPVEMIPEKMVERQLMKQGVVKLLNTLDKREEEIESC >RHN53386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1376928:1379671:1 gene:gene28288 transcript:rna28288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol 8-hydroxylase MATIPYMDFLSYILMFLLIFIVTQVFYSLFATKMRNKTNSKLPPGPFPLPIVGNLFVMNNKPHKSLAKLAKIYGPILTLKLGQVTTIVISSPDMAKEILQTHDSLLSDRTVPHALTAFNHDQFGVGFLSLSPLWREMRRVCKNQLFSNKSLDASQYLRRGKIDELINYVSQCSLKGEAIDMGKLAFKTSINLLSNTVFSVDFANNKDLVMDMSETVGSPNMADFFPLLRLIDPQGIKRTYVFYVGKLFGIFDNIIDQKLKLREGDGFVTNNDMLDSLLAEENKKELDREKIQHLLHDLLVGGTDTTTYTLEWAMAELLHNPNVMSKVKKELEETIGIGNPIEESDVTRLPYLQAIIKETLRLHPIAPLLLPRKAKEDVEVNGYLIPKGAQIFVNVWAIGRDPKVWDNPNLFSPERFLGTKLDIKGQNFQLTPFGSGRRICPGLPLAMRMLHMMLGSLLISFDWKLENGMKPEEIDMEDAIQGLALRKCESLRVIPTKIRISWFSSCVAEYIAASLSSCQALWLMNLMEELSHEKCDIDD >RHN44566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6263795:6265232:1 gene:gene38701 transcript:rna38701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-l-methionine decarboxylase leader peptide MESKGGKKKSSSSSSSFLYEAPLGYIIEDVRPNGGVEKFKSADYSNCTRKPS >RHN64537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59888835:59889056:-1 gene:gene27361 transcript:rna27361 gene_biotype:protein_coding transcript_biotype:protein_coding MVHALGCANTNHVILRVLRHPSLEGFIKFNMDGSSFGNPCNVGFEGISKNVGGNWIYRFS >RHN81278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44525632:44527478:1 gene:gene5294 transcript:rna5294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEPAPEQPEQQISLASREITNIIKGKRTKRVRPQSPIPFSITANSSTGEGGGGGGEREDCNNVDDVNNNTIINTNNNNTSPTTSYVEEKQDSTVVIDEEYDMANCLILLAQGQAKESSTKNTDDLDGGMMNYTKYSSRKFMEAATLDSGRAGFYVYECKTCNRTFPSFQALGGHRASHKKPKALAIAQEKKHLYDDDQEFQFKPNHKSISLQLNNNGKGNLHGGSNNNNKSKVHECSICGSEFTSGQALGGHMRRHRAPVGTSAANTTLSLTTMALEPDEDHPRKKRNVLSLDLDLNLPAPEDDHRESKFAFASKQHQQQQQQNGQKQQQQQQQQQQKQQTNLVFSAPALVDCHY >RHN45609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23665303:23666675:-1 gene:gene39986 transcript:rna39986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MKKVLLKVDFYNDRIKQKVMKTASSLPGFESLSIDSKEKKLTVSGDIDPVKVVCKLRKLCQTEIVSIGPLKDEKKDSTNTNEVIPLQHFGTYPFYYQMTPPQYFQNYYYV >RHN56338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30041347:30041745:1 gene:gene31722 transcript:rna31722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MTSLTCSHLATLHSTDLFFIADCFPLPEELDISDPREFIYHSSLHDGVEAISLPLFKLCKVNLSRHHYINGQPLFHLINNCKLLEEVIVFSCHRLTISCIASACRKRPTLTVSISITSEQTTMQLLYVCLLV >RHN61108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33015819:33023372:-1 gene:gene23534 transcript:rna23534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [histone H3]-lysine-36 demethylase MSKTTSSISDSLETPTLDAESPTLLHSISSYGGYAYVRMSSLAAAGDIRAAEAVREMAWEQLHSGPWHSVLPVWRDAYSMACLQVAKCCYENGEFKEALRALDMGIIMGGSLLRKDLDSSVVKVSEKARLVKVDDGRCKDFGGSENRLVDLEFDVSKVLQLLPVKSLASKLVVKRSALSLENFLKDHYLAGSPVIISDCMAHWPAKKNWNNIDYLLRVAGDRTVPVEVGKNYLCADWKQELVTFSEFLDRIRSNGCSPGGPTYLAQHPLFDQINELRRDIFIPDYCFTGGGELRSLNAWFGPAGTVTPLHHDPHHNILAQVVGKKYVRLYPASLSEELFPYSETMLCNSSQVDLDDVDEMKFPKVQDLEFVDCILEEGEMLYIPPKWWHYVRSLTTSLSVSFWWSDGESSSPS >RHN52832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39279165:39279368:-1 gene:gene37550 transcript:rna37550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonoid 3',5'-hydroxylase MLPQTFVIPEFLCYIHTHSISYYFSLKIDSKNGSKNPPGPKPLPIIGNLHMLGKLPHRSLQLLSQKY >RHN74622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35238196:35239873:-1 gene:gene10723 transcript:rna10723 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MVKNSTVVLSLQVYTFLLLLSIALNFFILLSCSFSLDFCAFLLLLCSTMDSNLDDIDAIWFQKKVSEIFGNNKVDELLNVLGGDYDDMEAKNRLLLCMKNEASHFINFLLRNRFKISLTKVIKNLSLEASAATPTDSSSEEDYNIVMISTMPDWAQPAFKGMTHLNRVQSKVYKTALFNHDNLLLCAPTGAGKDIVAVLTILQQIALHRNPYNGYIDHSAYKILYMTHSEAVVKLVRMLRKTFEDYGIKVGELSEDPSVTWEQIEESQIMVTTPEK >RHN65906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6362691:6366494:-1 gene:gene13800 transcript:rna13800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I13, potato inhibitor I MAESFKFICVIIIFLCSFIAAKNIDGRNNPTRRNWSELVGVTAEEAERKIKEEMNGVEIRVVPPGYFVTADYNTQRVRLYVDQSNKLIKTPTIG >RHN68119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33043099:33043469:-1 gene:gene16419 transcript:rna16419 gene_biotype:protein_coding transcript_biotype:protein_coding MCQVSLNHYYPFIFLLLKLTILLPFSFNKLFFFSSPSHKITSL >RHN53423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1572599:1585837:1 gene:gene28327 transcript:rna28327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP diphosphokinase MRITMNTHFLSCERSTMLLHQNSSPLLRRFRFRYVKFKPHRSRFRCLLPQIAVQSSANVIAAAAKAASVHGAVYSAINHVAVTAVAIASGACLSTKVDFLWPKPDEQPGTIMQDGVDVTGYPIFTDAKVQKAIAFATKAHLGQIRKTGDPYLAHCIHTGRILAALVPSSGKRAVETIVAGILHDVVDDTCQSLQDIEAEFGDDVAELVAGVSRLSYINQLLRRHRRVNVNQGVLGQEEASNLRGMLLGMIDDPRVVLIKLADRLHNMRTIYALPMHKAQAVAEETLIIWCSLASRLGLWALKAELEDLCFAVLQPQIFQSMRADLASMWSPSARIGSSGRLYLKGNLIPLAEKSSTSFYNKSLAFNEGLCSMKDLLEAVVPFDVLLDRRKRANFLYSIANNVETCTKPKVVQDAGLALASLVICEEALERELIISASYVPGMEVTLSSRLKSLYSIYSKMKRKDTSIDKVYDARALRVVVGDKNGALHGPAVQCCYSLLDIVHRLWTPIDGEFDDYIINPKPSGYQSLHTAVEGPDNSPLEVQIRTQRMHEYAEHGLAAHWLYKETGNPFSSVDRMDTPETDASSSIDKMDAPETEASSSIDRMDTPETEASSYFSKDTEAENSSDILLSKNKSLKAGHPVLRVEGSHLLAAVIISVENEARELLVAVSFQLAASDAVADRRSFFQDKRWEAYARLYKKVSDEWWFEPGHGDWCTCLEKYTLCRDGMYHKQDQFGRLLPTFVQVINFTEQEESEYWDVVSAVFEGKQVDCIASQSKLDLVPSTSMDASINNKVHLLRTMLSWEEQLRSEVNINQTKHDAKFDGPRGPLNLGEVVVICWPNGEIMRLKAGSSAVDAAQRTGLEGKLVLINGHLALPNTKLKDGDVLEVRI >RHN48980.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51539722:51549586:1 gene:gene43757 transcript:rna43757 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP diphosphokinase MASAPSMSVSLECVNVCNSWRGDGNGRYDCNHLSCASKAPRVLTGFLASTAHPHQYFLLNGRNGRRNQFNFACEAFSIVGSYSDKALGITLHEGFSGSIFSRFASREWQLSCSSVFSSDVASEFSPGSLWEDLRPVISYLPPKELELVHNAFTLAFKAHDGQKRRSGEPFIIHPVEVARILGELELDWESIAAGLLHDTVEDTDVVTFERIEEEFGATVRHIVEGETKVSKLGKLKYKNENDSVQDVKAEDLRQMFLAMTEEVRVIIVKLADRLHNMRTLSHMPPHKQNSIAMETLQVFAPLAKLLGMYQIKSELENLSFMYTNPEDYAKVKRRVADLFKEHEKDLLEANKILLKKIQDDQFLDLMTVKTEVRAVCKEPYSVYKAVLKSKSLINEINQIAQLRIIIKPKPCVGVGPLCSPQLICYHVLGLIHGIWTPIPRSVKDYIATPKPNGYKSLHSTVIPFLYESMFRLEIQIRTEEMDLIAERGIAAHYSGREFVTGLVGSVVPSGKSSRGKTVCLSNANIALRIGWLNAIREWQEEFVGNMSSREFVDTVTRDLLGSRVFVFTPSGEIKNLPQGATVIDYAYMIHTEIGNKMVAAKVNGNLVSPARVLVNAEVVEIITYNALSSKSAFQRHKQWLQHAKTRSARHKIMKFLREQAALSAADITTEAVNDFVSDSEEDSESEKLSNGSSSSKHKRGKILLNGVEISTSERSESVLQSKNGSAWTPKVNGKHNKHVHHVNLKGKGDMMLQGNHVANMIQVNNPKYKEVLPGLESWQAHKIASWHNIEGHSVQWLSAVCIDRRGMMAEVATALATSDIAICSCVAEVDGGRGMAVMLFQVEGNLENLVSACSRVDQIPGVLGWSTGCSWPSLMENHGVLEC >RHN61071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32714227:32716794:-1 gene:gene23484 transcript:rna23484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEILKFVYIVILFVSLLLIVVASERECVTDDDCEKLYPTNEYRMMCDSGYCMNLLNEPPCNI >RHN55090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15090698:15094588:1 gene:gene30218 transcript:rna30218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MVIEGASVTATMVAVQFLEVGGNTLIKAATNDGMSIFVFTFYSNLFALCFLLPLTFFYHRKRAPPSISSSILCRMFLLSCLSTAVQILTNTGIECSSPTLASAMLDLLPAFTFILALISRMENLNLKHHSSQAKIIGTVVSIAGALTVTLYKGIPLISDAFQNIEIGASGIHLSVKSDWILGAFLLATASFCLSVLYIVQTWIIRDYPEELVVTSICCSMVVILSAIVALIAEGNSKVWILRPDRELVAVCYSAICVVSMRSVVYTWAFRKKGPIYVAMFNPLGMVIALGMGVIFLGDSLYLGSMIGAAIIAIGFYAVMWAQAQEEHTTCENKFPPLLSTKIDV >RHN56504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31392308:31397689:1 gene:gene31912 transcript:rna31912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoinositide phospholipase C MGSYRVCVCFRRRFKSGEAVAPQEVREIFNKYAEGGAHMTPEQLRRFLVEVQGEVDDEKDGLDTQKVVEEVLQKRHHITKFARHNLTLEDFHHYLFSTEFNPPIRSKVHQDMTAPLSHYFIYTGHNSYLTGNQLSSDCSDIPIIKALHRGVRVVELDIWPNSTKDDVLVLHGRTLTTPVDLIKCLKSIKEHAFSASPYPVVITLEDHLTSDLQAKAAQMITQTFGDMLFCPNDENLNNIPSPEELKYRIMISTKPPKEYLNSKSVRENSEQLLKSKSKDSDEDEWGKEVTDVVHAQNEDDKGDCDSHQQNDDDDESNDDEYETNHESEYKRLIAIHAGKPKGSLKEALKVEEDKVRRLSLSEQALEKAAESLGTDLIRFTQKNFLRIYPKGTRVTSSNYKPLIGWMHGAQMVAFNMQGYGRNLWLMHGMFRSNGECGYVKKPDFLMNVGPNNEVFNPKDKLEVKKTLKVKIYVGDGWSMDFKQTHFDSYSPPDFYARVGIAGVPADVTMKKTKTIEDNWIPTWEEEFTFPLTVPELALLRVEVHEYDMSETDDFAGQTCLPITELKQGIRAVPLYDRKGEKYNSVRLLMKFDFI >RHN51149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14477177:14477494:1 gene:gene35535 transcript:rna35535 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFSTSRFWCRGMLLNQKLHAQGSNKEFHLPDKRIPAWFDHQVYGRDASITFWFRQKIPAISLCLVAHLVHMNI >RHN58620.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3390872:3397167:-1 gene:gene20547 transcript:rna20547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MSVVGFDFGNESCIVAVARQRGIDVVLNDESKRETPAIVCFGEKQRFIGTAGAASTMMNPKNSISQIKRLIGKLYSDPDLQRDLKSLPFSVAEGPDGYPLIQARYLGEVKMFTPTQVFAMMLGNMKEIAEKNLNAAVNDCCIGIPCYFTDLQRRAVLDAATIAGLHPLRLLHETTATALAYGIYKTDLPENDQLNVAFVDIGHASMQVCIAGFKKGQLKVLAHSFDRSLGGRDFDEVLFHHFAGKFKEEYKIDVLSNARACLRLRAACEKMKKMLSANPVVPLNIECLMDEKDVKGIMKRDEFEELSLPILERVKGPLERALAEAGLSVDDIHMVEVVGSGSRVPAINKILTEFFKKEPRRTMNASECVAKGAALQCAILSPTFKVREFQVNESFPFSISLAWKGSGPDAQDSGPDNQQSSLVFPKGNPIPSIKALTFYRSGTFSIDAQYSDVNGQQTPARISTYTIGPFEAKQSEKAKVKVKVRLNVHGIASVDLATVLEEEEVEASVSKESAKEPTKMEADEAPADPAAPPNGNDADVNMQDAKANADTPGVENGVPETGDKPAQMDVDDTKAPKKKVKKTNVPVAELVYGAMAPVDVQKAVEKEYEMALQDRVMEETKDKKNAVEAYVYDMRNKLNDKYQEFVIASEREGLTAKLQEVEDWLYEDGEDETKGVYVAKLEELKKQGDPIEERYKEYEERGTIIEQFNYCIGSYREAAMSTDTKFDHIDINEKQKVLNECVEAENWLREKKQQQDSLPKHANPVLLVAEIRKKAEAIDRFCKPIMTKPKPAKPAAPQTPPSPASSGSEQQQPQADANASASENAGDSENQASSASTEPMETDKPENTSSA >RHN81592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47374468:47375610:-1 gene:gene5662 transcript:rna5662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MSGAERRNDEKDTKEGEGAGGGWASTFLKVAGAVAATAAAVVAVAAVASSSQSVLKQPEVAPFQARRPDYLEEVILNVDGSLLREREVPSAGCGGVLSDSSGKWLCGFAQKLNPNLKVDETEKEAILRGLLWVKEKGKRKILVKSDNEGVVYSVNCGGRSNDPLVCGIRDLLNSPHWEATLTCIHGRSNAVADRLAHKAHSFTSFDLCQFDYPPENCTSLQIM >RHN75773.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44943394:44944262:1 gene:gene12021 transcript:rna12021 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSNCMVCIFDALMMVRERWKKKKKKNKGQFVCMDLSYAGLIVVVVLEIGRKKK >RHN46392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31298775:31304167:1 gene:gene40874 transcript:rna40874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MPDQALKLFQNMFRVFRCRPGIRSFNTLLNAFAESHQWDRAEKFFAYFETAGVEPSVQTYNVLMKVLCKKGEFLKAKKLVTWMWSVGMKPDKFTYGTLINLFVKIRDLNSALEVFDEMSERGVEPDVACYNIIIDGFFKMGDFVKGKMMWERLLRVETVFPSVVSYNIMISGLCRCGRFKESLEIWERMKMNDWKHDAFTYSALIHGLCEGGDLDGARKVYEEMVLRGVKADVVTCNAMLNGLCKAGKVDESFELWEEMGNCGSRNVVSYNVFLKGLFENGKVDEVINVWEVLREMDCDVESTTYGILVHGLCKNGYVTKALRVLEGADDRGDDVDAFAYSSMINGLCREGRLDEAAKVLNLMDKRGCKLNAHVYNALIDGFMKNNKVDSAVQVFREMSTNGCSPNVVTYNIVINGFCRAERFPEAYHCVEEMLEKGWKPDLITYSTLIDGLCQGKMNENDTALRLCYQFLAKGFKPDITMHNIVIHRLCSSGKVQYALQLYWMMRKRNCANLVTHNTIMEGFYKIGDCEKASKIWAQISEDGLKPDIISYNITLNGLCTWGRVTDAVGFLNDALDNGVMPTVITWNILVRAVIFYGEST >RHN66906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21277550:21278745:1 gene:gene15024 transcript:rna15024 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MQRANLKFVEVVDVKQHMVVGMLCDVTLKAKDGERVNFYKAKILVRPSLNKVELPKFKLVEGCIYRFSLACFPVDPPNGLPRHAVNRYNKKENANLKFVKCLHFKRYFVAGELYHITLEAKDGEKVNVYKAEVRERLYQQKGFLLTEFKLADDAPSDDSEKFPEFKSVSSSIFLNLKAKVASIWALGFSQVEKSHKDLTTSTVCAPGFSQVEQSHKDLTTSTVVKKLNPFDPPTTDNLARFAVDLHNIEHKEVLFL >RHN48085.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44869771:44872450:1 gene:gene42760 transcript:rna42760 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSLLAPPISLLHRRPSSSPPTLTTHSHTHNFSNPIFSNSSTNKKTIVFGINPSDSRPDNPLFLDENNAVVDDMDGYLNNLSLEYESVWDTKPSWCQPWTITLTGVSIISISWLIFNSIFVTSAISLLIFAWWYIFLYSYPKAYSAMIAERREKVTDGVEDTFGRRKKQ >RHN72375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7168113:7168361:1 gene:gene8079 transcript:rna8079 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVVLGIFPGKFARGFKPLTKFLAKGHYSCSEPIYAFEAIDSVSTRLGIVGVDTMSTWMTSCSLCSKLMSSSQSLLYDDDD >RHN50799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10611826:10613411:-1 gene:gene35132 transcript:rna35132 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVKQPFDIVNKNLQNQIIHKVTNSCYFAWRTVRSSPNIDLACDDSIEEEKSPFKKEDFDFKKMIQNGFWVFVDMASGRYLWRNLRQTNKDEELKSSKN >RHN73974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22949059:22954448:1 gene:gene9889 transcript:rna9889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannose-6-phosphate receptor binding domain-containing protein MRLLFLLFIALSFTYVFAEQIFPVHIGSIFGGSGGGGGGSGSSREPKYKIEFHPEDSPFHPDDDQESLVVPDKNGQKFICYLPKVEKEKSGKPPMQHNVSSMIVETEKRVKQKTPDELLEILKGPCFIRQEGWWSYEFCYQKKFRQLHLEDDKVVQEFILGVYDPEATAAFNQNLSDISTFKDPRSKDASQRYHAHQYTNGTVCDLTNKPRETEVRFVCSEPRAMISSITEISTCKYALTVQVPTLCKHPLFQEERPVWHTIDCNVLPKDYKDGKVKQEDRDMDIVMVTDSEINDSEQ >RHN44329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3586765:3587219:1 gene:gene38432 transcript:rna38432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Hemopexin-like domain-containing protein MTKSGYIDAAFRSSRNNEAYFFMNDKYILLDYAPGTGNDKILYGPSLVRDGFRSLAHTIFGSYGIDCSFNTDNNEAFIFYENFCALIDYAPYSGNVKIISGPKKIADMFPFFKGTMFEKGVDAAFRSTKGK >RHN54585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10612866:10614235:1 gene:gene29650 transcript:rna29650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MFWHVYLYLFLLHTLSLTWFGPNKTTTLALAFENQTDHLALLKFKESISSDPYRILDSWNASTQFCNWHGYNLSSRVGQVWTELGEQSLGVFVFYFPLLVYLVIVLCVSHLFPRLDLGGVLLFVACTIHFVWWLPLSVAPHIIILCIGVVRSDLGDTGIHNRVTCNSKHQRVTKLMLQGYKLHGYISPYIGNLTCIRNLNLESNGFFGKIPQELGQLLQLQGLFLSNNSFTGEIPTNLTNCSNLKVLRLYGNKLTGKIPTGIGSLQNLHAMNIGKII >RHN57188.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37188580:37192647:-1 gene:gene32696 transcript:rna32696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MEVFNTIFLAIFLLFASLANAKVHEHEFVVQATPVKRLCNTQMSITVNGQFPGPTLEINNGDTLVVKVINKARYNVTIHWHGVRQIRTGWADGPEFVTQCPIRPGESYTYRFTINGQEGTLWWHAHSSWLRATVYGALIIHPKEGDAYPFTKPKRETPILLGEWWDANPIDVVRQATQTGAAPNISDAYTINGQPGDLYKCSSKGTTIVPIDSGETNLIRVINAALNQPLFFTIANHKLTVVGADASYVKPFTTNVLMLGPGQTTDVLIQGDQPPSRYYIAGRAYQSAQNAAFDNTTTTAILEYKSSPCPAKGGANIRPIMPSLPAYNDTNTVTSFSKSFRSLRNVEVPNEIDEDLFFTIGLGLNNCPSNFNSNQCQGPNGTRFTSSMNNVSFVLPSNFSILQAHKLGVQGVFTTDFPTKPPVQFDYTGNVSRSLWQPIQGTKVTKLRFGSRVQVVLQDTSIVTPENHPIHLHGYDFYIVAEGFGNFDPKKDASKFNLVDPPMRNTVAVPVNGWAVIRFVADNPGVWIMHCHLDVHIGWGLATVFLVEDGEGLLESIEAPPEDLPLC >RHN71431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:113333:113734:1 gene:gene7034 transcript:rna7034 gene_biotype:protein_coding transcript_biotype:protein_coding MKILIKSVKNLKLWSKKNRKKKKHDNNYEHPPHLPCHHCCSCFTSTTQPSAPHLPPFSWLEEVEQDNNYDYGTFLLPPEKAYPPSQAQDIGVSVSEPVYGIPVLTLTDRSTGQFGCVLCLCFHIRRTSLNFNN >RHN58133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43972585:43973561:-1 gene:gene33743 transcript:rna33743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MRFCWNCTEDAHRPVDCGTVSKWILKNSSESENTNWILANSKPCPKCKRPIEKNLGCMHMTCTPPCKFEFCWLCLGAWSDHGSSTGGYDACNRYENSKLKGDYDETEKRREMAKNLLERYTHYYERWASNQSSRQKALADLHQMQTVHIEKLRDAQCQAEAELKFITEAWLQIVECRRVLKWTYAYGYYLAEHEHAKKQFFEYLQGEAESSLERLHQCAEKELQVFLSTEDPSKEFNDFRSKLAGLTGVTKNFFKNLVSALENGLCDVDSNGAATSKATSLKKMSQGAVREEVEEERGQIELQCPA >RHN64470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59364468:59366164:1 gene:gene27289 transcript:rna27289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diacylglycerol O-acyltransferase MEVSGTVLRHTNYIFGVGTHTRSHGVVPRRVRMSMGSGFHDEGHVQYYQDVKKNTEPVIISNKKKIKLLKRFSKNVSQLPQLGFAQDPNLLDQLHQNLITEGGEELLRELEKVRAEEKELKKKMKQEKKKAKLKPSKMKTCNKSESSSSSSSESESSDSDCGEVVDMNTFRGAGVVDVATKPVDELELKLKQPMLSIPEDSTSHHHVMDVCTTNNASLVTGFKKETNVVIPTAQKRIEVCMGNKCKKSGAAALLQEFEKVVGVEGEGVVVGCKCMGKCKTAPNVRIQNSVDLNMVQGIDDSVKIPSNPLCIGVGLEDVDTIVARFLGEDYKDVGMVAAASS >RHN44761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8187155:8188327:-1 gene:gene38922 transcript:rna38922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MANGSDPSFDDDDYLHMFSAAPSKKKSGALQPFRRGQSPMNLKMKATDKPESETLRKLQSFKQFDTVIDISDHHYIKKNSSMKHNPKNWAKKIQEEWRILEKHLPDTIFVRVYESRMDLMRAVIIGAQGTPYHDGLFFFDLYFPPEYPDEPPQLDYHSGGLGLNPNLYENGYVCLSLLNTWVGDDDEMWTPGVSTMHQVLVSIQGLILNAKPYFNESGFLDGIGPRDGENRRLCGIMRICSFYQ >RHN66797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18971364:18972338:1 gene:gene14885 transcript:rna14885 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLNNPPKKAKKEKVVVQEKVVGLVVPTIQEEVEDLEPAKILNKRTRSGKSAETSQLLPDQPSIPKKKRKHVVKKLKESSYLKEEDQIAAATDLVTRELEKKKADDVAALQKVLELAKEIEVPVSSIAREDVGADAQEVIKAAEVVQEFVETEAGSLVVLTAEGVQEENVGCSEADASEASRGNPDSLHNANIIEIESSSTSTSHSTSISTSSTSLDYDNVPLGIIYTTINKSLSPSTKRQRKPADNIPYEPVYPTILESIGEMSQMRNKVFERLPANHPFQPPMIEPLSFVPADAEVIGEQVGPKYTNYNESPSHPNSSTHT >RHN53925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5389020:5389876:-1 gene:gene28891 transcript:rna28891 gene_biotype:protein_coding transcript_biotype:protein_coding MICSGLRCSTKLWLLRFHGSGKTRSLSQFQLFSEFDSFEFGFGIKQFLSLK >RHN74601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35044020:35051463:1 gene:gene10695 transcript:rna10695 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMDGFGGGDDVKGLDLRQLAAGDIPKEGAVFDATQYAFFGQDACVEEVELGGLDDDDGLPESNEEEFLLNREEVEDVKSLSDIDDLSTDFWKLNKVEIGPQNTAIFGERGSRENSTAEWTQRNDNLNWFDQNPYDSEGSLDGRRLSSQPYSSFAPLQESNSLYRTSSYPEQQRQQQQHYLQHGSSESVPNRFDQPFRDSETQDGKRWSSQPHSNIAHIEESKSLYSTSLYPDKQQELLHFSNDSTLAPFTSYPPPGGRALQSSPSHNTGPINIPYHAAGAQMALSSQNRSHLSNSALQLGGLNHGSPLSGNMRQIPMGSPLNQRIQNPLVSQAGLYNGAHPKISSGLPMINKYDQMLGMMELRDQMPKSAQIGRQNLRFSPQGFDMSNNHKFNNGWPRFRSKYMTTEELENIFRLQLAATHTNDPYVDDYYNQGCLAKKSSGAKLRRHFSPAQIREIPLRASSNEPHAFLQVDALGRVPFSSIRRPRPLLEVEPPNSSRAGGSEQNISEKPLEQEPMLAARVTIEDGLCVLLDVDDIDRFLQFNKQLQDGGDHLKRKRQGLLEGLSASLQLVDPLGRIGQTVELAAKDDYVFLRILSLPKGRKLLARYLELLFPGGDLMRIVCMAIFRHLRFLFGALPSDPVASETVINLAKVVSKCVREMDLVALSVCLASVVCSAEPPPLRPLGSPVGDGTSLILVSVLERATEVLTDPHAASNYNIRNRSLWQASFDEFFGLLTKYCVNKYDSIMQSFLAQGTSNMAVIGPDVARAISREMPVELLRASLPHTDDRQKKILLDFAQRSVVGYSSNAGGNGHHANSQSVMR >RHN42608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39663982:39665712:-1 gene:gene49065 transcript:rna49065 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKMGTLMSHGTNIKENKTTQYRPIPPSCFTSHIVLPSKKEKQKKPLLQKEDGRWFGTTRGSVAQAAAKNKLAKKKHTGVHQDFYRFQEREAQRNVCIFPLPSREGPNYVHSQTNNL >RHN58296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:558373:558846:-1 gene:gene20194 transcript:rna20194 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKRNSLKSSEQGLLSSTKSTTAAAPNFYLPDECWESVFKFVIDKDNTTGSPTVATFHRQSTLASNVSPRSPSNSFPSPIFFYYLSRSVNQQAFSSLASSVDSPTSPPLTLQATTVTSIGFSAKYIFPSNSHHSISLTNTPFPQMGYEPSPKRLL >RHN81118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43434578:43435483:-1 gene:gene5116 transcript:rna5116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MVRRISHLTLLLAFIFIPYLASQLVFAKGRNNVREACKVTRYQNLCMRSLAPFSYSAGRGPSKWARAGVSVTIGEVKNVQAYLTNLTRHGRLRGRNKVALLDCVETIADALDELHRSLNVLRRLSRRTFGTQMGDLNTWISAALTDQDTCLDGFQGENGRKIQLLQNRVLKAYYITSNALALVSKLATTGLGSISDP >RHN55239.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16357897:16360183:1 gene:gene30385 transcript:rna30385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MLRCVVLEVENKGIKELGESSARSARVDESSIYGRDDDRKKLKHLLLSTGFDNSKVGIISIVGMGGIGKTSLAKLLYYDPEVREKFELKLWANISNAFEHVNDFSVFETILESIASKKISDDNLNRQKTDTSDAKIIYPKVLLVLDDARDAEIVNRIYQMDIFIAGEMGSRIIVTTRNEKVAMSMKYSLYVHYLRPLESEDCWSLIARHAFGPCNYQERTNLEEIGREIAKKCGGLPYIALALGTLLRSKISPDYWNYVLETNIWELTDSEVQEALRLSLHYLLLPLKECFAYCSNFPKNSILEKKTIIQLWIAEGLVESSTSQECWEKVGEEYFDLLVSRLLIQLRSIDDEEANFEINNFMHDLGTTVSSQYDLWTLKHNFSYTRGDYDSLNKFDKLHELKGLRTFLALPFQEQSPLCLLSNKVIHAMLPRMKKLRVLSLSNYRSITEVPNSIGSLIYLRYLNLSHTQIERLPSKTCKLYNLQFLLLSGCKRLTELPEDMGKLVNLLHLNISDTALREMPEQIAKLQNLQSLSDFVVSSGLKIAELGKFPQLHGKLAISQLQNVNDPLEASLANMMMKERIDELALEWDCGSNFSDSKIQSVVLENLRPSTNLKSLTIKGYGGISFPNWLGDILFSNMMSLRISNCDACLWLPPLGQLGNLKELIIKGMQSIQTIGTEFYGSDRSSFQPFPSLVTLHFEDMEEWEEWDLNGENVLYWCNQCHH >RHN78116.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12023832:12026412:-1 gene:gene1637 transcript:rna1637 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MPFFEKELIIEPKEVISSCVTQLDITSLGSIINSFSKNGMIEVSLALIPVMLKQGFNQNLIILNSLMADFSLIRQSDKCIKLLGLLGSTANLISYTILVDSLMNESKVQEAMSFFHQMRANGISLDQFILTSVINGYVNTGRNNCLDLHCYDSSTSKGQTA >RHN46364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31012021:31030761:-1 gene:gene40846 transcript:rna40846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MRSLVGVCFLGLLFLEVMCCEGCWKQEREALIALNSQMGNYLSWGNTNDCCQWYGVECNTTTGRVSELELESMDGRTWQVNYSDLISFKELKFLSLYLTQISNCTRTGQGLKNLEVLHSHDSFMMDNAICLDGLSSLKSLSLAVDNTSLHIFQTVLETISSKLLHLEVLILSAENLTNEILPSLRGFTSLKELVLDGIGLDSDLHFQGLCAILKNLEILDLSNNNFKDTDIASPLSRLSSLKSLSLQGSELTMQSIQNISKLRSLEILELFGNNLNLWPLENHGFGFAWPSSLQVLSLSRNSLSNSILSYLNNLPHLKSLDLSANNLKGSLDISGLLNLTSLKILDFSYNHITNFIVHKGSKNLSRLDILALDSNMINGSNLQDSLQAFPSIKSLTLIENEFKGTILAEGFRGLSNLEYLALDYSSNLGNEFFKSIGDLPSLKVLSASDCEINGTLPIGDWSKLKNLEELDLSNNEFVGKLPSSFVNMTSLRSLTLANNHFIGNIGPNLASLASLEYLKFEGNQFEFPISFKQFSNHSNLKFIYGNGNKVILDLHSTLETWVPKFQLQVLQLSSTTKANSIPLPNFLFYQYNLTDVDFTGCKLSGEFPNWLLENNTKMEDLTLESCSFVGDFQLPSRPNLNMVRIDISNNAITGQMLSNNISSIFPNLILLNMSRNAIHGTIPSELCHLSFLNALDMSDNQLSGEIPYNLTRDGNDLTHLRFSNNNLHGLIPPMLSMFPLQSLLLDGNSLSGNIPSNFFKSYVIQHVDLSNNNLTGKIPSQMSNCTNLIELSMSNNHFEGSIPSELAGLGSISYLDLSQNNLTGCVPSFVSNFTSSIHLSNNKLRCLSKNMFRERSSLVTLDLSNNEITNGFHDLIHDIHYTGLKILLLKGNHFKGNIPKQLCHLTDLNILDLSYNNFVGEIPSCLGKMPFENKDPEISRDRFNGMNLHGQNGSERLEKEKATFTSKKRSETYTTNVLIYMSGIDLSHNKLNGSIPSELGNLTRIRALNLSNNFFTGKIPATFSDLVQVESLDLSFNMLSGQIPPRLSGLHYLEVFSVAHNNLSGATPEMKGQFSTFDESSYEGNQFLCGLPLPKSCNPSGEALATLPNGLNSDGDNDSWVDMYVFRVSFVVAYTSIVLVIPTVLCINPYWRQAWFYYIGLVCMNCYYFIQDNFCKFF >RHN60592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28564128:28567437:1 gene:gene22925 transcript:rna22925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MGCSSAFSTYFLLLLFVPIYGKPLVPALFIFGDSSLDVGNNNYLPTLIKANFLPYGKDFINHLPSGRFSNGKIVIDFASETLGFISYQPAYLSLYIKGKYLLNGVNFASSGSGYLDSTARTWSALSISQQLECYKDYQEELVKIAGRSNASSIISGAVYIVGFGTGDFFLNYFINPLLHNVHTPYQFSDILVQNYAKFIQNLYALGARKIGVPTLIPLGCLPAAITLFGPAHSKECVVKINDVAIYFNQKLNSTSVNLRKKLPGLSLAILDAYKPFHNLVTKPSEYGFSETRKGCIGSSLVENIPIFNNKKTIITTCPNASKYVFWDGLHPTEATNKYMAAELIADAMPLIS >RHN74388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32550373:32552069:1 gene:gene10445 transcript:rna10445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MSAFSYYSFLISPDVLGFYGFLSELVYDETIDISKGSLFMLEHVQVMTKLRPFVRTFLKEASEMFEMYIYTMGDRRYSLEMARLLDPQGEYFKDKVISRDDGTQKNVKDLDLVLGTENSILILDDKEEVWPKYRDNLILMERYHFFNSSCQDFGLQCKSLAALNIDENETDGALAKILEVLRQINYKFFDELQGDLVDRDVRQVLSSFRGEVLRGCVIVFSLNFRGDLRILRRIAERLGATCLKKLDPTVTHVIGTDFVTKESRWAVQEKKFLVNRRWLEAANFFLQKQPEENFLCQNTLVSGN >RHN60201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23424130:23424627:-1 gene:gene22459 transcript:rna22459 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQKLGWLGFCQAAFLAERTSLTCIDYLGYTWTLMMDLCEDDGLSCVFSREWPAQCSARKLVEGNKIKFGVTEAANNRIVFMCPPPMLVLRTAVPPSTSTGEDGPAFQVELYFWAN >RHN56752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33406246:33407851:1 gene:gene32193 transcript:rna32193 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVNSNFLISIYVGILFFMLFNLANAESNEEYIRQPRRHVNLSPFDSWRSAYYCMMNHSNTCNLKSNNYTININGTLNVQDSDIHDFCTGGCYDHTLLVFKCIQDVKRDFHFATKAHISFVKNITMNACNELKGFDLLNYKDPNSATSLYGRMYMPLLSTLMTMAFIVTFGV >RHN65584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3433030:3438279:-1 gene:gene13438 transcript:rna13438 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSVRIRVSQDEYEQGTFDCKYNLRGWITLNKGNTPLPTQALKLKLCTLWPNLQSWHLIPLGKSFYEFSSSSFEDMRRIWALGVMNLKPGFLCFFCWTKGYIPQNQVQTHEQIWVRLM >RHN39662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9365953:9367663:1 gene:gene45707 transcript:rna45707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MMFELENMEYSQYSSYSAEAGEEETYTTSSISSMRKKKNKNTKRFTDEQIKSLETMFETETRLEPRKKLQLARELGLQPRQVAIWFQNKRARWKSKQLEREYNKLQNSYNNLASKFESMKKERQTLLIQLQKLNDLIQKPIEQSQSSSQVKEAKSMESASENGGRNKCEAEVKPSPSMERSEHVLDVLSDDDTSIKVEYFGLEDETGLMNFAEHADGSLTSPEDWSAFESNDLLGQSSCDYQWWDFWS >RHN73334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15470600:15471001:1 gene:gene9135 transcript:rna9135 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MEDTNKKGEEEVRYRGVRRRPWGKYGAEIRDPTKPTGRQWLGTFDTAEEAARAYDCEAIRLRGALAILNFPDEYFFHLSSLSSASSSSPSTNDNANASSSSTQHKDVIEFEYLDNKVLEDLLGSSKEYNERKQ >RHN42432.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38324861:38328979:-1 gene:gene48873 transcript:rna48873 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEGKNFGRGTRELGGAHDLVSQYKLWPYYEFFCKRSLPLSISETHYLRNVVGDTKIKKGEGVELDQLCKNTKMNEKKPCLSPFDLNVLSEAFRLREMDPNHLSCAKKGLLNAVPNSANQSRENEKKNKKHGKHEKHKLLRIKNGSCVDNNTTRHSNSQPSPLTNQQDKKRRAETSYNPSVCKRSNTRQ >RHN44037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1182956:1186516:1 gene:gene38085 transcript:rna38085 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSQVRSFATRAANSHHNNHHENHKFLSPHSFVGSWKAPRDPKEAEAQLAQLRREYAKQVKEVRKEYIVEVEAMRLEKQRKDEARKEALRVANEERKKLKAQEKELRAQERNIAQQQFRETLLKERAEKLQSWRMQAKKHEEKKAEKKDLLHKRSSLWVDEAELEKEITNVVIATTYL >RHN49508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55272591:55273253:-1 gene:gene44347 transcript:rna44347 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation protein, beta-barrel MEMIPLIEGSILWITERQTSLGLIDEIFGQVKNPYYAVRYNSEKGIREGTLISFVAEFVNYVMHPVSMMKRCPMKQNFWMMRKRLSTRDCKNIIKEVVTIKTPIERMENNIKQIPLKDGSIPTMPVALGG >RHN58426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1611159:1612792:-1 gene:gene20336 transcript:rna20336 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFYLNPLGSGLFPNFFDEEEDPRTTSREPSTSSSRISVSRSGDSSTNLRRVAASWSSCFSSTQQSETMAHIYVIFSWV >RHN60607.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28742017:28747317:1 gene:gene22947 transcript:rna22947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MVTAVILFTVTVVLSSTVASSPVTLTLERAFPSNHGVELSHLKALDTFRHQRFLQSTTSYVVNFPVKGSFDTSKAGLYFTKVNLGTPPREFYVQIDTGSDVLWVSCASCVGCPQTSGLQIQLNYFDPRSSSTSSFIACSDQRCKNGVQSSDSSCSGRNNQCTYIFQYGDGSGTSGYYVSDFMHFASITQESLLSNSSAPVVFGCSNQQSGDLTKSDRAIDGIFGFGQQGMSVISQLASQGIAPRVFSHCLKGDSSGGGLLVLGEIVEPNIIYSPLVPSQPHYNLNLLSISVNGQILPIDSAIFATSSNRGTIIDSGTTLAYIAEEAYNPFINAITAAIPQSVRTVLSRGNQCYLVTNSLDSFPQVSLNFAGGASLVLGAQDYLIKQNYIGDGSVWCIGFQKIAGQDITILGDLVLKDRIFVYDLAGQRIGWANYDCSLSVNVSASSGNGRSEFVNAGNISGSTSLRDGSHKLIKRRVIIAIFMHITLICYFVFL >RHN54888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13265355:13266854:1 gene:gene29986 transcript:rna29986 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LEC10 MALSNLKSNRTLSSSLITIFIISLFLQYHNIKSQSSWQSRQVPRSETVAFSITEFEKENPDIFLRGDTSISDGILRLTKTDQSGKPLPNTVGRATYLTPIHIWDKTSGELADFSTSFSFIVNTNDSDLHGDGFAFYLGPLHFDVPKNSSGGYLGLFDPENAFPPSKTPILAIEFDGFTNEWDPPSSFQSPHIGIDVGSIVSLEYAQWPINFVPRNALGEANINYNSESKRLSVFVAYPGTQWNSTRVSVVVDLRSVLPEWVRIGFSATTGELVETHDIINWSFESAL >RHN64449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59175799:59177769:-1 gene:gene27268 transcript:rna27268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MMDMASVSLTFSSSSIVPSPIPQHNKTTHNNPRKPHLPIFYAVSSSPSKTASASNSSVVKKKHWKQGEFPGVSETSLPSSTRRKPIKNVKKKLDRKNNAKAWANTVTEALSECIDKKQWLQALETLDMLREQSFYQPREGTYMKLIVLLGKSGQPQRAHQLFTAMIEEGCDPTPELYTALLAAYCRSNMIDEALSILDEMKNHPLCQPDVFTYSTLIKACVDIFKFELIELLYEEMAQRSIMPNTVTQNIVLNGYGKAGMFDQMEKVLSGMLQSADCKPDVWTMNTIIGVFGNMGQIDMMEKWYEKFRNFGIEPETRTFNILIGSYGKKRMYDKMSSVMEYMRKLQFPWTTSTYNNVIEAFAEAGDAKNMEYTFNQMRSEGMRADTKTFCCLIKGFANAGLFHKVISSVQLAAKLEIPLNTAFYNAVLSACAKAEDLMEMDRVFMRMKDTQCPLDDTTYSIMVEAYRKRV >RHN40622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18255252:18255647:-1 gene:gene46792 transcript:rna46792 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFPKFNVTKFSNTHFPHPSSSSLSCSGTKLHHIDKRKTREKQTWEMGSACGGDGSGQSLVWWMDDAVKADMLAGEWYHKVRERSCSKDSESIVGRRNRDDGVNSFFLLCCLPCCCCFNTFAFAGFESKF >RHN55088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15084520:15084901:1 gene:gene30216 transcript:rna30216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MYEKLILYCCGKDKVDVAIDVVDKMCEAGFTLSSHVMQSVLETCSETDQQFRVLYVLRKAAFC >RHN54793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12348321:12355611:1 gene:gene29879 transcript:rna29879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [histone H3]-lysine-36 demethylase MVEGGRVRLSEEARNGLEFLKRKRLQRAQAIAATQTSAANVMNRSGGDALRGSPSCGTRLHGNPDVFFKRKVDKFDTSDLDWTDKIPECPVYSPTKEEFEDPLVYLQKIAPEASKYGICKIISPLSASVPAGVVLMKEQPGFKFTTRVQPLRFAEWDTEDKVTFFMSGRNYTFREYEKMANKVFARRYCSVGCLPATYLEKEFWQEIGRGKMDTVEYACDVDGSAFSTSPTDQLGNSKWNLKKLSRLPKSTLRLLETSIPGVTEPMLYIGMLFSMFAWHVEDHYLYSINYQHCGASKTWYGIPGHAALEFERVVREHVYSTDILSSDGEDGAFDVLLGKTTLFPPNILMEHKVPVYKAVQKPGEFVITFPRAYHAGFSHGFNCGEAVNFALGDWFPLGAIASRRYALLNRVPLLPHEELLCKEAMLIHSSLELEDSDFPSSDLLSHHRTKISFINLLRFQHCASWLLMKSRACISVSSHSHGTILCSLCKRDCYVAYVDCSCHMHPVCLRHDVKSLDFICGSKHTLYLREDIADMEAAAKMFEQEDGILDEISKQSKSDQNMYSHPLSDMFQRAEANGYEPYCELKLDSMIEFYTTPGLSTNNPECGTQNQFVLGHCSEIPKPEVSDVSFSAASTLCSLSEPHDCSSAPKNAEGHCEELGETISNSACESSLSPALYHESSVKLHDLQKPDIKPIADNDSDDSDSGIFRVKRPSSLKAEKRNLKIMASKHSEQQGLKRLKKVLPEGKSNRQQMNFRTSESSDKYNPVNDKVDISLKNRFARGNGTPISARYKKSGNEEISMHMQQDHHRRERLQQTYREAPSIEIGPKRLKVRGPSFLGIESRLN >RHN40944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24808514:24813541:1 gene:gene47193 transcript:rna47193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoenolpyruvate carboxylase MANRNLEKMASIDAQLRQLVPAKVSEDDKLVEYDALLLDRFLDILQDLHGEDLKETVQEVYELSAEYEGKHDPQKLEELGNLITSLDAGDSIVVAKSFSHMLNLANLAEEVQIAHRRRIKLKKGDFADENNATTESDIEETIKRLVGELNKTPQEVFDALKNQTVDLVLTAHPTQSIRRSLLQKHGRIRNCLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWMGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCNDELRVRAEELNRSSKKDAVAKHYIEFWKNIPPNEPYRVILGEVRDRLYQTRERSRHLLAHGYSDIPEENTFTNVDEFLEPLELCYRSLCACGDRAIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITKHLEIGSYQEWSEDKRQQWLLSELSGKRPLFGPDLPQTEEIKDVLDTFHVIAELPSDNFGAYIISMATAASDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAALARLFSIDWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKEYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDQMAIIATEEYRSMVFQEPRFVEYFRLATPELEYGRMNIGSRPAKRKPSGGIETLRAIPWIFAWTQTRFHLPVWLGFGAAFKNVIDKDIRNLQMLQEMYNQWPFFRVTIDLIEMVFAKGDPGIAALYDRLLVSNDLWSFGEQLRTKFEETKKLLLQVAAHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYNVKLRPHISKESIEISKAADELVTLNPTSEYAPGLEDTLILTMKGIAAGLQNTG >RHN68049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32361248:32365530:-1 gene:gene16339 transcript:rna16339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MSFNVEREDLDLASLVEIVFSWTFNDVRNQNFCRHKVEKIPHTFMTVTNYLNSFIPSLVEETHSDLSSSLYGVNRAPFCEILIATPERSKSFISSKFLLYQISVSRTKNDTEDVGPYQPEVGDLIALTDFKPKTVEDLNRPRRYYHIAYVYGSKESSGEISILSSKCIDMEVDSNYLRSNNAPKLYAVYLLNLTTNIRIWKALNSELEGAKMNMIKKVLQADSNNGENCQLCIYGENHIDIAACSKVHTMIQSENLNESQKDAVLSSVSMRECHHSDTIKLIWGPPGTGKTKTVASLLFSLLKFKARTLTCAPTNTAVLEVAARLQNLVEKSLEHDVDTYGLGDIVIFGNRSRMKVDCYRCLQDIFLDYRVSNLLRCFAPFTGWKHYLESMIALLENPSKKYGMYKLDAENSIMSLEQFTMLKHEEVELAYSSYKQHEKNDDPLTLAQFVKKEYHSYKEDKKNSIMTFEQFVKMRFSSIVAELKLCKKTLYTHLPTSLFPFEEMKKIPIALDLLTSLESSMCKAKLKQTLDDHGDGESIFDCLGRLNNTTEDCVCLLRSLLKKISLPNITEKYEIEKFCLMNASLIFCTASSSTRLFTEGMTPIKFLVIDEAAQLKECESAIPLQLPGLHHAVLIGDERQLPAVVKSTVTEEAGYGRSLFERLVSLGYKKHLLNTQYRMHPSISLFPNKEFYEEQLVDAPIVREMSYNRCFLEGKMYASYSFINIAKGKEQRGRGHSSKNMVEAAVISKIIGSLKEEFHRTRKKVSIGIISPYNAQVYEIQEKIKPNNSISDPNFSVSVRSVDGFQGGEEDIIIISTVRSNEDAKIGFLSNRQRANVALTRARHCLWILGNETTLEKSDSIWKELVLDAKERGCFHNADEDKKLAEAIEDALLEIEFLDESESPFKKLSLQGKSETFATTSRFNVYKRFPV >RHN69211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41843749:41848028:1 gene:gene17651 transcript:rna17651 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box transcription factor 1 MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIVFSNRGKLYEFCSTSSMLKTLERYQKCNYGAPEANAHSKEALVLELSSQQEYLKLKARYESLQRTQRNLMGEDLGPLSSKDLETLERQLDSSLKQIRSTRTQFMLDQLGDLQRKEHLLCEANRALRQRMEGYQINSLQLNLSAEDMGYGRHHPGQNQGDHDVFQPIECEPTLQIGYQADPGSVVVTAGPSMNNYMGGWLP >RHN67078.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23582444:23586019:-1 gene:gene15218 transcript:rna15218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-methionine (S)-S-oxide reductase MYLLNRLWFGSARVTEKMDSSIAQGLDDDTPALGQQFAQFGAGCFWSVELAFQRVAGVTKTEVGYSQGLLHNPRYQDVCSATTRHSEVVRLQYDPKECSYETLLDAFWAKHDPTTLNRQGNDVGTQYRSGIYYYTPEQEKAARESLEQQQKKLNRKIVTEILPAKKFYRAEEYHQQYLEKGGRFGCKQSSSKGCNDPIRCYG >RHN60858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31023505:31026898:1 gene:gene23245 transcript:rna23245 gene_biotype:protein_coding transcript_biotype:protein_coding MGGENSSKSKQQVSTMLKHGFISDPTISSFSPSRTFPSPPPPPPPLSKPQSQSQSQSLFDMISSNQTPLSDDNRRKMQDRVNKLLQEAHFIGGDVKLTVVGKEGIRVSMDVKKSVLAEKSRFFAEKLRGSGNGNGMVHSVEISDCDDVDVYVEAIVLMHCVDLKNRLRFMADAGVSKVLNLLKVSAAIMFDLGVMSCLEYLEAIPWTEDEQREIISQLGHLQLHDSMPDVLLRVTSSPSTAETADNIFANLLSGVLQAKDDKARREMKSMLSKLLKDNAFNDSSKLDVSKDTLYHLCHRCISSLVLCLSEARDTCERLDRGVLISEIAREADNIQWIVDILIDKKMGDEFVKIWAEQKELAALHSKVQTIYRYEISKITAQLCIGIGRGHILVPKEIRFSLLSTWLEALYEDFGWMKRASRGIDKKLIEDGLGQTILTLPLFQQQLLLLNWFDRFLNKGDDCPNIQKAFEIWWRRAFIRKYSPEPDDSSQLQITLFDYPK >RHN77917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10498818:10500648:-1 gene:gene1422 transcript:rna1422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MELSNCINIKVINLGFNQLIGRIPTRFGSMMQLIELKLSANNLVGTIPSSLGNASSLQWLSLTQNHLEGSIPDSLERVFSNVSAISLTGNKNLCGGIPQLKFPPCSKVLAKKHKRSLKKKLILISVIGVVLISFIVFIIFYFLSRKTKMLPSSPSSQKGNLMITYRELHEATNGFSSSNLVGTGSFGSVYKGSLLNFEKPIVVKVLNLKTCGGAKSFKAEREALGKMKHRNLVKILTCCSSVDYKGEEFKAIVFEFMPKGSLEKLLHDKEGSGIHNLSLRQRVDIALDVAHALNYLHNGTEQAIVHCDIKPSNVLLDDDIVAHLGDFGLARLILGTRDHSSKDQVNSSTIKGSIGYVPPEYGAGVPVSPQGDVYSFGILLLEMLTGKRPTDNMFSQNLSLHKFCKMKIPVEILEIVDSHLLMPFPKDQTLMTEKNIKECLVMFAEIGVACSEEFATHRVLTKDVIVKLFLQNMIMGR >RHN74734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36237648:36240763:-1 gene:gene10842 transcript:rna10842 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MDVIMGVITNFATKTAEFTVEAIGRQFGYILYYKGNLTRMTTDVQRVEGIKDILQHNVDEVRRNGEEIENIVQNWLNTVDNTVAEANELIDSEGHAKAQCSMRHFPNLCTRHQLSRKMIKMMQKISELVAEGSFDKISYRAASQITVMPFGRGYEALESRTSMLNEIILALKDPSIFIVGVYGMGGVGKTTLMEELSWKAKNDGSFSTIVMATITSSPNLETIRCQIAEALDFKFNKKTEEGMARELRDRITKEKRILVILDDIWGRLDLTELGVPFGNDHKGCKLVVTSRDLNVLICEMRTQKEFRLEVLLEEDSWKLFEKMAGDVVHEFNIKPIAIKVAKCCAGLPLLIVTTAKALRKKQVSNWKDALNELQRFDQEGLHKKVYSTLELSYNCLESEELKLLFLLIGSFGLDYLYTGPLFVCYWGLGLFTLSHKLADARIRFNRLINDLKASSLLLESEFDRVRIHDYVRDMAKSIACRTRPTYGVKRYTKVNQWPGMDELRKCHQIILPWSYIYKLPEKLKCPELKLKVISLYGMMFAPSPPTSLCLLTKLQTLVLTGCVLEDISIVAELKSLEILRLERSDIKELPKEIGQLNNLRMLNLTNCSALRFIPANLISSLTCLEELYMGNCFIQWDVKGSNDQSKNASLEELRSLSHLTALDIMTQDASVWPRDLLVFEKLERYNIFVGDMWKWSLDWSGNASEPARILKLNDSRGSSILLDRGFNSLLNSAEDMCISKIHCVRNVLYELNRGGFPQLKHLRLQDSTELQYIINSTGWVHPYPALLNLETLALQNLFNLEKICHGPIPIQSFVKLKSFEVKGCDKLKNLLRYSLVRDLPQLREIKIADCQMITEIISEVDKEIDKIIFPELCSLELESLPRLVSLCAPLTQCIHVPLIDQKVVMPHLELLKLSNINF >RHN48355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46871996:46873962:1 gene:gene43064 transcript:rna43064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rossmann-like alpha/beta/alpha sandwich protein MSSNSKDKNAVDDLQILACIHGSTNIPSIINLIESTRSTKNSLLKLFIMQLVELTERSSSIVMVQRARKNGFPFFNQFNREEWHSRIVGAFHQASSQSGKVIVQSTTAISSLSTMHEDICHIADEKRVTLIILPFHKHWRMEEVDDEDDNESHAVSENAGNEWIGVNKRVLKNAPCSVGVLVDRGYGLGSKNLGLDGSIAQRICIVFFGGPDDREALELGKIMAEHPAIAVTVVRFVKQNEMIGNNIVLLQSPYQNTDESYSFSVAKMNRQIEQELDEKAMEGRRKCGETVKYIEKGGANIVEEVITLGENTDYDLIVVGKGRFPSIMVAELAERRAEHAELGPIGDILTSSTGRKMVSSILVIQQHDVTLTEDAPMYTVKVHDENVVEVSSGRHEITIVNAM >RHN46749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34531701:34532306:1 gene:gene41271 transcript:rna41271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MGVVIPRNTTIPVKTTKVFYTSEDNQSSALISVYEGERTKASDNNRLGSFTLSGFPPALRGHPFDVCFTIDGNGTLTVAAKEVSTGSTNEIIITNYKERLSTEEIKKLIQEAENHRDEDEKFLQMAQLKNALDSCIYKIETALKKQNANLKLSTQENRKMNVAIRMAKNLLDENDLHEVDVLEDHLEDLESMFEDIIDKIG >RHN60663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29242813:29246534:1 gene:gene23013 transcript:rna23013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase C MGFSETSLSVLLLFILFSSLYATSRITHHRHNPTSFSPNQRAEKLIRSLNLFPKNPVKMIHGHSVLDDFVPGKIVEKKFSFFGHSDGLSIDNLGHHAGYYSLPHSKAARMFYYFFKSRNNTNDDPVVIWLAGGPGCSGAIPLFYENGPFKIANNLSLVWNDYGWDKASNIIYIDQPIGTGFSYTSDDSDIRHDQTSISNDLYDFLQEFFKQHPEFVKNDFYITGESYAGHFAPALASRIRRGNKDKEGIIINLKGFAVGNGMTNPRIQYLAYPQYALDMKLIAKEDQDDINKLMPKCHDAIKTCEAGGKESCLLAFKQCEGVTNDILSIAGNINHYDIRKTCHGDLCYDFSNVMKLLNEKTVKDALGVGDIEFVSCSKIVYDALQQDWMKNHEVDIPSLLEEGIKVLIYVGEFDLLCNWLGNSNWVHAMEWSGRNQFVAAKTVPFLVDGAKAGLLNSYGPLSFLKVNDAGHMVPMDQPKAALQMLVNWMQGKLN >RHN78690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17548064:17563252:-1 gene:gene2328 transcript:rna2328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA mismatch repair protein MutS MYKLATTRNVVVFFPCFRSLSLFRNFHSPPSSFIPSRFLRTNGRVQKISCFKDRKVSRGSGKVTKKLRVSDNVLDEKELSHILWWKEELQTCKKPSTMNLIERLEYSNLLGLDSNLKNGTLKAGTLNCDILQFKSQFPRQVLLCRVGDFYEALGIDACILVEYAGLNPFGGLRSDSIPKAGCPVVNLRQTLDDLTRNGYSVCIVEEVQGPAQARSKKRRFISGHAHPGSPYVYGLVGADHDIDFPEPMPVVGISHSARGYCISMVVETMKTYSTEDCLTEEAVVTKLRTCQYHHLFLHTSLRRNSCGTSKWAEFGEGGLLWGECSSRHSEWFDGNPVSDLLVKVKELYGLDHEVLFRNVTVSSGNRAQPLTLGTSTQIGVIPTDGIPSLLKVLLPPHCSGLPILYVRDLLLNPPSYEIASKIQATCRLMSSVTCSIPEFTCVSSAKIAKLLEWKEANHIELCRIKNVLDEILHMYRKSELNEILKHLIGPTWVATGLDIDFKTLVAGCEVASRKIDEVISLDGETDQKVSSFSAIPDEFFEDMESVWKGRIKTTNINDVLTSVDKAAEDLNLAVTEDFAPVVSRIKASMSQLRAPKGEISYSREQEAVWFKGKCFIPNIWTGTPGEEQIKHLKHALDSKGRKVGEEWFTTTKVEAALARYHEANVKANTRVLELLRGLAAELQSHINIIVFSSTLLVITKALYAHVSEGRRRKWVFPTIVESQKLEDGMPSDKNRKMKIVGLLPYWFNIAEGGAVLNTVDMQSLFLLTGPNGGGKSSLLRSICAAALLGICGLMVPAESAVIPYFDSIMLHMKSYDSPADHKSSFQVEMSELRSIIAGTTKRSLVLVDEICRGTETAKGTCIAGSIIETLDRSGCLGIVSTHLHDIFTLPLNITNTVHKAMGTTCIDGQTKPTWMLTDGVCKESLAFETAKREGIPEIIIQRAEDLYLSVYAKKTLSAENYAKQEELSSYINGNNSNGTHHSEKFLSRISQEGISLANPIEVSHKEVESAITVICQDFIAELRRKKITSELIKIKCFLIGTREWPPPMTIGSSSVYVMLRPDKKLYVGETDNLEDRVRAHRSKEGMQDASFLYFLVPGKSLACQIETLLINQLPNRGFLLSNIADGKHRNFGTSNVYV >RHN50703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9626950:9627366:-1 gene:gene35025 transcript:rna35025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MSTVSQNSKTNSTQQSGNSSVSCYGSILRRRSFRDCRCGEKQVLRTVSDVTNPNFGKKNLGCINYKNQFDKGCNYFNWFDARDDIIDAKDRKIEKHKKKTVKLKIALARSQKWLKIFVNFNNQFIKSLSSTNNNKNIC >RHN76169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48194163:48194598:-1 gene:gene12454 transcript:rna12454 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFPLFSNAVPSCIICVAEIVFIDPKQTNNTEFEGFVSSHSLQTLGFIPPTLPREPVDRTTGPIDQTGILNFLVK >RHN73979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22986606:22987252:-1 gene:gene9894 transcript:rna9894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQSNKSMTNSIKFVYVMMYFLSIFLISTYFETKLNCIDDSDCPYDMCDPGLLPRCLNGWCDCSRFQPWPMDSMSSNLREFTLPN >RHN48182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45617077:45617443:-1 gene:gene42869 transcript:rna42869 gene_biotype:protein_coding transcript_biotype:protein_coding MTELEKVAPSSWLRMQKDEAGYESDAEVFDYRDIKPDEGFLTKFMEAHAAVKEADITLHALTKAFEDSKQLTALWKQTGENLTIERESMADSKV >RHN68677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37738156:37745987:-1 gene:gene17059 transcript:rna17059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase C78, ubiquitin modifier-specific peptidase 1/ 2 MADDDSNRIVRLLCRPSHLHKGSDPGIHCWLIGSPFLPPLTIVSILRCINTTPSSSSPDLHKESNDLKTLIPKGFELIGALASGDDTSARAAIDATRELRKLMYGEGMGEDQLLIGGVESLDSGEVRFFVCETGNVSSGIERVSSVIQEENPEKFVWENGCLLRCELPIKLPIYYPLKNPTDVEKAYKQATEAVIAKLKDPQAVYMLETLSKTSSDQPPPSIVRGVQLDFHTDFSKTKHLVEGDAGYDASSLSCSYFSIYSKTAFSIENADTIQVSVLFNSLGPSSASAAPTAEYFPVMEEARLIVKDIKLDVLCYASRDLPLRHAVSCLIIPGLIDQLNILQKFMLPSLLAKHPQLKPYHFSPPGVLHPITVFYELSFGETEMKQVEFRRSLHSRLGLPYDRPLLRIANALDFSKLKNSGTVSHQKGSALLRDVHTGIPSSGVIGGAVSLVQGSYEYHHYLQDGFNDSGWGCAYRSLQTIISWFRLQNYSSIEVPSHREIQQALVEIGDKDPSFIGSRDWIGAIELSFVLDKLLGVTCKVINVRSGAELPEKCRELALHFETQSTPVMIGGGVLAYTLLGVDYNDASGDCAFLILDPHYTGTDDLKKIINGGWVGWKKAVDSKGKNFFLHDKFYNLLLPQRPNMV >RHN53093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41961906:41964161:1 gene:gene37854 transcript:rna37854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKVTKFGYIIIHFLSLFFLAMNVAGGRECHANSHCVGKITCVLPQKPECWNYACVCYDSNKYR >RHN56433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30826659:30830538:-1 gene:gene31834 transcript:rna31834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-IV family MKKSASTNLQYQSSQRTKNSNFQHSSEIDSTKKQNSALKSFKSVVKIFALIFSGRRKTASKVIGNDDRKNTSKPRLMLSSSTDLSSESSNKNSSKWRFSSSYASSSTTSEQLGTGNFTFEEIYKSTAKFSQDNQIGEGGFGTVYRGKLNDGTIVAVKRAKKEALQSHLYEFKNEIYTLSKIEHLNLVRLYGYLEHGDEKLIIVEYVGNGNLREHLDGIRGDGLEIGERLDIAIDIAHAITYLHMYTDNPIIHRDIKASNILISENLRAKVADFGFARLSEDPGATHISTQVKGTAGYMDPEYLRTYQLTEKSDVYSFGVLLVEMMTGRHPVEPKKKIDERVTIRWAMKMLKNGEAVFAMDPRLRRSPASIKVVKKVFKLAFQCLAPSIHSRPPMKNCAEVLWGIRKDFKDETTPLPTLPSHQSENFPQREKNKHITFGIEDDDSYKFSSAPNHIRS >RHN67993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32008325:32009352:-1 gene:gene16266 transcript:rna16266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQMGKYMAQILKFVYVIIIFLSSFSVAMNNNGYYECTTDQCRA >RHN61291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34534746:34536679:1 gene:gene23737 transcript:rna23737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MGSSDVEYRCFVGGLAWATDSQALEQAFSKFGEITDSKVINDRETGRSRGFGFVTFAEEKSMRDAIEEMNGQDIDGRNITVNEAQSRGSGGGGRGGGGGGYGGGGGYGGGGGGYGGGGGRRDGGYSRSGGGGGYGGGGDRGYGGGGGGGYGGGRDRGYGGGGGDRGYGGGGGGDRYSRGGGADSGGNWRD >RHN67785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30261275:30262771:-1 gene:gene16017 transcript:rna16017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MEHSMAKHGFLRNILVRIFSFAVLIFAVRFAIIVTIHGESCNSSDFCIFSENLNLTAPSHLSTSGDPFSGKNWRRSVEHYASIFQDLIGEGSLLPNSKALCIDTPTGEDVLALKEIGVVDSVGIFKKPSPPLIIQGEGRKHPFPGDSFDFEFSGNGRLEESVKPAELAGEICRTLRPGGFIAVHTTARDSYSFNSFLELFNCCEFIRTREINGVDSSTILEILMKKKILQKFKTLDFGVSPSNGNYHSVNKCLIPRYKREIVNNAESLILEEPLKPWIALKRNLKNIKYLTSMVDISFKSNYVYVDIGARSYGSSIGSWFKKQYPKQNKTFEVYAIEADKAFHQEYRTKKGVNLLPYAAWVRNETLFFEITRDPSKKMMMKGRGMGRINPVQTSASHMGDKDKILGFDFAEWLKSVVTSKDFVVLKMDVEGTEFHLIPRLIQTGAICLIDELFLECHYNRWQRCCPGQRSAKFQKTYSQCLDLFTSLRNIGVLVHQWL >RHN79168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24895801:24896389:-1 gene:gene2898 transcript:rna2898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MFLTRHVFPSNSRTLSTFTTHIHLQQPLLQMALHGFNMKFENYNAILNECVNKRAFREGQRVHAHMIKTRYLPSVFLRTRLIVLYTKCDSLGDAHNVFDEMPERNVVSWTAMISAYSQRGYASQALNLFLQMLRSGIYILEPCLDKQLN >RHN66969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22288739:22289619:-1 gene:gene15096 transcript:rna15096 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLSSIWMFEWSGNVEHFVALERLLVGNSNVESICCLNEVSERKMNLGLQNIELRTLPTMTCLFKGLKKSFSLKNLTMMIIVGCEKLEIIFSISIIMCLPQLHHIRIEDCKELKHIIEDDLENKKSSNFMVAKTVCFPKLKTLVVVTCHKLKNVFPISIYKELPELKVIIIVEAEKLEEIFVCEVDQEVNIPNLRYVAFLNLPSLSQTQRIHFQAVQNRSILRCQELSLTSAMREYDVLGSLIWEFLPGTHCHTVIFTKKKHTKD >RHN80160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35701665:35711016:1 gene:gene4050 transcript:rna4050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase subunit alpha MSSSLFFLLFRRNANTKIKTNLTHSNCHVRAREFSSSEPKKKERIEKILIANRGEIACRITRTAKRLGIRTVAVYSDADRNSLHVASSDEAIRIGPPPARLSYLSSSSILDAALRSGAQAIHPGYGFLSESADFAQLCEDNGIAFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGDEQDIDKMKLEADQIGYPVLIKPTHGGGGKGMRIVHTPDEFAESFLAAQREAAASFGVNTILLEKYITQPRHIEVQIFGDKHGNVLHLNERDCSVQRRHQKIIEEAPAPNISPEFRAHLGQAAVSAAKAVNYYNAGTVEFIVDTVSGQFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIHVANGDPLPLSQSQIPILGHAFEARIYAENVPKGFLPATGVLHHYQVPVSSGVRVDTGVKEGDAVSMHYDPMIAKLVVQGENRAAALVKLKDSLTKFQVAGLPTNVNFLLKLANHRAFENGNVETHFIDNYKEDLFVDATNSESAKEAYEAARRSASLVAACLIEKEHFISARNPPGGSSLHPIWYTSPPFRVHHQAKRMIELEWDNEYDSGSSKILKLTITYLPDGRYLIETDENGSPGLEVKATYVKDHDFRVEADGVINDVNLAVYSKEQMKHIHIWQGSFHHYFKEKIGLTLSEDEESQHKPKSESSGVPRGAVVAPMAGLVVKVLVKNETRVEVGQPVLVLEAMKMEHVVKAPSSGYVHGLQVTVGEQVSDGSVLFNVKDQ >RHN64060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56266171:56268537:-1 gene:gene26838 transcript:rna26838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein METMALPCLPDELIVQILSRLPVKSLIRFKCVYAAYYYGFGYDQLTDDYLVVSFSYVLNFDDDHVNSRLEFFSLRDSMWKELEGTPFPYMLYPDEHRVGSLFSGAIHWLAFHNDLARDVIIAFDLMERRLLEMPFPDGFGLESEYSHLWVFGQFLSLTGMDHDNSRVEIWVMKEYKVHSSWTKTLNLSIDGIPYFSPICSTKSGDIIGTNGETELLKYNDKGQLLEHNSFWDGPCPIGSLVTMYTESLLSLPSDNVLP >RHN43921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49474621:49476208:1 gene:gene50558 transcript:rna50558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MAAAIQAEPAKLRWGELEEDDGEDLDFLLPPRQVIGPDENGIKRVIEYKFDDDGNKVKITTTTRTRKLANARLSKRAVERRSWPKFGDAVHEDVGARLTMVSTEEILLERPKPLGSNKEDPKTADPLSQFNKGAVLMVCRTCGKKGDHWTSRCPYKDLAQPPETFVDKPVATDAAPGASAGSAKGAYVPPSLRAGAERTTGSDMRRRNDENSVRVTNLSEDTREPDLLELFRPFGAVSRVYVAIDQKTGMSRGFGFVNFVSREDAQRAINKLNGYGYDNLILRVEWATPRTT >RHN63524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51950139:51951493:-1 gene:gene26230 transcript:rna26230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SWEET sugar transporter MSPVPTFIKICKAKSVQDFKPDPYVVTILNCAMWSFYGMPFISKSNTLVLTINGFGFFIEIIYTSIFFVYSNGSKRKKILLALLAEVVFLVLVVFIVMYFVTNLKERRFIVGVICIIFNILMYFSPLTVMRQVIRSKSVKYMPFLLSLANFANGLIWTTYALLRWDPFVVIPNGLGALSGLAQLILYAVYYRTTKWDDDAPPSSVNNV >RHN63007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47539898:47556615:1 gene:gene25655 transcript:rna25655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MASITSVELNFLIFRYLNESGFTHSAYTFGNEASINKCPIDGNLVPPGALVTFVQKGLQYFEMEANLNNCDADLDEDFSLLQPLDLITKDVHELRQIISERRKKLHKERSKELEKENEAERGRARDKERHHREKEFEKDREKERVKIAKEREQQHVSKTDKEVVRDQDKVSAKHEVKTDKEVVRDQDKVTVKHEVNGTVRGPEPMDICTTSTSQPRQIPSSDVIILEGHTSEVCACAWCPSGSLLASGSGDSTARIWAIPEGRCKSGSQSVPLDALVLRHVRGNTNEKSKDVTSIDWNGEGTLLATGSYDGQARIWTTNGELKTTLSKHKGPIFSLKWNKRCDYILTGSCDQTAIVWDVKAEECKQQFGFHSGPTLDVDWRTNTSFASSSTDNMIYVCKIGENHPTQTFAGHQGEVNCVKWDPTGTLLASCSDDITAKIWSVKQDKYLHDFREHSKEIYTIRWSPTGPGTNNPNKKLLLASASFDSTVKLWDIELGKLIHSLNGHRHPVYSVAFSPNGEYIASGSLDKSLHIWSLKEGKIIRTYNGSGGIFEVCWNKEGDKIAACFANNIVCVLDFRM >RHN60426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26625350:26627954:-1 gene:gene22737 transcript:rna22737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MARQSPSSSSSFSYRFTYQVFLSFRGSDTRNGFTGHLYKALTDKGIHTFIDDRELQSGDEIKPSLDNAIQESRIFIPVFSINYASSSFCLDELVRIIHCYKTKGRLVFPVFYGVDPTHIRHQTGSYGEHLTKHRKNFQNNKKNMERLHQWKLALTEAANLSGYHSSPGYEYKFIGKIVKYVSNKISRQPLHAASYPVGLQSRVQQVKSLLDKGSDGGVRMIGLYGIGGMGKSTLAKSINNCIADQFECSCFLENVRENSSSNQLKHLQEELLLKTLSLKIKLGGVSEGIPYIKERLHTKKILLILDDVDNLEPLHALAGGRDWFGCGSRVIITTRNKHLLSNHEIEIMHEVKGMSTENALELLRWMAFKIYKVPSSYEEILNRAVAYASGLPLAIEVVGSNLFGKSIAECESTLDKYERIPHEDIQKILKVSFDALDEEQQSVFLDIACLFKGCRLAEVEEILQSHYGYCIKSHIRGLVDKSLIKSNFNKVTLHDLLEVMGKEIVRKESPKQREKRSRLWCRNDIVHILQENKGTSKIEMIYLNSPSMDLVIDWNGKAFKKMTNLKTLIIENVSFSKDPKYLPCSLRVLKLNGCSSESLSSIIFCKRFEDMKVLTLDNCQYLTHIPNVSGSLRVFHPYGCPLLRNWTSLIVRDSSVFQNYYVR >RHN59080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7335658:7342815:1 gene:gene21067 transcript:rna21067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative metal-dependent protein hydrolase MMWVTTSTTTKTLLFNKFNFHFSKYKQKHFTLQLRLMSNAKTARVSDSSSPSHASLKRVGTHNGSFHCDEALGCFMIRLTRNFFNAQIVRTRDPQVLEGLDAVLDVGGVYDPSRDRYDHHQKGFEEVFGHGFSTKLSSAGLVYKHFGKEIIANELKVDEEHQNVHYIYLAVYRSFMEAIDAVDNGINQYDTDQPPKYVNNTHLSSRVGRFNLDWTDPDQSSEKENEAFHRAMALAGSEFLDSVRFHVNSWLPAKSIVMETIAARQTVDPSGEILVLKRFCPWKLHLFELEGEMKIDPPIKYVLYEDERSKQWRVQAVSVSPDRFESRKALPSQWRGLRDDILSKESGIPGCVFVHMSGFIGGNQTFEGALAMAKAALKM >RHN54448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9674458:9677238:1 gene:gene29491 transcript:rna29491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MIGRALLEPFLLSLAKKSNPIILTQCNQIHAQLIITQYISQTHLANTLLSFYSKSSNFHYAHKLFDKMPNRNVVTWTTLISSHLKYGSVSKAFEMFNHMRVSDERPNENTFAVLLRACTNRELWSVGLQIHGLLVRCGLEREKFAGSSLVYMYLKGGDDLRDALRVFYGLLERDVVAWNVMISGFAQNGDFRMVQRLFSEMWEEQGLKPDRITFASLLKCCSVLNEVMQIHGIVYKFGAEVDVVVESAMVDLYAKCRDVSSCRKIFDSMEKKDNFVWSSMISGYTMNNRGEEAVNFFKDMCRQRVKLDQHVLSSTLKACVEIEDLNTGVQVHGLMIKNGHQNDCFVASVLLNLYASFGELGDVEKLFSRIDDKDIVAWNSMILAQARPGQGCGRCMQLFQELRRTTFLQIQGATLVAVLKSCEKDSDLPAGRQIHSLIVKSSLCRHTLVGNALVHMYSECKQIDDAFKAFVDIVRKDDSSWSSIIGTCKQNRMESKALELCKEMLDEGINFTSYSLPLCISACSQLLTISEGKQLHVFAIKSGYSCDVYIGSSIIDMYAKCGNIEESEKVFDEQLKPNEVTFNAIISGYAHHGKAQQAIEVLSKLEKNGVAPNHVTFLALMSACSHAGYVEETSHLFTLMLDKYKIKPKSEHYSCLVDAYGRAGRLEEAYQIVQKDGSESAWRTLLSACRNHSNRKIGEKSAMKMIELNPSDHAPYILLSNIYIEEGNWEEALNCRKKMAKIRVKKDPGNSWLI >RHN41937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34223923:34228110:1 gene:gene48313 transcript:rna48313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain-containing protein MAMNASSPNPPAAAPWSQIVASTAASAVPHSSPSPPPSSAVVDTFTVTEAAEDTDNTSGGKRPVWSKPSNAAASSVMDADSWPALSESAKAPAKSPPPPPSPPQELVKLSLDLSTLPQSQGTGSMLHSPQKQVKDTAGNSNVTSVPTHQKPFRRSNSNASSNGGRQPPQMSVPQGFVAVPPGSHNHNSAQIDHLPRAGFVPNDQPQRRNSFRNRNGGGLQPRGDGSHHFNSGSRRDQDRGNQDWNAHNRNFNNRDNYRSPRFVPQFVRPPPPTNHAQYYPPPPPPIPPYMGSYGYHDLTLQMMYGPPLHVEPPRSVPFVQPISSAIFFPPPDSELQTKIVNQIDYYFSDLNLNNDTYLKRNMDDQGWVPLNLIAGFNKVKLLTDNIQIVVDAVRTSSVVEVQDDKIRRRNWITLSSQFHNVNGSQIAAQLAKNIQNIDLETNKNGAFGELHVSNI >RHN52489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36061723:36062219:1 gene:gene37174 transcript:rna37174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MERPVQLISDVNDKKDLWKLAVKVKNKWTVVKDGKEHLELVIVDDKGNDIQVIIPTGYKAVYDKILEENTTYTLSNFNVLNNNDLAFKTSDHKYKLKCTSGTTLGDKNMHTISCDNIKFKPFAEILTGKWRADLLVRE >RHN57880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42360625:42361353:-1 gene:gene33471 transcript:rna33471 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNYPPEDYIYALDRMQKISTLMAMGPTTYLFTLLLNTHAFSPIVIFSILSSICLFFAAILAFTLKKNVLLFQNQVDSTTRFGMLICALALVYGIFFFFFANYHFIPFFLLHHLKTYQLILIDIFFLIIVLFLTHSVATFLHSYLWIVYGSPDT >RHN53090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41956615:41957017:1 gene:gene37851 transcript:rna37851 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYQYTIIHTQSSITYINKTHVMICTYRHIHACGTKSPQGRHLRCNKNIVCKISHPSYIISEVKEFNPFTTTISTRL >RHN53761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3936085:3938618:-1 gene:gene28705 transcript:rna28705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal RNA-processing protein MKMRKQKVVAEVVDVGQDLESVIHEHASFFDKLVELIPAKFYLPTDDEKPWFQGLNKKQKEKAKKKTIQNIKKSKVQRLDPEKPVTTLELLKKSLGKEKANEGEEEEDVVKPFVSELDGDDRSVTYEELRQRLHRKLEGFRSTRNCADPEKAAKKREDRDTKRGYHYQDNKRKRDDATDESKPAPDEKLQEKVKKDAAEASKQLVFGHVKLQDEEMLGKKRKISKHKELERAKKLEELKKNDPEKGEAIAKKEAWKAAMDRASGIKVHDDPKLIKKSIHKVKKQQEKNAEKWEERVQSRDQLKAEKQKKRSDNIAERINDKKMRKIAKREKKLLRPGFEGRKEGFINSSSG >RHN67539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28092412:28092801:-1 gene:gene15737 transcript:rna15737 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYVVHTDAWIPCPTFSISPFFLLSGVSEVRTPTPTYNNACPCQLSYAYRDKNFLPIATDSIEPTTCPPSHRSKTIFYLGLGSYTTVLLSPYFAIPTLNPNPTLSVSCDPTFIHVHFSHYFLNFNEKN >RHN62360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42914152:42915771:-1 gene:gene24927 transcript:rna24927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MKMMEAPSFLLTMLTIVVSITTTTTSQSPIQNFLNCFSHNSQASNFVASEVIYTPNNTSFSAILNVRILNKIFKATTTPKPLAIITAKDVSHVQATIKCAKSNNIQIRIRSGGHDYEGLSYLSDVPFIVLDMFHLNSVDVNLQESTTWVESGATLGKIYYTIGNKNNSFAFPSGVCFTVGAGGHFSGGGYGNLMRKFGLSIDNIIDAKIVDVNGNILDRKSMGEDLFWAIRGGGGASFGVILSWKLKLVQVTPHVTVFNVKRNADEGATDVIYKWQLVAPKLHKDLFIRVKPIVVKIGQGGKKVVQVSFIGQFLGTIERLLPLMNESFPELGLKKSDCMSMPWVNSTLFWWNKPIGTPLETLLDEPKESEAINFKAQSDYVKKPISKKNIESIWKMMIDGETLHMEWNPYGGRMEEILSSETPFPHRAGNLFLIEYYNTWIEESPEVIKRNVNFSRSFYKFMTPYVSNSPRETFLNYRDGDIGANHPSNVTNISISRIYGSKYFKGNFERLMSVKTKVDPDNFFRYEQSIPTRSSKSHM >RHN47551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40527749:40529086:-1 gene:gene42165 transcript:rna42165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MKQISIIIFFFILPLAFADLELGFYASSCRKAESIVKQVVQKRFNRDKSITAALLRMHFHDCFVRGCDASLLIDSTKNNISEKDTGANDSVRGYDLIDDVKEAIEAACPSTVSCADIVALATRDAVALSGGPKYNIPTGRRDGLIANRDDVDLPGPNIPIGALSQFFAAKGITTEEMVTLLGAHTVGVAHCGFFASRLSSVRGKPDPTMDPALDTKLVKLCKSNSDGAAFLDQNTSFTVDNEFYKQILLKRGIMQIDQQLALDKSTSTFVSNFASNGDKFVKSFATAMIKMGKVGVLVGNEGEIRKNCRVFNKRN >RHN40955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24970325:24972907:-1 gene:gene47205 transcript:rna47205 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTSMNLLVFVLFTFAFSEMQTFAGAKIDNVSCNFRKSPCFTKKIQCPAECPLKSPSDPNAKICSLDCASPVCKAQCKQSKPNCNGRGSACLDPRFVGADGIVFYFHGRSNEHFTLVSDPNVQINARFIGLRPEGRTRDYTWIQALGILFESHKFTVEATKAAIWKDEIDHLKFSYNGEELQIPQGYLSTWEIPEKKINVERTSNINSVVVNVQGVVEIYVNVVPVTKEDSRIHNYQIPDSDCFAHLEVQFKFYDLSSKVEGVLGRTYQPDFENPAKLGVAMPVVGGEDRYRTPSLLSADCGACLFASGEDSEKKNNVIEYGMMDCRSVANSGNGIVCKR >RHN81301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44676712:44681876:-1 gene:gene5318 transcript:rna5318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative N(6)-L-threonylcarbamoyladenine synthase MIAIGFEGSANKIGVGVVTLDGTILSNPRHTYITPPGQGFLPRETAQHHLQHILPLVKSALETAQITPQDIDCICYTKGPGMGAPLQVSAIVVRVLSLLWKKPIVGVNHCVAHIEMGRVVTGADDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLTLSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSYIEATANEQLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDTKDVLIVGGVGCNERLQEMMRTMCSERGGRLFATDDRYCIDNGAMIAYTGLLEFAHGASTALEDSTFTQRFRTDEVKAIWREANLAKSNSLADKTV >RHN47163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37603832:37605208:-1 gene:gene41727 transcript:rna41727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MTKLIRDFVIVFLDKANQGLIEYVVDWTMKIWPKFRPIGPSIPSMFLDNRHKDDEDYGVAQFKYNEKCMEWLNDKPKGSVVYVSFGSMVSLDEEQIQELAYGLRDSGSYFLWVVRASEENKLPKDFEKESKKSLVVTWCSQLKVLAHEAIGCFVTHCGWNSTLEALSLGVPTIAIPQWSDQRTNAKFIADVWKMGIRAPIDEKQIVRQDKFKDCILEIMKGEKGKEIKSNATQWKTLAVGAFEEHGSSQKNIIEFVTSLINVGPLTREGSIQ >RHN46147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29223056:29228959:1 gene:gene40590 transcript:rna40590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MMMNNGEEDELYEQLWKACAGPHVEVPRAGQRVFYFPQGHMEQLEVSTNQELNQRIPLFKLPNKILCRVVNVHLLAEQETDEVYAQITLVPESNQTEPTSLDPCPAEPPRPRTHSFSKVLTNSDTSTHGGFSVLRKHATECLPSLDMSQSTPTQELVAKDLHNYEWHFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDTFVFLRGHNGELRVGVRHLASPQSCMPSSVISGQSMHLGVLATASHAVATQTLFVVYYKPRMSQFIISVNKYMEAINQKFSVGMRFKMSFDGDDSPETDKRFSGTIIGQEDISSHWLNSKWRSLKVQWDDPASIPRPDRISPWEIEPLMASVPTSIQPAVAKYKRPRLPSEVPDFGDTTVGDSTYWEAGLAQSDITQVNNVLSENIRSDGMHFWHHKQTDNSSSNGISRNPTDGSWLSSPHSSGPSHLCQDAIDDSKSVAVSAWPISKTHSEILNNNDHMIDQVVKDNKKEAATSCRLFGIDLNDPRTNTHKVSEYSANAFGVTSEGCVATPISRTDADQNKFDISKASKERKQEQLPISPNETQSKQTNSRSCTKVQMQGVAVGRAVDLTALDGYDQLIDELEKLFDIKGQIQPRNKWEIVFTDDEGDMMLVGDDPWPEFCNMVRRIFICSSQDVHKMSSGTKSPISSLEETVISSDTAEI >RHN44768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8264722:8265585:1 gene:gene38929 transcript:rna38929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MNSSTCLSYHVVSNSCNGLVCLYTDLSCGRDVFLCNPTTREVRLLPSSNLVTTVKDGMVLGVSYSNCRCKKYIVEEYDLRSDSWRTIESVNPWCCEFDTSCFAMHFNGVYYWWGEIKGLTSTILTLDVGGGFLHKMPLPKVVDISTSSGRYLGVLHGCITLVCRKLCDQNANFCIWVFGGSCAGDSCWTKLRTIEHSSSCVPLVFWKGNELLLKMFDKVISYNVDTEEIHNIDFGNERRGIADICEAIFCEKSLVSVNPLPTTSNAQQ >RHN39397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6806951:6808985:1 gene:gene45418 transcript:rna45418 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MDLSVNEEEVESEEEIHVPAEIDWQMLDKSKFFFLGAALFSGVSATLYPVVVLKTRQQVAQSQVSCIKTAFSLIKGEGFRALYRGFGTSLMGTIPARALYMAALEVTKSNVGTATVGFGLAEPTAAAIANAVAGLTAALAAQLVWTPIDVVSQRLMVQGSCNSSDPKGSSVRYVNGIDAFRKIVRTDGPRGLYRGFGISVLTYAPSNAVWWASYSVAQRMVWGGVGYYLTNKKGGEGSDNNNGGANVLRPDTKTVMAVQGVSAAMAGGMSALITMPLDTIKTRLQVLDGDENGRRGPTVMQTVRSLVKEGGWMACYRGLGPRWASMSFSATTMITTYELLKRLSAKNQEVLT >RHN76680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:360647:370086:1 gene:gene43 transcript:rna43 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MAFVTKIKWVVLSVISLSVVSIIIHLSFAKLWTVNLVPYRAIASLHDDFSSVVVRQGVKNKKLWGSIKSLESLQPSFDARSNYTGPKEKNNGFIYAKVFGGFANIRSSIPDLVAISRLLNATLVIPEFQESLRSKGVSPMFKSFSYLYDEEQFIAYLKKDVIIAKTLPGSLMERRKRNEFPTFRPKSSSSPNFYIQEILPKLKKSKVIGLIIANGGALQSVLPPTMAEIQRLRCRVSFQALQFRPEIQMLGHRMVNKLRSLGQPFLAYHPGLLRETLAYNGCAELFQDVHTELIQHRRAQMIKDKILNEDLNVDSHLRRDKGLCPLMPEEVGILLRVMGYPSKTIIYLAGSETFGGQRVLIPLRSMFINTLDRTSLCSEKELSDLVEPEPPLPQNIFRTPPSKSEEELKEEWKRAGPRPRPLPPPPNRPIYQHEKEGWYGWITETPTEPDPSPMDMRMKAHRLLWDALDYIVSLEADAFFPGYNNDGSGWPDFSSLVMGHRLHETASSRTYRPDRKVVAELFNMNRENLYHPKHNWTILVQEHLNKSMTEEGLIRQSRLSKPAMFLSHPLPECSCRVASASVANRVRGEDGQFLYGGEDLCPKWMQHASLSGSMGKEGVKSEDDGLPDYESNDFVDESESEKDGGKTDQTQVWDQDEEMDPND >RHN55455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18541495:18541734:-1 gene:gene30636 transcript:rna30636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine-rich transmembrane CYSTM domain-containing protein MNTFNNHQQAPISYPPPGETYSTSPYVTAPPPMGYPTKDGPAEGYPNQRIPDQSTTRGDGFWKGCCAALCCCCAIDICF >RHN67920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31441799:31442338:-1 gene:gene16176 transcript:rna16176 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVCYPIMHVTGMDHVDRRRGDNLAMQASVTRECRQKAKGLVPRRRGGSARRANADDEPQHQAEPKYNQMDMDMEHQVEDDVEAEEEMGDDDDDQQQQRQRKRVPEPESEPLDDYPGGRHDTTSLTRYHVQVARATTDGEW >RHN50071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3832173:3833728:1 gene:gene34322 transcript:rna34322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MMDAMEKNSRDVESDEKFELPPGFRFHPTDEELITHYLSQKVLDNSFCAIAIGEADLNKCEPWDLPWRANMGEKEWYFFCVRDRKYPTGLRTNRATCAGYWKATGKDKEIYREKILIGMKKTLVFYKGRAPKGEKTNWVMHEFRLEDTYSLRNISKRAMKEWSICRVFEKSSCGKKMDIQDLVSFNSIGKELLPPLMDSSPYNSETKATIEDSSHVTCFNEPNLIDDYQITQDNDNNIVGSFDTPMLTSSYSSNPSYDNISHVSWTPSLSHQSTQVGNSQSFDVDISSMMYNNEMFQDSYVNEEYSSDLVGHFDTGCLWNY >RHN75090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39285557:39286588:1 gene:gene11245 transcript:rna11245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stigma-specific protein Stig1 MANTILKLTIILSLLISLSLFVSSNDDDKNHHEIEDEDEEEQDFYVLDTHHGSRSRFLASIIKKGRQCNRETNNICNGVRANKGNDLLFCCKKHCRNVLSDKNNCNVCGNKCKQGERCCNGVCTNVLSNVRHCGKCKKECSNGDPCGNGFCGYA >RHN46652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33737651:33742806:1 gene:gene41170 transcript:rna41170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TATA-box binding protein MADQGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLELKSIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEVQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKNQQCPIKYYRHEQEQGFLAEKNVDCRLD >RHN56903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34612020:34613674:-1 gene:gene32368 transcript:rna32368 gene_biotype:protein_coding transcript_biotype:protein_coding MQVCSLCFYFLSDHNIDAMIIGSVTSPHQHLKQPLNYWQNYTKTINRVQGGIRGRSNWWHLYFLFNCKLIAFQLRDHLRIMILKCWTRTFYSKVKIWKELIQNVYELIFLSILESNMAQLQLVVSCSLHHDLWLLNHWKIDAEFCEIWFRDGNILTICIEEILWLHSLCKEFPYAAKCINSYEFLLTSIQGSNPINSKHVQVFPHHQMLYTPHYNTLQKSYIFFALLVIMSQSSNSAQNTNSTENSHPTPYSPDTLDYCLVGRLLTTKPVRFQNFKTCMSTLWQPKHQVDIT >RHN43348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45068426:45070522:-1 gene:gene49907 transcript:rna49907 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-phosphoglucomutase MVDSIATTSLLGHRPVCGGNLIRDVSAKRKSLNICRFTPAEFLGGRVVVSLPLTKSKQDGFLSCSSIKALAVELTREAYAYREDKLPKKGNSKIDGGFDRKPGLWPPENRADKPSLRNPLLRQERMGCGWLGAIFEWEGVLIEENPDLEKQAWLVLAQEEGKLSPPGFVIKRIEGMKNEQAISEVLCWSRDRTETRRMANRKEEIYQALQGGIYSLMPGSKEFVGVLMHYKIPMALVSTRPRKVIESAMGEVGIVENFSVIVAAEDVHRGKPDPEMFEYAAQLLNFIPERCIVFGNSNLTVEAAHDARMKCVAVASKHPVYELGAADLVVRRLDELSVVDLKNLAAVETSEFGSPEPELEMELEKDDSDTSSVDESFW >RHN47624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41064524:41068469:-1 gene:gene42245 transcript:rna42245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MGSHGGAIQEQKTGTLAREGSLYNLTFDEVQNQLGNLGKPLGSMNLDELLKSLWTSEATQGSGLDSGTTDGYMQHGQLASGSSMNPLTLSGDLSKKTIDEVWRDMQQKKSASPDRRTATLGEMTLEDFLMKAGVATESFPSEDNAMSGRVDSQQQQQNTSQHGHWMQYQVPSVQQPQQQHQHQNHQNNMMTGFAGYMAGHVVQQPVLDAGYTEAMVSLSPSSLMATSSDTQTQGRKRVASGVVVEKTVERRQKRMIKNRESAARSRARKQAYTQELEIKVSHLEEENERLKRLHEIERVLPSMPPPDPKHQLRRTSSAPL >RHN46426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31636429:31638328:-1 gene:gene40910 transcript:rna40910 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTTKSEWLKSIETSLTSVDYDYCQSSSISVVPEGLKKWNEDAYIPRKVSIGPRFRGRREDLFLMEEVKLRCMLSLLNRADKSLEQCSDAVWELDKEVRECYLGDLKLERHELAKIMLVDGCFLLELLISKGLDSQLPSRLFPPSPAAEVLKDDDVLSDLMLLENQIPFLVLHKLSQTLFPYVFEPDDSLPYSPREEQRKTEERVKKMNNLALAVLGYSPDLQIPCVDAPHILDLVHFFVNIKTCESTHRTEEQYVALGNMDATQTQQKQLKLEYCAQRLLTAGVTIKVKLPEKQHVVPSTMLTMATFVLKILIRYIFSEILISIQRANFHRLDLDQEMELKGLDFYFKFEKETLEIKQLHITKTTKAKWCNLIAWEHHKMYKYASRLAPRYEDEDESQQNTSKIVSCGKFTWAALIFNDLICSADDVQLLKDKKIIVDNLKMSNQELMEFFRKIALGIDHKVVDSSTYIQMVDDINNYSRAFNIKRMLKSVITSIIYHREQVIRFMNHDYNFPATLVSVLAIVQTVYTVLAFYYHK >RHN40489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16802219:16805421:1 gene:gene46645 transcript:rna46645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MFHVKEEQQEEDPSIKLFGSDIQIHTPINQTHTTDSQIHSLPHQQSIMNGLEESTNADVEVSSKQVNPQEDANAIPNMYNTGTLKPVTKAVHRTNEHKTAKRDTDNQEKVFKKPDKVLPCPRCNSLETKFCYFNNYNVNQPRHFCKNCQRYWTAGGVIRNVPIGAGKRRNKQSPLQNCQVPVTLDAVPVIHIDSKEEVPLSESVETVLNLKGHRKIEMDSSTVKEDIENSSSSSVRAAESGEMEYSESGIEHVGLTLTPQCNGLIPLHSLHYYSAPPWTYPCWNPVAFKSDNITSSPATMMTVEVPMTPSYWGCMPNWVGQMEELSLVGSAFNGIPSPSSSPTLGKHCRDGSTQEEDIMKPNIWVPKTIRINDPEEAAKSSIWSTLRTKSEQNKPIMKGSVFKSFEPKSNASSRDLDDNQILRANPAAFSRSGSFQESV >RHN80062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34722373:34724809:-1 gene:gene3938 transcript:rna3938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-beta-hydroxyhyoscyamine epoxidase MDKLITSCWSNFQSVPENYIFPPESRPGNFKIPFNSSIPVIDLSEAQKGDRTNIIQKMIKASEEFGFFQIINHGVPVNQMKETMNVFKEVFHMPDEYKKSLCSNDPSKPCKMFTSSINYDSEKVHLWRDNLRHQCYPLEQWQHIWPENPPRYRECVGDFSTEVKKLGSRIMNLFGEGLGLEYGYFDNDLTGSILLSVNHYPPCPEPNLTLGITKHSDPNLITILLQDDVSGLQVFKDGEWIAVEALPHAFVINVGYQLQIISNGKLKSAEHRAVTNSSHARTTGAFFVAPSDDCYVEPAQAITDEHNPPIFKSFKYKDFNSHYFNKYADTDVVLKSFEAPKN >RHN53026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41321395:41330989:-1 gene:gene37771 transcript:rna37771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MAGKRKTSSKTTTSGNAVTVDSVSGDTVTVTADSSSGNTVRKSRRLTKSSSSSADAVNVAADSATGETRSRSRKTTKSSNSNSSGDGTSVSADLTSGKAQKKSGKKTDLDKGKSVVASSGVKKEPKTESDPMNVDGGDNEVSSSKFLGDPIPIEAAKLRWPKRYQEKEKKKSRSRSNSDEEEVILARCHYTEAQVDEGVIYKLYDDAYVQGETDDYHFICKIVEMFEAVDGGLFFTAQWYYRANDTVIKQLGNLIEPKRVFFSEIQDDNPLDCLVKKLTILRLELKKDFDATKDIIPPCDYYCDTLYLLPYSTFLKLPSETTENGSEISSTISNETEGNGKAEVDSKCSNTDICVEKKKPQMKLLDLYSGCGGMSTGLCQGGILSGSDIITKWAVDLNQDACQSLKLNHPETEVRNVSAELFLFLLKEWEKLCSYFSLIENSVPHEEYANLFNKEDEEEEENDGAVEENIDGEIFEVSEVHDVRYDDKETGPGLYFKVSWKGYNSDKDTWEPITGLSNCKERIKDFVTRGFKSSLLPLPGHVDVVCGGPPCQGVSGFNRFRNYDNPLEDEKNKQLVVYMDIVQYLRPRFSLMENVVDLLRFSEGFLGRYALGRLVKMNYQSRLGILAAGAYGLPQFRLRVFLWGAAPKENLPQFPLPTHDVIERGSVPVEFEGCLVAYSEGHRAQLQNKLVLNDAISDLPPIENDENRDDMEYGGPPLTEFQKFIRLSQNEMLGGSIKKKSPKSLLHDHRSYEMNVDDYQRACRIPKRKGACFRDLPCVRMRNKKVELNPDVERELLPSGKPLVPNYAISFVRGTSPLPFARIWWDETVPTVVTRPEPHNQKILHPEQDRVLSVRENARLQGFPDFYKLCGSVKERYIQVGNAVAVPVGRALGYCLGLASQGASTDGPLYTLPDHFPRKKASIAASDEEVVHTAP >RHN41329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29198597:29199184:1 gene:gene47639 transcript:rna47639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MARSSIYIVLVVFFFATALAKLTVNQQQYLRDCAVKMGKQCGTQFFNKLFTHDKTIITRDCCYKILQVGYSCHIKMTVFILENDPGFKNADRNDYLTKSDHIFQKCDRVTEPENQKFLAKCVEKIGADCGDQVYNNLTRDGSITKQCCKKLVKTGEKCHTNMAKALIRTPAMANIDPDEFLEKNQKIFDDCERTE >RHN49985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2889511:2890791:1 gene:gene34231 transcript:rna34231 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSRLVNDIKTMLDTAEPPSTYDCCIYKVPSDIRTLNENAYTPKFVSIGPFHHGHPHPQIQNMERQKLIYFKDFLQRTETTLNEMVYYIDAILSDFKCCYSETLPFSHDELVKLILIDSGFIIELFCRSYYDVRCINILSIPWLYVGIRSDLMLLENQLPYFVIEKIYSLSLSSTNASVPNTNIPSFLKLTTDYFDYYNKSKLDFDKGDISIRHFTDLIRIFHLQHPIENRPSRDNINKQIIHLPSATELLEAGVRFKVNTESKCLLDLRFSGGVLEIPQLIAHDGTEIMFRNMVALEQCRYPYESYITDYVAVLDYLINTGKDVDILVQNKILENMLGDSDSVANLFNGLSKYVIHSNISLQFSILCKDLNAFCGNPWRQLMLTLKLKSTLMRDYCSTPWQAAASFAGILLLVLTLIQTVCSCK >RHN60969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31961390:31964343:1 gene:gene23375 transcript:rna23375 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-VIIa-2 family MGISIVSAMGVCLGGQVKAEGPKNSGLSSKSVIVNTEDHNILCYKGSDDLISSSSEVSATSVPRTLPCEGEILQSSNLKSFTLTELQAATRNFRVDIVLGDGDFGSVFKGRIDEHSLSAAKPGTGIAVAVKRLNQDGVKSHIELMAEVNYLGQLTHPHLVKLIGYCLEDENSLLVYEFMPRGSLENHLFMRGSYFQPLSWSLRLKVALGAAKCLAFLHSAETKSIYQNLKTSNILLDSNYNAKLFNFGLAKDGSTGDRSRVYTGQIYGYAAPEYLATGNHTSKSDVYSFGVVMLEILSGRRIVDKNRPLRQHNLVEWAKPCLSNKRKILHVFDNRLEGQYEIEDAYKVAILSLRCLSIEAKLRPNMDEVVTNLEQLQIPQVNGCNHNHLRRRSADDVTHVRTVTVNPQRSASVFCT >RHN80258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36590173:36592058:1 gene:gene4161 transcript:rna4161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MFFLFGQERMGRTFVCSLVLLCFLIPLTVADWNILNQKTHNGLKISLKNYCESWRMNVELHNIRDFQVVPEECTEYITKYVKSTQYKVDSERTTEECLVYLSTSCNLKKDGKDAWIFDIDDTLLSTIPFYKNNLGKKINVTALEEWMSKGKAPALDYSLRFFNEIKSRGIQIILISGRREHLRSATIDNLVNVGYHGWTGLILRDPTNELVSVAEYKSQVRKYLTSKGYRIWGVLGDQFSSIAGNTGGIRAFKLPNPMYYVA >RHN39285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5577000:5577206:1 gene:gene45295 transcript:rna45295 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta' MSSREIPIEVHYESFGTYYEIYGHYLVIRSIKKEIRCIYIRTTVGHISFYREIEEAIQGFSRAYSYGI >RHN59645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12583313:12584290:1 gene:gene21715 transcript:rna21715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome f MNDWTMQTRNAFSWIKEEITRSISVLLMIYIITRAPISNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEAVVRIPYDMQVKQVLANGKKGALNVGAVLILPEGFELAPPDRISPEIKEKIGNLSFQSYRPTKKNILVVGPVPGKKYSEITFPILSPDPATKRDVHFLKYPIYVGGNRGRGQIYPDGSKSNNNVYNATATGIVNKIIRKEKGGYEITIVDASDGREVIDIIPPGPELLVSEGESMKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFLASIILAQIFLVLKKKQFEKVQLSEMNF >RHN77293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5811409:5815303:1 gene:gene735 transcript:rna735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MAGSSDDDIHQTHPSLVPPDSQDFSNIFNQLMDPSSSSSFNFSDPYPPNPSTISYPPPHFTSSSSAQNDEGSELPSSKAAPPPRSSSKRSRAAEFHNLSEKRRRSKINEKLKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLMVRNGYSLHPMSLSGGSRPPTMFPQTELFNLDEGNSGFHNSNNAITPPTNNEYFARQAFSFPEQCSISNQSVILPSSTNIASFDTSSSFQTSIKDNFCNNMPQLILDTTRIGKTPSSDVS >RHN44967.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10342133:10345914:1 gene:gene39156 transcript:rna39156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ClpA/B family, P-loop containing nucleoside triphosphate hydrolase MDPRKFTNKTNESFAVARKLAISSGHAQLTPIHLASTLISDLNGIFFQAISNVGGDESARSVERVLKQALKKYPTQQPPPQHVPESSSIIKVIERAQEAQKSRGDTHLAIDRLILGILEDSQIADLLTESGVAASRVKLEVENLRSKEGTKVESAYSDSKFEALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVIIGEPGVGKTAVVEGLAKRIVRGDVPSNLADVRLFALDMGALVAGTQYRGQFEQRLKAVLKEVEDAEGKVIVFIDEIHLVLGAGQCQGSMDAANLLKPMLARGQLRCIGATTLDEYRKYVEKDAAFERRFQQVHVSEPSVPDTISILRGLKEKYEGHHGVRIQDRAIVVAAQMSSRYITGRRLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRMQLEVELHALEKEEDKASKARLVDVRKELDNLRDKLQPLKMKYIKEKERIDEIRSLKQKREELLFALQEAERRYDLARAADLRYGAIEEVENAIKNLEGSTDGNKGENLMLTETVGPDQIAEVVSRWTGIPVTRLGQNDKERLVGLGDRLHTRVVGQDQAVKAVAGAVVRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAQQLFDNENQMVRLDMSEYMEKYSVSRLIGAPPGYVGHDEGGQLTEAVRRRPYSLVLFDEVEKAHTSVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGADHLISGLSGNCTMQVARDRVMLEVKKHFRPELLNRLDEVVVFDPLSHEQLRKVARLQMKDVASRLAERGIALAVTDPALDYILAESYDPVYGARPIWWLEKNVVTELSMMLIREEIDENTTVCIDAGPKGSDLVYRVEKNGGIVNAETGVKSDILIQIPNGPKIVGENGYEVIGDDEMEE >RHN40050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12763439:12764786:-1 gene:gene46142 transcript:rna46142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSTAPIIGDNDVNPVIFREYIGVKSYPDSLNNFPADIIGRHIPEFHFILGFAHETYVDGKGTGIFNASWKIPFFGPDNVDDIKTNHGNVKVVISIGGRDTKYPFHPAHKLEWCDNAVESLKKIFQLYNRTNSCYNLIDGIDINYEYIHPDVSEEDFSYCIGDVIKRLKKDVGIDVVSIAPSHETQKHYKTLYLARTNDINWVNYQFYIDTLKSKDEFVNLFLNLSDEYGSKKLLAGASTDPADAGKGKLSREDFLEGCVDLHSTQSLRGIFIWNANDSASNPNGKPFSLEKKAQEILNN >RHN50792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10539527:10542070:1 gene:gene35121 transcript:rna35121 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDEWESISNDGYIDFNEDGEKKSALDMEYLYPSPPRKQNQLVPLQIPLDLKIGNTSNDVLLKDITKNQSLEDDQEENVSQVFFKIKENEIGDMKIESPKSSSSKEFITSPRMIIEKDDFGDKEEDSSWEEENNSGFNLWKWSLSGVGAICTFGVVAASICVLFFGSQQRKKLQKDQKNRFQIYADDKRIKQVVQHATKLNEAISVVRGVPITRAHITVGGNYDFFD >RHN52098.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31456886:31457356:1 gene:gene36718 transcript:rna36718 gene_biotype:protein_coding transcript_biotype:protein_coding MILMTFKISVCVLAYSNDLVVGHTINDHDSIEIVICNPVTKSWSSLPTPESFQRNHSFADINLMLDCYLDDYKVFLLENSGVWSPTSYTCSVYHNKEGVWTTMKNSFSNMKFDMPVFHKGCLHFISNCASDFAKSSPFYKPYVMSYNLENENLNYA >RHN55168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15730525:15731771:-1 gene:gene30305 transcript:rna30305 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQQSHKSKYNGQRDKEARTRPRKFKAPTTIIRVCIGFCRAGIEFLDC >RHN44951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10231269:10231478:-1 gene:gene39138 transcript:rna39138 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAPIVIGLILFVLFSPGLLFQLPGKGRVVEFVNFQTSAISIFVHSLLFFGFMVIFLVAINVHINSG >RHN70071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48458608:48460794:-1 gene:gene18614 transcript:rna18614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MDRRRESWEEEGKEDENLFPVFSERSQQDMSAIVSALTQVMGGNNNNEMHEASSIHNIEQSQPPQQDQGNVRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFETAEAAAVAYDEAALRFKGSKAKLNFPERVQSATEFGYNQQFHHLVSTTSNEQQSNPVPLQHFSRETYSNPYQYAAQAHLQASGSSNFNQDMLSFYGRDMFVSNSQPLSTTSPSSSSSSGLSQQQQELLRLSMQYGGSSSSSDPSMNWRDDMDRRQ >RHN45369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:18124418:18129179:1 gene:gene39660 transcript:rna39660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kelch-type beta propeller MATTTTRFHQKHTSSTKIIIIFFLSLSTFIAIVFIANTIFHSYSSTSLSIASNWIVDNPNTTTITPPQPPLEVKRFLSATFADLPAPQWNWEQMPSAPVPRLDGYAIQILNLFYVFSGYANLDHVHSHVDVYDFTSNKWVERFDTPKEMANSHLGIATDGKRYIYIVSGQYGPQCRGPTAIVFVLDTKTTTWNNLPPLPFPRYAPATQLWRGRLHVMGGSKENRHTPGLDHWSLAVKEGKALEKQWRTEIPIPRGGPHRACIVVNDRLYVIGGQEGDFMPKPGSPIFKCSRRLEVVYGDVYMLDEEMKWKVLPPMPKPNSHIECAWVIVNNSIIITGGTTEKHPVTKRMMLVGEVFQFNLDTMKWSVIGKLPYRVKTTLAGFWDGWLYFTSGQRDRGPDNPQPRKVVGETWRTNLSLS >RHN44122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1845415:1846192:1 gene:gene38183 transcript:rna38183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAGIFNLVCAMVLFLSLFIVLTNVHGKCNTDDNCPDYMCSGPKVGKCIYNICYCINR >RHN65095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64140197:64141768:1 gene:gene27992 transcript:rna27992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MKFHYLSLFLLVIILELSTNVYGLMPKRSLKPLLPPPEALLSIGHYHSTCPDAEGIISQKVFAWVKKDPTLAPSIIRLHFHDCAVRGCDGSILLNHVGSERTAFASKTLRGFQLIDEIKAELERRCPRTVSCADILTAATRDATILAGGPFWEVPFGRKDGKISIAKEANLVPQGHENITGLIGFFQERGLDMLDLVTLSGSHTIGRSTCYSVMNRIYNFNGTGKPDPSLNIYYLKMLRKRCKKDLDLVHLDVITPRTFDTTYYTNLKRKAGLLSTDQLLFSDKRTSPFVDLFATQPFVFTSQFAVSMVKLGNVQVLTRPNEGEIRVNCNYVNRV >RHN42359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37695124:37696464:-1 gene:gene48792 transcript:rna48792 gene_biotype:protein_coding transcript_biotype:protein_coding MFHCLLGDYIATGCLQKTIYYVDESYTWMTPLVWEVAEHLLFSCDIFGNVWSAVLKWLGLSFVAPVGCRDHYIQFRHLAGLLHSSHSFLQIIRLAYVWTIWKERNNRIFNQNSTGTNIIPAG >RHN78735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17952994:17959240:-1 gene:gene2382 transcript:rna2382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylglycerophosphatase MLSTSAAVQLPSCSYHIPSHHHAQQKRKLTTLSLANADSQVPLRNFYGPSLPHTQSCNKGLESNYRSRYNSNSNQNNKHLFLIKYFSLADSEDTSNQNPETRNHSQRQPDRQREFKEHKKDSALFTKMWWADLKAAFGQRINFEGILCSTMVILKDPKLSLPHISVPDIRYIDWAALRRKGFKGIVFDKDNTITVPYSLTLWPPLESSLESCKSEFGQDIAVFSNSAGLREYDHDGSKARNLESTIGIKVIRHRVKKPGGTAEEIEKHFGCEASELIMVGDRPFTDIVYGNRNGFLTIWTEPLSLAEEPFIVKQVRKLETTFVKYWSRKGLKPLDQKLLPDPRHCVKEPPHP >RHN41360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29459213:29460708:1 gene:gene47675 transcript:rna47675 gene_biotype:protein_coding transcript_biotype:protein_coding MELFHPSHLLHPFIFLLLPLWFENHKRHVLLIPLSSAPTTSPPLPAASPNKNSDKALFYGIDNHLRNATSPPPFSGIDASIVVSVRPASEGLSSAFTATENGSTWIAIGGQLPSYDWNLIHSVSVRSHLDEINSICRVWPESAAAGTESVSVAVKELFWF >RHN52281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33442150:33444285:1 gene:gene36938 transcript:rna36938 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRIDDRKVKSLRGKEIPLVRVVWGGATGESLTWELESKIRESYPELFA >RHN76637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51897317:51900915:1 gene:gene12992 transcript:rna12992 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGQEQKIRPEPQIEIQERGEIFFFYRSKVNKEEAHSADDVQRLYIIMRPESGERAVEVKQRKDGGSQEVNIEEQPLFRFTVMGRKSLPDPNEKSRPYWGFVEMVTTNADHVKNALKGGEYETKSRGKRHTSDARALGEGIYRILRHKAESGKRSHTHLIYKLEFPGEDEKNEPQEAINIEREGSFIIQIKNPEEKVGAGGGGLQKKRKAMFPAHLQGQLGHVRFAPADPPDFLNYEGCEFLLISASDHIEDELGLELLTEEGEHDASCSDLLDTFKFEDTATPSTVPLLKGIWT >RHN62624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44765305:44766174:-1 gene:gene25219 transcript:rna25219 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSEAECRRTPVVPGIHQKPFFALRSTPALSVDASSVLSDRMFPAAASCKHADPLHRKCSSLSQEKLRRKIVYPASNLQDDELLLAN >RHN76505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50829927:50833715:-1 gene:gene12844 transcript:rna12844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSKSILSLIKPHHHPKPSSSSSLPPHIKTLIHDVIQILKTNQSHHSLQSRFAESQIIVSNVAHFVIDRIHNPQHGLYFFHWASTLPFSSPLNNVAYSSLLKLMVKYRLFSEIEIVLEDMKNRNFKPTLEALNSVICVYAEYGFVDKAVKMFYMVCELYNCFPCVVANNSLLNCLVKNGKVDVACELYDKMLERGGDHGLDLVVDNYSIVIVVKGLCDVGKVEEGRKLIDDRWGNGCVPNVVFYNVIIDGYCKKGDLKRATRVFEELKLKGFLPTLETYGALIDGFCKAGKFQVVDQLLNEMNVMGLNVNVKVFNSIIDAKYKYGLVDKAAEMMRMMTEMGCEPDITTYNILINFSCSGGRIKEAEEFLERAKERTLLPNKFSYTPLMHAYCKQGDYVMASDMLFKIAETGDKPDLVSYGAFIHGSVAGGEIDVALMVREKMMEKGVFPDAQIYNVLMSGLCKKGRFPAAKLLLSEMLDLNLQPDAYMYATLVDGFIRNNELDKATELFEVVMSKGIDPGVVGYNVMIKGLCKCGKMTDAVSYVNKMKIANHAPDEYTHSTVIDGYVKQHDLDSALKMFGQMMKQKYKPNVVAYTSLINGFCKIADMSRAEKVFRAMQSFNLEPNVVTYTILIGGFSKTGKPEKAASFFELMLMNNCLPNDTTFHYLINGLTNITNTTLLIEKNEENDRSLILDFFATMISEGWSQVIATYNSIIVCLCKHGMVDTAQLLQTKMLRKGFLMDSVCFSALLHGLCQTGKSKEWRNIISGDLTKIDFQTAFEYSLKLDKYLYDGKPSEASYILLNLIEDSKLSDQQGEVQRVTST >RHN44561.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6223203:6225771:-1 gene:gene38696 transcript:rna38696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MRNQWRILHLLLRTHHKIPIQSHTLRSFSSTPLRFNTNYSKFLINPNFRHFSSEPVIPQPNPDHIVIVDLFSKSIDLNEVNKHLDLINHDAVNAVLRKFDSDLDSARRLFKWVSENCPEKLSSKSYNQMLGVLGINGAVDEFWDLVVVMRKKGFGVAKWVKDRMLEYFEKGGMNGDAVKLKELFDKDTVERKFTSLCRIVRKNVWSDEVEKEIRDLNVGFSSESVNFVLESLSSEPNKALIFFRWVEESGLFKHDGGSYNAVARVLGREDSIDRFWKVVGDMRSGGFEMEEETFVKVLGRFCKRGMIKEGVELYEFAMDGVDKPSVSCFTFLLRKVVSVKELDMNLFSRVLKVFTGNGSALTDSMADAVLKSLTSVGRIGEWNKVLKEMEDCGFIASGCLRRKIAFRLGATGNKEQANEFVDRIEAYGSSTDRKMRESLVEGHCVGGNLDKAFDSFKEMVEKEGVASAGYTFDVLMNSYCQMNRAKDAYKILCKWVSEKELKPRHSTYKLMVNKLLAQGGFTDALNLLGLMRTQGFPPFTEPFIEHISKSGSGDDAVLLLKAMTSKKFPSTSVYHVMFSAFFKQGRHVEAQNFLSKCPSFIRDDAEVLDLFYSMNSKEAAASSGKVAA >RHN77860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10005907:10012380:1 gene:gene1361 transcript:rna1361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronosyltransferase MRGPTPNTVEPRHRLSTSSFSEDTTKRRSQKNKDFKDVEKASHIPFQDRSINCKPNWKIILVIIVLGTLLTIFHPPAVYNTDHISNSISRPTFVNDRNGELDGTDPRYASFLNIDWNQITNVLENLKDKDTYQGVGLLNFNDSEIDHWKQLVPEAEHVILRLNYASSNITWEDLYPEWIDEEEEYEFPTCPTLPRIQAPGKPRLDLIAVKLPCDKSGRWSRDVARLHLQIEAARLAATSKGFHPVRVFFVTDCFPIPNLFTCKDVIQREGNVWLYEPDLHKLREKLQLPIGSCELSVPLKAKENFHSERAHREAYATILHSAHIYVCGAITAAQSIRMSGSTRDLVILVDETISDYHRSGLAAAGWKIHTIQRIRNPKAEPEAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFEMPEISAIGNNATLFNSGVMVVEPSNCTFQLLMDHINEIVSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEDQKKAMKTRLFGADPPILYVIHYLGTKPWLCFRDYDCNWNVDILQEFASNVAHARWWKVHDAMPENLQKFCLLRSKQKAALEWDRRQAKKGNYSNGHWKIKIKDPRLKTCFEDFCFWESMLWHWGDKNWTDNSTVNNLPPAAVKTKSLSSL >RHN47751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42264475:42270081:1 gene:gene42388 transcript:rna42388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde oxygenase (deformylating) MNKIHLQILAYIYIYKELSHMLRYHHSQIHNMASKPGILTNWPWEPLGSFKFVIVIPWIAHSIYTFIWGERDPVYYIIFPFALVRMLHNQIWTSVSRYQTAKGKNRIVDKGLEFEQVDRETHWDDQMLFTVLVYCIAYFIFPMASNLPWWRIDGVILTAILHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVAHPFAEHLSYFTLFAIPMLTTLFINKSSVAALYGYIFYIDFMNNMGHCNFEFFPKKLLSYFPILKYLSYTPSFHSLHHTKFRSNYSLFMPIYDYIYGTVDKSTDATYEASLMRPKESPDVVHLTHLTTLSSIYQLRLGFTSLASNPQTSKWYLYLMWPFTMCYMLMTWISRRAFVLESNTFNDLKLQCWLLPRFKTQYFSKGQKLTWNNLIEETIIEAELNGAKVISLGLLNQKHQLNAHCELYIRRFPQLKIKVVDGSSLAAATVLNNIPKGTNQVLLRGKFNKVAFAIANALCKKNVQVVVLYKDELKELEQRVVTKGNLALSQVNIPKIWLVGDEWDEDEQLKAPEGSLFIPFSHFPPKKMRKCCFYHFTPAMITPATFMNSHSCENWLPRRVMSAWRIAGIIHALEGWNVHECGDTILSTEKVWEASIRHGFQPLKILTSQG >RHN51919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28405527:28405808:-1 gene:gene36490 transcript:rna36490 gene_biotype:protein_coding transcript_biotype:protein_coding MTHCYSAEAESASLNAPVIREHESAPLNGAVIREAESAKSGRSANQPCNCGEIWEKKKEKWKMKVLAEKKKVEWLKWIIIATWLIFAVFFVKK >RHN71030.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55928703:55933747:-1 gene:gene19671 transcript:rna19671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MESTNLHHLQDQPPPPPPVSSLSSTPSYTVGGTTTTHSWTPNITLNNAGNINSNNLQEANTRSRSSLTHKNNYMNHDLGYHHSHQWTSDVAAEESCLNSKQFNHNNNLDSEFSKNSTIKEEISFANFPKFTEMLNYTAPNSSMECYRILDPSTTNSTEMKNNNIDEHKDMNALMLKTLFTGGEFYNAENYPNFGDTSIPTPSRGNNNISSQIHPSIDISNLNHYSSSSATTSLDMNMQSLDLLTNSSTSFSANLQDHHHSRFTTHDHDNLSFHLHPMQHHHPTNMSSSTNSIHKPSLFSNGAAETKRGCTLMESKVSQSQTALKKSRSSSESRPSCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQGQVETLSVPYMKSSQNQNNRVIQGGSAKGDTKGEPKQDLRSRGLCLVPLSCMSYIAGDGSTEVWQQRPNFGGPA >RHN45585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23279365:23283646:-1 gene:gene39960 transcript:rna39960 gene_biotype:protein_coding transcript_biotype:protein_coding MPTELTPYTLANEEGGGVGAAAGLALVRLSSEARGSGRSTMFQRSTGAGGFNSAATVLRRSLGLAVRQKTHGLDHYMGFLQIVKRAGKSAAMPPTLAVSR >RHN63308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50273617:50275510:-1 gene:gene25994 transcript:rna25994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MKLVWSPETASKAYIDTVQSCKVLRGSGMAELISAMAAGWNAKMIVETWSEGGVIETSLGLSIARKHTNGRHVCIVPNEASKLEYSKRMGEQGTSTEIIVGEAEEVMKDFIEEIDFMVVDCEGIKDLMKVLKVAKLSVKGAVLICKNVNFRSGNFKWENIVVEEGGSRSRRVVRSVFLPVGKGLDIAHVSAVGGNLGKDGHGRGGSKRWIKHVDQRSGEVHVIRR >RHN42035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34975909:34980121:1 gene:gene48423 transcript:rna48423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acting on peptide bonds (peptidase) MALPNKSRNSRRSSSTTSYTSTITTLVFITLCVLGVWMLTSNSVVSPKTRSAVDNSASTTNDFSSNDDRIDQTNKNNDDNNNNNSDDSTSESTTNNNNNSDESTSESTTNNNNNNSDESTSETTNNNDNNNNNNNNNNNNNNNSDDSTLETTNNEKNSDGSTSETNTKSKDQKDTATVYGDNPGNLPDDAIKSDVANNNNNDQPKQDHKNSFSQKQKQKNSNDDQKNIVSEKDEESTQVSEGNNNKDAVEGENQNAKGDQQQDGQRFDNNDQGSKNNGDGVNEQLKDDKGENKIMEIEQKKMSKEEPKEPEKESQEEVVEKPKPEKKKRRKSSKKESKKQWSTQADESRGEKERQNINESGSSTNSVVDQEVQDLKWSICNVTAGADYIPCLDNEKYLKTSHRKHYEHRERHCPEDAPTCLVSLPQGYKTHVPWPGSRDKIWYHNVPHVKLAEVKGHQNWVKLMGEFLTFPGGGTQFIHGALHYIDFLQKAQPDIAWGKHTRVILDVGCGVGSFGGYLFERDVIAMSFAPKDEHEAQVQFALERGIPAISAVMGTQRLQFPSRVFDLIHCARCRVPWHEEGGKLLLELNRVLRPGGFFVWSATPVYQTLEEDVEIWKQMTALTKSMCWDLVTIKNDTLNQVGAAFFRKTTSNECYEQRDQSQPPMCKDDDDPNNAWYVPLQACMHKLAADNTERGAKWPDAWPQRLQKAPYWLNDAQGEKPSSKDFAADGKRWKYNVVDELSNMGVGWSDVRNVMDMRATYGGFAAALKDLPLWVFNVVNVDAGDTLPIIYERGLIGIYHDWCESFSTYPRTYDLLHADHLFSNLKTRCQLVPVTAEVDRILRPGGHLVVRDEASVIDEVEKLVKSLNWEITSKTSKNQEGSLCAKKSHWRPNS >RHN62667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45078202:45082195:1 gene:gene25264 transcript:rna25264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP dissociation inhibitor, FAD/NAD(P)-binding domain-containing protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDQNDYYGGASTSLNLTQLFKRYRGDDKPPEELGSSREYNVDMIPKFMMANGALVRVLIHTDVTKYLNFKAVDGSFVYNKGKIYKVPATDVEALKSPLMGLFEKRRARKFFIYVQDYEANDPKSHEGLDLNQVTARQLISKYGLEDDTVDFIGHALALHLDDSYLDKPAKDFVDRVKTYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDGDGKAIGVTSDGETAKCKKVVCDPSYLPDKVQNVGKVARAICIMSHPIPDTNDSHSAQVILPQKQLGRKSDMYLFCCSYAHNVAPKGKYIAFVTTEAETDQPQVELKPGIDLLGPVDEIFYDIYDRFEPTNDHATDGCFISTSYDPTTHFETTVKDVVQMYSKITGKVLDLSVDLSAASAAAEE >RHN52770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38628995:38630182:1 gene:gene37475 transcript:rna37475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MVALLMCITICYFRGKSLIQQVTSWFRTKNDKNIEAFLKDHGALLQKRYKYSEIKNMTNSLKDKLGQGGFGVVYKGKLFNGCLVAVKILNVSKGNGEEFINEVASISRTSHVNVVTLLGFCFEGNKKALVYEFMSNGSLDKFIYNKELETIASLSWDKLYKIAKGIARGLEYLHGGCTTRILHFDIKPHNILLDDNLCPKISDFGLAKLCLRKESIVSMSDQRGTMGYVAPEVWNRHFGGVSHKSDVYSYGMILLEMVGGRKNINADASRTSEIYFPHWVYKRLELASDFRPDGIMDTEEDKIARRMTIVGLWCIQTFPNDRPTMSKVIEMLDVSMNSLEMPPKPLLSSPTRSVSESSTS >RHN82420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53599027:53601103:-1 gene:gene6584 transcript:rna6584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MPTTTTTPSFLSPPHSQRHYHKPIQFHHYSHTLFTPSSSSATHTRRRPHCPRMEISPSRHRRKDKVLIIVGATGSGKSRLSVELATLFPYSEIINSDKIQVYRGLDITTNKIPFHQRNNVPHHLLGDIDPSHGEFSPSDFRRHAGDIISDITSRRKLPIIVGGSNSFIHALLVERFDPESNVFDESSSLSTSISSDLRYKCCFLWMDISFPVLSEYLLKRVDDMFDSGMVNELAEFYEPDADNQTGLRKAIGVPEFDRFFKQYPPQVGPDESERHNPMREGAYIEAVKAIKDNTCQLAKRQIGKILRLKRAGWDLQRIDATEAFRAVLTSESNGGGEEFTGVWKKQVLEPSVKIVKRFLME >RHN82807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56517302:56517773:1 gene:gene6995 transcript:rna6995 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRWGLNSPTNTAALHLSHGASIFICRDRWPAKLPLTMGKVTFIPKFATFSCIELVAQPGLSNWWRRTVWEGLWNIWISTNWWNSRTATNIDHLIDSFKAPRNIMQEMASLAKWTSRGAA >RHN39400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6812814:6813811:-1 gene:gene45421 transcript:rna45421 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVRDAVLVTDGDSDIGQALVKDKRVALEAFGSYVESMTGDTSDNRFLMKALKRSSHNYMPKRMYNLPCLSIDMFLCS >RHN52993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40914537:40914857:-1 gene:gene37736 transcript:rna37736 gene_biotype:protein_coding transcript_biotype:protein_coding MENEHGITLPVLKSEIGFLHEVKTVFHGKREKYEEFIKDIHAFKTWMDNNHRITRLPVKKFKERMNKVLKRHNHLILGFNNYMKHYRITLSIPINDDEQQGGHRTG >RHN64621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60490486:60498576:-1 gene:gene27452 transcript:rna27452 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CK1-CK1 family MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFIHSKSFLHRDIKPDNFLMGLGRRANQVYAIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLATPPARGIVPGAGTSSALPPAVTNADRHTGAEGQPPGLVSVDSSRRRMSGPILNTLSSSNVLGQSSGSSRRVAVSSSRDAFVGAESDVRTRTAEASPGAAAHRILSGQRSSLIGSSDPQRVARAGRNASQGNNYESALRGMDGLQLENDERTHY >RHN69181.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41564863:41571172:-1 gene:gene17615 transcript:rna17615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-fatty-acid--[acyl-carrier-protein] ligase MAGIPITSSNYNYASSIHSLPLFFARTNFTTTINISPTSSFRVFCHTQSKTKKTEIRRFSPLLESSGNGGVALDEWKAVPDIWRTSAEKYGDKVALVDQYHDPPSTMTYKQLKDAILNFAEGLRVIGVKPDEKIALFADNSCRWLVADQGMMAIGAINVVRGSRSSIEELLQIYNHSESVALAVDNPEMYNQIAKPFYLKTSIRFIILLWGEKSSLVNEADKGVPIYTFMEVINFGRQSRRALHTSDDAREHYIFETIKLDDIATLVYTSGTTGNPKGVMLTHQNLLHQIKHLSDVVPTTEAGDKFLSMLPPWHAYERACEYLVFSRGLEHIYTTVRNLKDDLGRYKPHLMVSVPLVFETLYSGIMKQISTSSLVRKLVALTFIRVSLGYMECKRIYEGKCLTKNQKAPSYLYAMLDWLWARIMATILYPVHMLANKLVYSKIRSTIGISTAAISGGGSLPSHVDKFFEAIGLNLQNGYGLTETSPVIAARRLGCDVIGSVGYPLKHTEFKVVDSETGEVLPPGSKGILKVRGPQLMKGYYKNPLATNRVIDSDGWLNTGDIGWIAAHHSTGRSRNSGGVIVVEGRAKDTIVLSSGENVEPGELEEAATRSNLIQQIVVIGQDKRRLGAIIVPNKEEVLKAAKELSIIDSNSSDVSQEKVTSLIYNELRTWTSGFPFQIGPILLVNDPFTIDNGLMTPTMKVRRDRVVAQYRDQIENLYK >RHN44796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8555451:8557467:-1 gene:gene38960 transcript:rna38960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MVFPSLPIYLDPPNWSQQQAGIGIGDQNPSALQPLSASMMSVTVEADGSYQGSRRPVSMTDRAKMSKIHQNDAAAAQKCPRCESTNTKFCYYNNYNLSQPRHFCKTCRRYWTKGGALRNVPVGGGCRRNNKRRKGNSVSKSPLKPNHNDHLQIGTGAAAGGSSSASANSSSNNGCTNSNVNIGMPNFPTQFPFVTSLHRHNDNSYASEGIGSLLAKNMSNSTTTNVEFQLGSGSSSIGNGNGGSLLISNGIGEQWRFPNSLQIHQQQQYQQFPFLSNLQPQIGLFQFGGENNGEPQRNLFRSKETYSSSVSIGMMPQVNTVKVEENNHQGLSLPKNLLSGSGNSNDLFWNGNGNENAWNEVPSFTPPPCDPQI >RHN64657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60783926:60790599:-1 gene:gene27492 transcript:rna27492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [histone H3]-lysine-36 demethylase MKKRDAVVVSDGVKKKKRKRSCSSSQSQLSNHPNTLFALLLASLSNSNSNSNTNQSFIKKCLFQFHPSLLLSNSSSSSSVTPILALLPTLLSSTHSPIARRAADITGAASLVSLEINEEIATDSETIKGLISLLENPDRKVLSSACNAILDLSTTAFAQQQLLNFSALHKLMSVFLQVFKRVESVCLLSEGNQSFHALKIGIREDELSVALLSAIIVLINVCNVEQLHNIPESVSEAFLSLLKEIRANATHHLVIRGDVKSNVEGRFCKSNVGVCDLADSIFRLSINASQLSVSLPFEVVQRGLFGTSGSSFEDFLSNHWEVSPFLLSRTSEDHNVNDMFSPFIQSLNWNGSVPSLLHSILQDLVSCFPIASEEQNILNFLIEVKDRLGCPIIYQQDIRVVKADSQSRKETHYFHPECHKEPLYFTNEDVLKCEQAYKEGYTVALRGLEFRYQRIASIADTLALMFGQPSVGANLYLTPANSQGLARHFDDHCVFVCQIFGSKKWTVFSRPGQLLPRLYDSLCGSDVDCTKAVRREFFLKEGDVLYIPRGFPHEAYTNYGVDDGSPGFSLHLTLSIEVEPAFEWEGVAHFALHCWNENQRRPCYGCINSLSQKLDLVSVNLLHVAIGIICTSNPTFRKACLTAAVSLPPDVYHRLIQKQRTTFLHLVDRICNECRFSEVLSNIEVAIQKNKDPFEHIRWLQVLRMEKEARSGFNTEKSFNIEDLCSLCTKHKDKLEAAFVV >RHN52798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38997350:39004974:1 gene:gene37511 transcript:rna37511 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-binding, CRM domain-containing protein MAFSNNNLKLSSELQFNNSSSSSLPFSLITLSRSSFTLSHNHFLSHPKKPSFPSLTTFSSLKTTHQSSPNPTPPWLTKNPSSPKRVTESPIKDDPFQPQPQKPKNPVERIVFRLRNLGLVEEEDEQEEKVKEEVVKLSALKVTGDEKLSELLKIKWVRPDVLLDEEDEDEKMVVPWKREEEREMRSIDSGGGIKEEGFKKRTLKAPSLAELTLEDELLRRLRREGMHLRERVSVPKAGLTQEVMEKIHESWRKKELVRLKFHEELAKNMRIAHQIVERRTGGLVTWRAGSVMIVYRGKNYQGPASPELDVKEGDGFFVPDVSSGSLSKTKDSNATSSLENSEQVGRNVELPEKMTEEEAEYNALLDDLGPRFVGWWGTGIPPVDADLLPREVPGYKTPYRLLPTGMRSRLTGAEMTDLRKIAKSLPCHFALGRNRNHQGLACAILKLWERSLIAKIAVKPGIQNTNNKLMADELSTLTGGTLLLRNRFYIVIYRGKDFVPTGVAAVLAERQELTKQVQDVEEKVRCKAVVATPSVQGEATAPAGSLAEFYEAQARWGRDVSSEEHERMIKEATKAKNVKLVKQIEHKISLAANKLHRAERLLAKIESSMVPVGPDYDQETITDEERVVFRQIGLRMKAYLQLGIRGVFDGVIENMHLHWKHRELVKLVTKQKNRAFVEDTARLLEYESGGILVAIEKVSKEFAIIYYRGKNYKRPLTLRPRNLLTKAKALKRSVAMLRHEALSNHITELETTIEQMKQELGLSDDELSMKEGHENQLDYNSEFSQSEDDEDSDGFDDEEDTPIGMTRRTEFSELDVDEHP >RHN43428.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45790641:45791566:-1 gene:gene50002 transcript:rna50002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MKLISTLLFFLLLISLTYSSATPTRSRKRDRVHEPCKRLVFYFHDIIYNGHNSKNATSAIVGTPAWGNRTILANQNHFGDLVVFDDPITLDNNLHSRPIGRAQGFYIYDKKEIFTAWLGFSFVFNSTQHKGSINFAGADPLMNKTRDISVIGGTGDFFMTRGVATLSTDSFEGEVYFRLRAEINLYECW >RHN51617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21086960:21092266:-1 gene:gene36093 transcript:rna36093 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVATTLLEESVFFPSTLMYEMQASYCVWDNILMKCKII >RHN71563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1045683:1046885:1 gene:gene7176 transcript:rna7176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNVWVKTMAMVLPKLRKVNLSGYYNIDDSSLLHLCKNCEFLEEVMMLKCPFLTHDDVASAIRERPTLKSLFVRWRTNGRHDNIGANFIGSLVSLNGLTCLNLSSLRISDELLFSIAMGGLPLRRLVLQNCTSYNYVGIYSLLSKCRIQHLDLQNATFMNDHDVAELSLFLGDLVSINLSECSMLTDSAMFALVRNCPSLIEVKMEHTSLGEKSVDNSNSSMDCVLNHQLKSLHLTCNFQLLNENIILFASIFPNLQFLDLSSCHNISEEGICEVLRRCCKVRHLNLAYYSRVKLLRINFKVPELEVFNLSHTCVDDETLYMISKNCCGLLQLFLENCDEVTENGVKHVVENCTQLREVDLGGCDNVNANVTASVVFSSTSLRKVNAPPHFRISDREMKLL >RHN65005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63595751:63596026:1 gene:gene27895 transcript:rna27895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MRLRQLSFDAPSLLTLLSLDLLLEILYRLPVKSLMILKCVCKPLNALISDPIFAKDHIRFSHIRITTSSCVHGFHFKTGVGFLFEEGVFSL >RHN60448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26880215:26884697:-1 gene:gene22760 transcript:rna22760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartate--tRNA ligase MSIRRYPSLGKTKIPLPYYKKLKPKTKKAITFKKPKPLQWISRTHHCGELSSNDVGKTVRLCGWVALHRRHGEVAFLILRDHTGIIQVTTHLNEFPVAHSANNNLRREYVVTIQGVVRSCPTQSINNKMKTGFIEVAANEVQELNSRNANVPIFSKELNSDDAEDSLKEEIRLRYRCLDLRKQQMNSNILLRHNVVKLIRRYLEDIHGFAEIETPILSRSTLKGAREFLVPSRIQRGTFYALPQSPQIYNQMLMVAGFDKYYQVARCFRDEDLRAVHDRQPEFTQLDMEMAFTPLEDILSLNEELIRKVFLEIKGVELPNPFPRLTYAEAMNRYGSDHPDTRFDLELKNVSDIFSRSSFKIFSDSLACGGVIKVLCVPYDAKKCYYISGIYNEAYKSGAKGLLVLKIKKNGDIEGFSDLVSSMDHATTEKLLRRCFARQSDLILFQAGHHASVNKTLGHLRVYVGHELGLIDHARHSILWITDFPMFEWNDSKKRLEAFHHPFTAPNPEDMNNLASARALAYDMVYNEVKAEAKYGYLLEALDMGAPPHGIAFGLDRLVMMLAGANSIRDVIAFPKTTTAQCALTRSPSEVDPQQLRDLSITA >RHN57929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42620560:42622189:-1 gene:gene33520 transcript:rna33520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGWLLILCLQFFLCTHVRSSSSHFLCRLDDSSSLLQFKASFNIDTTDTNCGKLAYAEVSTWQNVSGHVIGLDLSCNGLQGMIHPNSTLFHLSHLQTLNLAHNRLFPTQLSSQFGAFVNLTHLDLSDTKIQGEVSSYISHLSKLVSLDLSMNDDLKWIQEVTLKRLLQNATSLTELVFDHTNMSFIAPSSFFSFLNLSSLVAISLKGIGLSGNMMSNENTLCLPKLQELYMSANFDLRGQLPKLSCSISLTVLDISQCQFQGSILQFFSNLTQLTFLSLSGNNVGGELPPSWLTSLKQLTLMDFSGNKLIGRIPDVFGGLTKLKTLNFKNNCLEGQIPSSLFHLTSLSYLDCSSNKLEGYLPNKITVLSNLTALWLNNNTLKGTIPSWSLSLPYLVDLDLSNNQFTGHISTAISSHSLEYMFLCNNMLQGNIPESLFNLVNLTNLCLSSNNLSGFVNFKLFSKFQNLESLSLSQNSQLSVNFESDSELFNYSFPSLRVLELSSLSLTELPKSFGEIFPSLVYVDLSKS >RHN61439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35503957:35504229:1 gene:gene23908 transcript:rna23908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MQAQKMDFRLNFSRRASLRSTANQASPKSSEVPKGYLAVYVGDKQKRFVIPISHLNQPSFLELLSQAEEEFGYDHPMGSQFLAVKMSSNI >RHN63554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52164029:52168035:-1 gene:gene26267 transcript:rna26267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor E2F-DP family MAASSSDPPSRHHTYDRKQKSLGLLCTNFLSLYNKDDVRLIGLDDAAAKLGVERRRIYDIVNVLESIGVLARKAKNQYTWKGFAAIPVALQELKEEGLWQNLNSSQEGANEDVKVSDEEDEDELLSQTTGSQGESLSQPTGSQNDNLNPNSAFPRSLKNDRREKSLALLTQNFVKLFVCSNLEMISLDDAARLLLGDAYNSSTMRTKVRRLYDIANVLTSMNLIEKTHTTDTRKPAFRWLGLKGKTLNEASLYNSKQNESRKRAFGNDVTNISFARNRMDLFMGGDFKKQKTMENDSGLCQEDVKQGIKQTSAANYQFGPFAPAFVSKAGSSENKVKQVHDWESLATEHCPQYQNQALKELYSHYMEAWKSWYSEVAGKRSTQVL >RHN73883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21048535:21049467:1 gene:gene9771 transcript:rna9771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSDHSQNIKTVLRPKIFREYVGVKDEPETLDDFPVNIIHDDVNQFHFILGFATEAYKDGKGTGHFIRDWNFDYFSPEKVFEHKKKYKNMKVMITIGGHGPKYPFNPKEKKVWIFNATSSIRHIIQDYENYLVNDNSCHCTSIIDGIDINYEYIDSSVTGADFSNCIGEVIKRLKKDKHVSKSMEYVSIAPTELLQAHYRTLFWDHKMNINYVDYKFYNQTISTENEFDELYNQLVTDYGGELKLLVGVSTDPSDTKMKRQVFIEGVTRLINNKSLPGLFVWSANDSANPPSNDIEPYILEEILLELFTNN >RHN68866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39191454:39192999:-1 gene:gene17280 transcript:rna17280 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMELQQNGFIQSENENRELIIHMENMLQSVELPLVSRCCIYKVPQKIRKLNQEAYTPTIVSIGPFHNGDKRLESMEDLKLRYLKSFFLERTHKGLGDCIGYIKKSEEIIRSCYSEAIEQTSDDFVKIILTDACFIIEYFLRSLEWPQEDPLLSKPWLRCDVKLDLILLENQLPWFVLEDLFNLTEPSCIDGEVSSFFDVAFHYFKVHFLQSILPNETNNKNFTINYFHEHYQQYIMKPDQVSMQLHNLTDLLRVFYLPPDMLPKREKQIVKHLFSASQLVEAGVKLHVGQDYQSVLELKFAKGALTIPRFEVCHWTETLLRNVVAFEQCHYPFQTYITDYTILLDFLIDTSQDVDKLVEKGIMINTLGDSNAVAKMINNLCLNVVQENINGGYISLCRKLNCFYEDPSHKYKAIFIHDYFSTPWKITSFAAAIVLLLLTLIQATCSIISLF >RHN73870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20880019:20882979:1 gene:gene9755 transcript:rna9755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MGKKQKNSTPQKVIDEQPDPDRISCLPGHVIDQILTYLQIRDAVRTSVLSRKWRNKWNTLPNLVFDRNCVAAIDDQLVIERKLLKIVDHVLLLHSGPINMFKFYDEHLIGESFLSDIDRWILHLTRSSIKELVLKFWIAGYYKIPWCLFSCQSLHHLKLHWCCLKPPTTFEGFKRLKSLDLNLVTVAQDAFENLISGCPLLEKLKLIEVDGFTQINIRAPNLKFLEIDGEYEGINFDNTFQLAVVVIDLWWDFNLQSSNQGGSRESSNMLKIFDHLPHIQSLVIESNFLKYLAAGVLPVKLPTPCIYLSYLSLCINFDNLKEISAALCLLRSSPNLRKLEIFSWNEARASPFTPAAYCWEDIFSAPAMPIQVRHVAIDGIFGTNLELDFIKFLLLYSPMLEKMTLKPVESFTPELVRGLIRFKRASGEAEVIWEDSSLHNDYLVIDN >RHN70491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51771771:51773846:-1 gene:gene19073 transcript:rna19073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Nin-like family MNPSMEDEDLMFDCFENSLDPSFFNMSNPTLADLSIDNNNNNVGPTSLSQQTQHINLSINKNNGPHQNLQGVGIVSNFNDVFSSPPSQENYYGHGGNSNNGNDPFNSNVLPQQNHSGNNDPFAYNLESPENDHHIQQVHGGCNDPYMYNLVPPENHHEYIQQGQGGNTDSFSDVMLQQHGNGSTNETFSVPMVQDQYDQNSINFSFGRNSLEVGDSSSRIYENQQQSIPEIQIPILLNQTELRALNQWPPTVTPYFCSCCQVLREIIHTDGMQFEKLEIHGRLALITHAIHHQIPVNGAPSVYQMIDFCGKSLGEIKIFLAQYCVERHLAGYILMQDPMSEFYETLCTGLDWFSDINMDGLIQNNSGLSWKSRQKGKKSTFVFSICIYEVEQEQEQMGDNGTLRNLSEQRKKSAKLKLSDLCDYFHLPIDEAADHEKVKLCPTVLKKTCRKAGLPRWPHRKVKSLLKQIKLLAKQWEGQDPEARARTEREIKSLQTEMIKHCGGHIPTAFYNIAAYLPL >RHN41859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33647304:33647586:-1 gene:gene48222 transcript:rna48222 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQASVRFPNLPHVVGFWSAAFLRSAKGRAVVVDSVFGWLVVSLLRAERRWFKGWR >RHN38409.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000026.1:15937:20107:-1 gene:gene50775 transcript:rna50775 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CP MAQWTLLIVFFCVATAAAGLSFHDSNPIRMVSDMEEQLLQVIGESRHAVSFARFANRYGKRYDTVDEMKRRFKIFSENLQLIKSTNKKRLGYTLGVNHFADWTWEEFRSHRLGAAQNCSATLKGNHRITDVVLPAEKDWRKEGIVSEVKDQGHCGSCWTFSTTGALESAYAQAFGKNISLSEQQLVDCAGAYNNFGCNGGLPSQAFEYIKYNGGLETEEAYPYTGQNGLCKFTSENVAVQVLGSVNITLGAEDELKHAVAFARPVSVAFQVVDDFRLYKKGVYTSTTCGSTPMDVNHAVLAVGYGIEDGVPYWLIKNSWGGEWGDHGYFKMEMGKNMCGVATCSSYPVVA >RHN71094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56450883:56453435:1 gene:gene19737 transcript:rna19737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LOG family protein MEIEQQLSMIKSRFKRICVYCGSTPGKNPSYQIAAIQLGKQLVERNIDLVYGGGSIGLMGRISQVVYDGGRHVLGVIPKTLMLREITGETVGEVRAVSDMHQRKAEMARQADAFIALPGGYGTLEELLEIITWAQLGIHDKPVGLLNVDGYYNSLLAFMDKAVDEGFVTPAARHIIVSAHTAQDLMCKLEEYVPKHCGVAPKLSWEMEQQLVNTAKLDISR >RHN43350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45087960:45093072:1 gene:gene49909 transcript:rna49909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BAH domain, transcription elongation factor S-II, central domain-containing protein MVTISSDDSPSPSHHRKRKRLMKLQEDEDEDDYVYEKKEKEEEEEPPLEDAKPIGKPVRVSRRGKNKINHFHSFEFDGNQYTLEDTVLLEPDGKDEKAYVAIIKDITQSPNDTLMITGQWFYRPYEAEKKGGGTWQSNETRELYYSFHQDAVPAESVKHTCVVHFVPIHKQLPNRKQHPGFVVQKVYDIETMKLWNLTDKDYKDDKQKEIDELVLKTIQRLGELPDIETDETPAGQEDLMKNKRDFKKKSISSLDLSKEKEASRKSVQSLEPEIPGKRVANTSEHYRVLVKFNALTGDAHRDKCLETLLQNVQYLFDTDDNMKKKDKGSDNSDAINNGGNSKSLEIANECKGKVLKNSKPFVWPDVAVPAVVAVEKASHDAFSSDDQKYRQKLRQLAFNLKNNAFLLRRLLNGELEPSQILNMTPTELKEGLTAEELPKNEPDEQQHMQMTDASCKLCTERKVGVRDIIRAGLADRYMLECIACGHSWSASCDAVSVLTLDASDSKRNAGTASWVTAKFEDAEKKKKLVGTHESDDYDFFMPPVSRNH >RHN44657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7334696:7337884:-1 gene:gene38801 transcript:rna38801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRIB domain-containing protein MRNHMERLVVLPFSFRCASNSSVELGEQKGQKIDSKDSIDSRRQEGQVIITTKIKKKRSSGFFVLPKPNVAAGIQRLIRGIKSLSQLFFYKKHIEEMEQDMEIGYPTDVKHVTHIGLDGSTTTNNVKEWDNLKAPELLSLSPITLKQFELAMATQAHQQPLIDNSSPKCD >RHN70007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47947008:47947709:-1 gene:gene18545 transcript:rna18545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MQEDKKMKVKKGWLAVQVGLEEEEEGGSCAQKFVIPISYLYHPLFKRLLDQAYDVYGYHTNGPLKLPCSVDDFLHLRWRIEKESPNQHNHNHHQHRLPHALIFHSC >RHN61854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38861389:38868324:-1 gene:gene24358 transcript:rna24358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoenolpyruvate carboxylase MTSRKLEKTTSIDAQLRLLAPSKVSDDDKLVEYDALLLDRFLDILQDLHGHDIRETVQNCYELSAEYEGNNNPQKLEELGNMLTGLDAGDSIVIAKSFSHMLNLANLAEEVQIAYRRRIKLLKKGDFGDENSAITESDIEETFKRLVNQLKKTPLEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHGRVRDCLTQLYAKDITPDDKQELDESLQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWNGVPKFLRRIDTALKNIGINERIPYNAPIIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCNDELRIRAEELHSSAKRDAKHYIEFWKQVPPTEPYRVILGDVRDKLYNTREHARQLLSDGSSAIPQEAIFTNVEQFLEPLELCYRSLCACGDQPIADGSLLDFLRQVSTFGLSLVKLDIRQESDRHTDVMDAITNHLEIGSYREWSEERRQEWLLSELSGKRPLFGPDLPKTEEIADVLDTFRVIAELPSDSFGAYIISMATSPSDVLAVELLQRECDVKQPLRVVPLFEKLADLEAAPAAIARLFSIEWYRNRINGKQEVMIGYSDSGKDAGRFSAAWAMYKAQEELIKVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEMAVIATKEYRSIVFQEPRFVEYFRCATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVIEKDSKNLQMLRDMYNQWPFFRVTLDLVEMVFAKGDPGITTLYDKLLVSDELWSFGERLRSTYEETKSLLLMVAGHKDILEGDPYLKQRLRLRDSYITTLNVLQAYTLKRIRDPDYHVKLRPHLSKDCMESSNPAAELVKLNPMSDYAPGLEDTLILTMKGIAAGMQNTG >RHN48944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51284435:51286473:-1 gene:gene43718 transcript:rna43718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan 6-xylosyltransferase MLEKYLGAQRARKFQRFLNHCKVTILCLFLTIVVLRGTIGAGKFGTPEQDFVDIRNRFSSRKLSEPHRILGEIHSTTSSSSRSDSSTTSTTNYNDFDINTILVDEEEEEEQGDEFEKRSSNEPYSLGPKISNWDEQRSKWIRENPNFSNFIRPGKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRLHGIEVFYNMALFDAEMAGFWAKLPLIRKLLLAHPEVEFLWWMDSDAMFTDMAFEVPWERYKDSNLVMHGWNEMVYDEKNWIGLNTGSFLLRNCQWSLDILDAWAPMGPKGKVRDEAGKILTRELKGRPVFEADDQSAMVYLLAKEKEKWGDKVYLENGYYLHGYWGILVDRYEEMIENYHPGFGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQIYGFTHKSLVSRRVRRIRNETSNPLEVKDELGLLHPTFKAVEVSSSS >RHN74001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23367918:23372521:-1 gene:gene9925 transcript:rna9925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-B-type family MAVVENQSRDEDGVIDRFPVGMRVLAVDDNPTCLKVLAKLLSECKYHVTTATNGFDGLKMLRENRKNFDLVISDVAMPDMDGFKLLELVGLEMDLPVIMLSGYSDTSMVFKGVTHGACDYLVKPVRLEELRNIWQHVVRKKKTYSKDVNKASNEDKVPNISGGSNQNILENSVDQNKRLGKRRKEQDEEEKEEEDEESGDGDEDEDDPSSQKKPRVVWSPELHKKFVGAVNLLGADRAVPKKILELMNIEGITRENVASHLQKYRLYLKKATPHDTNMVAGLGGGNDSYLRMRGIDGYADFCTSTRSGRIGSTTLPSYAPSGVFGRLNSPAGLNMRGINSSILIQPVQSHNTNSSWIPANQSSSLLHGVPTELNQSKLNNSAAGISQLNQIDSTGFAAASDFHDSRAINSSNQVSNHHLLLQGNSQQTHNAGSFRNQSSVGSASLGNKNITIGGSSNLLDYNRCSENWQSQAQLPKFPASSLPVCKSFNNDQLPLTSVTAYNQSPLIANSSVDFSSGNTITVALEEARNVPRCQEDGLIGNFIQPSSYAPRQSWAENKPDYSQNTGRPFNPVNSQVCSSRALTNSASHNINQSKTVCSNRVDTSFVDQVYGASTSVARYPEAEKFSSDVQVNSNDAYKLEKMRSQVGYVEGFGTLEDIMGAIVKREQIEMTLMDGEMCYDSYPVGSCI >RHN67496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27692318:27708675:-1 gene:gene15689 transcript:rna15689 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome activator Blm10, mid region MHLYNAWLPPPVAAQTAGERDSFARIIAAVNSSFNRDDPESVYSTLKYISVLDLFIKAKSDVSLEDVRTLIQTGLELFHMSRNKLYAQVRWGNLLVRLLNKYRKKIALTIEWRPLYDTLICTHFTRSTGPEGWRVRQRHFETITSLVQSCRRFFPSGSAFEIWSEFKSLLQNPWHNSSFEGSGFARLFLPTNLDNQAFYTHDWITECIDLWESIPNCQFWNSQWADVIARVVKNYHNVDWEGLLPLLFAKYLNMFEVPVANGSGSYPFSLDVPRNTRFLFANRTSTPAKAISKSIVYLLRPGSSAKQHFEKLVNILEQYYHPSNGGRWTYSLERFLFHLVIQFQKRLQNEQLGINNCRPTEQHLGESERVFFVNTMLKLIDRGQYSKNEHLSETVAAATSILSYVEPSLVLPFVASRFQMALETMTATHQLKIAVMSVAFVGRSLFYTSVSASSMKQVDLGGGDEAFIDLVGVSLSNALLGMDANDPPKTLATMQLIGSIFSNLALLDDKIDDLSFMPMIRFSEWLDEFLCRLFSLLLHLEPSSVLNEGLHSSASSGTFLVDEGPYYFCVLEILLGRLSKSLYNQALKKISKFVATNILPGAIAEVGLLCCACVHSNPEEAVSQLVEPILVSVTSSLKGTPGTGFGGGGTFDTSASTKVRSTISPALEAAIDYQLKILSVGITYGGPALLRYKDQLKEVIFLAFDSPSWKVNGAADHLLRSLLGSQIHYYPIDQYKCVLSHPDVVALEEWISTKDFSADERLIPKWHIPCDEEIHFANKLLDIHFKSALDDLLKICQTKIHADQGDEKEHLKVTLLRIESSLQGLFSCLPDFVPASKNGMVEDSNHTFLIAGATGCTVGTTALREKATEIVHAACKYVIENKSDDSILLILVIRIIDALGNYGSLEYDEWSSHRQSWKLESAAIIEPPINFIVSSHSKGKKRPRWALIDKAFMHNTWRSSQASYHLYRTSGNYCPPEHVTILMDDLLNLALHSYETVRLLAGKALVKLIKRWPSMISKCVISLTNNLQDPNAKEYTVLGSCSVLASQTVLKHLTTDQKSFSSFILSILSSSHHESLKSQKAINELFVKYNIQFSGVSRSFFRISDKDNHNGGLEFSDLVSQIGSMSFDSTGLHWRYNLMANRVLLLLALASRSHPNSSSKILSEAAGHFLKNLKSQLPQTRILAISALNTLLKESPYKLSPGEKSDVLEDLKGHVKSSLEGALTQTFQEDDFFNDTLTSLSHVHIITDNESASRGNQGDSSIQSLADKSITRFYFEFSASWPRTPSWISFLGSDTFYSSFARIFKRLVQECGMPVVLALKDAVDEFTIAKERSKQCVAAEALAGVLHSDIDGLSGAWESWLMPQLKNIILAPSVESVPEWSSCIRYAVTGKGKFGTRVPLLRQKILDSLMTPLPPTVATTVTAKRYAFLAASLIEISPQKMPLAEIQLHNTLLKEVLGNMCHSSAQVREALGVTLSVLCSNIRLYHSSHRDNVGDERTNDADNLMKDESWVHCLIERAAEAVVNIHIASQSDKAVNTIDTNSQNGHLDGDSQDDMKWMETLLYFIISSLKSGRSSYLRDVIVGLLYPVISLQETSNKDLSTLAKAAFELLKWMIVWEPHLQKAIDVILSSANDSNWRTRSATLTYLRTFMYRHTFILSSSKKQEIWRTVEKLLVDNQVEVREHAAAVLAGLMKGGDEDLAKDFRDRAYVEANIVQKRRKSRKASSGSSVASVHGAVLALVASVLSAPYDMPSWLPEHVTLLARFSGEPSPVKSTVTKAVAEFRRTHADTWNVQKELFTEEQLEILADTSSSSSYFA >RHN70781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53966704:53970473:-1 gene:gene19398 transcript:rna19398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MDKVDFNAPHSMGTTIIGVTYNGGVVLGADSRTSTGVYVANRASDKITQLTDNVYVCRSGSAADSQVVSDYVRYFLHQHTIQLGQPATVKVAANLVRLLAYNNKNNLQTGLIVGGWDKYEGGQIYGVPLGGTIVQQPFAIGGSGSSYLYGFFDQAWKDGMTKDEAEDLVKKAVSLAIARDGASGGVVRTVIINSEGVTRNFYPGDQLPIWHDELEAHNSLLDILGAPEPMNI >RHN71304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58094852:58095889:-1 gene:gene19968 transcript:rna19968 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPLNTKSNFHGRSNSLPSRPHPLILQCNQHLDTLLRSSNETSSSLLHHKIGGLRDLVECVENLIQLSLTRDACPCP >RHN52483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35947708:35952212:1 gene:gene37167 transcript:rna37167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & lipid binding HD-SAD family MEYHSGGSGSPGDHHHHHHHEGSSESQRRKKRYHRHTANQIQRLEAMFKECPHPDEKQRLQLSRELALAPRQIKFWFQNRRTQMKAQHERADNCQLRAENDKIRCENIAIREALKNVICPSCGGPPINDDCFFDEQKLRIENVQLKEELDRVSSIAAKYIGRPITQLPPVAPIHISSLDLSMGNFGGQGMIGGGPSLDLDLLPGSSSTMQNIPYQPACLSDMEKSLMSDIASNAMEEMIRLLQTNEPLWMKSNSDGKDVLNLENYERMFPKTTNRLKNPNIRIEASRDSRVVIMNGLALVEMFMDPNQWMALFPTIVTMARTIEVISSGMMGGPSGSMQLMYEELQVLSPLVPIREFYFLRYCTQIEQGLWAIVDVSYDFPHDNQFAPQFRCHRLPSGCFIQDMPNGYSKVTWIEHLEIEDKNPVHRFYRNAIYSGAAFGAERWLTTLQRMCERIACSMVSATRDLGGAIPSPEGKSSMMKLAQKMVTSFCASISASASHRWTTLSSLNETGVRVTVRKSTELGQPSGVVLCAATTIWLPLPPQTVFNFFKDERKRSQWDVLSNGNAVQEVAHIANGSHPGNSISVLRAFNTSQNNMLILQESCVDSSGSIVVYCPIELTSMNVAMSGEDTSHIPVTPSGFTITSDGNTLDNNNNLQGSGNVNADGASTSSNLGNNGGSGGSLITVAFQIMVSSLPSAKLNMESVATVNGLIGETVQHIKAALNCPSS >RHN48012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44289121:44290618:1 gene:gene42680 transcript:rna42680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MMQEVYEQQKELILLGERVLLATLDFYLNVQLPYEPLAEGIIKLNLAKNYALVQAAWSFVDDGLSTSLCLQFKPNHLAASALFLAAKFLKVNLPSDGEKDWWQQYDLTKCQLEEVSYQMLELYEQKRIPPSQASEAERAATKSPATNEEQASKQISFHPSPWYSSSNNSGTDISGSTELGSDITSDDKREVEDRSKSGTDRIVVGDQDRMDGRNLKIKEGSVGHSPKEAVQMTDKVKLKAAFVERRKEQGEVTVKKDVMDDDDCIDRELEDGVEIGLENEKNKQEIRQNWSMPDDVDHGKAYEETRDGRQISMKGQLQKDINEYIAEEGEVIDDDASSLLNNHKRKMDNSPARQPEMKKRLGCSYTTGYREPFSGILFHPLP >RHN48740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49841373:49842287:1 gene:gene43489 transcript:rna43489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative secoisolariciresinol dehydrogenase MASIAKRLEGKVAIITGGASGIGAATAKPFVQYGAKVIIADIQDELGQSLCKNLGTKNILCVHCDVTIESDIKTVVDIAVSNYEILNYDSEAFKRVFDVNVYGAFLGAKHAARVMIPQKKGVILFTASVATKIAGETTHAYTCSKHALVGLTKNLCVELGKYGIRVNCISPPALPTPILMNSLKLNKNEVEQVLSSAGVLKEVVPKFEDIAEAALYLSSDESKLVNGVNFVLDGGYSTTNMSCFLAFKSLMKMDK >RHN43844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48900941:48901422:-1 gene:gene50474 transcript:rna50474 gene_biotype:protein_coding transcript_biotype:protein_coding MENEQQLPKNSSKQLKSRIETPSPSAQERTDVSNTEGPLNIIEKKGIQNKCQRKPRGRPKKDCGLPNLAMNIMQNTSINNPDVRPTPVLNMNTTYRQALRQNDSRTDEALHIVLSLK >RHN39975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11999113:12002463:-1 gene:gene46061 transcript:rna46061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MTEASKRYALVTGANKGIGYGICKKLASSGVMVVLTARNEKRGLDAVESLKELGLSDFVVFHQLDVTDPTSVSSLVEFIKIQFGKLDILVNNAGVAGGIVNGENVVKQVRGEISDWNLALRQTYELAEECVEINFFGAERVTEALIPLLQLSTSPRIVNVSSRRGKFKFMPNEWARGVFDDINNVTNEKLGEVLREFLKDYKEGALETKNWPTFVSGYTMAKAALNSYTRLLALKLPRFRINCLCPDFVKTDINEMKGFLSIDEGAECPVNLALLPDDGPSGLFFLHDEVISY >RHN66867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20512209:20516205:-1 gene:gene14978 transcript:rna14978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proline--tRNA ligase MNNKVDIETGLRLINRKDENFEEWYSEVVASAELIEHYDISGLYILRPSAVEIWETLQAFFNPEIKKMNVESCYFPMFVLECHLKKEKAHYDGFKPEVAWVTKSGQSDLETHLAVRLSSEAIIYPYYSKWIRGHRDLPLKLNQWCNAVRWVVGDPIPLIRHFFFFTMFSFL >RHN41981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34507788:34508586:1 gene:gene48362 transcript:rna48362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MLSTSFPAPLSTATFAGKTISSPPFHAKSRRVLISATASTTEPSCTSLYEILGVAAVASDQEIKAAYRRLARVSHPDVAAVDRKVSSADEFMKIHAAYSTLLDPEKRASYDRSLFRQQQPLTVTGFSGYGCRKWETDQCW >RHN55997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26579104:26579559:-1 gene:gene31307 transcript:rna31307 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase MATPTTHIKFKTALLLLLSFHLLLLPLPNIVPCYNHHFLKLVQPFTHFDIDIKKKYWKKSGVVINTPCLMVDLSLVVDDTWSTWDHLREEKKIDEVEEKWEVGEVGNQRDTCSNCTFSNLRLMPVITPGSWEKGELLGSGSFGFVYEGISQ >RHN46008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27689435:27693772:-1 gene:gene40442 transcript:rna40442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 43kDa postsynaptic protein MEEERGSYKNKSLLLSKGKKEDIYHVLYKVPYGDSPYVRAKHAQLVEKDPEAAIVLFWKAINAGDKVDSALKDMAVVMKQLDRSEEAIEAISSFRGLCSKQSQESLDNVLIDLYKKCGKIDEEIDLLKQKLKLIYQGEAFNGKLTKTARSHGKKFQVSIKQETSRLLGNLGWAYMQKMNYVMAEAVYRKAQMIDPDCNKACNLGLCLIRQARYEEAQLIIDDILKGELPGSDDIKSKKRAQDLLEELRSLLPTPCPLDILVMDDEFIKGIEQLMNEWGPVRSKRLPIFEEISSCRDQLAC >RHN66136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8923730:8926970:-1 gene:gene14057 transcript:rna14057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, phosphoribosyltransferase MMSIKRRPRGNNPMTMHAINPQVQQGHPNSHHEEDYNVRDTSPQLGERWPNGGNYNGRGWMSGGERSTSTYDLVEQMFYLYVRVVKAKNLTLNSLTSTCDPYVEVRLGNYKGRTKHLDKRSNPEWNQVYAFSKDQIQSSILEVIVKDKETVGRDDYIGRVAFDLNEVPTRVPPDSPLAPQWYRLEDRRGEGRVRGDIMLAVWNGTQADEAFSDAWHSDAATVYGEGVFNIRSKVYVSPKLWYLRVNVIEAQDVISSDRNRVPEVFIKAQMGSQVLRTKVCPTRSTTQIWNEDLVFVAAEPFEEQLTITVEDRVHGSKDEVLGKIMLPLTLFEKRLDHRPVHSRWFNLEKYGFGMMEGDRRNEVKFSSRIHMRICLEGGYHVLDESTLYASDHRPTARQLWKQPIGMLEVGILGAQKLLPMKMNNSRGSTDAYCVAKYGQKWIRTRTILDTFSPKWNEQYTWEVYDPCTVITLGVFDNCHLGGGGEKAPSGGSNAARDSRIGKVRIRLSTLEANRIYTNSYPLLVLHQNGVKKMGELQLAIRFTTLSIANMVYIYGQPLLPKMHYLSPFTVNQVENLRYQAMNIVAMRLGRAEPPLRKEAVEYMLDVDSHMWSMRRSKANFFRMMSLFSSAITMGKWFNQVCNWKNPVTSVLVHILFLILILYPELILPTIFLYMFLIGLWNYRFRPRNPPHMDTKLSWAEGANPDELDEEFDTFPSSKPHDVVRMRYDRLRSVAGRIQTVVGDIATQGERFHSLLSWRDTRATSLFIVFSLCSAVILYATPPRVVALVTGLYFLRHPKFRSKMPSVPSNFFKRLPAQTDSML >RHN65242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:500598:501578:1 gene:gene13061 transcript:rna13061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MERHKRHHKDDSKNEDDLISDLSGCVLHLILSFLNAKEAVQTCILSKRWINLWKTLPTLTLSSSNFRTQRSLEQFVYHILSLRDHSTAIHTLCLQLHYNHFMGISLYRMIIEYAFSHNVQQFRISYAIIEDLPPRFFSSHTLTSLHLSSSFLLHSGSMQIFPNFLNFPALTTLSLKYVAFRRSTSYGRCTTFHNCVDPFSAFNMLDTLIIDCCVLLDARNLCISSTKLLSLTICMYDGDPRDNFRTYFGIELYAPTVHTFNYSCGQYIPKLVGSKSVLSSIKHVNIHSSRYMGSGEKSSIIFNWLVELANIESLTINFFALTVLYI >RHN57546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39959475:39962687:1 gene:gene33108 transcript:rna33108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MDSSENLVDCGSIHDEGMEVDNSDDDEACWKPATGMRFSCIDDVKTLYREYALKKGFRWKTRTSKKRDDGETCYAILVCTREGSQGSEIPCTLKTPPSKTKNCPAKICIKLEKDGLWYISKFESRHSHKTSPTKRMDLHVKRTIEINEDAGVRTIKTFRSIVNNAEGHENIPFCEKDMINYVNNEQHLIGKEGDGKALMSYFSKMREQNSNFFYDIDLDDDFHVRNVFWADARSRATYEYFGDVVTFDTTYLTNKFDMPFATFVGVNHHGQSTLLGCGLLSGEDTESFVWLFKSWLRCMLGKAPVGIVTDQCKAVQNAIELVFPTTRHRWCLWHIMKKIPEKLNEYSEYKRIKSAMEGAVYDTHTTTGFEEKWCSFIDKFMLQQNDWLSGLYEERHRWAPTFVRKYFWAGMSTTQRSESMHAFFDGYINSTTSLNQFVKQYDNALSSRAEKEFEADFNSLDTTIPCVSNSSIEKQLQGEYTHAKFKEVQAEFISKMNCAPSLNVVEGCFATYHVLEEVVVGGRHKENVFKVVFNQENQDFSCECSLFEFRGILCCHVLSVCTRERVKNVPEKYVLTRWKKNIKRKHSYIKSSYCARELKPKMDRFDKLCKHFYEIAEVAAESEDATKALHETLHQFNSNKDGITDIVNRSFIDDSNPNNGIGIHSPVRVKCEDQGSEPSEVMTDRLLQSAILEW >RHN78925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20042580:20045889:1 gene:gene2593 transcript:rna2593 gene_biotype:protein_coding transcript_biotype:protein_coding MLTREQLFHLFERFSVLTSQPDVKKRIVDAVLDEQNLLPCQCW >RHN60529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27731916:27734452:1 gene:gene22850 transcript:rna22850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde oxygenase (deformylating) MIMNTKFVILSPWIAHSIYSFIWVERDPTYYLIFPYILVRMLHNQIWISISRYQTAKGKRRIVDKGLEFDQVDRETNWDDQILFTALMFYILYTIFPMAANLPWWRTDGVILTAILHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITAVTHPFAEMLAYFTLFLIPMLTTLFMKKSSVVALYGYIFYIDFMNNMGHCNFEFFPKKLFSYFPQLKYLTYTPSFHSLHHTKFRSNYSLFMPIYDHIYGTVDTSSDATYEACSKRQEESPDVVHLTHLTTLDSIFQLRLGLASLASNPQTSKWYLNLMWPFTMCSMLLTWISGSAFVLESNSFKDLKLQCWLIPRFKTQYFSKKQSIKINKLIEETIMMADLSGVKVISLGLLNQRQEFSAHCALYIERLQNLKIKVVDGSSLVVATVLNNIPNGTNQVLLRGKFNKVALAITNALCSKNVQVCAYIHNCYLLYL >RHN43293.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44707474:44709358:-1 gene:gene49841 transcript:rna49841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MGEEEKKPEETKVEEKKPEQPLKKEEEKKETEKPPTEEEKKPEESKEVTPREIVLKVFMHCEGCARKVRRSLKGFPGVEDVVTDCKSHKVIVKGEKADPLKVLERVQRKSHRQVELLSPIPKPPSEEEKQIDEKEKPKPEEEKKVEEPKVIIVILKVHMHCEACSQEIKRRIEKIKGVESAEPDLKNSLVTVKGVLETDKLVEYVYKRTGKQAVIVKQEPEKKEESKETKEEEKKTEEGEKDQKGSGEVEEKKEAAAAAEGDGKPSGDGEGGAEEVKVVELKRNEYYFNPPRYGTEFYAYAGPAYPPQIFSDENPNACSIM >RHN79913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33449384:33452064:-1 gene:gene3768 transcript:rna3768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFDVCNIVSMTLLSPLHSLPHPFPRTLNPHPHSTPTPPLSFSSNSTFKFPTLCTTPSSTTHHPLPPNETLLLQLTQSSSFDSITTLLKQLKSSGSIPNATTFATLIQSFTNFHEIENLLKILENELGFKPDTNFYNIALNALVEDNKLKLVEMLHSKMVNEGIVLDVSTFNVLIKALCKAHQLRPAILMLEEMANHGLKPDEITFTTLMQGFIEEGDLNGALKMKKQMLGYGCLLTNVSVKVLVNGFCKEGRVEEALRFVLEVSEEGFSPDQVTFNSLVNGFCRIGNVNDALDIVDFMIEKGFDPDVYTYNSLISGMCKLGEFEKAIEILQQMILRECSPNTVTYNTLISALCKENEIEAATDLARILVSKGLLPDVCTFNTLIQGLCLSKNQDIAMEMFEEMKNKGCKPDEFTYSILIDSLCYERRLKEALMLLKEMESSGCARNAVVYNTLIDGLCKSRRIEDAEEIFDQMELLGVSRSSVTYNTLIDGLCKNKRVEEASQLMDQMIMEGLKPDKFTYNSLLTYFCRVGDIEKAGDIVQTMASNGCEPDIFTYGTLIGGLCRAGRVDVASKLLRSVQMKGIVLTPHAYNPVIQALFMRKRTKEGMRLFREMMEKSDPPDALTHKIVFRGLCNGGGPIQEAIDFTVEMLEKGILPEFPSFGFLAEGLCSLSMEDTLIELINMVMEKAQMSERETSMIRGFLKIRKFNDALANLGGCNWKSPHSGKDAEEYGGVQNLDSRLNCRIGVNTFQH >RHN64121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56734688:56739494:-1 gene:gene26906 transcript:rna26906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SNARE associated golgi family protein MFLTWPNALKITLLLLLVSAIVVACFTLPIEKILKDFLIWVDQDLGPWGPLALAVAYIPLTILAVPASVLTLGGGYLFGLPVGIVADSVGATIGAVAAFLLGGTIGKSFVASKLKDYPQFKSVSIATQRSGFKIVFLLRLVPLLPYNILNYLLSVTPVPLWEYTLASWLGMMPLTVALVYAGTTLKDISDVTHGWGEFSKTRWAMIIFSLVISVVMMICVTKVAKSALDKALAECEKDMDDTTSSEQLIVTETSVGLNQPLVNEIDQDQANQQK >RHN56576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32007145:32010435:1 gene:gene32002 transcript:rna32002 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMTSFGVEDVTMSPVVVEVFAFDHWGVGRSSVHNRKSDHQGSMIACKIAAMVPDRVLSLTGDFQCFPEESLTFSVFVWNMSTSKIIVRIGPYL >RHN42714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40408376:40411469:1 gene:gene49197 transcript:rna49197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MATLALAVNFVSYFIGIMHYELADAANMVTNFMGVSNLLSIVVAVLADSFIGRYKTVLISGFFECLGLALLTVQAHYPNLKPAICNLLDKNAVCEKINGNHEAFLFISLYLLAFGSAGLKASLPAHGADQFDEKDPKETRQMSSFFNALLLAVCIGGSVSLTFNVWIQNSKGWDWGFGISTIAIVFAMIIFALGLPLYRIHAAQRTNCIIEILQVVYYINLACNGHLHGALFKLFAFLFIFQVYIAAIRNRNLPHPADSEELYEIEHDKEAAVEIEYLPHRDIFRFLDKAAIERKSDDLQSEKQEIPNPWKLCRVTQVENAKIILSMIPIFCCTIIMTLCLAQLQTFSVQQGFTMDTRITKHFNIPPPSLPIIPIGFLVIIIPVYDRICVPVLRKFTGIPTGVTHLQRIGVGLILSCISMAIAAIIEVKRKNVARDNNMLDAVPGVQPLPLSIFWLSFQYFVFGIADMFTYVGLLEFFYSEAPKGLKSTSTCFLWSSMALGYFLSSIMVQIVNNATKNVTASGGWLAGNNINRNHLNLFYLLLSLISLINFFVYLVISKRYKYRPQGPTVKGVDSQ >RHN79480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29415481:29416188:1 gene:gene3275 transcript:rna3275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fatty-acyl-CoA-transporting ATPase MNSELMKPKTDELIKIFEDVRLGYILPRFGLDSTHEWSSVLSLGEQQRLAFARLLLLKPQLALLDESTSALDEPNEVYLYKKIAAAGITYISVGHRSTLSNFHDRILCISTTDSNNEQPNWHIEPTRRESSLKV >RHN62231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41882021:41882974:-1 gene:gene24785 transcript:rna24785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MEVFQNKIVSSDQNSNEILREFPRLFCQYKDGRVERFLGTETTPTGTDPLTGVISKDITINPNTGIGARLYLPPNATPSTKLPLLIYIHGGAFCICTPYNPGYHRHLNNIVAHANVVVFSVHYRLAPEHPLPIAYDDTWEAIQWVSKASEPWIKDHVDQDIVFFAGDSAGANLAHNMAMRGASEGFGGLKLQGMVLIHPYFGNDEKDELVEFLYPTYGGFDDVKIHAAKDPKLSGLGCGKVLVFVAEKDFLRERGRNYYEAVKKSGWNGVVEMVEAEDEGHVFHLFDPTKEKSVDLVKRFGSFMIQVEKDVRSSSSL >RHN50058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3694676:3703978:-1 gene:gene34306 transcript:rna34306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase domain, domain X MLTSLCMRRIITSNTHKLTFFHSSPKLEQQQPLTRTQLKTLVLNNYTNATNFTNLFQNVVASPSVLFTASHNISTTQNAPFHPYSLVQELRENRFDVAACCFTITQNGSSLVLPNLKLKVVIEAVRMVLEAVYDERFVTFCYGGRVGMGRHTAIRYLKNTLQNPTWWFTVRFKPHRFEHAHVEKLCFFVERKIKDCVFIDFIKRLFECKVLVIELGGNWLGKGLPQECGLCSILMNVYFDVFDKEIQETRLRENQENRELDMKMVGSGLGSDVFYKPVKVYAVRYLDEILVATSGGSKLLAMDLKMKVVKSLELGLSLRVDKLNTAIHSAVSEKIEFLGMELQAVLPSVLHPPMSEKAIRARKKYLRQKEVRAIEFRNARARNRRILGLKIFNHAYKKMKQSDGFKFDFSIENEVREIFKSWADEVVQEFLGSVDECQEWHRSLTAGDFLSLRHIRDQLPPDLVVAYDKFEEQVDKHLNPVKLRKVIEDKERKENQEEEQKYSKGTVEDLTRFCIKVDAPVGLIRKAVRLVAFTNHMGRPRPIDFLVALEDADIIKWYAGIARRWLDFFCCCHNFKVVKTIVSYHLRFSCILTLAEKHESTKREAIKHFSKDLKVYDMNGIDEIHFPTEKEVKMMGDRNLSDPKPVDGVLSLATVRLASDEPPTHCIAHFCDKTTTVFYRVRLLQNSLNVNPFEKWVQGMGVIHESLNQKCLPLCTNHIHDFYLGRITFQDIDLCGCRLTDDCCC >RHN80073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34818941:34820403:1 gene:gene3949 transcript:rna3949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MAGSSSVSSGSPCGACKFLRRKCIRGCVFAPYFSHEQGATHFSAIHKVFGASNVSKLLAHLPVSDRCEAAVTISYEAQARLQDPIYGCVSHIFALQQQVVSLQTQLAYLKEQAAQNCHINASTNENPNEKSNSLTLPQDLQTWFHVENSNNHQLGQEFITNNLSNISLTTQYYGNNTLNMDLDPMRNYENSRVIEENTSFSSFEESTSNSMETNRRTWGFDEVEDLHSVAFGYS >RHN38902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2733761:2740247:1 gene:gene44879 transcript:rna44879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative T-complex protein 1, alpha subunit MHRVKSDTQSRESIYSRHIVKVLDSFLMNGYALNTGRAAQGMPLRVSPAKIACLDFNLQKTKMQLGVQVLVSDPRELEKIRQREADMTKERIEKLLKAGANVVLTTKGIDDMALKAGAIAVRRVRKEDMRHVAKATGETLVSTFADMEGEETFEPSFLGTADEVVEECIADDAAIMIKGTKTSSTLVCFRCRVKLCFRKFRASCYEYDGELNFLLPCIFNSLENFSQGFNKPFESAVDNSPDEALLFEEENILIINRLHQVETIYKFLNFSASVFLTKRTLS >RHN61333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34880160:34884076:1 gene:gene23786 transcript:rna23786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MASLLLPSSSSSSCSWFSSLRMKPTALKTTKLCCVMNKENNKESSEVNSIEAEKTASVDPIKLAFNKAKAYKESIKSNTDLGIEQNSADGGKKDVSVSVKIAMEKAKKYKQNKGVAVSETDQGLQGGSESTWGENVNDNSVSKKGELSVSKIDFVGLGFADNKKTRGLPPGLVPFSDPFSDDDLPEVELIVGDTNNFNATTTTAPQPEQTKDDESELYKPKVSTWGVFPRPNNISKTYGGGRTIRPGEVLENEEEKAAKEARTKQMLAAYKKKYGLSIDPKLKIECEEALKDGDFLMDAGKLKDALPYYEKVIDKLPFKSELHGLAALQWSICQDSLSRHNEARSMYEKLQSHPSVKVGKKARHFMYSFQAMEMMKVRTRSSRYSKNTFYQSYFDAFIENKSNYPVKDEVAQESDLNQVLLYIVFLISPIFVVLLLAVQKRI >RHN60215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23663384:23663742:-1 gene:gene22478 transcript:rna22478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MIEIEDLEENDNEGIEVPYFDFESILVATNDFSYANKLGKGGFGPVYKGKFGGQEIAIKRLSSVSSQGSLEFKNEVVLIADVYYLQVHGLPK >RHN54290.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8359047:8359586:1 gene:gene29301 transcript:rna29301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MVVSSKRRKLVTGEEENEDRISYLPDGVLNHILSFLPTKTSVSTARLSSRWRHLWQHLSVLHFSDDSHEYVDQPAERFKSFALLVNGVLALLRNPRAIQKMSLSCVHSFTDDKFREYSVETWVRAAIGPHLHELELTLFCDEDAPDFKLPQALFSCPNLVSLRHACTHALSFLYTTFWF >RHN49484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55127586:55129972:1 gene:gene44321 transcript:rna44321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MANPSSFVPLMISFGIFLVIGVIVPADADSKQRAFFVFGDSLVDSGNNNYLVTTARADSPPYGIDYPTGRPTGRFSNGLNIPDLISQKLGIESVLPYLSPRLRGEKLLAGANFASAGIGILNDTGVQFLNIIRMYRQLDYFEEYQHRVASMIGAARAEKLVNKALVLITVGGNDFVNNYYLVPYSARSREYSLQDYVKFLIIEYRKLLERLYDIGARRVLVTGTGPLGCVPAEMAMRGTDGGCSAELQRAATLYNPQLQHMVQGLNKKIGKDVFIATNTALIHSDFVTNPKAYGFITSRIACCGQGAYNGIGLCTPLSNLCPDRDLYAFWDAFHPSEKANKIIVERILSGSKRYMNPMNLSTILALDDTT >RHN54574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10574766:10575422:1 gene:gene29639 transcript:rna29639 gene_biotype:protein_coding transcript_biotype:protein_coding MYGITLFISNLQLLGFIAQLNLFICAGFHVLSNLMNFMFSLPLIPHQWFLICPGTIIKPIELFMI >RHN40210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14247171:14252076:-1 gene:gene46332 transcript:rna46332 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carotenoid oxygenase MSSNISSSRLNTLRSYRGSQVFKFSTATIHSHKPICVKIKSFSLRRGIVKVEPKPRKCFSSKAVDLLEKLVVKLFYDSSLPHHWLAGNFAPVKDETPPTKDLPVKGYLPDCLNGEFVRVGPNPKFSPVAGYHWFDGDGMIHGLRIKDGKATYVSRFVRTSRLKQEEYFGGSKFMKVGDIKGLLGVLMVTIQILREKLKILDVSYGTGTANTGLVYHNAMLLALSERDKPYAIKVFEDGDLHTLGMLDYDKRLDHYFTAHPKVDPFTGDMFTFGYSQTPPYITYRVISKDGYMHDPVPITISNPIMVHDFAITENYAIFMDLPVYFRPKEMVKNKRLVFSFDSTKKARFGVLPRYAKDDKHIRWFELPNCFIFHNANAWEEEDEVVLITCRLKNLDLDMVAEDIKDKLKNFSNELYEMRFNMKTGKAAQKKLSTYSVDFPRVNERYTGRKQKYVYGTILGSNATGIIKFDLNAEPNFGKTKLEVGGNIHGLYDLGPGTFCSDAIYVPRVPGTDSDEDDGYLIFFVHDENTRKSSVHVIDAKTMSADPVAVVELPQRVPYGFHAFFVTEDQLQEQAKL >RHN64894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62749992:62752428:-1 gene:gene27766 transcript:rna27766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation elongation factor IF5A MYVPLMLVELLSSGLKRSQLHISPCLTKNITLNLLPMPEYPKTYPLQAGNIRRNGYIVIESRPCKVPHVNRADDRLIDISEDGSVSLLTDNGSTKDTLVLGFIKEEFAEGKDLMVGVVSAMVLKIEMVLWPWEKSRCILFLMCCQ >RHN45259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13827301:13827792:-1 gene:gene39497 transcript:rna39497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding pseudobarrel domain-containing protein MLSTMFGHDFGDQIGRYAATLVNPNYNELEVLVQRNNGGIFLTKGWRALHDFYNVSLGAWVTVVLVGNGKFKIRIKDRFGKSIRYPTFIPTMKFVVNRIDEDANLSPMFPFKLLWDDVYVIHLMLIVVSHHQNAVLCARRMFSTFIVNKVIVTTWPRNKIWFC >RHN48530.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48235431:48238600:1 gene:gene43258 transcript:rna43258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-tyrosine-phosphatase MKSAPCACIRLGCKMSWIRGQRLFPQIKVNLRGMGVGKSSQRRNSELPAEKMAHSISYVTGSELLSLKRQPTIAIVDVRDDERSYDGHISGSLHYANDGFSQNISKLLQEVKGKDTLVFHCALSQVRGPSCARKLANYLEESKEDVGIKNIMVLERGFNGWEASGRPVCRCNNVPCKGSECA >RHN81108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43381757:43388347:-1 gene:gene5106 transcript:rna5106 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sodium/calcium exchanger membrane region MYKMIGYLSSFLAHDEKCESYLIFSGETNLGNSVRILLYFLGLAYCFIGLSAITSRFFQSMENVVKHSREVVVIDPVTKAETIRHEKVWNYTIADISLLAFGTSFPQISLATIDAIRNLGDLYAGGLGPGTLVGSAAFDLFPIHAVCVVIPKAGELKKIADLGVWIVELFWSFWAYIWLYIILEVWTPNVITLWEALLTVLQYGLLLIHAYAQDKRWPYISLPIARDERPEDWVPEETPKQKSHERVECSEINHFNEENGDTVDIFSIHSENPRDMSYVRVPQIDDAENSDKVIETRLEDTCLLTIWKQQFVDALTVESQESKKMNNIYIRTARIFWQLLLLPWRFMFAFVPPCHIAHGWISFICSLLFISGIAYIVTKITDLISCVTGINAYVIAFTALASGTSWPDLVASKIAAKRQKTADSAIANITCSNSVNIYVGIGVPWLIDTLYNFIAYRQPLRIQNAGGLSFSLIVFFATSVGCISVLVARRIVFGAELGGPRLWAWITCAFFMLLWIIFVVLSSLKVSGFI >RHN40442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16340111:16344141:1 gene:gene46591 transcript:rna46591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MADDNPQKFTDLPLSPVTFGVRDKFPTISRTLIQAKAIPLLLRGDDLAAYSSNGSGKTLAYLIPALDHLCKNKYEPDDGIIVMVLCPNTMVAIQIDRDIKTILKDSPQTLQTIGRLIASPGDRYVRKNLLIATPAGLRYHHAYDPERIKYDKLKFLIFDDPYLILRFSDQKKWNWVRSNFPKEQLQIAIFSVTKLKRECLLRLLPEGRRPGDFSVIDEVQNRQWVTNDRLEQEFILVPCAKRLITLYIMLKTTKSKKVMVFFSTTESVKFHEELFTFLDVKCYGVHGDQTVSEHSKIYYSFSNAEEMILLCTNIAAFGLNIPDVDLIVQYDPPIDPEEYIHKVGRTARGTRKGNALLFLMPHELRFISFMKTHKVLIREHMFDEKMAPNVGSYLLRLVAETEELMEMATKAYIEYISAYNLITMRDIFGIHLLDKEAVAASFCLWNPVTEAI >RHN73792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19908136:19909378:-1 gene:gene9656 transcript:rna9656 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPNYSDNIVLINAGRDMVRSRFDGEVEAAIGVGESRDGTLGGGVEILTHIWEILMKSTTRVKALVKSTKK >RHN76352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49610841:49616715:-1 gene:gene12662 transcript:rna12662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain, coatomer delta subunit, Mu domain-containing protein MVVLAASIVGKSGKVLVSRQFVDMSRIRIEGLLAAFPKLIGTGKQHTYIETDNVRYVYHPIESLYLLLVTNKQSNILEDLDTLRLLSKLVPEYSYSLDEEGICKHAFELIFAFDEVIALGHKENVTVAQVKQYCEMESHEEKLYKLVQQSKVNETKDLMKRKASEIDKSKIEKNRGEKGGFGPLTSMGSGRIENSFSDMSISSSGTGFGSVSGFGLTTTDIDSFSTKPKGRPTASANAPPKGLGMKLGKSQKTNQFLESLKAEGEVILEVVQPKLGPSRSAAPPLTDPITLTVEEKLNVTLKRDGGVSNFDVQGTLSLQILNQEDGHIQVQVQTGDNQAVSFKTHPNMNKELFANEYILGLKDPNRPFPTGQASDAGGVGLLKWRMQSTDESMVPLTINCWPSSSGNETYVNIEYEASSMFDLRNVVISVPLPALREAPSVSQIDGEWRYDSRNSILEWSVLLIDNSNRSGSLEFVVPQVDASAFFPISVRFMATDTFSDLKVMNIIPVKGGNPPKYAQRTQLLTENYQVV >RHN70154.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49157637:49158728:1 gene:gene18709 transcript:rna18709 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQNPGVIVTDNETDNRRRRTRTANQLYANQQIQQAAVQRAKKSKSYFRDQGFKRSCLFFTSSLRDPRSLSFRAAYIRLLECDDAHQMCAYVGSLFHMFVPDLKENVIEALSNCGIEVTEVDSDAVLRISGLELEDIPSITRSEFGIIWAYCILCLFKNPSVINYKNYMSSRIAELLGLVHTPSAEQVKIPLRLEHAKNLRQMLGSQEKFCKEVLVFIINNVNKSSFLRSTIQYLDRILAWSNMSSFTIIFESLIMTKSPVIYERFLRAEALKFADTVEAICASDYPQYFRYFAAWTDHMHLLDRNRFPMSIAVAEKIKYDTSSTSVNKKREPRSGGKFVQSSQTCNEVQRSCYKTNFFLRD >RHN51768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24732532:24735657:1 gene:gene36286 transcript:rna36286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MADDDYNDLDIGYEDEPPEPGIEEGAEVDADDNDNNDEMAGEPIETEEKEQEEPTERPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELREKKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >RHN49495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55205765:55209610:1 gene:gene44333 transcript:rna44333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MDNHSLVLDDTSVAIAEQPLVIGQEFPDVETCRRTLKDIAIAMHFDLRIVKSDRSRFIAKCSKEGCPWRVHVAKCPGVPTFTIRTLQADHTCEGVRNLHHQQASVGWVARSVESRIRDNPQVKPREILQDIRDQHGVAVSYMQAWRGKERSMAALHGTFEEGYRLLPAYCEQIRKTNPGSIASVGATGQENCFQRLFISYRASIYGFINACRPLLELDRAHLKGKYLGSILCAAAVDADDALFPLAIAVVDTESDENWMWFMSELRKLLGVNTDNMPRLTILSERQRGMVEAVETHFPSASHGFCLRYVSENFRDTFKNTKLVNIFWNAVYALTAAEFESKITEMIEVSQDVISWFQHFPPFLWAVAYFDGVRYGHFTLGVTELLYNWALECHELPVVQMMEYIRQQMTSWFNDRREVGMEWTSILVPSAEKRISEAIADAHCYQVLRANEVEFEIVSTERTNIVDIRSRECSCRRWQLYGLPCAHAAAALISCGHNAHMFAEPCFTVQSYRMAYSQMINPIPDKSQWREHGEGAEGGGGARVDIVIHPPKIRRPPGRPKKKVLRVENFKRPKRVVQCGRCHMLGHSQKKCTMPI >RHN54582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10601129:10601591:1 gene:gene29647 transcript:rna29647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVASSDLSAEPLTTLNLGHRLNIIMDVASALHYLHRECEQLVLRCDLKPSTLLDDDMVM >RHN47093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37077516:37079942:1 gene:gene41649 transcript:rna41649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MAIKAPSSLLMLLGTVFLASVCVSSRSDQDQENPFIFNSNRFQTLFENENGHIRLLQRFDKRSKIFENLQNYRLLEYHSKPHTLFLPQHNDADFILAVLSGKAILTVLNPNNRNSFNLERGDTIKLPAGSIAYLANRDDNQDLRVLDLAIPVNRPGQFQSFSLSGNQNQQSFFSGFSKNILEAAFNANYEEIERVLIEEQEPQHRRGLRDRRHKQSQEADVIVKVSREQIEELSRHAKSSSRRSASSESASRRSASSESAPFNLRSHEPIYSNEFGNFFEITPEKNPQLQDLDILVNYAEIREGSLLLPHFNSRATVIVAVEEGKGEFELVGQRNENQQEQREYEEDEQQQERSQQVQRYRARLSPGDVYVIPAGHPIVVKASSDLSLLGFGINAENNQRNFLAGEEDNVISQIERPVKEVAFPGSAQDVESLLKNQRQSYFANAQPQQREREEGRSQRQREPISSILGAF >RHN54879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13175331:13180541:-1 gene:gene29976 transcript:rna29976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase MAMAMALRRLSSSINKSSRPLFSASSVYYKSSLPDEAVYDKENSRVSWPKQLNSSLEEIDPEIADIIELEKARQWKGLELIPSENFTSLSVMQAVGSIMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFRLDPAKWGVNVQPLSGSPSNFHVYTALLKPHDRIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYARIRKVCDKQKAVMLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFFRKGLKEVNKQGKEVFYDYEDKINQAVFPGLQGGPHNHTITGLAVALKQATTPEYKAYQEQVLSNCAKFAQALSEKGYELVSGGTENHLVLVNLKNKGIDGSRVEKVLEAVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFVKVAEYFDASVNLALKIKAESKGTKLKDFVETLQSSSYVQSEISKLRHDVEEFAKQFPTIGFEKSSMKYNK >RHN73951.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:22020083:22020686:1 gene:gene9852 transcript:rna9852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQSGGNMTNIIKFVKVMIYFLSIFLISTYFKVKLSCFEDSDCPYDMCYAGFQPKCVNGWCDC >RHN53150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42327101:42328089:-1 gene:gene37920 transcript:rna37920 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVFWLLVVSVVIASWIPLSHCAKKPVGIARKEDVPYIKCQVCEILAKQLYQQVQSKKAEISPKKISEYQIIEIAENVCNLKKVEADWILRIDIVEKADRLEVLGYSDTDVAEYLYSSKPDIDSLTNYLCKDLSKACNTKPPPVPKVIIN >RHN51209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15083457:15085121:1 gene:gene35602 transcript:rna35602 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MELDQNIVTQIDTLKSHVRNMLVAKSEKSFEKVKLIDSICRLGLSYHFEKEIDEVLQHIHKSYVENGEIILEDNLFSLAVLFRVLRQHGFYVSPNVFTKFKDEQGNFNETLIMDVEGMLSLYEASHLIVHGEDILEEALAFTSTHLEFIATESSHSLAAQVKYALRQALHKSLPRLEARRYISIYEQDPSHDEILLTFSKLDFNLLQSLHQKEFGNISKWWKELDFSSKLPYARDRIVECCFWTLTVYFEPQYSRARKMLPKINVMLSLIDDTYDSYGTIDELERFTEAIERWDVIVSDDLPDYMKLLYKSFWNVYEEIEQAMIEEGREYILNYYKKEV >RHN42377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37871002:37874698:1 gene:gene48812 transcript:rna48812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MKFRYAMVCSSNQNRSMEAHFLFKRQGFDVSSYGTGTHVKLPGPSLREPNVYEFGTPYKYMLDELRRKDPELYKRNGILPMLKRNAAVKLAPQRWQENAADGIFDVVITFEEKVFDMVVEDLHNRDHVLLKTVLIVNLEVKDNHEEAAIGARQTLDFCQEIEAVESWEESIDDVIASFEKQHRRKLLYNISFY >RHN69678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45477846:45479404:1 gene:gene18180 transcript:rna18180 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSMKFNTLDMFTPVDFPANKRSRSSIKIIDFGVVCIRSVFQSEPSIIFETSMS >RHN68223.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33847999:33852848:1 gene:gene16543 transcript:rna16543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase with an alcohol group as acceptor MGVVTGVILDESVLLAEGDGDKSVSSLQPGVEPLIRTLFQSRIHIGIAYNVELQDDKVSILKRIASSHSLHCFILNDQVSEVVMPVWGDNGSGKIIYLVSSKKELLLLPELRRYNWLIVGLNVGGESEGSREYDTSNIENLEELPLKICRLNKKFTGNKFLTVGYVMKPSRVEDFAKRGAFPLCPTQNGLMFVPLSAKLPLSSQLKDVDVVLHKATDEILSIEDNQPTFTQNMQELQRYLDQHQNLCVVDPLINIYPVLDRLEIQQVLLGLLELNTEGGYLIRGACFLKVDNFSEVDFTAGLADAGLSLPCIVKPKVACGVSDAHKMAIVFRVDDFKNLDVPLPAVIQEYVDHSSTLYKFYVLGEKVFHAVKKSIPNADILIKLANGYDLKPLEFDSLKSLPTDKSIIIDSGTSNESIDLKLVTNAANWLRRRLHLTVFGFDVVIQEGTHDHVIVDVNYLPSFKEVPDDISIPAFWDAIRNKFDSMVSKQACA >RHN60756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30214030:30215714:-1 gene:gene23114 transcript:rna23114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MLVHQYELFRMKDDESIEEMYSRFQTLVSGLQILKKSYVASDHVSKILRSLPSRWRPKVTAIEEAKDLNTLSVEDLVSSLKVHEMSLNEHETSKKSKSIALPSKGKTSKSSKAYKASESEEESPDGDSDEDQSVKMAMLSNKLEYLARKQKKFLSKRGSYKNSKKEDQKGCFNCKKPGHFIADCPDLQKEKFKGKSKKSSFNSSKFRKQIKKSLMATWEDLDSESGSDKEEADDDAKAAMGLVATVSSEAVSEAESDSEDENERNKGHGT >RHN62165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41346470:41348597:-1 gene:gene24710 transcript:rna24710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MGTERKRKVSLVDVVDDDSTAKMVKTNGGLTVNNFINRWNRKPYSQRYYEILEKRKTLPVWHQKQDFLQTRDKHRKMMVACTQPRRVAALSVSRRVAEEMDVSIGEEVGYSIRFEDCSSSRTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDLLFGLLKEILRNRTDLKLVVMSATLEAEKFKSYFFGAPLMKVPGRLHPVEIRYEKEPQREYLEAAITKVVEIHTKEPAGDILVFLTGEEEIEDACRKISNKVANLGEKVGPVKVLSLYSTLPPAMQQKIFEPAPPPVKEGGLPGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRTRVESLLVSPISKASAHQRSGRAGRTQPGKCYRLYTEISFSNDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGAIDDEGNLTKLGEIMSEFPLDPQMSKMLIVSPEFNCSNEILSISAMVAVPNCFIRPRDAQEAADEAKARFAHIDGDHLTLLNVYHAYKQNNEDPSWCYENFVNHRALKSADNVRQQLVRIMARFNLKLCSTYFNSRDYYVNIKKAMLAGYFMQVAHLERTGHYLTVKDNQMVQLHPSNCLDPKPEWVIYNEYVFTSDNFIRTVTDIRGDWLVDIAPNYYDLSNFPRCEAKQVLDRLYKKREKEKDEARNRK >RHN47185.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37727287:37729598:-1 gene:gene41751 transcript:rna41751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Trihelix family MATSSPPPSSPTESIPSPPSAVPLPLSLPAPPPPPTSSRRLPPPCWTPEETSALIDSYRDKWYSLGRTNLKATHWQEVADAVAVRCPNSSPVAKTAVQCRHKMEKLRKRYRSEIQKLRSLPVPRSRSSSSWVLFKAMDSMEKGPSPPSPPHKPENPNHNANHNHIHSHNLINHRETLENDDYDDDDLYEELRSAAGGGSGSGNTRSLDKLYRNGVSGGFGGSGSGFRIRIPTGVSVAQPGSKFYPKMNNNSESGSRINGGTRLVKERVVLGKRERERDVERERERDPIGEMVNAIKVLRDGFVRMEQMKMEMAREIETMRMEMEMKRTEMILESQQRIVEAFAKAISEKNKKRKLRKGNTINNNDNNIINNNGNNNNNNNNIASPSES >RHN65385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1640856:1646125:1 gene:gene13217 transcript:rna13217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate oxidase MGLFRFSLCFFILNIALFSTLCFSGDPTVSTELHVSSTTVSPLGVPQKVITINGKFPGPVINVTTNNHVIVDVFNQLDEDLLITWSGVQMRRNSWQDGVLGTNCPIPSKWNWTYQFQVKDQIGSFFYFPSTNFQRASGGFGPFVINNREIIPIPFAQPDGEIFIMIGDWYTQDYTALRSTLDGGKDLGIPDGVLINGKGPYQYNNTLVPNGIDHETITVDPGKTYRIRVHNVGISTSLNFRIQNHNLFLVETEGYYTDQANYTDFDIHAGQSYSFLLSTDQNASTDYYIVASARFVNESLWQKVTGVAVLHYSNSKGGVSGPLPPPPDDFYNKGASMNQARSIRLNTSASGARPNPQGSFRYGSINITDTYLLKVTAPVAINGTTRAAINGISFLKPDVPFRLADNNQLRGIYKLDFPSKPMNRTPVIDRSIINATYKGFIEIVLQNNDSSVQNFHLDGYSFFVVGMDYGDWSENSRNSYNRWDAISRSTTQVFPGGWTAILVSLDNVGSWNLRAENLDRWYLGQETYLRIVNPEEAGDTETGAPENVLYCGPLKYKQKKQTPSSSSADSSLGSGFLFTLFMGILVIFTSS >RHN71389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58681678:58683649:1 gene:gene20067 transcript:rna20067 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GSA MAASGIAGLTLLNCFSSSSARCRTRSLTMAVSTDQKPSTEKLTLRKSEEAFAAAKELMPGGVNSPVRAFKSVGGQPIIIDSVKGARMWDIDGNQYIDYVGSWGPAIIGHADDQVLAALAETMKKGTSFGAPCLLENTLAEMVISAVPSIEMVRFVNSGTEACMGALRLARAYTGKEKIIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPKAATNETLTAPFNDFAAVEKIFEANKGEIAVVFLEPVVGNSGFITPKPDFLSSLRKITKENNALLVFDEVMTGFRLSYGGAQEYFGITPDITTLGKIIGGGLPVGAYGGRRDIMETVAPAGPMYQAGTLSGNPLAMTAGIETLKRIKEPGTYEYLDKITGELVQGIVEAGKRAGHAICGGHINGMFGFFFTEGPVYNFTDAKKSDTAKFAKFFWGMLAEGVYLAPSQFEAGFTSLAHTSDDIKKTIAAAEKVFREI >RHN50281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5772879:5775347:1 gene:gene34553 transcript:rna34553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MIHCVFHFLFCMVAILCIGLLCAESIGMNKCVETERIALLKFRDAINLNREFNSSWKGEECCKWEGILCDNFTHHVTSLHLLFFGFGGKLDSSICELKHLTYLNLNDNQFEGKIPKCIGSLGNLIELDLGGNYFVGVIPPSLGNLSNLQTLDLGVFNYLTANDLEWLSHLSNLRYLDISFVNLTLAVDWLSSISKIPYLSELYLYGCGLHQVNLESIPLLNTSISLKSLGLKDNELQSSILKSFRNMSQLQDLNLNSNQLSGKLSDNIQQLCTTKNDLRNLDLSNNPFNVMSLPDFSCFPFLETLSLRNTNVVGPFPKSFVHLSSLSSLDLGFNQLNGSQPLFEITKLVSLKTLYLSHNNLSGPFPHTIGQLSDLNELRLSSNKLNGTINETHLSNLSELKHFDVTQNSLSFNLSSNWVPPFKLEKLHASSCTLGPKFPLWLKHQRWLTDINISNCGISDSFPKWFWNLPSSLRYLDVSHNKLNGPLPKSLQSLNVNYEDIWVWDFSFNNLNGLLPPFPKLYALFLSNNMFTGTLSSFCSSSSQRLINLDLSSNMLVGPLPDCWEKFQSLIVLNLAENNFSGKVPKSLGALGQIESLHLNNNNFSGEIPSLILCQKLKLIDVGDNNLQGSLPMWLGHHLHQLIVLRLRANKFQGSIPTSMCNLSLLQILDLSQNNITGGIPECFSHIVALSNLKSPRNIFHYSSITVYEDSEVYEIGSFNDKEILTLKGYSREYETNLGYWTTIDLSCNHLIGEIPEGITKLVALAALNLSWNNLKGFIPSNIGHMESLQSLDLSRNHLSGRMPTSFSNLTFLSHMNLFSTT >RHN55688.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21121140:21123404:-1 gene:gene30912 transcript:rna30912 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCRIQRPRIIEGLVFFRRHEDSTHIEIYYKVTVELSEFLQNSIQ >RHN77698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8806458:8807156:-1 gene:gene1180 transcript:rna1180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MDNTTYWIWWVLGIIHILPLFIGSNHLIHSITPQSPIQCNQTICTLQNSYGTWNDRKNCYALNVTYPTTEEQLRLAVSYVVQNNLTAKIVTKFSHTIPKLSCPQQNNNHAYFISTEKYDTEIQIDATNLTVTVDSGVKLRQLIDEVEKNGFSLVAAPYWEGVTIGGVISTGSHGSSWWGKGGAFHDHVFGISIIVPGSKSEGYAKVLRLESHDPLFNAAKVSLGVLGAISKV >RHN43493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46287982:46289100:-1 gene:gene50081 transcript:rna50081 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSFKGTTGECHHSIRILCDSGSILQFKGPKTVAQVLENHPGYGVFRQGHVAPLSDQESLSYGLLYYLLPLKEVQPDQKTSSSDGVSEQELVQRSDVGNDERVKSSVRVAACDYVENLSNGSALEVLPSAKNGVWKVKLVIDTRQLEEILSEQVNTGALIEKMRMAATAYSISSPTRSRTMSTWKVGWKPTFFRTFLTPVSSGKIPKRTVSVDDAAEGSNLDLSSC >RHN66243.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9988339:9989496:-1 gene:gene14187 transcript:rna14187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAEADWSQLPKELLNLISQRLDNELDLIRFRSVCSIWCTSIPNHHNILPFKFPLLKFSYTYIIDIDSINNKDNTSFCHLSKNNIFLIKPKQQQQYQTLGPWLIRIVQSSIGKTQLHHPLSRDPFHFPHVLDFNQFSLLHLGCMFTADDNFLEPSPFCFMYPEKVVAVTGHGKTPIVLGKLTFAPQPGLFKCGDKTWKVIPDMSIKFGDICFFKGRPYAVDKIGGTFMIGPDDSNVHLVAEPLIDGGDIKFLVDREGDLLLVDIYECFCFEFPGPDAIRVDVFKLYEKEKKWVKLTTLGDSVLFLGNDCSFSASASDLCLPRGNCVVFTDGSDFQYFKNMWCGNCVFYLDQGLLSPLSDCPEILNLFWPPPEWIVQSSLRN >RHN66871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20576109:20577378:1 gene:gene14982 transcript:rna14982 gene_biotype:protein_coding transcript_biotype:protein_coding MENEDKHFLFQPLLPHFNPSSYEINQQNDYNNSKFFIPNNNNIIHRILLILFVAIISIWANYEASKTFDIHIVNDTKDSLAGRRFTLFYVSNDKASRILLNTSSFVEQILYPNGNNDNIDIKNKKIIKSVTLRLARQNLDTITTITADEKNINSYVIEISPMLLEDENFNNMAIVGAIQRAMARVWLWDGRSKASPRLLDGMVEYIAELAGFHRERLSGGVGESPECEDGRELWWDNKDPTHVARLLHYCEKYDKGFIQRLNEAMKDTWHDRMVGDVLGLKATKLCGLYNATYL >RHN77669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8519634:8520517:-1 gene:gene1146 transcript:rna1146 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRESCNSRALINCGWSRGRIESLKIEVYNEILGRLKELNVSEATLPYFEDELWGHFNSLPTRYALEMNVEKAKDVLMHKRLQHMARTISSTPAIEVRLLQVIYANFMVPTTNYPNDHGINIFHAGSFYFWCTFEQILSFQLTKRNHY >RHN46246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29967318:29968762:-1 gene:gene40710 transcript:rna40710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MGFMSLGAGHSSLRPPSCPTLSDCIKPTGVQLSILYLGLGFFAIGSGCLRPCNIAFGADQFDTKTAKGKAQLESFCNWWYFLFTVALLIALTGVVYIQTNVSWFIGFIIPTGCFTVSLTIFLLGQCTYIKLKPKGSVLSDLVKVIVASIRKHHIDIKKDSEISFYDPQLSSNESEDSRNVKLAHTNRFRYLDKAAVITNQNEIDSNGNSIDNWRLCSLQQVEELKAILSTLPIWLAGIGCFISMGQANSYGILQGLQIDRSIGTKFIIPPAWMGLVPMIFLSSWIVLYEKIYIPFTKTATSNGKRLSIGQRITIGIIFSIVGMVVSGLIEVRRRDNALKHGTFQSPTRIWWLIPQFGLSGLVEAFAAIPMMELLTSYWPDSMKTLGGAIIVALTKGNGGPQWLGGNDLNKNRLEHYYYTIAAFGVLNLLYFVFFARQFLSSDVLQRQTRSEARDSDLSTLSEL >RHN43113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43309494:43316664:-1 gene:gene49639 transcript:rna49639 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSSGNGRMKVIARLLAAGSFSQTVPDDFARQKSAAEYIHRQLRDADEANLLSEEDMHIYGERPMTDPLQLVCCKNCKRPVTDSQFAAHAELCRSLKLTEQTCLKLDGNTGNRKPPRKQRKKLSNSCATTAVVEQRRSESLDNIDTSGSQSHLNGRIRVAPSSNKVKVVEPRRSDSVNNIDTAVAQPHLNSRIRVAPFSSKVKDAASMLDDAGINGGNRVLQTSLTHPPAKRHKSIASTHLDVPERHGTESAVTKTVKLTNGITRKDLVEKTVPEHRDVVHKNVGQVHMKQQHVMKNDFPAPLATKIYYSQRTNRLRAAIRHMYFENLNEELCTDVAGQKTSPGEIVALQDSSLGDPSFQQMNNVLNKESHSAMLYSTQKSDHVPAKSSEVCLLKAGGVPSVGLSDQFVLDNVSRSAATNVGLTRGNFLPKAYSFASNTGNPLGTMQQTKGSVPVI >RHN40269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14716639:14717788:-1 gene:gene46402 transcript:rna46402 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MGEIMKFVYVMIIYLFMFNVATGSEFIFTKKLTSCDSSKDCRSFLCYSPKFPVCKRGICECI >RHN73637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18407321:18408939:-1 gene:gene9474 transcript:rna9474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubulin MRECILIQIGQAGIQVGNACWELYCLEHGIQPDGKLTADGGDIFFDSFFSETVTGKYVPRTVFVDLEPTAIDDVRTGAYRELFQPDQFITANEGATNSFARGRTIGKNVVDLCLDRIRKIADNCADLQGLLVFNAVGGGTGSGLGSLLLEHLSAEYGKKIKLGMDVYPSPHVSTSVLEPYNTVLSTSVLLEHTDMAVLLDNESVYDICKRSLDILRPTYNNLNCLISQVISSLTTSLRFDASVNVDLNELQTNLVPFHTTHFMLTSYAPFISANKPYHDQLSSAEITNRVFNPSSLMVRCNPRYGKSLGCCLMYHGDIVPKDVVSAIATIKRNETLQFVDWCSAKFKVGINYYPRTVVPGGDLAKVQRAVGMISNSTSVAQVFSRIDHKFDLMYAKRAFVHWYVCEGMEEGELSQAREDLAALEKEYEDFAPYSNDTWEQ >RHN74413.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32954381:32955301:-1 gene:gene10474 transcript:rna10474 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aconitate hydratase MKLLLRVTLCGTNYKFLLRSCIHGTPTQHAFTSLHTSKGHKKELRYKASGHATIVLAGAEYGSGSSRDWATKGPMLLGVKAVISKSFERIHRSNLVGMGIIPLCFKSGEDADTLGLTGHERYTIDLPNKISEIKPGQDVTVTTDTGKSFTCTARFDTEVELEYFNHGGILPYVIRNLIKK >RHN61591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36664370:36671422:1 gene:gene24070 transcript:rna24070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MGLGSIINLNVVILVLYVCWSKQALGVTEQEEDRVYGLPGQPPVNFKQYAGYINVNETHGRALFYWFFESVDQPQTKPLLLWLNGGPGCSSIGYGEAEELGPFFPQNSSQPKLKLNPYSWNKAANLLFLESPAGVGFSYTNTTSDISELGDTITAKDSHTFLINWFKRFPQFKSHDFYIAGESYAGHYVPQLSELILDNNHNSSEEDYINFKGIMIGNALLDDETDQKGMIEYAWDHAVISDGLYHNITTICNFSHPIQNQTDECNTELNKYFDVYKIIDMYSLYAPMCFSNISNVRSHSFSKLVLDGWHKNLAGYDPCASDYTAAYLNRPEVQKALHANVTKISYPWSHCSNNITFWNDAPVSMLPVLNKLIAAGIRIWVYSGDTDGRIPVTATRYTLRKLGLPIVQDWTPWYTSRQVGGWNIVYDGLTFVTIRGAGHQVPTFAPKQALQLVRHFLVNKKLPQHPI >RHN47119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37271735:37275050:1 gene:gene41676 transcript:rna41676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin F-type MPTPMALNLCTSPKWIGTTVFDSTSSSKLSLGSSSSTASFSSSILNSKSVGLKSLSLRKSGSFSVRSSLETTGPTVTVGQVTEVNKDTFWPIVNAAGDKTVVLDMYTQWCGPCKVIAPKYKELAEKYLDVVFLKLDCNQDNKPLAKELGIKVVPTFKILKDSKIVKEVTGAKYDDLVFAIDTVRSS >RHN55323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17303345:17304685:1 gene:gene30489 transcript:rna30489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MKNKSGKRKRLLPSQSATVDTLNDFPDEILTHILSLLPCKDAFRTTVLSKRWVSLCHSLSTLNINDEGVNNSEDWIYFRRLMDKVMLSPLAQRLTLKSLSLSCWSELWEEEADGCLSFDNWLEAAKLRGMERLYLHLLNVPLAPTIFCCKTLLRLYLTNLTTSISVGSMVHCSVDLPLLETLFVFNIFFEDTKDFTKLLLGCPKLEYLAIDRVNANAGVPEGGYFKHLSKLILACISLFNVPFKAVYNVKYLTVCEIGKSLPNKEINSFDTVFENLTKLQTLNIAKAKNSTTIEHWEYPDHVPECVSSHLTKFEVIDYEACEADFRFATYILQNARLLQVMTIHHTLHPNPMESPQFLENLSSCPRMSPTCKLNLSSS >RHN39301.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5707743:5708531:-1 gene:gene45311 transcript:rna45311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MNNKNNNNTTQACAACKYQRRKCAPDCILAPYFPHDRQRQFLNAHKLFGVSNINKIIKFLDPPLKDQAMRTIIFQSDMRANDPVGGCYKYIQDLQAQIEYYKLELDLVHQQLAIFRSQSQQQQQQIQVNYGDQGIMNSDPLLGFYNPSGSIVPGTVPAHYHYNVQEQVVPQLQEHGQEQEQEQYIITEERNSSSVNTTPLQQHNNNWGAISLSSLSLHGQSSNASDEYDHKPILDIPCDERNELGFDSGEILHHRFFFFFFS >RHN39814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10770937:10772826:1 gene:gene45882 transcript:rna45882 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLENFTMYTKDRAAAALISCKVQGNVVRLLTVLLEGTWLTLGHLTWNMISAVEGCLLALQEDLG >RHN82602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55105541:55108572:-1 gene:gene6777 transcript:rna6777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MDAYKSAVAFHSDFIEGKVVLDVGCGTGILSILCAKAGARKVYAVDASEIALQAQSIVKDNNLSDIITVLHGRVEDVTIDEQVDVIISEWMGYMLLQECMLESIVTARDRWLKPSGLLLPSSATVNSLRNYFILPLPSCTWLHSPALRYFDNIGFWSDVDGIDMSALIPLAKKDAFSTPSVETIRSESILGKAHQVKKIDIYSVSNPELESVTSKFMFKSYISAPLHGFVFWFETEFSQKKPKHSGVPSPILSTAPEAPC >RHN75259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40725830:40731482:-1 gene:gene11432 transcript:rna11432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative formin, FH2 domain-containing protein MVIIQQYMGVIKCTFCIVLVLNIVAAISIEEHKETDEEFISQLFDPASGLLDEDTAEMLWITCKEDLIHLRKDIGDLGLCIPKESPSSTNEIRSIPKEDIQKLISTCNPHLKQNFLNCLRKNDAYVGSVSSRRYLRHVSPRHLGEKKDKKKKSKKDKSSDHKKTVMHAIAITALVTFIFAAFLFLCCCGSGRVRQTDERPLLSMSKSDYSVGSSSNNNPSKNSLNEEKHGVQSISNAFVDEKKNAMQEDAPRPSFDLKPPPGRAGNGIPPLKPPPGRSDPLSTEPQSDKTSDFAVKTPPPPPPPSSGAIPPTPPKPSGGGPPPPPPPKPGNGGPKPPPPPPPGAKPGGPRPPPPPKSGVRAPPPFGQKGPRPLGSKVQEKAVVGSEGEGDSHKTKLKPFFWDKVQANSDQTMVWNQLKAGSFQFNEEMMESLFGYTQQNDKLKGGHRKESSLRDTPQYIQIIDSKKAQNLSILLRALNVTLEEVRDALLEGNELPPEFLQTLMKMAPTSEEELKLRLFSGGLAQLGPADRFLKSLVEIPFAFKRMDALLYMSTLQEELATTRESFSTLEVASKELRNSRLFLKLLEAVLKTGNRMNDGTFRGGALAFKLDTLLKLSDVKGVDGKITLLHFVVQEIIRTEGLRSARVIKESSSFSSIKTEDLLEDFNHESEDHYRELGLQVVSRLSSELENVKKAAALDADGLTGTTARLGHGLIKTRDFIKKEMVDNLDGDKGFYETVKGFVEHAEADVTNLLEEEKKIMALVKSTGDYFHGSAGRDEGLRLFVIVRDFLIMLDKVCKEIQKAPKKPITKNVKQDKDTASSRGSSKPETRRPPPSDFRERMFPAVVDRRVDDFSSDDDSP >RHN64756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61575615:61576539:-1 gene:gene27611 transcript:rna27611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MHCVDMNLQPAKSRRTPTSVVVVPDDLIAEILSFLQFRCVSKSWKTLISDSAFVKLHLSRSSTRNPQFTLITEYITYHYDASYIEFDCCVIPYSMRFKYQEYWFRLWNLASRQLSPKFGYFHTVRCRGNYIFNFGCDNSSDTYKVLAFREKLNQPKSSVRILSLSDDVVWRGIESFPALPLCLANGGCSTNGVYCSGTFNWLAIHDDINGYSFEDITVEQLVIFSLDLGTETYRQYKLPCGFDELPPAAPIVGVLGGCLCFS >RHN55447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18482982:18487867:-1 gene:gene30628 transcript:rna30628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MEVRSEGIRLRFWFVRLCSSIVLWICLVQLVTVSELWHSHFISGITTGIYNIAQIQLPNQNDANNGVVDKSPPPITFLPPRNYTSNGFLRVSCNGGLNQMRAAICDMVTIARFLNLTLVVPELDKTSFWADPSNFEDIFDVKHFIDSLRDEVRIVKRVPKKFNSKYGYSTLEMPPVSWSNEKYYLEQILPLYGKHKVLHFNRTDARLANNGLPLDLQKLRCRVNYQAIKFTPQIENLGRKLIRMLHKKGPFVALHLRYEMDMLAFSGCTQGCSEKEAEELKRLRYAFPWWREKEIISEERRSQGLCPLTPEEAALVLRALGFGRETPIYIAAGEIYGGERRLAQLRAAFPQIVKKEMLLTRDDLQQFQNHSSQMAALDFMVSVASDTFIPTYDGNMAKLVEGHRRYSDFRKTILLDRKKVVELVDMHHNGTLKWHEFADGVKQVHEKRIGQPTQRKVIIDKPKEEDYFYANPHECLCEERNCDAFLGHHNSSQV >RHN57591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40368267:40368596:-1 gene:gene33164 transcript:rna33164 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPCHRQLHFSDLGCDSASNWRRCLCFVVVFRLPIYMFCVAVCFLGEAVWRRSFGSIVGLWVYSSWLLLRNAMALWPLSLKSFNFVVLLVLVVGVRRGGGGDGPGVDV >RHN50782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10475286:10480768:1 gene:gene35110 transcript:rna35110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan 1,3-beta-glucosidase MVKGAISLVAGLVLFHCWVAMAEAEHLRYKDSKQPLNTRIKDLIDRMTLEEKIGQMVQIERGVASAEVMNKYFIGSVLSGGGSVPKPKATAKDWVDMINEFQKGALSTRLGIPMIYGIDAVHGNNNVYNATIFPHNVGLGATRDPQLVKKIGEATALESRATGIPYVFAPSIAVCRDPRWGRCYESYSEDHKIVQAMTELIPGLQGDLPANWSNGVPYVVGNKKVAACAKHFVGDGGTTEGINEDNTVATRHELFSIHMQAYYNSITKVVLTIMVSYSSWNGEKMHSNRDLVTGFLKNTLHFRGFVISDWKGIDRITSPPHANYTFSIEAGVNAGIDMIMIPFNYTEFIDGLTLLVKKNVIPMSRIDDAVKRILRVKFVMGLFENPLADYSLADQIGSPEHRELAREAVRKSLVLLKNGENVDKPILPLPKKSSKILVAGSHADNLGYQCGGWTIQWQGQSGNNITTGTPILSAIKHAVDKETKVVYEENPSLDYVKFNDFSYAIVVVGETPYAETNGDSLNLTISGHGYETIDNVCSGVKCVVVLITGRPIVIQPYLEKIEGLVVAWLPGSEGSGVADVLFGDYGFTGKLPRTWFKTVDQLPMNVGDSHYDPLFPFGFGLTTEAYKATSEYLSLLNISFAIFGVFLGYLLLLHFFYFRCWHHIGIVICIFSMFGLFFSFNYYTY >RHN55393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17906702:17907301:-1 gene:gene30566 transcript:rna30566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFPHIGKLSCLKSLSVYIVNPEKGHKLRRKTGNQSLQNVSSLSEVEEANFIGKKDLNELCLSWRHQGSSVKTPIISDDRVFEVLQPHRNLKGLKIYYYQGLCFPSWIRTLSNLLTLIVKDCMLCERFSSLGKLPSLKKLELFNVSVKYLDDDEFENGVEMINFPSLEILTLNNLSNLEGLLKVERGEMSLSFYFEHPKL >RHN67056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23323140:23323448:-1 gene:gene15188 transcript:rna15188 gene_biotype:protein_coding transcript_biotype:protein_coding MFLALLTSRKQVEDFNRNLPLKLLFGFSSLFVAIVAMFICFIGGHYFVLTDKYTKSGILFYLYIAICLPITFYAVEHFPLYIDLLKVILKKVPPPSIKGVKL >RHN49256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53588865:53590532:-1 gene:gene44068 transcript:rna44068 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MEATETRPELVVVQEAPPPLPPEEQQLQPLMCKSCTLRVSIHCQGCMRKVKKVLQSIDGVYQTTIDLKQQKVEVKGTVDTDTLIKILTQTGKRAELWPDTEPIKKKKKKKKKKKKPKPENGQNTDQKQSDGESSDEGNQTDGGNENEAVKVVVAATEGGSGGGWPPGRGGVQFQEIKPEVRHVTSFPAGNQLPVAEKKVTMAMENAGLNDGGYRRNRIYYQGQRGNSEGLNVTFEPPPSGGDWNQNQMHGHGPCGPGSGPGQVSFMGPPPNESPPRNQYAPHYHHAPASPTVCGGNYLHTAYPTSMRYGASYCTSLSQPYSYAYTHQSNDFDESESYTYTTSSRSRTSNSFELFSDENPNACSVM >RHN58958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6227762:6228377:1 gene:gene20925 transcript:rna20925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQIERKKNMVETLKLVYVLILFYSIFLGIIVCNSSGITYFDVRCEKDKDCPKPPRINIRINIRCRKGFCVQI >RHN54302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8487288:8488037:-1 gene:gene29313 transcript:rna29313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative winged helix-turn-helix DNA-binding domain-containing protein MSASGEVDDVEMPVEPKPVKEMKPKASKQTKTASHPPYFEMVKEALLALKERNGSSPYAIAKYMDEKFKPVLPANFKKILSLQLKNQTKRGKLVKIKASYKLSDAEKPKKEKKDSVAEKKQQNQKRKTNATVGGKKKVVNKKSKSIKSTTNKRGRKANAATETM >RHN80340.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37302349:37302970:1 gene:gene4254 transcript:rna4254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I13, potato inhibitor I MSDDECKGKSSWPELVGVEGKVAEATIQRENASVKAIIVLEGSSVTDDLRFDRVRVWVDKEGIVTQVPTIG >RHN70797.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54073241:54077981:-1 gene:gene19414 transcript:rna19414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase CMGC-CLK family MVSNFMERERTRKRPRLAWDAAAAPPPSIPKVPRNLAVVGDDGIERKYASPPRRDDDRDGHYVFNLGENLTPRYKILSKMGEGTFGRVLECWDRQTRDYVAIKVIRSIKKYRDAAMIEVDVLERLVKSDVGCSSCVQILNWFDYRNHICIVFEKLGPSLFDFLKRNKYCPFPVDLVREFGRQLLESVAFMHELRLIHTDLKPENILLVSSDYVKLPSCKRVMSDETQFRCLPKSSAIKLIDFGSTAFANQSHSSIVSTRHYRAPEVILGLGWSSPCDLWSVGCILIELCTGGALFQTHENLEHLAMMERVLGPLPEHMVQRSKGTEKYFKRGSRLRWPEGAVSRESINAVKKLGDLKDIISRHVESSRSSLTDLLYGLLTYEPSKRITARQALDHPFFRIPT >RHN49900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2131331:2133364:1 gene:gene34128 transcript:rna34128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MAATKTTSTSSKTLLSFFLFFNIIINLNISESKLTLDYYKQTCPQFQQIIQQTVTSKQIQSPTTAAATLRLFLHDCLLPNGCDASVLLSSTPFNKAERDNDINLSLPGDSFDLIVRIKTALELSCPNTVSCSDILATATRDLLIMLGGPHYNVYLGRRDGRASVSSFVDGFLPKPSMTMTQIVSIFTKRGFTVEEMVALSGAHTVGFSHCSEISSDIYNNSSGSGSGYNPRFVEGLKKACGDYKKNPTLSVFNDIMTPNKFDNVYFQNLPKGLGVLKSDHGLFSDPSTKPFVERFAKDQDYFFKVFASSMQKLSLLNVQTGRKGEIRRRCDQIN >RHN43766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48306950:48342979:1 gene:gene50388 transcript:rna50388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Sec8 exocyst complex component specific domain-containing protein MGVFDELPLPPEKAYLREEISRIDETWTAARFDSLPHVVHILTSKDRDAAAQFLKEQSDVIEEVVDEVVQSYHTGFNRAIQNYSQILRLFSESTESIGVLKVDLAEAKKHLSARNKQLHQLWYRSVTLRHIISLLDQIEDIAKVPARIEKLIAEKQYYAAVQLHVQSIMMLERGLQTVGALQDVRSELTKLRGVLFYKILEDLHAHLYNKGEYSASGSTMLENDDDVPTTISVALTAHSSQPLSRRTRSLKGDNQNGLQIDGSYRPGPVDRGSFDGNDEEGALDSSEEATLDGDMENMRINGSDVTKDASGALCQMPTWLSNSTPDEFLETIRKSDAPLYVKYLQTMVECLCMLGKVSAAGAIICQRLRPTIHEIITSKIKAHADLLNSSRSSIGKGSRTGTGDLHFIKGQLESYQLPKQKRKNGISIAGTLLAVSPVSPLMAPGGKAQVAAKDLLDSILDAVVRIFENHVVVGELLEAKVSQHVDLNTPKSVPVDVSWNPDSEASQVTGGYSISFSLTVLQSECQQLICEILRATPEAASADAAVQTARLASKAPSKEKRDGSENGLTFAFRFTDATISIPNQGVDLVRQGWNRKGPNVVQEGYGSAAVLPEEGIYLAASIYRPVLQFTDKVASILPTKYSQLSNDGLLAFVENFVKDHFLPTMFVDYRKGVQQAISSPAAFRPRAHVVTTYTSSIEKGRPVLQGLLAIDYLTKEVLGWAQAMPKFANDLVKYVQTFLERTYERCRTSYMEAVLEKQSYMLIGRHDIEKLMRLDPSSGYLPNLQGPFNLESNSYDTETIEAELELSELLLNLRPIKQENLIHDDNKLILLASLSDSLEYVADSVERLGQTTQRATNHIGGKHHSHSDSEPTRSLASFARDYRKLAIDCLKVLRIEMQLETIFHMQEMTNTEYLDDQDAEEPDDFIISLTAQITRRDEEMAPFISNAKRNYIFGGICGVAANASIKALADMKSINLFGVQQICRNSIALEQALAAIPSINSEVVQQRLDRVRTYFELLNMPFEALLAFITEHVHLFTAAEYANLLNVLVPGREIPPDAHDRVSEILSL >RHN71610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1344387:1353311:-1 gene:gene7226 transcript:rna7226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylserine decarboxylase MGHEYSKLSESTGEGNKGSRRARLKNRLHLHRHRKTPSTSSSNKLLSVDTFTGIALFALLRAEMQFKDKWIACLSLGEQTFRTKSSDQTDKPVWNSEKKLLLEQNGPHIARVSVYETNKLSSNTLVGYCEIDLLEFLSQDSDSDIETFNLLDPSVPGKVVGNISISCSVEDPIETEKGFVRRILSIMDYNGDGMLSFSEFSDLIDAFGNQLATRKKEELFKAADKNGDGVVSMDELASLLALQQEKEPLLNCCPVCGEVLQISDQLNSMIHLTLCFDEGTGNQVMTGGFLTDKQASYGWLFKMSEWAHFSSYDVGIRSGSSSSHILVYDRKSQRLVEEQIDKKIVLSMRAIYQSKIGLGLMDIGVKELLQSISEKQGAKMDSLESAADIPKFVESYKGQISLAEVKYPLEHFKTFNEFFIRELKPGSRPIASAEHDNIAVCGADCRLMAFKSVDESSRFWIKGRKFSVQGLLGKEMCSSAFVDGTLVIFRLAPQDYHRFHLPVSGTIEQFVNIPGSLFTVNPIAVNSKYCNVFTENTRVVSIISTVDFGKVAFVAIGATMVGSINFTKKKGDYVKKGDEFGYFAFGGSTVICVFEKGSIAIDEDLLSNSTRSLETLVTMGMRLGVSTRKLS >RHN43526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46526161:46529489:-1 gene:gene50121 transcript:rna50121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GRAM domain, PH domain-containing protein MNSSTAKETTATAKMEHKKATTRKNTSFACRVHQHVKLGPKLSETLKGKLSLGARIIQEGGRGNIFKNIFGMQEGEKVLKASQCYLYTTAGPIAGVLFISTQKVAFCSERPITFSSASTGESRKIPYKVLIPIGKIKEVNEGQNVNQEEQKYIEIVTHDDSEFWFMGFLRYEKAFRNLQKAISMSI >RHN64039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56102770:56103880:-1 gene:gene26815 transcript:rna26815 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MtN15 MTYCANKFYNVLMFLCLAILLIFIWEVEAKVCEYPLSRTWFEEGQCFKDLCNTKCKEMIASYGSCQEDACVCAIYCY >RHN50372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6577919:6582922:-1 gene:gene34650 transcript:rna34650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MDRVVATVSGYRELERFNLIKLISRSGASYVGDMSKSITHLVCWKFEGKKYDIARKLKIYVVNHRWVEDCIKEGRRVPEDSYTLQSGQEVGPLVMEVPVNVQASTLSKNKVVRGRSCDIVSERQNTDFSSGVCGIPVLEDSSLLKQHGESSSYPPRLSRKGKRNRGNDVSTTGRHSHKGRRVVKNDGEVTFAPIILDLSTDDQLCEIDRQDTEAAVTSTLSRHVNIDNIQENSEGLDAGLSSQSRTIGGSSDGIEQSRDSNHISTLRNSTLFIEDPLPLTQTSVDLCSSAAEKFSDGDVVDNFDDSPTSNELSCVICWTDFSSTRGILECGHRFCFSCIQGWVDCRKAMGKVSNCPLCKASIMKIMKVEHADTTDQKAYSQTIPCDYTSSDVFIPIDQEFPDNSLEAGACVICGGREPEDLLENCDVCRCRRIHSYCMDPPLRPWTCTSCKELRMLYRNRLY >RHN71663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1734304:1734647:1 gene:gene7287 transcript:rna7287 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSGENRPEVIARLKQDLRLFWPVCDIVTFGFIPVHLQPLMNSCCAYLWIVYCSRIAKRTNLTEA >RHN44741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8021607:8027145:1 gene:gene38900 transcript:rna38900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-tyrosine-phosphatase MVGNDDARAPCHLSNSRKMFWRSASWSSARSAATSNPSGEPESNFTDPNSGGGGGDNTNRRFPPPPLTPRSQQNCKARSSCLPPLQPLSIARRSLDEWPKASSDDIGEWPQPPITPGGRGNGNGNSSSNSNSSNNNGERLKLDLSTIQQNNNPDSRNNGGLVKRDKIAFFDKECSKVAEHVYLGGDAVAKDREILKRNGITHVLNCVGFVCPEYFKGDFVYRTLWLQDSPSEDITSILYDVFDYFEDVREKNGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIADPNMGFACQLLQCQKRVHAVPLSPSSLLRMYRIAPHSPYDPLHLVPKMLTDPSLSALDSRGAFIVHIPSALYVWIGKYCAAIMERDARGAADQIVRYERVQGSIIMIKEGEEPPSFWDAFSKFLPLMDMPGNRVESYKSSVRIFPGERKVDAFDVDFEVFRKAIVGGFVPPFASSENDHETHLPARESSWSVLRRKNSCPDVKEFLSAPKLSLPRVYSDSMLCIHASANSFPSPSMLSTDSSLSSSSSPPFVSPDSISSDSSNHSKLLLELSPDSSSLVFTPVPVSSSLSSFSNLSLLSNYSSQPVSNCTDIHGVKLSPHLCSRSASLPLKKPSTSIAERRGSLSKSLKLPLMNDNTKVIDKPSTIPSSREHGVLASSKFSCMQDSDSIEYLFESTANVKNGGMNSIQQCEPASCPDIADCVGCNELSVLRNCVEPSVDSLSGEDLKSASSKGNYESGSSQCSKIQSLVYRWPSLEKIEAFGANHLGSEAAFAVFSPSIHMHARNILYIWVGSSFKLDASQVRLDSDRRSDLIGAVDWNKIGCDLLAQFNLPHNTVTKIVKENEEPQEFLALLSSL >RHN60771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30268678:30269875:1 gene:gene23132 transcript:rna23132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MIRNQSLLSFSLALLVSLLYSTTTSLSQLSPATAPIQPTLPAPTTPAAAPKPLVPSLPESPSDSTPDTAGTVDIVGILRKAKAYNVFIRLMKTTQLINQLNSQLLATKTGGLTILAPDDSAFSGLKAGFLNSLSDGQKLELLQFHVISDYVSSSNFDTLTNPVRTLAGDKPGKVELNVVSYGGSVNISTGEVNTTINGIIYTDKRLAIYKVGKVLLPMDFFSVAKAPGKAPSLAPEPSTDTAKAPKPDKDTSSDSSQVINPTVNKSGSIKIVYGKWMSVGLVLPFAAMIQI >RHN56984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35450002:35450646:1 gene:gene32457 transcript:rna32457 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKVETLAYISQSSLLLYFPMMHVSCEFLALLDSLQATLHNPISKA >RHN42648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39973174:39977708:1 gene:gene49113 transcript:rna49113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthine/uracil/vitamin C permease MAAIKPEDISHSPMDQLQGLEYCIDSNPSWVETILLGFQHYILALGTAVMIPSFLVPSMGGNDDDKVRVVQTLLFVEGINTLLQTLFGTRLPTVIGGSYAFMVPIVSIIRDPSFAMIDDPQLRFLSTMRAVQGALIVGSSIQIILGFSQIWAICSRFFSPLGMVPVITLVGFGLFDRGFPMVGTCVEIGIPMLILFVVFSQYLKNFQTRQVPILERFALLITTTVIWAYAHLLTASGAYKHRPDVTQHSCRTDRANLISSAPWIKIPYPLEWGAPTFDAGHSFGMMAAVLVSLVESTGAFKAASRLASATPPPAHVLSRGIGWQGIGILLNGLFGTLTGSTVSVENVGLLGSNRVGSRRVIQVSAGFMIFFAMLGKFGALFASIPFPIFAAIYCVLFGLVASVGLSFLQFTNMNSMRNLFITGVALFLGLSIPEYFREYTIRALHGPAHTKAGWFNDFLNTIFYSSPTVALIIAVFLDNTLDYKDSAKDRGMPWWAKFRTFKADSRNEEFYSLPFNLNRFFPPS >RHN70703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53324910:53330419:-1 gene:gene19314 transcript:rna19314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TIFY family MAVLRMVHSHSQSQNNNNNNISGTQHFLHDFLGMKPTDTSIDVRLPEASASASSAGARGPFSSTSETASEKQVSNHLEGVPFYGPRSDFSGTEISNRLVGNKRSNSDSSFMGSSRDAFQMVPDSFQNSHLMKVLRNASGGERSRRPNDDEVLLGMQSMKPSSSSQIFHAPANTKIDANKWERSILMNAGPSMQHPPRGGQLTPFAHQLASNKLRDTIVGPSFISQPAADEGSRTGIKGPGILSSINTASTATDKISSVVLLGGSRPKPLTNIIESSTPPSSQHGLTAASRQMTIFYGGQAHVFDDVHKHKADVIMALAGSNGGSWSTAFSPKSTAKLVNDSNLHSGENETGLVSNVAFPEELHGKLSAAGSSSRAVGPVDRVSTPTGAHQGSIFAKDTRNSTVQAADPSSEDKRAQ >RHN49077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52273635:52274135:-1 gene:gene43866 transcript:rna43866 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSSTVDSFRGHYSIKSCLSSNSVQKYDSFDYICTVKCGCMSAFGGVSDCCSKTMGSRNSVRFIYYLAKNWDMGPDCRHSCRKKLCLSCVLLKISSIHSFIILETIGICKVAARNLFSGVKSFVCDSVWLSLIFVVCWSTTLSSKSKHIHQIFLSYLFVGLGGGE >RHN61960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39627854:39631234:-1 gene:gene24477 transcript:rna24477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sodium/sulfate symporter MFHPSVTNSFSNSSPDAPKLAREKMGPMTTNEKIMTATLFLTVGLWVFGGLLNIDAVTAAILGLAVLLISGVVTWKECLAEGVAWDTHVVCCPHCNGWVLEQIWSHLLVQSNCSQVCWWIGSLSWQLSFGIL >RHN42426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38267821:38270968:-1 gene:gene48865 transcript:rna48865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L38e MAKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDTDKADKLKQSLPPGLTVQDL >RHN67406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26935990:26937321:1 gene:gene15595 transcript:rna15595 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLFSLFVLSMLFTVLFAQNAPASSPKSSVTAKPPSSVSVSPTNSPASPAKSPTLSPPSQTPAVSPSGSASTPPPATSPPAKSPAVQPPSSVSPAISPSNNVSSTPPVSSPASSPPTAAVSPVSSPVEAPSVSSPPEASSAGIPSSSATPADAPAATLPSSKSPGTSPASSSPETSQGPAAADDSGSRSSFGAPVVLSGLALWISLSF >RHN77849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9914701:9915300:-1 gene:gene1345 transcript:rna1345 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPNDTTYGRSPIQRGHQLQGPRPTPLRINKNSHKIKKPPLAPQAQPRLPVIIYMVSPKIIHTTPSEFMSLVQRLTGSSSSSSNNVSMSNDSLNDNTSYEMTTFIAAPCATIEKAREPNQDIKKEHQSSNCGEETKYLFNGVFSPSSLFLDPDIIKFINDLSPVLSSDRNFMKNSFIMPNPSYGVSPPPPSIDLFNNF >RHN70678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53156623:53159057:1 gene:gene19288 transcript:rna19288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, EAG/ELK/ERG, ankyrin repeat-containing MVNKTSVLQLQVVGKVHAKDLVGEVGVLCYRPQPYTVRTKRLSQILRLSRATFLNILHSSVGDGTIIMNNFLNHLQTSDIPGLDAILEETEAMLARGKTDLPISTCFAAGRSDKRLLQRLLKKGSDPNETDRNGRTALHISASNGNESFVTLLLKFGADPNAKDLDGNIPLWEAMIGGHESVKKMLIDKGADIFCVDAGHLACSAVDKNSIELLKELKELGVDVTKPEMSGITALHKAVSDRNVEMVKFLLDLGAKVDMQDDHGWTPRALAENQGHDEIKNIFQNIKENKQTAPVSPIPEDGTPNVSSFQSAPVMPDARGSLDNMLQPPNQEELPWLDSHRRQRANTFHNSIFGMISAANYDKKDLGVSESRITNTANMNGIVARVTLICPEKGEHAVKLAFLPDSLEELLDIGAKKFDFSPTKILTKEGAEIDDIDLIRDGDHLIIAK >RHN58012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43110624:43112906:1 gene:gene33617 transcript:rna33617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA glycosylase, helix-turn-helix, base-excision DNA repair MSSLSGLCLVESRRIGQNFPIMCKRKRVRRERISLVKLLPPFAKGQRSKSFVTKRKCWTQFWAEGNSTTSRKMRSLIKKIISLKKKMHRKKNKRDVRKKSGELVLYNRPSAEVEVMLDEETLRVWNLLVVENKHEENDEHKRRYWEMIRKLYHKMVISFLDQVHTVQGDRRFLPWKGSVLDSVGGVFLTQNVSDHLSSSAFMSLAARFPVKLVSGEQSKNMVLSDKKMEAQKANESFIERNSNSPPSRRKQTDNKKKSKKQEEKEMLEKKILEKKMQHWETLRKIHSKSDQHIDHADSVDWEAVRDANVNEVAAAIKKRGQQNIIAYKIQVALKGFMVNHGSMNLEWLKDIPPNEAKEYFLSIFGLGLKSVECLRLLTLQHISFPVDVNVGRIVVRLGWVPLQPLPESIQIHNLEKFPDPIKIQQYLWPRLCKLDHQTLYELHYQLITFGKVFCTKRNPNCNACPMKDGCKYYASSLARYVLHLFIKFGEYAMTLFVNGRGKSTT >RHN63403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50991682:50992695:-1 gene:gene26100 transcript:rna26100 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRIRASPSSLDTLEGSPPSKILKDDSFSIYEATLMKLKLGAKRDTPSVQIDEEEEFKKDCSVTDEVSMDVDSTPATEIASNSPTLMGRDYSSSVTNTSPIVVTRLGESVSTENNLEQPRQNNVSILHFFNKIKDPGYDSSSSMKNSCCGSASSLGSVGSDGTRSELCE >RHN73756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19662294:19665188:1 gene:gene9618 transcript:rna9618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MASSSHKLFILLFTILFSLTLPLNTNTSITTSLNPNKLTSLKSLCKTTPYPKLCFNSLKLSISININPNIITYLLHSLQLAISETTKLSNLFHDVGTSNIVEKQRGSIQDCKELHQSTLTSLKRSLSGIRSSNKRNIADARIYLSAALTNKNTCLDGLDSASGTYKPILVDSIINTYKHGIMMKIDSTVITGNRSVVDGWTTFRSATLAVSGDGFLARDIAIENRAGPEKHQAVALRVNADLTAFYKCAIYGYQDTLYVHSFRQFYRECDIYGTIDFIFGNAAVVLQECDIVSRMPLPGQFTVITAQSRDNPDEDTGISIQNCSIIATDELYSNSSKVKSYLGRPWRVFSRTVLIESYIDDFIDQKGWTKWSNDQGLDTLFYGEYENYGPGSKIDNRVEWVGYHLMDYNDAYNFSVSEFIIGDQWLESTSVPYDDGI >RHN44647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7220904:7223038:-1 gene:gene38791 transcript:rna38791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MVKKYEGCAVGIDLGTTYSCVGVWLDEHNRVEIIHNDQGNKTTPSFVAFTDDQRLIGDAAKYQAATNPQNTIFDAKRLIGRKFSDSVVQNDMMFWPFKVIAGVNDKPMIVVEYKGEEKQLCVEEVSSMILTKMREIAEKYLQSEIKNAVITVPAYFNDSQRKATLDAGAIAGLNVIRIINEPTAAALAYGLDKRTNYGGEKNIFVFDLGGGTFDVSILTIKDKVFQVKATGGNTHLGGEDFDNRLVNYFAEEFKRKNKVDITGNSRALRRLRTACERAKRVLSFVVVTTIEVDSLFQGIDFISSLTRAKFEEINMDIFNECMKTVESCLVDAKMDKSIVNDVVLVGGSSRIPKVQQLLQEVFMGKDLCMSINPDEAVAYGAAVQAALLSEGSKSVPNLVLQDVTPLSLGKAVIGNVMSVVIPRNTLIPIKKRREYYTVCDNQSEVFIEVYEGERPRASDNNLLGSFYLSNFPPAPSGYPFDVYFSIDENGILTVSATEKSTGNMNEITITNYKERLCSDEIKKLIKEAENYLVEDKKFLKRDEVRNVLDYCIYKTRNALKQTELNSKLSSQDIDKINSALTTASNLLDSNQQVEIDVLEGHLKELESMFESIILAKKE >RHN57139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36799352:36800296:-1 gene:gene32640 transcript:rna32640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MFSETGVYSVKTGYQAIQCWKNNRDQGPSSEEIMKTVWKKVWGLQTIPRHKVLIWKIINRALPVRSELINRGIRCSLLCPRCDSTIETINHLFLNCEHTRREWFGSQLGINFQNENLTDFIDWLISFILNNDKSTIITMAALLYSVWHARNQLVFKNKDIHGEVVIQRAKNSILSYKNVQQADLDHLNNIDSTRSMHRAQVPTKVKWVRPEKDVIKVNSDVNLSYPDSWGIGVIARNDEGLVMASGTWMRLGFHCAGTAEAWGLYQAVHFAHECGFNKVQFESDNERLIKLLQGSGGELPNYLGARQQLFFFSY >RHN61392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35388318:35388770:-1 gene:gene23856 transcript:rna23856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MSLTGPYHSSLINWFVYASNYIYTISLDSQATQIFYILNYHNSNFLFPTNPLTIKKTMGFRIAKLIRMPSFSKTQESTKGLEVPKGYLAVYVGDRMRRFVIPVSYLNQPSFQELLNQAEEEFGYDHPMGGLTIPCSEDEFQNLTSRLSEL >RHN68916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39603525:39603900:1 gene:gene17337 transcript:rna17337 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLALQRVSLSSSSSSCSRFITGSASKPSLSIWRRKKELGKEGLIITKELKRLQTDPVRLDRFVRSNVSRLLKSDLVSVLFEFHGQDNVFLSMKSIFGLII >RHN68652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37484767:37486185:1 gene:gene17030 transcript:rna17030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLQISPTPPILQFNHILASLVKINHYPTAISLFRQLEFNGIKPSIVTLTILMNCYCHTGGITFLFSILTKILKMGYEPNSITFTTLIKGMCLNGQAYEALLFHDHVVAHGFKLDQVSYAILMNGLCKMGETRAAMQMLRKTWVNADVVMYSTIIDSLCKGKFVTDAYRLYSEMIAKGIFPNVYTFSILVDALCKDRKGKQAMNLLAMMMKKGVKPNVVTYGAIMDGYCLVNQVNKALNIFNVMVQEGVEPNVWCYNIIINGLCKKKRVDEAMNLFKEMHWKKINPDVVTYNILIDGLCKLGKISTSLELVDEMRSIGKTVDIITYNSLLHALCKNHHLDQAITLVKEIKDQGIQPNVCTYTTLIDGLCKDGRLEDAYVIFQEILTEGYHITVWTYNVMINGLCKKGLFDEALALLSRMEDNACIPDAVSYETIIYALSEKGETVKTNKLLCAMIVRGLSKRLKKKVKLLFSN >RHN47267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38381497:38385256:-1 gene:gene41843 transcript:rna41843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MKPLLHYPSSSIIISFFSFTILMIFIINIPTCMCNDYTDCNEAFECGSSSITNLKYPFWGNNREERCGSVSDPNMELTCEESVPKITINDVKYRILEWDNTTQKLTVARDDYWGGICTVSVSVSDKPNNSTFESADQFQPYGSVSSQLNLLYNCDTTVLNVVYSANCGGNIEVVYTLSDPRSLSLTCNLSVIIAIPISVTRITNLDDINEALQGGFDLRWMGNYADCQRCVDSGGACGNNGTEFRCFCNDGAYTDICGSRKAPTSSSKRNWGPVIIGASIAGFGVTVFIIIMISRRLKRGTGRQQMIILRQQQQSSLIPQTILRERTELVDNNVEVFMRSHNLSMPRRYSYAEVKMITNYFREKLGQGGYGVVYKASLYNSRQVAVKVISETKGNGEEFINEVASISRTSHMNIVSLLGYCYEENKRALIYEFMPKGSLDKFIYKSEFPNAICDFDWNTLFRIAIGIAKGLEYLHQGCSSRILHLDIKPQNILLDEDFCPKISDFGLAKICQRKDSIVSILGARGTIGYMAPEIFSRAFGGVSYRSDVYSYGMLILEMIGGRKNYDTGGSCTSEMYFPDWIYKDLEQGNTLLNCSTISEEENDMIRKITLVSLWCIQTKPSDRPPMNKVIEMLQGPLSSVSYPPKPVLYSPERPELQVSDMSSSDLYETNSVTVSK >RHN40229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14403367:14404056:-1 gene:gene46352 transcript:rna46352 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFISIISFGPIHHNSECLKEGEHYKLLWTSTFVEEYGKQINQDGHQACKLLLNKIEDNIVELKNMFTEDAIEGFNDNDLAWILFVDGCSLLHFMENVDDQYPEGLNLKFDQLMYIWRDVKLLENQLPYQMLEIICNARGIDLNFLVSNYQGMGACKRYGMTLIPLKNPKPFHILDSSRLMYLTSDLGIDIEEQGQMETHSEGNEPNQTGENTTLNQEDDDDEEVLSC >RHN62765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45708509:45711842:1 gene:gene25372 transcript:rna25372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MKGVYNSLQALKPIILMLFVQIAYAAVNIMYKLVINDGMSMRVASAYRLAFASAFTIPLAFIFDRKSTRPKITWKVLFLSFLCGLFGGSLFLNLYGVALDLTSATFMLSMSNLIPGITFIMAISARLEKLNWGLVEGKAKVIGTVIGIGGAMLMIFYKGVEINIWSSNINLMHQHRNQNGLMDPKHRDFSNNIVGVPCAIASVCSFSLWLIIQAKLNEEYPFHHSCSALMCSMGAVQAIVVALCVDRDWTEWKLGYDIRLLTMAYSGIVVSGSVVIVISWCIRMRGPLFVSVFNPLQLLLVAVFAYLFLDEKLYFGSVFGAVLIVCGLYAVLWSKGIEMKKKTQLLSLKIKVENEAVELVLSNPDKCIQSNKTQTNTIENVTIGQ >RHN41411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29776095:29778277:1 gene:gene47729 transcript:rna47729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-disulfide reductase MADSVVNVTHDVHSLLSSPDRDFLLRNTGDQVKIDSLKGKKLGFYFSASWCGPCRSFTPTLVEVYNELSPKGDFEVVYVSRDKDDETFNNYFSKMPWLAIPFSDSEIRIRLKELFHVKGIPHLALLDDTGKVVTEDGVHIIREYGTEGYPFTSKKVQELKDIEEEAMRNQSLRSTLVSSYRDFVISSDRNEIPISEIEGKTVGLHFFTTSYKPCVLFNQKLKEVYNNLKENGENFEVVFIPLDDEEESFKKELESVPWLSLPLKDKTCLKLVKYFELSTLPSFVVIGPDGKTLHPNAADAIEDHGIDAYPFTPEKFSELDEIAKAKEASQTLESVLVSGDQDFVIEKDGKKIPVSELKGKTVLLYFSAHWCPPCREFLPKLIDAYLKIKTEDNDALEVVFISSDRDQDSFDEFFAEMPWVALPFGDSRKEFLSRKFKVAGIPKLVAIGPSGQTVTKEARDLVGIYGADAYPFTEERIKEIEAQKAAKEEEKPKDGWVCDGDVCTKA >RHN56981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35435709:35438617:1 gene:gene32454 transcript:rna32454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MGLIAAHGMVSRVTPYLVTSLALTLVVKAFKFGRFTSLTHLDLSNTHVGGEIPSQISYLSKLQSLHLSGHYELVWKETTLKRLVQNATSLRELFLDYSDMSSLRHNSMDAIFNQSSLISLDLTDCELQGPIPPSFSNLTRLTFLSLAQNNLNGSIPSSFSNLQNLIHLYLSGNSLSGQIPDVFGRMTKLQVFYLASNKLEGQIPSSLFNLNQLVDLDCAYNKLEGPLHNKIAGFQKLIYLRLNDNLLNGTIPSSLLSLPSLVLLYLSNNRLTGPISEISSYSLEYLSLCNNKLQGDIPNSIFNLANLITLCLSSNNLSGVVNFQDFTKLQKLDSLSLSHNSQLSLNFEYNVTYHFSQLTKLDLSSLSLTEFPKLLGKLESLDLSNNKLNGTVSNWLLETSRSLNLSQNLFTSIDQISRNSDQLGDLDLSFNLLVGNLSVSICNLSSLEFLNLGHNNFTGNIPQCLANLPSLQILDLQMNNFYGTLPNNFSKSSKLITLNLNDNQLEGYFPKSLSHCENLQVLNLRNNKMEDKFPVWLQTLQYLKVLVLRDNKLHGHIANLKIRHPFPSLVIFDISSNNFTGPLPKAYLKYFEAMKKVTQVKDDDSLLYMEMMLSYRADNTKGNVSYYDSVTVTTKGIKMTLTKIPTMFVSIDFSRNKFNGGIPNDIGELHALKGLNLSHNRLTGPIPQSIQNLTNLESLDLSSNMLTGMIPAELTNLNSLEVLDLSNNHLVGEIPQGKQFNTFTNDSYKGNLGLCGLPLSKKCGPEQHSPPSANNFWSEEKFGFGWKPVAIGYGCGFVFGIGLGYYMFLIGKPRWFVMIFGGHPKRRVNRRT >RHN75133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39641546:39645626:1 gene:gene11293 transcript:rna11293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MKMQKWFMHVVFTLFCFSLLHVQSSHKVDDEINRSQFPNGFLFGTSTSSYQIEGAAFEDGKGLSNWDKFSHTPGMINNDENGDIANDHYHRYLEDIKLMSSLGINVYRFSISWTRILPRGIYGDINPSGVMFYNNLIDNLLLRGIEPFVTIHHQDLPEELEQRYGGWLSPLIQRDFVHFAEICFKSFGDRVKYWVTINEPNLVADFGFMRGTYPPGHCSPPFGNCYTGNSDVEPLLAMHNMLLSHAKAVDLYRKQYQAKQGGTIGIVAHTFMYEPLRDEECDRQAANRALAFVIGWLLDPLVFGEYPADMRSILGSQLPRFSSKEKSLLRGSLDFIGINNYGALYAKDCYLSTCPLEAARPIKGFLQTTGMRDGIPIGDQTGMKWFFVVPKGMEKIVDYIKIKYHNMPMYITENGYSSPLNAGMTMNDLLHDFKRIEYHKAYLAALLRAMRKGADVRGYMVWSLFDNFEWNSGYDKKFGLYYVDRQTLERIPKLSVEWFSSFLNNTSDDTNIEDLSKKYRSKYVTTAVV >RHN44532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5784215:5786656:-1 gene:gene38661 transcript:rna38661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, galactose oxidase, beta-propeller MNCVGQSSELGSGVSIGEAVENVVLGGDVRCWIQASPPRGNGSRNTSPMGRVGSRNTSPSRQKVVKTKPRGLDEETHATFGKVVHADVQMEDNIWAMLPEDLLHEILARVPPFLIFRLRSVCKRWNSLLQDSSFLKFHSSVPSHGPCVLSFCKSSLIPQCSVYSLPLKTWYRMCFTFLPHWAIWLVGSSGGLVCFSGCEGSVFYILVCNPLTQTWRKLPSMHFNQQRQLIMVVDRSDQSFKVIATNDICSDKSLPTEIYDSKEDKWSVHQTMPASNLCSSKMAYCDSRLYLETLSPLGLMMYRLDINRWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSIRIWELDHAKILWGEISRMPPRYFRSLLRLSAERFECFGLDNLICFTSYNQGKGLLFDVDKKIWSWIGGSAFQSYNNQACFYEPRFDASIY >RHN74915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37742608:37744227:1 gene:gene11049 transcript:rna11049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MVMNNQLHYIPFALFLCLGLLSFQATSRTLQNDPMYEMHEQWMVQHGKVYKAAHEKQKRFGIFKENVNYIEAFNNVGNKSYKLGLNHFADLTNHEFIAARNKFNGYLHGSIITTFKYKNVSDVPSAVDWRQEGAVTPVKNQGQCGCCWAFSAVASTEGIHKLTTGNLVSLSEQELVDCDTNGEDQGCEGGLMDDAFEFIIQNNGLSTEAEYPYQGVDGTCNKTEVGSSAATISGYENVPVNDEQALQKAVANQPVSVAIDASGSDFQFYKSGVFTGSCGTELDHGVAVVGYGVGEDETEYWLVKNSWGTQWGEEGYIRMQRGVDASEGLCGIAMQPSYPTA >RHN38782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1932993:1935200:-1 gene:gene44748 transcript:rna44748 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRCNPSCVCIGRVLQWLVFLGLPVGLDAVVSPLPSRCGFRGFLVVLSFLQVLLWLALNFLVLLFAVSFGVCAPFWGSFGCAFGSVYGARGVYLGGFWSNIKEVVKDLAEIFYKKFIILAAMVAVKCTHVGEKFFTQHYIIYSASVYMIMAYFLVWVLQTLLQQPIPNSGLFPISLLLSLGCAVSFLALTLISLNIAVINLVLWVFTFILVIFNNYYQQKLTKSVSV >RHN79976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34013794:34015622:-1 gene:gene3840 transcript:rna3840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II Psb28, class 1 MATMHSLAFSSPLYNSIQKPRSYSATSLIIHGSLNLNSSFNGQHLHVPSLRLPMITQKTPMHMPVIMMAGKPKIQFIQGTDELTIPDVKLTKSKDGTNGMAIFRFDQPSVFDSSGEVGDITGFYMIDEEGVLQSVDVNAKFVNGKPSGIEAKYIMRTPRDWDRFMRFMERYSDANGLQFIKY >RHN64786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61791581:61798408:1 gene:gene27643 transcript:rna27643 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xylose isomerase MIMKSGKLLLLLLSFNVFYYAAVNCAPQTCPATKDAKCGDSDDWKAEFFPGISKIKYEGPSSKNPLSFKWYNPEEEILGKKMKDWFRFSVAFWHTFRGTGVDPFGAPTKYWPWEDGTNSLSMAKRRMRANFEFINKLGVDFWCFHDRDIAPDGKTIEESNENLDEVVALAHELQRQSKKRVLWGTAQLFVHPRYMHGAATSSELAVYAYAAAQVKKALEVTHYLGGENYVFWGGREGYQSLLNTDMERELNHLARFFEAAVAHKKKIGFNGTFLIEPKPQEPTKHQYDWDAATAANFLRKYGLIGEFKLNIECNHATLSGHSCHHELETARINGLLGNIDANTGDPQVGWDTDQFLVDIQEATMIMLSVIRNGGIAPGGFNFDAKLRRESTDVEDLFIAHIVGMDTMARGLRNAAKLVEDGSLAELVRKRYQSFDTEIGAQIEAGKADFDFLEKKVKEWGEPKVASAKQELAEMIFQNAM >RHN47893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43376118:43376987:1 gene:gene42546 transcript:rna42546 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MMDNSKKSPLKPWKKGPTRGKGGPQNASCEYRGVRQRTWGKWVAEIREPKKRTRLWLGSFATAEEAAMAYDEAARRLYGPDAYLNLPHMQTHSNSTMKTGKFKWLPSKNFISMFPSCGLLNVNAQPSVHLIHQRLQEFKQNAVVASQSSFSSSSNDPKAEEIQKVDSKKSHTEDPLPKETIVQTSANKMLGDLQEEKPQIDLNEFLQQMGILKEGSHSEQTESSGSSTVHEVLPRDDNDQLGIFSDMSVNWEALIEMHEFAGIEESEATHLEAYDPNDHLNFSTSIWDF >RHN57325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38126168:38129622:1 gene:gene32850 transcript:rna32850 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLIWHKVAAISGVAALGLGTYGAHAFKPQNPTYKDVWHTASLYHLVHTAALVSAPITKNPNVFGGLLTAGILAFSGTCYTVAFLEDRKYSTLAPFGGFAFIAAWGSLFF >RHN64933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63100596:63105590:-1 gene:gene27814 transcript:rna27814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein phosphatase DevT MLSTCYHAPTLYWLNRCRSWKRKLCCSISMAAASSSSSSSVRIVVVGDVHDCWNFQQDSKALQFLQPDLVLFTGDFGEENLEIVRSVANIEFAKAVILGNHDSWFTKRFSDSEKDRVQLQLECLGKEHVAYQRLDLPLIKVSVVGGRPFSCGGKPLFRKKLLFARYGVKDMDQSAKRIQKAAIGTPEDHFLILLAHNGPTGLGSGSNDICGKDWELDGDGDHGDPDLAYAISLLKENNQVSIPLVVFGHMHKELAHAKGFRKMIVIGDDNVIYLNGAIVPRVKSLSDEDKRSLKVESPMSSLVAEGTARAFTLVELSEGRVTRVAESWVSVIEDRTTLKEEHILFEDN >RHN43314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44856535:44860977:-1 gene:gene49865 transcript:rna49865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MWGWMIREGRTASSAASWSLTAWNLRLLRNMGGGPRTFPGGVSKWKWKRMHEKRAKEKQRNLLEQEKQLYQARIRSHIRSTLSPSSYSSSSTTHNPISPDQHIKALADRFMKDGALDLWNDLDGPETYQTQTQAQAQIQSQASPQIDLRSRNLNNYSQIRGYRSVPEVKDSIRSVPRVRDLSDQNRVGTEKPERRKIWRKNDSSSDSDSESEVESKNEGYYSKVGSIAALGKYDVKRVRRMKPKDFDDQTDFSEQVELIKNELKKKKLSRHEEENQGQGLENVLSQTRFDECAISPLTIKALSTSGYTHMTRVQETSLPICLEGNDVMVKAKTGTGKTAAFLLPAIETVLKAMSSKTSHRAPPIYVLILCPTRELASQIAAEAKVLLKYHDGVGVQTLVGGVRFKVDQKRLESDPCQMLVATPGRLLDHIENKTGISVRVMGLQMLILDEADHLLDLGFRKDIEKIVDCLPRQRQSLLFSATIPKEVRRVSQLVLKREHKYVDTVGMGCVETPVQVKQSYLIAPHESHFQIVHHILKEHISQTPDYKVIVFCITGMVTSLTYHLLRDMKLNVREIHSRKPQLYRTRVSDEFKESKQMILVSSDVTSRGMNYPDVTLVIQVGIPSDREQYIHRLGRTGREGKEGKGILLIAPWEEYFLNEIKDLPLEKFPLPDLDPQAQLKIEQSMAKIDNDIKEAAYHAWLGYYNSIREIGREKSTVAELASQFSESIGLQRPPSLFRKTALKMGLKDIPGIRIRR >RHN77838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9779578:9780491:1 gene:gene1331 transcript:rna1331 gene_biotype:protein_coding transcript_biotype:protein_coding MATRMTLLMFTFAIMCIASVQIAGAWFGSNCGIIKNCNPTDCLKYCNSRGYHAARCHPNGYNCCCYG >RHN53930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5413431:5417194:-1 gene:gene28896 transcript:rna28896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Porin domain-containing protein MSKGPGLYSDIGKKAKDLLTKDYNSDQKLTVSSYSTTGVALTSTALKKGGLSTGDVAGQYKYKNTLIDVKIETASIINTTLTFTDLFPSTKTIASIKLPDYSSGKLEVQYFHDHATLTSVATLKQSPIFDVSATVGSPTLAFGAEAGYDTKSGSFTKYNTGISFTKLDSSASVIIGDKGDSIKVSYLHHLDQIKKSAAVVDITRRFSTNQNTITVGGSFAVDPLTQIKARFNNQGKVGGLLQHELFPKSVLTISGEVDTKALEKKPKFGLAIALKP >RHN68275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34184622:34188402:-1 gene:gene16609 transcript:rna16609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAKQENSSRSRSSRWSLKGFTALVTGGTRGIGHAIVEELAEFGAIVYTCSRNEEELNKCLNEWKEKGFSVYGSVCDVTSSSQREELVRKVASAFNGKLNILVNNVGTNVRKPTIEYTTEDYSKLMTINLDSAYHLCQLTYPLLKESGNGSIVFNSSVASLTHVGSGTIYAACKAAINQLNKGLACEWAKDNIRINCVAPWYTKTPLNDYLYANKEFVNEVLSRTPIKRIAETHEVSSLVAFLCLPAASYITGQVISVDGGFTANGFQPSMIIRSD >RHN57226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37407520:37416282:-1 gene:gene32736 transcript:rna32736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MNLSFHSFFFLLLFTIFFFSTLSFGATLSKDEVEVLKDIAKTLGKKDWDFSVDPCSGERNWTSSVQVKGSENAVTCNCTFVNATVCHVTNILLKQQNLPGTLPKELVRLPYLQEIDLSRNYLNGTIPDEWGSMNLVNISLIGNRLTGKIPIAITNISTLQSLVLESNQFSGNLPPEFGNLTQIQRVLISSNNFTGELPATLAKLTTLQDIRIQDNQFSGKIPDFIQSWTSLTKLIIEGSGLSGPIPSGISKLEKLTDLRISDLSGSEFSPFPQLKDLKLKTLILRNCNINGTLPEYLGTMTTLKNLDLSFNKLSGPIPSNYDPLRKVDYIYLTGNLLTGPVPAWTQKADNLDISYNNFTISQGSQPCQDGNVNYFSSSLTRNESGVVSCLKSFVCPKTSYALRINCGGKSVTVNGSTYDDDSDTAAPARFHQSGTNWGFSTTGNFMDNDGGDYYTWSNRSKLSIANAELYTNARVSPTSLTYYGFCMANGNYTVNLQFAEIMFTNDQTFNSLGRRVFDIYIQGKLVKKDFDIAKEAGGVDKEVTKPFTAVVTDSTLEIRLYWAGKGTTGIPFRSVYGPLISAISVQSDFPAPSENGSSISIGAVVGIVAAVVIVIILLFGILWWKGCFGKKSSLENEVKGLNLQMSLFNVRQIKGATNNFDISNKIGEGGFGPVYKGRLSDGTLIAVKLLSSKSKQGNREFLNEIGMISALQHPHLVKLYGCCVEGDQLMLIYEYLENNSLARALFGPAEHQIRLDWPTRYKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDKDLNPKISDFGLAKLDEEENTHISTRIAGTYGYMAPEYAMHGYLTDKADVYSFGIVALEILHGSNNTILRQKEEAFHLLDWAHILKEKGNEIELVDKRLGSNFNKEEAMLMINVALLCTNVTSSLRPAMSSVVSMLEGKIAIQELVLESSEVLDEKKMEAMRKHYQDQSISMEGPWTASSSSVTTDLYPVNLDSSYLKNRD >RHN51410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17351361:17353304:1 gene:gene35841 transcript:rna35841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MPSTTFTVRPNAGFSLADLPNLQPGDNLHIKGLQNLRNGGDVREPNLSSMRLNRLHLAWDRNTNSTNSAEEVLGALRPHRDLTGFRLSGYRGMNIPNWMTDISILGRLVDVKLMNCINCSQLPPLGKLPFLNTLYLSQMTNVKYIDDSPYEISTENAFPSLTEMTLFDLPNLERVLRIEGVEMLSQLSKLSIQSIPQFELPSLPSVKEVYVGGETEEDIDHEASFLRDIAGKMPNLKELMIDAFHQLTVLPNELSSLRSLEELYIIDCNKLESIPNNVFYGLISLRILSFVICHSLNSLPQSVTTLTSLQRLIIHYCPELILPANMNMLNSLREVSIMGGDRRRGIYNGLEDIPLLQNLSLRDFPSLRSLPDWLGDTLSLQELEISKFPKLTSLPDNFDQLENLQKLCIDRCPRLVNRLARRTGEDWYKIAHVPILSLRLESDVVHPINEEEYSNSDNELDMEVDEP >RHN45759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25315094:25315519:1 gene:gene40152 transcript:rna40152 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSSLSSSPKPHLRIFTTKHNMNVSTSVLLQHRPNIIKMKVNSDSDYNSGNKFRRLNVPLTLKSRVGKFLSGVMQDVMREQDKPQKFYRAITEELKLLKDCRDSALKQMLKIDSCLEDMFQRLVCHRFQILFCLLCLFC >RHN68168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33329818:33333555:1 gene:gene16481 transcript:rna16481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TATA-box binding protein MLFHTDCHSPLFTQMDEQGFEEKQSVKLQNHPSGIAPTIINIVSTVNLDCKLDLQSIKLQAPTAEYNPQRHPAVVMRIRAPESKAQINSFGMMVCTGAKNESQSKLAASKYAAIIRKMGFPTKFKDFKIQEIVGSCDVKFPIHLERLANSHAACSSYNPVLFPWLIYEMKQPKIVLYIFNSGKIHLKGTKSRDEIFTAFEKIYPVLTEFRKNQQWYGYHCQEQGFLVEKAVYRVGLI >RHN72391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7247222:7248427:1 gene:gene8096 transcript:rna8096 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFHKIANLWWSSRQRMPWQEPRILSNFRMLRGCCMAVAVPFVVMREERVQALKMYWPLVNFGKRRRWDVVDTRRFFLVKFESEMRDFQDLETDLNCARDLTGSL >RHN68963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39901817:39902475:1 gene:gene17388 transcript:rna17388 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRRTNPNANFVRQLFYGGGCELFEGNLLLLRVVVMGCCCCCELRGKEHLYCWVCVSGFERKSEGIVLFCVVLCS >RHN51866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27323574:27327140:1 gene:gene36420 transcript:rna36420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MLPYKYTTFLLVFLNLNTKISTSLLYNKLLSSILDFTIKTFFYYLQHMDMFTNNNSYSHPFSPTCSESSFPNSEGSQGMSISNEEVRLAATTPKKRAGRKKFKETRHPVYRGVRKRNLDKWVCEMREPNKKTKIWLGTFPTAEMAARAHDVAAMALRGRYACLNFADSAWRLPIPATTQAKDIQKAAAQAAEAFRPDKTSITNDIDTAISTSATAEQSRTFMEEEEEGVMNMPELLRNMALMSPTHSSGYNEYENIHVQDFQDLQDFQDEEVLIKHKVLLIPSISIYERRIEVWYVKISVNFISYLN >RHN79539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29977945:29983820:1 gene:gene3343 transcript:rna3343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative holo-[acyl-carrier-protein] synthase MSSTRYKIPWLMMNIYCFGRNLATASCCLPPVQLPAQKEAHFWYVLPHEVKCTNLLNRCFEILSPCEKENVLRMGGEELKKSALLARALVRTTLARYQTNCQIDPKSLKFRKNDYGKPEVDWQYADDRSLPPLHFNISHTSSLIACGVTVGSPIGIDVEEKQRRLKNDILAFARRYFSPHEVEMLAHIVDPELRRQEFIKLWTLKEAYVKALGRGFSASPFNTFTIRLRDHVKGGIHVPPHVISKVCFL >RHN73310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15277620:15287376:1 gene:gene9109 transcript:rna9109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L20 MQAINRLISVTRHLTTDLRHSNLRTFSISVSGGGSYDDPNWETPSSWSTGLTKDHFNGDSDPQSTLSDLQQMEEKLQELEEENRKSKVYVDSWKQRMADTCVMLKQVHEPGARGSYLKDSEKAEMYRLHKKDPQVYTIEKLAKDYRIMRQRVHAILWLKELEEEEEKKLGHKLDDSVEILLDTFPEFFISHDREFHVASLPYKPDFKVMPEGWDGITKDLDEVHYEISKKEDDMLYRDFVEKMNFNKKKMAGEVKCHKYSRRRPEDGWTFTIEKMGPKGKRGDGGGWKFASMPDGSTRPLNEMEKMYVRRETPRRRRRILP >RHN56613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32272450:32274328:1 gene:gene32043 transcript:rna32043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MRYTSSLRSPKKEEHEVPEATTQIPSNFNKSYTNEDFYLFDELEEYLNIVEEEEEEEEKVSSPQNTCNSSNELHWDIMEWEGFSFDEGKDENISKCNYEEKKIIKRENYNDGFWEVDDEKSLALNLNLNYQEVLDAWSNRGSLWANDCSLSFSSSNNGSYMGEVPILEEERARREASVLRYKEKRQNRLFSKKIRYQVRKLNADKRPRIKGRFVKRH >RHN38498.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:102498:102735:1 gene:gene50607 transcript:rna50607 gene_biotype:protein_coding transcript_biotype:protein_coding MASGATCVQRLDGSRDSAIHTKYRISLRSSSMQEPRYPLPRVILYNVSKHNTHENRLRCHAGVLRAKFKFLDAFSAGV >RHN63025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47699170:47704391:-1 gene:gene25673 transcript:rna25673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease III MNLSSPKPHSFSFSFSTSISQNPIQFPTNKTITPQKTLHIYALTTNPLPPNSPQRLLKELEQHKNKTHSPNKKTPPRTTILKPPLRNKNLINSPQLTLSTFPLLSSCLPHAPLKTTDSAWMETHLLEVKQALGYPLQPFDEMLGEEDNPAKQFDTLLYLAFQHPTCRGKGRHVRSAHSRLFFLGQYVIELALGEFFLQRYPREAVGPMRERVFGLVGKHRLPKWIKNASLHGLVFPNVDMDKLVRKEREQIAKSVFWALFGAIYLCFGMPEVYRILFEVFGMDPDAEECQPRLRKQLEDIDHVSAEFEGKLSWQDMVAYKPPADALFAHPRLFRACIPPGMHRFRGNVWDYDSRPRVMQILGYPLEMTDRIPEITEARNVELGLGLQLCFLHPSNYKFDHPRFCYERLEYLGQKIADLVMAERLLMKHLDAPGYWLQEKHRRTLMNRYCGMYLRAKQLHRFIIYSDKVRSSYERSHRLRNPATVSVQQALHGLSYAVYGKRDVRRLMFEVFDVEQIQPKRNIYVKRQSHS >RHN54330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8750083:8750773:1 gene:gene29345 transcript:rna29345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel domain-containing protein MDWGCGSKLQRDKPWIRFISAIFLSLTIMTSVGYGVLHVVNTMKMIFIIFYMLFNLGYRSLLAWLVFTLGTNAFFVLLAITFLRSRLRLSLQTGVAARVLLFGFVFLWIYFCCCLFSCETVWQCSSVSIVGCGGGDGDAVVVVVMVRVLMCGRGGDSGVVVVVGDVFWRLSLFFGSIFVDATSCLSFELLRCLG >RHN82724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55906152:55907434:-1 gene:gene6906 transcript:rna6906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MARAFIFNHSTMKATISLFFLILTTNFLISNSARILDEVDPQEPQQVINNLPLPLVSNPSLTTTIPITTPQTGPGTSNEEANADPPIPEVEAPAGDNVSISPVASPTNEDQQQPQPVVKEPSLSFFMHDILGGSHPSARVVTGIVANSDVTGLPFSKNNNNIFPITGGIPLVIPKLNGIITNNNLPLLVGLGNGQSSSTVFQNRGTNNVVTGGNNQPFVSAGNLPGGLTVQKLMFGSVTVIDDQLTEGHELDSGVVGRAQGFYTASSLDGTSQSVVMTVFLHGGNDHDGVDDSISLFGVHRTASLKSEVAVIGGSGKYENARGYAALETLLKEDQHTTDGVDTIIHFDIYLTH >RHN74136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28937902:28941379:-1 gene:gene10131 transcript:rna10131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA (cytosine-5-)-methyltransferase MEDSSFSQIKEADVLPQFSVPSHDVIMRYWPPPEFERNTVAFDEDQQREVEEALVIQDAIFDLPPITNFETQDGMPYQNPPKIEFQGYIGLTYKQLLCQTLDDDVIICIPLCSVDWYIAWVF >RHN52366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34349031:34350078:1 gene:gene37031 transcript:rna37031 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLGFNFMIPPNLFILWENWDGVSGVKKMRNGFRMIWHAVVWSIWHARNDRIFNNKIGEVDALVDDIKVLSWRWHLDRSNSPACMFYEWHWNPKECLLR >RHN42854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41462879:41467515:1 gene:gene49351 transcript:rna49351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-galactosidase MKCFTLCLVSFLLLFDFWFQSVSSRNLSESNIQQVGLPPRGWNSYDAFSWIISEQEFLQNAEIISQRLRPHGYEYVVVDYLWYRKKVPGAYSDSLGFDVIDKWGRMVPDPGRWPSSKGGNGFSEVAKKVHSLGLKFGIHVMRGISTQAVNANTPILDTTGAAYQESGRVWYAKDIAIQERRCAWMSNGFMSVNTKLGAGRAFLRSLYAQYAAWGVDLVKHDCVFGEDLDLSEITYVSEVLRKINRPFVYSLSPGTRVTPAMAKDVSGLVNMYRITGDDWDTWQDVAAHFDISRDLATANMIGAKGLKGSSWPDMDMLPFGWLTDPGSNEGPHRYCKLNLEEKRTQMTLWALAKSPLMYGGDVRRIDPATYEIITNPTLLEINHFSSNNIEFPYVTSSKNLKSEYQHHIGKMRRSKKGKKHIHSLALTGCTESKAIGWTTENQSLNQDLERICWKGSLEIKNQNPFCVHKRDLQFRLDGENTHQEDYRGKHHLVATNQMRFCLDGSSKRKVTSKEFNKGTFSPCRLDTNQIWELNSNGTLVNSYSGLCATVKYIEANVVSGGIRSWIATGRNGEVYLAFFNLNEQKTPVYAKTSDLGKVFPGRRIKSCQGTEVWSGKNVVTTQGTISVDVEVHGCALFVLHCN >RHN39007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3484632:3486155:1 gene:gene44991 transcript:rna44991 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNFMQDTRVEHSNFRNVPNLVGQGYFKRKGSRGIFHLLYGAQFDISSKHLGAANIRLPDPIVSYQIIH >RHN71890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3384435:3387753:1 gene:gene7537 transcript:rna7537 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor STY-LRP1 family MNSLQIGEWLRSSLLTPNFHQTNNHDRTILSNPTPATLLRRRRNPFNLLSTSLSMAFPISHLFTLTLTPSVGFPPGSDPSAPITVMATKLFPLCENYCFLLCPSHRGYSIIPPYDQKETHKRMIFSLKAKEMEKNVFNPIRGEVLKGLKCQDCGNQAKKDCAYSRCRSCCKNKDFNCQTHIRTSEALGFLLIEN >RHN57808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41834452:41835678:-1 gene:gene33397 transcript:rna33397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA mismatch repair protein MutS MAVVVKICTCRHATSRSLCLVDEFGKGTLTEDGIGLLAGAINHFITCDEPPKVFVCTHLMDLLHGCSLTKSEQIKFYTMSILRPEDDSTHIEDIVFLYRYLFVDSAM >RHN60572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28387277:28388363:-1 gene:gene22903 transcript:rna22903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MLEATSTYALIFVADECIAETDGGNMTPYMLIVNPREDVVRKLFAFMEKVPQENVCILSACGTVSSLTLCEPDVSNGFSRHEGHFDILSLSGPCTITGGAAGGSQRKIGMLSVSLAQPDGKVFGGRVDNLLIAATTVKVRIFF >RHN48404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47259417:47259965:1 gene:gene43117 transcript:rna43117 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKVPLIRVDEDYIDMIMSPYDKLSPKQQTRGFARSQSCRFRADSNPTEYQFQCSTKAKEHWSKKVKYAKKSLLVQKLKSYKAYLKSLFTKTACSADKSNVVEMNKPKCPHNEEGKRKSFQNIYDEKRRSSASSYFSINLAENYNRLSVNSETEEGSIAEAIAHCKQSQQGNGSNKDSHE >RHN53837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4614940:4620761:-1 gene:gene28789 transcript:rna28789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoacetylglucosamine mutase MNEEQKSLLLESSSRFLLSQGVKVSYGTAGFREDASILSSTVYRVGILAALRSLKTQSVIGVMITASHNKFSDNGVKIADPNGGMLSQHWEPFADKLANAPSPQQLLQLINEFVEKERIPFDGVRPAEILLGRDTRPSGEALLEAARQGVTSIVGAVASDMGILTTPQLHWMVRARNKGIKASEQDYFEQLTSSFRCLMDLIPTERKVFDGVNDKLVVDGANGVGGAKLRILHNLLNVLDMEVRNSSEDEGVLNDGVGADYVQKEKVAPRGFGSKDAGTRCASLDGDADRLVYFSVPPESNAQIDLVDGDKILSLFAVFIREQLSLLNEKEDIKNCGKARLGIVQTAYANGASTNYLKNLDLEVNLTPTGVKYLHEKAAEFDIGIYFEANGHGTILFSESFIEWLEVRSNMLSSGSKGSEAEKAALRLLAVSKLINQAVGDALSGVLLVEVILKHMGWSIHRWNELYIDLPSRQLKVKVADRTSVVTANAETVVVSPPGLQEAINAETAKYNQGRCFVRPSGTEDVVRVYAEASTQEAADTLANGVAKLVDQFLG >RHN73234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14573235:14574896:1 gene:gene9028 transcript:rna9028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MFKPFLFRNAFGLSTTPLYQISIRFFNTAPSQSFTVSYLIHKFGFSHEFALKASKPLYFKTSLKPDSVLNFFKNYGFNDSDIHNIIKREPWLLSCDTHKTILPKFQFLLAKGASQSDIVRMVVVNPKFVKSSLKNHEIMFHFFLSKGASSSEIVSLLTSNPIILHISLEKRIIPLFELLSKFLKTNKDIIICLIRHSTAFSMNSYHLIMDNVNLMSDFGVSDNVIASLLQSRPSIVGSKELIKSLEEVKSLGFDPSTASFGVALAAKKCMRKKRWDEKVDAFKKWGWSDEAVIQAFRLQPNLMLVSIHKIDSLMSFWVNQLGWNSLALTKLPNVFCLSLEKRIIPRALVVQFLLMKGLRKKNASLGTPFAYSEKMFLSKFVFSFKEESDYLLKLYEEKGKLANTKENNGMLSTKCVI >RHN39265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5407707:5412154:-1 gene:gene45273 transcript:rna45273 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGGSADHASSPKLYNHKPKKSQLKQFRGQQKSNEFSSPPSMGTQTAPPPPPPLPKEPFIKRYKFVWPMLLAVNFGIGVYLFARTSTKKEDTEVEEKDAAPPISVKDTTAPVAVTSASPPPIANPVIKREPIPEGQQRELFKWILEEKRNIKPKDSEEKRKIEEEKALLKNLIRSKSIPSI >RHN80084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34981992:34987407:-1 gene:gene3963 transcript:rna3963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I13, potato inhibitor I MRRDEGRCLGKNEWPEVVGMDGDEAVEKIERENHRVNAIVVPEGSLVPMNFKCTRVWVWVDKEGKVFRVPKIG >RHN80677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40014496:40015356:1 gene:gene4633 transcript:rna4633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MADSSTNPKDHQNTRLYHPYQHLNNVPIDKLYNLPTSPEHLFQEDIIRKHRSWGENVQYYTGTGYLSGAIIGGARGTVQGLREAEAGESVKLRVNRVLNSGGQGGRRLGNSLGVLGLIFAGLESGMTYFRDTDDLVNSAVAGLGTGAIYKAAAGPRSAAIAGAVGGIVAAVAVAGRQALKRYVPI >RHN63827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54287323:54289360:-1 gene:gene26581 transcript:rna26581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MAKLITVLSIFLIVLISKCNSLMPFSTIHCKSIITDMMGECKPYYMDHNSPPYDSCCSAVEYIITTSYGCICDIKLEEIDNLPFDATNTANLLTLCGVSLPCQVHVSTTAPSLVDAPKLYALESLVSSLAPKSASSSQPPPQQSSKSLSSYAPNSPLLLTAPAPMQEANDNDVPAPPSPNQAPIHVPDDGSIHFFLLLIFLASVFVIFSLIKVNADAYAQRTNTT >RHN73146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13794099:13795791:-1 gene:gene8932 transcript:rna8932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MGQESSDYKNQLVLDICSISNRSVLCVHTLSSSNAHFIDWYCILGVEENAGVNTIRKKYHKLALQLHPDKNKHPKAEIAFKLVSEAYTCLSDAAKRKAFNLERHKRFCIECKKIPYKSNIIPSNSNGSSFKPWNIISKSISSKVRRNIKDMRERLKEEAKVIENCLRKNSVSKRESPLYNPPMYHLHGDKSEHRVEKEIPVFNPSNYKYQSYPRPRDHAYKNSKTFWYLQKENMVRNDKGDAKAKYTSPVFEVKSSSMDTRKFTCVSSQC >RHN75407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42067594:42068824:1 gene:gene11605 transcript:rna11605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MEFWGAEVKVGETIKIDPYDLEAAAIHISQVALGEAKKDKPNESVVIYLKVGEQKLVLGTLTKDKIPQISLDIVLEKEFELSHNSKAASVHFCGYKAYYEDNDEYP >RHN59028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6800828:6805281:-1 gene:gene21011 transcript:rna21011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MEQKNQMNIIPSIFLCVFITLSIGVQQIEARAFFVFGDSLVDNGNNNFLATTARADSYPYGIDSQTHRASGRFSNGLNMPDLISEKIGSEPTLPYLSPELDGERLLIGANFASAGIGILNDTGVQFINIIRITAQLAYFKQYQQRVSALIGESEAQKLVNQALTLITLGGNDFVNNYYLVPYSARSREYALPDYVVFLISEYRKILMNLYELGARRVLVTGTGPLGCVPAELAMQGRNGECGVPLQTATNLFNPQLVELINQLNSEIGGPNHVFIYANAFAMHLDFVSNPQAYGFETSKQACCGQGPFNGVGLCTPASNLCPNRDLYAFWDPFHPSERANRLIVDKFMTGTTDYMHPYNLSTLIAMDSRT >RHN79782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32087875:32092333:-1 gene:gene3618 transcript:rna3618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosine kinase MALEGVLLGMGNPLLDISAVVDEDFLKKFDIQLNNAILAEDKHKSMYDEMAAKYNVEYIAGGATQNSIRVAQWMLQVPGATSYIGCIGKDKFGEEMTKNSKQAGVNVHYYEDENTPTGTCAVCVVGGERSLIANLSAANCYKVDHLKQPENWALVEKAKYFYIAGFFLTVSPESIQLVAEHAAANNKVFMMNLSAPFICEFFKDPQEKALPYMDYVFGNETEARTFSKVHGWETENVEEIALKISQLPKASEARKRITVITQGADPVCVAQDGKVTLYPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKPIEECVRAGCYAANVIIQRSGCTYPEKPDFH >RHN40147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13627888:13630110:-1 gene:gene46257 transcript:rna46257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-hydroxy-tetrahydrodipicolinate synthase MNMLKSYCGSSWFLRGPNSPVLPFNSRNTYCKVQPTAARLDVRLQSNSSVAVKHRKSIDDVRSLRFITAVKTPYLPSGKLDLESYDNLVNIQIANGVEGILVAGSTGEGQLMTWDEQIMLIAHTVNCFGDKVKVIGNAGSNCTKEAINATEQGFAVGMDAALHINPYYGKTSNAGLVAHYNSLLPVGPIIIYNVPSRSGQDIPPSVIEILAQNPNFVGVKECVGNERVKMYTDKGIVVWSVDRLSHTARWDCGAVGVQSVASNLVPGLIRELMFGGKNPTLNSKLMTLFDWLFIEPSPIALNTALAQLGVIKPVFRLPYVPLTLEQRVGFVNLVKEMGRQHFVGEKDVQVLEDDDFIIVGRY >RHN45289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14424806:14425202:-1 gene:gene39535 transcript:rna39535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MPRVQQRSSQQRRHQRKPKPKKKIPKEASSTDKKKKKRNKKSIETYKIYIFKVLKQVHPDIGISSKAMGIMNNFINDIFEEHTASEGDPDCCSSCSSW >RHN56829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34053944:34054216:1 gene:gene32286 transcript:rna32286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin MQIFIKIMYGNKMFPLIVKSSDTILDVKKKIHDKEGIPVHQQRLFFDGKPIKDRQTLANYNIPENSTIDTRSIAKRSRPLFNLGFRGLAD >RHN45256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13810542:13811744:1 gene:gene39494 transcript:rna39494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MHVKNTHCQYKPYSTEKPKFCDRNKLLPRPQKLVFELPQSLGESIGPTMFWLLKSVYVRLILLVFLQIWIYKRTGMTMMSLMFSIFPHVLYLFYDVIPTFGGAKTLTKKTSRSRKKKRKTSSENKLTQNGIDVPCIDQLREELSCAMCFELFFEPSTTPCGHSFCKICLQSTANKWRNCPNCKQSIR >RHN81013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42610018:42610638:1 gene:gene5002 transcript:rna5002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MGFVEKFQLNGVLIETTVTNKQKVIDDILWSFLHPENYKGPKVIGFDIELSMCENKVSEEETNFETECAILNLCNGHSCLIIQLCHLDSFPTSLLNFLRLPDYTFVGVGINHNLAKLEKEYGVRCRNAVELGPLAASVRKMPSLSFCGVDELAVAVNKLDLRNQRPLTTLFKDWGQSNLGKKLAKLATVNVYSYYMIVNTLLNGNC >RHN82321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52802497:52806551:1 gene:gene6477 transcript:rna6477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MTSEKAKDFCVRFTGKNYPAWEFQFRMYVKGNKLWSHLDDVSKAPTEKAALEEWEYKDAQIISWILSSIDPQMINNLRSFSTAQEMWNYLKRIYNQDNAAKRFQLELEIANYKQGNLYVQEFYSGFLNLWTEHSAIIHADVPKASLAAVQEVYNTSRRDQFLMKLRPEFEVVRGALLNRNPVPSLDTCVGELLREEQRLLTQGTMSHDAFISEPVPVAYAAQSRGKGRDMRQVQCFTCKQFGHVARSCTAKFCKYCKQNGHVIFDCPIRPPRRTQYPTQALHATTSSAAPPTITSASDGGSLQPEMIQQMVLAALSNMGIHGKSSNVSRPWFLDSGASNHMTGSSEYLHNLHSYHGNQQIQIADGNKLSITDVGDINSDFQDVLVSPGLASNLLSEQVSGKVIAKGPKVGRLFPLQFISSHLSLACNNVLNSYEDWHRKLGHPNSTVLSHLFKTGLLGNKQVVCTASISCPVCKLAKSKTLPFPSGAHRASNCFEMIHSDVWGMSPIASHAHYKYFVTFIDDYSRFTWIYFLRSKSEVFSMFKKFLTYVETQFQASVKIFRSNSGGEYMSHEFQEYLQHKGILSQRSCPNTPQQNGLAERKNRHLLDVTRSLLLQASVPPRFWVEALSTVDLHTFGCVCFVHLPLFERHKLGAQSVQCAFMGYSNSHKGFVCYDVSNHRLRVSRNVTFFDNQFMFHSISPDINDIAILPNFSIMPQSIERYKPGFTYVRQRIKQVPTAPSDTEPPPDPEPVEPRRSGRTSRAPDRFSPDRYDSKHTSLTASLSSISIPTCYSQAVKDVRWIKAMNEELQALQESFTWDIVSCPPDIKPIGCKWVYSVKLNSDGSLNRYKARLVALGNKQEYGIDYDETFAPVAKMTTVRTILSIAASNGWSLHQMDVKNAFLHGDLTEDIYMTPPQGLFSSSKGVCKLKRSLYGLKQAPRAWYEKFRSTLLGFSFCQSQYDSSLFIHSTSTGIVLLLLYVDDMVITGSDNASIQRLKEQLHASFHMKDLGNLHYFLGLEVHATSKGIFLHQHKYATDLISMAGLQSANQVDTPLEVNVKYHRDDGDLLPDPLLYRQLVGSLNYLTITRPDISFAVQQVSQFMHSPRHLHLAAVHRIIRYLKGSSHRGLFFSIGNSPKLSAYSDADWAGCPNTRRSVTGWCMFLGSSLISWKSKKQARVSKSSTESEYRAMSAACSEIIWLRGLLAELGFPQTEPTSLYADNTSAIQIVANPVFHERTKHIEVDCHSIRDAYDDRLISLPHVSTQLQIADILTKAVPRPRHQFLVGKLMLIDQPHQFEGGCE >RHN55029.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14531227:14531526:1 gene:gene30150 transcript:rna30150 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGSMPKEMVEKLKGDSEFGSIEAIWVGNLVYLRNTLVLDELVVCEVVNVKLCEWRRVKNAAVDGGTRMVFCGGDVKMEDLQRAVLLKKYTFCMKQI >RHN48124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45207728:45212452:-1 gene:gene42807 transcript:rna42807 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MCA2 MSNNHNLQYDGTSFIIDITNTLAKVTSKYTNAKRRWRFAYTAIYSRRVMLSLAKEVISRKNSNPYTKLFHTESSSSTTTLDIIEPLITQHNGTNHYSLVSDVVVDKTKLADMVKDKNLKSLSEFGGVEGVGHVLGTFPTKGIIGSDDDISRRLELFGSNTYKKPPPKGLLHFVLEAFNDTTIIILLVCAGLSLGFGIKEHGPGEGWYEGGSIFLAVFLVVVVSALSNFRQERQFHKLSKISNNIKVEVVRNGRPQQISIFDVLVGDIVSLKIGDQIPADGVFLSGYSLQVDESSMTGESDHVEIEPLRAPFLLSGAKVVDGYAQMLVTSVGKNTSWGQMMSSISRDTNERTPLQARLDKLTSSIGKVGLAVAFLVLLVLLIRYFTGNSHDEKGNKEFRGSKTDINDVMNSVVSIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADHAMVRKLSACETMGSATVICTDKTGTLTLNQMRVTKFCLGPENIIENFSNAMTPKVLELFHQGVGLNTTGSVYNPPSGSEPEISGSPTEKAILMWAVLDLGMDMDEMKQKHKVLHVETFNSEKKRSGVAIRKENDDNSVHVHWKGAAEMILAMCTNYIDSNGARKSLDEEERSKIERIIQVMAASSLRCIAFAHTEISDSEDIDYMIKREKKSHQMLREDGLTLLGIVGLKDPCRPNTKKAVETCKAAGVEIKMITGDNIFTAKAIAIECGILDSNSDHAKAGEVVEGVEFRSYTEEERMEKVDNIRVMARSSPMDKLLMVQCLRKKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFNSVATVLRWGRCVYNNIQKFIQFQLTVNVAALVINFIAAVSSGDVPLTTVQLLWVNLIMDTLGALALATERPTKELMKKKPIGRTAPLITNIMWRNLLAQASYQIAVLLIMQFYGKSIFNVSKEVKDTLIFNTFVLCQVFNEFNSRSMEKLYVFEGILKNHLFLGIIGITIVLQILMVELLRKFADTERLTWEQWGICIGIAVVSWPLACLVKLIPVSDKPSFSYTKWVKLLVFKIKNAF >RHN55252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16432898:16434175:1 gene:gene30403 transcript:rna30403 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGHENSFHAPRACKKICSMRRVHAKSRGATVFSYTSEMGKAHTISLNPGRNSHYKYQPSSFRTSRSELEQFKRKETLELRESFYLLLDFLGYVFIFSNLFLVTTCN >RHN57177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37104579:37105001:1 gene:gene32682 transcript:rna32682 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDCISSLFEFKELGTSRGVVASSSMGYLISSDYRISFDPVQIQSMTLASSTLQIRIHGYFGDLNIVICVGNCILSFYAINMDDVSLFTYSYF >RHN63634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52838006:52841247:1 gene:gene26359 transcript:rna26359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MELYPGFALYRGLYEFAESATNGSNSGTNGMRWQDLSDSANGMKEVLIIMSSEWVVVLCVSYYIDQVLSTGSGKSPLFFLKGFQKKPLSSLKKLAIQRQGSEVLAQMEKEDVIQEREKVEQLLLEPTNDHAIVCDDLKKFYPGRDGNPGKFAVKELFLAVPRGECFGMLGPNGAGKTSFISMMIGLTKPTSGAAYVQGLDVRTHMDRIYTSMGVCPQHDLLWESLTGREHLLFYGRLKNLKGSVLTHAVEESLKSLNLFYGGVADKQCGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRKCLWNVIKLAKQDRAIILTTHSMEEADALCDRLGIFVDGSLQCVGYPKELKGRYGGTYVFAMTTSSDHEKDVENMVQRLTPNANKIYHLSGTQKFELPKEEIKMANVFRAIEAAKRNFTVFAWGLSDTTLEDVFIKVAREAQAFDTLT >RHN59719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12709327:12709449:-1 gene:gene21823 transcript:rna21823 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbJ MADTTGRIPLWIIGTVTGIVVIGLVGIFFYGSYSGLGSSL >RHN61724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37827601:37832047:-1 gene:gene24214 transcript:rna24214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant, Transposase-associated domain-containing protein MDRSWMYDRINPHRKGLNYEFVSGVQEFIKKAKEQPCFLSERKIKCPCAMCKCVKSLTPREVKTHLYKSGFQLNYLVWTEHGELEQNICLGSQSNSSRHMEEEDPKTPHMEAQEEEEDPKVPHMEESEEEEESEEEEDPKTPHTEDSEEEEDPKALQVPDKQNADGKVLIRPCGLGWAPSAAPAAAIKHAIQSHFQGPFYRWSETPEDVREYWWKLFGDKIAWDPRDHVYIKKTFQTRGAKRLSDMLSKVRKKGTRPHWICEEAWKGLNVHWEGEAFIKISSQNKTNRNSCKGGAVHTTGRKAHVDVALSMAQELGRSVDPDELFLATHKRKSGNWVDDRSQTTYKQYHDRLKEVQTQNGKASQNGMQEIDGATKLELWKEVAGGKSRGRCYGTADFALNLRRGVTSLTQESREPYSGGCDHAMHLEAIDAVRKEAAAAHQEAAAARQEAATARQEAAESKQHYQLLEEQLRKVMKHMKALERQSAGAFTSVSQARRARQSAGAFTSVSQARRGHPRYDDDHSLDEFLADRRRKKHLALRRHPHYDDDYSLDEIFPNRRQKHQAHKRHYHYDDEDSLDSLLPKQHQAERGHTRYDDEDSLDEVLPKQRQAKRGRSHYDDDDSLDEVLPKQHHAKRGHPHYDDDDDLPDGVLPKQQAKKRHPHYDNDEDSLHEDLPKNHQAKRGRSHYDVEDNLLDGVLPKQPQAKKRHPHYDNDGDSLHEDLPKNHQAERGQPRYDDDDDLPDGVLPKQRQAKKLHPHYDNDEDSLHEDLPKNHQAKRGRPLYDDTDSLDEVLPARRRRHYRS >RHN63245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49651707:49655719:-1 gene:gene25924 transcript:rna25924 gene_biotype:protein_coding transcript_biotype:protein_coding MCNTIKGRQSFRCSYTLVLLLKWGLTLPQVIETRKMLKFLICNLRFCLRVCRGREGKAWEKRNEQVEEIEENEMGEFWGLTFLRNIKPSSFWGTKNLHWRGFWGAWEGLYEILQI >RHN59180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8229644:8231321:-1 gene:gene21175 transcript:rna21175 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetraspanin/Peripherin MAKRPFFEFILKVINLVFFVWGLGTLGYGLACFFKWKQTLPNGSHRLLLAQQSQETLPKALFIYVMIGIGAILLIISCVGYIGTALRSPCCLLTIFQYCVFLVPLIIVELGIALFIFFDHRKQVIPKDVNEDIIYNFFNDHWKIFRWIALGEFILQIIALILAIYLRSVFSCACYDSDDERVQDFLRSRKQSYNRLGAATHAATRSRSSIRLGVHANARDSTQPASPSNTAVNVNARDSTNLASSSTTVVNVNARDATKPASSSNTAANVNVKDATTSTNNVV >RHN44701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7467550:7473182:1 gene:gene38851 transcript:rna38851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MAEEEEDGEDRISSLSDDLLVRILSNLHTRESVSTCVLSKRWVHVFKALTCLRLDDENGSLLDALDEMRHRTDLTSFDLSIFTRRSGDIVTVAEKAVRNIVRLNLSLNSLSIYGILNMYLTRPVFNSRTLVELKLHRVCIAETLSVASLPSLKVLSLSRVQFDTKSVFLSLLSAVSRVLEELRISSPTFSAPICDREADLFPCLRGAFLHKLPWEMLVLFISVSHLLTTLEFTPMDSRQMEEGEENVDNWINPIIVPVCLTNQLKSCFLHGYKSTTCQDRFVRYVMLNSNSLKTISIKCLPSTDTTVKYGWLKKLASWRRSSTTSLLFD >RHN75795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45124861:45126276:-1 gene:gene12046 transcript:rna12046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative actinidain MKTTITLSIVILNLWIIASACPEIHTKNSTNPAVMKKRYETWLKRYGRHYRDREEWEVRFDIYQSNVQYIEFYNSQNYSYKLIDNRFADITNEEFKSTYLGYLPRFRVQTEFRYHKHGELPKSIDWRKKGAVTHVKDQGRCGSCWAFSAVAAVEGINKIKTENLVSLSEQQLIDCDIKSGNEGCEGGDMYIAFNYIKKHGGIATAKEYPYKGRDGNCNKSKAKNNAVTISGYESVPARNEKMLKAAVAHQPVSIATDAGGYAFQFYSKGIFSGSCGKNLNHGMTIVGYGEENGDKYWIVKNSWANDWGESGYVRMKRDTKDKDGTCGIAMDATYPVKH >RHN39470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7349360:7352130:1 gene:gene45494 transcript:rna45494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MPSKKIQWKSFVLGCFKDKNPSLETPKFVSKKTGSCRISLSDLSDYSSPSVMSDLSNSLVGSNLHIFTCKELKEITNNFDKSNFLGEGGFGKVYKGFIDDKLRPTLVPQAVAVKALNLDGKQGHREWLAEVIFLGQLKHRNLVNLIGYCYEDEHRLLVYEYMERGSLEEKLFKGYLATLPWLTRIKIAIGAAKGLAFLHEEEKPVIYRDVKASNILLDADYNAKLSDFGLAIDGPDKDQTHITTRVMGTHGYAAPEYINTGRLTTMSDVYSFGVVLLELLTGKKSVDKKRTPREQDLVEWARPSLKDSHRLERIIDSRLEDQYSIEGARKLAMLTYQCLSHHDKSRPTMRTVVKTLEHVMKLNDIPIGHFVYVAPIEVTNIEVSLNVDKKGNENERDENEINKRVVKSKDEEKGEKNEKGHQSQRKSRSDRRRVKPLMKSRSVHSDTALYKTLGTSLYSPKQSEDKQQEVNICQY >RHN59152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7980580:7981824:1 gene:gene21143 transcript:rna21143 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase, beta-propeller MDLSSNKHQPNGEEKKYMKRKLVKQAECPKEEKTNKEAEEQEFAIFSHSKDDRDCKRQEDMTHDSSLLFQHLGRDISIHCLLQLSRSDYGLISALNKNFRSLIRSGELHQLRRKLGIEEHWVYFSCDLLKWEAFDPSRGRFIQLPKIPCDKVFMLCDKESLAVGTELLVFGRELMGPTIHKYDYLSNTWSIGKMLNTPRCSFGSSSLGEIAILAGGCDPCGNILSSAEIYNSDTGKWETLPNMNKARKMCSGVFMDEKFYVLGGIGADKTTPLTCGEEFDIKRKEWREIPNMFPMPTGVLEAPPSYGPPPLIAVVKNVLYNADYATKEVKKYDKNNNSWVTIGRFPEQATSMKGWGLAFRACGDMLIFLGGPILHCRGMLEINAWVPNERAIQWNQLARKKIGSFVYSCTVMGC >RHN45952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27205003:27208524:-1 gene:gene40382 transcript:rna40382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MSTVAYSDHDAKQRNLADYHPSVWGGFFLQYASETMELDQNLASQIDTLKDEVRNMLVSKTEMPLTKVKLIDSICRLGVGYHFEKEIDEVLQHIHKSYVENGEITLEDNLCSLAMLFRVFRQQGLHVSPNVFNKFKDKQGNFNENLSTDVEGMLSLYEASHMMVHEDDILEEALNFTSTHLESIASQSSPSLAAQIEYTLKQALHKNIPRLEARHYISIYEKDPTCDEVLLTFAKLDFNLLQSLHQKEFGNISKWWKELDFSTKLPYARDRIAECSFWVLTAFFEPQYSQARKMMIKVITLLSIIDDTYDAYGTIDELELFTKAVERWDISSLDELPDYMKPIYRSFLTIYEEIEKEMRKEGRIYTLDYYKIEFKKSVQAFMTEARWLNENHIPTTEEYMRISKKSGAYPLLILTSYIGMGDIATKEIFNWVSNEPRIVNAAATLCRLMDEIVSSEFEQKRGHVCSLLDCYMKQFDMSREAAIQECKNRMTIVWKDINEECLRPTEVPMPFMTRVLNLSRFMDVIYKNKDNYTDSDGLMKTCIKEVLVDPVPI >RHN71416.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58836258:58839737:-1 gene:gene20097 transcript:rna20097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative azobenzene reductase MKMATLMRLPTATPGRVVTRTREAFHALSPSPSPSPPHFVEFCKGGRRGRRIIRVGVKCNSNSERAVNLGPGTPVRPTSILVVGATGTLGRQIVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGVHTVIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKYCTENFLRDSGLNHIVIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDIARLTFIALRNEKINGKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVSVLRLTRQLTRFFEWTNDVADRLAFSEVLTSDTVFSVPMAETYNLLGVDTKDIITLEKYLQDYFTNILKKLKDLKAQSKQSDIFF >RHN74350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32075042:32080861:1 gene:gene10393 transcript:rna10393 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MRGCCVLPFSFPHIAIGVSHSHSFTIHTPTSKSILSSIHNHPFSPTSLHAQQQQQPHHHQEEDEESFQILTSVKTDYNHIMIVDTPKSTMLLLDSSHNVHSILYKDKKWTNSYWDEFSSLPAIVPKGPIAILGLGGGTAAHLMLELWPALQLEGWEIDDILIDKARDYFGLSDLEKTNEDGGILNVHIGDAFIPSDDLHRRYAGIVVDLFSDGKVLPQLQEVSTWLELHDRLMANGRFMVNCGGIDGVDGSTDPKKLSNDEAWLLNAAIKALLKAFPGQLSWKRMPKETGQNFMALTGPLPDLKSWSANVPSPLSTGVMDWKPCEIVSGI >RHN64350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58402378:58404527:-1 gene:gene27158 transcript:rna27158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, ARF/SAR type, P-loop containing nucleoside triphosphate hydrolase MFVFDWFYGILATLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGRIKFKAFDLGGHQIARRVWRDYYAQVDAVVYLVDAFDKERFPESKKELDALLADESLGNVPFLILGNKIDITYAASEDELRYHLGLTNFTTGKGKINLSDSNVRPLEVFMCSIVRKMGYGEGFQWLSQYIK >RHN44637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7089242:7096470:-1 gene:gene38780 transcript:rna38780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MVFGSLLDFEISKNLIEMGKEVEDVEEGEISDSASLEEITEEDFKKGDDVKVNNSDVKTDKSDNKVKTGGGGGGGGDSRVWAVQDLYSKYPTICRGYASGLYNLAWAQAVQNKPLNDIFVMELDKNANANSNNSGNKDGELNKSSKEIVVVDDDDEKEEGELEEGEIDGDADDDCVIVGSENFSNSEVLGVRGVLEGVTVASVAESFAETCRRIQGTLQSKVFSGFDSAEKDDLVRLLFNAVEVVYSVFCCMDNLQKEENKDNISRLLSFLKNQHLFTMEHMKKIQVMITVIDSVFALGNNEVVGKEEKVEALNTTEQIPGLKADEYISSSQLVHDNSTYASEALQYGQSNVVGRGLMLPLFDLHKDHDLDSLPSPTREAPSCFPVNKLFSDLGDGIDRFGLPPAVCTEAEKMELDGKDSKLHIYETDALKAVSTYQQKFSRSSYFTDDKFPSPTPSGDCEGEAVDTNDEVSSASIASSLTSFKPPPLDQIPVSSTSLDRPNMHGLVDSRIDATGSGSYPAKSSAKSRDPRLRFINPDASTLDLNQSLGTHSMPRVEYGGRVISRKQKTVEEPSLDATAPKRLRRSLENSEHNTREERAMAGKGGWFEENTVAGSQLAERNHLMQKGETELKRTISTSSSNLTVSNNGNELASVTSSSATASLPTYLLNNVAVNPAMLIHMILEHQHNEAEAQKKPVDSARGTDATVNTGPAMTAGLTQSSVGILPASSPATSMTQTLPEDSGKIRMKPRDPRRFLHGSSTLQKFDVRVETKLAPIQSIAQPDITRQFTKNLKNIADIMSVPQETSSNPPATQNVSSASVPFMSDRSEQKSGVPNSQNLKDGVGSAPETCAPGSSRPQNTWADVEHLFEAYDVKQKAAIQRERSRRLEEQKKMFAARKLCLVLDLDHTLLNSAKFVEVDPVHDEMLRKKEQEDREKPQRHLFRFPHMGMWTKLRPGVWNFLEKAGKLFEMHLYTMGNKLYATEMAKVLDPKGVLFAGRVISRGDDAETADTKSKDLEGVLGMESSVVIIDDSVRVWPHNKLNLIVVERYTYFPCSRRQFGLPGPSLLEIDHDERPESGTLASSLGVIERIHQNFFASQSLEEVDVRNILASEQRKILDGCRIVFSRMFPVGDANPHLHPLWQTAEQFGASCTNQIDDQVTHVVAHSPGTDKVNWAIANGKFVVHPGWVEASALLYRRANEQDFAIKLDK >RHN52084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31362663:31364054:1 gene:gene36703 transcript:rna36703 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MAYWSAENATKAYLSTMKMGQKAKEPAVAEFISAIAAGNNAQLMVVACAGAADPTTLALVAAANQTNGKVICIVPTIEDLITSKKILGAASNQVQFMIGKGAQELLVLNKADFVLIDCNLMNHEEIVKCVQIGCCKQKGTVVVGYNAFSSKGSWRSCGSKTQLLPIGQGLLVTRFGENNAISPKFESGISNSPRSRWIVKVDKCTGEEHVYRVRLPQGKVIYA >RHN72021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4420393:4427455:-1 gene:gene7688 transcript:rna7688 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-(5-phosphoribosyl)-5-((5-phosphoribosylamino)methylideneamino)imidazole-4-carboxamide isomerase MRSPASTPSIRGGAFASSPILRHNHLPFLRLNPPSSSPTRSSPPSIQCSVQFRPCIDIHKGKVKQIVGSTLKDLKDDDGSDPITNFESDKSAAEYATLYKQDGLKGGHVIMLGADPFSKAASLEALHAYPGGLQVGGGINSDNCLSYIEEGASHVIVTSYVFNNGQMDLERLKDLVRIVGKERLVLDLSCRKKEGKYAIVTDRWQKFSDVSLDAKVMEFLANFADEFLVHGVDVEGKKLGIDEELVALLGKHSPIPVTYAGGVTVMDDLERIRTAGMDNVDVTVGSALDIFGGNLAYKDVVAWHNQQKVSVA >RHN50846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11085420:11086578:1 gene:gene35184 transcript:rna35184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKRKKMVENLPDDCWEYILKYFVKENGGYYLNSLSLVSKQFLSITNSLLFSLDVYPSRVPFLKYLLKRFPNLTSITLNYCFPLKKLTSLVLSGEPKFPFEKLNSLALSGESIFPTDGLRAFSQNITTLTSFTCSTDFLYKNDLLLVADCFPLLKELNLELSCPILKSQTIFKNGSQSLLSKRRLVNNRTDFINGIHSLLSKCPCIQHLSLYNTFFLNDTHVAEFSESALFSLVRNCPSLSEIKMENTAIWTKSVENSGLLDLNTCEYISEGIFQVSGRCGKIKHLNLAKCPRVKRLRIKIVIPQLEVLNLSYTKVDDKTLYSISKNCCRLLELFTEKL >RHN66371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11533968:11536553:-1 gene:gene14340 transcript:rna14340 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLYKHNTCEKLGHYIHSLISQKHFERDVERDSGSRRRKEEQERS >RHN70920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55081758:55084944:-1 gene:gene19555 transcript:rna19555 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKGLQLWMKKPYELMGDAKERKTEIFMKENTVEEEEPEDVEDMIDEEDKEEEEENEAVDADMSLFEYQSHTEGEKETQPTTQKHFKNVVVP >RHN62925.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46777403:46777958:1 gene:gene25563 transcript:rna25563 gene_biotype:protein_coding transcript_biotype:protein_coding MHMNRSNSIAQVKKLKQSSNYAIIWKRNQCRLEYHHLLNLNQNKQNNRIIWMYDTPHHTVHYHYNHMEHYLK >RHN60896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31440936:31458037:1 gene:gene23289 transcript:rna23289 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Homeobox domain-containing protein MMITKEEPSRSGEQTLNLTSAINELHKLNSRDLYRLLKDAGNFSVDYLTGKRVLLKIDMDKLSKSLPLHLTRILIFSRRDEAVFKHVLRGVRLLHSLCDLAPRIPKFDQIFLDDFKVVEQMIDLVFYMLTILSTYRQEDRAFRFMHLLHSALVACSLHLLTGFISRQFQDIANVLLAHPQVEVFMDAAFGSVRVVVRCLEITLIACYKDISMESNPPSEQVVYFLCQQCEASLQFIHALCQQKLFKERLLNNKELCGKGSILFLAQSILKLNIESSFPTRIVAGISRLKAKILSILLSLCEAENISFVDQVATSPQSLDLAKSVAVEVLDLLKSTFGRNPGYPTTTDRRYPIGLLQINAMRVADIFSDDTCFRSYITTYFTKVLTAIISVSHADFLSSWCSSNLSETEEDASVEYDLFASVGWILDNSSSMDLQNPTVLELHMIRNIMPSASYAHNRTSLLVKIIANLHCHVPGRCEESERNFFFRTFLECLQMDLSKLLPGFSFASDAPKAATVSKNLRSLLSHAESLMPNFLDEEDVQILRVFFREIQTLFTSSGSGGNRVQEAQSIGIFSSPLQVNEPAELDKVGNLKEGMSDNSAFPSIGQHNTRVENTNLGDDLNRQHQVGGKGMASNTVLRGVRDTDKDAQNAETSGSDTSSAKGKNVLNHADNGESESMKRLGKIVVDEIPEDEKVESLQKRKRKRTMVNDKLVELMENALVDEPDMQRNEPEDEKVGPLQKKKRKTIMNDKMVELMERALLDEPEMQRNAASLQSWAEKLSHHGSEVTPSQLKNWLNNRKAKLARTSKDSSTPDKQKGPVRVSSDSPNNLGPLEVVRCNVGQSAVVVNVRGEEIGKGKVVQVNGKWYGKSLEELGVYVMDVYELYADKGMKLPFPSEIYGTSFAEAERKLGAMRVLWDSRRILVLQSC >RHN73160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13954848:13956349:-1 gene:gene8947 transcript:rna8947 gene_biotype:protein_coding transcript_biotype:protein_coding MSELYYIECHAAPPNGEEDDVDIIGPITPQTDDNIKLLGDEANSIPKDTHPSKSLRKRNADSDATYIDVDCQTRSSTTKLQKVVKIKQEPED >RHN53802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4262067:4266694:1 gene:gene28750 transcript:rna28750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase chromatin remodeling SET family MNSEPSMSVCDEHSEEELGKPVIENGDSTIVDPPKFKRRKVSAVRDFPEECGPFGSADGMGVEVKSGKDLGGGDSGEADSPNDEKDPQTFEFNEELFVADTVEQTSDCSLKKEDPVVLSDQVDVGSFANDEPAKVELVGVEAMDISFETEDCSLKKEDPVVSSHRVDGDILVNDEASKVELVGMEAVDMELETEDCSLRKEDPMVSSHQLDMPILDNNEDTKLTLVGMETLDAELATESCSLKNENAEILSYQIDESTLANDGPAELALVGMETSDMEFTTEGSVKQDLSYISEALAPVGEVAMSDNSKSSLSNINIGGSSACMKEALTKRYPPRKKVAALRDFPRLCGRNAPRLSQDECLKELASLKEVAATDLQEVENNKRKFANLVEADFEGNAVKKLDVAEPSTEMRLALDNHHQVKAENMNTVKVEGTSELDIDYPELESSLKVSPGRKVVLGLRATSECPLESDICSPKFKPTSIGGTDDRKGKKVDFYAHLDRSKTATKSKGVMNHSGHQPLKKKRENSSSDDMGQLVTREKNSLDPNENNKHFKSVPKPRGYVNVFPLGRSNLSGHESDSVARNKVRKTLRLFQAVCRKLLQEAEAKPKSNVKESKRVDLQASKILKEKGSYVNEGEKIMGSVPGVEVGDEFQYRIELNIIGLHRQIQGGIDYMKQKNKVLATSIVASGGYADDLDNADVLIYTGQGGNVMSSDKEPEDQKLERGNLALKNSSEVKNSVRVIRGSESADGKSRIYVYDGLYEVESYWQDMGPHGKLVYKFRLRRKPGQPELAWKELKKSKKLSKTREGLSVVDISYGKEKIPICAVNTIDNEKPPPFKYITKMMYPDCCNIVPPKGCNCTNGCSDHEKCSCVLKNGGEIPFNHNGAIVEAKPLVYECGPKCECPPTCYNRVSQLGINIQLEIFKTKSMGWGVRSLNSIPSGSFICEYIGEVLEDKEAEQRTGNDEYLFDIGNNKNNSNLWDGLSNLLPDSHLSSSEVVNDVGFTIDAAQFGNVGRFINHSCSPNLYAQNVLYDHHDNRVPHVMLFAAENIPPLQELTYDYNYTIDQVRDSDGKIKKKYCFCGSVECTGFLYRR >RHN80736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40497367:40497597:1 gene:gene4696 transcript:rna4696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MGSKYVVALHAVFFCMSLAVANSVDFSYPAAFNFGDSTSDTGGRVAAFGLPLPPHLTDRITSKLRLGDSVIWPSHT >RHN78285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13624822:13625673:-1 gene:gene1820 transcript:rna1820 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MVLEVCGYMIDKMVYPNFVTFRIMIDALCKEGLLERNVDTVDPIVGKRNSQLPSVIVMSSLILRMLEMENEEGEEGELVKLVRLLKRLLQKNLIGDSIAYSLIAHVKVRLGDLDFALEMYNEMVRRGFRENLFVYTSFIQAFCERGRIEEVVGLMREMEGKGLGAYGETYEFVIVGHADSGWVEECWSVFEEMMNVGFVPGCLLFDKVAEKLCENGDAEKVNDMLSAGFLPSNVTDSHLIDGYARKDEVKRVLNIYYKMEYKSVSWIVCLSVDDSMFVLFWKG >RHN57571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40170694:40172280:1 gene:gene33140 transcript:rna33140 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGWRSVVKVRQQHVSQLRTVFHLEQISVMTNLGILDDVEKIIYDVDQDAHQYWKAPFTHSFNRTQLVEYVVLDIVLLQDNQQDNQDEEEEVVEENREKKYAAAAAAAKKYRLADAVVARVKDTGNNDTTFQIRTHLGRILKPGDHALGYDLSGGEGGADTNTNNNLPAAILISKISYAEVNGRVVAVKDKWESDYQLFFNDLQQDPQLLFKITAAYRNPNNHYTYDGPFVDPPTRPFDPLEDLLDG >RHN61574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36557377:36561500:-1 gene:gene24052 transcript:rna24052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MKNLNISLCMVMAVVLVGLVGSVPLGFNYGDALDKSLMFFEAQRSGKLPLQQQRVKWRADSGLKDGIQQGVDLVGGYYDAGDHVKFGLPMAYSVTMLAWGAIEFSKEITGLNQMGHTLWAIKWGTDYFIKAHPQPNVLWGQVGDGTSDHYCWERAEDMTTSRTAYKIDEQHPGSDLAGETAAALASAAIAFRPYNSSYSNLLLVHAKQLFTFADRYRGLYDESISSAKQFYDSSGYSDELLWAAAWLHRATGDEYYLKYVVDNAVYMGGTGWAVKEFSWDNKYAGVQTLLSKVLLEGKAGPYASTLKQYQAKADYFSCACLQKNDGYNVQKTPGGLLYLHEWNNMQYASSAAFLLAVYSNYLSAAKAQLNCPEGQIQPQELLNFVKSQADYILGKNPEDMSYLIGYGPKYPIHVHHRGSSIASVFSLHSEVGCAQGFDAWYNRIEPNPNVIHGGLVGGPDRNDDYTDDRSNYEQSEPTLSGSAPLVGIFAKLQSSYGNIGSYHKYNESPLPQQKTPSTTNLYNNPSKKTNLYKEPTPKTPQGAPVDFLHSISSSWTVGTTTYYRHKVIIKNTSAKPVSDLKLVVENLSGSVWGLSPTGEKNTYELPQWHKVLNPGSECMFVYVQGGPQAKILIQSFH >RHN39439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7130517:7135029:1 gene:gene45461 transcript:rna45461 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPSTMAQNMISSQQSTIDTQTQNPISSNPTIPSPSPIPQQLPAIINLNPSPNPNVPSFQIQPLQQQVAVQQAGLYGGLMNFGGSAAVPDQQQQLSGSVGVGVGGNASNLSGAGATQFNLLTSPGQKVGLVQTSQLSSANSAGQSLQGMQQAIGTLGSSNLASQLMTTNRSLYGQKQQLAQQASLNNQQAQQQQLAGGVGVCIGSGAFNFGGSAVVTAQQQQLAGGVGLGIGGNTSSLSQSALAGPSGPFPKLSGASATQSNQLTSPGLQVGLVQSSQFSSGNSAGQSLQGTQQAIGTMGSSNLASQLMTTNGALYAQQLQLSQQAPFNNQQLVGLPQSGQPAMIQNQLLKQIPAVSGSASLLPLQQPQSQQQLASSAQLQQSSLTLNQQQLPQLMQQPKPMGQPQLQQQLQHQQLLQQQLQLQQQYQRLQQQLASSAQLQQNSLTLNQQQLPLLMQQPKSMGQPLLQQQQQQLLQQQLQLQQQYQRLQQQLASSAQLQQNSSTLNQLPQLMQQQKSMGQPLLQQQLQQQQLLLQQQQLVLQQQQQQQQQQRTQLLQQQMQALRIPGPAGHKSLSLTGSQPEATAFGMTTPGGSLSQGTRTNAMFGQTEIMSVVNELKETRKEVKAIIKILLRFFKVISIVMALFIFVTLFVVLIK >RHN69069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40771147:40774323:-1 gene:gene17497 transcript:rna17497 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MtPT6 MAREQLGVLTALDAAKTQWYHFTAIIIAGMGFFTDAYDLFCIPNVTKLLGRIYYTHPGALKPGTLPPNVSAAVNGVALCGTLAGQLFFGWLGDKMGRKKVYGLTLALMVFSSLASGLSFGHSAKGTIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIASVFAMQGFGILAGGIVSLVVSTAFDHAFKAPPYKVDAAASLVPEADYVWRIILMFGAVPAGLTYYWRMKMPETARYTALVAKNAKQAAQDMSSVLQVEIEAEQEKVDKIGVQDKNSFGLFSKEFLRRHGLHLLGTTSTWFLLDIAYYSSNLFQKDIYSSIGWLPPAQDMNAIHEVFKVARATTLIALCGTVPGYWFTVAFIDVIGRFAIQLMGFFFMTVFMFALAIPYDHWTKRENRIGFLVMYAMTFFFANFGPNSTTFVVPAEIFPARLRSTCHGISSAAGKAGAIIGAFGFLYASQSKDPKKRDAGYPAGIGMKNTLILLAVVNCLGIFFTFLVPEANGKSLEEMSGENEEEEETEAAVVDPQASSNRTVPV >RHN73004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12514497:12517074:1 gene:gene8773 transcript:rna8773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MVLETEIIYQQSVLDVKYHLCVSSESVSTETSLFESTVSCSEVIKESIIEDAVAMFTPKVRSGSCADIGPRGSMDDEHIQIDDLAAHLGFVLKYPTPSSFYAVFDGHGGPDAAVFVKNNAMKLFFEDTTMLETYDSDALLLKRLEDSHRKAFLGADLLLADEQSISSSCGTTALTALILGRHLLVANAGDCRAVLCKRGVAVDMSQDHRPSYLPERKRVEELGGYIDDGYLNGYLSVTRALGDWDLKLPLGSASPLTAEPDVQVVTLTEEDEFLIIGCDGIWDVMSSQDAVSLVRRGLRRHDDPQQSARELVKEALRLHTTDNLTVIVICLSAVESIVESCPPHRRRFRACSMSEEARNKLRSLLESN >RHN78041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11464566:11465303:1 gene:gene1556 transcript:rna1556 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEIIPPVIHLPPPALRPLCAPQLALVSYACGMLPYTPGSPSSPILPPLSPSSSNDGGGHQSHHNHHHRHGHRHRHHDTTQEDNCCRWARALDSRCVCEILVRLPPFLIRPLHTYSVVFGESCTVTYSCGGPI >RHN70838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54388781:54393732:1 gene:gene19465 transcript:rna19465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal recognition particle, SRP14 subunit, signal recognition particle, SRP9/SRP14 subunit MVLLQLDPFLNELTSMFERSTEKGTVWVTLKRSSLKSKVQKNKLVTAGEAIEYRCLIRATNGKKTISTTVGPKDHQRFQASYATILKAHMTALKKRERKDKKKSAEIDKREGSSKRPKKT >RHN61275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34387485:34389972:1 gene:gene23718 transcript:rna23718 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative organ specific protein MRPALAVLTLLFLFLFAATIESRNDLKEYWKTIMKDEEMPEGIQGLLQLKSEIEPMKNSKAQEQLAKGKCDEHPATNTLNIIKKKVVTEEFQPRPTISAYGDDKIDIKVTEKHIKDFEPRPSGTRYDGDGYKSEKLRVYSEFEPRPSATKYDGDGEGYKTIKLPGNDEFEPIPSVTRYDGDGYKSMKLSGNDEFEPRPSVTRYDGDGYQSTKLSVNNEFEPIPSVTKYGGDGYKNTKLSVNSEFEPIPSVTSYGSDGYKSMKLPVNDEFEPRPSATKYDGDGYQSTKLSVNSEFEPIPSFEPIPSVTSYGSDGYKSMKLPVNDEFEPRPSATRYDSDDYRKMKLPMNDEFEPRPSVKKYDGDNNKSKKLHVNDEFEPRPSATKYND >RHN45438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:20809244:20811621:-1 gene:gene39779 transcript:rna39779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MALTLAHTFFRILLFVGSLSIFLVTPSSCLKPRKLLNATSYFSFEDFSSSEATFYGSPDGDGSEGGACGYGTAVGMPPFNSSISAGAPAIYQSGNGCGTCYQVKCTGNPACSGNPVTVVITDECPACDHDFDLSGRAFGSMARPGQADNLRKVGRIPVQYTRVPCNYPGVSITFRVDPGSNPDYFATLIEYEDGDGDLKSVELKEGLNSSTYESMQRSFGAVWKLNKGAQLIAPFSLRLTQIESGKVFEAKNVIPVGYKPGQTFRAVGNFI >RHN64385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58677399:58682140:-1 gene:gene27201 transcript:rna27201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine--tRNA ligase MLIKFQLYCDTCERFLCDRLVEGTCPTPECEYGSARGDQCDKCGKLLNPTELKNPRCKVCEKSPRLRDTDHLFLELPLFKDKLEKYVNEMSVVGSWSQNAIQTTNARLRDGLERRCITRDLKWGVPVPHEKYSNKVFYVWFDAPIGYISITACYTHDWEKWWKNPENVELYQFMGKDNVFFHTVIFPSTLLGTGENWTLMKTISVTEYLKYESGKFSKSDGIGVFGNDVKDTNIPVEVWRYYLLINRPEVSDTDFTWPDLQAKLNNELLNNLGNFVNRVLSFIAKPEGEGYNSTVPAVPEDVSGDSHDPTKKLADKVSAYLDQYIEAMEKVKLKQGLKIAMSISGEGNAYLQETAFWRLYKENRSLCSLVIKTAAGIVYLLACLLEPFMPSFTLEVFKQLNLSVEVHLSLSDDKGDVDRVRRPWDILSAGHKIGTPKPLFRELVSVPLSEISKAIQICFCFCF >RHN56698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32991995:32992570:-1 gene:gene32136 transcript:rna32136 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFFFPHALSPAKLSSLSSTTGTSPLPFPVTAAAATKSPLFSSLSSLFSLVLPLSDLPPPPSSALTCYRQRRLHLLRFTPAHLSLPPPCLLHPPIITALPPPL >RHN77861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10012843:10013832:1 gene:gene1362 transcript:rna1362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain-containing protein MATNQPNFYFPFPHLPPPPSHPLNPPPSPFHPINPPPSPFHPFNPPPPHSIKSPPPAPSHSSPPPPPPPRRRPPPPPSHPFSPPPPHNHPPPSPHHPIAPSPPHVRPSPPPPLPPSPSPYHPTVIVIVIIAFGGIALLSMLAFALFCCVQKRKKKQETDVIHIDEHKKITENIVPGPFGQPTVVVTVEDDVHIDEVIKKNAEVGHALHAEASKVEPNQDNVSSSNEVATTTSPGHEHDHHHIQPQIENKP >RHN71359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58499351:58499488:-1 gene:gene20032 transcript:rna20032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MKRFLIPVSFLNEPLFQELLSQAEEEFGYCHPWVVLHTTSRLNGQ >RHN82544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54643600:54648044:-1 gene:gene6715 transcript:rna6715 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative annexin MPTLVVPPFPPSPRDDAMQLYRAFKGFGCDTNAVINILAHRDATQRAYIQQEYRTTYSEELSKSLVSKLSGKLETAVLLWMPDHAGRDVEIIRKSLVVDRNLEAATEVICSRTPSQLQYLKQLYHSKFGVYLEHEVKSNTSGDLQKILLAYVSTPRHEGPEVNREIAEKDAKVLYKAGEKKLGTDEKTFVQIFSERSAAHLAAISSYYHDMYGHSLKKAVRNEASGNFGNALLTIIQCATNPGKYFAKVLYKAMKGLGTNDDTLIRVIITRTEIDMEYIKAEYAKKYKKTLNDAVHSETSGNYRTFLLALLGPNH >RHN68064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32466118:32469121:1 gene:gene16354 transcript:rna16354 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKMNNSRIESPKKRPFHEDYDDIIDGSFFSSDSEVSNGNSSSELSESDSFEDVTSPTSSSSSSSTHQLAEADPLSDMSSLFQQLPIKRGLSKFYQGKSQSFTSLTNVKSLEDLAKPESPYNKRLKSCRSYGGFYESQESSFKSMSRLVSKRGVNSASSRGSCSSLNARKGSGSNFMGSRPPIHPHNRSSTSTNNISNQTALFA >RHN69663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45355064:45357418:1 gene:gene18162 transcript:rna18162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MDGSTSNSKPTPSMLERKARPQKDQALNCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSTSSSSPSISQSQPPSSITKNIIINPDLATTQNNFPQSASQNPKIHQGQDLNLAYPPQTTEDNYNTISKFIELPYTTDHQDKTTSAMELLKTASSSRGLNSFMPMTLSESSAMYNSSGFALQDFKLGVNFGLEGFENGYGGLQGINNQEGSAGGGGGGGARMLFPVVEDQLNKQQQQQVPNSGEFEHQQNRTQGDSTGYWNGMLGGGSW >RHN60788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30443504:30450441:1 gene:gene23167 transcript:rna23167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MEIDLNNEVIEVEKNALCHKECEKGFCFCVSCLSPSTCSSSSSTSPLVSSSYLELWHACAGPLTSLPKKGNVVVYFPQGHLEQFASFSPFKQLEIPNYDLQPQIFCRVVNVQLLANKENDEVYTQVTLLPQAELAGMHMEGKEVEELEGDEEGDGGSPTKSTPHMFCKTLTVSDTSTHGGFSVPRRAAEDCFPPLDYKLQRPSQELVAKDLHGVEWKFRHIYRGQPRRHLLTTGWSIFVNQKNLVSGDAVLFLRGQNGELRLGIRRAVRPRNGLPESIVGNQNCYPNFLSSVANAISTKSMFHVFYSPRASHAEFVVPYQKYVKSIKNPMTIGTRFKMRIEMDESPERRCSSGMLIGINDLDPYRWPKSKWRCLMVRWDDDTETNHQDRVSPWEIDPSSPQPPLSIQSSPRLKKPRTGLLVASPNHLITGMNPNGISGMMGFEESVRSPKVLQGQENTGFMSLYYGCDKVTNQPGFELSTSSHHQNLASTGIGKVVTSSELMSVHPFSYAGFMESNNFPRVLQGQEICKLKSLSGKVDFNIGAWGTKPSFQSACFPYGDIDKSNQASMFSSKHTSFMSDNVPFNTPSIVAGDIRKEVGRSGSNLLPNEHKLQDNVSASASLVDTNRNAPNDNNVKGKANSCKLFGFPLSGEPSSQNLQNTAKRSCTKVHKQGSLVGRAIDLSRLSGYNDLLSELEKLFGMEGLLRDSDKGWRILYTDSENDIMVVGDDPWHEFCDVVSKIHIYTKEEVEKMTFGMMTNDDTHSCLDQAPVIIEPSKSSSVGQPDYSPTVVRV >RHN64228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57436238:57436879:1 gene:gene27018 transcript:rna27018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MERVTKMVSERPVVIFSKSSCCMSHTIKTLFCDFGVNPAVYELDEIPRGREIEQALISRLGSSPSVPTVFIGGELVGGANQVMSLHLNRSLIPMLKKAGALWL >RHN72512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8266150:8266902:-1 gene:gene8233 transcript:rna8233 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YC10 MDQKTQVKPEERRNDTQTQNQIVSVSRPLFHTANASAFSSYMSRVQVQEISHQQQKQQELQKKLGTFWAKQNEEVEKVVDFRNNGLPLARIKKIMKAEEGVSMISAEAPILFAKACEMFIMELATRSWANAEVNKRKTLQKSDIASAVSSNEVFDFLVDIVPRENTMERDIFMGIPRRENVPYYLPMPVHVPPQYAAGPSYGPAGMLMGRHLPNQPPSHPFANQIFPTPKKESDHSTDLPNSDD >RHN44630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7003824:7007575:1 gene:gene38771 transcript:rna38771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAELIAGAFLSSFFQVTLERFASRDFKDLFNKGLVEKLEITMNSINQLLDDAETKQYQNPNVKIWLDRLKHEVYEVDQLLDEIATNSQRKIKVQRILSTLTNRYEPRINDLLDKLKFLVEQKDVLGLTGSGSCSSFEGAVSQQSSKRSPTASLVDKSCIYGREGEKEEIINHLLSYKDNDNQVSIISIVGLGGMGKTTLAQLVYNDQRMEKQFDLKAWVHVSKSFDAVGLTKTILRSFHSFADGEDLDPLICQLQKTLSVKRFLLVLDDVWKGNEECLEQLLLSFNHGFLGSKIIVTTRDKNVALVMKSDHQLLLKNLEEKDCWSLFVKHAFRGKNVFDYPNLESIGKEIVDKCGGLPLAVKTLGNLLQRKFSQGEWFKILETDMWHVSKGNDEINSVLRLSYHNLPSNLKRCFAYCSIFPKGYKFEKDELINLWMAEGLLKCCGRDKSEQELGNEFLDDLESISFFQQSESIFGHMGLCMHDLVNDLAKSESQKFCLQIEGDRVQDISERTRHIWCSLGLEDGARILKHIYMIKGLRSLLVGRHDFCDFKGYEFDNCFMMSNNVQRDLFSKLKYLRMLSFYGCELTELADEIVNLKLLRYLDLSYNKIKRLTNSICKMCNLETLTLEGCTELTELPSDFYKLDSLRHLNMNSTDIKKMPKKIGKLNHLQTLTNFVVGEKNGSDIKELDNLNHLQGGLHISGLEHVINPADAAEANLKDKKHLKELYMDYGDSLKFNNNGRELDVFEALRPNSNLQRLTIKYYNGSSFPNWLRCSHLPNLVSLILQNCGFCSLFPPLGQLPCLKELFISGCNGIKIIGEEFYGDCSTLVPFRSLEFLEFGNMPEWKEWF >RHN38975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3157567:3164307:-1 gene:gene44955 transcript:rna44955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MSMDDDDDDDDSCSSYSYSYSYSSCSSLNFDHRWFYDVFISFRGEDIGKSFVSHLVNALRKARITTYIDGGQLHTGTELGPGLLAAIETSSISIIVFSKNYTESSWCLDVLQNVMECHISDGQLVVPVFHDVDPSVVRHQKGAFGQVLRDTAKRTSRKGEIEDVVSSWKNALAEAVSIPGWNAISFRNEDELVELIVEDVLRKLNKRLLSITKFPVGLESRVQQVIQFIQNQSSKVCLTGIWGMGGSGKTTTAKAIFNQINLKFMHASFIENIREVCIKNDRGIIHLQQQLLSDVMKTNEKVYNIAEGQMMINERFRGKNVFVVLDDVTTFEQLKALCANPEFFGPGSVLIITTRDVHLLDLFKVDYVCKMKEMDENESLELFSWHVFRQPNPREDFSEFSKRVVSYCGGLPLALEVIGSYSNQMTDEDWISVFSNPKTIPNHQIQEKLRISYDGLNQDMEKDIFLDICCFFIGKDRTYVTEILNGCGLDADTGITVLVERSLLKVDNYNKLEMHDLIRDMGREIVRESSAKEPGKRSRLWFHEDVHDILTTNSGTETVEGLVLKSQRTGRVCFSTNSFKKMNQLRLLQLDCVDLTGDYGNLSKELRWVHWQGFTFNCIPDDFHQGNLVVFELKHSNIKQVWNKTKLLVNLKILNLSHSRYLTSSPDFSKLPNLEKLIMKDCPSLSEVHPSIGDLNKLLMLNLKDCIGLSNLPKSIYQLKSLNTLILSGCSKIDKLEEDIVQMESLTTLIANNTAVKEVPFSIVRSKSIRYISLCGYEGLSHDVFQSLIRSWMSPTLNSLPCIFPFRNITYYCLASHDVHQNNLVFLSPIDSILLQLRIIGVQFRSEIQLTQELRGILDDQYDISVTKVETSHASQISNPSLRSLLIGMGNFHIFIEALSKSISQGLTTNDSGEFFLPGDNYPSWLAYTGEGPSVRFQVPKDSDHCIKGITLCVVYSSTISENMVTECLASVLIINYTKFTVHIYKRDTIMSFNDEDWKNITSNLGPGDNVEIFVAFGHELIVKETAAYLIYNHSVTKEIESSTNAHEKYDLKYDVDAKFKGGPRRYQWEMDKASKRDVKSLNQSKIADEKGDLVNGVNRRMAEQIPYAVAESLFNRLASAAFREHGRIFGVMDELERLKKSVECIRVVLLDAQEKQEQNWIGRLKDVLHLADDLLDEFIIEGMRYKVDAGDKKKITWVFRSLSSTHFYLR >RHN52486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35993750:35996751:-1 gene:gene37170 transcript:rna37170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MVTPVIAMPMANIIGVPSLLLQAPLTNSITFTLNTKIKTQKHKLYLNFSQPNNITTSFSSSLSLSLRNNVHCSVLACLPPASESSSSSSSNSRSTRLYVSGLSFRTTEESLRNAFKNFGQLVDVNLVMDKVANRPRGFAFLRYATEEESKKAIEGMHGKFLDGRVIFVEVAKPRSELRQGSKQ >RHN80634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39613985:39621883:-1 gene:gene4580 transcript:rna4580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MCSFQNPIENGQHTNSTQTDVKIMNQRSHILYEQLKGFVQRMDMNVVKKDLPPKTVFVITVKLSPLQRKLYKRFIDVHGFSNVKENQENLRKRSFFAGYQALARIWNHPGILQMAKEDKECVRPEDVVENLLVDDISSDDSDSNVLAGEKLKYTNDLPPRKDGNGFFIKGWWKDILQGKIFKEIDQSGKMVLLIDILTMSSDVGDKVLVFSQSIPTLDLIELYLSKLPRSGKRGKLWKKGRDWYRLDGRTESSERQKLVERFNEPLNKRVKCTLISTRAGSLGINLHAANRVVIVDGSWNPTYDLQAIYRAWRYGQKKPVFAYRLLAHGTMEEKIYKRQVTKEGLAARVVDRQQVHRTISKEEMLHLFEFGEDENPETLAELSEQSNPILAGDSLKHTVPHSNGSSYSDKLMESLLGKHHPRWIANYHLHESLLQENEEEKLSKEEQDMAWEVYRKSLEWEEVQRVPIGESVPDPKPEVSKAEEEQPEVETWQLSTKLRNRFTKRQCTNLAHLLTLRSQRIKIGGYTVCGECARVVRWEDLKK >RHN49497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55217145:55217438:-1 gene:gene44335 transcript:rna44335 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S2 MFKRQLSHLETYLGGIKYMIGLPDIVIIVDQQEEYTALQECITLGIQMTCLSDTNCDPDLADISIPANDDVMASIRLILNKLVFAICEGGSSYIRNF >RHN52142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31972282:31972581:-1 gene:gene36771 transcript:rna36771 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISPHSLSMKNESSSVAPIGASAQSRTIAQTMTYMERLVIELSNPDLRENALRVLSKVLINLLLLFCLFLMSFIILAINLNLGIVVSSTKFNVLMSS >RHN55190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15917344:15918113:-1 gene:gene30330 transcript:rna30330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MAKFICAFLFMLVITFVIQETYAGGEGSLRPQDCAPKCDYRCSKTSHRKACLTYCNLCCAKCLCVPSGTYGNKQECPCYDNWRNKNGGPKCP >RHN57626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40634405:40636263:1 gene:gene33202 transcript:rna33202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 3-O-glucosyltransferase MKKAEVVFIPFPGVSHLVSTLEFAKLLINRDNRLRVTVLVIKFPHISENDVNTKSLPISDSLNIINLPEVSLPPNTNPGFASMPALLEAQKPNVKQAVSNLTTGGGENGVLATIVVDMFCTTMIDIAKEFSVPMLVFFTSGVASLGLNLHIHTLRERDNVDSTQLQQQKELAIPTFAHLFPSSSLPGSVLSKEWEPFFMSFIEGLKNADGIIVNSFEELESHAVHSFFNHPELTSLPIIYPVGPILSPEPKTKDVVGSDIINWLDDQPLSSVVFLCFGSRGCFDEDQVKEIAHAIENSGAHFVWSLRKPAPKGAMAAPSDYTLSDLCSVLPEGFLDRTEEIGRVIGWAPQAQILAHPAIGGFVSHCGWNSTLESIYFGVPIATWPLFAEQQVNAFELVCELKISVEIALDYRVEFNSGPNYLLTADKIEKGIRSVLDKDGEFRKKMKEMSEKSKKTLLEGGSSSIYLSRLIDYIMNQV >RHN50784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10488311:10492706:-1 gene:gene35112 transcript:rna35112 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKSTISLKIKTEDEKEGDVKEDKTELEVELKVKNKSVEKKKKHKDEKKSDDSKDIGEDGSEKKHGDKEEKDKKKKEKKEKDEKSGTKKHKEKDGDENTEKKEKVKSKENKDKDGVVSEDKKGKGDEGEDLKKEKKTDEKKKKKEKDEEIETKKDKGKEDVGEVSEKKEKSEKKEKEKKKHKDEDVEKSKGKGDEDGGEENKKKKKDKKEKEKDGGKTDEGEEKKDAKKKEKKDKGKGEEDDGEENKKKKKDKKEKEKEKDDKIKPKKDSEKTDEGEAKKDKKKKEKKNKGEEEQDEKDEKTVIKKKEKKDDKDEVVSRELGGQKTEEKAEEDEENDDGKEVKEKKKKEDKDKGGKKRKLTGKDKSNDVSKLKQKLEKINGKIEALLEQKADIEKQIREAEDEGYVVVEKAKDAAE >RHN61885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39145421:39148429:1 gene:gene24396 transcript:rna24396 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTKPDTFYTEQATRTLTSEIPSYLVIQINDVTYLLHKFALLPKCRLLQRLCYDCSDSKSFIVDIAII >RHN72991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12399610:12404337:-1 gene:gene8760 transcript:rna8760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MSLIHGIFILWITMILFIHNVEGRYHHKKPKKTSPAPSDPSPPSPSFPSDPYPYPNDPGESPSNCVFDVRSFGAVGDGDADDTAAFRAAWKAACAVDSGVLLAPENYCFKITSTIFSGPCKPGLVFQIDGTLMAPDGPNCWPEADSKSQWLVFYRLDQMTLNGTGIIEGNGEQWWDLPCKPHRSPDGKTVSGPCVSPTMIRFFMSSNLVLRGLKIQNSPQFHVKFDGCQGVLIDELSISAPKLSPNTDGIHLGNTRDVGIYNSLISNGDDCISIGPGCSNVNVDGVTCAPTHGISIGSLGVHNSHACVSNLTVRNSIIKESDNGLRIKTWQGGTGSVTGLTFDNIQMENVRNCINIDQFYCLSKECMNQTSAVYVNNISYRKIKGELVDDPFCWNAYGRQETLTIPPLDCLREGQPETVVELSEYECNN >RHN82478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54109716:54116425:-1 gene:gene6647 transcript:rna6647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MLPMSCILLMSLLCSFLPQIKAFSNLTLPHQHPYPEAVVHELHQKINVSFSRRELLSKDQGACLTGNPVDDCWRCDPNWSANRQKLAECGIGFGRAAMGGKGGQIYIVTDSSDNDPANPVPGTLRHAVIQDEPLWIIFSSSMTINLRHELIFNSYKTVDGRGANVQITGHGCITLQYISNVIIHNIHVHHCRPSGNTNIRASPTHVGFRGISDGDGISIFGSRNIWIDHCSLSYCTDGLIDAIMGSTAITISNNHFGHHDEVMLLGHNDKYTPDRGMQVTIAFNHFGEGLVQRMPRCRLGYIHVVNNDFTQWEMYAIGGSANPTINSQGNRYTAPSDANAKEVTKRVDTDDKEWSGWNWRTEGDIMVNGAFFVPSGVGMSAQYAEASSVQPKSVEQINQLTMYSGVFGDPRDNGDIYPGFNGGGTTTGANSKGYNGNGGSSNDDGDFFGMIFKGTSSQAAPLVPYSSIVFVSTFLSLLIIFNLDIITNYDILLSLL >RHN67874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31108438:31109512:1 gene:gene16119 transcript:rna16119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MGKVALFVLMACMMIASSHAKATLTCEQTTIYLTPCIPYGMLGGSVSPLCCQGIHSINAAYKNGDDRRVACHCIQDTAAKIPLIDYTRINQIGELCGSKCPFKVYPSTDCNKVK >RHN49535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55464087:55479342:-1 gene:gene44377 transcript:rna44377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative THO complex, subunitTHOC2, THO complex subunit 2 domain-containing protein MSVAPSVQCAYVTEDRVREWSSGNANPSLNLSQPVPMLRFLYELSSTMVRGELPFPKCKVALDSVTFSEVKASAENLASNFADIISQMAQDLTLSGEFRSRLIKLARWLVESKLVPVRLLQERCEEEFLGEAEFIKIKAQELKGKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCRDSEALTEKGSASTMGIIKSLIGHFDLDPNRVFDIVLECFELQPDNDVFIELIPIFPKSQASQILGFKFQYYQRMEVSNPVPYGLYRLAALLVKQDFIDLDSIYAHLLPKDEEAFEHHSTFSSKRLDEANKIGKINLAATGKDLMDDEKTGDVTVDLFAAIVMETDAIGERKSELQNSQTLGLLTGFLSADDWYHAHVLFERLSPLNPVEHIQICDTLFRFIEKSISSPYDAIRQAHVQKSGSSTGGSTDAIDVDNPSGYSSFIDLPKEIFQMLACTGPFLYRDTMLLQKVCRLLRGYYLSALELVSHGDGALNPQFHFIGNPHLHLKEARLRVEDALGACLLPSLQLVPANPAVGQAIWELMSLLPYEARYHLYGEWEKDDDRYPMLLAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVQQIEAYRHMITPVVDAFKYLTQLEYDILEYVVIERLALGGRDKLKDDGINLSDWLQSLASFWGHLYV >RHN46035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27965057:27965928:-1 gene:gene40474 transcript:rna40474 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFIHVRVCCHLTISINITPKIPVFKGKCNFIRAIRGIGQ >RHN48983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51563852:51566129:1 gene:gene43760 transcript:rna43760 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L19/L19e MVSLKLQKRLASSVLKCGRGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKHARREERFAQGPGGEKPAEAPSAAPVAATKPTQTQPQKKSKKAAAV >RHN39082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3996881:4001284:-1 gene:gene45075 transcript:rna45075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MAKQGLLVLFLLALLCKVMSIRSHHQSTKDPIDGSFCLSWRLAVETNNELPWRTVPNKCSQYVEDYLIHGQYERDLELIMEQALNYVNGISLVGDGMDAWILDVDDTCISNIYYYKSKNYGCEPYDPPAFRAWAVKGWCTAIPPVLKLFNKLIDNGFKVILLTGRDQESLGQVTVDNLHNQGFIAYERLIMRTAAYKGQSAVMYKSNIRKQLEDEGYKIWGNVGDQWSDLQGNSSGNRTFKLPNPMYFVP >RHN67787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30277014:30277481:-1 gene:gene16019 transcript:rna16019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MKMIKELSILCGIEACAIISDENHHEAEVWPSPTEVVNVLSRFKNLSEHEQGKRMLDLESYLKQRIEKTQDQYHKLKMENKKKEMAGYIEKYMCTKEFNFGDAHLTNPNDFTDFINEQLKEVKQKLDSMDFQAQEDSIDFDDIDFDPNEFYLATP >RHN45539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22610781:22612429:-1 gene:gene39903 transcript:rna39903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MAKTIHIAVIPSPGFSHLVPIVEFSKRLVTNHPNFHVTCIIPSLGSPPDSSKSYLEKIPPNINSIFLPPINKQDLPQGVYPAILIQQTVTLSLPSIHQALKSLSSKAPLVAIIADSFAFEALDFAKEFNSLSYFYFPTSANVLSFILHLPKLDEEVSCEFKDLQEPIKLQGCVPINGIDLPTPTKDRSSEAYRMFLQRAKSFYFVDGILINSFYELESSAVEALKQKGYGNISYFPVGPITQIGSSNNDVVGDEHECLKWLKNQPQNSVLYVSFGSGGTLSQRQINEIAFGLELSGQRFIWVVRAPSDSVNAAYLESTNEDPLKFLPEGFQERTKEKGFILPSWAPQVEILKHSSVGGFLSHCGWNSVLESMQEGVPIVAWPLFAEQAMNAVMLCDGLKVAIRLKFEDDEIVEKDKTANVIKCLMEGEEGKTMRDRMKSLKDYAVNAVKDEGSSIQNLSQLASQWESFGGI >RHN57723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41307786:41312476:1 gene:gene33306 transcript:rna33306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MSTDSQPSTVLSLARLATLTAHLLPSGNQQPSDHLLPHPLLAQSPVANLNGTLTIVDERTGKKYQVQISPDATVKATDLKKITTGKNDKGLKLYDPGYLNTAPVRSTISYIDGDEGILRYRGYPIEELAEKSTFMEVSYLIMYGSLPTESQLAEWNFAISQHSAVPQGVLDIIQSMPHDAHPMGVLVNAISALSVFHPDANPALQGLDIYNSKEVRDKQIARIIGKITTIAATVYLRMAGRPPVLPSNQLSYTENFLYMLDSLGNRSYKPNPQLTRALDIIFILHAEHEMNCSTSAVRHLASSGVDVYTAIAGAVGALYGPLHGGANEAVLKMLSEIRTVENIPEFIEGVKTRKRKLSGFGHRVYKNYDPRAKVLKKLTEEVFSIVGRDPLIEVAVALEKVALSDEYFIKRKLYPNVDFYSGLIYRAMGFPPEYFTVLFAIPRMAGYLSHWRESLDDPDTKIMRPQQVYIGEWLRNYMPIKQRTESSDADKLGQVTISNASKRRLAGSRM >RHN78613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16761581:16764199:-1 gene:gene2239 transcript:rna2239 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVKMLLLRKKKKGCCCCLRDREIMKIIKIKRLKMKMICFLFSLSFFHILNFIFLGGLKVVMMLFCFLFSKDEDEAFDSRALPFFFFLF >RHN47910.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43498601:43502025:1 gene:gene42564 transcript:rna42564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MADDDYEDIDMGYEEEPPEPEIEEGAEEEDPDNKNDDLDAEGEPIETEEKEDAAPVERPRRTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >RHN65726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4768495:4768931:-1 gene:gene13604 transcript:rna13604 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSSYLLVFFLASLILIPQGFASQPHHHPNHPPSTQEVPRHHHPKHPPSTQEFPHQGSKPHPILPTNLPKPHPHHPPKEDNTHF >RHN81233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44250632:44264960:1 gene:gene5246 transcript:rna5246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MVYSLLENAFHSATTLSMLMFCVFSCSDFTSTDQQPRPLDTSADLSVAEKVAGEWTFTGFCKPIEFYNVLLHRPRLFLPRCLKYRIDASQEKRIQMTVILSWSNEETQNMFPLYISLARRDFNNEDADYSAVYLLGQILTFRGSSGIDGINEIKAKFVLSEVNKLAVEARAGSLFLLFFTTEGNSNSSSRVNASLGPSDQTSHEPIAENTCLYGKISFQSIYTAWDNSPNFRWGQRAEIMTTLNLLPCILKYDFENKGTTIAIQDLRNSEIVSTSKSVHIKIFAEEFGAMEKSLYHANTINDVPSSSTSSSSSTPSSPSSSSSSSRIIRSKEGRVAFNYRYYKNRLQRREVTENFSCPYCYLRCASYKGLRCHLLASHDLLNFEFSASEDCLAVNVSKKYDIWKFEFIADGIDPRLQTFMHCGKRTIQVRPEAARFEEFQDVDSPALADNADPPVLATDVDLPILANDLDSPILAITNNADPPVLAMDLDPLVLANDADSPVLALTNNADPPVLAMDVDPPVLANDAGSPVLANDVGSPVLANDVDSPVLAMDSDPPIMAIDEDPPILAMDADPPVFDSGTTLEDIEFLKKAGGNSATTSGVANTNIVSNLNPDCVPPVSEHDNGTPSAQQAGNTRKLPVEQITPQMIAQLEKREFYHSHKAQPMSLEEVLSGYDSEAEVDNEVLDIEDRWRLNLHDNATKEEKQLMTMWNSFIRRQRVRVDAHVRWACKAFTIKHGSEIVKSRELSKDWTAFRLKLYTEGLIDAKIINDCGTVLKHYRENPDIRFPERRIPSILLSPVKNRRKR >RHN82556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54727082:54728619:1 gene:gene6729 transcript:rna6729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MAEWIRTYLMNRMSTNRLKLQNWNHKIMPMPRKRLNTEIVKSGGWVAIWGVAEEFEVHQVGGSQAFTVNLAKKTCSCNFWELVGIPCRHAIAAMSKTSKDPEDYVSDWYSREMYEKCYTHNVSAINGQDMWPEVECEELLPPAYKKGPGRPKKVRRREADEAPSSQGKYKRYGTTYRCTKCDKFRHNAKGCKSLTVNPNAQKRKRKPPRQPSEARTSTSEANAGASATDVNDGASASASASASEAVCGASTIHGGESTSSANESVQGASKKRKVGSNTTFIPPKQSKKSKKNPMAFMSSSVKPSANVQTTVHTTKKSHTLIEPTANVTTTVHTSSTSVRPTATVNTVVHTSKSSHTVVKPTANVTTVINPKPTVIKKPSVKPGMHRKPPRKPTVRTLDVVRAIVEPIVKVKAPIRKSRRIVWKGPAIKNGPGKDLENPIEVVDEEVEEAGGSEKHVAEATPRKLTDAGGSCLALLRSVENVKYI >RHN48399.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47228919:47236453:-1 gene:gene43111 transcript:rna43111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-transporting ATPase MGKGGENYGRKENTSSDNSDGEIFKAWSKDVRECEEHFKVSVKTGLSHDEVENRRKIYGFNELEKHDGQSIWKLVLEQFNDTLVRILLAAAIISFVLAWYDGDEGGEMEITAFVEPLVIFLILIVNAIVGVWQESNAEKALEALKEIQSEQASVIRNNEKIPSLPAKDLVPGDIVELKVGDKVPADMRVVELISSTLRLEQGSLTGESEAVNKTNKPVAEDADIQGKKCIVFAGTTVVNGHCFCLVTQTGMDTEIGKVHNQIHEASQSEEDTPLKKKLNEFGERLTMMIGLICILVWLINVKYFLTWDYVDDGWWPTNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAIGTNVDALRAFKVEGTTYNPNDGQIENWPAGQLDANLQTMAKIAAVCNDAGISQSEHKFVAHGMPTEAALKVLVEKMGLPEGSKNVQSGSKSTILRCCEWWNEHDRRIATLEFDRDRKSMGVIVDSGVGKKKSLLVKGAVENVLDRSSKVQLRDGSVVKLDNNAKNLILQALHEMSTSALRCLGFAYKDELTNFENYNGNEDHPAHQLLLDPNNYSSIEDELIFVGLVGLRDPPREEVYQAIEDCRAAGIRVMVITGDNKNTAEAICREIGVFAPNENISSKSLTGKDFMELRDKKAYLRQTGGLLFSRAEPRHKQDIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSSIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLINLWILFRYLVIGIYVGLATVGVFIIWYTHGSFMGIDLSSDGHTLVTYSQLANWGQCSSWNNFTAAPFTAGSRIISFDADPCDYFTTGKVKAMTLSLSVLVAIEMFNSLNALSEDGSLLTMPPWVNPWLLLAMSVSFGLHFLILYVPFLAKVFGIVPLSFNEWLLVLAVALPVILIDEVLKFVGRCTSGSARRSKQKSD >RHN49743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:767448:768515:1 gene:gene33951 transcript:rna33951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MLILCSQQMGRGRVSVEFIQKEKSRKISLQTRKIGLMTKVEELSILCDVDACVILYAPNFEGQGYDEPETWPKDTKELQRILQRYYNTTIDRRLKTYNVQEYFKERMKKVEFEISKVRKEKFKMKYQTWDESFNFLEDEQLRLFASILDFKLDACNLKMNMLKGDLRGKSIALETNKIDNLNSSPYLDSNPSSYFNLPQNNMSQAHIYPPLMNINDKNPLGFWPLISGQSSQPSHMVSTAQSSQPSPMVSSAQSFYHVESYPCKQIDGNWTHHVDGNVTYHHPKIDMKKDEAENDKILPPYYYNRNGMIMQSYPIAMSTLPSQNLSNLSHEHLNNGSYDKDVLHTQMFNYMDGRK >RHN79299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27272526:27273146:-1 gene:gene3072 transcript:rna3072 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKSAKELPKRHSNRKRKVDIVENSPQETLTNVSSAENGNGKSIGDDNFPYEDFVDKHFITEKYHKEFAKWESEIFHVLLSAPIQSVALVRCLEQSFGDLKKKCKTYIEENAELKNKLSELFLQKSELVERVEGLMEENTKIKIKLNIKEDIVEKKELETKDLTAEIEELKAKIEESRDEIPMHHKAGFDKALKKVSVSISEIKP >RHN76740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:894156:894509:-1 gene:gene113 transcript:rna113 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPPTFFKLYSEYNMPPFAEKYFPVSPISPIKIKLQYGEGTRPYIPTSIEISRQPYWFFLTFLATNIFVFFLQDKRKSLMMWNLESSWKEEQVLAWEDLASLPFGARTLFPRSLS >RHN48721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49721224:49731889:1 gene:gene43468 transcript:rna43468 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLRHNNFPTSSSSNSWFSKDQRNADTKVLSLHCHLLNEGRSLKKRRLTHHALFWNLTSDNVLVDYRNFSLKFYRPKRKVKNLLFVSSDEGVSVNEDSDGSSTSNNTDLEKMRVKLNRPLVEDDFCDRLLQCLYDAARVFELEIKEQNSLSRQSWFSIAWFGVDRIAWEKTLSYQAAVYSLLQAASEFSSQSDGKDKNVNVFVQRSLLRLSAPLESIIREKLSAKQPKAYEWFWSKQVPAVVASFINKFEGSRKNMGGGLSSASDVSLLMLALTSFAVIIKVGPAKLSCSQFSSMSTVITGSLMDLLVDLIPISQAYSSVRDAGLCREFLVHFGPRAASCRGKIEQGPPEIVFWVNISQRQLQKVIDKERIWSKLTTSESIEVLEKDLAIFGFFIALGRSTRSFLLSNGFDTLDDPVEDFIRYLIVGSVLYYPELSSISSYQLYVEVVCEELDWLPFYPGITSTTKQLHVHKSKQEGPPNAEAVPQALDVCSHWMQSFIKYSTWLENPSNAKAARYLSIGHKKLLECMEVRMLKDKTLEISANRTVERSTVHSSAKVSDSFAEALKSVEEVVPRLENFLQELYASSASSGKEHLKAAYSVLEKIRKLKKEAEFLEASFRAKADSLQEGVDVGQSHNPVGAKEEYFKAKSRKNANVDRRKKLIGKSQGFRKDFVQDNNFQLSTSNEGIVDPESSEIHRFEHLRSELTELERRVQRRVYKSVKDEELGPMDDGARYSDDAGVVQMVQVQKEGNIIKKSFSKLKETGTDVWQGTQLLAIDAGAAMGLLRRILMRDELTEKEKKTLRRTLTDMASVIPIGVLMLLPVTAVGHAAMLAAIKKYVPALIPSTYAPERLDIFRQLEKIKQMSTIDVGSDDEVNKVN >RHN43840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48887775:48888272:-1 gene:gene50470 transcript:rna50470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S54, rhomboid MSSLLQFAKLLVFTISIMFNLSIGMVPVFNLTIGIVPIVNNFGLIGGLIPGFLLGFVLLCKKDPFVLPDQKLHKRCLPIICFILLSTGLIGGLVSLLKGVNMNDHCS >RHN38736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1658021:1660577:1 gene:gene44701 transcript:rna44701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MAVETMKKGEEVSLTVKPLYGFGETGKPADGDKSAVPTNAILEIKLELISWKTVIEVTEDKMVLKKIMKEGEGYKRPKDGADAKWKLTCKLKDGTVFFRKGHGGDEAELFEFTTDEAQVTRGLDRAVMSMKKGEVASLTIAPKYGFGSSESRQELAVVPANSTLHYEVELVSFVKRMEDEKRSKFRKTLRDAVREWSQTKPKSEKNKDFYHINTHKVKLSFPGKECFPIVIGKYKWQLEAMRLEGKDPMDLEFSIYTIGGNIDNLYQVDGVDSFLVSIATLHPRYSHMHHFNDDIKYCGGVGVHFFELIHKNAYSSGDKSFEDSEGFVSFEIQVQAISSEVYPPFLSLTYKMEMFEAYREFVLAQCSKFMKETQTEFKKVINNSSRWSRLCELLLDGYVKNTLKKKKARFKGTSKSKGDKRMQSQISVPLEEGEHSVETHGSKMVMEDEEATIIMVDEVVTV >RHN45350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17622832:17623393:-1 gene:gene39633 transcript:rna39633 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYERQPSFCTHCKMLGHEVHNCIKLSSLNTTEGTSKVLKAQTGPHQVKRLPKHTGNGKKHAVTADNRPAATTIIKSMSKSAAFPFKKRDIDNRPAAAIAFKSVSKCASITIQQPDLVELDNSDLDGNAMEVDKGDEAAFAANLKTSKTSNLFMHNSFDILNEEDTLNFGEAR >RHN61726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37847081:37851437:1 gene:gene24216 transcript:rna24216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor E2F-DP family MGTRSQQSCVDEDEEEFIGCGTTISGQSGSTSRSAGGLASSRSEQTIGTAAGDNTALRLNHLDIQDDDAASQGVVASKKKKRGQQRATGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPINSVLTPDKQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLNDIEELKTERLGLRNRIERKSSYLQELEEQFVGLQNLVKRNEQLYSSGNPPSGGVSLPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMEFGDRTQSDIVTHNVTDGGEGSSMSGMHQSQVPPSASSVSTRPPTSPPLPGILKARVKQEH >RHN57663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40878632:40889097:-1 gene:gene33241 transcript:rna33241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 16S rRNA (cytosine(967)-C(5))-methyltransferase MKKGRDLFFFTTCRTLHKTPNSSNSITATAFHETMQSSDHNSSHSQRYSFNPTLNWNPQLHNYFINAYGSDHFSRISSALTRPSRYSCIRVNTLCSTSDAVIEKLRSTVKEKLNGSDCEDVDDAVNPLKEDLDSDSSLLFKCKIPGLDYVVFVWGSGPHHVDYGNVAPKEVIVSRKCAEAVLRGAQVYVPGIMACSAHVEKGDTVAVSVAIEQQGSDGGWSSGMTRGIVLQGSETDPYYLERNGLYIGQGTAMLSRAGMFRVTEGLGVDMKDRVYELHSFHNVLEGEIFLQNLPSIIAAHALDPQMGERILDMCAAPGGKTTAIAILMKDKGEVIATDRSHNKVLDIEKLAAELGLSCIKAFKLDALKSVSQRNDIDTCHNNATNDVKNQVSSNLQVERMSPLVTESFKTETLEENGKGEKAREGAYPSKAEIRKSMRRARNGPGRNQSGGGRVDISKGFEPDSFDRVLLDAPCSALGLRPRLFAGEDTIESLRSHAKYQRRMFDQAVQLVRPGGVIVYSTCTINPGENEALVRYALDKYKYLSLAPQHPKIGGPGLVGSCEFPDGYAEEWLRPGEEDLVQRFDPSSPLDTIGFFIAKFAVGSKDT >RHN69745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45996618:45998807:-1 gene:gene18257 transcript:rna18257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MIKEGVLQDVEAIFAVHTDATASTGNIASIPGPFTAAGCIFEVNGFRFRPTDEELIDYYLRLKINGNGDEVQVIREIDVCKWEPWDMPDLSVIKDNDPEWFFFCPQDRQYPNGNRLNRETNHGYWKATGKDRKIKSGTILIGMKKTLVFYISRAPNGRRSNWVMHEYRPTLEELDGTNPGQKAYVLCRLFKKNDESLEVSNCGEGEQTNSAPEELQSDPAPITVSTSQVRQEDKQQAVIPGISEEK >RHN77675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8555023:8559209:1 gene:gene1153 transcript:rna1153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prolyl oligopeptidase MLSFSVHSRHILFHFSSYRSSIRYPNFLPRLFTTNTLFKSHYSSSLNNNSYRRKMGSLSVFDETIQYPIARRNDSVIDNYHGVNVPDPYRWLENPDAEEVKDFVQKQVVLTDSVLKTCDCRTKLGETIKKVFDHPRYTTPFKRGVNHYFYFHNTGLQPQSVLYVQDSFEGEAEVLLDPNVLSEDGTVSLNSFSISQDAKFLAYGLSSSGSDWVTIKVMRVHDKVVEPDTLSWVKFSSISWTHDSKGFFYSRYPAPTIKDGEVVDAGTETDSNLYHELYYHFLGTDQSQDILCWRDPHNPKYMFGASVTDDGKYVLLSIEEGCDPVNKIYYFDLSELPNGLEGFRNKHSFLTFVKLIDDFDAKYQPIANDDAVFTFLTNKDAPKYKLVRVDLREPNTWTDVIQESEKDVLGSAYVVNSNQLIVSYLSDVKYVLQVRNLQTGSLQHQLPIDIGTVGEISAQREDSVFFFSFTSFLTPGIIYQCNLGTRIPDVKIFREIVVPGFDRSDFHVNQVFAPSKDGTKIPMFIVSRKDIILNGSHPCLLYGYGGFNVSLTPFFSVSRIVLARHLGSVICIANIRGGGEYGEEWHKAGSLSKKQNCFDDFISAAEYLVSAGYTQPKKLCIEGGSNGGLLVGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDFGCSDKEEEFHWLIKYSPLHNVRRPWEQHPDKSIQYPSTMLLTADHDDRVVPLHSLKLLATLQYVLVTSLDKSPQTNPIIARIECKAGHGAGRPTQKTIDEAADRYGFMAKMLEARWIE >RHN51763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24643740:24651053:-1 gene:gene36281 transcript:rna36281 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MDDLWSIICFSVFLLIIMFAFIIMILKTSSRRPFWSLVRYSNLQLVSAITIIFIGLLRLSLGINGWWIDLFHGFTWLLVSLIVSLQIKQLTRAWLWMFSFFMFFVSGVLCSLSMSSYAIGSSELSFNAALDVLSFSGATLLLLCTYQVCTGKSIDESFYAPLNGQINEVDPVSNITPFSKAGFFSKISFWWLNPLMKRGQEKTLVDEDIPKLREFDRAKSCYLSFVEQLNKQKQHESSLYSSVFWTIILCYQREILITGLFALLKVLALTSGPLFLNEFISVAEGNTSFNYQGYILAISLFFIKIIGSVSQRQWYFRSRLVGMKVRSLLNASIYKKILRLSNSARLIHSSGEIINYIIVDAYRIGEFPVWFHQTWTTSLQLCIALVILFRTIGLAILASLAMIILTMLCNARLAKLEHKFQRQLMVAQDERLKASFESFVTMKVLKLYAWETHFKNVVYYLRNVELKLLSAVQLRKAFSVFIVWTSPLLVSAASFLACYFLKVPLHASNVFTIVATLRLIQEPISSIPDVIAVIIEAKVAFSRIVNFLEAPELQRENFKKKCFNDNLKGSISIKCSDFSWEGNASKPTLRNISMEVRHGQKVAICGEVGSGKSTLIATILGEVSKTKGTIDVQGKFAYVSQTAWIQTGTVRENILFGSELDDQRYQETLQKSSLVKDLELLPYGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAHTAKNLFNEYIMEGLKGKTLILVTHQVDFLPAFDSILLMSNGEILQSGPYHQLMTSSQEFNNLVNARKETAGSDLLASATFSERHSTSIKSMQASVLKQYKAPNGNQLIHQEEREKGDTGLKPYLQYLNQMKGYILFSTAFLCHLIFVFCQILQNSWMASNVDNPLVSTLRLILVHLLIGGFSTVFLFIRCLLIVTLGLKSSKDLFSQLMNSLFHAPMSFYDSTPLGRILSRVSSDLSIMDLDLPFSLSYSMGATINFYSSLTVLAVVTWQVLIVAIPMVYVVIRMQRYYIASEKEVMRMNGTTKSSLANHVNETVAGAATIRAFEEEDCFFEKNLDLIDINASAFFHSFSSKEWLIQRLEIISAVVLTTGALCMVMLPPGTFTSGIIGMALSYGLSLNNSLVFSIQNQCTLANHIISVERLNQYMHIQSEAKETVEGNRPPLNWPVAGKVKINDLKIRYRPDGPLVLDGITCTFTAGHKIGIVGRTGSGKSTLISALFRLVEPAGGKIIVDGLDISSIGLQDLRSRFAIIPQDPTLFNGTVKYNLDPLSQHTDQEIWEVLGKCQLREVVQGKEEGLNSSVVEGGSNWSMGQRQLFCLARALLRRSRILVLDEATASVDNSTDLILQKIIRAEFADCTVITVAHRIPTVMDCNMVLSISDGKLAEYDEPTNLMKREESLFRKLVKEYRSYVQSTKSD >RHN65842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5741705:5743876:1 gene:gene13734 transcript:rna13734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MREASFRIEDVIDEYHRLMHRVNPLGCRSLVCKIASLIKTLIPHHQIASEIQDIKLSIRGIKERSERYNFQISSSNSSRETENGRWRDPRLSSLFITETEVVGIEGPKEELSGWLLDGAAERTVISVVGMGGLGKTTLAKLVFESQKVSAHFDCCACVTVSQSYTVRGLLINMMEQFCRGTEDSLPQMLHKMDDRSLIIEVRQYLQHKRYLIFFDDVWQQDFSDQVEFAMPKNNKGSRIIITTRMMQVADFFKKSFLVYVHNLQLLPPNKAWELYCKKVFGFELGGNCPSELQDVSKEIVRKCKQLPLEIVAIGGLLSTKSKTIIEWQKVSQNLSLELGRNAHLTSLTKILSLSYDGLPYYLKPCILYFGLYPEDYVINHRRLTRQWIAEGFVQLQERRTPEQVAEEYLSELIQRSLVQVSNVGFEGKVQTCQVHDLLREVIIRKMKDLSFGHSVQQDSESVVVGKTRRLSIATSPNNVLRSTINPHFRAIHVFEKGGSPEHFIGILCSRSRILKVLDIQGTLLNHIPKNLGNLFHLRYINLRNTKVKVLPKSVVSCIT >RHN48996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51649031:51650874:-1 gene:gene43775 transcript:rna43775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonate 4-alpha-galacturonosyltransferase MSSIIKFSRFFSAVMTVIIISPSLHSSHYPALAIRSSIIHHIPHHDYRFYFHTSPFFRNADQCEPISREIGACHPSLVHVAITLDVEYLRGSIAAVHSILYHASCPENVFFHFLVTDTDLETLVRTTFPQLRFKVYYFDRNIVKNLISTSVRQALEQPLNYARNYLADLLESCVKRVIYLDSDLVLQDDIAKLWNTDLGLNTIGAPQYCHANFTKYFTAAFWSDPVFSTTFEKRKACYFNTGVMVMDLVKWRKKGYTERIERWMEIQKVERIYELGSLPPFLLVFAGHVAAIEHRWNQHGLGGDNVKGSCRDLHPGPVSLLHWSGSGKPWRRLDESKPCPLDALWEPFDLYGHRRRYESL >RHN52289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33502565:33505078:-1 gene:gene36947 transcript:rna36947 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSAPGSSSNMEIDTPAYEIKGRTMSLEEWDLKIQSESPVDFDSLAAHNCDVGKFYEAQGLGSYFNFLNGPTYQTLVRHFWVRASIYDRQAAKIEEDEKVLLNPELKGKSRKEMGLETFSKTQIRSSIMGMPVWITEDVIAFVLRRPAEGDHEAGISKPKHSPWKDIVNKTLYNKVKKFAYADMNAKTKIMLKIQNDNLLPKSGGVDQPSLEHKIFLHFFIKGVKANVPRYIFRHMVQQLRESQQNKRCWVPYGRLLSKIFHQGGIIKLLKEAEFFTDEQLGTVRGKIINGETLRSMHLIDVKDLKKLPTDLKPSDAKSALIPNFPPICKQDPLDVQMNFIKDHFECTKEKISLKKCKKKQITKEEYLADDATERGAQKHQKAKKEKSALSTIQEEVEDLDEVPLIRKRKRSAQETAEQLASEQADSEKPLSPKKKREAALQTIRRKRSSSSRNLKTAEGRSEQMLEELQENWDEDTSPKKAKRTTNTRPVVLPNFEASEDQLREADEYAAAKIQEKKLLRKQYEQQRDKQLKAAGYVPEPEVAALISEIEQETIQHGVTLLSQALKNKQASGATSSDLSTKAPEAPPSEANSSGIPSHAPSNTQIPTLPTPPSSLSSSSTESDDQPLSKYIDKLLNTKSTKLTDFRTFDFEQTQIDFSKNRIKICEKFNLPTSHPLYPVTPEAVSIQHPETNQEPKQTNPQNDQTPQRASEVVSEETPSEIPQQQESSTLHNLEKHLGGEMQPTPTKASKTVPEKIVLETQTEQTIPEQTVQEQSGSEQVAADREPCASEQSVHEHHDSDHQTTEQQQPAPPTIID >RHN49398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54518233:54520200:-1 gene:gene44223 transcript:rna44223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldo-keto reductase 1 MKLVEEGKVKYIGLSEASPDTIRRAHTIHPITAVQMEWSLWTRDIEDEIVPLCRELGIGIVTYSPLGRGFFGGKGVTENVSAVSSLATHPRFQAENLDKNKSLYDRIESLAKKHECASAQLALAWILQQGHDVVPIPGTTKIKNLDQNLGALAVKLSEEDMREISTVFSIDDIAGGRHYDGLDQSSWTWQSANTPPKV >RHN46321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30727353:30731205:1 gene:gene40801 transcript:rna40801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MNILLWQTWAFALILSSFMASAATVEHTFLVENKTIKRLCNEQVIVTVNGLYPGPKLEVRDGDSVIVHVINNSPYNITIHWHGVFQLYSAWSDGPEYITQCSIRPENKFTYKFNVTQQEGTLWWHAHASVVRATVHGAIIIQPRSGRFPFPKPYKEVPIILGDWYDGNVEEIIQKELETGDKIASDAFTINGFPGDLFNCSKNQMYKLKVKQGKTYLFRMVNAALANNLFFKIADHKFTVVAMDAAYTEPYTTDIIVIAAGQSADILFTADQPKGSYYMAASPYVVGEPVGLFDNSTTRAVVFYEGYKKLKTKHIVPLMPALPLHNNTPIAHKFFSNITGLVGGPNWVPVPLEVDEHMYITINMGLVPCPVNAKCTGPLGQKFASSMNNESFLLPVGKGYSIMEAYFYNVSGIYTTDFPDNPPKFFDFVNPKIFLDPNVTFTPKSTKVKQFKYNSTVEIVFQNTAILNAQSHPMHLHGMNFHVLAQDFGIFNPTTDKLKYNLVNPSIRNTVAVPVGGWAAIRFRTNNPGVWFLHCHVDDHNLWGLVTAFIVENGPTPSTSLGPPPADLPKC >RHN80827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41118967:41123117:1 gene:gene4794 transcript:rna4794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-mRNA-splicing factor 38 MANRTDPAAKSIRGTNPQNLVEKILRSKIYQHTYWKEQCFGLTAETLVDKAMELDHLGGTYGGNRKPTPFMCLVMKMLQIQPEKEIVIEFIKNDDYKYVRILGAFYLRLTGSDTDVYHYLEPLYNDYRKLRRKLPDGQFALTHVDEVIDELLTTDYSCDIAMPRIKKRWTLESLGALEPRQSALEEDFEEEEENEDNEQPAEEPEKDYNRGRSPARERDRDRRRDSHRHRDRDYDREYDRDYDRERGRGRDRDRDRDREKERDRDRERDRDRYRLREEKDYGREREGRERERRDRDRDRGRRRSYSRSRSRSRDRKDHDGGDYRKRHARSSVSPRRDGAEDGEPKKKKEKKEKKEKKDDGTDHPDPEIAEANRIRASLGLKPLKM >RHN78684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17465074:17469178:1 gene:gene2321 transcript:rna2321 gene_biotype:protein_coding transcript_biotype:protein_coding METHHHHHYCQGTTFLGHLPRPPQPLYSPHPWRPFSTKPISHSRISFSSNNFPRWNSNDETFRPPNFNFNNARTTSQEEDEEEEYDDDEFGKKRRWWSDEYTEETEEEGNSGILEDALDSLWILKVFKSYGWTLPIILASWLLSTGPKAFLMALAIPLGQSALALAFEKLWGRTESKPKRKYRMKRKRRNVNDSRVEEEPEENQKTNTRKAGMQSWVVENNGSVDSGSRDAPSFGGWDDLERARPTTRRSQARKGSQRMNVEGGRLSRRERKSDTPLLVRLLIAIFPFLGTWTKML >RHN74679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35737800:35738123:1 gene:gene10786 transcript:rna10786 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKFTYGFWKNGPAQGFFLGQTVGFGEAARVTYGAVCEFNGVDHTVSVEEVVTGYWLVKWIGAVTEVNTVNVGWDFAGNWKRLGYRVFRYWGEVTGNLNTWVGGFG >RHN68850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39096338:39101251:-1 gene:gene17261 transcript:rna17261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSGGLSVPHHVIIQALLSRGPLKEKDLHSMFEDLTKKNPGTDRRLFDAFILAMNKALTFANFELRGCIDQYDGQVYYGIVNTVSDEQSKLGTKYTVPQIAFYKAIIEAIVQDATADGFISSIGALNLNLESQVTLVTDSESQGSQPHVPHALKNFGLTQKQNTLRELARDLWLDMPADGNVRLGVKSFLDLRSWFRSNDVPSCHVCNEAGIKAELCKNENCTVRIHHYCLKQIFSQIKAAKACPSCGTSWPFAVPKAEAVETEDDTGPRQSQRATGSKGKRRRANTIVEDDGVGCSNQHELNGPTESQHDNGRAQTRTRSRSTLEADTVGPDASQSSSGVSDLRRITRKYSGRT >RHN59739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12733534:12733908:1 gene:gene21848 transcript:rna21848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6 MMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLGVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSSVVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQGISGPL >RHN39464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7286916:7289511:-1 gene:gene45488 transcript:rna45488 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CHP MGQAESAEAQPQTTTTTTTAVVSNSSSSDSTSLESVIAEAAAYGSQNTENVEEMAQKALECPCIADLRSGPCGFQFSEAFLCFLKSTSEEKGSDCVNPFIALQSCIKANPNAFSKDILGEDESKESEQVQEYKILPPDWSKESQKSKSRL >RHN68386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35051788:35062456:-1 gene:gene16730 transcript:rna16730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WD40-repeat-containing domain, nucleoporin Nup88 MRMTVEPSYSPSSTTPKEELEWHQLPKHPLFTTTTTTHTASGNSVSRNLLAYDGANRLYLWDSKNNHLHRLSLRLGDPDPTSVLAASPSKVLQTDIVLDFEVNRISINRNGTAMLLFGNERLCVMYLYGRTSKKDDVNLICRTISVGSQTHSLGNNDIRVLEASWHPYSDTHLGILSSDSVFRLFNLAVDLLEPEQEYYLQPAGPGRSRNASSMCPVGFSFGGDHLWDRFSVFVAFSDGSIYVICPVVPFGSLFQCESLLEIYNDAHTFGLMSTNSVATSNATYAISWLEATFPELQNQEIEGDSLSFSRARAYAVFDASLVLQGPLRRVGQSGNEDSVGRSAECEGRAVSFLYNSVSKDSILVTAWSGGQLQIDALADEIQPVWSVGSPPRLRLDSRDDILGLAMICESIACSRMEKLDHNAWLGNPPPLLRLAIVDLALPRRAESGYNISLFIDTLMPERIYSLHDGGIDSIVLHFLPFTSQTNGKDDTMRTPSVHPVLNTCQNGSASEPSICGFVSLSDSFGYSWIVAVTLSQECVVLEMKTWDLLLPLSIDMEKKNIQSVGQSNERDIQPIISKELLSGPKEVLVPWASQSLRAVAADSIEGRSTLHQYFKLFHETYVEYAHKVHLELKHHAPQLKKIINDQHTRLGDAHQKLLKVEEKEHILQKRVDRAIQMHNSLEERLQHLRNLPGAHKKPLSKAERQFKSELDHFKEVELDALHSSVEAVSARLRRHMHTSKASQQQKKISGKKISAGDDQISILKSALEKLSIVNIENSKKVKIVESSLNNTEKISGESSLPRLKNC >RHN46753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34566590:34566881:-1 gene:gene41276 transcript:rna41276 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIALRPCFSQPKIRKLGCIVLVQQYIRRLEVTINYWPFSIMEKSKSFCSTKCNHHSCSPC >RHN81718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48439323:48439643:-1 gene:gene5801 transcript:rna5801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGSLASMDNLVLRNNSLSGQLPSSLKNFSNKLALLDLGENKFHGPLPSWIGDSLQNLEILSLRSNNFYGSLPSNLCYLTKLQVLDLSLNNISGRIPTCINNFTSMS >RHN71047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56083391:56083641:-1 gene:gene19690 transcript:rna19690 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDTDNSQVVMHYIQSQCNKINGTHHMSRMMLSVTWYIIWK >RHN41586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31259270:31267668:-1 gene:gene47922 transcript:rna47922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSSFGAANTNTNPNKSYEVSQPPTDSISSLSFSPKANFLVATSWDNQVRCWEIAKNGTVVTSTPKASISHDQPVLCSAWKDDGTTVFSGGCDKQAKMWPLLSGGQPVTVAMHDAPIKEIAWIPEMSLLATGSLDKTVKYWDTRQSNPVHTQQLPDRCYTMSVRHPLMVVGTADRNLIVFNLQNPQTEYKRIVSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDDAQQSKNFTFKCHRESNEIYSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAMQRCSQPIPCGTFNNDGSIYAYAVCYDWSKGAENHNPTTAKNYIYMHLPQESEVKGKPRAGSTGRR >RHN78564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16298630:16300454:1 gene:gene2182 transcript:rna2182 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDSKIFALLSFFLFMLVALKIRKNLKKKGSSPNLPPGPWKLPIIGHIHHLVTSTPHQKLRDLAKIHGPLMQLQLGEIFAIVVSSPDYAKEVLKTHDVIFASRPKILAIEILSYGFTNIGFSPYGNYWRHLRKICTMELLSQKHVSSFHPIREEVFTNLIKRIGSKQGSSINLTELVVSSTFAILSRAAFGNKCKDQEEFASLGKGESIAGGFDIGELFPSSKWIQLVSGLRPKLERLHRRIDRILENIIIEHKEANSKAKECQEEAEEDLVDVLLKYQRRNDNEKDICLTDNNIKAVLLDMFGAGGDTSSTTIVWAMAELVRDERVMKKAQDEVREMFNTKGIVGENYINELTYLKSVVKETLRLHPPAPLLLPRECEQACEIDGYHIPTKSKVIVNAWAIGRDPKYWTEPERFYPERFIGSSIDYKGNNFEYIPFGSGRRICPGITFGLVNVELALALLLYHFDWRLPDGTKGEDLDMTEQFGATVKRKDDLYLIPTAPLPIW >RHN43061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42923696:42928906:1 gene:gene49584 transcript:rna49584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MKRNNPYLVVVIIQAIYAAMFLLSKAAFDHGMNNFVFVFYRQSAATIFLTPFALFFEWKSAPPLPFTTFCKIFFISFIGITSSLDIYGIALINTSATLAAATTNCLPVITFFLALILRIEVLRVKTAAGAAKLAGVVACLGGAATLAFYKGPQLELLSHFHFLGNYHKTQQHQGHVLLSGSSWIKGCFLMLLSNTFWGMWLVLQTFIIKGYPSKLLLTTLQCFLSSIQSLVVALAVERDFEQWKLGWNIRLVAVLYCGIMVTGVTYYLQTWVIEKRGPVFLAMSTPLALIMTMLSSAILLGEILSLGSLLGSCGLVLGLYFVLWGKSREQMPKASVDIEQASCLSETNK >RHN54993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14280974:14284762:1 gene:gene30109 transcript:rna30109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MNLDTVFLPKPIFLHGLSSILHILLLVAVLISWVWKKITTCVVNEFKETPNSTKLCSIGFSFFNFVLFLFNYFYWYTSDWSEEKAVTLFDLALKTVTWFVLFVCFQKGFLFFFSLGQRKRKFSFFFRAWCVFYLFVSCYCFVVDIVVLYQNHIELTVHCMISDVVSFCVGLFFCYVGYCVKLESEESDRTIHEPLLNGDTHVGNGNGLELQATKGSDTVAPFSNAGFWSLLTFTWVSPLIAFGNKKTLDLEDVPQLDSRDSVVGAFPIFRDKLEADCGAINRVTTLKLVKSLIISGKKEIFITASLALVNTFSTYVGPYLIDSFVQYLDGKRLYENQGYVLVSSFFFAKLVESLTNRQQFFRLQQLGLRIQALLVTLIYNKALTLSSQSRQCHTSGEIINFMTVDAETVGSFSWYMHDLWIVALQVTLALLILYKNLGLASVAAFVTTIIVMLATLPTGSFQEKLHNKLMESKDTRMKTTSEILRNMRILKLQGWEMKFLSKITELRDAEQGWLKKYLYTSAVTTFVLWGTPILVSVEIFKKKKLESGKVLSALATFRMLQRPIYSLPDVISMIAQTKVSLDRIGSFLRLDDLQSDVVKKLPPGSSDTAIEVVNGNFSCDLSSPNPTLQNVNLKVFHGMKVAVCGTVGSGKSTLLSCVLGEVPKISGILKVCGTKAYVAQSPWIQSGTIEDNILFGEHMVKERYEMVLEACSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLSVLSSKTVVYVTHQVEFLPTADLILVIKDGKITQSGKYASLLDIGTDFMEVVGAHREALSALESLDGGKTSNEISTFEQEVSISGTHEEATKDVQNGKADDNSEPKNQLVQEEEREKGKVGFSVYWKYITTAYGGSVVPFILLAYILFQALQIGSNYWMAWATPISADVEPPVEGTTLIEVYVGLAFASSICILVRSMLLVTVGCKTATILLFLKLELPEENTGLSHDQVALFKTFRGTTQVVQGRLTTTPSPG >RHN78855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19222034:19225435:1 gene:gene2516 transcript:rna2516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MAGRNDAALAAALQAVAQAVGQQPNVNAGANAEARMLETFMKKNPPTFKGRYDPDGAQTWLKEIERIFRVMQCTEDQKVRFGTHQLADEADDWWVAILPTLEQEGAVVTWAVFRREFLRRYFPEDVRRKKEIEFLELKQGNMSVTEYAAKFVELSKFYPHYTAENAEFSRCIKFENGLRPDIKRAIGYQQLRVFQDLVNSCRIYEEDTKAHYKVVNERKGKGQQSRPKPYSAPADKGKQKMVDVRRPKKKDATEIVCFNCGEKGHKSNVWPEEIKKCVRCGKKGHVVADCNRTDIVCFNCNGEGHISSQCTQPKRAPTTGRVFALTGTQTENEDRLIRGTCYINNTPLVAIIDTVATHCFIAFDCVSALGLVLSDMNGEMVVETPAKGSVTTSLVCLKCPLSMFGRDFEMDLVCLPLSGMDVILGMNWLEYNHVLINCFSKSVHFSSVEEESGAEFLSTKQLKQLERDGILMFSLMATLSIENQAVIDRLPVVSEFPEVFPDEISDVPPEREVEFSIDLVPGTKPVSMAPYRMSASELSELKKQLEDLLEKKFVRPSVSPWGAPVLLVKKKDGSMRLCIDYRQLNKVTIKNRYPLPRIDDLMDQLMGARVFSKIDLRSGYHQIKVKDEDMQKTAFRTRYGHYEYKVMPFGVTNAPGVFMEYMNRIFHAFLDRFVVVFIDDILIYSKTEEEHAEHLKIVLQVLKEKKLYAKLSKCEFWLKEVSFLGHVISGDGIAVDPSKVEAVSQWETPKSVTEIRSFLGLAGYYRRFIEGFSKLALPLTQLTCKGKTFVWDVHCEKSFGELKKRLTTAPVLILPKSDEPFVVYCDASKLGLGGVLMQEGKVVAYASRQLRVHEKNYPTHDLELAAVVFVLKIWRHYLYGSRFEVFSDHKSLKYLFDQKELNMRQRRWLELLKDYDFGLNYHPGKANVVADALSRKTLHMSAMMVREFELLEQFRDMSLVCEWSPQSVKLGMLKIDSEFLKSIKEAQKVDVKFVDLLVARDQTEDSDFKIDDQGVLRFRGRICIPDNEEIKKMILEESHRSSLSIHPGATKMYHDLKKIFWWSGLKRDVAQFVYSCLVCQKSKVEHQKPAGMMVPLDVPEWKWDSISMDFVTSLPNTPRGSDAIWVIVDRLTK >RHN61571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36522425:36538584:-1 gene:gene24049 transcript:rna24049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosinetriphosphatase MYLRRIQCRDRRWALLLQPSKYFFRPKFSDHSLLPKTTVKEYSSHGGIIRRDVLGSDSSSHILSRHSFTGLNKRPSFCLRSSQIRTFSSESDGSGASENKQVHVNDGANFDKGKSQLDKSGQYVKCTNSHARLGELDQEEWLTNEKLAVESKKKESQFLTKKDRFKNEFLRRIVPWEKINISWDTFPYHIHEHTKNLLTECAASHLRHNKFASSYGIHLASSSGRILLQSIPGTELYRERVVRALAQDLQVPLLVLDSSVLAPYDIDDDQSSDSESDDDNAESGEEGSENEDDNDASNEEEWSSTEAKSDASDNEDDVVASAEAALKKVKDAVKKLIPYNVEELEKMVTGGDTRDDTAESSNSDDATSSDKSGSKLRKGARVKYIGPSIEFSDADDREISLSNGQKGEIYEVNGDRVAVIWDINEEKANENEVENLNNSRAKPSVYWINVKDIENDLDAQSHDCYIAVEALCEVLNSKRPLIVYFPDSSQWLHKSVPKSNRNEFFHKVEEMFDRLYGPVVLICGQNKVHSGSKEKEKFTMILPNFGRVAKLPLSLKHLTDGFKGGKTSEEDDINKLFSNVLSVHPPKEENLQTVFKKQLEEDRKIVISRSNLNELRKVLEEHQLSCTDLLHVNTDGIVITKQKAEKLVGWAKNHYLSSCLLPSIKGERLCIPRESLEIAISRMKGMETMSRKSSQNLKNLAKDEFESNFVSAVVAPGEIGVKFDDIGALEDVKKALQELVILPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISVTGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGAHEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDVENRMKILRIFLAKENLNPDFQYDKLASLTEGYSGSDLKNLCVAAAYRPVQELLEEEKKRDNDTTTSVLRPLNLDDFVQAKSKVGPSVAYDATSMNELRKWNEMYGEGGSRTKSPFGFGDRS >RHN71977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4121463:4122678:-1 gene:gene7642 transcript:rna7642 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSNYIFFLLLTTLLLPLQTIARESEFFSKVTHFNKETKVPNKEESPVTNKPEEQPPFIPQTQNSYGLYGHDSDHIPSTAATTSTTNSNFEETSNYPNNNKYYNNDAYNTKYYNKDTFGNNQNELSDTKYNEEGYNSMMEKQNNNQEYYFNNNNAANERSFHSNNYNNYNAQNNRYNGEKQGMSDTRFLEGGKYFYDVNYEKYNPTMYGDSSRGVNNNNNWYNNNNNYGNNNEYQNNHGNYNGYKNQEELKNEQHEFDVEP >RHN57901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42503888:42505787:1 gene:gene33492 transcript:rna33492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MMMYLEAFLLYLLHIYHHLGISFFPSGSSYLMELHNHFFNITFISFFIFLLVLFKIVKIWSYNTSTVNLPPGPWKLPFIGNLHQIISRSLPHHRFKFLADKYGPLMYLKLGGVPYVIVSSPEIAKEIMKTHDLNFSGRPNLLLSTIWSYNATDVIFSIYGERWRQLRKICVIELLSAKRVQSFRSIREDEVTNLVKSITASEGSVVNLTQKILSTTYGITARAAFGKRSKHQEVFRSAIEEVASLLGGVCIVDLFPSIKLLQCLSRAKTKMEKLHKELDMTLQDIIDDHKSIHKEESNDEDLVDVLLKIQQENYHSQHPLTDDNIKSIIQDMFGAGTETSSEAVIWAMSAMVKNSNVMEQAQAEVRRVFDKKGYVDETELYQLIYLKSVIKETLRLYPVAPLLVPRESRERCQINGYEIPAKTRVAVNAWAIGRDPRYWVEAESFKPERFVNSPIDFKGTDFEFIPFGAGRRMCPGIAFALPNVELPLAKLLYHFDWKLPNGMKNEELDMTESFGITAGRKHDLCLIPIPRRF >RHN69200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41763116:41765057:1 gene:gene17638 transcript:rna17638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAGTLNFVYAMILFISLFLVAGGEEIIIIKCQTAKDCPDIYNLFPLVYKCIDNICVDVRLEPPYDMSISPKSVHK >RHN82554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54719246:54722144:1 gene:gene6725 transcript:rna6725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MNNFIMQNITERLRPLVGLNGWDYCVYWKLSEDQRYLEWLGCCCGGSESNQNGGEEHLFPVSSCRDTMFSHPRTNSCDLLSQLSTSIPIDSGIHAQTLLTNQPNWLNYPNSLDPNTLHETINGTHVLIPVPGGLVELFVTKQVGEDQNVIDFITSQCIVMVDQEGMNHSIGFNSMSNMQSNVGDENDNTNNIFHPSETLNLPHDISVLNFMQQFNYSYNQQQNRIKNDGTFSEEYLGPFLHDHENNNTLKSNTEEEHDSKSLMTENNQYVFVDPLDTKKKQENVVGRSDSMSDCSDQNEEEDDGKYRRRSGKGNQSKNLVAERKRRKKLNDRLYNLRSLVPRISKLDRASILGDAIEFVKDLQKQVKELQDELEENSETGTESNCVYVDGVQVKANNNNNVTKAEHGFHVGNGYVSKQKQEDVGTVVTDKQTQQMEAQVEVAQIDGNEYFVKVFCEHRPGGFVKLMDAFNTLGMDVMHATVTSHKGLVSNVFKVEKKESEIVEAEEVRDSLLELTRNKSES >RHN39789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10513470:10517834:1 gene:gene45851 transcript:rna45851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glyoxylate reductase MEIGFLGLGIMGKAMSINLLRHGFKVTVWNRTLSKCDELVEHGASVGETPAAVVKKCKYTIAMLSDPAAALAVVFDKDGVLEQINGKGYIDMSTVDAETSIKISEAIKAKGGDFLEAPVSGSKKPAEDGQLVILAAGDKAFYDEALPAFDVLGKKSFFLGEVGNGAKMKLVVNMVMGSMMNAFSEGLTLAERSGLNPSTLLDVLDLGAISNGMFKLKGPTMLKNSYAPAFPLKHQQKDMRLALALGDENAVPMPVAAAANEAFKKARSLGLGDLDFSAVHETLK >RHN48658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49287357:49287635:1 gene:gene43398 transcript:rna43398 gene_biotype:protein_coding transcript_biotype:protein_coding MHGHDARFSNDLWTGGCSSLHSHLPKNFPQEGANFFVFPYSSNGTWNSDMIGTYFPSEICGIFIQNGNFTLKSAFKFLYTPFKKVSLYSWEK >RHN40433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16258722:16260261:-1 gene:gene46582 transcript:rna46582 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLPILTLTQPPPPQTDKEKNSAPQPILPIKRPLEDVKGETSGSVPKDEVYAITVQNDPLFTETCHEGDDVQLESVSVYKLQSDQGSSLNTRGSDSADPFDRGYISLSDSGQETISHWAKSEESSSNTKVSQSDYPMDHQVDRKLTVNSQETMSSGVSSGNASLVSIREAINDLELLMAKDLSEATADPTTLSRLHQLLDLLSIRTVEVQESIGEFKKKALASFEEFQSTVDPVNKLKNFEKQLDIIQQETVTGKDRRNDLKNLMKKAYMASKEENQRKKELEAEIAILKAQQATKETDLQQLVLNLKNKEDTLSTYSLNWDPLNKKAQKLLETADELFAPSSEIKDEGEATEGKQNMLKSTWSFDITEHLSKIKHNIFGLND >RHN59658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12596176:12597582:1 gene:gene21729 transcript:rna21729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6 MIYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFASVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLGVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSSVVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQGISGPL >RHN81790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48893507:48894149:1 gene:gene5878 transcript:rna5878 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGRPEELNSDANFLTDSNELRSNSMTSTLAEGISLSIASLISLAATLFRTAIITWTPRKARTRVVSTPIPLVAPTGDNSSESRCINSMSNFFSS >RHN40274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14754978:14759096:-1 gene:gene46408 transcript:rna46408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MHSLMDEDGDNPIPNLDDEVHVHFTGTLLLDGKKFDSSSDRGTSTFSFTLGRGQVIKGWGEGMRTMRKGEKALFTIPPELAYGKSGLPPNIPPNATLQYDVELLSSTSSKDICDICMDGGLFKEILDEGEKWENPKDLDEVLVKYEAHLDDGTLVAKSDGVEFTVKEGFFCLALSKAVKTMKKGENVALTVKPQYGFGVKGKPAHGDEIAVPPNATLKINLELVSWKTVFEVTDDKKVIKKILKEGEGYKCPKDGAAVKLKLIGKLQDDTVFLKKGHGDEFTTDEEQVIDGLDKAVMTMKKGEVALLTIAPEYAFGSSESQQELAVVPPNSTLYYEVELVSFIKCFQDILSGDIGFTVHTTEESQNGNFDSILMSSTTVHPRYSHLNLEEKGMHYNGDASHVLTLATTFRLVLFCRLIVHVII >RHN58941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6087898:6088955:1 gene:gene20899 transcript:rna20899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVKTPKLVYVLILILFIFLDIIKSNRVVVTIGGNCIRDKDCLKFYGANIRCRKGKCVAI >RHN71713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2104173:2107620:-1 gene:gene7341 transcript:rna7341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol dehydrogenase (NADP(+)) MSKSDENVRTNDIFKPNLNQHLHSWTQNKSQTSHSKDQRAVFVLSVFFSEAMSSEGVGEDCLAWAARDASGVLSPYKFNRRELGSEDVYVKITHCGVCYADVIWAKNKHGDSKYPVVPGHEIAGVVAKVGPNVQRFKVGDHVGVGTYINSCRECEYCNDRFEVHCVKGSVYTFNGVDYDGTITKGGYSTSIVVHERYCFLIPKSYPLASAGPLLCAGITVYSPMIRHNMNQPGKSLGVVGLGGLGHMAVKFGKAFGLRVTVFSTSMSKKEEALSLLGADQFVVSSNQEDMRALAKSLDFIIDTASGDHLFDPYMSLLKISGVLVLVGFPSEVKFSPASLNLGSRTVAGSVTGGTKEIQEMVDFCAANGIHPDIELIPIGYSNEALERVVNKDVKYRFVIDIENSLK >RHN61751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38040039:38045767:-1 gene:gene24243 transcript:rna24243 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLTSSLRAAFPHTSTTTTTKSFNLLHKQQRHFLFISSKFKSPQFISSCSSSSNGSNNLSDEPFLQNDDVFHTQVANPQVSSFNSPKLNFSDQAFFLLAFIACTTSVAFTGLVFAAVPALFAMRNAAISFSKLADTAREELPSTMAAMRLSGMEISDLTLELSDLSQEITEGISKSAQALQAAETGIRHIGSVAQKQTISMIEERANLPEISLQPVVVGAARKTSRAVGRATKSLMNMISGRESTKENDDEETYI >RHN39392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6771845:6772627:1 gene:gene45412 transcript:rna45412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L34Ae MHVLMLLGTSMLGIVLYSPGPDVVIYNHWHDKDYVDAIDIPIYWRLLVDQCAYYWKFKSDKVQEIKVPRNRSWTVNHAYCSILCGGAVRERIIRAFLVEEKKIVKNVLKIRKRKEKQALKG >RHN74433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33205233:33205667:-1 gene:gene10506 transcript:rna10506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonoid 3',5'-hydroxylase MKEAANDGTTVDISAKVSTLTADMTCRMVFGKNYLDKGLYEKGFKAVVQELMNLAATPNISDYIPYIGALDLKGLSKRMKEISKIFDEFLEKIIDEHVQSQNKDDDKTKDFVDVMLDFVGTEQSEYRIERSNIKAIMMVRHSSS >RHN57952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42728213:42728476:1 gene:gene33545 transcript:rna33545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MYLKTSTISLQLPKDASEAVLVKNLVLSCDPYMRGTKRTGKNNLFYSFSPDSVSSQLLVQLSKFYYYFLNYTSKLILPALLSNHSLI >RHN54936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13711029:13718715:-1 gene:gene30038 transcript:rna30038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MAALLKLGKKRIYMNFTRALATTSCRHVVGSSFASIFASQSVYASARCMPDFINHSCIGGAGYSSGTKECGIRCFHASSQVWSRSDAAYGLKPPKREKYVRKGSRNQPPVDAPHVPCKVTATKSNPDKTIEIFEGMTLVELAKRSGKSVSSLQDILTTVGEKIQSEFEPLSMDISELVAMEVGVNVKRLHSTEGKEVLPRPPVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFVVGMSSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAVSHAKSANVPVVVAVNKCDKPGANPEKVKLQLASEGLLLEEMGGDIQVVEVSALKKTGLDNLEEALLLQADMMDLKARFDGPAQAYVVEARLDKGRGPLVTTIVKAGTLVCGQHVVVGSQWGKIRAIKDAAGRLTQRATPAMPVEIEGLRGLPMAGDDVIAVHSEERARMLSSGRKKKFEEDRLRGKIVHIPTTSDDTEEVPKRVEMAVIVKGDVQGTVQAVTDALTTLNSPQVSVNVVHVGVGPISQSDVDLAQACGACIVGFNVKSPPISLTQAATRASIKVIMHRVIYHVLEDVANLIIEKAPGTPETQVAGQAEVLNIFEVKGSKSKGPDVKIAGCKVVEGFVNRSATMRLLRSGEMVFEGPCSSLKREKQDVDSVKKGNECGLVINNCCDFQIGDVIQCLEQVVRKPKFIKSESGAVRIEC >RHN61182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33713876:33720807:1 gene:gene23620 transcript:rna23620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-associated 30 kDa protein MATKLQIFTQLPSAPLQSSSSSSSLRKPLATSFFGTRPANTVKFRGMRIAKPVRGGGAIGAGMNLFERFTRVVKSYANAIVSSFEDPEKILEQAVLEMNDDLTKMRQATAQVLASQKRMENKYKAATQASEEWYRKAQLALQKGEEDLAREALKRRKSFADNASALKAQLDQQKGVVDSLVSNTRLLESKIQEARSKKDTLKARAQSAKTSTKVSEMLGNVNTSGALSAFEKMEEKVMTMESQAEALGQLTSDDLEGKFAMLESSSVDDDLANLKKELSGSSKKGELPPGRSSTRTGTPFRDADIETELEQLRQRSKEF >RHN61109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33027797:33028570:-1 gene:gene23535 transcript:rna23535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-oxoacyl-[acyl-carrier-protein] reductase MVGSGSEKGRGKGIEMGVGIGRSDGNQTVMITGVSKGLGRALAIELANRGHTIIGCSRDHDNKLDFLQSQLPSTNHHLFISVDVRCNYNVEEMAHIVMEKKGGPPDIIVNGAGVINKNSKMWEVPSEEFDSVMDTNLKGTANVLRHFIPLMIAKNKNEMGGIIVNMSSGWGRSGAALVAPYCASKWAIEGLTKSVAEELPKGMAVVALNPGVINTDMLASCYGASSSLYQSPESWASEAATKILNLTPADNGASLSI >RHN69471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43668697:43669270:1 gene:gene17947 transcript:rna17947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MWMVGYNEGGEFNMADYPFCGRKLRPLMPRPVLVPTTSPNNTSTITPSLNRIHGGNDLFSQYHHNLRQQASVGDHSKRSELNNNNNSSATVEELYRRGTRTPYAEQIQQITAQLRKFGKIEGKNVFYWFQNHKARERQKRRRQMFLVKMGFWRVLFSVGFGEK >RHN75856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45571104:45572593:1 gene:gene12111 transcript:rna12111 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKAGLKELESKEKQFEGQVKEFKGQGKEFESNKEEFKGQVKQLNSKKKQFESQFEDFKLKEKQFEEQRKEFDAEENKFKVLVKELKLKDKQFEGQVKDPESKLNNFDGQVKEPELTVKQYEPLMNYFDEETESGWKRILYKFCPSQLSKVFKGM >RHN81829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49187701:49192231:-1 gene:gene5920 transcript:rna5920 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGIGDTISCFVSLYKVEPFVLLHYSSFFSSQFIIMDSRVVHSHVEGENDEQNSPNVEPYPVTLTHGPEEPTNSEPEPEKKSVMSRVKAKARKVRDSIKKHGQNVFDHGSGHDTETQHIPDDDGDLDEDKPIVQDQKNREVPNYDSEDVKSATPTPESEKVENLGNSGIGFEGTKFTGQEPHHAPLVEGVSSTTETTDKAETFVLEDKVEKREANLERQIDLEEDSQEQGSRAEAYTFPTYQTKDTNPNEEGSDEIKDITPLEESLERMNVHDESKPTTEPKIQSYVADTEYPSDVKSHDQFVPHFTDATKTQNEYPQETLSTDINRDQKILEEDSQDQGSRTETYIHPNYQTKDTDPSGAESNETKDITPLEESLERMNVHDDEPNPTTETKIQPFVTDIEYPSAAGSHDQFAPHFANATETQNEYPRETASTDININHEIPSETEKSFNAVTNTVGNQSDATEIQNEYPQETTSADINRNHEIPSETEETFNTFTNDGEKQAYYDELVEMQSKSHTDEVDVISSGTKVDKTLPFENDETSKLSNDGTSTGSNEGTGTQNEYPRETASTDININHEIPSETEKSFNAVTNTVENQSEATEIQNEYPQETVSSDVKRNHEIPSETEETFNTITYNVENQSDAAETQSEYPQETVSTDINRNHEIPFETVSTDVTNTGEKQAYYDELVEMQSKSHTDEVEVISSGTKVDKTLPLENKNDETSKLSNDGTSIGSNEGTETKNGDKGASVKDYLAEKLRPSEEDKALSEVVSEALHKGKEEPLKKEDGILASEDEKSEKVLEESNANSSGKGMVDRVKDYYGSWFAKPEENQSPQGVGIGEDLSKNKDHVAEVEQVGKGVDEGRTHE >RHN75136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39664271:39667243:-1 gene:gene11296 transcript:rna11296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CBS domain-containing protein MEVFFLSHELSDLCLGKPPLKSLSTSATVAEAIEVLRSSGGESFVSVWNCDHSEFGQCQCVGKICMVDVIVFLCKQENLLCPSKALKASISNVFNEVDGLVVHLEPSSSLLDAIDLILEGAQNLVVPISQTKKGGLSRRKLQQKSLTINSHNGVEFCWLTQEDVIRFLLGSIGRFSALPAQSIDRLNIISSDVLSIDYSSPASSAVEAISKSLTQQTSVAIVDGDGTFIGEISPFTLACCDETVAAAITTLSAGGLMAYIDCGRPPEDLVRVVKARLKEKNLEKLLQEFTLMTSLTGDMSSSSSSDEESPGRSLTRSGKYARSSSYSAKYVRKAEAIVCHPKSSLIAVMMQAIANRVNYLWVIEDDWSLVGIVTFSNILKVFKERLEVI >RHN46212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29698760:29700037:-1 gene:gene40663 transcript:rna40663 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRIERSRIFSDDVKTIFSDDINITVKLVIRKSDDIILYAQGGRDFAELIIRFLTFPLGGVLRNLEGNSSLGSIDGLCKSIADLNEEMYFISKDAKNRLLKISVLQYYCGKIFDLTLLKNEEDWRDRGNFRKMQLVNTIATLHAGSLGSFVKRPEMYVVTDDLIVEPLLSPVSSIHVLQRFKTPIDDLEEKVVTIGIKESRNIFKAALCSTSALTNGLRHLLTQIKKEK >RHN75366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41646576:41646973:-1 gene:gene11553 transcript:rna11553 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQKRREKKGLKLLLQKLLPRKEKDALLVPVGEDSLNVLLVQLLQHKHSVLQCMEQLTGNLMTDI >RHN64735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61390210:61390615:1 gene:gene27585 transcript:rna27585 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPLRRSRADMTSLSKETPSCFAIVPQKTPPSNSLKHQ >RHN76476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50674141:50679835:1 gene:gene12809 transcript:rna12809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome-c oxidase MWRRIVSSPHLKTLASSSHSHPRSAVAGLRFAGLSRHVATQSAGSVKKTVEDVVPIATGHEREEIQADLEGRNILEIDHPEGPFGTKEAPAVVKSYYNRRIVGCPGGEGEDEHDVVWFWLEEGKPHECPVCAQYFQLEVVGPGGDPYGHH >RHN45611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23685614:23687116:-1 gene:gene39989 transcript:rna39989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MKKVLLKVDFYNDRIKQKVMKTASSLPGAESVAIDSKEKKLTLSGDIDPVKAVCKLRKLCQTEIVSIGPLKDEKKDSTNTNEVIPVQYFDTYPFYYQMTQPRYFQYYYYV >RHN44778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8393564:8396760:-1 gene:gene38939 transcript:rna38939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase SIN MSTNYERPKINTSNHQRFYNFSSKGAMEPLTISNSKLLDCCNCFQPLSIPVFQCDNGHIVCSTCCPKLRNKCHKCSLHISSKRCIAIENLLQSIEMSCLNAKHGCKEKISYTGNRKHEEECIYVPCYCPLSGCDFVASSEVLSNHFSHKHGDSQIEFSYGHSFIVSLMSNGETIVLQEENDGKLFILNNNTMSLGKAVNICCIGPNSSGSEYSYDISAKSEICKLKLQSFAKNVQQFTLATLSSEFLVIPFRSSEPLKLDICITPTMQIYVMTQTGKVIPIGVKSSDTIGNVKEKIFDKNKDYQVHVQRLIFASKQLDDSLTIANCNIQERSTLHLVSRLIGD >RHN73339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15494080:15495021:1 gene:gene9140 transcript:rna9140 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKNTSIQKVLYPTTRPSSPTTSKSTGTKLPTMDQIFGRRIKREEKEKEKEEEKEEEKD >RHN40977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25261932:25267367:-1 gene:gene47236 transcript:rna47236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADVAISIVATVVERLVGPAIREGKCVLCVNKFIGDLENEKKELVFERDNLLVRVEQAKHRTEEIEIPVGKWIDNVNNLLEEVDDLEQRMRENTSCFQGRCPTWKRYRLCKQTVKKIEAIRKFKGTSNIKPFSHRAPLPGIKLQSSEDFTYFESTKVASSQLLEALRDEDIYMIGVYGMGGTGKTALVTEVGKKVEASNVFDKTILITVSQTPNIRDIQGKIADVLNMKLEEESDEGRAQRLWLSLKEKKRILVIVDDLWREFDLNDVGIRLDNDNKGAWKILATTRNQHVCDLMHCQKKIHLGLLDEDESWSLFRKHAHIDENFPKSLDGVPQKVCMECKGLPIAIKAVGSSLKGKSNAEWKVAFHRLRNSETIDDKEEGVGVALSCLKLSYDYLSNKEKLVFLMCSMFPEDYKISVEDMIRYAVGLGLGQGFSLESARDEIEAMINRLLESCLLMHTGESKEYVKMHDTVRDTALWIAKRSDNNKIVVNVDKPISTLEMDDSIRDCFALSSWYLEQDKKFHQLHAPNLEILLLHSRRWRWKCFDLSRATFQGIKGLKVFSLINNAYGNPPLYLPSSTHSLTNLRTLRLNGFKNLGNTSFLGSLTRLEVLDLQHCILEELPNEIGKLERLKLLDLSFCVFLQENYNGAIGKCSQLEELYASKCMPKEYICQCVMDIITLPMLQRFVICTDLNRDFAKTSRHLEVMDFNISNLKDSKKNLLQMAETIHLIHLHGGCKNIIQDMIKVMRGTSCFTSLCLEGCPEIEYIVNTTSDSEVAFLVPKLVELVLLELENLEELCRGPPQQVLSFFERLEKLEIQQCLKLHNIFPQECNLQNLKILKITNSMFGEALFSISVAQSLQQLEVLEVSQCDELKLIIAIGSEHGSNSGNEIFQTDLKGSHFVMSRLKKLQISNCRKLESILPICCVEGLAPLEEIEIIQAPQLKLVFGECDHQNHPSHQYRNKNLHPHLKRLKLTDLDNLIGICPEKNCENWPSSIVLIVEKCPKLSASWIATLAGSDDGEKVFKVAKMTLRGFSELSRISRVGPSPRHILSLHCLQSLAVSSCKNLRSLFSMEIHKSLPELTSFRVYNCDELEQIIEENEELVSNTEVCFPKLTDIRIVNCKKMKSLFSVAMIRMLPKLSTLEISEVTQLEEVFKGENTINDIEIELVNLSSIQLHKLPCFVDICKGFKLRTAKIKHVDIVECPKIAPSLREIQVQLEESGDRSRK >RHN74863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37284165:37286871:-1 gene:gene10992 transcript:rna10992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MNTILKFIFVVFLCLSIFLVVESLNFGPCNTHEDCQKYEYTYSCVMECVESVCDCWSNEIVDFIFPRN >RHN73433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16381959:16382207:-1 gene:gene9245 transcript:rna9245 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQWEWNRAAKKCGSSRGHVGQSGCEVGMSECHIDDHGLLFPRGSCALASIGFFFPSPLFPPPLFPSDNGWSHWYNLPPSP >RHN73398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15965837:15974359:1 gene:gene9202 transcript:rna9202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysine--tRNA ligase MEQPPSDPPVTAPAASETISKNALKREQKKREREEEKRKKDEEKARRAAEMRSAQENRPAAADEEDMDPTQYLENRLKYLSTEKEEGRNPYPHKFSATMTIKQYIKEYEGLSDGQHLDDVSVSLTGKIMHKRSSGAKLVFYDLHDDGFKVQVMADASKSDLNEAGFDKVHSNVKRGDIVGITGFPGKSRKGELSIFPKTFVVLSHCLHMMPRQKSAAAAAADNAWLPGKTRNPEAYTLKDQETRYRLRHLDLMLNTEVREIFRTRSKIISYVRSFLDKLDFLEVETPMMNMIAGGAAARPFVTHHNDLNMRLFMRIAPELYLKKLVVGGLNRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYKDYYDLMEITENMLSGMVYELTKGSYKIKYHADGLDKDPIEIDFTPPFRRIDMIEGLEEMAGLSIPKDLTSAEANQYLRDACLKYEIKCPPPETTARLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRTKPGLTERFELFINKHELANAYTELNDPVVQRQRFADQLKDRQSGDDEAMALDETFCTALEYGLPPTAGWGLGIDRLTMLLTDSQNIKEVLLFPAMKPQDVVSQLNHLQV >RHN55544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19367255:19367704:-1 gene:gene30744 transcript:rna30744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MLPTMFSNDMHTAANIRKYLTIISTIISKIPLTKETGVTTKQPLHAAPLIYIPLDLLAEILCRLPVKVLQQIRCVCKSWNALISDDFVFAKKHLSMSKKRQHLITATWIKPTKLILMSYEIVIIFISLLVCFFIYLLLTAANANFSRFV >RHN50379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6616798:6617094:1 gene:gene34657 transcript:rna34657 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLEEDVIIIEDDDDDDDEEEEKDLERHGITCNNNSMEMDIRENSNMHVPIPIEVAHNVSEPKYNQEKHPKSSNGINVVVSLIDSFTCDQIKQHILV >RHN69015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40321087:40321731:1 gene:gene17441 transcript:rna17441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MKFPAWMNLYWSAGEEKLLIEAIDMYGFGNWNGVAENVGTKSKSQCIDHYNSVYLNSPCFPLPDLSYSMGKNKEELLAMAKGHQLKKGLLLDDRNHNLWRFCMLDLITFFIQQQSQQP >RHN79998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34229175:34230908:-1 gene:gene3868 transcript:rna3868 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKTMRLPPRRVLTPSTKTTSNKRKERDDTSLFERPKPNIPGPGSKLLKLDKPLSKTGSAPMPSNQLLAGYLAHEYLTKGTLLGQQWVPGKTKEEDDSGEEGEAATETPCQSTEMKNRKEERERYVEVTGLLKGGGTHLQGVVNPTQLARFLHL >RHN58163.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44224344:44228776:1 gene:gene33777 transcript:rna33777 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMRHSCCVMVIVLIGMLLNWKETEGIRFVIDRDECFSHDVKYEGDTVHVSFVVIKADSPWHYGDEGVDLVVKGPAGEQIQDFRDKTSDKFEFVAHKPGVHKFCFTNKSPYHETIDFDVHVGHFSYFEQHAKDEHFNPLLEQIAKLEEALYNIQFEQHWLEAQTDRQAIVNDGMSRRAVHKAIFESAALIGASALQVYLLRRLFERKLGTSRV >RHN51857.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27083366:27085444:1 gene:gene36405 transcript:rna36405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MPLFSFLFQIVMSKDNREDIISMTLEHGAAHYMVKPFCPEDFRDIWKYAVEGKKNRLFIDSLFAESEEEETKNKCSKRKSFGDHQDEGEFGVVKKPRLVWTNNLHSRFLNAIRQIGLGDVVPKKILEIMNVPNLTRENVASHLQKHRMFLKSVQEKGMEGGVSQRALNSKFASDLPISVVNEIAERRKNRRYSNLSVFQAKNSFNDGASTSNSSNPSLFQAKNSLKDGASTSNSSNLSLFQANNSFNDGTSTGYPSYGGRKGTMAASFLSSSFLTRNLKFGDTSYRNQSIVGKDSFNHFNYGGKNWSVGSTSKSNILPNVTFSSIKIRSTEVGTGTTNKGKFDNVIPYSFGFNFTGRNENTNFRPWLNEKSGLVHGGFGLELVSEANGVNASEADKSKAAVENEEHHKNANSINEVCKQYRNIWYIDTHVMCAHHKLFLMLLTYFLS >RHN76863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1904058:1906988:1 gene:gene247 transcript:rna247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK family MKVLQVLIILAALAHLIEAVAFTLASDQPKQVLPGCNYTCGGVKVPYPFGIGNSTAQDHTPCYINSKFMLTCTDNSKLIYGKNIQVLDINPQGQMEMRFFVSHLCTNSSSNSNNNTEKANKPSLRVSSLAISSTDNKFITVGCDSFGYLNSNFKGATYSTGCLTRCYDFDTKMVIGNNTGKCTGLGCCEVDIPPLMKNITIQAFKFSPSTLSMENSCSYSFIAKQGSYNFSVEHIKKLPYNTFPMVVNWVVTNESCRIAQTTNSYACKENSECVDKDSDYDGYRCKCLAGFEGNPYVPGGCRDINECATSNHTCLSEANCNNTVGSYNCFCPNSQFGNGTSLGGCKLPDRNTYQIVPTTVLGVGAVFIVLFLCIISYLIYQKRKLVKLKEKFFRQNGGSILEHKLRQRKDSSQITHIFEENELRKATNKFDESLIIGKGGFGTVYKGELDDNRIVAIKKSKTIDKSQIEQFINEVDVVSQINHRNVVKLLGCCLETEVPLLVYEFVSNGTLSEFIHTKGKTNDPTWKTRLKIAAEVAEALSYLHSYASTPIIHRDVKSDNILLDGTNTAKVSDFGASRLVPLDQTEIATMVQGTIGYLDPEYMQTSQLTEKSDVYSFGVVLVELLTGEKPFCFGRPEERRSLAMHFLSCLKKDNVFEVIQDGLWNEENKQEIKEVAVLAAKCLRLRGEERPSMKEVAMELEGMRLMNKHSWINDDSNVEESRYLLHESSSSLYEPGDSSKHGDIGYDSLKDHVLITLDDGR >RHN72771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10575563:10577671:-1 gene:gene8525 transcript:rna8525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MSEPMKQIRNIWISGLLDPSKVRMTERYWLYTGDLEQMEEARKQVLKSEKYYESFVKQESWKSTRRDLNLKEGDSHSHCKWIYNPTSDSIPADVTFFNWRKHYKMSVIDTPACVDFTPEVDNALRAFDAAVLVLSGVDGVQDQSIAVDKQMVTYQLPRLVFIDNLDHKGANLWEVVNQARSKLQHHSAAMQVPIGLEYNFKGLVDLVQLKAYFFHGSNGEKVVVGEVPGYMDALVSGKRRELIKTVSEVDDKLAEAFGGDKPISAADLEEAVRRTTIARKFIPVFMGSAFKYKVPVELP >RHN63828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54292401:54292625:-1 gene:gene26582 transcript:rna26582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MAKLITVLSIFLIVLISKCNSLMPFSTIHCKSIITDMMGECKPYYMDHNSQPYDSCCSAVEYIITTSYGCICDI >RHN73072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13201599:13202490:1 gene:gene8850 transcript:rna8850 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMQESHFCVVNLLVHLNPKTILGIVPFVVETIILWSIAISSMIFLMQINLLLLQMLLLLSMLWILIPLVKELLLLLKLV >RHN82063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50986017:50986556:1 gene:gene6180 transcript:rna6180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MQLMEFIKDVKVVLQDKKEKFDDFMKLLHDFRAKRIDRRVIKEGIMELLKEHQDLISRFNIFLPPGDEIPLLFPTSFATAVKVAFPYKMEKYDEFLKLVVDYNAKRIDMRVFKEGLMELFKDHKDLLLGLNTWLPEGHKISLPLDGDEQQGDDGLELKYELQVDEAAAALKDEEKDDEQ >RHN71519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:738115:740203:1 gene:gene7128 transcript:rna7128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo-like helical protein MGDNWNIISSCSSNVGFDDNLCHVEEERLHALQLQPLQGNLHHQHHCGDYGFINDNPTMEEIYSAMGGLFTHLDFYRMRHRASVEAALPHPHTDNYSNHFASPPWRGFVVSMAMNHRECQYLQAVIDEGNPVYVTMILLEVKDYLHELMTHRFGSYLIQKIFQARRGMTCQQMDLTVFLIISNHRKLKDVCMDHHGAMQTMIANVRHPFTGYVVVYMLQHITIPLMKNVNGSYVIVQCVKFFPPKHKKIILDEVARNCVDIATDKIGCSAVKKCLDYGGRTSAIDILVAQIISNAMILSEDPYGFDFTLLNYIYTYIFFKFMK >RHN48673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49403236:49407099:1 gene:gene43415 transcript:rna43415 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b-c1 complex subunit 9 MESAAKRRGGGVLEGLYKVLMRRNSVYVTFVIAGAFLGERAVDYGVHKLWEINNVGKRYEDISVLGQRPVDE >RHN57595.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40424531:40425073:1 gene:gene33168 transcript:rna33168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MQDGKIYTVATGSGTTGEEKKLAFPEIAVGIDIGTSQCSVAVWNGSQVEILKNKRNQKLIKSFVTFKDESPSSRVTSELSRERELLFGDTIFNVKHLIGRVDTDPIVVRASKNLPFLVQTMDIGVRPFIAASVNNIWRSTSPEEVLAMFLVELRLMTETHLKRPIRNVVLTVPVSFSRPA >RHN77991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11100519:11103833:1 gene:gene1505 transcript:rna1505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MSFCHFSENKKMSFFATLNLLVVLVMLLILPYNNVHGDEQQDSCSTRCGDHNISHPFRLKDSPENCGDKRYILSCEDNNQLILYYGSFGKYYVQSINYNNFTIRLLDFNSHGYSNYSLPPHPLGFYSFDSRTINPIALPYRVFGDHYIDLFKSMLYVTCPKHVQYSSGIYFDAACMNISNSYEQQKGNYIVFGDKSLLELALGDECRIELMFLTSWDFKHGGNNNISCTDIHRMMFYGFELSWLNSLCKHGWYSDILDYNNQRRAPSETWSLQALVTVSILMYIGILLGFLSGVKFVLGVPIVLLIYKWRQKHLSVYDGIEDFLRSDNSIMPIRYSYKDIKKITEQFKIKLGNGGYGTVFKGKLRSDRLVAVKLLDKAKTNGQDFVNEVTTIGRIHHVNVVQLIGFCVEGSKRALIYEFMPNGSLEKYIFSLIEESNSLSCKKLYAISLGVARGIAYLHNGCNMKILHFDIKPHNILLDENFNPKVSDFGLARVSPTDKSIVSLTAARGTIGYMAPELFYRNVGTISHKADVYSFGMLLMEMASRRKNLNPLAEQSCQIYFPFWIYGQLHDGSEVTIENDTDEEMKLAKKMMIVALWCIQTKPGDRPSMDKVIEMLEEEDGDLQMPNKPYLYPQDLPAEDFRDGNTRSSWSSSDTSINDFMEPT >RHN62183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41508960:41510154:1 gene:gene24731 transcript:rna24731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding pseudobarrel domain-containing protein MANFITMYHNPPIDHFRAVKLSTQDHVEVQPEFVEKYKDDLQDPWNIMNMDGGMHQIKFKMSLYNPTLKDGWEPLQQYHHFPNNVDIIFGYYGNNLFKVIMFREVFCATKIPSFHSRSMYPEEVIIFDIHISDNDLNTPIKMLPNHFGTFLQNDFRSLLTLCCDDGTFYFVDIIHYGDYVDDPNSGIQWNDFILSNYIVAGQKLRFKFDINTTYMCHVFPIDV >RHN79387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28300317:28300675:-1 gene:gene3173 transcript:rna3173 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLYLVGGVVVFGVGRVVSFVFCWCCSSFVQLGDNARKGLVLRRSVLAVVVIHVPSDLFWVLFGLCLVGSCHFLDWLVFTVALDAFSLSPSDACLRPR >RHN53562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2575745:2576896:1 gene:gene28481 transcript:rna28481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulase MGCSLLISGLILWFAMSSKNNGILAIMDEELISKSSSSSYNYADALGKAILFFEGQRSGKLPKDQRVKWRGDSALSDGKTQNSSTIYVLECYCMRGRCPTPQRPSLNCP >RHN76706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:577253:581664:-1 gene:gene73 transcript:rna73 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGSHFEIRVHPSDSIMAVKMNIEDIQGKDNYPCRQQLLIHNGKVLKDETTLADNEVSEDGFLVVMLSKVNNRSMSGECFVDNSEEEDEEHLFDESDEEDEEQFFDDLDGDEDKE >RHN80074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34846612:34849511:-1 gene:gene3950 transcript:rna3950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MASANGYSPRSSGCPCGACKFLRRKCTSECIFAPYFCCEEGPARFAAIHKVFGAGNVSKMLLNLPVHDRHDAVVAIAYEAQARIIDPVYGCVSHIFALQQQVASLQAQLMQVKAQQIISQSLEEGTKNLENQWSGNVVKQQLINPFCPTNYMNPISPKSSLDSIDFSSCSINDVMTIQCQSYSNKRMTSYHLGERQETSLGMTGNYH >RHN56505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31410952:31415775:1 gene:gene31913 transcript:rna31913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoinositide phospholipase C MATKFGFSKTSRNKSIKQHFKVCFCFNRMFKLKVAEPPEEINNIFYRYAQNGTMTMDELYYFLVHFQGEKGGDATQKHAQDVFHSLRHLNIFQRRGLHFDAFFRYLFGDLNGPIGDQVHQDMNAPLAHYFLYTGHNSYLTGNQLSSESSTAPIIKALKKGVRVIELDLWPNSREDDVEVRHGGTLTSSVKLRDCLNAIRDNAFDASKYPVIITFEDHITPPLQRKVAKMVDDIFRGMLFRPNYAHQMKKFPSPEALKGKILISTKPPESLESQSQKVQEEEVDELLEDKDDGSRVNEEDELDDENEEDESLGYRNLISIHAGKPKGNVEHWLTEHDQVRRLSLSEQVLEDIVKTQGSEIVRFTQRNLLRIYPKGSRVTSSNYDPMNGWMHGAQMVAFNMQGHGHYLQYMEGMFKANGGCGYVKKPDILLNNNKMYDPRVYRPKKTLQVHVYMGEGWQSEFGQTHFDFYSPPDFRVQVGIHGVPVDTDTKVTRTKEDEWVPVWNEELNFPLTYPELALLHIKVIERDFSGQHDFAGQTCLPVSELREGIRCVRLCNRKGEPYKHVRLLIQFRFSNH >RHN64137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56861320:56867972:1 gene:gene26923 transcript:rna26923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase CMGC-MAPK family MPPDQRKKSSIDVDFFTEYGEGSRYMIEEVIGKGSYGVVCSAYDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYIATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDFLGTPSPEAIARIRNEKARRYLSTMRKKKPVPLYQKFPNADPLALRVLERMLAFDPKDRPTAEEALADPYFNGLAKFEREPCAQSVSKMEFEFERRRITKEDVRELIYRETLEYHPKMLKEYLEGAEPTGFMYPSAVDHFKKQFAYLEEHYGKGGTVSPLDRQHASLPRQCVLYSDDSRQNMAEVAADDLSKCCIKEVEKPVIDRSGGVPMNRLPLQAPQNIQGVMTNRSVAPRPRKVAGSLLHYNGGVAGADQRKTTRNPSVPAQYAASSYSYPKRNPSCKNERAEDGIEGSNGLQSKPQYIARKVAAAPGGAGSNW >RHN48929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51223070:51226807:1 gene:gene43699 transcript:rna43699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MATLPASPSPPWHTNPNPNNNNPNSISIPNPNFHPDQSQTLDFDSLMSPQPQSPSATTTPPLPPSLLQLSFNQDHACFAAATDNGFRIYNCDPFRELFRREFGGGGIAHVEMLFRCNILALVGGGSHPQYPPNKVMIWDDHQDSIASFALTLDGQLIATASVKGTLIRIYDTDSGTLLQEVRRGANAAEIYSLAFSSTAQWLAVSSDKGTVHVFSLKVNSSGVPENETSQGSSSSSDAAIVPSSSSRSFIKFKGVLPKYFNSEWSVARFHLHEGTQYTVAFGVQKNTVIILGMDGSFYRCQFDAANGGEMTQLEHHNILIPETAL >RHN72225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5913110:5913376:1 gene:gene7912 transcript:rna7912 gene_biotype:protein_coding transcript_biotype:protein_coding MCVFYYHNNNKYCRFSIDNNRETNVSNVKLDHELNHGIVQVLLLLLLLMFQNIRLVS >RHN69569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44489302:44492161:-1 gene:gene18052 transcript:rna18052 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycolipid transfer protein MKRSRDIEKRSEINSAIEELSVLVIVKPEEDHEAGARIPTKPFLSLCYMVLQVLDKVGPTMAVLRQDIHQNIKRLEAIHESNPLTNSNLVEIFKSETSKGNGKKRVSGSKSFVWLTRSLDFTSALLQALLVKDPKKNMEQAVQESYDATLKPWHGWIASAAYRVAIKLVPDTKTFMDLLREKDEDCNTLMEKMEILVSLLVPFLEDIHCILKVYNLDRLKSN >RHN41453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30128560:30129312:1 gene:gene47776 transcript:rna47776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 3-sulfotransferase MQGVDIHIAIRKRMDYVLLPSIPYDSLPNSAKESTCKVVYLCRDPKDTFVSHWHFTNKLRAQSRGPLPLQEAFESFCRGASSFGPFWEHVLGYWKESLERPEKVMFLKYEEMKMNPSFYLKEIANFLGCPFSKEEESKGMVDDILNLCSFEKLSNLEVNKTGKLSFDMENKYFFRLGRVGDCKNVLTTEMIEQLNTITESKLATHGLRF >RHN65913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6428410:6430959:1 gene:gene13809 transcript:rna13809 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MDVEMRVYGWIAIAISMVICLSLKETNGESCNLYEGSWVYDESYPLYDSSTCPHVREELNCLKYGRLDKQYLKYRWQPSNCNLPRFDGKSFLTKLKGKQIMFIGDSISRNQRQSLVCLLHSAVPQARVIKQGSDPIINFTYLDYGVSIIVHHSTYLVDIEVEKIGRVLKLDSLKSGNLWKQMDVLVFNTWLWWYRTGPFQPWDYIQIGNKIVKDMDRMEAFRTGLTTWANWVNAEVDTSKTKVLFQGISPTHNNGSEWHEPGVTDCGKETTPINGSSSSLGLPPASYVLQNVLQKITKPVQLLNITALSELRKDGHPSIHNYAHGMDCTHWCIAGVPDTWNEILYASITN >RHN55253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16441581:16442279:-1 gene:gene30404 transcript:rna30404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDRSFFSLSVSPVHGAILYNPQDITFLTGCFLIDFNFTHVILPPEHDATNNLSNFTIRINKVLAIPIEILCNCMYVVHNTIVYDIFSFLPTNILHMILPNMEECARQIVARNNEGRDILEMNMSLHVDTISEMNMSDAAMVEEEEEDYVSSDDNGQQVQQILGLLENLENNCPSRDFGEQCSICLEEFCTKSELAYTKCSHVFHKECIVPWIQVCVNNSSSYSCPLCRSYII >RHN48604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48763449:48766785:-1 gene:gene43340 transcript:rna43340 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MDMIMIHSEARNACNTVMLTPIHVPTPNHTNTHLTLLHQIIILHSFFIFLYNGLKKVKNTPTYTRVRACVRVMGASLSNLGSNGSTIAPSLGDIPENCVARVFLHLTPPEICNLARLNRAFRGAASADSVWESKLPSNYQHLLRLMPEEQRCRNLSKKDIFAVFSKPLPFDDGNKQLWLDRVTGRVCMSISAKGLSITGIDDRRYWTWVPTEESRFNIVAYLQQIWWFEVDGEVDFPFPADNYTLSFRLHLGRFSKRLGRRVCNYDQTHGWDIKPVIFRLSTSDGQEASSECCLDETVHDDTYGNHKRGYWVDYKVGEFIVTGSEPTTKVRFSMKQIDCTHSKGGLCVDSVFIIPSELRDRKGGGFLK >RHN64071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56363367:56368598:1 gene:gene26851 transcript:rna26851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGSTSSRLGSRSSSSSTQRVNNNHRFRFSSFLCGASTSRSISQMEGHQYEELQVDPARDFDDEIQKLADESTLSGAEEARFRFCAQAESSTSTDTGTGFRENATVEGSFGNVATSSQRNCLSEQKELVPPYQVSSGHSHPESYSDSNNAASTSFVEQKSSDPVSLSVNDSANKDRINNVDDPVLSGVSRISHETVRPRSPISQEYGNSSSGEISVEINTDAGISIHSSSIPVSQASNTLPASQVPEDGARHETLPSGLGILVSNREIVHGNDGLFQVDVVAISSNILSGSNGDADDHDARRNSRRLFWDAFSQRSSRRLGDSPTIVFSSGGTDDLGSHDRWHVDFDEDLSNYGVGGASGHRGSRIHRLNERVRNSRSEIWERLRGGLDEIGRLNTSCPLGLHADGMCSCESFPMAEESSTRSSISRIVMLAEALFEVLDEIHRQPGSQSLSTSLPAPESVVDSFPLKSHKKVDAADGGNDAEQCYICLAEYEDGDQIRVLPCKHEYHMSCVDKWLKEIHGVCPLCRSNVCGGLTELSTDSEVQSR >RHN50817.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10769415:10773250:-1 gene:gene35152 transcript:rna35152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterol 14-alpha-demethylase transcription factor MYB-HB-like family MYYHHHHQQQQQARNMHALRMHMQGGGNGSSDSGLVLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKTVLKLMGIPGLTLYHLKSHLQKYRISRSMNGQTNTGSSKIAPTSEVVTSRMSESSGIHMKDLNIGLQTNKNSDINEALNMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAKETLGRQNLGAMGLDAAKVQLSELASRVSTENLDSKFSELKEMNVLWAQQTQEGETIDYSMGSFLTNSEDSQRDQEIHNKSMNFRAYNGTLCEEVKENMMFLSSSNDKVLKGSDEVPERTSSLLSMNIGVHEEENFWRRNISKEDLEGEEWKRRKSIDTSGVQLKLNSDKISQDYRLANFDMKLDLNSHDDNGASSHSQKFDLNGFSWNC >RHN55690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21131651:21140174:-1 gene:gene30914 transcript:rna30914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MKAGKVNHEEDEYDDDEFNSNKKQATSSVPNTNKDGKATDKASVIRSKHSVTEQRRRSKINERFQILRDLIPQCDQKRDTASFLLEVIEYVQYLQERVQKYEGSYQGWSQEPSKLMPWRNSHWRAQNFVGQPPIVKNGSGPVLPFPGKFDENSVGISPTMLSGSHNMIDHDPTRDIVGKTAERQPDLASKGIPLPMAMHANMSVPVRSDGVLSHPLQGTVSDAQSTECPTTSEQLNQQDDLTVEGGTISISSVYSQGLLNNLTQALQSAGLDLSKANISVQIDLGKRANKDPSGTASSPKNHDNPLCCNQSFAHFRDGGNREDSDQAQKRMKTYK >RHN66487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12991548:12998276:-1 gene:gene14487 transcript:rna14487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MESEGFDLNNGKKIGDGFVDRSKVRILLCDTDSNTSQEVFTLLLRCCYQVISVKSARQVIDALNAEKETIDLILAEVGLPKKKGMKMLKYIARDKELRRIPIIMMSAQDEVSVVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRRMLGLAEKNMLNYDFDLVVSEPSDNNTNSTTLFSDDTDDKSKRSTIQETGISVQQEQESTIANAASVEEPRDDHASECQPDVHGIDDQQTASFSSGPKKSELRIGVRESSAFFTYVKASMLKRNLEGIVQADNNAVACVRMEVMHQACAQQGVSDLEVCKNGETCDSQSQDDFPSSNSIPDSLSIERSGTPPASLEASQQNRYEEENLHHSSLIHPGTGTHCSELEMSNMPAQNLHHNSLMHPRNGAHCPELERSNMTAQPAYPYYMSSGVNHVMMPSSAQMYQKNVYDLQHHAGTSIIGHYNHVPQVGPHTTGMMSFPYYPMNMCLHPGQSWPSYGGSSSSEAKLSKVDRREAALIKFRQKKKERCFDKKIRYVNRKQLAERRPRVRGQFVSKLNGVNVDLNGQPPSIEYDENEEDDQGARDYSPRDA >RHN77875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10147277:10158878:1 gene:gene1377 transcript:rna1377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exoribonuclease II MVSCFIIRILHNVTFTCSKRSPPRHSILRSSVKMGSSENKFKTLSTVEEEEDEDGSTINNNFYDVYGPQAKADVVFKSEDNSTLSLVDIQGLVTWVLGEGFMPSWVFIKNKPLILKVVMLYIPGLDAALYLSLSKVLPNLKKACGKPRPVHALSCVSDSMQTIDALLTCKQKRKRDENDSIMKKSTLASQQEEVSGGTGSLSFTELTKDIPFPVTYYTLTERDLEENEYPVNKPGFVSTLRAPPGSPYNEMLAVDCEMCITGEGFELTRVTLVDVKGQVLLDELVKPSNPITDYNTRFSGITAEMLDGVTTSLTDIQEKFQKLVYKETILVGHSLENDLLALKISHDLVIDTAVLYKHPRGSSHKSALRFLTKRFLSREIQQSGDGHDSVEDARATMELALLKIRNGPSFGAAPSFTRKKLLSILGESGKTSSMIDDISIVKRFASESSNAIPVTSDEDALSKTIKELKNEKVQFIWTQFSELHSYLKKQAEDSESLNKKLAEMIALNTCGSSKGKGLKSNISAELKEIVARMDVRIHKLYKSLPTNAMMIVCSGHGDTAVVRRLRKMLTEEGESNYGREKILESLGEFQARAEVALCFVGVKH >RHN79165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24815924:24826415:-1 gene:gene2894 transcript:rna2894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MGSQPSPLQPAMLMGSPSFPNALAWSHDNLIAAASGHFVTILRPDLPNGPRGLIKVIPNEPLILGFIDRKDLHSGCLLPTALYRDDKPVVRSISWSPLGMAPNSGCLIAVCTSDGHVKVYRPPFCDFCAEWIEVVDITQRLYEYFRCTEFQGTGGSNSLDFSEVPSNRPCLLKNASGQVDSVTPNDEVSEKMPESQLLSLISADEYASRSAMLYSLVVSWSPLLHVASEFYPDPNRNASVSLLAVGGKSGKISLWRFHQPDCFTIEDRKVPAVVKFIGLLHAHNSWITTMSWLLFAFDSLNPQIILVTGSSDGSVKVWLGDNDKLLKSSEVDPNSFLLLKEVITANAVPVSVLSVTVHVQYPSKMLLAIGKVSGSIEIWLCDISSREFDKLGSYDAHYYAVTSLTWAFDGRFLCSCSQDNILRGWILHERLLDEIPIFSDMPRSNDSTCPSRDTFESCFGVAVSPGNLVIATVHCFDIDKLNRMYEGRILRAAIEYFWIGGLQVDVLLKSPFSCNIEELPTFPEKELTYWGANIIWSLNHYQCVDKPLVFWDIIAALLAFKENKSKYVEHLVVKWLSLSFLGSHMNLPPEEVLSRLISRLSDIPSRLLHLLNIICRRVMLAQLDADQLTIINSKFQNMDGVCPVIEEEMTKWVEVLLGSERELRERNVGLSFSALQTSMFNQEATPSLPGRWYPVGLAQMEQWVALNQEDIHDQLKSIASKVTHEKSRFVPKKCSAMESCNYCSAPVPFESPEFGFCQSENCSSGNVKRRKLLRCAVCMEVCPSTPLWFCVCCHRFVFRLAPEPLFRMSSFCIDSDSSNSSSQAVSSKPLCPFCGILLQRKQPDFLLSSSPV >RHN82084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51149427:51149687:1 gene:gene6203 transcript:rna6203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin ligase RBR family MNVDERGREDLLVRELANKKKWKRCPRCRFYVEKNDGCLHISRAGQFVLFTNSTLFYFRKQIEQKIDFFFFTLCLFLVLLSFLVSL >RHN79755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31882149:31885321:-1 gene:gene3587 transcript:rna3587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TPM domain-containing protein MMAHYPLHHLLHNPSSFISLTLPQHSPRLQTLSLPKTLSCHLSSSHNNKCSSNSIISSLTKIHSKPLHFALSGALSLCLLFGGAQIAEAATKAGVNKPELLPKEFSTVIDVAGFLSDGQEKRLVQEIADLEKDTGFKLRVLAQNYPDTPGLAVKDFWQVDDSTVVFVADPTFGNILNFNVGATVDLDIPRSFWSRLAGKYGNIFYWREKGEDASIESAVMAISSCLREPVGANNCSEVK >RHN69299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42434993:42435905:1 gene:gene17748 transcript:rna17748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RIN4, pathogenic type III effector avirulence factor Avr cleavage MASYSEQDGKPLPKFGEWDVNDPSSAEGFTVIFNKARDDKKIASASGRFPSQRRYDSRTGRTNDNNKNLKASSKVPNNKLVLLMTIINNKLC >RHN61131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33310162:33312876:-1 gene:gene23564 transcript:rna23564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MRYFLFSLLLPTFIITFTHGQEIIKTTPQIGTLNRNDFPEGFIFGTASSAYQYEGAASEGGRGPSIWDTFTHRYPQKISDGNNGDVAVDSYHRYKEDVGIMKDMNLDAYRFSISWSRILPDGKLSGGINQEGIDYYNNLINELVANGLQPFVTLFHWDLPQTLEDEYGGFLSPLIIKDFQDYAELCFKTFGDRVKHWITLNEPWTYSQDGYANGEMAPGRCSSWLNPNCTGGDSGTEPYLVAHYQLLAHAAVVNLYKTKYQVSQKGVIGITLVINYFVPLSDNKLDIKAAERATDFMFGWFMDPLANGDYPKTMRALVRTRLPKFTKEQSKLVSGSFDFIGINYYSSCYASDAPQLSNGKHSYLTDSLSRFSFERDGKTIGLNVASNWLYVYPRAIRDFLIQVKEKYNNPLIYITENGINEYDDPSLSLEESLMDTYRVDYHYRHLFYLNEAIKAGVNVKGYFAWSLLDNFEWHKGYTVRFGMTFVDYKNGLKRYQKLSGLWFQNFLSSENKKVYIDSI >RHN55057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14753439:14754510:-1 gene:gene30183 transcript:rna30183 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQTKLGHRLPYVDILSQLEIGVADSGGCSKKKKKELLIADSDGKPKICHINLVYLPYIYI >RHN64674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60908555:60909022:-1 gene:gene27515 transcript:rna27515 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPILRHTHSSPLALQSPQVTKHSTSIIIKTEEKKRSSCSSRRKMRLSYVCEGQQKELGRQQAPGSCPYCGGKVEAMDVEVQSKLCFLPMCFQIKRKYFCTLCSRRLEILYD >RHN67563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28291599:28292590:1 gene:gene15761 transcript:rna15761 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGDFFFHNGQNPNSNSDTRAPATMANSSNNVIEPQTMMNVVGEITNPTLPPPPHVAIRPNSSMTPSTNIELVEAITMDEFWAGLDDLGVGSFESASTNFHISFIFFFLFLLHSVLMTCLMRAMMK >RHN69734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45927860:45933537:1 gene:gene18246 transcript:rna18246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 3-dioxygenase MDTKVLSSGIHYSKLPESYIRPESDRPCLSQVSEFENVPIIDLGSHNRTQIVQQIGEACSSYGFFQVVNHGVPLEELKKTAEVAYDFFKLPVEEKMKLYSDDPTKTMRLSTSFNVNKEEVHNWRDYLRLHCYPLDNYVPEWPSNPPSFKETVANYCKEVRELGLRIEEYISESLGLEKDYLRNALGEQGQHMAVNYYPPCPQPELTYGLPGHTDPNALTILLQDLHVAGLQVLKDGKWLAINPIPDAFVINIGDQLQALSNGLYKSVWHRAIVNAEKPRLSVASFLCPDNEALICPAKPLTEDGSGAVYRGFTYPEYYSKFWSRDLEKEHCLEFFKNN >RHN38427.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000019.1:6300:7877:-1 gene:gene50752 transcript:rna50752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKPKRTKIISDLLISVSKAERQEARLKVRQDSLRLGNVGVIRAGTVLSETWEDGQALKDLNAQLVCLTSFFPFIFIY >RHN49782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1110616:1111556:-1 gene:gene33993 transcript:rna33993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MELKEAESSEKISMMTLLKTTSVRRGLYAGMGLQIFQQFVGINTVMYFSPTIVQLAGFASNQTAMLLSLITAGLNTFGSLISIYFIDKTGRKKLALISLFGVVLSLVLLTVTFRQTETHSPMISEIETYRFNNTCPAFTPSRGGWDCTTCLKASPKCGFCASDSNKVNMQSSSFHRPFLSHFDKDFTIKYYIRP >RHN60176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22369689:22375687:-1 gene:gene22421 transcript:rna22421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diacylglycerol kinase (ATP) MADEFLNNFKIPDYILVPESKVEKIEECGLLVPKCPVLVFVNSKSGGQLGGDLLQTYRTLLNEKQVFDLGETAPDKALRTVYANLESLKKRDDEFAMKIMERLKLIVAGGDGTAGWLLGVVCDLKLSHPPAIATVPLGTGNNLPFAFGWGKKNPGTDQRSVEAFLNQVMRAKEMKIDNWHILMRMRAPKEGSCDPIAPLELPHSLHAFHRVSEADELNREGCHTFRGGFWNYFSMGMDAQVSYAFHSERKMNPEKFKNQLVNQSTYAKLGCTQGWFFAGLMHPSSRNMAQLTKVKIMQKPGQWQDLNIPPSIRSIVCLNLPSFSGGFNPWGTPNRKKQRDRDLTPPYVDDGLLEVVGFRNAWHGLVLLAPKGHGTRLAQAHRIRFEFCKGGADHTFMRIDGEPWKQPLPADDDTVVVEISHLGQVNMLATHICKSKSVYDPSSPYRDNEEDDSDEEDSIAEEYRKFGAADTFRIPDDVDISQLS >RHN74426.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33128119:33128544:1 gene:gene10497 transcript:rna10497 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNKSLHLKLLVSSFPPFQTAEMSRLSDTCHIVTGQLFFLIFVCHYPHFKKIHASWCVKLVLKRIYILLDSKINIKCTLV >RHN67634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28845226:28846021:1 gene:gene15841 transcript:rna15841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLLYNQVELKFIVNALLYNTTGSYKKCSLDNGLCRLNPALSANIALLTTPGPIEGASKNEWFDVNVSYEPRWIIYFAGLGVLIVQILSSIKFYKTFLTNNEENARFEQVEVISERAPLLSRKDSDNSSWCSSYNSFSSENSDEGKSIIEGETSNLQCLCVICFDASRDCFFLPCGHFATCYACGTR >RHN50745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10062468:10064318:-1 gene:gene35070 transcript:rna35070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sodium/calcium exchanger membrane region MAPYTFTSNSKSQPKKLTLLLNTSFLFILFFSIKLYINPSNSNSHFHHVSSKLFNHARILTSDILVDSCSELHNYSNYTSKCIYVKTHSDCRSKGYINYLQIFYCNLGNSPILGHTLLVLWLVVLFYLLADTASNYFCTSLEGLSNILRLSPTIAGVTLLSLGNGAPDFFASVVSFTSSNNGAVGLNSILGGAFFVSTAVLGIISFIVSSNNVSVDKASFIRDVIFFLFSLFILLIIISIGKISLFGSICYLSIYFLYVCAVSATHFIYEVDKKEVESSSSCDDLVESGIPLLGYADDDTDHDNDSPQKPILQSIEVKEEKKEIFSTYYLWKFLEVLELPLCLPRKLTIPVVSEENWSKPYAVLSVTLAPILFAILCNTQMENVDPKSSLVAYLTSSLIGIVFGNMACVTTKSTRPPRRCLFPWLAGGFSMSVTWTYIIAEELVSLLISIGYVIGVSPSILGLTVLAWGNSLGDLIANGAMAKNGGVDGAQIAVSGCYAGPMFNILMGLGLPLVLSAWGEYPNSYVVPKDSSLLGTILFLMVGVLWSLVVLVKKNMRLDKFLGAGLLTIYLCFLFIRLAMAIGALN >RHN78457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15331248:15331391:-1 gene:gene2025 transcript:rna2025 gene_biotype:protein_coding transcript_biotype:protein_coding MIPETNQTNTSRPFLNLNKTTTLSRSNFSILVSMTMATLVANRSNLH >RHN81777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48812370:48814675:1 gene:gene5865 transcript:rna5865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo-like helical protein MEEPWLPLQPSFHEVFQPYTNNVQDVRTFFGSNIQHHRDNYEYGFINNASMIEDINTVMGGLSLSYNNNNRRETGSTNHTYIDNHPSMMMRELVLSMAMDWRGCQYLQAKIEKGNPADVEFILSIVKDHVHQLMTHNNNYLIKKIFQARSGVTPEQMESIVLSIISDDQKLKHVCMDNRGTRVMQNMLKNIKLSFTTYNVVVCTMHRITLALVKSFNGGYVILQCLKFFQSEHKRVILDQVARNCVDITTDKIGCSIIQKCLSLVEGSVKSLLVTEIISNAMILAEDPYGNYVLQFVITMESSATREKMIKQLRGKFARFSMSKHSSNVVEDLLRFSNENDVAVIVEEIMKSCDFLNVVQDPFGNYVAQRALKCTKGRLHRELAKLITSNKRILQSHLYGKRVLTMAMTERSRFGV >RHN51726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23612547:23613389:-1 gene:gene36235 transcript:rna36235 gene_biotype:protein_coding transcript_biotype:protein_coding MISSDVSDAEEELLNSSSDVIMESASDHLPSSSNRIQTSSTLAIQPFNSPKPSKIPSPPTIFLDSTLLTDVCEKIFKELNQLIQARNELIHKDDYEKQWNRLNERVNYILSALTSTCLDEQDLAQKKFQDWIKGVDNSLQEVKILRTWVQNPSSLRGREAADFIPNFVHPRSLDLFFLSSDNFQSASPNLEMIQRNAALEEKVKKLQKVLLEQKVLLLEYKDVIEAKLAEARTREEILIRSNEEFKQEMKLQQESLQKQQAKTNKLLKQILEMFSKQANP >RHN47821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42791290:42796170:-1 gene:gene42467 transcript:rna42467 gene_biotype:protein_coding transcript_biotype:protein_coding MAWDLWSSPFDQAFDDDYNYSETLDWFGCFGCGYDDMEEDAKDEKCCVQVLRCMITNADNEIEQLEMNLASLQNELAWTENENWPEICCNGLTEKINQLDVAVNTLKSGHADDAEVQLLLDSGPAERLHEIVKALYKDRFQDAHGQDLLAISLVRSPDLGVVGCVPYHSERMILSDTLDDKVTGDEDVRQSQLVATDTHQILNPFLSKEKNTTLKENVFSDDRRLAIIKGSQHIASETKVKKPLLSKGNKNVPSETKEVNIPVKESVCSDDHRLAIIKSQLIAKNTGQILNPFSSKGNGNIASDAKEENTNIKGNLCSDDYRLAIVKEKELHLQSDLAQKLCDFVPKAARRARRKECKVAPDEDLESMSVPLQIVYPKKMCFADTESSALKEKNGNNALQVVKIDKATLTAENSALISLPGMQTENALCTRSSALKEKNGNNALQVAKIDKATLTAENTSLISLTGLQTENTPCTRLQLTDEEEEELQAQDLKSKLSVNFSMSRKRIPLKLKAQGNKKPEFEACSARGPLSLEVIPSTSNIVSIKRQRKPKSSIAGTILNVQPGQHEVEGSSIVPFDNDNVLTKRQRKSKTCPAGMILNEPIDSKSKKRALQPGQYGTDGKAVVLYDSKFSELQKKRQVPENSNRVPLDNGSQYNGSLALVPITAMKTTESLMKEKMPDLRAMAKNLEMKGFYKDPKAVLVAKLLKQLSGL >RHN61609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36816637:36819517:1 gene:gene24091 transcript:rna24091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEITPARKTQKADREKLRRDRLNEQFLELGNILDPDRPKNDKATILGDTVQLLKDLSSQVSKLKDEYTMLNEESRELSQEKNDLREEKASLKSDIENLNNQYQLQLRTMYPWPAMDHSVMMAPPSYPYPVPMPVPAGSIPMQPYPYYANQHPAVIPNPCSTYVPFLAPNTIVEQQSTQYVSPPLHPGSRSHVSGKQDSKNKSSRESKAQRNEDSNDVATDLELKTPGSSADQDLTSAQKKSSKSSRKETSFTEGSSLGKCSSSHSVQDSSSSSVVGSRKASE >RHN69229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41959858:41964161:-1 gene:gene17670 transcript:rna17670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MKNQQHQMFMCLCVLALIIGGCNGAEDLASKCGSVVQKVIPCLDFATGKAPTPKKECCDAANSIKATDPECLCYIIQQTHKGSPESKSMGIQEDKLLQLPTVCHVNGANISDCPKLLGLSANSPDAAIFKNASKANPTPSSAAATTATPTTPTPASTGGSSNLRPVMINNVMTVILAIVLAAVPAGFISIYT >RHN82273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:52473969:52479799:-1 gene:gene6423 transcript:rna6423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative citrate transporter-like domain-containing protein MATFSIGTHLSMFQHSRNSRLSSHTIQFSPPQSQSFFTSSSSPSCRTRFGVPNPKLLRSNVLVRAKDKPRDSNSQTSQQQFQDLTSESGTCDPLCSVDETSSQDFEDNYQPKTDLLKAVAVFAAAATGTVAINHSWVAANQDLAMALLFVIGYAGIIFEESLAFNKSGVGLLMAVSLWVIRSIGAPSTEIAVSELSHASAEVSEIVFFLLGAMTIVEIVDAHQGFKIVTDNIKTRNPRLLLWVVGFITFFLSSVLDNLTSTIVMVSLLRKLVPPSEYRKILGAVVVIAANAGGAWTPIGDVTTTMLWIHGQITTVETMKGLFLPSAISLAVPLALMSLTSEANGKGQDSSDVLASEQMAPRGKLVFSVGLGALIFVPVFKAVTGLPPYMGMLLGLGVLWILTDAIHYGESEKRKKLKVPQALSRIDTQGALFFLGILLSVSSLEAAGILREIANYLDAHVQNSELIASAIGVISAIIDNVPLVAATMGMYDVTSFPQDSEFWQLVAFCAGTGGSMLVIGSAAGVAFMGMEKVDFFWYFKKVSGFAFAGYAAGIAAYLAVHNLNISLPTTLAEVPFLSGS >RHN61645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37152197:37154892:1 gene:gene24131 transcript:rna24131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MATINGNTDAAQPIVAPTKQPLPPPKSVDSTSVLKRLQSELMSLMMSGESGISAFPEEDNIFLWKGTITGSKDTVFEGTDYKLSLSFPNDYPFKAPKVKFETTCFHPNVDLHGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNISSPLNPQAAQLWSNQEDYRKMVEKLYKSPSATA >RHN81587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47307144:47310867:-1 gene:gene5656 transcript:rna5656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycoprotein 3-alpha-L-fucosyltransferase MLVMPQPPKPFNTITITIMIAFTFFLLFLTGFLQFPSISPSLPGPIHDSFTLPSTNTSSKPFTDLVSSFRKWDSQVGCAKFREKTNGVLLNQSKIVSLQEFGGDKVCKGFKMNHVSVLVKGWTWIPDNLDNLYSCRCGLSCLWTKSNVLADKPDALMFESSTPPIQRHVGEPLRAYMDLEAGRKRSGREDIYISYHAEDDVQSTYAGALFHNGRNYHVSNTKSSDVLVYWSSSRCLPQRNELAKKLLGLLPHHSFGKCLNNVGGQNMALSFFPECENDENAKPKWWDHLHCAMSHYKFVLAIENTFTESYVTEKLFYALDSGAVPIYFGAPNVMDFVPPHSIIDGRKFKSVEELASYVKAVANDPVAYAEYHAWRRCGVMGNYAKTRAMSLDTLPCRLCEAVSRKGGRNARS >RHN51687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22803272:22804565:1 gene:gene36183 transcript:rna36183 gene_biotype:protein_coding transcript_biotype:protein_coding MRELEETTFIVVSNTVEKKEDVRGYFTWNLEMERVLAEALRDQEVWVARVMEHGKQWHTTLQLMCCQHVLMSSLSEIM >RHN71520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:741080:741583:1 gene:gene7129 transcript:rna7129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative armadillo-like helical protein MKIPLANEQMVQELRSKFDRLSVNKYASNVVEYLLSFSNQDAVKVIAEEIMRSRNFLNVLHDPYGNYVAQRALRCTKGHVRRRFSSLIKSHRLALQSHIYGKNVLTLAMAYTEGSEFNF >RHN50310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6039504:6040317:1 gene:gene34582 transcript:rna34582 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQFHNSLKYKLASTTSNVDNLCSTDVSLQRRVWCPSPIHMITFN >RHN55068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14861633:14861770:-1 gene:gene30194 transcript:rna30194 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKTRCAALMIHLDVVQMSLRSCQSLLCSKGSCLLCFVTGVVGT >RHN41735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32488551:32496832:1 gene:gene48087 transcript:rna48087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-VIII-1 family MEIQSVFLFLLFLWAQIHLIFSITDPQDVAALRSLKDIWENTPPSWDKSDDPCGAPWEGVTCNKSRVTSLGLSTMGLKGKLSGDIGGLTELRSLDLSFNKDLMGPISPELGDLSKLNILILAGCSFSGNIPDKLGDLSELSFLALNSNNFTGKIPPSLGKLSKLYWLDLADNQLTGPLPVSTSTTPGLDLLLKAKHFHFNKNQLSGSIPPQLFSSDMVLIHILFDRNDLSGSIPSTIGLVQTVEVLRLDRNFLTGEVPSNLNKLGNINELNLAHNNLSGSLPDLTKMTSLNYVDLSNNYFDPSEAPIWFTTLPSLTTLIMEFGSLEGPLPSKLFSSPQIQQVKLRHNALNNTLDMGDSICPQLQLVDLQDNQISTVTLSSEYKNTLILIGNPVCSTGLSNTNYCNLQQQPKQPYSTSLANCGGISCPPDKKLSPQSCECAYPYQGMFYFRGPLFRELSNITIFHSLEMSLWVKLGLTPGSVSLQNPFFNGDDYLQMQLALFPPTGQYFNRTEVQRIGFSLSNQTYKPPHEFGPYYFIAFPYAFPDSHGGSSLSRGVIIGIAAVSTFVVLCLIGLAVYAILQKKRAERAIGISRPFASWAPSGKDSGGAPQLKGARWFSYDELKKCTNNFSGSNELGFGGYGKVYKGVFPDGKIVAIKRAQQGSMQGGLEFKNEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFISNGTLREGLSGKSGYQLDWKRRLRIALGSARGLAYLHELANPPIIHRDVKSTNILLDESLTAKVADFGLSKLVSDSEKGHVSTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVVMLELITSKQPIEKGKYVVREVRTLMNDNDEEYYGLRELMDPVVRNTANLIGFGRFLELAMQCVEELASDRPTMSEVVKALETILQNDGINTNSTSASSSATDFNASKGAAMRHPYIDNTFTKKDNVIESDAFDYSGGYTLSTKVEPK >RHN58929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5987541:5988118:1 gene:gene20885 transcript:rna20885 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEADSRQKYEDSMQKYQTQPVPQQFKAKKPSQRVGTACASSGTTVPPPQHSFCCFYFSDKLPV >RHN73362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15671279:15671653:-1 gene:gene9164 transcript:rna9164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MKNHVVFQLVKFLWTTILDQHYPPEELHKIINQPSQLIFDAAEVGNYGFLSELISVYPSLIWDVDCKNRTILHIAVLNRHYSIFNFIHQMGHIKGFILTYENDEDRNTLLHLAAKLAPQVQLVP >RHN38460.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000011.1:3822:4299:-1 gene:gene50691 transcript:rna50691 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVIAEIGFVLARAPKSAIYLTRVCRNAKTMANASIVINA >RHN65803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5516718:5517971:-1 gene:gene13693 transcript:rna13693 gene_biotype:protein_coding transcript_biotype:protein_coding MFMYCNMLTYYVNLLLDCLVVSIIFYFHAWIVVSHAFKVKIS >RHN48972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51471254:51474073:-1 gene:gene43749 transcript:rna43749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MASKLCNPLSIFLILLSVSIAFSQNTVNNPNPITPISPGAACKSTPDPTYCKSILPPQNANVYDYGRFSVKKSLSQSRKFSDLINKYLQRRSTLSTTALRALQDCQSLSDLNFDFLSSSFQTVNKTTKFLPSLQGENIQTLLSAILTNQQTCLDGLKDTSSAWSFRNGLTIPLSNDTKLYSVSLAFFTKGWVNPKTNKTSFPNSKHSNKGFKNGRLPLKMTSKTRAIYESVSRRKLLQSNQVGEDVVVRDIVTVSQDGSGNFTTINDAIAAAPNKSVSSDGYFLIYVTAGVYEEYITIDKKKTYLMMIGDGINKTIITGNHSVVDGWTTFGSPTFAVVGQGFVGVNMTIRNTAGAVKHQAVALRNGADLSTFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNAKVVFQNCNLYPRLPMSGQFNAITAQGRTDPNQDTGTSIHNCTIKATDDLAASNGAVSTYLGRPWKEYSRTVYMQTFMDNVINVAGWRAWDGEFALSTLYYAEFNNSGPGSSTDGRVTWQGYHVINATDAANFTVANFLLGDDWLPQTGVSYTNSLIS >RHN68808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38767280:38768666:1 gene:gene17216 transcript:rna17216 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAIDAATLVIQHPSGKTEKFYSSLSASQVMKMNPGHCVALLISTTVYPNKDIQNCSKNNNGDTKTNQVRLTRIKLLKPNDTLILGHVYRLITTQEVMKGIREKKQAKIKQNMSHKPDLVKTTLGLEMEKKAKRFDTKDNKATKPERSQGRTTSTNNAVIVTAKTRFWQPSLQSISEIAS >RHN56722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33187883:33188899:-1 gene:gene32161 transcript:rna32161 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRLILIFLSATLAGFFVLRNLRSQPQITELDQNNEDIPEKETLDSAKPTLNAPSKSKVKAALESWFWTFIDMASGRYLWKHLVKSSSK >RHN42396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38031296:38031590:1 gene:gene48833 transcript:rna48833 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAIMIGIDILKPNTVVVKSILLTSLRIRGLNLILENAFRFSCKVH >RHN69442.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43428500:43434819:1 gene:gene17917 transcript:rna17917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate peroxidase MADRVLLTPSLSSSPTTMATITGGAAARMIPSATRATVSLSTSRSFFSFSLASSSRSVSSLNCLRSSPRISHIFLNQRRGEVRVSSGRFGTVAFASDPDQLKSAREDIKELLKTKFCHPLLIRLGWHDAGTYNKNIEEWPQRGGANGSLRFEVELKHGANAGLVNALKLLQPIKDKYSGVTYADLFQLASATAVEEAGGPKIPMKYGRVDVTGPEQCPEEGRLPDAGPPSPADHLRQVFYRMGLNDKEIVALSGAHTLGRSRPDRSGWGKPETKYTKDGPGAPGGQSWTAQWLKFDNSYFKDIKEKKDEDLLVLPTDAALFDDPSFKVYAEKYAVDQEAFFKDYAEAHAKLSNLGAKFEPAEGVVVDGSPNVVGEKFVAAKYSSGKE >RHN68762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38354167:38355784:1 gene:gene17154 transcript:rna17154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MGSILGSQKTQGTKEEMETALNKTKQIAASSPVFVFSKTYCGYCKRVKDLLKQLGATYKVLEMDIESDGDEIHAALTEWTGQRTVPNVFIGGKHIGGCDSILEKHRAGQLIPLLTDAGAIAKNSAQL >RHN54294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8369285:8369835:1 gene:gene29305 transcript:rna29305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MVDYAWSHAVIYDETYKTIRRSCDFNSSDSWKNEECTNGVDEVLKQYNEIDIYSLYTSVCFATFIFKLMFVPLLIVQKVEKKGQENKRAIILLHRTDTY >RHN78461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15364676:15382793:-1 gene:gene2029 transcript:rna2029 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGMKSLVRTTSLSTKNSWIKFDANGHSSLLDVDKFEIMHQVRIQARDLRIIDPLLSYPSTILSRKEFIVLNFEHIKAIITAKEVFLQDPTDENIIPVVEELKRRLFQGDDQEMNPLDVEIDEDDESSFEFRALEIFLESICSYLSARTIELEMATYPALDELTTKINARNLNRVRILKSALSKLTVRVQKVRDEIEHLMDDNEDMAGLYLSRKLVAASSPISESGAANTSSPITKVKRVATFTSDENDLEELEMLLEVYFNQIDGTSNKLITLREYIDDTEDYINIQMDNYRNQLIQLEIFLIAGELSLSFYALVIGIFSMNIPFTWTKDHGYMFKWVVIFPGFFSISIFLIIVAYARKKGLIGS >RHN67306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25825807:25826409:-1 gene:gene15486 transcript:rna15486 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSLVLDKLLNNDQEGIKNSKCSHRWTLCDINHERTNSFFLTIQSHSQY >RHN48162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45503452:45504196:-1 gene:gene42849 transcript:rna42849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MQADSPSFLRHSVLPPIQTKSGLVFSSFPARNSWPLSSHSPFLTKKALYLALSHAHHPIIIDHGTKGFYLDRKSGKKCYILAAKSLIIPYGALVAPNTNMPPSRFPEVVEIRNAFSLEIRGRINTLYLSRNTQYVAYLVFQMIDAQRFKNCLMRLSVGVYLGYFWNHV >RHN50645.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8797434:8797973:1 gene:gene34955 transcript:rna34955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MTQHSSLLLVLLILCVASFNSISAKVVDVDVICNEASNPSYCSYLLNSKPGGAKGVDLVHLAEYILDVLDHNMQDTYKLITQLQSGDNITIVNYYIPCSSDLVQADSAIVRLGAAKLDFQVKHYQAMAKDTADVMKYILECSNSLKQNETSPLLAKYVDHLQQGVQVLQIITKYLIAGK >RHN42851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41447416:41447819:-1 gene:gene49348 transcript:rna49348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl hydrolase, all-beta MDTAGVSSADISSWIATGRKGEVYLAFFNLSEQKTPIYAHRSDLSKAFTGKRIRSCKGQELWSGKYVATKKGSISTDVGVHGCALFVLNCK >RHN70895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54828880:54836124:-1 gene:gene19527 transcript:rna19527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Chromo domain, SAWADEE domain-containing protein MDRLRPRNRPIFSGFTNSEIERMEKLLRESSKGQSFTLDFYQKLAKSFNLSSGRAGKPVIKWTEIHSWFQTRLQDSPKVPQNELVSPQCTEGENTRDSSELEFEARSSKDQAWYDVETFLAHRFLSTGEPEVRVRFVGFGAEEDEWVNIKNSVRERSVPFENTECSNLKVGDYVLCFQERRDQAIYYDAHIVEIQRRMHDIRGCRCHILIRYDHDNNEERVRLRRLCHRPRS >RHN64083.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56439414:56446187:1 gene:gene26863 transcript:rna26863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative G-patch domain, R3H domain-containing protein MGNILSGQTRIAGRNQHVGSHFRVPIFTAIETQNQSSLHSRLLEAKMGGGSRRGRGRGRSNTSGSGSGNPKTRKRGSVAREALFVEGGFLSDWGPSSSNRNSGRNGGSNNKSGSLRRGEASGSKNGFAKSLGTTIAFNYSSPDVQEASRVGIGNNSEDGNLNKLLQPFVMVDSQQNQIIAHEDQTPPSKQNSVEYTYSYGDFVLGDSSHRGLGFPAEHDETPIGTVTPSEQMLQSTPVLDSSSFKKDVDSDEDRNCDQMEEDLPSKVSSSRNSGFLSIGGLKLYTEDISDIESEENYIEETSDEDGSASSEQEVLGSSESDYSEDTSDSDSDIDDEVAEDYLEGVGGSENIIDAKWLLDPVVDESDDDSSSSGSYGEALEKLGGISLQDASREYGMMKAQPWRQRPVKKHVPFTLDDLMLEKDPRTISARKKHVSRFPHSWPSHAQKSKASKRIHGEKKKLRKERIAVKRRERMQHRGVDLEKINSKLEKIVLEDVDMYSFQPMHSRDCSQVQRLAGVYQLRSSSQSSGKRRFVTVMRTHSTSMPSASGRQRLEKLLGVDDEEVDFSVMDPMNKKSVSEDRRRPRKKNAKRNDFRQQELQFGQSRTPKNSASRDRRKVKDNKGSEQKGSYANQPVSFVSSGTIHSETVQVIAVDSEETDSANKKGATSSANVGSFEVHTTGFGSKMMAKMGYTEGGGLGKNGQGMAQPIEVIQRPKSLGLGVEFSSNVDDPTRDSIGTSKKHTKSSSRVGSSEKRTKGSSSIGSFEKHTKGSSTSGIGSFEKHTKGSSTSGIGSFEKHTKGFGSKMMAKMGFVEGSGLGRESQGITAPLGAVRLPKSRGLGAKG >RHN76182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48325508:48330786:1 gene:gene12468 transcript:rna12468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MRAPAILSQCLPGLVPRDHGSLSISSVPEKAIHLASPAVEILPSKAFHTDKEAGENSDHFKGIVSVADIIGFGGSETISSRLDGYPKSWSSSIDLVSVLKNEIRDGQLTFRGKRVLELSCNYGLPGIFACLKGASIVHFQDQNAETVRCTTVPNVLGNLKQARDRQSRQPESPLTPSRQTLAPSVNFYAGDWEELPAVLSTVKNDGYEATPGMSLSFSEEDFLDVGSSQDGSIIGHESSSRRSRKLSGSRAWERANEADQGDGGYDVILMTEIPYSVNSLKKLYALIKKCIRPPYGVVYLAPTKKHYVGFSNGVRQLRNVLDEEGIFGVHLVKDLADRDIWKLFHK >RHN66523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13326152:13326700:1 gene:gene14526 transcript:rna14526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soyasaponin III rhamnosyltransferase MDSIINHKNENENSKPLHVAMLPWLAMGHIYPYFELAKILAQKGHTVTLITSPKNIDQIPKTPKAIQPFINLVKLPLPHIEQLEGAESTQNVPLNKTGYLKLAYDGLQVDVTEILKTSKSDWVFYDCVADWLPSIAKSLNIPCAHYSIVPAWNICFFNPPKDQINIDRYSPPKWVPFETTIH >RHN66963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22251938:22252213:1 gene:gene15089 transcript:rna15089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MKNMESLEFSKNKFCGEIPQSMSLLTFLGYLNLSYNNFDRKIPIATQLQSFNASSYIGNPKLCGAPLNNCTKEEENPKTATHPQRLKMMTL >RHN80971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42351143:42353203:-1 gene:gene4958 transcript:rna4958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MYEEASQVANDAVGSIRIVASFCAENKVMELYRKKCEVPMKTGIRQGIISGSGFGVSFFLLFCVYALSFYAGARLVESGHTKFSDVFRVFFALTMATVGISQSSSFAPDSSKAKSATASIFRMIDKKSKIDPSDESGTTLDSVKGEIELRHLSFKYPSRPDIQIFQDLNLTIHSGKTVALVGESGSGKSTVIALLQRFYDPDSGEITLDGIEIRQLQLKWLRQQMGLVSQEPVLFNDTIRSNIAYGKGGNATEAEIIAAAELANADRFISGLQQGYDTIVGERGTQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSTVKNADVIAVVKNGVIVEKGRHETLINV >RHN46343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30894748:30895096:-1 gene:gene40824 transcript:rna40824 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFIVSDDLYVMPNVVTTSLSLLQKLGVNDIDAIDKQTININITKKEVLDLLKLSLVSKTPLSEFIFKKQHSVENLVPNN >RHN76160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48116131:48121033:-1 gene:gene12445 transcript:rna12445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MDSSIIMANTIQDPNNEMEFESHEAAYSFYKEYAKSAGFGTAKLSSRRSRASKEFIDAKFSCIRYGNKQQSDDAINPRPSPKIGCKASMHVKRRQDGKWYVYSFVKQHNHELLPAQAHFFRSHRNNDPLSNDVRMRRRKNSTAGGGKLFSAYQNIDCLENLMNRQQDKGRSLVLEPGNAQLLLELFMHMQEENPKFFYAIDLNEEHRLRNVFWVDSKGLEDFGYFSDVVSFDTAYFTSKYKIPLVLFVGVNHHVQPTLLGCALIADETVFTFAWLLQTWFVAMGERAPQVILTDQNDAIKAAVAAVFPGTRHCFCLWHVLEKIPKQLEFLSTWHDSFMEKFNKCIYQSWTEEQFEKRWWKLVDRFKLRDVKWVQTLYDDRACWVPTFMKDISLAGLSTGSRFESLNSIFDKYIQVDTSLRQFIDQYQLILEDRDEEEAKANFDAWHETPELKSPSPFEKQLLLVYTHEIYQKFQFEVLGASACHLKKENDGVITTYDVKDFENNQNYMVEWNTSNSDICCSCHLFEYKGYLCRHAIVVLQMSGVFSIPPKYILKRWTNVALSRYPIGENLEEVQSKVRRLNDLCRRAIILGEEGSLSQESYYMALGSLSEALKQCANLNNSVDNSALATHISCNGEEGYQSITPSNDKVSDPKLNTSKKAARTGVAGRSPGGVEGKGKVPQLGAVSGSNDGFQQLEHTDIRSHNVMPMQFNSMVPAAMFNNVSSPFHTATSTHLHDNHLPP >RHN81837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49247590:49250100:1 gene:gene5929 transcript:rna5929 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLPVSPQNSASSIWCSPSLEVIAMKWTPILIMVITSIALLGAGLVLTDDYIISG >RHN39869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11228396:11229375:1 gene:gene45947 transcript:rna45947 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKNGSFPIETFQAHVCHLNQSPWDVIHQFEDGLKNGDSFVALGESVASMMETEAEGVVDIDNRPAIENFDMMVLDDNQKKKVVNNNSGGNKGLKRNLNGGDIEAVPQRGRGRPKKASGSGSSLNNNNNSNEFFGPLWGKQRKGGKYEDEDNKGAITLATSGDDKVNVGVDVNVAPCYSEGLDYVENYEDDDYNGKRRVRNQ >RHN53379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1304563:1308927:1 gene:gene28280 transcript:rna28280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ditrans,polycis-polyprenyl diphosphate synthase ((2E,6E)-farnesyl diphosphate specific) MNGVLKKSKEAIFHKMKNAKSIEEVNEAVTDHVPYHMTLEFVSYVDGKEAVAKAANLIFVENLKRHNLGGELDYQALLEPHLNQALQIVGCKGPEPDLLLVYGPVRSHLGFPAWRIQYTEIVHMGSLNFMRHGSLIKAIYNFTKVHQNYGT >RHN63499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51792325:51794280:-1 gene:gene26201 transcript:rna26201 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wall-associated receptor kinase, galacturonan-binding domain-containing protein MSKFFTTFFHHLIIIFSIAYLIIPSSCSNNTCRSYCGNITIDYPFALQNGCGHPGFRDLLFCINNVLMFHVTSGSYRVLQIDYAYQALTLHEPHMSTCETLVLGNKGNGFAVEPWRSPYMNPTPDNVFMLISCSPRSPLFQGFPDKHLPCRNVSGMGCEEYYGCPAWNMMGHKRTGSSSFFGSGPPECCAVSYEAIKGINLSKLECEGYSSAYSVAPLKVDGPSDWAYGIRVRYSVQGSDEFCGACQATGGSCGYGSDGIRQVCMCGNFNSTSNCDSAVALLSSGARRPMRLKMFAGLLAYMLAWMITSWI >RHN73721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19307073:19308357:1 gene:gene9575 transcript:rna9575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MAYNNTMNILILFWVTFVYSFFGVSNSNHLPYDAIFNFGDSISDTGNQASFYTVPGNSSYGSTYFKQPSGRFSDGRLIIDFIAEAYGLPFLPAYKTLTKGQDVTKGVNFAFAGSTALNYNNYLNKSRIHVPASNYSLGVQLKMFKEFRNSTCKSKKEIGGNDLSSHISQNFSNFRNVVPLVVAAITKATTTLIKEGAVEIVVPGNIPIGCGASLLALATGYGNKTENYDEFGCFKAFNTMAEYFNDKLIYSINTLRENYPNVKIIYFDYYNAAKRLYEAPEQYSG >RHN55554.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19476696:19480022:1 gene:gene30755 transcript:rna30755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative X8 domain-containing protein MALLAYFVLFLSLTGYSSGALYCVCKDGVGDQNLQKAIDYACGAGADCTQIQQNGPCFQPNTIKDHCNYAVNSYFQKKGQAQGACDFAGMATPSQTPPTSSTSSCAYPSSGNTGGGTTTTPATNTPVGISPPSTVTPTTPTGTTPSTGTGTPTGTGTGTGTGTGTGTPTGTGTGTGMGTPTGTGTGTGIGTGTGTGTGTGTTTGGPNVFGISPTTSSSGNSGFSDPNGEGVQLKKCTYVLLTSLVFTIWLAAAIRD >RHN67590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28432780:28433412:-1 gene:gene15793 transcript:rna15793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MTITMIMNYLTIFYTHLKWVLDILTYYPFYKLHDSNFPIIGEMYDIYNYEHTNEDVECVVCLCKIEEGDEISVLRCDHMYHKHCLDKWLSFKNHTCPLCRESLRPERAITEHGVEVLSFDFCAIRSDRDRDDWWLR >RHN62145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41125065:41126030:-1 gene:gene24689 transcript:rna24689 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFNSTTSTLFFLSITLLCFPATTKSDTPCPYPCYPPPTGSGTVTPTNPTPSVSTAPPAPPQSGLPYPPPSGNNNYPYNPTPPYGNGGGDDGNNNNNNNGGGVYGAPPPPDPILPYYPYYYRLPPNKPDDSSTSSITVEKKFIRMIATTIMLSLFLVFGFV >RHN62582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44494609:44495893:1 gene:gene25174 transcript:rna25174 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNYGFLVCIVVILMDVVAGILGIQAEIAQNKVKDLKIWVLECRDPSYQAFKLGLSASILLVLAHAIAHVLGGCICIKSKEEYKRATSNRQLAMAFLIFSWIVLGVAFSMLIIGTLANSKSRESCGISNHRFLSIGGILCFIHGLFTVAYYVSATATRREEYK >RHN59182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8241652:8242974:-1 gene:gene21177 transcript:rna21177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAEGLLFNMIDKLIGKLGSTVVEGWNMRDDLQKLVENMSEIKAVVLDAEEQQGTNNHQVQLWLENLKDALDDADDVLDDFNTEDLRRQVMTSNKKAKKFCIFFSSSNQLLFSYKMVQKIKELSKRIEALNIDKRSFNFTNRTPEQRVLRQRETHSFIREEEVIGRDKEKKELIELLFNTGNNLKENVSVISIIGIGGLGKTALAQLVYGDKEVQQHFELKKWVCVSDDFDVKGIASKIIESKTSVEIDKVQSILREKVEGIRYLLVLDDNWNEDRDLWLQLMTLLKDGTEGSKIIITARSEKVAKASGPSSIFNLKGLDEKESWRLYSQLTFENFRELENEELVSIGKEIVKKCSGVPLAIRSIGSLMYSMPKKDWSTFKNKDLMKIDEQGDNKILQLIKLSYDHLPFHLKKCFAFCSLFPKDYLIPKQH >RHN71276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57844341:57845462:-1 gene:gene19935 transcript:rna19935 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYLYFFITYLLTGSTLLLVKTFIYLFIFFRFFMSATDPPPSISSIFLFSLPHTFYLPSFSFWHLRSHTFINHSFQRITSLSKKKKNHFSHSKTIHLSLEFRLPQGLFTGLETRSESFSTSF >RHN67975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31894091:31896785:-1 gene:gene16245 transcript:rna16245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative magnesium-protoporphyrin IX monomethyl ester (oxidative) cyclase MLGMGTLTLSSPTTMVAQTTLTSSKKLILSNRTHSLPMSLVSPTNQNFKKHKPFITAVSSVQSDNVGSSDAPATKSEFTKYYFIVANAKFMLDDEEHFQEQLFERQRYYGERNKEQDYWLVIEPKFLDSFPDFTKKLRRPAVALVSTNGPWITFMKLRLDRVLSGSFEADSLEEALASNPTKLEFEKPAKWVAPYPKYESGWWETFLPSGQKEVNS >RHN41316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29064446:29068081:-1 gene:gene47624 transcript:rna47624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MAIPKLVPGFRFSPTGVELIKYFLKRKVMGKKFLNDVIAELDIYKYAPWDLPDLSYLQNGDLEWYFFCPIEKKYGNGSRMNRATEIGFWKATGKDRAVQQNNQTVGMIKTLIFHTGKAPRGDRTDWVMHEYRLEDKDLADKGIVQDSYVICKVFQKEGPGPRNGAQYGRPFNEEDWSDDEVGTPFAEPAALAPTLPVTSNSFVLNDQNLHAIGYNGSISMPCQSGLVPSPDPANSCQTGLPPSPDPANSSQIGLTPSPDPVNSCQTGFMSSPDPSNACHIGFMSSPDPSNSCQTGYMPYPDSANECQIGFMSPDPANSHQTGLVLSPPDPVNSHLTGLVPSPDPVDNSYLENQAVNTDNILSMLDMFNDNDILPEENIAEGALSTDFFDGLGDLDCLGPVGQNADFSTYGMASTGDDYLDFMELIDLDSEMFWQSAAEPKLDPK >RHN82073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51085915:51092191:-1 gene:gene6192 transcript:rna6192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MQDFFGSIRRSIVFSTPPSADDARFSGFANKIGSAIRKSRIGSLKSPPPPPPIRWRKGELIGSGAFGRVYMGMNLDSGELIAVKQVLIEPGIAFKENTKANIRELEEEVKLLKNLKHPNIVRYLGTAREEDSLNILLEFVPGGSISSLLGKFGSFPESVIRTYTKQLLDGLEYLHNNRIIHRDIKGANILVDNKGCIKLADFGASRKVVELATINGAKSMKGTPHWMSPEVILQTGYTTSADIWSVACTVIEMATGKPPWSQQYPQEVSALFYIGTTRDHPPIPEHLSTEAKDFLLKCFHKEPNLRPSASDLLLHPFITCEYRESRSISRSSIRDSCNQMVPNGLNTRNFLDSIQGSTSTGLKDVCQTDSLRFSTVYHSNSNSNLLKAGSYQGADNDDDDMCLIDDKDDFLVDSSVKSKSLLAADDIKSFNPMSEPLEDDWPCKFDETLNLKKSRLNLSPDQTTMKTMSPAPSPNAENEFSFSSEPLAVEDDDEVTESKIRAFLDDKAFELEKLQTPLYEEFFGKSNATIPPAPFAVAQSKVISDASNVTSKSRSPSQTRRRFSMASIANVTSPESHRKYLTKLSGTTSHCRPLREVQPSKLNESKETLHDAELGSSVNSSFSEIQRKWKEELTEELERTRGDASNRWREDNVPKGSQFS >RHN55632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20279277:20280179:1 gene:gene30840 transcript:rna30840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain, rmlC-like jelly roll MKIIYFLVSILALASSLAFAYDPSPLQDFCVAIKDPKDGVFVNGKFCKDPALVKAEDFFKHVEAGNASNALGSQVTPVTVDQLFGLNTLGISLARVDFAPKGLNPPHIHPRGTEILIVLEGTLYVGFVTSNQDNNRLFTKVLNKGDVFVFPIGLIHFQLNVGYGNAVAIAGLSSQNPGVITVANALFKSDPLISDEVLTKAFQVDKSIIDYLQKQSWYDNN >RHN73895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21267617:21274266:-1 gene:gene9786 transcript:rna9786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MACVKLGSKADAFQRQGQAWFCTTGLPSDIVVEVGEMSFHLHKFPLLSRSGVLERSIAKASESEEECAIHLHDIPGGAKTFELVAKFCYGVKLELTASNVVCLWCAAEHLEMTEEYGEGNLISQAETFFNQVVLRSWKDSMRALQTCDDVLDQAEELHIVKRCIESLAAKASTDPNLFGWPVLERGGPLQSPGGSVLWNGISTGARPKNTSLDWWYEDVANLSLPIYKRLIAVMESRGIRQEIIAGSLAFYAKTYLPGLNRRKVSGESSTRLTQAALLSPPSEEDQKILLEEIDQLLPMQKGLVQTKFLFGLLRTAMILRVNPSCISSLEKRIGMQLDQAALEDLLMPNFSYSMETLYNVDCVQRILDHFLAMDQITGGASPCSIDEDGQLIGSPSLTPITMVAKLIDGYLAEVAPDVNLKLPKFQALAAAVPEYARPLDDGLYRAIDIYLKSHPWLVESEREQLCRLMDCQKLSLEACTHAAQNERLPIRIIVQVLFFEQLQLRTSIAGCFLVSDNLDGSRNLRSGLVGSNEGGWASAVKENQVLKVGMDNMRMRVSELEKECSNMRQEIEKLGGKAKGSSTSAWGVVSKKLGFKIKSQMCSAQEGSVSKQNNGHHNVEKLKDRNVKHNRSSSISDKGSVSSIIPS >RHN44056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1342449:1342690:-1 gene:gene38106 transcript:rna38106 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQDQTVNISNLVFNSFKKKIKIYLLSVPFDLDPTITDVIIALTCEFMSEVNSTP >RHN61679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37452677:37459635:1 gene:gene24167 transcript:rna24167 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MEIEQDNAKDNSKGVSNPIEMMRGSKRGMHLVWEDLSVVIPNFGNGHTRRLLNGLNGYVEPNRIMAIMGPSGSGKSTLLDALAGRLSGNVIMSGNVLLNGKKRRLDYGVVAYVTQEDILLGTLTVRETISYSANLRLPATMTKEEVNDIVEGTIMEMGLQDCADRLIGNWHLRGISGGEKKRTSIALEILTRPCLLFLDEPTSGLDSASAYFVAQTLRNIAHDGKTVISSIHQPSSEVFALFDDLFLLSGGQTIYFGPAENAVEFFGKAGFPCPSRRNPSDHFLRCINSDFDTVTTTMMSSGRTHEPKTLAPPTMNLSTAEIKAILIEKYRWSEYATCARARIKQISNFEGHNYESKSKSQAKWLKQLSTLTHRSFVNMSRDVGYYWIRLTIYVALSLCVGTIFYDIGSSYTAILARGACGAFISGFMTFMSIGGFPSFIEEMKVFYKERLNGYYGIAVYILSNFLSSFPFIAVMSFATGSITYYMVKFRSEFSHLLYICLDLLGCIAVVESSMMIIAALVPNFLMGLIIGAGYIGLMMMTAGYFRQIRDLPKFFWRYPISYINYGAWGLQGAFKNDMIGMEFDPENPGEPKLKGEIILKTLLGMKVDYSKWWDLAVVIFILMLHRVIFFFILKFKERVVPFLHSIYTKQTLRRIKKRASFRKTPSFASKRHQPLHPLSSQEGLNSPFH >RHN43414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45653138:45655698:-1 gene:gene49985 transcript:rna49985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubby-like domain-containing protein MRVFPRFKFLSRAVVHEENEGEHNLERKRYPIIVTEGNLCTSSSLTVWKKSLVINCKGFTVIDSCGNLVYRVDNYSLHPHEVVLMDASGNSLLSMRRQRKLGLGDSWFVYEGETGNHSTRKTCKLERSRKSPVCCVRKSVNILNGNTNVQAYVHRVASDSDKRQAAFTVEGSYARRTCKVLDENKRAVAELKRKETNTKDVSFGIEVFQLVVHPGFDPGFAMALVLVLDQMFT >RHN63776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53962735:53965333:-1 gene:gene26521 transcript:rna26521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 50S ribosomal protein L30e MGSDSEGEKSVQRKKMQALAPIAKPLAGKKLSKKTLKLVKRAAENKCIKRGVKEVVKSIRRGQKGVCIIAGNISPIDVITHVPILCEDKDIPYVYVSSKEDLATAGATKRPTCCVLVMTKPSKGELSQEVQEKLKSEYDQVASECRELQSTLF >RHN66529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:13402593:13405356:1 gene:gene14533 transcript:rna14533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein P4 MATVTTQASAAIFRPCGLKSRFLTGSSGKLNREVAIRPMGCSPSASFKVEAKKGEWLPGLASPGYLTGSLPGDNGFDPLGLAEDPENLKWFVQAELVNGRWAMLGVAGMLLPEVFTNIGIINVPKWYDAGKEEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKQYSLPKGEVGYPGGIFNPLNFAPTLEAKEKEIANGRLAMLAFLGFIIQHNVTGKGPFDNLLQHLSDPWHNTIVQTLSGSN >RHN56149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28182637:28187156:1 gene:gene31506 transcript:rna31506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase Do MNPERKFKQSFKRKNPWVRECLWDHGEFNPEYYTPDVMHYIRNLSQKLPKFKADVHNAYLDVNTKKAALKASSSVVALLSYTTGDQEFNQCSGVIIENDANNAHIVLTSANLIRRPTKDNLSDEEDVMEDNLSDEQDFMEDSLADNLKVKIFLYDGRSYEGQVRAYDFHFNIAWIQFQSDRSLPTAILRQVDDYINVNPAVAKLFRHHRRHSSRFNLVPGHPIVAVGRYFAKPFDLMAAPGQFTLGRCDFDCKELFMGTCKTTSSGEGGALINLSGEVIGIIFYYEFGFTTPFLPINIAHKCWEHYKRYGGLRQPSLGVVATSFYAADVFLMEKVIQKFLNLCGGVLVEKVIKGSCADSAGLHLNDVIVQCCGETVHSFLQFLEIVWDKKVGDVLQLSVARASQSQNDPVHVNMVVDEVAVENFNRWPKKY >RHN42401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38055682:38060472:-1 gene:gene48838 transcript:rna48838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (S)-2-hydroxy-acid oxidase MVITNVSEYEAIAKQKVPKMIFDHYASGAEDEWTLKENRNAFSRILFRPRILIDVSKIDLTTTVFGSKISMPIMVAPTGQHQMAHLEGECATARAASAAGTIMTLATGATFSVEEVASTGPGIRFLQLYMFKDRNVATQLVRRAENAGFKAIVLTADSAVFGRREANIKNRFTFPPYVRLKNYEGMGLDRNKDSSPASVINGIYDRSLNWKDVKWLQTITSLPIILKGVLTAEDTRLAIQAGAAGVIVSNHGARQLDYVSATIMALEEVVQAAEGKIPVFMDGGVRRGTDVFKALALGAAGVFIGRPVVYALAADGEAGVRKVFEMLHDELEITMALCGCRSLKDITRDHVVTEWDRPRIAPRL >RHN70801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54093225:54096801:-1 gene:gene19418 transcript:rna19418 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSRIDNEESVSRCKDRKNLMREAVAARNAFAAGHSGYAMALKNTGAALSDYAHGETHLDDIELHPPPLHSDPPPPPPLEESLPPPPPLPPNFSPASLKRSVTLPPVSLQLQHRKIGGGISGGGDIVEEVEKGKGGDGDGLVHAPHAPHAPPSPSSGSKVMPPPPLPDSKNMAWDYFFMDMDNMGRGSLNEEDEIENEEGEIEGENVDVNGGHVEDEIEPKTPEKVEVDVYNKEHGHEHEHEDDVIREATHIEHSKTAPAEFRRAIKVVPSVTLMQILSSLDDHFLKASETAQDVSKMLEATRLHYHSNFADGRGHIDHSARVMQVITWNRSFKGVSNGEGAKDDFDSEDKETHATVLDKLLAWEKKLYEEVKQGELMKFEYQRKVAILNKQKKRGASVESLGKTKAAVSHLHTRYIVDMQSMDSTVSEVDHIRDSQLYPKLVALVIGMANMWENMFMHHDSQLKIVTDLKSLDISQDHKETTKHHYDRTVQLWNVIQEWESQFEKLVTQQKHYIQALFSWLKLNLIPIESNLKEKISSPPRAQNPPIQALLYAWHDYLDKLPDELAKSAITSFAAVIKTIILQQEEEMKLKEKCEETRKEFLRKNQAFEEWYQKHLQRRGPDDPERDDVNTNNPVSEKQFVVESLKKRLEEETESHKKLCVQVREKSLQSLKTRLPELFRALSDYTQACSDAYEKLKSIAHSQGGGAA >RHN62400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43152537:43153944:1 gene:gene24972 transcript:rna24972 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKKSPTTPKKLQENNAIKEEPKPSSAPKKTVSEIDEIFAGKKRKKSDVKKTGKAREATKSNDKTNKKKPKRLDESEFVDRPSRSRKKTEDGLTIYTEEELGLSKGDAGNTPLCPFDCSCCF >RHN74942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38005579:38007485:-1 gene:gene11078 transcript:rna11078 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GRAM domain-containing protein MNYNHREIPVTEGTITSTGMHNNINNPYVQLSPANPSSAAANRPNPMDKVSGAINNCSRKVGEATRHAENMVDSIWNHVRMSSSPADAAMARLVQGTKVIANGGSEKLFQQTFGVFSGEKLLKQYVCYISTTASGPVIGTLYITTKRLAFCSDYPMCHHPFSLQHQCLYYKVVIQLGQLGTVTPATNRFNSREKYIEIFTVDGYEFLFMGFVSYDRALKTLNEVLHQYGNHSSGNVNGHLL >RHN51373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16897604:16902048:-1 gene:gene35801 transcript:rna35801 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MPTTLFYKNPQKSHLKHKSNKFQEKLLKISFNKMSSEVVKKEDSLNVKITSKSYVKPEEKIGKKEYQLVTFDLPYLAFYYNQKLIFYKGGNFEENVEKLKNGLSVVLKEFHQLAGKLSKDEEGVFFVEYDDDLVGVEVVEAVADEIGVEDLTVAESSAILKELIPYSGILNLEGMHRPLLAVQLTKLKDGLAMGLAFNHAVLDGTSTWHFMTSWAEICSGGPITTAQPFLERTKARNTRVKLDLSLPEPKGPPPSANGEVKVEHKGPAPSANGEAKVEPEGPPPSVNGEKRPILREKIFKFSESAIDKIKSTVNQTLPSDGSKPFSTFQALSTHIWRHVSLARDLKPEDYTVFTVFADCRKRVNPPMPEAYFGNLIQAIFTVTAAGLLAAHPPQFGASLIQKVIEGHDAKAIDERNKEWESAPKIFQFKDAGINCVAVGSSPRFKVYDIDFGFGKPEIVRSGTNNKFDGMIYLYPGKSGGRSIDVELTLEPEAMGRLEQDKEFLMEV >RHN39964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11925824:11928374:1 gene:gene46049 transcript:rna46049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MATLINQPTKLRWGELEEDDDGNDLDFLLPPRQVIGPDENGIKKVIEYKFDEDNNRVKITTVTRTRKLASARVSKRAVERREWSKFGDAVNEDVGSRLTAVSTEEIVLERPKPIGSTTIETKPGDPDAVLMVCRNCGKKGDHWTARCPIRNLSQPADEFVDKPPAAAPVSTNNAYVPPSLRAGADRTGGSDMRRRNDENSVRVTNLSEDTREPDLHELFGTFGSVSRVYVAIDKNTGTSRGFGFVNFVNREDAQRAINKLNGYGYDNLILKVEWATPRTT >RHN54847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12852857:12857425:-1 gene:gene29940 transcript:rna29940 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPEGNTNGDEDPETSTVVEKAKECENEDWPPEDEDEVEKERSEERKRAEDWKKTVGGSRDFYYFMLRASMIRAEIRAEGLLGCCLRRNLLCLEWSETYTALMVDYFNFIFPRISVQIAAIEESLIFFYGKKESPNKSVAQIDKDILEANLHCLERLMMLLGGKTLRDVINRMKVVFQNNDIKLLRDATQQARNVSDKLFKLLQAYFKLGVNLGLPEAKSIVVFSVSVERFKNSADMTAMKAYEVLQKFDKMASGGIETSSNRETLTLLDRLFKNKPPSDPVSDEVTRACDFIDGCTEEQISAFYKKEWESKAGFGAWFAKKELGG >RHN76502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50813662:50816463:-1 gene:gene12841 transcript:rna12841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glyoxalase/Bleomycin resistance protein/Dihydroxybiphenyl dioxygenase MKEIVGNPLRLKSVNHISLICKSVNESVSFYEKVLGFISIVRPGSFDFEGAWLFGYGIGIHLLQAEDPENIPRKNEINPKDNHISFQCDESMDTVEKYLNDKKIGCKRAMVEENGIQVDQLFFHDPDGFMIEICNCDSLPVIPLAGEMVRSCSRLNLEIMPQQIHQVVKQI >RHN45537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22596727:22598570:1 gene:gene39901 transcript:rna39901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MAKTIHIAVIPSPGFSHLVPIVEFSKRLVTNHPNFHVTCIIPSLGSPPDSSKSYLETIPPNINSIFLPPINKQDLPQGVYPAILIQQTVTLSLPSIHQALKSLNSKAPLVAIIADIFAQETLDFAKEFNSLFYLYFPSSAFVLSLVLHIPNLDEEVSCEYKDLKEPIKLQGCLPINGIDLPTPTKDRSNEAYKMLLQRAKNMHLVDGILFNSFLELESSATKALEQKGYGKIGFFPVGPITQIGSSNNDVVGDEHECLKWLKNQPQNSVLYVSFGSGGTLSQTQINELAFGLELSGQRFIWVVRAPSDSVSAAYLESTNEDPLKFLPIGFLERTKEKGFILASWAPQVEILKHSSVGGFLSHCGWNSVLESMQEGVPIVAWPLFAEQAMNAVLLSDGLKVAIRLKFEDDEIVEKDEIANVIKCLMEGEEGKRMRERMKSLKDYAANALKDGGSSIQTLSHLASQWENFGGT >RHN81989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50376151:50380215:-1 gene:gene6101 transcript:rna6101 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGGINSFVFHPSPRMIPHVFKPEVQYVELSIEKFIPRQNNVKEDHLQISASKVKLTLAEIDLLEKYFHSTGENRCRLSLIAFPHFQTFPSRVHKVKHSCGTLMLIDMMQRLQGLAAYPGDSKNSPLQEGQCLENSKPLDSTELDEAKNDNELFSRDTMGRNADSVNILELDSCDMDKHQDETCDVDEIIPETPDGKQNFSNEGANAIETANPIEKPTSSLAVDACDNSMRDEELSPRLTNLIRSGVVPESPIDERGQSGNRFLIRGCISPAHLQEEQDVDSLNCRKTKKATVDSGTGKNVCTSPVNETETPLLELKNCAITRGRVFVTQLEEGHKHIVDPSFSEESHPSCGEMSVSIKPARKFKRLRKIEDTESNMNHKNNNVFDSSSNFSKPSSASNPTRYKRGQGIRKQANVRDFIEEEAEVSSDASVSNDEDVEDDNSVDSFIDDRINATAASQPEASRMDMMAIYRRSLLSQTPISGGLKFSTTFSPDNVTMTASIGESGNSSGKTVSCFQTGQTNHSAIRTSESVRMDQMTSEAVPSTSFPTETDIRSRKRRLTYHHSVHFPSKNLEQDFALQSKKESVNTNATIDGLCDDQFYNDIDLDELEAQATSILKRKLDPSIQKQDTNPQSHEPNLDVIMSPSFDLGI >RHN50093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3998576:3999638:1 gene:gene34346 transcript:rna34346 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTPPFHQTNPPNHQSNSIHHRENPIELRTQTTTVLPFPPFSIITQSQTTTVKPKVRPQTTVKHHLCTIVVSLHRCLATSSTSVSNSRSKSYRTIAESP >RHN47970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43917401:43921818:1 gene:gene42631 transcript:rna42631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MMVKGIQVQLCEFVTQRWTLKPRTSISISSLCPISHPYLSLKLLPTHTTPRTTRFSPFRASSSLQSSGNVLSIKFCQWCGGSTKHDIPEGEEKLRAICTLCGRIAYQNPKMVVGCLIEHDSKVLLCKRNIQPSYGLWTLPAGYLEIGESAMEGAVRETREEANADVEVISPFAQLDIPLIGQTYMIFLAKLKKPHFSPGPESSECQLFSLDDIPFNSLSFSSMVVTLSLYIEDMKAGKPKFHYGTINKRPGTSPSDSHAYTLDHHMHT >RHN47300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38609220:38609692:1 gene:gene41879 transcript:rna41879 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLNNFTTTGYLINYHHCLCNSLFLFEFFYNRQSNVFFYQDFSDSDPFILGIQTEWQLQQMIKFGNHGLLASDSRFGTNKLKYPVHSLLVFNSDKKAILVAWIITPKILLGS >RHN76273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49068104:49069853:-1 gene:gene12569 transcript:rna12569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MADRVYPAAKPITNGNGNGNGVSAANPSFPATKAQLYGATRPTYRPQPHHRRTRRRCCCTFFFWFFLILLILLLLLGVTGTAFYLIYRPHRPSFTVTSLKLSYLNLTSSSTLNSKFNVNITAKNPNKHITFVYQPTTITILSNNINIGEGTIPSFKHGKKNTTLLKSSISSTGLALESEASTELKKNMKSKNGLALKVKLDTKVKAKMGKMKTPNVGIRVTCDGVRVHVPVVGSKKPVTASTSNVKCDVDVRFKIWKWTV >RHN54680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11268592:11271330:1 gene:gene29754 transcript:rna29754 gene_biotype:protein_coding transcript_biotype:protein_coding MSATKPLCLFCNSSNFVGDHRAGVHLCRAGKVVDGHLLHFSPSC >RHN81480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46295947:46297359:1 gene:gene5529 transcript:rna5529 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSKMKWQIRNVYLEWCRFCIRCRNDSNYTFSESTRSHEQNSFLCHVVGVNLVIRGRLKVRNYISIFQHILDFSILFQFVIFRPYMYVISSSV >RHN50706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9661563:9662782:1 gene:gene35028 transcript:rna35028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAAVDWTKLPKDLLNLISQRINDEVDLIRFQSVCSTWRSSSVPNHHPISPFKFPLLKFPFLSDPNDIDTINSNNNTLFCYLTKQNLYLIQPPQQQQEEQRLLRPWLVGVGQNAHGQPKYFHPVNQDCSFVVHSVIDHNTLSVLHMGSTYFIMDIDVKINRQLIISQEYMYPKKVVAVTCHGKTPLVVGMLAFPPYPLLLKCGDEDWKVIPDMSMKFEDICVFKGRPYAIDEIGKTIMIGPDSSVHLVAEPLVGGGNIKFLVESEGDLLLSEAYDCLSIDLNDPVRIDLFKLNEKEKKWVKLTSLGDRVLFLGLGLVYSFSVSASDLCVSKGNCIIFESMSSERELYVLDLDDGQLSLFYDNPEYSNLFWLPRTWIRARYQM >RHN51170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14736850:14740290:-1 gene:gene35556 transcript:rna35556 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPNRASFEDKMLLTMFDACDAAKDATQDDIDDRLAFLDAVRASSIGLEGGKPPSLKICGVVFHMLRTVKSLQLIMACHKLLVDLEKHFPRAYLSDEDDSLSSSNAPPKLVVAEEAWSPLILGLDNAAVVSGASEKQSGGSLDPSLLQSLLLFQYLVIVLEGDFLPRNATMNWSLQRKSWLNMLLGSRKINYKSLMKNCIEVICLLCQPFENDNFHKSNTALFLALAEVLKNTRVSIDKFLVMILALDVSKKKADIEGNTSRSDGSRTPLVDIILDELAYNKDNIPHFFKPAARTRRSSGVTEDTTFDGALKCFSNKTRTKSIIKKIGADVVQLLLAHGFQAQLSILSNSALVDLCREFISTFESLRSTDGNMEILSIGKEALFTAACVISMKS >RHN68927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39674122:39680209:-1 gene:gene17348 transcript:rna17348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein phosphatase 2A, regulatory B subunit, B56 MIKQILGKIPRKSSKSSSHSDFNGDGGLVNGGFGSSLNSSSDDTLKSNSASSKSSSSGNGSSASTNSNKTNQAKKSAPAIGSQVGLIMANGNGGYEALPSFRDVSSSEKPNLFIRKLNMCCIVFDFSDPSKHVKEKDIKRQTLLELVDYISSVNSKFNEAAMQEITKMVAANLFRTLPCSNHDGKVAEAYDPEEEEPAMEPAWNHLQVVYEVLYRFVASPETDAKLAKRYIDHSFVLRLLDLFDSEDQREREYLKTILHRIYGKFMVHRPFIRKTINNIFYQFIFETEKHNGIAEMLEILGSIINGFALPLKEEHKLFLTRALIPLHKPKCVSMYHQQLSYCVTQFVEKDVKLADTVIKGLLKYWPVTNSAKEVMFLGELEEVLEATQAAEFQKCAVGLFRQIARCLTSLHFQVAERALFLWNNDHIRNLIIQNCKVILPIIFPALEKNARGHWNQAVQSLTLNVRKIFSDADHSLFDECMIKFQEDEIKEREKQEKRESMWKKLEDVAVASTSISNEAVLASRFASSLAVAAVQ >RHN66717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17339277:17346062:1 gene:gene14777 transcript:rna14777 gene_biotype:protein_coding transcript_biotype:protein_coding MVVENTRIIMLDLEYMAEYVSSVYIRNCSCKRMRACLLGGNGSIEEENHHVGFGKDGRKCHLFV >RHN66447.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12437829:12439213:1 gene:gene14437 transcript:rna14437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKMPSRNNNIDENKDRLSDLCDYLLIHILSFLRSKIAVQTCILSTRWRNLWKQLPFLSLYSSNTLPRLSEFASQFLSLRDGSTSLFVLKFYNFCNEGFMEPHILDKILQYAISHNIQHLHIFLKCDIQHFPSCLFSCDTLTSLRFNVTPIRYDKPKILFPNSLNLPSLTSLSLGFIAFRGGPDLFLAYPRLKRLEIAHFEILGEENLCISSTTLVGLAIEFSFEPKNNRKIELFTPNLCAFTFMGTPFQILFGNPLSSVKHIEIHADIRWSYAEAPSILLRWFLEFVDIESLIVSSNTLQVLSLVPNLLRVKLSSLRNLKSLKVKRDELSHRLSKILIDANLAQLPPRSENEAARLQEVFKVGSSSIPDEMINFLLQNSTSKEFHIIN >RHN72517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8328794:8335135:-1 gene:gene8242 transcript:rna8242 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lactoylglutathione lyase MAEAAQPNAELLEWAKKDKRRFLHAVYRVGDLDRTIKFYTEAFGMKLLRKRDVPEEKYANAFLGFGPETSNFVVELTYNYGVTSYDIGTGFGHFAIATPDVYKFVENARAKGGKVTREPGPVSGGTSVIAFVADPDGYLFEILQRASTPEPLCQVMLRVGDLERSIKFYEKALGLKLARTIDRPQYKYTLAMLGYAEEHETIVLELTYNYGVTEYTKGNAYAQVAVGTDDVYKSAELVNLATQEFGGKITRQPGPIPGLNTKITSFLDPDGWKTVLVDNQDFLKELE >RHN70333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50702930:50705760:-1 gene:gene18903 transcript:rna18903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin METRASKKRANTIQQPPQVMVPKRQRVVLGEFSDLNLPDFDYQPTQKLQCRKNPNLKNSATTIPSLDSNLNKPVHTKINAKRDNQHIIQPYVSDISDYLRTMEMQKKRRPMVGYLENVQRGISSNMRGTLVDWLVEVADEYKLLPETLHLSVSYIDRFLSIEPVSRSKLQLLGVSSMLIASKYEEITPPKAVDFCQITDNTYDLEEVLKMEADILKSLNFEMGNPNVITFLKRFIGIACENRKNSNLQFEFLCSYLADLSLLDYECTRFLPSVVAASVIFLARFIIRPGAHPWTPSLCECLGYTSANLEDCVIILHDLYMSRKAGSFKAVRDKYKQNKLKCVANLPSSPEIPNHYFEKELCSTINFVNEVHC >RHN45325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16659946:16660187:-1 gene:gene39597 transcript:rna39597 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMEPRRGLRRLRGFSGLCSARRIRKCGLVLISWPRKLMTGGLLFCLPLGRRELL >RHN53694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3408962:3410577:1 gene:gene28627 transcript:rna28627 gene_biotype:protein_coding transcript_biotype:protein_coding MHKMEEGWVGVLNVRQQHVCQLRTLFHLEQISVWTNFTKLSHIMRIKNKIDDDEGLSFCFNSLSDATDVVNLIVDILPSKVRLNMNMIPPEERCIFSVEIVPICCGDLIILPSHIAASFGPNIGPIVICTRVAKIFTLLDPFTLTHCFLKAGQYWDAPFTPSFSRPQLVEYVVLGINLKEELEELVEENKEKKKLIIELYAADAVAAAAAVVAAEAAAAKKYRLADAMVARVKDIGNNNHTTFQIKTHLGRILKPGDHALGYDLSSGGVDTNLPAAILITKISYAEEENGRVVAVQDKWESDYQLFLKDLQQDTKLLFDRRAIYRNRTNCHPFDTTIKSPFRRPFYPLEDLLDG >RHN44253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2930378:2934337:-1 gene:gene38349 transcript:rna38349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MSEKVLNNSPLNVPLLSEWKLGHSIDEEKVIVSHPSNKNTVSFFHTCVNGLNAISGVGILSVPYALASGGWLSLALLFCIAAAAFYSGILMKRCMEKNSNIKTYPDIGELAFGKIGRLIVSISMYTELYLVSIGFLILEGDNLSNLFPIEEFQVFGLSIGAKKFFVILVAVIILPTIWLDNLSLLSYVSASGVFASGVIILSISWTAAFDGIGVHQKGDIVNWSGIPTAVSLYAFCYCAHPVFPTLYNSMRNKHQFSNVLIVCFILTTAGYASMAIIGYLMFGSKVDSQITLNLPLNKISSRIAIYTTLVNPISKFALMATPITNALKDLLPRTYKNNRVTNMFVSTVLVISTVIVALVVPFFGSLMSLVGAFLSVTASILLPCLCYLRISGSYMKFEFETVTIVVIIFVAIAVGISGTYTSVVEIVQNL >RHN71801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2702698:2705024:1 gene:gene7437 transcript:rna7437 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLLYNKMARDEEADVAGLCKVHVSGTISEVIGEKFYDLFREYLFIVTSMYPTPSLTHILDILGDF >RHN80089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35049293:35051106:1 gene:gene3969 transcript:rna3969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MELEILVSFLLYSVTLVSFLFLAKTKLSISPKTHSSTAIPKPYPIFGSIFAFSANFHRRIQWIADILQTIPSSTFIFHRAFGSRQVFTANPAVVQHILKTNFPCYNKGLSSHQTLLEFLGDGIFNTDGEIWKVQRQISSHEFNTKSLRKFVETVVDVELNDRLLPILSEVSENKTILANFQDILQRFTFDNICIIAFGFDPEYLLPSLPETAFVKAFDDSSRISSERLNAAIPFLWKVKKILNVGSERRLKEAAAEVRGLATRIVREKKKELEEKSSLESLDILSRFLSSGHSDESFVVDIVISFILAGRDTTSAALTWFFWLLSKHSHVENEILKEVMGKSETVNYNEVKDMVYTHAALCESMRLYPPVPVDGKEAACDDVLPDGTLVKKGWRVTYHIYAMGRSEKIWGPDWAEFRPERWLSQVDDGKWSFIGMDPYSYAVFQAGPRVCLGKEMAFLQMKRVVAGIMRQFRVVPAMDKGVEPEFAAHLTSIMKGGFLVKIEKRGSTNE >RHN41403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29725313:29729149:-1 gene:gene47721 transcript:rna47721 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MGVVEFLRPFVETKAWDYVVVWKYGNDPTRFIEWLGCCCCGGNIEKVKLKEEICEENNLASICRDTLFHHRVKTKTCEALAKLPFTMSLYSSVHAEVAISQQPRWLIHEDSVGTQVLIPIIGGLVELFTAKHIPEDINIMKFISAHCCVSIKQEAISAHSYANTNFNFSMEGHFGESNPSTEHLTFDSNYGCLIPLEYLNQPLKSHKHNKIPVKRCSSSVDCGNGEENKENIVKEPREKVYHAKNLITERNRRNRIKQGLFTLRSLVPNITKMDRVAILEDAIEYIMELQRQETELQEEVKALEVEDCEKDKELAEGTRNLPLIDLNQCSSDSTRKTQMELQVEVNHIAGKDFMIKLCCEQKQGVFSRLMKAIDSYGLHVLSANMTTFDGKVLNILMVEATAQDIHPKKLREYLMHQTR >RHN70229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49907139:49909608:1 gene:gene18789 transcript:rna18789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MQVQGWFLLFSFFLFSPTSFFSHAISNEEAASIARRQMLHLQENEDLPENYVDTYKTDLKFPNPNLKSAYIALQAWKKAIYSDPTNFTSNWEGPNVCSYNGIFCAASLNDSKIQVVAGIDLNQADIAGYIPAEFGLLADIALLHINSNRFCGVLPKSFSKLKLLHELDISNNRFVGKFPCHVLSIPDIKFIDIRFNEFEGEIPPELFNKTLDAIFINNNRFTSTIPENMGNSPASVIVFANNGISGCIPSSIGQMKNLNEFVVIGNNLTGCLPEDIGKLQQLAVFDVSENLFVGALPKTLQGLSEVEVISIAHNKLTGSVPKSICSLPNLANFTFSYNYFNVEEEGCVPPGKEIELEDMDNCIPNRPKQKTTNDCNVVISKPVDCTKGLCSSKPSQSNSPSNPPTEKPTPSVPKPQPQPTPSQTPTPSTPKPTPSPQPKPTPSSPPPTPSTPEAEPPIEDDPHNEAPKRRTRSPPPPVQSSPPPVHSPSPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVFSPPPPVHSPPPPTASPPPPVNAPPPEDDIILPPHFGSSYASPPPPIIAGY >RHN57493.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39517996:39519157:1 gene:gene33042 transcript:rna33042 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRKRKGKSEKQLQRMFLMLMILMLKIQVKENLVDLNLGFGIIL >RHN77981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11035126:11037190:-1 gene:gene1494 transcript:rna1494 gene_biotype:protein_coding transcript_biotype:protein_coding MDILLSFSGKLDSVLSVLLILGFDTENKSVILRLLHRSRFCRFCFFPFSFSSLLPRDQCLSSSRFSDELAL >RHN76899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2221520:2224687:-1 gene:gene288 transcript:rna288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAD/NAD(P)-binding domain-containing protein MVGEGRKPKAVIVGGSIGGISSAHALILAGWDVLVLEKTTSPPTGSPTGAGLGLNSLSQQIIQSWISKPPQFLHNTTHPLTIDQNLVTDSEKKVNRTLTRDESLNFRAAHWGDLHGVLYESLPPQIFLWGHIFLSFHVANEKGPVIVKAKVVKTGEVIEIVGDLLVAADGCLSSIRRKYLPDFKLRYSGYCAWRGVLDFSEIENSETIKGIKKAYPDLGKCLYFDLASGTHSVLYELPNKKLNWIWYVNQPEPEVKGTSVTTKVTSDMIQKMHQEAEKIWIPELAKVMKETKEPFLNFIYDSDPLEKIFWDNVVLVGEAAHPTTPHYLRSTNMTILDAAVLGKCIEKWGTEMLESALEEYQLTRLPVTSKQVLHARRLGRIKQGLVLPDRDPFDPKSARQEECQEIILTNTPFFNDAPLSFASVTSSI >RHN60719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29875928:29880518:1 gene:gene23074 transcript:rna23074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MSFSRNSTARSGDSLDGMLNDYVAGKTKVKPHKTATTKFVAALTCLQFFFAVYATFLLYYMGPSIDLRTKPDFSRIAQQWKSLMITPHIVGHYQESASSLIQEDNNNQFQATTIPSLVCENEKIDFLQKKSNDVQMIKIKRELYEEVLNFQNKTIGTETLQELMNMKSKWDIKGPNPTKPKINVLLNHFKRKTLCAQLDSLLQQTLPFHQVWVLSFGSPNEASLKRIVESYNDSRISFISSSYDFKYYGRFQMALQTEADLVYIVDDDMIPGKKMLEILAHVAGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFKSKEAGLYLPDPAYDITIDKIVQVDFLSSSWFLSAELVKTLFIETPFTFSTGEDLHLSYQLQKYRNAGSFVLPVDPKDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWKALSSGYITQWAAMYPQKVDALFYAHSVDEVKALSPLLEKFRSTVGKKAYIVVSGGNFCPCEQAAAALKWPLLVCKERRFKIFDLGVGSISGVSNSEAPVIQAVYSSLKGLIKIHNPSVVITVDDIDVNVRKALKMASETNSNGTTLVLLPRASVSKVLWMADLRSTALPNWNKMRLSINIITQNRVNSLTRLLKSLTNAYYLGDEIPITFNMDSRVDEATIKLVGSFEWPHGSKTLRRRIIQGGLIRAVSESWYPSSDDNFGLLLEDDIEVSPYYYLWIKYALMNYHYDPQVSLPELSSISLYTPRIVEVVKERPKWNATEFFKQIHPNTPYLHQLPCSWGAVFFPKHWREFYVYMNMRFTEDPKKNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVKHNKMDFEVPLLKDDFSNFLPGMKMPSASRLPSLNLFNQAVSLKGLKAAGAKLGQDVLRCNNVTEIVAVDHHTGLPHHCSRF >RHN41404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29734217:29735443:1 gene:gene47722 transcript:rna47722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-tyrosine-phosphatase MDWREEQNQSLVPVLSKKNNQSTEVNSTSFACKDIPNLLSSFVDTFVDFSVSGLFLPPPPPTMTSKPPTRLPSSPRLIAIGDLHGDFNKTKQALRLAGIIDSSDRYIGGSTTVVQVGDILDRGGRELKILYILEKLKREAARCGGRIITMNGNHEIMNVEGDFRYATKAGVKEFRVWLEWFRQGNMMKSLCHGLKPPKDPLEGIHVEFSGVRKKFHDGLSARVAALRPNGPISGRFLSHNATVLVVGDSIFVHGGLLPEHVTYGLEKINAEVSDWVKGSTVCRFPPPCCRGSNAVVWFKKFSENVEANCDFSILDHVLDMIPGVKRMIMGHKIQKNGINGVWDNKAIRIDVGMSKRCHGALPEVLEINETSGLRILTLYSHRIIYNRRKGKLLRDLMLESRKGLCCLV >RHN74651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35519022:35520017:1 gene:gene10755 transcript:rna10755 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSKFGSFWVVLVGSCGVLVLVVVYSDNGRFLLATGGRHSLIWGCVSASDRRRCPSFVVWFRLPLVMSLCCCLFLGEVVCVGRGDGYAGLVVVVMCGGRGDSRLPLVFRSIFVVATSCLKLFGLLRCSGCMSFELSLFSMLIDVCTTAIHVVCFTCCVLDSDGAGYFCFEIGSWCLAAFLSGGLGVSLVDWGLGVPVWFDLEVWWPIAFDSRLIVSSSVHVWFLGCYDVLSVDQRLCGGGS >RHN39764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10325338:10330154:-1 gene:gene45826 transcript:rna45826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GH3 family protein MKEITEKFDMEKVIEEFERLTKDAENVQKETLKRILEENASAEYLQSLGLNGRTDPESFKACVPLVTYKDLEPYINRIVDGDVSPILTGKPITAISLSSGTSQGKQKCIPWNDELFETTVQIYQTSFAFRNKEFPIQNGKALSFIYSSKLSQTKGGVTAGTATANVFSHPGYRHTMQALKSPSVSPDEVIFSPDFQQTLYCHLLCGLLFREEVSLISAPFAHSLVNAFRTFEQVWEELVTDIREGVLSSRITHPFIRTAMSKLLTPNPELANLIHKKCIGLSNWYGLIPELFPNAKYLLGIMTGSMEHYLKKLRHYAGEVPLVTSEYGSSEGWIASNVNPKVAPEFATYVVLPQIAYFEFIPLKQLDGTKVELEPVGLTDVKIGEEYEVVFTNPAGLYRYKLGDVVKVIGLRNSTPEIRFIRRNNLMLTINIDKNTEVDLQLSVETASKLLAEEKIEVIDYTSYIDLSKEPGHYVIFWEISGEASDDVLDECCTCLDKSFVDLGYVSSRKVKGIGALELRLVRKGTFQKILEHYVGLGASAAQFKTPRCIGPTNTKVLQILLENVLKSFSNYTKYQISF >RHN61623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36908039:36916482:1 gene:gene24107 transcript:rna24107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RGS domain, NTF2-like domain-containing protein MATRKLIRDFLLSHSSLILPLQGFRPRLFFNLVDRRGYSVFNEFSKKVKDETLRNPEFQQSVKELKEKAEELKGVKEGLKEKTKQTTEQLYRQFDGMWKEAEDAAKKVSHNVREKISAATEEVKVGIGKQDSSGSTDSSTKLDADAKQGSQTSPEEEKNQEFASGNTSESMFGKFKSTFSSPNVSTSFQKLKDAKIVDITKKGYGILREELSSDPPKRRRVRSTPSGEISTKTDLVVIPSNLSFWSQMCDEIRDKVKRHPVKKKFDEMAEDLLEIYDTSDNRFIHKLQDIIDTMSQETDAALSKKEIRQRDPYFSLPEFVNEVQQAIKPVLNAYIKGDVETLKKYCCPQLIERCTAEHNAYKNNGIFYDNKILHVSDVELREPTMLESSPVIVAAFKTQQIYCVRDRNGEITEGGKDTIHTVFYLWALEQMEHEDNGEDAIHLMWRLREMQQQGIPTLI >RHN40550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17512660:17513442:-1 gene:gene46712 transcript:rna46712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLTMLPSLSELHLSSCLLENANPSLQYANFTSLEYLDLSDNDFFSELPNWLFNLSGLYHLNLGENRFHGLIPETLLNLRNLQVLILQNNKVSRTIPNWLCQLGGLNKLDFSWNLFTSSIPITLGNLSLLTILSVANNNLTDSLPESLGQLSNLEVLDVGENSLSGIVSHRNFVKLSKLSYLSLDSPLFIFDFDPHWIPPFALQRLGLSYANLNLVPWLYTHTSLNYLSITNSLFAIKYREIFWSLAKNIRYLFIFDNSMH >RHN68895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39415658:39417752:1 gene:gene17311 transcript:rna17311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative double-stranded RNA-binding domain-containing protein MFVVHDLHLGFSIPTDEYGSKEKVEATKPQESPLPAQSPVIRTDTNRLSKKHLQNYARKNNLDPPVFTIKTERLHYKATVVIDEKSFESPTFFNSIKEAEQAAAKIALRELPISVDLFQKDESCPSKSLLLELTQREGYSKPTYTTIESGSLHMRTYFSTVEVEGLKFHGKASRSKKQADIDAAKIAYIALKEYKRLDQEKLPTDDVKVNDDMHTESLPIPPNKKMKMSNMRTSSLPKSSPLSHTELASSTISDSNMTMTCNTSNYLLCDRFNVYTNFPDILFPEDITVLPFDEDKWVAACLEFPNDEDFRFL >RHN50006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3097437:3099456:-1 gene:gene34252 transcript:rna34252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MSNFAKRKPHAVLTPYPVQGHINALLKLAKLLHFRGFHITFVNTEYNHKRLLKSKGPNAFDGFNDFNFETIPDGLTSMEGDGDVSQDLRALCRSIIKNFHRFFRELFAKLHDSATAGLIPPVTCFVSDCYMPFTVDVAEEHALPIVLFSPCSACFFLAGFHIPKLFQNGLLPLKDESYETNGYLDTIVDWIPGLKNFRLKHLPTLIRIKDPNDLMIEFMIEVGDKCHKASAIVFNTSNELESDVMNTLSSIFPSLYTIGPFASFLSQSPQNHLASLDSNLWKEDTKCLEWLETKESKSVVYVNFGSIIVMSQEKLLEFAWGLANSKKPFLWIIRPDLVIGGSVVLSSEFVNEISDRGLIASWCPQEKVLNHPSIGGFLTHCGWNSTTESVCAGMPMLCRPFFADQPPNSRIICQEWKIGMEIDTNVKRGEVEKLINELMVGEKGKKMRQRAMELKKKAEEDTRFGGCSYMNLDKVIKEVLLKQY >RHN46341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30888425:30889671:-1 gene:gene40822 transcript:rna40822 gene_biotype:protein_coding transcript_biotype:protein_coding MAADIATESFEQGDKVTLRVLVDKQKSKVVYAEAGKDFVDALFSFLTLPLGTIARLVAKESDIEAVIFGSINSLYQSVTDLDQQYLFNQTCKEMLLTPRNFMESLIQHMKLNIDNTPVQYFACEDWQFCRGDISGTRVTTFRNQKCICGKRLNAPSLFHVVPITDVNGFFKDTATFLIRDDFCVMPDDLETSLFLLKKQGFSDFANVEKKTLHITKNEVVDLLKLSLLSKTPMTDFIFKNGKFAKPNSTFQSEIRIGKDLPSDQGNHMVVKVLRRKSNRKILFATSEEDFADFLFSFLTFPLGGVLQILEGLSSISSIDVLYTSMTELSSDQFLRSKVLKDELADPRIFPGFKLKNQILPIGTRELTSKLVNGTLATFVDPN >RHN58938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6063487:6063909:1 gene:gene20895 transcript:rna20895 gene_biotype:protein_coding transcript_biotype:protein_coding MILNFCIDRFCGFQPIRIIIFFLYVSNFCMGRPFGFSVHRDALFLPKPPFPVCDLSSFFILTKYFLTASVFTGHTSLPSFFVLGIHCPWNSVNSDSITFEHKATLLTFMGTSLLLLKTCFMLLIQLSTPYGNNNFKFNQA >RHN45727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25059079:25059525:-1 gene:gene40116 transcript:rna40116 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLGGSDDREALCLAKRTIKEDTYHLVVYHLVSTIKNDEFTSWDVMLDDELLKGVKGVYGSVDNVTYEKVEVENTSDTTEFISDIAIQHDFIIVGRRNGIKSPQTQALASWTEYPELGVLGDLLASPDTNTKASILVVQQQVMPKAS >RHN80064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34729437:34733016:-1 gene:gene3940 transcript:rna3940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 43kDa postsynaptic protein MRKLLNSLTFFSHLARRASPSLSPLRDALINPLFTPNKPLYTPFQTMSTLIQNPPQISPRQRKIIEKSHLEEAFESAKTTEEMLKAFSEMEISFNEKELGLAALKIGLKLDREGEDPEKALEFANRALKVFENEKKDSFPYAMSLQLMGSLNYGLNRFSDSLGYLNKANRVLGRLENEGVCVDDIRPVLHAVQFELANVKTAMGRREEALENLRKCLEIKEMTFDEDSEELGKGNRDLAEAFVAVLNFKEALPYCLKALEIHMKRLGMNSVEVAHDRKLLGIVYSGMEEHEKALEQNVLAQRILKNWNLSSDLLRAEVDAANMMIALGRYDEAVGALKNVVNQTEKDSETRALVLVSMGKALCNQEKFADCKRCLEISLGILDKREQITPVEVSEAYSEISMLYETMNEFETAISLLKRALALLEKLPQEQHSEGSVSARIGWLLLLTGKVKQAIPYLESAAERLKESFGPKHFGVGYIYNNLGAAYLELDRPQSAAQMFAVAKDIMEVSLGPHHADTIEACQNLSKAYGDIGSYSLAIEFQQQVIDAWESHGASAEEELREAQRLLEQLKKKARGVSANEVPMKALPFPNNNTPAASSNSQPDTPLRQS >RHN77974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11003029:11004708:-1 gene:gene1487 transcript:rna1487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MSIVSLTAARGTIGYMAPELFYRNVGTISHKADVYSFGMLLMEMASRRKNLNALAEKLRMTQMKK >RHN49053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52116242:52119220:-1 gene:gene43840 transcript:rna43840 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MKHSYILPVAFCVVFLTLSLLVQARHHHSHKKHKHSHSHSHKSYETSPPQPPPLHDDYYNNNASGIFDVRSFGAIGDGIEDDTESFKMAWDTACQNGSDVNVILVPQGFSFVIQSTIFTGPCQGDLIFKVDGTVLAPDGPESWPKNNSRRQWLVFYRMKGMSLEGSGSIDGRGQKWWDLPCKPHKGSNGTALGTCDSPIAIRFFMSSNLTVKGLKVKNSPQFNFRFDACNNVHIESIYITAPKISPNTDGIHLEYANDVKIYNSVISNGDDCVSIGTGSYDVDIKNITCGPSHGISIGSLGNHNTKACVSNITVRDSIIKMSDNGIRIKTWQGGSGSVSGVTFNNIHMISVRNPIIIDQYYCLTKDCTNKTSAVSVSNILYTNIKGTYDIKSPPMHFACSDSVPCTNITLSEIELLPSQGDIVHDPFCWNAYGDLETLTIPPVSCLLEGIPQSLLDYDIGHC >RHN63043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47890661:47897575:1 gene:gene25695 transcript:rna25695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylyl-sulfate kinase MTTVKSLPPSRSTAVYRTVQCRQLPTENLGFPTLFPLNAGRSCRSQRSLALFYDRARLKAYTLKPIQAKNDSESSCSDNNSTSFSGKNLRQMSNIGNSTNILWHDCPVQKCDRQQLLQQKGCVIWLTGLSGSGKSTLACALSQSLHSRGKLTYILDGDNIRHGLNRDLSFRAEDRSENIRRIGEVAKLFADAGLICITSLISPYQKDRDACRALLPEGDFIEVFIDVPLHVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPCCCEIILQQKGSDCKSPKDMAETVISYLEKSGHLQA >RHN60640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29041417:29042293:1 gene:gene22987 transcript:rna22987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-Xb-1 family MAEMKTLEKIKHKNVVPLLGYCKVGEERLPVYEYMEYESLEEMIHRRIKTFERRILTCKERKKIARGATKGLYFLHHIIASLTSYTKT >RHN58685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3946380:3949526:-1 gene:gene20618 transcript:rna20618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MRITLVSFLLCYYSIYLSFQIFVTSAKCLEDQQSLLLQLKNNLTFNHESSTKLELWNKSIACCNWSGVTCNNDGHVIGLDLSDEKIDGGFNDSSSLFSLQHLQKLNLAYNHFNSHIPSRFIKLDKLTYLNLSQASFVGQIPIEISQLTRLVILDLSVLEYPTIIPLKLKNPNLKNLVQNLTNIRQLYLDGISITSRGHELSNALLPLRDLQELSMSYCDLSGPLDSSLTRLENLSVIILDGNNFSSPVPKTFANFKNLTTLSLALCELTGTFPHAIFQIGTLSTIDLFNNKNLHGFFPHYSMSESLQIIRVSNTNFYGALPNIIGNLRKLSTLDLSFCQFNGTLPNSLSNLTQLSYLNLWSNSFTGPMPSFDMEKKLTNSSSSHNQGLHNLVTINLSNNSITGAIPSFLFKLPLLKNIWLSLNHFSKLEEFTINSSSVLDTLDLSNNDLSGPFPISVLQLKSLSVLDLSTNKITGSLQLDELLKLKNLSELDLSFNNLSINVNVPHVDLSFIPNISFLKLARCNLKTFPSFLINHTTLIQLDLSDNQIQGIVPNWVWKLPYLEALNISHNLLTHLEGPLQNLTSYWEILDLHNNKLQGPIPFFLKSVEYLDYSTNKFSVIPEDIGNYLSSTYFLSFSNNSLHGSIPDSLCNASQLLVLDISFNNISGTILPCLISMTSTLDVLNLGKNDLTGPIPDMFLASCVVRTLNFHENLLHGPIPKSLSHCSSLKILDIGSNQMVGRFPCFLKNIPTLSVLVLRNNKFHGSVKCSLSLANKPWKMIQIVDIAFNNFNGKLSEKYFTAWERMMHDEHDDVSEFIPTVEAFNPYYHDSVTVSNKGQDMEFVKILTIFTAIDFSSNHFEGPIPKVLMKFKAIHVLNFSNNNLSGEIPSTIGNLKQLESLDLSNNSLVGEIPMQLASLSFLSYLNLSFNHLVGKIPTGTQLQSFEASSFEGNYGLYGPPLTEKPDGIRQDLHPQETCGRLAKSSDWNILSVEFGFVFGLGILIIPFMFWKRWREDYCKLLDTILCKIFPWMHVEYVNHRGKSFTSLRW >RHN67766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30104839:30110081:1 gene:gene15993 transcript:rna15993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Acyl-carrier-protein] S-malonyltransferase MQHLFHHSRILHNPSSFSISTMSSSLTLPSFSPIFLPQRTHTLKNHNFPKSRVFATISTGSQSSIHEASVADYKVSNAFLFPGQGAQAVGMGKEAQSVPAAALLYKKANEILGFDLLDVCINGPKEKLNSTVISQPALYVTSLAAVELLRAREGGEQIIDSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAVKSAMVSVVGLDSEKVQQLCDAANQEVPEAEKVQIANYLCPGNYAVSGGIKGIEAVEAKAKSFKARMTVRLAVAGAFHTSFMEPAVSRLEAALASTDIRTPRIPVISNVNALPHTDPDTIKRILARQVTSPVQWETTVKTLLSKGLKKGYELGPGKVIAGIVKRMDKGAEIENIGA >RHN46643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33661322:33663618:1 gene:gene41160 transcript:rna41160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bulb-type lectin domain-containing protein MNIIFLFFVLLFNYAFSESSVGIGYKLMVAVPAEYKFGYIGGGFLLETKTVPNFRVALSFEGVNGKFSCSLLVFLGDVKVWDSGHYSKFYVTGKCLLEFSLDGDLRLKGPNEIVGWKTGTSGQGVKRLQILNTGNLVLVDEFNNIKWQSFNFPTDVMLWGQQLDVATRLTSSRTNSSMFYSFEIENNKVALYVNSGELRYSYWNFQPSMNRSITYIKLSSKGLLLFDTKYKKIAQIPSQSIQPLKFLALKNETGNFGLYYYSQEKGKFEASFQALNNTCDLPNSCRPYGICTFSNTCSCIQILTNDEKSSADCSEGFSGGFCNGKKAEMLKIDNVGSVLKGVPEIVNISREACSNLCLQDCKCAAALYFRNSHVETTECYLYRLVLGLKQVDKGPGFSYMVKVPKGIGRKHERHNLKKWIFVGVGVFDGLIILTLVGGFCYWLIKRRSRRLDSGGRNS >RHN52805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39050030:39052612:1 gene:gene37518 transcript:rna37518 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCGYQQKNAMRSCEEMRMESLVCPKPIRFGLMNRNHIRPFRPQILSYQSEVEDSGVREDLLDIILPKGNCYSERFGDQFGSSPPPFFCGSPPSRASNPVIQDEQFGNGNGHGNFSPFSMAPSSPSSSARGCAPMKKFGHTQAAVRIEGFDCLNRDRSNCSISAVA >RHN74986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38497830:38501853:1 gene:gene11131 transcript:rna11131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein deglycase DJ-1, class I glutamine amidotransferase MALSHIRFFPHTLPSTNFTPKLKLNHNRFFFSPSRSSSSSSSTITAMASNARKVLVPIADGTEPMEAVITIDVLRRSGADVTVASAANRLSVQALHGVKIIADASVSDVVNTAFDLVALPGGVPGVDNLRDSAVLEGLVKKHVEDGKLYAAVCAAPAVVLGPWGLLKGLKATGHPSFMEKLSSYTTSVESRVQLDGRVVTSRAPGTTMEFGVALVEQLLGKEKADEVAGPLVMRSNHADEYTFLELNSVQWTFDNPPKILVPIANGTEEMEAVIIVDILRRAKANVVVASVEDKLEIEASRKVKLQADVLLDEAAKTSYDLIVLPGGIGGAQAFANSETLVNLLKKQRESNKYYGAICASPALALEPHGLLKGKKATGFPAMCSKLSDQSEVENRVVIDGNLITSRGPGTSIEFALVIVEKLFGRKLALEIANATVFASP >RHN69488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43824184:43828621:1 gene:gene17967 transcript:rna17967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VI family MQKTCDEGGSDGRTVVVGVKMDSPSKELLTWALVKVAQPGDLVVALHVLGTNEIVNGDGKSSLLSLVKAFDSVLNVYEGFCNLKQVDLKLKICRGSSVKRILVREANAYSASHVIVGSVHGFHRIRSSSSVAKYCAKKLSKDCCVLAVNNGKVVYKRDSLAASTVADVQGFDRQRGNGLLGSIQLTHGLLGSIQLTLSKNTKVLNADTDEGTRRISDHSLAKVLLDSTENVRHQSCSICGSLKDTSCHQSAEEPSEGSEVSSGDGGNENSLAIVPVQTTDAGQLELKPGWPLLRRNFLPDRQLPDKLFTRDQISVVQWAMRLPRRNLSYHVDHDKQVSICDKAQGQSVALDSESGALIPVDSEMGKTYSSPECNLKSIPKELEGLHEKYSSTCILFEYQELVSATSNFLPENFIGKGGSSKVYRGCLRDGKELAVKILKPSYDVLKEFLLEIEIITTLHHKNIISLIGFCFENGNLLLVYDFLSRGSLEENIHGTKKNPREFGWTQRYKVATGVAEALEYLHCKDDHPVIHRDVKSSNVLLSEDFEPQLSDFGLATWASTSSSHITCTDVAGTFGYMAPEYFMYGKVNDKIDVYAFGVVLLELLSGRKPISVDYPKGQQNLVMWASPLINSGKVSQLLDPSLGDNYDHDEMERMVLAATLCIKRAPKARPQMSIVSKLLQGDIDAIKWARLEVNALEAHEMLDDEVCPPSNIQSHLNLALLDVDDDSLSMYSVEQSVSLEDYLRGRWSRASSFD >RHN61581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36590719:36591975:-1 gene:gene24059 transcript:rna24059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSLPSRMENDDDYSSIVDDDDDYSPIAEDDNHFSVLNESDIKHLQNNGINHILSVLSTSRSTACLLLTNYNWNVPQALESWFDNPQKVQKTIGLSNQPHLELGFPNSSQTLTMCHICFETFASDKIKSSWCGHPFCINCWNQYVDINIDDLNCFKLRCPQPSCNAAVDQDMIHQLASNFCWNCGEEAHTPVDCETFVKWRRKISSDSEVTNNSWIIANTKPCPNCKIAIEKNQGCRHMRCRLCKFSFCWLCLRDMSICIKNGCSGTLNNVQVWHSHQEIYDDEMLRTNAKNCLDKYTYYEILRKKALQNLIEMNSTAYICWPHHVWVLFPAKDNYYK >RHN46023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27833853:27839787:1 gene:gene40460 transcript:rna40460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MELRFSSHFIFTILAITLLFAFTNAIDSNESRVVNGEEKLKMQSLNNSSMAESLSHDAINEHAVDNPEEIASMVDTTIRNHTERRSLSFFSCGTGNPMDDCWRCDKLWYRRRKRLADCAIGFGRNAIGGRDGRYYVVNNPRDDDPVNPRPGTLRHAVIQDRPLWIVFKRDMVITLKQELIMNSFKTIDGRGANVHIAFGACITIQFITNVIIHGVHIHDCKPTGNAMVRSSPSHFGWRTMADGDGISIFGSSHIWIDHNSLSNCADGLVDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYVRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWVMYAIGGSAEPTINSQGNRYLAPQNPFAKEVTKRVDTGSGIWKGWNWRSEGDLLLNGAFFTPSGRGAAASYARASSLGAKPSSLVGTLTAGAGVIKCRRGGMC >RHN60284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24641704:24644944:1 gene:gene22569 transcript:rna22569 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPHSIREDCKALRRELEWHENSIHRWRIKSRAVEKHLKFVKNEIKLYSGDLYAKLIEFDCLTRSVDEKEQLLQTVEHELNAKRREFDILAGLIKERNYDADEKEKRLREKARDIENQYKHIKSKHQEIEEREKDHQTMEEQFEEKEKAHEGKVKYLESRFVELQEFESRIQIQHKEQEEKFEEREKDLRSKEEQFVEQENAHKDIVECLESKFVELRELESIFKEREKALCSNEEDYDKQLDVLVSIEKESEEREKELFWDENLLKQRLKYIETKQKEFEDQEKQFKLREKHLETKEKQSEDRERFNLVKQLEFICSQFSAVLRASSDPAKLVLESIKGCCPSHVRNLLVDELYKTSPVISLHVKEEAIKFATEWKENLSVLGKDNLEVLNYFKFVATFEIGSSCQAPRVLCGPVKVPGYQPSFTTNDGGNVQLLSDRSELNDNGILVNLQTAPNPAQLVLDMIRNPKLRQEEGMVIEKRQIFLLDQLTRISPHIDCDVKYEAMKLALELKDTARGCAENSLLFEVVAHHKEAVELFQTLGFEDKISDFVDNLIKNLRHIGAVRFISAYNLADKSRLVSIMLQIEMEKAKQISYEVVCREKHREPKVKARDTEIASLRDILQCISDCNLEYHHNLVGEIKKRIFVLEQENQRENSVAISSESLSNEKKRARKEVSTNQVKEQELAQKKPYDVAGTKNPFRVQHREEKQPQVEMRQSQVRKHVDEQEGYQLSLKFGNIKRLRTMWLPLPT >RHN55592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19838482:19843672:1 gene:gene30794 transcript:rna30794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ethylene-responsive binding factor-associated repression, Ninja family MNDDKKSMKMDKYPRDLLERFFVSTTTTTTITQTQQIQNTQHHQHQYRQYLRENQEEEDEEEEEDEGIELNLGLSLGGRFGVDKHANNKKLTRSSSVVGTMPLLREDIAAAAGVASPTPAYPTLIRSSSLPTETEEEWRKRKELQTLRRMEAKRRRSEKQRVSKSDKDSTSTAAGATGGGGSEEIEGSGVTMGLNRSTVGAAPPPFNWANATTKQVVLGDVLGKGKIGVGFQGLFTQPSSQGSVDSQGGSSSSLSEMDSKPFLGSSSCGEIRSPASNQSLQERSSQDAVGSSGGPKTNENLTRTTSRTEVMENASKRPYQTQNIGKEIGTNSVEDMPCVFTKGDGPNGRRIEGILYKYGKGEEVRIMCVCHGNFLSPAEFVKHAGGGDVAHPLRHIVVNPSAAPFL >RHN73985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23137773:23140436:-1 gene:gene9902 transcript:rna9902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin-containing monooxygenase MEKRVAIVGAGISGLLACKYVTQIGFHPIVFEADDGVGGQWRHTIESTKIQNPRQDFQFIDFPWDSSVKEDNPNNHQVQEYLNSYAKHFSIIPYIRFNSKVIDIDYVGESNEEMKSWELWSGNGTSFGSKGTWHITVEDTKDFSIEVHKAEFVILCIGKYSGFPNIPKFPPANGPEVFKGKVMHSMDYAALDNQTAAELIKNKRVTIVGSGKSALDVATECADKNGLIHPCTMILRTVHWFIPNFNVWGISLAFLYFNRFGELFVHKPGEHFLLGLLATLLSPLRWGIGKLIEAYLKWKLPLKKYGLVPNHSFHHDMYKCTFGIFPELFFDKVNEGSIVIKNSESFSFYNEGLIINGEAKPLETDVVIFATGFKGDEKLRNIFKSPIFQNNINGSTNSTVPLYRQIIHPRIPQLAIIGYAEALSNIYSNEMRCQWLAQFLDGNIELPTIRDMEKDIKVWEDTIKQYDRNWKSCIVTCGIWYNDQLCKDMKCNPRRKSGPFAELFEPYGPADYNGLVQK >RHN73431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16375552:16378903:1 gene:gene9243 transcript:rna9243 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase I associated factor, A49 MTAEQLAEKKRNTDVALGTKKSKKMHDKLADMKRDEEPEAKKNLDEKMKNVEVKETALANTEAHVTRHIPPYNSSATTPQEAYVLDKIILAEEWNYLQDIYYSLQKEVAADFSLYPSFIRNRINRLKKIEVLILIHI >RHN42675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40212642:40214184:1 gene:gene49153 transcript:rna49153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MGSQDVKLVGFWVSPFVKRVEWALKLKGVNYEYVEEDIFNKTPLLLELNPVHKKVPVLVHAQKPIAESFIILEYIDETWKQSPLLPQHPYERALARFWADFAEQKLLNAAWIAMCNSGEEQEKALKVAREAIEKIEEEIKGKKFFGGDNIGYLDLALGWISYWLPVFEEVGSMQIIDPLKCSAITAWMTNFLNQPVINDSLPPRDKMLVYFQSRREAFHGLFKI >RHN78687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17503656:17504648:-1 gene:gene2325 transcript:rna2325 gene_biotype:protein_coding transcript_biotype:protein_coding MCNMNHCRHKHITNLRASRAWYRGNEALHSRLVNHTMKVFM >RHN80742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40511601:40515212:1 gene:gene4702 transcript:rna4702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger, cation/H+ exchanger, CPA1 family MVVLPSPLHFSTSFLSSATASTIVALCVFFALLCACIIIGHLLEDSRWANESITALFLGLCSGLVVLLVTNFQSHDILVFNEDLFFLYLLPPIIFNAGFQVKKKRFFRNFNHILLFGVLGTVISFCLISLGAFLLINRIGEVNLDIQDILAIGAILSATDSVCTLQVLSQDETPLLYSIVFGEGVVNDAMSIVIFNSVQSLNFSSINAVKALELLGTFLYLFCTSTILGVAVGLLSAYLIKTFYFGRHSTDREVALMMLMAYFSYMIAELLELSGILTIFFCGIVMSHYTWHNVTGNSRTTTNIGTSIAVSSTFLALVLIGRAAFVFPIANISNYFVTRESAKIEFRSQFSHSETTSQEDSALMITSTITLVLFSTMVFGSITKPLIEAVLLKHSKQTTSDSADYHEELGLLFLESNDSIEQSNNQPFLRWMMRYPATTVHYFWRQFDDNFMRPIFGGWGFVPVVPGTPLTEEEIS >RHN42224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36494405:36497803:1 gene:gene48640 transcript:rna48640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative powdery mildew resistance protein, RPW8 MAGELIGGAFLGAVVQEGMQPVTNQISKAFMFKTSRKNLDSLVDRITPVAEEMRLLNEKLDHPMEETEMLIEELIQGKDAVNKHSKVPWWKCCCLPCFQGEIYDREEKIARSSSLVTPMNTARDVKKVLSILKDRQEGRKFKRLCDAPVKPDLTVGLDFPLIQLKSWLLGSGVSVLVLTGLAGSGKTTLATLLCWDDKVRGKFGENILFFTVSKIPNLKNIVQTLFRHCGHDEPCLIDDDDAVKHLRSLLTKIGESCPMMLVLDNVCPGSESLVEDFQVQVPDCKILITSRVEFPRFSSLFLKPLRDDDAVTLFGSFALPNDATRATYVPAEKYVKQVAKGCWGSPLALKLIGGSLRGQPFAVWKKMVNLLSKGRSIVDSNDELRKCLQNVLEDALEGNSIIKECFMDLGLFFEDKKIPVAALIDIWTELNSLDDDSVDGMNLVHELDNLHLVNLVVSREVTSHVDNYYNHYFLTQHDLLKEIAIHQARQEPYEQRTRLIFNMKEDSWDQQNHGQQNTIANTLSISTDKMVTPDWSNVVKVEQVEVLILNLHTDKFTLPECIKKMTKLKVLIITNYKGFHCAKLDNFEFLGCLPNLRKIRLHQVSVPSLCKLISLQKLSLYFCETRQAFQSDTVSISEVLPNLEELCVDYCKDLVTLPYGLCDISSLKKLSITRCIAFRMLPQEIGNLENLKVLRLSSCAELEEIPASIGKLSELHFLDISGCASLHNLPEEIGNLHNLKELHMTGFSSDTLPESVTKLMNLEHLICDQETAECWEHFKPSLSELKIEVAKVNLFIIV >RHN50437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7119648:7124569:1 gene:gene34720 transcript:rna34720 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome c oxidase biogenesis protein Cmc1 MGGYIQEARENHVKKKVEEALRSKMKVKALKECDYYTKKYAECAMGRTLSVVWQCRKVAKELNSCLHQFTNDSVLEEMKKEYNLKQG >RHN62456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43559166:43569403:-1 gene:gene25035 transcript:rna25035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MYKSVVYQGEVMLGEVDIYPEVNNNINNKNKNFDVKEIRITQFSQPSERCSPLAVLHTITTVCFKMESKTQHQNQLLHLHSLCIRENKTAVMPLYGEELHLVAMHSRNDDRPCFWGFIVATGLYNSSVVLLNLRCLGIVFDLDETLVVANTMRSFEDRIDALQRKVNSEVDPQRISGMQAEIKRYQEDKSILKQYAENDQVVDNGKVIKVQSELVPALSDSHQPIVRPLIRLHEKNIILTRINPQIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVFVCTMAERDYALEMWRLLDPDSNLINAKELLGRIVCVKSGLKKSLFNVFQDGSCHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYAPQAEASNTIPVLCVARNVACNVRGGFFKDFDDGLLQKIPQIAYEDDIKDIPPAPDVSNYLVSEDDGSASYGNRDPFLFDGMADAEVERKLKDAISATSAIPMTTAKLDPRLTSSLQYTMVSPGSVPPPAPHASMIQLPHTQFLQPATPVKPMVQVAPLESSLHSSPAREEGEVGESELDPDTRRRLLILQHGQDIRDHTSSEPPFPVRHPNPVQVSTRAPSRGGWFPVEEEIGSQPPNRVLPKEILVDSGPSRMEKHRPHQPSFFSKVDGSISSDRALHESHQRLPKEIYHRDDRSRVNHMLPSYHSLSGDDILFGRSSSSHRDLDSESGNSVLHAETPAAVLQEIALKCGTKVEYTSSLVASRELQFSVEAWFSGKKVGQGIGRTRMEARYKAAEDSIKHLADIYLSRAKDEPGSAYGDVSGFPNANDNGYVGNVSSLGNHPLPKEEAVSFSAASDLSRVLDPRLEVSKRSTGSVSALKELCMMEGLGVNFLSVPAPLSTNSVQKDEVYAQVEIDGQVYGKGTGLTWDEAKMQAAEKALGSLRPMHGHSIQRRQSSPRPFQGFSNKRLKQEHPRTLQRFASSGRYPRNAPAIP >RHN79155.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:24706455:24706751:1 gene:gene2881 transcript:rna2881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S12/S23 MDECDIRILFATRRWLKISTALNETNSTTFEEDGWVYASKEVKSEHEDQRLEIIPSIMRIDITIVDIKAKQPNSAIRKGTKIQLIKNGKKIAAFRPYE >RHN51985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29526319:29526579:-1 gene:gene36576 transcript:rna36576 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aspartic peptidase A1 family, xylanase inhibitor MLQMDLTLYDPNGSKTSNVVPCGDSFCTDAYSGPISGCNQDMSCPYSITYRDGSTTSGSFVNDSLTFDEVSGNLHTKPDNSSVIFG >RHN74348.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32069890:32070456:1 gene:gene10391 transcript:rna10391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MLIDMQDIFRRYSFDIICQFSFGLDPHCLLPSLPTSILPDEFDLCSKITAERAMVVSPLYWKLKRFLNVGSERKLKEAISVVNSSAEAMIKERHRLRVGSLKDLLSRFMDSEDVDDKYLRDIVINFLLAGRDTIASALTDFFLLLSNNPRVEEKIRVELDSIMKPTQESPTFKQTREFHYVNSAIKKI >RHN43838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48872574:48879949:-1 gene:gene50468 transcript:rna50468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Porin domain-containing protein MATMVPPIPTADDKKVDQKVDYSNLSCPIAFEELHREAMMSLKPELFEGLRFDFTKMLNQKFSLNHSVSMGPTEIPSQSAEVIKIPTANYEFGANFIDHPKLLLLGRAMTDGRVTARVKYDVFDNLTLKANAQLTSEPHMSQGMINFDYKGKDYRTQFQMGNGALLGASYIQSVTNHLSLGGEVFWAGQHRKSGVGYAARYNTDKMVATGQVASTGMVLLSYVQKVSEKVSLAADLMCNYLSRDVTASFGYDYILRQCRLRGKVDSNGVCAAFLEERLNMGLNFILSAELDHKKKDYKFGFGLTVGE >RHN74798.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36745004:36745811:-1 gene:gene10918 transcript:rna10918 gene_biotype:protein_coding transcript_biotype:protein_coding MINSTTISGDDAEMDKSDALISGELYTNSLANVVERENGVSRVSLIMVTPMKLGKPGKRFSVKLKDFLATGIMEGLKVRYVKGQKVVSPTVFELHAGSANKRPPEYTYLENGKFLRDVMNACSSLPLDTLDEVVQMVLGDFTMQKFNICFNCKGLKCTHLLS >RHN54676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11226299:11227856:1 gene:gene29750 transcript:rna29750 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MAAQTSTSLLRLEHIPNKGRGLIATQDLKAGQIILTESPLLLYSATPLFSHTPSPYCHNCFRTLPPSQTFQCPSCSNYLFCSQKCLSIALNSSHSSWTCQTLSHLQNPTSPLSEKPCELQVQARLIVAAYNLAIHTPSKLQTLLSLHGNPNDQDSIVDNAKFLHSLISPFCSPHMNFSAELAAKIIAKERLNSFCLMEPYSQKGPQRSIKAYGIYQKATFFNHGCIPNACRFDYVESGEPGDEHNTDIVIRLIKDVGVGSEICISYFRINKDYSTRKKILMEDYGFTCECDRCKIEASWNDGENKYSDLPHVIFLSKFVCDKENCAGTMAPLPPKDGEKSNVLECNFCGNLKVDLAT >RHN75684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44282973:44283617:-1 gene:gene11921 transcript:rna11921 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFCFLVDQTKEMQKSKPAAGTCSRCGGGASVADMKTATRFCYVPFYVKSWKAIVCTFCGATLRSYHD >RHN60963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31904604:31905438:-1 gene:gene23368 transcript:rna23368 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQDQEYQSSIMKELKPKKQLVQQHEEKQHKNVPLNLKINVPSYEEEKDIDPLNDGFKTPTSMEHKIQVILPPPPRKPKQLRQSTKRKGCCHPHVALDLSHEIESLFSTSPSGGKNHKKVKLF >RHN45819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25974147:25974589:-1 gene:gene40234 transcript:rna40234 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSVLHPSHLNVSIPSCSTTSSSSEMYFHSLSMFIFSSQSEPISAVKPNTQKKKKH >RHN60172.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22332890:22336589:1 gene:gene22416 transcript:rna22416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (non-electrogenic) MSWSSFYNTASRVFHEYPSWSKSVVVCTIISGGGLAAYSDSGDQRPRKKVVVLGTGWAGTSFVKSMKNSSYDIHVVSPRNYFAFTPLLPSVTCGTVEARSIIEPIRKISRKSGLDVQFSEAECYKIDSKNNKVYCRSSQDKKLGGVEEFSIDYDYLVIAMGARSNTFNTPGVEEHAYFLKEVEDAMRIRTKVINLFERASLPSVPMEEKKKLLSFVIVGGGPTGVEFAAELHDFVHEDLSKLYPSLIDHVKITLLEAGDHILNMFDKRITEFAEEKFKRDGIDVKLGSMVVKVGEKDISSKERESGQVVTMPHGMVVWSTGIGARPEILDFMKQLGQINRRALVTDEWLRVEGCDNIYALGDCATINQRRIMEDIAVIFNKADKDSSGMLDLKEFQNVVGDIIERYPQVDIYLKKNQMKEMATLLSKSQESPTTEVDIEYFKQALSKVDSQMKNLPATAQVAAQQGAYLADCFNRMELCEKYPEGPLRFRGTGRHQFHAFRYSHFGQFAPLGAEQTAAQLPGDWVSIGHSSQWLWYSVYVSKLVSWRTRALVVSDWGRRFVFGRDSSQL >RHN71847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3056538:3058354:-1 gene:gene7487 transcript:rna7487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MCTESLKYCSCTQCTAHANFFINEGPHGCLIWLGTWLTLGHRNKNEEQASPLFDIDTILAATDSFSIENKIGQGGFGPVYKGILAQGKEIGVKRLSKTSKQGVTEFMNEVGLVAKLQRRNLVSVLGRCTYGNERMLVYEYMPNGSLNHFIFDPVQGKILQWRKRYDIITGVARGLLYLHQDSKLTIVHRDLKTSNILLDSELNPKILILVCHISWKEIVQLGYMSPEYAVNGLLSLKSDVFSFGVIVLEMLSGIRNNHFKNQDHPHNLLGQGRALEFMDASIPSELLRCLQVGLLCVQKLPEDRPDMSSVVSH >RHN71736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2319276:2320016:1 gene:gene7368 transcript:rna7368 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MVNLCSEFLNGGTDTTSTSLEWIMANVVKYPEVKGRLVEEIREVMGGDENGEKEEVKEEDLQKLWYLKCVVLEGLRRHPSGKFPLPHAVKEDVVLDGYLVPKNGTVNFLLAEMALDRRVWEDPLEFKPERFLKDETFDITGSKEIKMMPFGAGRRICPGLNLALLHLEYFVANLVWNFDWKVPEGGHVDLTEIQEFTMVMKNPVQVHISPRI >RHN49657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:119733:120092:1 gene:gene33860 transcript:rna33860 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKLIGSKGKDVLVHQHNDTTNKKKAELNAPEMSEAFANNHKDIADQAPDNTFTNFDMLKAHSSAIIDLEEGEFDVNATIVDEILNNELLLDAPSQQNVKQVHLTLHNSFELLDNGS >RHN46829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35176800:35178810:1 gene:gene41360 transcript:rna41360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor, K-box MKELQNLEKQLEGALAQARQRKTQIMVEQMEELKRKERHLGDINKQLRFKLESDGFNLKAIESLWSSTHSATAVAGGGNFPFQPSETNPMDCQTEPFLQIGYQNYVQAEASSAPKNMVGETSFIQGWML >RHN58862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5505026:5509435:-1 gene:gene20816 transcript:rna20816 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione dehydrogenase (ascorbate) MLCFSLLLTHSTDHSSMATIINLSSTQLLSYHHFPSFSPQNTPTLSLNFHPNSSTNLRPISLKPYHPRKPQSWLVAMAVKNLADTSPVTVSPENDGLTGEELPSGAGVYAVYDKNGELQFIGLSRNIAATVLAHRKSVPELCGSFKVGVVDEPDRESLTQAWKSWMEEHIKITGKVPPGNESGNATWVRPQPKKKADLRLTPGRHVQLTVPLEELVDKLVKENKVVAFIKGSRSAPLCGFSQKVIGILEKEGVDYESVDVLDEDYNYGLRETLKKYSNWPTFPQIFLNGELVGGCDILTSMNEKGEVAGLFKK >RHN78927.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20051134:20055445:1 gene:gene2595 transcript:rna2595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKRKRSSSSLKSSQQIQMVSSSIKTYSYLPDECWESIFRFIINQYQTVPHSFFSRHSESILNSLSLVSKQFLSITNRLRLSITVVNFSPTISIRPIFQRFTNLNSLKFALYNELDGFLCKISHFPLKKLTSLIISNRHTIPANGLRVFTQNITTLTSLTCFDIADFNTTDLFLIAECFPLLEELNLSFRSGWKNKNKVTHYNNYCDGVEALSLALLKLRKVNLSGFPMSDKSIFHLFNNCKLLEEVTMFWCDQMTIAGIASAIRERPTLRSLSFCNAFFNLKDAEVSVSSHFIDSLLSLKGLTSLTWWFLNISDEMLYSIAREGLPLTRLVLKYCFGHSYAGIFCLLSKCAGLQHLDLQRAGFLNDHYVDKLSLFLGDLVSINLSECMDLTYSTLFTLVRNCPSLSEIKMENIGSKSVENSDSLPDFGVFPQLKYLYLAENSWHLNLAHCSRVNLLGVNFVVPKLEVLKLSDTKVDDETLYVISKNCCGLLELFVDGCNDVTEKGVKHVKENCSQLREHGYVRSSP >RHN79053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21979487:21985564:-1 gene:gene2747 transcript:rna2747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flagellar calcium-binding protein calflagin MSGYPNQPPSYGYGYGAPPPSQSYGAPPPSQSHGSHPPSSYGAPPPSQSHGSHPPSQSYGAPPPSQSYGAPPPSQSYGAPPPTSYGQPSAPYAAPYQKPPKEDSHSSGGGGATYPPPAYASPFASLVPSTFPPGTDPSIVACFQVADQDGSGLIDDKELQRALSSYNQSFSLRTVHLLMYHFTNTNVKKIGPKEFTSLFYSLQSWRGIFERFDKDRSGKIDSNELRDALLSLGYAVSPVVLDLLVSKFDKTGGKNKAVEYDNFIECCLTVKGLTDKFKEKDTGYTGSATFSYEAFMLTVLPFLIA >RHN66394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11758468:11762383:-1 gene:gene14364 transcript:rna14364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MKIMCDSLQLFAQHKDILGLQSKIGKVSRRTPSSSVVNASVMVGRNDDKETIMNMLLSESSTGNNNIGVVAILGMGGVGKTTLAQLVYNNEKVQDHFDFKAWACVSEDFDILSVTKTLLESVTSRAWETNNLDFLRVELKKTLSDKRFLFVLDDLWNDNYNDWDELVTPLINGNSGSRVIVTTRQQKVAEVAHTFPIHKLEVLSNEDTWSLLSKHAFGSENFCDNKGSNLEAIGRQIARKCAGLPIAAKTLGGVLRSKRDAKEWTEVLNNKIWNLPNDNVLPALLLSYQYLPSQLKRCFSYCSIFPKDYTLDRKQLVLLWMAEGFLDYSQDEKAMEEVGDDCFAELLSRSLIQQLHVGTRKQKFVMHDLVNDLATIVSGKTCYRVEFGGDTSKNVRHCSYSQEEYDIVKKFKIFYKFKCLRTYLPCCSWRNFNYLSKKVVDDLLPTFGRLRVLSLSRYTNITVLPDSIGSLVQLRYLDLSYTEIKSLPDTICNLYYLQTLILSYCFKFIELPEHIGKLINLRHLDIHYTRITEMPKQIIELENLQTLTVFIVGKKNVGLSVRELARFPKLQGKLFIKNLQNIIDVVEAYDADLKSKEHIEELTLQWGMETDDSLKEKDVLDMLIPPVNLNRLNIDLYGGTSFPSWLGDSSFSNMVSLSIENCGYCVTLPPLGQLSALKNLSIRGMSILETIGPEFYGIVGGGSNSSFQPFPSLKNLYFDNMPNWKKWLPFQDGMFPFPCLKTLILYNCPELRGNLPNHLSSIETFVYKGCPRLLESPPTLEWPSIKAIDISGDLHSTNNQWPFVQSDLPCLLQSVSVCFFDTMFSLPQMILSSTCLRFLKLDSIPSLTAFPREGLPTSLQELLIYNCEKLSFMPPETWSNYTSLLELTLVSSCGSLSSFPLDGFPKLQELYIDGCTGLESIFISESSSYHSSTLQELNVRSCKALISLPQRMDTLTALERLYLHHLPKLEFALYEGVFLPPKLQTISITSVRITKMPPLIEWGFQSLTYLSNLYIKDNDDVVHTLLKEQLLPTSLVFLSISKLSEVKCLGGNGLRHLSSLETL >RHN72889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11563289:11564954:1 gene:gene8655 transcript:rna8655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress up-regulated Nod 19 MVPLKIYIFDVTDTLKILDKSKGKRLNHDCKFEYEVEPCSTSYLNRSGCVDVKRASFPMQNGGYVIYGVGHQHSGAIGSTLYGKDGRVICTSIPKYGKGKRAGNEKGYVVGMSTCYPKPGSIKIFDGENLTLETNYSSNIRHSGVMGLFYFLVAEKLPYYHV >RHN52038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30677532:30678415:-1 gene:gene36652 transcript:rna36652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRKTNMTQFIFFIYVLMIFLSLFLVESEKLDIRCATVDDCPKVTKPVVMMCTGKFCHYFFVRKQIL >RHN64202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57219474:57220439:1 gene:gene26989 transcript:rna26989 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFSGSTRTHQLRRSRRLRSRVAESSTVTANCFFIRKDGKGSALGDGDKPNAADPAYVSIFTESNDLPLRRIVTFSTAKPLLFPVTESSTLDLFKTAEASG >RHN70138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49042095:49047135:1 gene:gene18692 transcript:rna18692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine--tRNA ligase MPNAFLAISENYQFLRIKPKEGGLGTSTVLARDMDLHGYLNRTTFQTLKLSSTPTCSSSRFLSPSLHKTHKFPFPRLTTKALSTSSAAVQTSPTTTTISDDQGGKHQWKATIDFKWIKDNKETVAVNIKNRNSDANLEFILHLYDKLFILQKEVERVRGERNAVANKMKGKLEPSERQTLIEQGKNLKEGLTALEEDLAKLNDELQREAQCIPNMTHPDVPIGGEDSSTIRKTVGSSPKFSFPVKDHLQLGKELDLFDFDAAAEVSGSKFYYLKNEAVLLEMALLNWTLSEVMKRGFTPLTTPEIVRSSVVEKCGFQPRAKNTQVYSIDDSDQCLIGTAEIPVGGLHMDSILAESMLPLKYVAFSHCFRTEAGAAGTATRGLYRVHQFSKIEMFIFCRPEESDYYHEELIKIEEDMFSSLGLHFKTLDMASEDLGAPAYRKFDVEAWMPGLERFGEISSASNCTDYQSRRLGIRYRPSEVLVPGPKKSKGNLAPPQFVHTLNATACAVPRMIICLLENYQQEDGSVLIPEPLRPFMGGLDIITRKS >RHN67227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25042342:25042857:-1 gene:gene15392 transcript:rna15392 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIGLDGRERESIMTLEAPEGKFMVDEDLLKKMKARRKKMNINSNQCKNDTCA >RHN60373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25963564:25965132:1 gene:gene22679 transcript:rna22679 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQKKPIKYHRVFYFFTHLTFLGVLLYHSNWGCFVFFGCYYRLQKIENSQKHSEGLGVNQIGMDKRNISFQQTIDFENNIISSGRHLYPYSDFYVVHEDEFKSFVQHITGKKSNVVRPPIPIHVLAPVPPSQALYNALPVYPMQPITGNHLVENSQSNIVEFPISSLMRNSQDLFPLNIGNSGGNQFQPYPHQSQVFNNINFQYHPIIKSQEHYYPTNGSNQFVNDFHSLQTNANNQILNGFPSTQTNMANNSMYLNGTNQIFHVNNNNQLVNGFSSTPKSQEMVTRLQKIRPPPLSIVRQPIPVMVSKPVPPSQAPYNTLLGHHVEPINFPPIVYNSENNLVEFPVSAFMRNVQDPTMNFDDSRGNQFNLYPLQPQVLNNINGTNQIVNGFHSSQTNVINELVNGFPSTQTNVSNPSVSLNATNPTFYMNDRNQPVIGFSSSQTNDPLSPTSKFMLPSPKSNMNFISPQSPYKPLLSPSLFSSPSSPEYPLYSHLVPDPPSPLSSSLFPSTTSPKRIDYQ >RHN38393.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000029.1:8207:12720:-1 gene:gene50792 transcript:rna50792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MDTRTLSVSHLLLPLRVLPSSQNQTFSFSSSSSSSSSSSSSTNINHHRVTTTTTTPLAIANPTSAIIPPTADDDTVPITLRQLCQSHVPDQLLQRMEEVGYVMPTPVQKQALPRLFSGRDCILHAQTGSGKTLAYLLLIYSIISTRKSSFQALVLVPTRELGMQVTKVARILAAKPTGVEGEQRSCTIMALLDGGTLRRHKSWFKAEPPAIVVATVESLCQMLERQLFSLGNLRVLVVDEVDFIFNSSKQVSSLRKILTSYSSCNNRQTVFASASIPQHNQFLNEAVQQKWTKRDVIHIHVSPVEPMPSRLSHRFIVCDIKRKLQTLLSLIQSDAPESGIIFVSEQSEKSKKAGNSPSTSLVIDFLKTSYHGSLDILLLEDDMNFNSRAASLLVSAT >RHN74916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37744621:37748460:-1 gene:gene11050 transcript:rna11050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ubiquitin-binding domain-containing protein MGCAGSSQAKADGTVKKIRKPKPWKHPQPITKTQLIQLRDEFWDTAPHYGGRKEIWDALRAAAEADLSLAQAIVDSAGVIVQSSDLTVCYDERGAKYELPKYVLSEPTNMIQES >RHN70158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49182054:49187076:1 gene:gene18713 transcript:rna18713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine permease, plant MEDGKKQVAEKKMKRFLLVVNCLILSLGTCSAPLIMRLYFIHGGQRVWLSAFLQTAGFPLMLIPLAISYIKRHRLHHHPPPLTTISIAPEKLNIISMKPPIFFAAAFIGILTGLDDYLFAYGVARLPVSTSALIIASQLGFTAFFAFLIVKEKFTAFTVNAVVLLTVGAGVLAMHTSSDRPAGVSAKQYWISFSTTFVTCMFATLFCAIGMIANNDFKVIPKEARNFGLGESTYYVVLVVSAIMWQAFFLGAIGVIFCASSLLSGILIAVLLPLTEVLAVVFYKEKFQAEKGVSLVLSLWGFVSYFYGEIKHAKAEKKKCSLEIKMGQTLEGLPAP >RHN38436.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000015.1:9165:15354:1 gene:gene50736 transcript:rna50736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative MRN complex-interacting protein MASSTLFIALQCFNCSTMQVKQKKKSSNKWNCVVCNQKQSVRKIFAQGYKAKDIRSFVQSVNMSRKSIEEDDQQQWLLAGTLNPTPEEHVRGEYEFPADFNNKKNCTTDWSVYLDNDDHRATERDEQQQHEDDFEPLVVTELPNGMLKKRKSVDNSTPRCGRRFKSPLFQNSEDAGEPVKDQRRITVLTESNSQRNSIVTSANQRTQKCKQAINTSTSKWNDYLAEDNLEHGYNKRGFNFKDTSGSWNSNDILEAITGEQRVEDDIHPDFM >RHN40770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20690840:20692139:-1 gene:gene46971 transcript:rna46971 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate dehydrogenase (NADP(+)) MRLDRSDLNLLYRSRYAREIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPLLKEIENKKIAPELYPYGSRGPVGAYYLAAKHNVRWGDLGGAD >RHN64119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56726477:56730638:-1 gene:gene26902 transcript:rna26902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative G10 protein MPKVKTSRVKYPEGWELIEPTLRELQGKMREAENDPHDGKRKCETLWPIFKIAHQKSRYVFELYHKRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQPRDHNFATTCVCRVPKELREEKVIECVHCGCKGCASGD >RHN40863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23382344:23382761:1 gene:gene47100 transcript:rna47100 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKMCHTYKSVRFFKSEIPEGIGPLKPLPWIVLISRFIQL >RHN50303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5955394:5960069:1 gene:gene34575 transcript:rna34575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MTIETLVENRKTRKKKEVVDGNVPLLPKAQETNVDYHEFNRASFSGAVFNLSTTVIGAGIMGLPACVRKLGMVPGLLAIILTALLTEKSIEFVIRFSRAGNLSSYGSLMGDAFGKYGKISVEICIVIGNTGMLIVYMIIVGDVISGTTSSGIHHPGILEGWFGVHWWTGRTFVLVFATLAVFAPLVSFKRIDSLRFTSALSVGLAVVFLIIAVGISTIKIISGGIEIPRLFPIITDVDSVFDLFTVAPVLMTAYICHFNVHSIDNELEDSSRMSGVVCTSLTLCSSVYLLTSFFGFLLFGEGVLDDVLANFDTDLGIPFGSALNDAVRLSYAAHLVLVFPVIFYALRVNLDGLIFSSSRRPLVVDNFRFASITISLVGIIFLGANFIPNIWVIFQFTGATGAVCVGFVFPAAITLRDRYNIATKTDKILAVLMIVLAVFSNAVAIYSDASALINKN >RHN57970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42816423:42819252:1 gene:gene33566 transcript:rna33566 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIIGSFQGPGGRLFEFTKNNMSTVLWLKSNYCGWSMSIGWRKLK >RHN41102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26987350:26989025:-1 gene:gene47391 transcript:rna47391 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPDFRERSSEREGYSRNSNPSGTLVVATPSVKVSKIPKILAIATRYSH >RHN77091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3976527:3984334:1 gene:gene509 transcript:rna509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XIIIb family MLEIKKSFRDVDNVLYDWTDSPTSDYCAWRGITCDNVTFNVVALNLSGLNLDGEISPTIGKLQSLVSIDLKQNRLSGQIPDEIGDCSLLQTLDFSFNEIRGDIPFSISKLKQLEFLVLRNNQLIGPIPSTLSQIPNLKYLDLAHNNLSGEIPRLLYWNEVLQYLGLRGNNLVGSLSPDMCQLTGLWYFDVKNNSLTGNIPENIGNCTSFQVLDLSSNELTGEIPFNIGFLQIATLSLQGNNLSGHIPPVLGLMQALTVLDLSYNMLTGSIPPILGNLTYTAKLYLHGNKLTGFIPPELGNMTQLNYLELNDNLLSGHIPPELGKLTSLFDLNVANNNLEGPIPSDLSLCTSLTGLNVHGNKLNGTIPATFHSLESMTSLNLSSNNLQGPIPIELSRIGNLDTLDISNNKISGPIPSSLGDLEHLLKLNLSRNNLTGPIPAEFGNLKSIMEIDLSHNQLSEMIPVELGQLQSIASLRLENNDLTGDVTSLVNCLSLSLLNVSYNQLVGLIPTSNNFTRFSPDSFMGNPGLCGNWLNSPCQGSHPTERVTLSKAAILGITLGALVILLMILLAAFRPHHPSPFPDGSLEKPGDKSIIFSPPKLVILHMNMALHVYDDIMRMTENLSEKYIVGSGASSTVYKCVLKNCKPVAIKRLYSHYPQYLKEFETELATVGSIKHRNLVCLQGYSLSPYGHLLFYDYMENGSLWDLLHGPSKKKKLDWHLRLKIALGAAQGLSYLHHDCSPRIIHRDVKSSNILLDSDFEPHLTDFGIAKSLCPTKSHTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKAVDNESNLHHLILSKTASNAVMETVDPDVTATCKDLGAVKKVFQLALLCTKRQPADRPTMHEVSRVLGSLMPSVAPAKQLTPLQPASHPSAKVPCYMDEYANLKTPHLVNCPSMSTSDAQLFLKFGEVISQNSE >RHN56761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33503533:33508982:-1 gene:gene32203 transcript:rna32203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome b-c1 complex subunit 8 MGKNIVPVKSVVYALSPFQQKIMPGLWKDLPTKIHHKVSENWISALLLVVPVVGTYSYAMHFVEQEKLHHRY >RHN76800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1352917:1353297:1 gene:gene177 transcript:rna177 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKCSLRDNTPKQCKSSVHIVNSLYMKSKCTKQQTLTKTNEKTTLLQSCLHKRFTMQK >RHN53064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41645591:41647590:-1 gene:gene37814 transcript:rna37814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative profilin MSWQTYVDEHLMCDIDGTGHHLTAAAILGHDGSVWAQSTSFPQFKPDEITGIMKDFDEPGHLAPTGMHLGEIKYMVIQGEPGAVIRGKKGSGGITIKKTGQALVFGIYEEPVTPGQCNMVVERLGDYLAEQGL >RHN51490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18845347:18847146:-1 gene:gene35932 transcript:rna35932 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDHFLLSEEWCLEWPNCLQVALLRGLSDHCMLVLSVDEENWGPKSSRLLKCWQETPGYNSFVSEKWKSFQIPGWGGYVFKEKLKLIKKSLKEWHFTHIQNLSGKIEALKVRQTDYDEKAEEQELSVEELTELRSISSNIHYLSRLKTSICWQQSRLTWPREGDANSKYFHSVLACRRSRNSLSSILVNCTVVERVQPVR >RHN57720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41293663:41296684:1 gene:gene33303 transcript:rna33303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MAENSNSNGNSVHVEHEEEEEEETNNLKHRNTKPVKQTLPEVLNRLASTILFPDPADGTSLLRRIKNSVADNAPLIPEASRNSANDVLVWTRRGSPLRALLVISVGTVAFVSLSGLLVFMLFFLTATVNAIVISLLISLAAAGGFLAIFFACATSIYIGALSIAIFVISVTTFWTIVAILITSGWIGFFYTVWLITRKSFGFAKHSLSVTGAAITTYSTSRASRHLVHTDSN >RHN78873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19462093:19465444:1 gene:gene2538 transcript:rna2538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative superoxide dismutase MKLLSPSATSTTHISSSFFLPNGFQNHGSSSATTFKFSKNQGRCIGNDEGTQITAKFELKPPPYPLNALEPIMSQNTFEYHWGKHHRAYVDNLNKQIEGTDLDGKSLEETIIMSYNNGDILPAFNNAAQVWNHDFFWESMKPGGGGKPSGELLKLIERDFGSFEKFVEQFKLAASTQFGSGWAWLAYKENRLDVGNAVNPLATEEDKKLVVLKSPNAVNPLVWNHHHPLLTIDVWEHAYYLDYQNRRPEYISVFLDKLVSWEAVSSRLEKAKASIAEREKEEERKRREEEEKSRTGEDTPAPEIFADSDTD >RHN62828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46083471:46089999:-1 gene:gene25446 transcript:rna25446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative N-acetylglucosaminylphosphatidylinositol deacetylase MALILIIASFIFLWIISLCKIFLLPRTPFTKHFTLDGRAFRKRNVLLVIAHPDDESMFFTPTINFLTSRGHNVQILCLSNGDADGKGNVRKQELFQACVSLKVPMQQVKIVNHPDLQDGFGKAWNHDLMANIIEQEITSHCIDMIITFDKYGVSGHCNHRDVHYGVCRLLHDKSRRDIEVWELVSTNILRKYSGPIDVWLSMFWVMLPSNGTMQCLVNEHSRRSFIAMAQHKSQWVWFRKLFVILSSYTYMNTLRKIE >RHN68580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36739242:36739907:-1 gene:gene16949 transcript:rna16949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MMSSCNSSPCAACKIQRRKCTKECIFAPYFPPENPQKFTYVHKVFGASNVAKLLNEVDASQREDAVKSLAYEAEARLRDPVYGCVGLISHLQNKLKNLQNELNVAKKELATYVGPQIMLPTPMTAVSSSYFSVTSSSVHQQRQEFFTFNAENSFSNNFQMNPFQNQLMVSSSQAQSSQPINPFLSKKVFKAERDNLGEGMITVSSILFIYLPFLPFLGFDI >RHN38971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3140949:3142929:-1 gene:gene44951 transcript:rna44951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSQNTNDVCIETNTFKEMKNLRLLKLHHVDLTGAFGFLSKELRWLHWQGFTHEYIPDDFFLGNLVVFELKHSNIKQVWNETKLMKNLKILNLSHSKYLTSTPDFSKLPNLEKLIMKDCPSLSEVHQSIGGLRNLLLINLKDCTSLSNLPKKINQLKSLTTLIISGCSKIDKLEEGIVQMESLTTLVIKDTGVKEVPYSVVRLKSIGYISLCGYEGLSEDVFHSIIQSWMSPTMNNLPHNNLDFLKPIVKSLAQLRTVWIQCHSKNQLTQELKIIFDDQYYINCTESEALQIPNTSSRSQLIGMGSCRTVVYTLGNSMSQGLTINDSGNFFLPSGNYPSCLVYTSEGPSTPFQVPKDIDCYMEGIVLCVVYSSTSENMAGECLTSVLIINYTKCTIQIYKRDTVVSFNDEDWKNVTSNLGPGDDVKIYVAFEHGLIVKKTTVYLISGQSIIMEVDEANMEMEPSEEVNVQPSHEANVQSSPIMKMKQLSKPNKSIFASIPNKMGAFFCLNNF >RHN70060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48400918:48401662:-1 gene:gene18603 transcript:rna18603 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTGNKKMRKRKIQDLITILQCIISGEDKDPIQLLDEAIRCLKCLKLKTKLMNLMSCKLGEFQAG >RHN77143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4409384:4413211:1 gene:gene568 transcript:rna568 gene_biotype:protein_coding transcript_biotype:protein_coding MMELNASYDIEQLRPKKKMEHDLWPLDPIDPKNAKFPCCLVWNPLPVVSWLAPFIGHVGICREDGTVLDFSGSYCVNVDDFAFGPVARYLELDRRQCCFPPNLSGHTCKHGYRHTEYGTAITWDDALRTSLRHFESKTYNLFTCNCHSFVANSLNRLCYGGSMSWNMVNVGILILFKGHWVDFWSVVRSFLPFVVVVCVGIFMVGWPFLLGLLSFSLLLIGWFLLGTYLVKSLLEC >RHN52969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40748592:40749131:-1 gene:gene37711 transcript:rna37711 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIISCSVGNSSVIPGVAYLTRKNNSLTRLNFSRSSLGHGSTRRFLFPSFVVNNVFPHNKRICSYRKKSRTFKSATETEVSVEVQDSPVIDEVSSESPSNEVGTSEDSSPRSDANAGSTKAKRSRPARKSEKPPVKNEDLVPGLCYSFVKDVPSVASLGQEVTVKTPMLVNLMMHRCW >RHN78456.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15327742:15331586:1 gene:gene2024 transcript:rna2024 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SEO-F3 MSSSMAPSSLVSNVSAYSQQARTSNPLAWSDDKILETVYLTHVHTGERYDVESLFNLTSNILKRSTAVADSVASKTGTPVGLVEDRLPLSGYEPPIRKLKHISAQMMSTLPGEHHAHMTTMSILDQLKSHTWDGKAIFALAAFSLEYGNFWHLVQTPSGDTLGRSLATMNRVQSVDKNRQAIADYNSLVKNLLFAVECITELEKLSTKGYEHKDVPALSEAMQEIPVAVYWAIITAIICANHLDLLFGDSDDRYELSSYDVKLASIVSKLKAHLTRSRKHIGELEDYWRRKRVLQTPTEIVEVLKVLVFHNEIQDPLVFDGLNRQMVSIEVFRKKHVLVFISGLDSIRDEIRLLQSIYVGLQEEPRELKGYRKEDFKILWIPIVDDWTLLHKAEFDNLKLEMPWYVVEYFYPLAGIRLIREDLSYKNKPILPVLNPLGRIVNHNAMHMIFVWGIDAFPFRPTDDESLTQKWNWFWAEMKKVYPRLQDLIKGDTFIFIYGGTDPKWTQDFALAIEKIKRHEITRKADAVIEHFHFGKEDKRIVPRFWIGIESLFANMIQKKHKDPTIDEIKSLLCLKQDQPGWVLLSKGPNVKLLGRGDQMYATAVDFEIWKEKVLEKAGFDVAFKEYYERKRREYPVACANMQLANYPSDILDPIYCPDSNCGRSMEIASVSYKCCHGHTHENAEVAPAESGGFVQIEKRS >RHN71766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2500742:2502635:-1 gene:gene7400 transcript:rna7400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MKTFYFVMLCVISFFVLVFPNGFFGLADDTNRALGSTCKNEENTTVNGVILQTLLNSLASNVVDHHGFYQTIAGENNSRIYGSILCRGDISANNCSDCVRNSTIEASNVFPKCRDVQVLFRWCFLRYSNESFFGDMQELTFTYDFDDIDDPSVVSQGLPFMSGVAATASEKSLMFHTEVLNFNQSEKRYGMAQCTRDISRKDCKRCLDSQLINIRTIRNNTRWEIYGSNCFMWYDDYQFYASVSFLPSAAWRPSSCRSLAAIAVSAALLIVF >RHN82051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50888503:50898568:-1 gene:gene6168 transcript:rna6168 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-fatty-acid--CoA ligase MDPTPTAQRRLKAINAHLITAVEDNSSDHLQSNPTAGEFFSEQGYSVVLPEKLQTGKWNVYRSVRSPLKLMNRFPDHPEVGTLHDNFVRAVDTFRDYKYLGTRVRVDGTVGEYKWMTYGEAGTARSAIGSGLIHYGIPKGAGIGLYFINRPEWLIVDHACSAYSYISVPLYDTLGPDAVKYIVNHALVQVIFCVSQTLNSLLSYLSEIPTVRLIVVVGGIDDQIPSLPSSDGVQIISYTKLFSQGRSNLQPFCPPKPEDVATICYTSGTTGTPKGAVLTHENFIANVAGATIDEKFNPSDVYISYLPLAHIYERANQVMTVYFGMAVGFYQGDNLKLMDDLAALRPTVFCSVPRLYNRIYAGIINAVKTSGGLKERLFNAAYNAKRQALLHGKNPSPMWDRLVFNKIKEKLGGRVRLMVSGASPLSPDVMEFLKICFGGRVTEGYGMTETTCVISCIDNGDRLGGHVGSPNPACEIKLVDVPEMNYTSDDQPNPRGEICVRGPIIFQGYYKDEAQTREVIDEEGWLHTGDIGTWIAGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYVKCNFVAQCFIYGDSFNSSLVSVVSVDPDVMKAWAASQNIVYNDLTQLCNDPRAKAAVLAEMDAVGREAQLRGFEFAKAVFLVAEPFAMENGLLTPTMKIKRPQAKEYFGKAISDMYSELSKSDPSQKPL >RHN57003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35643708:35645201:1 gene:gene32486 transcript:rna32486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MTGFGSSCGACKFLRRKCASDCVFAPYFSYEQASSHFSAVHKVYGASNVSRLLSHLPIQNRSDAAITISYEALARMQDPIYGCVAHIYALQHQVASLQEEIDILGSVMANSSVSVVNCGNVQAPMNSNNGTQYYHNQSFESYLDMELIPNAHGFPEPLYGDSNSNPLEKFLSGIDQEGFLNHPWFKHNNGDI >RHN51883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27595622:27595987:1 gene:gene36441 transcript:rna36441 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRFMKWLYGYNTTTSSVNTSSFGNDVLGIIKSMQILEVQFGNLHASSPSSFINNMNDVINNMMMLNTSSAYDHPGLLGGSSSHSQQLSSHTNNVLATDGDKARASNIHNMKMKNEEVV >RHN53229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:254437:256090:1 gene:gene28117 transcript:rna28117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator SWI/SNF-BAF60b family MAVSMATGLLLSSSTLTLRTPRFAFHTSSSSSSSSSSSTLRMAPLRIVTSATVSQPPPTGGKIRGIMKPKKISPEMQDLVGQPEISRTQALKSIWAHIKEHNLQNPEKKRLIRCDEKLKKVFAGRDEVDMLEIAGLISPHFLN >RHN65743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4892678:4893311:-1 gene:gene13625 transcript:rna13625 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSSYLLVFFLASLILIPQGFASQPHHHPNHPLSTQGFGDHSHPIHPTNLPKPHPHHPPKEDNNHF >RHN73317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15324368:15324796:1 gene:gene9118 transcript:rna9118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MMTTVYRTLELNMISAKDLKDVNIFPQISVYAIVSILGDPLDPQITTHIHCHARRNATWNIPIKFAVKESLVYHNRLSLEIKLISYRKFLPRSTIGKVRIPLLGLLDNSAYAGHPFSYQVRKKRSGKSKGTINLSYKLCDKE >RHN51829.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26261001:26261518:-1 gene:gene36368 transcript:rna36368 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSQNGLQRFGGGWHWRAATVALVDGGWKLGFVKLMGFESRDCDFDVKKWVMSAHNFSLHICKLIWGVNWRKMRFSGKTCILPVQKFIRRLASSLARQGE >RHN50059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3712857:3716572:1 gene:gene34309 transcript:rna34309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MSEAKDPAIKLFGKTIPVPEIPTGSGDSIGAPSSSSGDAVDDGINQNHGSFMDEEEREIDEDTMEDESTKCKKEKDEALTQSSEKITDLDTNSRLVEESINPSTEDEQNTLKTSKIMEEHTENSHDKTLKKPDKILPCPRCYSMDTKFCYYNNYNVNQPRHFCKKCQRYWTAGGAMRNVPVGAGRRKNKNSASHFRQITVPETAVQNSLSDSPNGVHHPSLNCNGTVFTFRTDTPLCESMESALNLADQGVNISQKNGFIRPEALRIHVPYVGEEKSDEHSIKSSDTSTTLTEDAAASSSVEQVMPNCQSFQPQVPYYPSAPWLLPWSPSQWSSQVQPPPAFFPQGFAMPLYPPPAYWGFSMPGAWNNPWLAQPSSPNSATVNSGPNSPTLGKHSREESMLKPTDSTGSDEGNNKEEKSLWVPKTLRIDDLGEAEKSSILTTLGIKNDKADAIRGGGLFKAFASKSNEKDSVQNSPAMQANPAAMSRSISFHETS >RHN68431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35495256:35496064:-1 gene:gene16785 transcript:rna16785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTEIVKFVYAMIIFFKLFLVATNNDDDSCYNDYHCINKSWLCPSGLVVRCITRQCKCITILNPIDFVST >RHN44087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1522379:1522980:-1 gene:gene38145 transcript:rna38145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MSKPPETSTEEVDRISSLPDDILIQILSSLPTKQAFLTSILSKRWKHLWFFVPVIDFTKTKHSDSRLFDKFVDSILCLRKAAGNNSIHSFIWDDEHISHNWATITPKLSNTILTCTTLVVLKLSYLYMGPAFCYYPIILPSLKTLHLKDIKFDRYGDLKCLLGYY >RHN44715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7651753:7654553:1 gene:gene38866 transcript:rna38866 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L10P MAGKVAKAAYDAKMGKLLREYTQVLVVSSDNVGSNQLQGIRRALHEDSVVVMGKNSLMKRSIIQAAEKTGNSHAFLNLVPLLVGNVALIFTKGDLRDVSERIAKLKVVNPILMCPKYMSYDSYKTCSYMQSGQLPLGLTCCNQQSSQASEPFLVSSKFSPPQHCYMMRSRQFLLTSIWSPFLLLAGNL >RHN74766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36541683:36543818:-1 gene:gene10879 transcript:rna10879 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MAKLPLLFFLLFLSLKSGGNMIMVNGQKTWCVAKPSSDQATLLSNLNYACSHVDCRVLQKGCPCSSPENLMNRASIAMNLYYRSKGTDHWNCDFRGSALVVVTDPSYGNCIYA >RHN63741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53661757:53666948:-1 gene:gene26482 transcript:rna26482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-transporting ATPase MNGQRGERAPLLEAERGGGRGKKRDDSTADQVSDLEHGDGVPAAHVGFFRVFSLAKPEAGKLVIATVALLIAATSSTLVQKFGGKIIDIVSGDIKTPEEKDAALEAVKNTILEIFLIVVIGSVCSALRAWLFYSASERVVARLRKNLFSHLVNQEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNLSTAFIGLSFMFATSWKLTLLALAVVPVISVAVRQFGRFLRELSHKTQAAAAVASSIAEESFGAIRTVRSFAQEDYEIERYSEKVNETRSLGLKQAKVVGLFSGGLNAASTLSVIVVVIYGANLTIKGSMTSGDLTSFILYSLSVGSSISGLSGLYTVVMKAAGASRRVFQLLDRASSMPKAGDKCPLGDHDGEVELNDVWFSYPSRPNHMVLKGINIKLQPGSKVALVGPSGGGKTTIANLIERFYDPTKGNILVNGVPLVEISHKHLHRKISIVSQEPTLFNCSIEENIAYGFDGKIEDADIENAAKMANAHEFISNFPEKYKTFVGERGIRLSGGQKQRIAIARALLMDPKILLLDEATSALDAESEYLVQDAMDSIMKGRTVLVIAHRLSTVKTANTVAVVSDGQIVESGTHDELLEKNGVYTALSGDNYSKNQNLIYAS >RHN74931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37945271:37947213:1 gene:gene11066 transcript:rna11066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TUBBY family MKLFRSLKLFRYFSNVKALNATNDDTVGSESEDKTDWSTMLPEVLADIIKRVDVIGEQWPHRRNVVACACVCKRWRDITREVVGSPSLNGKITFPSCLKQPGPRDLPHHCVVNWNKKTSTFYLYLALTPSLTDNGKFLLAARRYRFVTHTEYVISLDANDFSQGSNAYVGKLSSDFLEANFTIYDASAGAKPSSDRTSRRFASKQISPQFPAGNVEAGNISYKKFSLLKPKRPRRMICSLKSPVSSICESSDSKSFDNHTMHCKEQATSSAYTILKNKAPMWNEQLECWFLNFHERKDMTVASVKNFQLVATVDQSQPGGKGDEETVLLQFCKVGGDTFTMYYRQPLSAFHAFAICLTSFGTKLACQ >RHN77672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8550969:8552590:1 gene:gene1150 transcript:rna1150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prolyl oligopeptidase MFRYLANLQCRDGEVVDAGTETTVNLYQELYYHFLGTDQSEDILCWRDLKNSKYMFDSSVTGDGKYVLLSINEGCDPVNKMYYFDLSELPNGLEGFQNENAFLPFVELIDNFDAMYQAIANDDTVFTFLTNKNAPKYKLVRVDLKEPNTWTDVIQESEKDVLKEAYAVNGNQLIVS >RHN76883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2090503:2091148:-1 gene:gene268 transcript:rna268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MSAKYTPISAVSGGRKNLKMCVRVAHIWLIREKKVPASIIFMNMLLVDEKGGRIHATARKDLVAKFRSMVQEGGTYQLENAIVGFNESPYKVTSHKHKLSMMHNSTFTKVHLPAIPMNVFEFKPFNEILSSTADEVSTGKTSILNVCCTLFLPISIRLGISYICFCFCIRNFKCYWSCN >RHN51996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29902407:29904492:-1 gene:gene36597 transcript:rna36597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQFLLFIYSLIIFLSLFFGEAAYERTEPIMHNGEPINLIPCVTVADCPRMDEPLHMTCLVGACWPCIRSLY >RHN55657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20685641:20687194:-1 gene:gene30874 transcript:rna30874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVSKILPNLRELRVSECDLLDINISPLFDSFCNTSSSLTILDISSNMLTSSTFKWLFNFTSNLKELYLSNNKFVLSSLSLMNFHSLLILDLSHNKLTPIEAQDNFIFNFTTKYQKLYLRNCSLSDRNIPLPYASNSKLLSALVSLDISFNMSKSSVIFYWLFNFTTNLHRLHLSNNLLQGHIPDNFGNIMNSLSYLNLSNNELQGEIPTSFGNISTLQTLLLSNNQLCGKIPKSIGLLSMLEYLILNKNSLEGKVIESHFASLSNLIRLELSYNSLSLKFNTDWVPPFQLSRLELASCSLGPSFPRWLQTQSYLLSLNISNARIDDTVPSWFWHMSQNMYALNLSYNNLKGTIPDLPLSFTYFPILILTSNQFENSIPPFMLKAAALHLSHNKFSNLDSLLCHKNDTTNSLGILDVSNNQLKGEIPDCWNSLKSLQYLDLSNNKLWGKIPLSIGTLVNLKALVLHNNTLTEDLPSSMKNLTDLTMLDVGENKLSGSIPSWIGENLHQLAVLSLRLNR >RHN39574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8353994:8354504:1 gene:gene45612 transcript:rna45612 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVIVLSQTTSEIHLHQLTPQCITTKTDSARVGTTTTAAKEAHLL >RHN53548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:2446219:2447488:-1 gene:gene28466 transcript:rna28466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glyoxalase/Bleomycin resistance protein/Dihydroxybiphenyl dioxygenase MAASFRWLLQLHKDVPKAARFYSEGLDFTVNVCTLRWAELQSGPLKLALMHSPIDQSTQKGYSSLLSFTVTDINSTVTKLMALGAELDGPIKYEVHGKVAAMRCIDGHLLGLYEPV >RHN58069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43501327:43501975:1 gene:gene33676 transcript:rna33676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MFLIMIIKNSLLLFIITKLLLFLLHILLQSYVVYLGAHSHGPNPSSVDLDYATKSHYSLLSSILGSNEKAKDAIFYSYNRHINGFAAILKDEEADELARNPNVVSVSLNKMHQLHTTRSWEFLGVERNEIIPKESIWEKARYGEDTIIGNLDTGI >RHN72203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5762763:5763663:1 gene:gene7889 transcript:rna7889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative senescence regulator S40 MSEEFLESEVLFFNQIHQSEAEDKKVLMKLKKEEINSDDEIDQAADNKMVRSMPMNIPSEGIFHRRGNGYEEDEDEEEMVPPHLIMARRLAGKMTFSMCSGHGRTLKGRDLSRVRNSILRMTGFIEV >RHN48612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48821162:48822933:1 gene:gene43351 transcript:rna43351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ammonia-lyase, Serine racemase MTMGKYAADISSIKEAHARIKSLIRKTPVLSSNSLNDISRRKLYFKCENFQKSGAFKFRGACNAVFSLTDEDASKGVITHSSGNHAAALALAAKLRGIPAYVVTPKNVPICKLENVKRYDGKVNFSEANIRSRDEVAYILRQETGAIFIPSSNDGRILSGQGTISLELLEQAPQIDTLVVPISGGGMASGVALAAKAINPSIRILAAEPKGADDAAQSKAAGRIITLPEVNTIADGLRACLGNFTWPVVRDLVDDIITVEDSEIVKAMKLCFEILKIVVEPSGAIGLAAVLSKTFQKNDAWKDSKHIGIVISGGNVDMAVLWNYLNKSK >RHN52639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37308976:37316274:1 gene:gene37333 transcript:rna37333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative High mobility group protein HMGA MDPSSISSPAPPPNTVPFAVEPNNHPLPPPPQQLPAPSSFNHPPYAEMIYTAIEALKEKDGSSKRAISKYIEHVYRDQLPNSESHQNLLTHHLKRLKSEGLLQMIKNSYIIPRSTSLPPPPTTASPSSRPRGRPRKVQTPVAQTQAQDNNNNFVVNNNPFPVAAVTSNNNSSSNPAAAHIQTQAQQNASAEPVWAALGLNDEPVAIQPSTVFEESKRGRGRPKKGTSLSSPGSTPIQGGSGPVSLSTTPSRGRGRPPGSKAKSKRRPGRPPKIQPETLVSGATVGVVLGGSKRRPGRPPKNQQQNPTVIPFAAPVQEGTVQAGVEGVAVLTPRSRGRPRKNVNAVAAVPVAAVAATGRGRGRGRGRGGVAGRGRGGGRGRGRPRLNLLAQSFGKRPVGRPKKRTTPATASAPENAAKEDDLKRKLEHFQGKVKESLAALRPHFNHESPVTAIAAIQELEILVAMDLSEPLKDETLPQQQNLSAQDQPQQQQLPQPPPPQQQLPPPQIVAHPQVFPPHYPLLSQEYHYQQQQPQLYQQPHLYQPPPPQQNQFHP >RHN54353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8933463:8936632:1 gene:gene29372 transcript:rna29372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSIVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFCIDHPGAVPITTAQGEELRKLINAPAYIECSSKSQENVKAVFDAAIRVVLQPPKQKKKKNKAQKACSIL >RHN56296.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29563064:29565355:-1 gene:gene31672 transcript:rna31672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MTKKYQGCAVGIDLGTTYSCVAVWQDEHNRVEIIHNDQGNKTTPSFVAFTDDERLIGDAAKNQTANNPQNTVFDAKRLIGRKFSDSVVQDDIKLWPFKVISGTNDKPMISVKYKGQEKHLCAEEISSMVLTKMREIAEAFLEFPVKNAVITVPAYFNDYQRKATIDAGTIAGLNVLRIVNEPTAAAIAYGLDKRTNCMGERNIFIFDLGGGTFDVSLLRIKDRVSHLFKIKKDKVFQVKATAGNTHLGGEDFDSRMVNYFAEEFKKKNKVDITGNSRALRRLRTACKRAKRTLSFAVVATIEVDSLFEGIDFFSSITRAKFEEINMDLFNECLKTVERCLTDANMDKRAVHDVVLVGGSSRIPKVQQLLQEFFGGKELCKSINPDEAVAYGAAVQAALLSEGFKKVPKLVLQDVTPLSLGIQVIGDIMSVIIPRNTSIPTKITKGFITDYDNQVCVGIYVYEGERAKASDNNLLGSFDLNGLPIAPRGHPVKVCFTINENGILVVSAYEKSTGIMKEIAITNSKERLSSQEIKEMIREAEDYRFKDEKFLRKANVMNALDDCVYKLRNALKNKDIRLQLSSKKIDKINSEITKATNFHDTNQKSEIHVLENHLKELKSILQHIISKYV >RHN42659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40040427:40041185:1 gene:gene49128 transcript:rna49128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A.2 MDASTKATKKGAGGRKGGGPRKKSVTRSIRAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKSEKPVKEPKSPSKAKKSPKKA >RHN43698.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47795859:47803190:1 gene:gene50314 transcript:rna50314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine incorporator/TMS membrane protein METGESNNGNERCIISNDSSWFSQFRNASNPWMARYAYAFIFLLANLLAWAARDYGRSALTEMERLKGCNGGKDCLGAEGVLRVSLGCFTFYIIMFLSTTGTSKLKQKRNTWHSGWWLVKIALWIVMTVIPFFLPSGFIQIYGEVAHFGAGVFLLIQLISIISFITWLNDHCASEKYAARCHIHVMLFATTAYVVCLVGIILMYIWYTPEPSCLLNIFFITWTLVLVQLMTSVSLHPKVNAGILTPGLMGLYIVFLCWCAIRSEPAGENCIRKSNSAPKTDWLSIISFVVAILAIVIATFSTGIDSKCFQFRKDDTPAEDDVPYGYGFFHFVFATGAMYFAMLLVGWNSHHSMRKWTIDVGWTSTWVRIVNEWLAVCVYLWMLIAPMIWKCRQVGST >RHN74471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33810247:33811782:-1 gene:gene10554 transcript:rna10554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MHAFIFLFSALCSLYTPSFVESTKNPSGFEVELIHHDSPLSPFYNSSLTSSELITNAALRSISRSKRLSLFQNNELNESPESIIIPNGGDYLMKIYIGTPPVERLAVADTGSNLIWVQCSPCKKCFPQDKPYFDPNKSSTYMGLSCDSQSCSSLPLGKHRCGKSKKCEYLIIYGDESYSFGELSTDSIGFGSMNGEGEKDVTFPKSVFGCGLQNDLGSETSHKTTGIVGLGLGPLSLVSQLGDSIGRKFSYCLVPFGSNSTSKLKFGDQAIIKGNGVVSTPLMIKSSDPYHYYLNLEGITVGQKTAQSGQTDGNIIIDSGTTLTYLEPKFYNDFIASVKGVIGVEEVKDPPSPFTFCFTFGDLAKFPNFVFHFTGADVTLKPQKLLGVLGNNSYCLLAIPSNDLSIFGNIAHVDFLVEYDLEGKKVSFAPSDCSKN >RHN41011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25757219:25758256:1 gene:gene47280 transcript:rna47280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanylate cyclase activating protein MASQNPPQSHFYDHLPFMANKLGGDGLIDELCNGFNLLKDSNKGVITFESLKMNSALLGLNGFSDEDLLSMLMEGDFDGDGALNQMEFCVLMFRLSPELMEGSKLWLEETLQHQF >RHN68117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33025399:33028164:-1 gene:gene16417 transcript:rna16417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb/SANT-like domain-containing protein MIFFFIGSSILHLFHNFFLTYSMFLPFQALQLKAKMHRLRAMYREFYSLLQNIGFGWNAETNTVTASEEVWKNYLKVHPKAAQFQKKGCDFACNLGLFSYQVCGCSR >RHN60032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17281519:17285268:1 gene:gene22227 transcript:rna22227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MYQEKTIITLLMIFLASLFICNGSYIVEPHHQANVERYYHVHDGRLIKTKHQHFGHITRTNRDTLFSSKSQGMVSVDDFGARADGRDDWEAFKKAWNEACSKGYVLVVPKNRIYRLKPITFSGPCQPNTAFMLYGTIEAWSQMSAYEEDRQHWIVFDSVSNFKVDGGGTFNGNGKKWWENSCKYSNNNLPCNDEPRPTAVTFYDCKNLKVKNLRFKDSQQMHVVFERCFNVFVSNLIVRAPEDSPNTDGIHVAETQNIDIINCDIGTGDDCISIVSGSKNVRAIDITCGPGHGISIGSLGADNSEAEVSNVVVNRAALTGTTNGVRIKTWQGGSGYARNIKFMNIKMQNVTNPVIIDQYYCDQTEPCQERNKAVQLSQVLYQNIRGTSASEIAIKFNCSRSVPCREIYLQDVILEPEGGGGTTATCENVRYVNRGKFYPQCSPQ >RHN78952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20233329:20238321:-1 gene:gene2621 transcript:rna2621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MWALRRTSVRLTLRNQGLNNNNSGISRAASVKLIPNTSEEAVPVPVPDSHARFLSTNLHTPHASHRFTFTTRELSSQADASSQKEDDADDNDDMEIEDRLTEPDADGDSRDTAEIDINELELSDTETDSSDKKSFSSRRRSELFKAIVSVSGLSVDSALDKWVEKGKELSRQEIGLALNSLRRRKMYGRALQALDWLESNKKLEFTEKEYASKLDLIAKLRGLPKAEKYLEHVPNSFRGELLYRTLLANCASLENLRKTEETFNKMRELGFPVTAFACNQLLLIYKKIDKKKIADVLLMMEKENVKPSSYTYKILIDVKGLSNDIDGMSQIVETMKAEGCELDHLTRASLARHYAAAGLTEKTEAILKEIEGENLKENMWVCPTLLRLYAILGRADEVERIWKVCESKPRVEDCLAAIEAWGRLKKIEEAEAVFEMMSNKWKLTARNYESLLKIYIRHKMLNKGKDLIKTMGDSGCTIGPTTWDALVSLYVQAGEVEKADTVLQKALQQNKMKPMFTTFMTIMEQYAKRGDVHNAEKIFYRLRQANYISRISPFHALAQAYKNAKLPAYGIRERMKADNLFPNKALADQLVQVDPFRKTPVSDLLD >RHN42316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37366065:37373429:-1 gene:gene48744 transcript:rna48744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc/iron permease MALSSSSRKKRDEFFFFMSLLLFCSFLDLAKSHQGHSHSIPNIHHHHCGGGEHDHDHHHHHDHVHQQSKLLPEELAEDEDMKLYGFGLPHHDHHHFTASAEQLSGLGLWLNALGCSFLVSMASLICLIILPVIFVQGKPSKAVVDSLALFGAGAMLGDAFLHQLPHAFGGGEHSHSHGDHADHDHDDNSGHGHSHSLADLSTGMSVLAGIVLFLLVEKLVRYVEENSGGANSWGHGHHHHNHNSKKKLKDDNNSDVNNQSQSSDTKKKSLVDEGKEDNQVSHDTLEGDKPAKSKSSLRKRSGSNATKGGSQNDNAEDSSTDNVKSSDVIEPVRPASSLVFGYLNLFSDGVHNFTDGIALGSAFILYGSVGGWSRTLFLLAHEIPQEVGDFGILIRSGFSIPKALFFNFLSALVALAGTALALLWGKDPGQSSLIEGFTAGGFIYIAIAGVLAEINNNGKTTLRSTVVQIISLTMGMAVALGISLIE >RHN66755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18319631:18319939:-1 gene:gene14833 transcript:rna14833 gene_biotype:protein_coding transcript_biotype:protein_coding MLPESDFDLNRFFLPEPEFFAQFLNFIFNSISQFRVYNRFNLGIFLITKGKKIAFIMEFAENLVLKLMEDPNKRDRRFREHVYKTNDRCAKMKEMWSYPMQP >RHN44634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7043040:7044981:-1 gene:gene38777 transcript:rna38777 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MNTNNGEKLKAAAQAGNIDLLYAVIDDDPFILEHIDSIPFVETPLHISADMGHLQFATEIMMLKPSFAWKLNPQGFSPIHLAMLNDQKRLVYCFVNINKDLVRIQGKEAITPLHFASQIGEVDLLAKFLKLCPESIEYLTVRHETALHIAIKNQQFEALRVLVGWLRTHVAIGAQKLENQILNKRDEAGNTILHISALSTERQAVRLLVKTKINLNTMNLESKTALDIASTPRIKSMLFRVGAKPSLEVTHNPTLAHRFRIGRRRSKFRIRANMTEENRNTWLIIATLVATAIYQSGLSPPGGIYQVSVGDDNGVNITSSNSTISTPENAGKSVMPGYEFFLFLIVNLYPFTVSIIAIYLMIPTLSGQFMGFLVASPVGWFSASHLFTESIHRHTKCHTPLHTTNDMAKIL >RHN63813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54197115:54198591:-1 gene:gene26566 transcript:rna26566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MLQHPRVLRSSPQPFNPSSHTSTPSHSSFQQLPTTPHFPNLKQQCRLSRRELAIYSNTCLLLLLGSGSRARAAEDVTNSDKLGEDLTKTPSCTERKPTKQVFFDVSIDREPVGRVTIELYGDDVPAGVERFSKIVSGAAGISYRRKEFVKIMPNYVQHGGLRSYGVDAELANKTGSNLATEKLVEEWESVYEKCPGTKNVAGSVGIIVRDPSKPPPKFKLVARLGKLEIDQEEVGTDPNGTEFVIATKDSPELDASTLVIGRVVGGMEFVQKISQVKTVQENTSSPYFRVAKLIGDKRAVVAERGFNRPYSKVVITNCGVIQ >RHN46231.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29844840:29847442:1 gene:gene40686 transcript:rna40686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTQIIMSVYDFIIFVSLFLVVTNPENSCVTNDDCPEAVFFVTFRCIKNICVRIR >RHN55089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15087630:15089219:-1 gene:gene30217 transcript:rna30217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MSCYQCKIIQFMETWLVITILCLLLSLILKFIRNLIFPKSHLPPGPPKLPIIGTFTLLNQFFKDPKTLLQDLHAKYGSIFTLQMGSNTDIYIANRFLAHQALIQNSTIFADRPEAVPTKKIISSNQHDILFSFYGPIWRLLRRNLTSRILHPSQVKSYAQARKWVLDILLQRLKNVSHERNTEGIFVVDHFRYGMFSLLALMCFGDKLDVNQIREIEESQRIMLLNFSRYNVLNFWPPITKILYRNRWKEFLKLRSDQEAVLNPYINARRKIKEERLRTDRENNLNESHGEFVLSYVDTLLDLELLEEDNKRSQLDDGKICTLCSEFLNAGTDTTSTALEWIMANLVKYQDIQEKLVQEIKGVIGDDKKEKEIREEDLKKMPYLKAVILEGLRRHPPLHYVAPHRVTEEVVLNGYSVPTFASVNFLVAEIGRDFSAWDDPMAFKPERFINNSTFDIMGSKEIKMMPFGAGRRMCPGYGLALLHLEYFVANFVLNFEWKVVDGNEIDLSEMLQFTTVMKNPLKVHLISRF >RHN75775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44962752:44966480:1 gene:gene12023 transcript:rna12023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative terminal organelle assembly protein TopJ MGVDYYKLLQVDRNAKDDDLKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYDVLSDSNKRAVYDQYGEDGLKGQMPPPPDSHASGSGGSRNFSPDDFPYQFNHRTPDDIFAEFFGDRNPFGGMGGMGRGSNGMFGSYGDAGGSGVNVHQGPPRKAPPIENKLLCSLEDIYKGTTKKMKITREILDHSGKTMSLNEILTIDVKPGWKKGTKITFPEKGNEHPNTIPADIIFVIDEKPHNVFTREGNDLIVTQKISLAEALAGCTVNLTTLDGRHLTVVINNVVHPEYEEVVPREGMPLPKDPTKKGNLRIKFNIKFPTRLTSDQKAGMKKVLAG >RHN65508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2783173:2787084:1 gene:gene13354 transcript:rna13354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MSKMAETAVLFALGQVFQFLKEETNLLSGVHRDFSDIKDELESIQVFLKDADRRAADEADTNDGIRTWVKQLREASFRIEDVIDEYLRLTHRANRPRRGSLIFKIASLIKTLTPRHKIALEIQDIKLSIRGIKERSERYSFQTSHEQGSSNSRNSSGESESGRWRDPRMSSLFIEETEIVGLEGPREELFGWLLEGAAKRTVISVVGMGGLGKTTLAKLVYDSQKETEEPLLKMLHKMDDMSLVIEKSFLVHVLNMQLLPPNKAWELFCKKAFRFELDGQCPPELQSMSKEIVRKCKQLPLAIVAIGGLFSTKSKTRTEWQKVSQKLNLELGRNAHLSSLTKILSLSYDGLPYYLKPCILYFGIYPQDYSINNKRLTRQWIAEGFIKSDERRTPEEVAEEYLSELIHRSLVQVSIVEGKIQTCQVHDLFQEVFIKKMKDLSFCHCVHDDSESIAVGNTRRLSIATSLNNVLKSTNNSHFHAIHVFEKGGPMENLMDKLCSQPSILKVLDIQGTSLNHIPKNLGSLFHLRYINLSYTNVQTLPKSVGELKNLETLDLRETLVHELPHEINKLEKLRNLLVCHSNYEGNYSLLGTTGGRMQKGIKNMTSLQNLYDVEVDHGGVYLIQEMKMLRQLRRLGLRRVRREHGNALCAAVAEMKHLEHLNICAISEDEILDLNCISSPPQLLRLHLKARLQKLPDWIPELECLVKVRLSFSMLKDNPLQSLKNMPNLVSLCLWDNCYDGEIFHFQNGGFLKLMTLNLRCLNKVNSIVIDHGNLLSLEHLTLEKIPQLKEVPFGIKLMHKLKDIHITDMPAEFVESIDPDKGQDYSIIKHVPLVFIRHSHGPNLFDYDIRTIHSSSKEL >RHN75022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38821331:38821933:-1 gene:gene11169 transcript:rna11169 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIEVLGLTWGVWDSSIFDLRLTIILGADVLYDSNGERRICFRSLFGFDWDQLIEFLMQKWGLKCLKLLDGFSILPSFKASQLSGNIKLVEIALTSKDNA >RHN57104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36499070:36499460:1 gene:gene32603 transcript:rna32603 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKLRAKTKIYVKADSSCAVALTSGFVEVRWFLGVKVQKMWKP >RHN49893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2072775:2075205:1 gene:gene34121 transcript:rna34121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MLQKMASKSILLLGIFLMVATKVFPYNEDLKIVVNNANPSVASVAAQLVKQPPSLVVITAPTPPSPVDAPPIAEAPTQTPLGQSPESAPAPLATPVVKSWEDCIPLCYVRCKLHLRKVECMTQCMTCCDRCQCVPPGTYGNREKCGKCYTDMRTFLDEIMCP >RHN52047.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30888204:30890179:-1 gene:gene36662 transcript:rna36662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MRLSRKSVVKEVVEEKETTEKKKEEVVVEGKPTKKKKKKSASDEKRELMEVWNEKMVAETENAGGALSDKSSTAKVKETIRTFNKHYLHLVQVEEERVAKEIAEFEKTNGGKKSAAKKKSRGKKSPKKDAKTKEEKHPSKRPSKRPDLKVITKMMQNNEILYPGKRIGSIPGIEVGYQFYSRAEMVAVGFHSHWLNGIDFIGKSYSKMYKLNLPVAVAIVISGMYEDDLDNAEDVVYTGQGGHNLTGNKRQIQDQKLERGNLALKNCCKQEVPVRVIRGHNSSSSYTRKVYTYDGLYKVDKYWADKGLSGFTVYKFRLRRVEGQPTLTTNQVYFTMGRVPQSTAEIRGLICEDITNGQETVPIPATNLVDDPPVPPPPGFKYLKSLKVAKSVRLPVSASGCKCNGKCIDPNTCECAKSNGSEFPYVSKDGGRLIEAKDVVFECGPNCGCGPECVNRTSQRGLQYRLEVFRTAKKGWAVRSWDFIPSGAPVCEYTGILGRTEDVDCVLENNYIFEIDCLQTIKGLGGRERRSKNVAYASSLLEKYNDQDSESAPEFCIDAGSTGNVARFINHCCEPNLFVQCVLSTHYDLRLARVVLFAADNIPPLQELTYDYGYALDSVLDSDGKVKQMACYCGAAGCRKRLF >RHN73031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12872010:12873030:1 gene:gene8806 transcript:rna8806 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain, Cell wall/vacuolar inhibitor of fructosidase MRKTITIVSSFAIHIILLASIPFTSSQSNDLIDQICKKTPFYDLCSSILNSNPLAPKTDLKGVALVMVNNILTNASDTLNYIESLIKKTTDREMEKALAFCAESYIPVVKYTLPQAADAINQNRFGFASYCISDAVKEVNSCNKKFSGVGMSPLGDRNGIVQKLVDVASAIIKQLLKG >RHN71221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57335723:57336839:1 gene:gene19874 transcript:rna19874 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSSSTMKSHLRPPRPIPKSPMRLRTRTVLDSPSLQTPPGSLTKSRKLVQSPELRPEYRTIASEFRALSRMVRDEFGKPDPEEIAGTNSCNPKSGVLFQRGKFYDEYSARRNERLKRKKGVTVDEINTTSIKQPKVNNHHNVLGVTVESGKKNTARKLGSLRKSVSAAYSAEVSETPRYMLRSMTKENKKPPLAGSRFDKSVTVGEKKVGASRRVGKISYY >RHN38712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1430136:1430659:1 gene:gene44673 transcript:rna44673 gene_biotype:protein_coding transcript_biotype:protein_coding MFGWTWLLACGWFKFWICRFFIEPCLVCGRSTVSGCFLLYAGGKGSSLVFLFFVAVRGGLRCCVYVFGSYPSIVVFKRSISSCAQFFFYFVLCSVKGLGSRLVRSPSAFWWFNFFLGSVSVGCRGGACVVSSWLLGCGCVLG >RHN75394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41905345:41918924:1 gene:gene11586 transcript:rna11586 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adaptor protein complex AP-2, alpha subunit MAMSGMRGLSVFISDIRNCQNKEQERLRVDKELGNIRTRFKNEKALTPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSSLLNENHDFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLLSSSCRPLVRKKAALCLLRLYRKNPDVVNVDGWADRMAQLLDERDLGVLTSSMSLLVALVSNQHEAYWSCLPKCVKTLERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNTRRSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKDIVEELLQYLSTAEFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAAAKAREYLDKPAIHETMVKVSAYLLGEFGHLLGRRPGCSPKEIFSIIHEKLPTVSIPTISILLSTYAKILMHCQPPDPELQKQIWAIFKKYESSIEVEIQQRAVEYFALSRKGAALMDILAEMPKFPERQSALIKKAEDTEVDTAEQSAIKLRAQQQYQNSNALVVTDQSHANGAPPAVGVGQLSLVKMPSMSSNVDDISAEQRLSQENGTLNQVDSQQPSPDLLGDLLGPLAIEGPPSSNVHPQPSSDPGTEGTVVDATAIVPVGQEASSVQPIGNIAERFQALCLKDSGVLYEDPYIQIGIKAEWRAHQGHLVLFLGNKNTSPLISVHALILPPVHLKMELSLVPDTIPPRAQVQCPLEIMNLHPSRDVAVIDFSYKFGNDMVNVKLRLPAVLNKFLQPITISPEEFFPQWRSLPGPPLKLQEVVRGVRPLPLLEMANLFNSFHLIVCPGLDPNPNNLVASTTFYSESTRAMLCLARIETDPADRTQLRMTVASGDPTLTFELKEFIKEQLVSIPLASRIPPTHAAPMSPVAQPASAPPPAALNDPGAALAALL >RHN65138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64435098:64439574:1 gene:gene28041 transcript:rna28041 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MSMASTSVIVEEEKQLILYSYWRSSCSFRVRIALNLKGLKYDYKAVNLLKGEQSHPDFLQLNPVGFVPVLVDGPAVIFDSFAIIMYLEDKFPQQHPLLPTDIHKRAINFQAVSIVSSSIQPLHNLNLLKYVEGKVGPDEKLPWVQNVIKKGFTALEKLLKEHTGRYATGDEVFMADIFLAPQLHAASKRFNIHMNEFPILSRLHETYYEIPAFRDALPENQPDAMG >RHN68838.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38965786:38967773:-1 gene:gene17249 transcript:rna17249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L15e MGAYKYVSELWRKKQSDVMRFMQRVRCWEYRQQSSIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVSKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWVNEDSTFKYFEVILVDVAHSAIRNDPRINWLTNPVHKHRELRGLTSAGKENRGLSGKGHRYHKARPSRRANWKRNNTLSLRRYR >RHN79022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21285457:21286224:1 gene:gene2705 transcript:rna2705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEMETPCSTLSWEVLILVAHHLDPKTLAIASCVSKSWLHSMSSDLLWKPIVTTHFPSLSTLPTTVSYCRLFALGQSSTTRRRQTPPKPTLSLDDLIFTVSITSKRDSRVVSTVSRPVEALVVDPPGVFRFVVSFESGVVVKNNGFEEVVKVTWNVVVKGWRGVFTLMDYEGKVGFVAGREEWFSQELPAPGCCSKMVASSVVADMKVGMCGCRESDDGGGEVRVGKVSVGIMSVVDWRYVGIDDGLRYLQHFLLT >RHN64129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56817393:56821695:-1 gene:gene26915 transcript:rna26915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MGSLENGTPKKIREEETEEPILMKQTQRFCMFPVRYKQLWEMYKKAEASFWTAEEVDLSYDVQHWATLSDSEKHFISHVLAFFATSDGIVLENLAARFLNDVQIPEARAFYGFQIAIENIHSEMYSLLLETYIKDSREKHKLFNAIENLPCVARKAEWALSWINSSTSFAERLVGFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACMLYSLLLRQLDSERVQNIVHEAVEIETEFVCDALPCALIGMNSKLMSQYIKFVADRLLVSLGCLKIYNVENPFDWMDFISLQGKANFFERRVGDYQKASVMSSLQDDGKNFVFKLDEDF >RHN55178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15763445:15766218:-1 gene:gene30315 transcript:rna30315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative structural maintenance of chromosomes protein MPGNIIEIELHNFMTFDYLKCKPGPRLNLVIGPNGSGKSSLVCAIALGLCGEPQLLGRATCVAEFVKEGKESGHIKITLRGEHIGDHITIMRKINISRKSEWFLNGNIVSKKDVAETIQRFNIQVNNLTQFLPQDRVCEFAKLTPVQLLEETEKAVGDTQLPEQHRALIDKSRALKHVELSLEKNEGTLNQLKERNAELEKDVERVRQRDELRAKAKLMEKKLPWLKYDMKQAEYREAKEREKTAAKESEKANKLLNELKEPIKRRLFILSKRKQNDEKDALAEKWRKVSDRLIENADKRKGLLEKESQLEVELQGNYKEMDELRRHEETRQQKLRKARDELSAAELELESLNHYVPPTDEIVSVHLVIGYTIYIYLQLSICFFLKVQLRAVCFEFLYFF >RHN50021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3209811:3213296:-1 gene:gene34268 transcript:rna34268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSLLFSLGGQNVLVTSSCDRTIQLWSKGSNLRSLKGHNGPVLSLSNKLLGEEGSKVLASGGEDGTVRLWSLSANGKRVKSALKATLYGHEKPVNFLSVSGHKTSLLVTISTDSKVRVWDTTASSSGRSSCVGMTNVRGATVNMQCHESLVYVAAGSSVTAVDLRTMQKVITAAVHQSKLYSFGVVPSKSLIGTGGDGRAMLWDIRKNQEPLKPVPIAELDGHSGPVTRLHMDSYKIVTGGPDDAYVNVWEVETGVLTNSFLCFDEEDIGGSFCDDMVVDGCRIVTASNYNDDWGVFSFRDFDNATIPATKLENEPSSKFWGSQSDSDSDSDE >RHN79068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22087074:22087977:1 gene:gene2764 transcript:rna2764 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIQLGSASMFVITMAAFFLERLEGMKIKEIACQGKVIGTMVSLGGALLMVLYKGPVLQNVGSSTATQMHQPENVNDPTGAHWLLGVSAFYILQATSLRKYPANMSLATWVCFVGALQSFVVTILMERKHPEAWSLGLNSRLFAPVYAVSNNFQ >RHN42864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41528668:41529304:1 gene:gene49362 transcript:rna49362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-amyrin 11-oxidase MRLYFFLQVIDEMLRFANIAFSIFREATSDVNINGYLIPKGWRVLIWARAIHMDSEYYPNPKEFNPSRWKDYNAKAGTFLPFGAGSRLCPGADLAKLEISIFLHYFLLNYRSAPSSLSFNSSSSPF >RHN67906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31304224:31304824:1 gene:gene16156 transcript:rna16156 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTLVFSERNSTFSLSCFSLLVRETPFLSIEITDFHIYQNILLCVFCDFVV >RHN54263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8134004:8142533:-1 gene:gene29268 transcript:rna29268 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLAILMLCAFAIFFSLQQDGGVSFKDAWMHLTDEYPIKYEAERLPPPVVADLNGDGKKEVLVATHDAKIQILEPHSRRVDEGFSEARVLAEVSLLPDKVRVMSGRRPVAMATGFIDRHRIGQPHKQVLVVVTSGWFVMCFDSNLQKLWENNLQEDFPHNAHHREVSISISNYTLKHGDTGLIIVGGRMEMQPHIFMDPFEEMGMGARFAEQHRRSATEKEASENTGTVDLRHFAFYAFAGRSGVERWSRKTENIEAAASSDASQLIPQHNYKLDVHALNRRQPGEFECREFRESILGVMPHQWDRREDTLLKLVHFNRHKRKTLKKTPGKTINYPFDKPEENHPPGKDSTKKISNIIGKAANFAGSAKSKKYPPYVPTITNYTKVWWVPNVVVAHLKEGIEVLHLASGRTLCKLHLQEGGLHADINGDGVLDHVQAVGGNGAEQTVVSGSMDVLRPCWAVATSGVPVREQLFNVSICHYTHFNLFQHGELYRGFNRGSDMSSLEVATPILIPRSDGHKHRKGSHGDVIFLTNRGEITSHTPGLHGHDAVWQWQQSTGVTWSNLPSPAGMMEGGLVIPTLKPFPLRLHDNHEMILAAGEQEAVVISPGGSILATIELPGSPTHVLIREDFSNDGLTDLILVTSSGVYGFVQTRQPGALFFSVLIGCLIVVMGIIFVTQHINSMKGKPRPSSGPR >RHN41646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31735018:31735407:1 gene:gene47988 transcript:rna47988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SH3 domain, neprosin MCVKDRNNGNWWFGYGSEVVGYWPSSLFTKLKDNAHEIEFGGEIVNSKSKGSHTSTQMGSGHFAEENYGKAAYFKNLQVVKSDNSFHPLSEDPKYIANKPNCYNIKGGSSKDWGKYFFYSGPGRNENCP >RHN45654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24153680:24154516:1 gene:gene40035 transcript:rna40035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MIYFNMVNFTIDTVLKANEVGEEVNFWAEKETKGLIKNLLPPGSVNSLTRLIFANALYFKGVWKQQFDTTKTKDYDFDLLNGKSVKVPFMTSKNDQFISSLDGFKVLGLPYKQGKDERAFSIYFFLPDKKDGLSNLIDKVASDSEFLERNLPRRKVEVGKFRIPRFNISFEIEASELLKKLGLALPFTLGGLTKMVDSPISQELYVSGIFQKSFIEVNEEGTKAAAVTVSFISSRSRYSPPPPPPIDFVADHPFLFLIREEFSGTILFVGKVVNPLDG >RHN72054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4660718:4664196:1 gene:gene7724 transcript:rna7724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sinapine esterase MASPVWSLQQQWLFIVLPLVFTTAATSCYSSIFSFGDSLTDTGNLYFISQPQSPDCLLPPYGKTHFHHPNGRCSDGRLIVDFIAEFFRLPYLKPYLGFINGGNIEHGVNFAVAGATALDRSFFEEKEFVVEVTANYSLIVQLDGFKELLPSICNSTSSCKGVLHSSLFIVGEIGGNDYGFPLFQTSVFGDLITYVPRVVSVITSSIRELINLGAVTILVPGSLPLGCNPAYLTMFATKDEEEYDQAGCLKWLNKFFEYHNELLQTELHKLRVLYPFTNIIYADYFNAALQLYKSPEQYGFDGNAFKVCCGGGGPYNYNDSALCGNSEVIACDDPSKYVSWDGYHLTEAAHRWMTEALLEGPYTIPKFSFSCLSSE >RHN38848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2424439:2426999:1 gene:gene44817 transcript:rna44817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MQGFVTFVLILAFLSPCLCTMLNVRTTNGIYNVMQYGARGDGKSDDSKVHAFFSAWSSACKAVGTSTLVIPGKIFMASKIHFSGPCSAKILIQLKGKIVAPSKAAWRGGSEWINVEYVNGLTIDGNGEGSVLGDGPTWWQCPRCSRPTMLHFHSCNDLNVRNLRILNSPRSHVSVNMCNHATFSYISISSPATSPNTDGFDISHSNNILIQDSNIKSGDDCIAVNGGSTFINATRVTCGPGHGISVGSLGGNGANDQVSDVHVRNCTFIGTQNGARIKTVPGGSGYARKITFEHIVLVNVMNPIIIDQAYTLSTLDTAVSVRDVTYRGFTGTSYSDIAIDLKCSSSGCFKILLDQNNIVSAQPGKKTSSFCRNAHGIVRNTIPIVPCLSN >RHN59099.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7469127:7469864:1 gene:gene21088 transcript:rna21088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MESEELRFFELNTGAKIPSVGLGTWLAEPGVVYDAISTAVNVSFFLIFQSILFFVLLLLLL >RHN62304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42491291:42496110:-1 gene:gene24864 transcript:rna24864 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKVKVAMGFSKSPSPAHAHSTPPPQKKKQPPPPSPSTTTSSAKSSSHKSSFSRIGFHFPRSSAQVQPRPPDGTELLRLVEELRESESRLKTELLEHKLLKESIAIVPVLENELTVRETEIQRNRKRAEEAEEQNEKLKKELEELKQLMEDERIDSERKLKALEDEVTVLKKTASLHSEEHFSTSQRFQGIGEVSVKSNLMKTLKKTMSDHGIVMQKQNESVDLKREFSETEKPRHSRCNSEELADCHDSVLNVNVRSRVPRVPNPPPKPSSSSPSSSSSLSSSSVGENNNGETEQEILQPIPPAKTAAPPPPPPPPRKPASKAAAAPPPPPPPPKGGKMPPAKVRKVPEVVEFYHSLMRRDSQTRRESNSGTAAEVPATANARDMIGEIENRSTHLLAIKTDVETQGDFIRYLIKEVEGAAFTDIEDVVPFVKWLDDELSYLVDERAVLKHFDWPEQKADAMREAAFGYCDLKKLESEASSFRDDPRQLCGPALKKMQTLFEKLEHGVYNISRMRESATKRFKVFQIPVDWLLDSGYATKIKLASVKLAMKYMKRVSAELETVGGGPEEEELIVQGVRFAFRVHQFASGFDADTMRAFQELRDKARSCHVQCHDQQQKFLCRPAAC >RHN62683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45157950:45158721:1 gene:gene25283 transcript:rna25283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MSSVSKTIPTSSPYHTHKTTSNYTKKKSYNRFIFFKQPRRTCLLHSTVCVSPSFTNTTHSVTQNQNAKINKFCEMGDLRNAIELLTKSKGYELGLNSYCSVLQLCAEKKSLEDGKRALGAKLVFMYVNCGDLVQGKKIFDEIMNDKVFLWNLLMSEYAKIGNFRESKCFAALGKVKECKRVHGYVLKLGFGSNTAVVNSLIAAYFKFGGVESAHRIIIE >RHN66993.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22563767:22565138:-1 gene:gene15122 transcript:rna15122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MVLIFKVKVQKKANECDIPKGSRPYSRFEAISAHIWKSASKARKLEENQQSVVRFNVEIRNRIIPNLPKNYYGNALIQTAVEGYIGEILSKPLSYVAMKIREAHELITNEYIRSTN >RHN72304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6615030:6616808:1 gene:gene8002 transcript:rna8002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MDRHTATTMNPPRFEKAVVEVKELGFKPETTFFIVALRAKINRKFLWERKIDVYKKWGWSEESFVSAFLKYPWCMLASVNKIEATMNFFVDHMGWNPIVLAKHPILLLLSLEKRVIPRAFVLKFLESKGLIKDAKLAAAFKVSEDVFLKRFVTCYEEEASQLLKLYEEKKDVSNRMLKKDFKP >RHN39594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8548495:8549865:1 gene:gene45633 transcript:rna45633 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRLNALQYSTRHEDKFEQKKLELFSKFVSRENGGNNQRSIEMPSDLDIWVDSVGKKKARFFGLGSANKTMVTSVKVPVNSEDVNTLRSQIHTLNKSLQKQEQEKKGNETRIGLD >RHN45534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22542202:22543620:1 gene:gene39896 transcript:rna39896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MAKATHIAVISSPGFSHIAPIVEFSKRLVTNHQNFHVTCIIPTLGSLPDSSKSYLETIPPNINLVFLPPINKQDLPQGVYPGILIQLTVTLSLPSIHQALKSINSKAPLVAIIADKFALEALDFAKEFNSSSYVYFPCSAFVLSFYLHWPKLDEEVSCKYKDLQEPIKLQGCVPINGIDLHTVTKDRSGQAYKMYLQRAKGMYSVDGILFNSFFALESSAIKALEQKGDGKIGFFPVGPITQIGSSNNDVVGDEHECLKWLKNQPQNSVLYVSFGSGGTLSQRQMNELAFGLELSGQRFIWVVRAPSDSVSAAYLEDANEDPLKFLPKGFLERTKEKGFILPSWAPQVEILKQNSVGGFLSHCGWNSTLESIQEGVPIVAWPLFAEQAMNAVMLCDGLKVALRLKFEDDEIVEKEKIAKMIKCVMEGEEGIAMRDRMKSLRESAAMALKAKDGSSIQTMSHLATQLENIGRI >RHN39825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10861307:10863713:-1 gene:gene45898 transcript:rna45898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MKSLDIVSPEDRKMPKQNVNTKLKRWRSVYIFIFIAGAIALLLSGASMSKFFSLKSFLDVESFHIHLTPEGTNRNNQNEVLTTVQIVIQKIQKELENLREMHQDPSSSSSSSSSQFVLKQGAFLADILGLLESLHSEDQNGSSFIVHPLMKEKKRSDEPASYFLREEIRKYVRIRPNRLGKQNFMGANATFTSIGHACFSMKEELEEYMDYDIGEICNDDWKLAQKLMVHGCDPLPRRRCLSRSPKLYSKPFPINESMWKLPDDRNVRWSQYRCKNFTCLASNNNARKGFFKCADCFNLTDHEMPRWMRLNGDSISNQTGEADFFIDDVLGIKLGEIRIGLDFSVGTGTFAARMREYNVTIVSATINLGAPFSEMVALRGLVPLYLTINQRLPFFDNTLDLIHTTRFLDGWIDFVLLDFVLYDWDRVLRPGGLLWIDSFFCLKEDVYDYLKAFKMLRYKKHKWVVVPKIDKDDQEVFFSAVLEKPPRPFR >RHN72828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11067188:11067643:1 gene:gene8589 transcript:rna8589 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVFGTIIHLLQVLEVTNVSTVVEQIVAISKKEVVDILKLSLVSKTPLTDFILKKKHFYDEFEKKKNQFEFNNGEETSEESRQMIVKVLQRKSTGEFLFVEGGVDFIDFLFSFLTFPL >RHN49397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54508488:54511742:-1 gene:gene44222 transcript:rna44222 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative perakine reductase MAATQTQTQTELIPHVSLGTQGFQVSKFGLGCMALSGGYNDPLPEEIGISVINHAFSKGITFFDTADVYGLDGGNEILVGKALKQLPREKIQVATKFGISRSGGGMGIKGSPEYVRSSCEASLKRLNVEYIDLYYQHRVDTTVPIEDTVGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITALQIEWSLWTRDIENEIVPLCRELGIGIVPYSPLGKGFFAGKGVIEDVPSFMTSFPRFQAENLVKNKVIYDRIESLSKRHGCTTAQLALAWVLQQGKDVVPIPGTTKIENLDQNLGALAVKLSEEDMREISAAVPEDDIAGSRYYNGMDSLSWKFANTPPKVSTVST >RHN52197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32608273:32610394:1 gene:gene36841 transcript:rna36841 gene_biotype:protein_coding transcript_biotype:protein_coding MHEYSYLLSTVINVVQFGTFVKNLPVVFLFYRNKREDNISDGVECESDSWSDDSGSDNMSRSLSNNSSKTWDAISEDSSSDQDGSCQKKDKLGYLYLNYTEITSPYMRVPLMEKIPELAESYPELMTLKSVDLSPASWMAVSWYPIYTIPSRKNDKDMEACFLTYHTLSSSFQDCAMEHDDMDTNMDMDMDIMNMFMDMDKDEYCSSGWENIVGDDCKKNNSGSISLPPFGLATYKMQSDLWLNTDPNDYEKISYLCSAADSWLKQLNVHHHDFDFFTSSSVV >RHN74436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33288334:33290508:1 gene:gene10509 transcript:rna10509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MILICIAIFLVSLAFFSQWRNMNKVKRLPPGPVGLPILGSLLKLGANPHLDLHKLSQKYGPIMHLRLGLVPTIVVSSPQAAELFLKTHDIVFASRPPIEAAQLMFYNQKDVSFSVYGSYWRNMRKMCTLELLSHTKINSFRSMREQELDLLIKFIREAANDGTTVDISAKVAALTADMTCIIVFGKKYSDKDLNEKGFKASMQELMSLAATPNIADFIPYIGALDLNGLTRRMKAIGKIFDEFLEKIIDEHIQSENKDDNKTKDFVDVMLGFVGTEESDYRIERSNIKGIMMDMLIGSTDTSATSIEWTISELLKNPRVMKKVQKELETVVGMKRKVEESDLDKLEYLNMVIKESLRIHPVVPLLVPHQSMEDCTVEDFFIPKNSRIIVNGWAIMRDPNSWTDPEKFWPERFEGNNIDVGGHDFQLIPFGSGRRGCPGLHLGLTMVRLVVAQIVHCFDLKLPNDMLPSDLDMTEAFGITMPRANHLIALPVYRL >RHN69196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41719472:41724000:-1 gene:gene17632 transcript:rna17632 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MRGSVDGYLGSKDITCICLGSSDETYILSFSLFSFGSQLRVKKRGQFIFSLFMLSILKFRGIFYTIKATSFRHCHSWGHNRIFISDKNPNEKLCRVGLFWDLDNKPPNSIPPYEVANKLRIAAASFGVVRHMVAYANSHTFSNVPHIVRERRKERELLYRLEDKGVIKRNEPHVCRVCGRKFYTNEKLVNHFKQLHEREHAKRLNRIESARGSRKVKLVGQYAMKMEKYKKAASAVLTPKVGYGLADELKRAGFWVQTVLDRPQAADIALQKHMVDMMDHRRVECLVLVSDDSDFVDVIKEAKLRCLKTVVIGDFSSDGVLKRTADTAFSWEEILMGKAKKEAVSVVENWKDRDILKRLEWTYNPEVDKKKLNLDDVVPETSGDDDIDDDYKDDRGPWWKLDSDDNGVANR >RHN80912.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41844116:41848434:-1 gene:gene4896 transcript:rna4896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinacetylesterase/NOTUM MVNLFWVCIVIALVFTNWVDANAYYHINETELSILEAHEASSFSSLVAQPHMVGITLIQSAAAKGAVCLDGTLPAYHFDHGYGSGANSWLVNLEGGGWCNNRRTCVYRKTTRRGSSKFMEKAIPFTGILSNNAQENPDFFNWNRVKIRYCDGASFTGDSEDKAAQLQFRGQRIWLAAVEDLMSKGMRFAKQALLSGCSAGGLATILHCDEFRGHFPRTTKVKCLSDAGLFLNAVDVAGGHTLRNFFNGVVTLQGAQKNLPRVCTNHLDPTSCFFPENLIASVRTPLFILNTAYDSWQIQSSLAPSSADPHGNWRECRLNHNKCSGSQIQFLQGFRNHMVNVVRGFSRSSQNGLFINSCFAHCQSERQDTWFADNSPVIGNKAIALAVGDWYFDRAAVKDIDCPYPCDNTCHHLVFR >RHN51122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14160546:14164291:-1 gene:gene35503 transcript:rna35503 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKEIHNRLFVLCNIIHPPFHPRSRDGIPHTSILHTGEEISCIMSFSLSTTLLLTIGTSASLGSSKQFTNRPNTGSYQLPPQKQDPSP >RHN62505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43917676:43923839:1 gene:gene25089 transcript:rna25089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CALMODULIN-BINDING PROTEIN60 MHGRYVDHHVARAEKRSLDSTSAEDGQPDRKRPALASVIVEALKVDSMQKLCSSLEPILRRVVSEEVERALAKLGPTKLNGRSSPKRIEGPDDNNLQLHFKTRLSLPLFTGGKVEGEQGAAIHIVLVDANTGHIVTSGPESCAKLDVFVLEGDFNNEDDENWSEEEFESHIVKEREGKRPLLSGDLQVILKDGVGTLGEISFTDNSSWIRSRKFRLGLKVSSGFCDGMRIREAKSEAFTVKDHRGELYKKHYPPALHDEVWRLEKIGKDGSFHKRLNKAGVFNVEDFLRLVIRDPQRLRNILGSGMSNKMWDILVEHAKTCVLSGKLYVYYPEDARNVGVVFNHIYELSGLIANDQYHTADSLSESQKVYVDTLVKKAYDNWMHVIEYDGKSLLNYNQDKNLEPAHPQALMGSHEYSNLIQQTSIHSLPHPVNTGQPSMDTGATVGGYHDGTTTSFSMQSQNTNLNSSIQFDDNAFSLQSQLMSVPHQAQLQRSENGMMLGMPQTVTHGFQTASISNSTYRVEDFFPEEEIRIRSHEMLENEDMQQLLRMFNMGSHAHASFNAHEDGYPNPSAYMPANNMSYNFDDEPKSSSGKAVVGWLKLKAALRWGIFVRKKAADRRAQLIELDDS >RHN48994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51632521:51638504:1 gene:gene43772 transcript:rna43772 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTVEFRKYRDSVKSLRTPLSSSASASSGGGPVIEMVNTSLLRTNNGSSYTPLSTHDPGPSSSSDAFTVGLPPSWVDDSEEIATNIQSAKVKMSELTKAHAKALMPSFGDGKDDQRQIETLTREITALLRKSEVRLKRLSAGRGSSEDSNVRKNVQRSLATDLQNLSMDLRRKQSTYLKRLQQQQEGYDGIDLEMNFKGSSSGFQDDEFDDVGFSEEQMTKLKKNEHISAEREREIDQVAKSVHELAQIMKDLSVLVIDQGTIVDRIDYNIQSVATTVEEGLKQLQKAERTQKKGGMITCATVLVIMCFVMLVLLILKEIIF >RHN68326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34581507:34583531:-1 gene:gene16662 transcript:rna16662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MAYKVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTIQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFENVQRWLRELRDHADSNIVIMLAGNKSDLNHLRAVSSDDAQNLAEKETLSFLETSALEALNVEKAFQTILFDIYQIISKKALAAQESASTTSVPHGTTINVSNMSGTVEKKSCCSN >RHN72445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7700719:7707064:1 gene:gene8157 transcript:rna8157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGITWSNRRRNNFIQNPPPQPPQLPPPPPPPPYYYSSESHHPPPPPPPQQSYYYPPSTTPYTPQPQPQPHSFYFSNPNNNYPPNSTTNRIQYHPSYHLNQQHQQQPQYAWPPPPPSFYAPAAASSTPPPYVDHQTAKKIRNNVNVHKDTLRLEVDQHNPDHYLVSFVFDAVFDGSITVFYFAKEEDKCRFVPLFPDAFVPVKVPFKKGVGQKFAQPSGTGIDLGFFELDDLSKPSPGEDVFPLVICAETCLETPSENETPKTPDDSVLDASPHMQITQAVLEKSNGGGAFQIKVVRQILWIDGVRYELRELYGIGSSEAAGFDDSDPGKECVICMTEPKDTAVLPCRHMCLCSECAKALRLQSNKCPICRQPIEELMEIRVKSSDP >RHN66584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14313248:14313675:-1 gene:gene14599 transcript:rna14599 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWKFYLFFRSPSFLAFPVRFSCFPLLRFGCSSLFRFGAGFLFRFGASFSCSGSVLVFCSGSFLFVSDLFG >RHN69514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44077190:44084009:-1 gene:gene17993 transcript:rna17993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target of Myb protein MANNAAACAERATSDLLIGPDWAINIELCDIINMDPRQAKDALKIIKKRLGSKNPKIQLLALFVLETLTKNCGESVFQQIIERDILHEMVKIVKKRPDLSVREKILILIDAWQEAFGGPSGVFPQYYAAYNELKAAGVEFPPREENSVPFFTPPQTQPIVNSAAEFDDAAIQASLQSDASDLSVLEIKNAQGLADVLTEMLSALNPKDPEGVKEEVIVDLVDQCRSYQKRVMLLVNSTADEELLGLGLALNDSLQRVLLQHDNIAKGTANSGAREVDTPVLPLVHVNHEDDESEDDFGQLAHRSSRDTHTQNRKPESVRMNSLIPPPPASRMPVYSGTSMVDYLSGDAYKAEGSLENSEPTSFAAPLHSSPNPTSSAIPTESSTHPHATTTSSPIYSRQPIYDEPSPMKTSEPLPPAPWDTQAPVVIPPPPSKYNQRQQFFEQQGSSHSSNGSSSSTDSLLGQTQNLSLNSSTPTKEQKPEDALFKDLVDFAKSKTSSSSKPNNRSY >RHN80373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37508248:37519499:-1 gene:gene4288 transcript:rna4288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative parvalbumin MDVDETGKLESLDPDMLLLQEVSPFALKSSPVVAEELFSQWLSLPDTCRLVKSLLADAKAGVPFSALENSTNTNALSINPLPSLFPAASTPPLSPRSSSGSPRTMKQRTSPSSLGSPLKVLREPVREIIPQFYFKNGRPPPNEIKDHFVFQVDNRFYGHMDGLQLLEFKSFTKEICKIPSFFSTALFKKIDVNLTGTITRDQFIDYWIRGNMLAMDLPTQIYTILKQPHRTYLVQDDFKPILRELLSSHPGLEFLQSTPEFQERYAETVIHRIFYYMNRSGNGRLTLRELKRGNLIDAMLHVDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDRENLIKYGNHSLTYRIVDRIFSQVPRKFSSKVEGKMNYEDFVHFILAEEDKSSEPSLEYWFRCIDLDGNGVLTPNEMLFFYEEQLHRMECMAQEPVLFEDILCQLVDMIGPESEGYITLRDVKSSKLSGNVFNILFNLNKFIAFESRDPFLIRQEREDPNMTEWDRFAHREYIRLSMEEDGEDMSNASGDVWEESLEAPF >RHN41446.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30081479:30081691:-1 gene:gene47769 transcript:rna47769 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative steroid sulfotransferase MYTMSKLSDENSLVAKLSDENSLVAKYLPKSVKESTCKVVYMCRDPKDIFTSLWYFTNKVRQQKVYEDFQ >RHN56973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35362249:35365864:1 gene:gene32445 transcript:rna32445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MTIGFMEVLLVKAKGLQETDIFARMDPYVLLQYKRQEHKSSVVHEGGSSPVWNEKFVFRVEYPGSGDQYKLNLKIMDKDVFSSDDFVGQAVIYVKDLLAEGAENGSAELRPRKYSVVRADNSYCGELEVGITYTRKENEYTDDDIGGWRDSEY >RHN60544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28004143:28004946:1 gene:gene22865 transcript:rna22865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MGNPKIHVNIVVIGHVDSGKSTTTGHLIHKLGYIDNHVIESLFVYDGPTLIEALDHLKLPVSMREIHKPLRIRIHGFYKVGGIGNVPVGRIVTGYLTPGMVMNFAPTGLQRKVKSVKIDGEVLLSVAFPGDFVGLDVEDVAAKDLQPGYVASNSNNDPAMGAADFTSYLIITKDPGMIQTGYTPVLDCHTSHIAVEFSGKEIAKMPGFLMNGVMLLLLR >RHN79214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:26043480:26047337:-1 gene:gene2962 transcript:rna2962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, phosphoribosyltransferase MQRPPPEDFLLKETKPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPSKDVTGSCDPYAEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKDRLQASVLEVTVKDKDVVKDDFIGRVWFDLNEVPKRVPPDSPLAPQWYRLEDRKGDKVKGELMLAVWMGTQADEAFPEAWHSDAATVSGTDALANIRSKVYLSPKLWYLRVNVIEAQDLQPTDKGRYPEVFVKAILGNQALRTRISQSRSINPLWNEDLMFVAAEPFEEPLILSVEDRVAPNKEEVLGRCAIPLQFMDRRLDHKPVNTRWFNLEKHIIVEGEKKKEIKFASRIHMRVCLEGGYHVLDESTHYSSDLRPTAKQLWKSGIGVLEVGILSAQGLMPMKNKDGRGTTDAYCVAKYGQKWIRTRTIIDSFMPRWNEQYTWEVFDPCTVITIGVFDNCHLHGPDKAGGAKDSRIGKVRIRLSTLETDRVYTHSYPLLVLHPTGVKKMGEIQLAVRFTCSSLLNMMHMYSLPLLPKMHYIHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLSGLIAAGKWFDQICNWKNPITTVLIHILFIILVMYPELILPTVFLYLFLIGIWHYRWRPRHPPNMDTRLSHADSAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLLAATILYVTPFQVVALLTGIYVLRHPRFRHKLPSVPLNFFRRLPARTDCML >RHN70814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54263603:54264621:1 gene:gene19436 transcript:rna19436 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair, mitochondrial Rho GTPase MGITEAFSKFVVEFLQAFKNSPGNSVPHRDHLDQNHQQNMLKLDKRMIRALVTVFGMERNGRIKKENARQVVEKLGLIYGSDHKENKAFQDDDEEEVVVEEVLGELEDMSKRSELLLEAFKIFDEDGDGYIDAMELKRVPDCLGLDKGWDMNTIERMVKVVVLSLCGKVDSGEFELMMG >RHN55385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17838578:17839958:1 gene:gene30558 transcript:rna30558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MSPQQPIRVDPKIWQCCAGAAVKIPKLNSHVYYFPLGHLEHVSPSPNPSTLSLLDRSRQFIPCTVSTVNLLADPVTDEVFVKLLLTPGTNNCVHEPPPEVREDQHDGVKVVSSGKTLTPSDANNGGAFSVPSECAKLIFPPLDLQAEKPSQKLSVTDIHGKEWKLRHVYRGTPLRHLITTNWSEFVDEKKLIGGDSLVFMKKSTRTGTETISVGIHRQKFGAATKIAEKSVTEAVELAEKNMAFDVVYYPTAEGWCDFVVNAKVVEDAMKNKWNSGLRIKHSLKKDNSSKRCSNFEGTISALSAPNRPWRMLEVRTAKDSALHNDIERDSSIPKIKFHSSTTRSFNEASLNSNALLHDTASTSSNCNTKKLSPGSIMLFGQIIRPVGSDLHDSDIKGEDGGKGCNENCLV >RHN55031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14547152:14547602:-1 gene:gene30153 transcript:rna30153 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRNNKIRNYDPINDELLDDHHDNWVLEDSPPFLTVEELESLRNDLANMTIQPISNDIDGLNLDEDDDYGNDAPDTNAENMDQSNVFDEAAGEDVEFLDELQIQSILTPWN >RHN70362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50887735:50888577:-1 gene:gene18932 transcript:rna18932 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRGGYSGSRGSQSYSISRNGASHSQGCRRSHCRILENEVDSLSVMLPACDCTLPMKAYIAITDANQGRMFWRCRNWNNKTMCTCNLYIWDDDIIPGVTSMIKVTPAIDRSMDGRKNQVCNKCENIDEVMKAFESNEIAKWKTKYEDENKKVKWMSLITIISWVFFVWFEKI >RHN41044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26367187:26368533:1 gene:gene47317 transcript:rna47317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol O-acetyltransferase MERNVKSIEIIKPSAPTSSEHKIYKLCLFDGFQQNTYFPLIIFYHKTNDMEAFSNVSRRLKNSLSEALTIFYPLGGRRSDIFSIDCKDEGAIYMEASINMEMSEFLSPPKLELMNKLLPCEPNKTQPYNEVLPQILVQVNHFNCGGIAIGLCNLHTILDAHSCSLFLKTWSSICNGSRNEICEPNFSIASSYFPLRNTIGVRSDVLNVNKGVEIKVECSIRRFLFDNKLINEMKKISKNDGIIKPTSYKVVSSFICKHMIVACIKDLCDESKKQVVNLHVVDIRRRMGENVLQNSIGNLIWPATVVYDNVNKNTNTSDMVKILEEEIGNVNEELFLKVKNDPSFLWSDVRAELTLEKVGKNPILFVFTSWGNMGFKEIDFGWGKPLWIAQRGVTKEAIPNQVVLMETYEGIEAWVTMAENHLDGLENNIEFLKFALHNPNINFNRSDI >RHN80512.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38712951:38720885:-1 gene:gene4448 transcript:rna4448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MKGRSNRPMDPPPDEWVDGSWTVDCICGVNFDDGEEMVKCDECDVWVHTRCSRYVKGDDMFACDKCKAKNNRVGAENNIEETEVAQFLVELPTKSIPLERRGNGNRSNGIASSRVASCSRRPFKLWTDIPMEERVHVQGIPGGDPELFEGKTVSSIFGPQLWKCTGYVPKKFSFQYREFPSWYENENENNDKGLQENEDNGAGVLLSLSKEVNNMLAKSPVTALVDTGSRQGKKGFKESGTGKLGKDHMPRVHNAVKKERNLLRPFVVHSSKRRKDELSKDRSGKKKRVKTSDREEVDIKKRTSDREDVDPKRRSSDREDVHPKRKTSDREDVDHKRRTSHSSKAAFTPTCDAKQLAFYGDRGPKVFKDDIRSIKNKNLKDTVVQDHISNDSFAVGTTIEVSNNNLTTTEESSEALYTKKTRRSHSAGDVLAEEKTGNKVLEMSSKTDDAVTSVLKHTYLENASAKKKGGDCLEANNLDETLVVRSPMSPQTEDPRGSAPEHMEKQVSQDIDCNQHPSSGQYKVKVKREDDKDKFKHSKFHFSSISDLKNNGKSSDPTSDIGKVNDAAVLTLPLCENKVGDVGISSEVVPDSHTNELPGNFSHGKEVEGSEGSFETQKGFSETKDGIDSAKNLSKSEALECQSKMPASVRKTSPTSSIINCKSPSQDFKCEDTETANPFTKHGAKADHNIHIKNGSCTNDGARDEIPRKYVRERPRSSSKSSLHSSQSTQNSVPKQANPDVRDSVHCSLSKPSLGHQTPSVVGSSETNASMHHQKGLQGQNKTSSLVPQKAERLNQTNTHSSSKLNQNHTPSLNPSPTLNSSMLSDEELALLLHQELNSSPRVPRVPRARQTGSLPQTGTSATNMLMKRASVGGKDNYLVSKRKYKDATRDGFSSSREPEDEAKRIENEKGQSSSDQRKQDTAYVEDASVKEEGGRACVTAANSITNNVVSTTPAIANSGSPSPCEDRNLSSMRNSPRNISDDDTATAGRPVHHTLPGLINEIMSKGRRMTYEELCSAVLPHWPNLRKHNGERYAYASPSQAVLDCLRNRHEWARLVDRGPKTNTRKRRSKLDAEESEDNGYDKGKTAKETDGKNFELQKEEFPKGKRKARKRRRLALQGRAVKDVRRRQKGDSLTGEDVGPFSNTSEGSLFSEDEVQVDRIGPAGSTSDDEAGSA >RHN43013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42551275:42556476:1 gene:gene49532 transcript:rna49532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MSEAIEDEKVIEEVEEEKMSVEEDKYGGVILTVHKPMDSSSFASKLQDSISHWNKHGKKGIWINLPILHSNLVDSAVKAGFKYHHAEADYLMLVYQIPGTSVRFPAYASHRVGVGAFVFNDKREVLVVQETSGKFGGTGVWKIPTGVVDEGEDICNAAIREVKEETGIETKFVEVLAFRQSHKSFFQKSDLFFVCMLQPQSSDIQRQASEIEAAKWMPIKDYAAQPFVQENELFDFIAKICLKKLDGSYTGFSNFLSTTSSGKKAYLYFNNTDIASHLLASNHEQAYH >RHN45183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12668300:12668509:1 gene:gene39408 transcript:rna39408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MSEINFDVFINSNGVNVRGYFAWPAFDTFEFHQGYSGHWGLYHVDFNDNLKRVPKASAEWYKNLLTSNC >RHN74280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31434740:31435909:1 gene:gene10316 transcript:rna10316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAYISRIFYVLIIFLSLFFVVINGVKSLLLIKVRSFIPCQRSDDCPRNLCVDQIIPTCVWAKCKCKNYND >RHN65547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3141435:3142214:1 gene:gene13394 transcript:rna13394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MLYQWLLTIKLICFFSFAYTEQLEYRYACVDHSSIPPSNTYLRNLNNLLSILSSDSAISNGFGHTTSGNDKNNKVYGLYFCRGDVHATLCNSCVQYSSKLITQKCPNNASAIFWCPFCLLRYSNQNFIGKLAVRPRIPMFDSTQNFTSAGEFDSDARILMNGLIQMGSKTPLMFGTHMFNINGTQRRYGWVQCSRDITSEECRTCLSNMLDDVENCCMEKRVWRIFSPSCVVMYETQPFLLAGTQPDAPAQQKGITSSF >RHN80483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38496780:38498202:1 gene:gene4417 transcript:rna4417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MSDHQRQRIHPMNVETKPHPRTPLVPPGSSRSEKVIHVPPPILHQHAMPAINSSKPKISCFCKCICWTISLLFLLLIIIAATAGALYLIFKPKLPNYSVDTLRISDLRLNFDLSLYAKFDVKITATNPNKKIGIYYEKGGKLSVLYTNTKLCEGSLPKFYQGHQNKTVLNVSLTGQVQSGNTLMAALQQQQQTGRIPLDLNIHAPIAIKFGRLKLKKVKVLGRCQLVVDSLSSNNLVSIKASNCNFKLKL >RHN52578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36757593:36762182:1 gene:gene37271 transcript:rna37271 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MAYLNVFLLMNSFLLILSSMAIIEAATIFTDGISPPLNRSSFPDGFIFGTASSAYQYEGAANVGGRGPSIWDAYTHNYPEKILGRSNGDVANDEYHRYKEDVEIMKDMNMDAYRFSISWSRILPKGKASRGVNKEGINYYNNLINELLDKGLQPFVTLFHWDLPQTLDEEYGGFLSPNIVNDFRDYAELCYKEFGDRVKHWITLNEPWTLSKYGYADGRSAPGRCSSWHDHNCIGGDSATEPYIVAHNQLLAHATAVKVYKAKYQASQKGSIGITLSCDWMVPLHDTESDIRATERAVDFILGWFMEPLTTGDYPSSMQSLVGSRLPKFSKHEVKLVKGSFDFIGLNYYTSNYATDAPELSESRPSLLTDSQVITTSERNGIPIGPMTSSIWMSIYPKGIHDLLLYTKTKYNNPLIYITENGIDELNDPTLSLEEALADTARIDYFYNHLYYLKSAIKDGVNVKGYFAWSLLDNFEWTLGYRVRTGINFVDYKNGLKRYPKMSAIWFRNFLQKKKVSYGDLR >RHN61611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36821334:36822321:-1 gene:gene24093 transcript:rna24093 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNRNNGSSVVDGFTLSPLPYPVLLILAVIFIFLGTSWYFSYEDVVETAQEQFGWVLFALPVVLIFIVRLVSSMEDSGWFSGPSVLNRRSTTYQSPSEGSSPWGVAALIVVLLILVQFQSSFLEGWFY >RHN57073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36255595:36256264:1 gene:gene32567 transcript:rna32567 gene_biotype:protein_coding transcript_biotype:protein_coding MWVYLFYLLGVCCLYHFYRHKQVTKVKEGLEIGNFYRTLTYLTK >RHN69837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46683395:46684537:1 gene:gene18358 transcript:rna18358 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSKPTLILFVFFIFTVTCVVQMEAVINERVVDAGSGSSSVNVVRRGECEKQGVECKQVNGGNEDNDLESEDYIYTNSVLP >RHN82585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54968939:54971755:-1 gene:gene6759 transcript:rna6759 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial import protein TIM15 MMMESLSSSSATTTTLPSFSIFPSSSTRTSSSSSLSSKKTFHFRLPSSKRDDGNNDSESQSKSSNQINFNLSPVPTNRCFSISPLSNDAAMGLVLSAATGRGWTTGSGMEGPPVPAVGKDGQSGTENISTFPWSLFTKSPRRRMLIAFTCTICGQRTTRAINPHAYTDGTVFVQCCECNAYHKLVDHLNLFQETNCYLNSSFKYKGPGWDDLKLRFMDIDSDDDDDVFPVT >RHN47392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39402724:39405746:1 gene:gene41985 transcript:rna41985 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDIQHGSNPPSRRTQSPSQAVAAGIFQINTAVATFRRLVDSVGTVKDTPEHRQKLHNTRQRISQLVKDTSAKLKSLSESNRDSNANANKKIEDAKLARDFQTTLQEFQKVQQLASERESAYTPAAPASSLPTSSGPGEQSIEIDPESQPLVRGQMRQELHLLDNEISFNEAMIEERDQGLREIEEQIGEANEIFKDLAVLVHDQGIVIDDIQSNIDTSAGATVQTKAQLAKANKSVKSKNKWCWWVLLIFVAVLVIFLIVLLI >RHN81975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50301434:50303159:1 gene:gene6086 transcript:rna6086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MESSYSLQFLITFIVILISINPSSHQSLPPLNPRLTKAYIALQAWKQTFTSDPKNTTLNWYGPNVCNYTGIFCAPSLDDPYINTVAGIDINHANIAGSLPEELGLLTDIALFHINSNRFYGSLPNSFNHLHLLHELDISNNQFSGTFPEVVLCIPSLKYLDIRYNNFQGNVPKGLFDLKLDALFINNNKFKFSLPENFGKMPASVVVFANNDIQGCIPSSVANMKDTINEIIMTNSRMKGCLPNDIGKLDKVTVFDVSFNEFVGELPESISGMKSLEQLNVAHNKFSGVIPESICRLPRLENLTYSYNYFSGESKICVNLDDKDDRKNCIPHRPLQRSSDECDAFYKHPVHCTGVGCSFVTPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPSPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPSPSPPPPPPPPPPPSPCPPPPSPPPPPATYYHYL >RHN43495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46303873:46307079:-1 gene:gene50084 transcript:rna50084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative development/cell death domain-containing protein MENNHNNQQYFWQFSDQLRVHSSNLANLSLNDSIWGNNYSSSKNQRRNFDTKLGGEIIINNNNSVSLGKSPVSDHFNNQGSSFFSFDVAVSPINGGFNKGIYSNPSYGGNFNSNMNVTKGEDEIFHPSKPSKKNSNPNKKQGENNNNSDGNKNKDSKAAADKRFKTLPPAESLPRNETIGGYIFVCNNDTMAENLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGVFEAASFGGTNIDPTAWEDKKCAGESRFPAQVRVLTRKTCEPLEEDSFRPVLHHYDGPKFRLELNVPEALSLLDIFEEQDTSNDSFKAVSA >RHN47133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37380731:37385348:-1 gene:gene41692 transcript:rna41692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MASMNLLGFSLSPQEQHPSTQDQTVASRFGFNPNEISGSDVQGDHCYDLSSHTTPHHSLNLSHPFSIYEAFHTNNNIHTTQDWKENYNNQNLLLGTSCMNQNVNNNNQQAQPKLENFLGGHSFTDHQEYGGSNSYSSLHLPPHQPEASCGGGDGSTSNNNSIGLSMIKTWLRNQPPPPENNNNNNNESGARVQTLSLSMSTGSQSSSSVPLLNANVMSGEISSSENKQPPTTAVVLDSNQTSVVESAVPRKSVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGTTTTTNFPISHYEKEVEEMKHMTRQEYVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDVAAIKFRGLSAVTNFDMSRYDVKTILESSTLPIGGAAKRLKDMEQVELNHVNVDISHRTEQDHSIINNTSHLTEQAIYAATNASNWHALSFQHQQPHHHYNANNMQLQNYPYGTQTQKLWCKQEQDSDDHSTYTTATDIHQLQLGNNNNNTHNFFGLQNIMSMDSASMDNSSGSNSVVYGGGDHGGYGGNGGYMIPMAIANDGNQNPRSNNNFGESEIKGFGYENVFGTTTDPYHAQAARNLYYQPQQLSVDQGSNWVPTAIPTLAPRTTNVSLCPPFTLLHE >RHN57821.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41937649:41938326:-1 gene:gene33410 transcript:rna33410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stigma-specific protein Stig1 MRTLAKQLVPIVLLLVLLIEIEGDLISTIPKDNANKNFTNTSVEVEAASEDQESPNCATQPSICDTEEPPSRRVCCRNRCVDVSFDADNCGVCGIACPRFGSWHCCWGVCVNINFNPFNCGACGRPCRLATPCIWGRCLFTTPEPPALLSAGSPEENSPKIPNH >RHN69137.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41281395:41287186:1 gene:gene17567 transcript:rna17567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rab-GTPase-TBC domain-containing protein MAKKRVPDWLNSPMWSSPTEQNRFSATSYPSEPPSPPPVTVVEDPPSIHNAITTSPPSSSSSSASTSSTDDMSISRLAQSQSQLLAELSRKVIDMRELRKIASQGIPDSPGLRSTIWKLLLGYLPPDRSLWSSELAKKRSQYKRFKQDILINPSEITRRMFNSASYDADDVKCETRGMLSRSQITHGEHPLSLGKTSIWNQFFQDTDIIEQIDRDVKRTHPDMHFFCGDSQLAKSNQEALKNILIIFAKLNPGIRYVQGMNEVLAPLFYVFKNDPDEENAAFSEADTFFCFVELLSGFRDNFCQQLDNSIVGIRSTITRLSQLLKEHDEELWRHLEVTTKVNPQFYAFRWITLLLTQEFDFADSLRIWDTLVSDPDGPQETLLRVCCAMLILVRRRLLAGDFTSNLKLLQSYPSTNISHLLHVANKLRVQSI >RHN61467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35668488:35671295:-1 gene:gene23938 transcript:rna23938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MAMMYGVLPIFAAILILISVISFYDTSFIQSPCGTIFNDVKFGDGIGCNPSFQVICENNKSVVYFNYGEHQTEAIIASDSSSSSSSSSFRYIITGVSPHNNCPTINSLSLPYENISFYTFLPEPSLAVVRCEKPVGYPEDYWDISSERCGGEEKQYYSYFVNGREDVSNIVESCRVEMKVIMSSWDGKLKCNSSKCGYPDVYREYVNGIELRWRPKRCEQHQQDRDRDREEEQLSYPSMIYDTAMGALFFFILLLLSWTAVKFVFGSPFVVAVLICKWRKKHLSIDDMVEDFIQSHNNFMPIRYSYSQIKTMTKHFKHKLGEGGYGSVYEGVSRSKHKVAVKVLTKSQTNGQDFINEVATIGRIRHVNVVQLVGFCAERTKQALVYEFMPNGSLDKHMFSHEQGHLSSLSYEKIYDISLGISRGIQYLHQGCDMQIIHFDIKPHNILLDENFDPKISDFGLAKLYRTDQSILTLTAARGTMGYMAPELLYKNIGNISHKADVYSFGMMLMEMAGRKKNKSYIENYWQDYFARWVYDQFEETIDTNNGTEEEKKIAMKMIVIALKCIQMKPDDRPSMNEVIDMLEGDEVPQHLPPDPQSLLTWQRMSVEEVQSPSE >RHN60000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16279358:16287505:-1 gene:gene22181 transcript:rna22181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GIY-YIG nuclease superfamily MRTLSQTFRSIKRPISNPKLSKCSSSSPKKSELKPKSESSSWCVYLILSTNHPIKTYVGVTTNFPRRLKEHNGDLKGGAKASRAGRPWICACIVCGFTNRSEACVFESKWKALSRRVPRKKQNDDSSKQSESEDPSRPLLQHRQAALNRVKTSLDCTNLEINWHLDPI >RHN40840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:22729710:22753196:1 gene:gene47069 transcript:rna47069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha,alpha-trehalose-phosphate synthase (UDP-forming) MAGNKYNGDSNHHHIPPGRVERLLRERELRKSGISGIQSSNNNQMGDVYLEQDQHKNDVTSSSSSSIVTQRQHEDHDVVGKYKQRLLVVANRLPVSANRTGEDSWSLEISAGGLVSALLGVKAFEARWIGWAGVNVPDEVGQKALTKALAEKRCIPVFLEEEIVHQYYNGYCNNILWPLFHYLALPQEDRLATTRSFQSQFLAYEKANQMFADVVNQHYEEGDVVWCHDYHLMFLPKCLKEHNSKMKVGWFLHTPFPSSEIHRTLPSRSELLLSVLAADLVGFHTYDYARHFVSACTRILGLEGTPYGVEYQGKLTRVAAFPIGIDSGRFIRALDLPQVQKHIQELQERFKGRKVMLGVDRLDMIKGIPQKILAFEKFLEENAYWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLTSVPINHLDRSLDFHELCALYAVTDVALVTSLRDGMNLVSYEFVACQEKKKGVLILSEFAGAAQSLGAGAILVNPWNITDVASAIARALNMEPEEREKRHNHNFLHVKSHTAQEWAGTFVSELNDTVIEAQLRTRQVPPRLPTEIAIRRYLDSTNRLLILGFNGTLTEPVEKTGDQIKEMELKVHPKLRQPLKALCSDPNTTVVVLSGSGRKVLDDNFKEYDMWLVAENGMFLQPSKGEWMTTMPEHLNMEWVDSVKHVFEYFTERTPRSHFDFEERETSLVWNYKYADVEFGKLQARDMLQHLWTGPISNSSVEVVQGSRSVEVRAVGVTKGAAIDRILGEIVHSKSMTSPIDYVLCIGHFLGKDEDLYTFFEPDLPSIGVSLPGSKATTEAVKFPIEKKPALKVTPTSSKNGPKSSSQTKAQKLVSNSDQKKTNNQISSSQRHPAPENSSWNVLDLNKDNYFSCSVGRNRTNARYVLDSPDHVVEFLMQLADSLPHSLPFS >RHN72306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6627606:6635638:-1 gene:gene8004 transcript:rna8004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase/kelch, beta-propeller, kelch-type beta propeller MFGFSRKHKKLGRVKKVQLSDTTQGTRSPLRHPKRNANSNDDGVEGSSGHSEEIDCQFTSTGPEISNCASGSSENWMVLSIAGDKPTPRSYHAACVIENKMIVVGGESGNGLLDDVQVLNFDTFSWTTVSSKLYLSPSSLPLQIPACKGHSLVSWGQKALLIGGKTDSGIDKISVWAFDTETECWSLIEAKGDIPIARSGHTTVRANSTLILFGGEDCKRRKLNDLHMFDLKSLTWLPLHCTGTAPSPRFNHVASLYDGKILFIFGGAAKSKTLNDLYSLDFETMAWSRIKVRGFHPSPRAGCCGVLCGTKWYITGGGSRKKRHGETLIYDILKNEWSVEIAPPPSSITTNKGFSLVLVQHKEKEFLVAFGGSKKEASNQVEVLKTEKNESASRRQPTSTKGPGSILEKHSSSTQLRNDSSQRFIDPVAIQNLASAIKRGSERKSLSESLFVHSQFDHDEEFNEDDRIDRHLEDESSFPQAADQRTNQNDPGHQMHTRGAKINMEEQVLASGDSFRKNLGFGNPVVESDHGSLPENINSGSLSTASNIYNYYETKVSSLITKFGILEAQLAASLASKEAAEKNLASAFKSKQEMDKTMADTLQEIELLREKLVGAELAQEEANNLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSMENRAPTPRKPFHVQ >RHN57121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36649339:36652649:-1 gene:gene32621 transcript:rna32621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide methionine sulfoxide reductase MrsB MGRLFVVHLEGRMYSCKHCRTPLALCEDVVSKAFHSRHGKAYLFRKVVNVSIGELEDRNMMTGMHTVADIFCVGCGSILGWTYETAHEKSQKYKEGKSVLERFKVSGPDGGNYWVSHATHGVGSDADDA >RHN39866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11208143:11209438:1 gene:gene45944 transcript:rna45944 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKNTKLSPLLFTCSPSLLQNGSFPVEIFQTHVCQLNQSPWDVIPFASNNSSSIQFQEQDIFTNGDSFGALDESVDSMMETDVVDIDNNKPAIENFEMMVFDDNKVVNNNGGSNKGLKRNWNGGGGIEAPRRGRGRPKKSVGSASGSNNNVDNSVDVNVVTCSEGLDCVEDYEDDYDDSGDDNGNRRTRKPVKERSLKSLM >RHN71714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2111225:2112276:-1 gene:gene7342 transcript:rna7342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative geraniol dehydrogenase (NADP(+)) MMVQLQKEDTRLQLYCFLIPKSYPLASAGPLLCAGITVYSPMMRHNMNQPGKSLGVVGLGGLGHMAVKFGKAFGLRVTVFSTSMSKKEEALSFLGADQFVVSSNQEEMRATKTLDFIIDTASGDHPFDPYMSLLKISGVLALVGFPSEDQELLPEVLQVVQKKLIQEMVDFCAANGIHPDIELIPIGYSNEALERVVSKDVKYRFVIDIENSLK >RHN60826.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30692089:30694221:-1 gene:gene23211 transcript:rna23211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MGMDSSSGQQQQMSNQSLESMLTCSKGEQDKKPKPPQPEALKCPRCDSNNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSSASSKRSQDQPFQTNPNPLTCFPSLSYDSNDLTLALARLQKGHLGFDHEHDFSILGNQTNTSCGILNNHGMNHSSNNQGFFEALMGSQNNVQNLYYMGEVDNGNANGNGNGEMMLPYDHEMSIATTQAVTVTTMKQEMCNVREQNENRVLLGFPWQFNNGDTNMAEMDHLGRAGWNGLTSSWGHGLLNSPLM >RHN57020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35799224:35799961:-1 gene:gene32503 transcript:rna32503 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVYARGSFFSDCLNVCAKGGLFDIGLHYIECWKQNESADPGWANSHDLNAIEQKFMENCAHNYFEKKDIKSMMKFVRAFHSMNLKRRFLLSLSLLDELLELEEESGNFMEVYELMFFYVLAKSLWSGGSKAWPFKQFTEKEDLLGRALTFAKVVSSSFYELASTEVERLSNKHDNIFEIMNQLKSSRIYRSIRGEILCLWKLLDSHFRLNSSKYVWQDSLFDVSVEGMIMKNQFSVETLFYS >RHN39194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4860466:4864541:-1 gene:gene45197 transcript:rna45197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MLPCIIIYTRMMIMLPHFLTILLGVLTILASIQPQDQSDLFMRIFKNKSGFISLDCGQHEDVKYSGLRTGVNYISDAKFIHTGVNKRIAPPPIIPQELQHVRSFPSGVRNCYRINVTSGTKYLIRASFYYGNYDNLNQPPEFDLHFGANVWETVKFTNVSGITLKEIIYTPSQDHIQPCLVNIGKGTPFISVLELRTLTNSNYVTYSPDLVLSLFKRCDLGSITNREYRYKDDVYDRIWSPCELSSDWRQLTTSFNINDLFQNEYSPPEIVMSTAVATVNASAPLQIQWNADNVNEQYYYYLHFKEVEKLAGNETRAFHITSNITINDKFLNRPEIHIYRKVSTISSATPLVGATRYQISLSKTKNSTLPPILNAFEIYMSKDFSQSETQQDDVNAITNIKDAYVVARNWQGDPCGPVNYMWEGLNCSIDGYSIPRITSLDLSSSGLKGHISYSISKLTILQYLDLSNNSLNGPLPDFLTQMRSLKVLDVRKNKLSGLVPTELLERCETGSLSLRVDDNPDLCMTKSCRMKKLSFLFTKRDKAQSGKKNLIIPIALIIILLFSLGFCIFNGQKET >RHN39538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8020615:8021470:1 gene:gene45569 transcript:rna45569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MTLFDMDLSSVVNNFLNHVHETPSRDHENHESRRRASSIPVDILDTPKEYIFFLDVPGLSKSEIQVTIEDENTLVIKSNGKRKRQDGEDEGCKYIRLERRAPQKLLRKFRLPENANVSAITAKCENGVLTVNVEKHTPPPKSKTVEVAIA >RHN67143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24293356:24294536:1 gene:gene15292 transcript:rna15292 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVALKVMFSHIFELSDNKMATVAEMEHFRWGEIGEAWKWCRPLRAWEEDQLRECSTCLNSKQDDEQSESNKYLSKTRNTTMSHKDDEQNNVAQWLRNHKRKKK >RHN47924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43607523:43607972:-1 gene:gene42579 transcript:rna42579 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFKREKRKYAEFSHNDLKYVEFCGCVFSADVIELASQLLRNANSLNKMTFSSLHKFYIGAGRWTSGSDGCLLGQNVIYEMLKDEVNKQCQLIIL >RHN72894.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11587127:11593852:1 gene:gene8660 transcript:rna8660 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidinol dehydrogenase MKSALTTCNINWKCYQNHHRTSFSVTNTINYRTRCSISMANPIKTYHLSNLTQTELLSLKSRPRIDFSSVFDIVNPIVDDVHAHGDAAVKQYTSKFDKVDLENIVELVSDLPDPVLDPAIKEAFDVAYSNIYAFHAAQKSPEKSVENMKGVQCKRVARSINSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTIVLANPPTRDGTTCKEVLYCAKKAGVTHLLKAGGAQAISAMAWGTETCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKHAIPSHVAADLLSQAEHGPDSQVVLVIAGDGVDQNAIQEEVSKQCQSLPRGEFAAKALSHSFIVHARDMLEAITFSNMYAPEHLIINVKDAEKWESFIENAGSVFLGSWTPESVGDYASGTNHVLPTYGYARMYSGVSLDSFLKYITVQSLTEEGLRKLGPYVETMAEVEGLEAHKRAVTLRLQDIEARQVSR >RHN75533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43067258:43077892:-1 gene:gene11755 transcript:rna11755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plus-end-directed kinesin ATPase MPFLSEAASAIKTRFGFHNHPSEQISLIQNTPDLVKSSVKDNNLFQSSAVRNITDWDDESVVGQSSAAVSSMQSFELCEDPSFWKDHNVQVIIRMRPLSNNEISVQGNSKCVRQESCQTITWTGPPEARFTFDLVADETVSQENLFKLAGLPMVDNCMGGYNSCMFAYGQTGSGKTHTMLGDIEGGTRRHSVNCGMTPRIFEHLFSRIQKDKEARRDEKLKFTCKCSFLEIYNEQILDLLDPSSNNLQIREDNKKGVYVENLKEVEVSNARDVIQLLVQGAANRKVAATNMNRASSRSHSVFTCIIESQWESQGVTHFRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVSISNGKSHHVPYRDSKLTFLLQDSLGGNAKTIIIANISPSICCSLETLSTLKFAQRAKFIKNNAIVNEDASGDVIAMRLQIQQLKKEVSRLRSLAGGGEIQDNDTSVISFPGSPISSFKWEGAQAQGSFSPLTSAKRVSQKKDYEVALVGAFRREKDKERALQALREENEAAMKLVKQREDEIQGLKMRLKFREAERKRLEAVASGKISAETHLLSEKEEHLKEIEVLQAKVDRSQDVTRFAMENLQLKEEIGRLKSFYEGGERELMNEQIMVLQNKLLEALDWKFMHEPDMKTNADTVEDLNSDGDLLSNKEPSPKSRWQSSLREENEFLRIQAIQNQAEMDTIQKRLEVCLEEKEKLERQVDDLKAKVEQEKSSTSEATEGREPIGPPSMTDMPININSQLELKTMVDAIAAASQREAEVNETAIILSRENEELRVKLRALLEDNSKLIELYEQATAESNRNITKGENSQEIESKVENSYLLEKREEEATLKRVVEDLQHQLMEINEENEKLMSLYERAMQEKDDLKRTLSCYEHGRVETKGEFDCMEKLVEVDGGERDSVVGTVSEEAQDRGDSRHEDNPTISGSDLCLEPDGHEEQKLVQEDNEVDILDNTEKDTEIANFHEAKSSMELNCAKEKLERVDEQILEAVRTLSCAENEIVQVDELSREIQVIEHDIQVKHQQFKSLNLELNEAHNRRTLADKKLSALKYSLSNIMKHESFSYFEQREAKARAAVKDLASHIDRKKGELASLQASKQGLENALKKNQESEAELAKNIAGIKSKLEEENRKREGEKVLFAIDNTRSVDSSVKSWQFSGKAFDLLKLEEEKTKLQAEMKLSQEKLGVIRKELGNLNKKVANVESQIQAVGLEIQQGLKNTKEKELSLQRAMNEKEMCLEFRDNGMLEMEHLIIDLHQCLFEYDLKEAETKILGEELQMDFLRAEELQASMIIAANSNFLSSMSCVGTFEKVEEQMRNLRTSIQETKLLLEGISHAT >RHN62520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44039989:44041632:1 gene:gene25108 transcript:rna25108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetrahydroberberine oxidase MHNQVTNHVSVSTMETMRALFFLLTTLTIFMSVSTTTSLSPIQNLLNCFYHYSQSFNSSDIIYGPKNPSFSTILNMKIHNKRFKTATSPKALAIITPKDDSHVQTTIKCAKINNFQLRIRSGGHDYEGFSYLSDVPYVIIDLLHLNSVEVNLQDETTWVEAGATLGKIYYTISKKNNSLAFPSGVCFSLGAGGHFSGGGYGNLMRKFGLSIDNIIDAKIVDVNGNILDRKSMGEDLFWAIRGGGGASFGVILSWKLKLVQVTPQVTVFNVKRNMDEGATDVVYKWQLVAPKLHKDIFIRAQHNVVTISGKKIVQVSFIGQFLGTIERLLPLINESFPELGLKKNDCSSMPWINSTFFWYDIPIGTPLEALLDEPKDPKPIYIKGQSDYVKKPIPKKDIESIWKLMVEGETLSMQWNPYGGRMEEILPSETPFPHRAGNLFLIQYINSWIEESPGAIEHRVNFSRLFHEFMTPYVSNSPREAFLNYRDADVGANHPSNATKIDVARTYGSKYFKENFERLVSVKTKVDPENFFRYEQSIPSRLSKTHI >RHN75472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42540567:42542334:1 gene:gene11688 transcript:rna11688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MGDSPPGFRFYPTEEELVGFYLHNQLEGQKHEDINKIIPLIDINGKEPWNLPTFAGERCRGDTEQWFFFSPRQDRELRGGRPNRTTSCGYWKATGSPSYVYSSNNKVIGIRKTMVFYIGKAPSGRKTKWKMHEYKAIQQSDQSNTNPPKLRHEFSLCRVYVISGSFSSFDRRPLERPMTELQHAASTSTQQVVAELNGSNSYATSRGIERDHDASLNIGGSSGTNWNDVPNNGVDEPEPIWEWEQLNWI >RHN80452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38221325:38227121:1 gene:gene4381 transcript:rna4381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MCCGDILHLSESKCKSCNHVTTSDDVEDWVYHQLENTSHLLHGVVHANGYGHLLRVNGREGGSRFLSGCHIMDFWDRLCKTLGARKVSVMDVSKKYGLEYRLLHAIMKGNPWYGEWGYNFGSGSYCLTQEAYKSAVESLSNLPLSIFLSQEQKPHSRVQDMILYYRSLSEHELVNMRDLFCFLMGMLHDARKTASKSDDITCKKRRINASGLSSSWEKNDVERVEEAMLRVLRAVSGSNWVSGRALRGAVCKLACPELLDYCLAELGGKVVYGGIVNSRCNPQTGVNEFRIDATNVFMANHNSSGSKRPSEENLLQCLRYLYDSLLHPRMMLNYVDEETRTLAMSSAQKLLDCKQLVKDYCSEMLPVSDLYKLRISCQVELVDQSEDTEAKIPPEIIVLPMNATVSDLKIEAAKAFQEVYLMFRKFQVDELVGYGGVEDSTQVKQLLGSNEVVCVRGKYSGKNGLSKFRMERGLERWTVECSCGAKDDDGERMMACDMCGVWRHTRCFGIPDTAPVPARFVCSRCQNCDSKLKSGGHCKDETVASVTTTSGSYMGNSFSVPSNIR >RHN53275.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:589792:591485:1 gene:gene28166 transcript:rna28166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MHNNNRWQKLDGEDGKDENITKLCDLPDGIVQKILSDLPTKEAVATSVLSKSWVHKWTGINKIDLELLDEVAPEKRQQFIDFVEKLRVFCNTSSLKKFSLFFEVGMEAPRVTKWLSIFVNPNIEELKLELYTVKEPLVLSDQFFTSEKLTKFELSMSQVIKLPSTINFQNLVTLTLKHVIFPNYYCTTEFFLSLRSLKEMTLIDCNWKKVGTIIITCPLLQKLFIRDWKDHDDEDDHHDNEEEVEEELAEELNNDVNDITAVENLNYHHGLIRILSNELVSFTYDGDLMNDYSLFYTFSVSNATIKLHEQHNNMLHAGHFIYKLFIALSGVTKLSVSDFALQALCQPLLLAAHLPLFFNLVKLRVTSPSAVDLSCEGLHSLLRNSPFLEKIEFKEGITLAANGGNLINPLPGCFWTKLKVIKIYDFCGSDGELNAIKFLLQETLVLDTLYIQYNESHFDSPEGTKMLDMLLEQIYEFPKASMDCDIEVE >RHN64684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60984722:60989665:1 gene:gene27526 transcript:rna27526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proline-rich protein PRCC MDSLLANYASSDEEDEYQQQQQQQPIPSKTTSSSSSSSLFSILPQPKSSSSSSSLFNSLPPPKQQPSSDTPIDAPSNFTQISSLPKPKSQIHQQPPKRVVQFKPPIIPLPKPTQLEDEDDEEERNRRRKMESSIQTPSVKSFLSTIPAPRNSSTLGVQSSSGSGRRSILETSTPAPETSSGGGSASAAVESNVPVEQNTGDYENYQYATDQYDSYGNYQYSADQYDGSGASTGTASNSDGYASYGGAYEDYGQYGNNWVDRSGAATVVQPEPSGISESMLKFTGKRGRKDVPVEVIEVKQDELIKNRPREDQSKLTGLAFGPSYQPVSAKGKPSKLLKRKHQIGSLYFDMKQNEMKLAERRAKGMLTKAETQAKYGW >RHN68883.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39356998:39360625:1 gene:gene17299 transcript:rna17299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome-b5 reductase MDFLQNQKVEVITMAVALVAILGGTAYYYYLTKKPKGCLDPENFKEFKLVKRTQLSHNVATFRFCLPNRSSVLGLPIGQHISCRGKDSLGEEVIKPYTPTTLDTDVGYFELVVKMYPQGRMSHHFSEMREGDYLAVKGPKGRFKYQPNQVKAFGMVAGGTGITPMFQVARAILENPQDKTNVNLIYANVTYDDILLKEELDDLAIKFPNRFKVYYVLNQPPEIWDGGVGFVSKEMIETQFPAPAADIKILRCGPPPMNKAMAAHLEDLGYSPQMQFQF >RHN58602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3148745:3151510:1 gene:gene20526 transcript:rna20526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MNKGDTVKPPSNRKPVSCIIGDAYTGKTTLLGCIRGNNSSPHFSAHFPAGDAERRMLVLDNTPFRGLYLCDIAILVVDIMHDDGLHPHTIDLLDLLKLTNKQFILALNKIDMIHDWKTCPNAPFRKALLQQSKDVQDEFHTRLSKFVSQFKTHGLNTDLYYKNETIGGQTFSIVPTSAISGEGIPDMLFLLFKWTQKTMIPKFTYNHEYVQSLRPSRLSVVKPNDDLDYVNKEASESILSRFDTSLEGVCVQASNFHSLEALLKIMKTPGVNIPYAAILAFPARVTPEAWQLAHKLGVKIFISDKMHHLFDQFNRYKDNITHMNNNKDENKKDSADEACVLKIMPNSVFNNKDSIVLGVNILQGILKIGNPICIPSQMNIDIGRIASIENNLKPVYRAEKGQQVFIKIVGSNSEERQKMFGRHFGIDDELLVSHNARRVI >RHN76716.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:659057:663705:-1 gene:gene86 transcript:rna86 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding protein MGRKGGSWFSSVKKVFKSSSSSKDTSVPVSTPVQPEKKKENKEKLEHEVAEEVSFEHFPAESSPDDVTNEGSTTSTPVRDDRNHAIAVAEATAAAASAAVVAAQAAARVVRLAGYGRHNKEERAATFIQSHYRGYLARRALRALKGLVRLQALVRGHNVRKQAQMTMRCMQALVRVQARVRARRVQLSHECLEKAMEEDEEEEFVRQHETITKPMSPMRRSSVSSNNNNGWDNKCQSVKKAKENDLRKHEAAMKRERALAYAFNYQQQQQKQHLHRNSNGDDVDMGTYHPNDDEKVQWGWNWLERWMSSQPYNVRHMVPRESSYMTLPSTTSTTTDNMSEKTVEMDIMATPSRGNFNMGPMGLMAQEFHDSSPTFNRQHQRPPSPGRPSYMAPTQSAKAKVRAEGPFKQRAPYGPNWNSSIKGGSIIGSGCDSSSSGGGTSAYKFPRSPGPKVNGVRSESRRTVGGSQDYTEDWAVPLGAHGWA >RHN57513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39692885:39698159:1 gene:gene33064 transcript:rna33064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSSGATHWCYECNRPIVLEGRDVVCPYCDEGFVQELNEMRGTAPQNTFPSRSGEFNQMPDLFDAIHAFVGHRGSDNRFGLMDAVDNFMRHRMAGMHPNFDVRGRSSSVPVPEQGWGVYSSGPFLVFHGQVPGLTLPNGSPRGGPRRGDFGDYFMGSGLEELIEQLTMNDRRGPPPAARSSIDAMPTIRITQAHLRSDSHCPVCKEKFELGSEAREMPCDHIYHSECIVPWLVQHNSCPVCRVELPPQGQMSSRGSSRSNSGGNGSSRGRESDRPNNGRRNPFSFLWPFRSSSSNNNQYSETGGSSSSTNADQNNGTRYNGWHYDN >RHN66624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15198793:15199629:1 gene:gene14657 transcript:rna14657 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGVMSIIVSIRRPDWLTSINEFRGMIVLSSSISTTASILLNEGLTRMTSPTVTPLILTGVPVDIPQA >RHN48147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45401457:45406864:-1 gene:gene42833 transcript:rna42833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribulokinase/uridine kinase, P-loop containing nucleoside triphosphate hydrolase MEINFSSTTAKFHSLPITKSITRDRNPCSLSIAPRNKSAQLSFSSTCFGTKIKKSNILFKVLSSEEDHVQVVEGSGVDEIYDTLVKRIMPPASVSLNPNYKFFVGLAGPPGAGKSTIAHEVAKRINKLWPEKASSFDSQVQPPDVAIVIPMDGFHLYRSELDALKNPEEAHARRGAPWTFNPTRLLTCLKNLRVHGSVYAPSFDHGVGDPVEDDIFVNLQHKIIIVEGNYLLLEDGVWKEVSSLFDEKWFIDIDIDKAMQRVLKRHISTGKPPDIAKQRIENNDRLNAELIMKSKKNADIIIKSVDF >RHN67039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23176872:23177498:1 gene:gene15171 transcript:rna15171 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCVQPGFSPNLVDTLTFENVCDDGSKAKTRKVDKFYVDVPYENSVHDLRADQDIYADGSSSLPPKHNTLVPSISVEDCRHVMEEYNCGSIIHKYKHLEKDGKNKVCLAETQGESCSDAIRNEVSLSSSEFGEFISSNMDGEIAGFCDEDEIKSPFYDRNDVNDINGESVDPFTLDMVFDLENRIEKLERVVSKLKEARFGQKVENI >RHN58686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3950531:3954189:-1 gene:gene20619 transcript:rna20619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MKVHNGKEHYIFHAFEVMCITTMLPQHFLTLFFFLLLSCNTLTSTSLQSLEKQETFDFVRPIDRKLLSLNKKEKLSHFRFYWHDILSGKNPTAITIVPPPLKLNTTTYFGSVNMFDDPLTIGPQLSSKLVGKAQGFYASASQDDFGFLMAMNFAFIEGKYNGSTITILGRNSGINKAREMPIIGGSGLFRFARGYAKATTHWFDLKTGDAIVEYNVYVFHY >RHN71903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3477569:3480301:1 gene:gene7552 transcript:rna7552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetolactate synthase MAATAAKAAFTTTISSSSPQSLPNQNHVFRITYPFSTYPNTPFKLNHRSLKISASVSNPTQKTTPIPSPEQFISRFAPNEPRKGADILVESLERQGVTNVFAYPGGASMEIHQALTRSTAIRNVLPRHEQGGIFAAEGYARSSGLPGVCIATSGPGATNLVSGLADAMLDSVPLIAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRIVKEAFLLASSGRPGPVLIDIPKDIQQQVSLPNWDQPIRLTGYMNRLPKAPDEAHLEQIVRLLLESKKPVLYVGGGSLNCSEELRRFVELTGVPVASTLMGLGSYPSLDENSLQMLGMHGTVYANYAVDKSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDAAEIGKNKQPHVSVCGDLKLALKGINQILESNGIERKLDFGGWREELNDQKVRFPMSYKTFDEAIPPQYAIQVLDELTNGEAIISTGVGQHQMWAAQFYRYKRPRQWLTSAGLGAMGFGLPAAMGAAVANPDAIVVDIDGDGSFMMNVQELATIKVENLPVKILLLNNQHLGMVVQWEDRFYKANRAHTYLGDPANEKEIFPNMLKFAGACGIPAARVTKRADLRAAIQKMLDTPGPYLLDVIVPHQEHVLPMIPANGSFEDVITEGDGRISY >RHN80628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39581809:39582926:-1 gene:gene4574 transcript:rna4574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MNVSQYSTTIFFISYTFLLFLPSSEPISNYNKLVYKTCSTQTFNHQSYSQSLNSLFQQFITQSSQYKFFKTNEAINDDTFISGFFQCRNDFTKEDCFACVTSLLPHISNTLCSDSTSARVQLQGCYVQYQTEQFQETTIHESENNNMFHKICGVPVVEYYFEFKELMNEAFMILENGIVNSDGFYTMKYKKVKLMAQCEGDLRSCECGECVGNAVMVAKEECSSSVSAEIYFDKCFISYTYMPKSNGDANNTVPGARRKNNPQKLIAIIVGGGAILFMGLIVVSLINSRLKKDDYE >RHN62814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46000148:46005209:1 gene:gene25428 transcript:rna25428 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNFQYLNPLGRQRLLLRHVVDFYISDFQSGLRALVKTGFGARVTPYVDDSVVIDVNPENKEMSPDFLRWLGKRNLSSDDRIMQLKEGYIKEGSTVSVMGVVHRNDNVLMIVPPPEPLTTGCQ >RHN72097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4948878:4952643:-1 gene:gene7770 transcript:rna7770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteamine dioxygenase MPYIQKLYDVCKASLSPEGPISEEALEKVRTVLDGLKPSHVGLDHEAQLARSWKRSMNGGNGTPEGPPIKYIHLHECDKFSIGVFCMSPGSLIPLHDHPRMTVLSKVLYGSLHVKAFDWIDLPASCDLSQAAARPAKLVRDCQMTAPCDTTILYPNRGGNLHCFKAITPCALFDILTPPYSLEEEVNGRNCSYFRKSLRTDLPVLEELRGMSSSEITWLEKIPPPSDLIIGNGQYRGPNIRR >RHN74604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35094224:35095338:1 gene:gene10698 transcript:rna10698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thaumatin MEVGILFMLSLSTLFLSGSHSATFTITNNCPFTIWPATLTGGGGSQSSSTGFELSSKASNTLNIPSPWSGRFWARSQCSTDATGKFVCATGDCGSGQIPCNGAGGTPPVSLVELTLASNNGQDFYDVSLVDGFNLPVSITPQGGSGDCKASSCPNDVNKVCPPNFAVDGSDGGVIGCKSACLALNQPEYCCTGSYASPDKCPPNTYSMIFKNQCPQAYSYAYDDKSSTFTCSGGANYSITFCP >RHN66110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8589351:8591936:1 gene:gene14025 transcript:rna14025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MMYFIAEKVHILIFHRQDYAYYAELCFSEFGDRVKHWITLNEPLMYSLQGYANGQFPPARCSKWISPNCSVGDSSTEPYIVTHHQILAHATAVKIYREKYQISQNGQIGISLNAPWFVPLSQSKTDIEAAYRALAFIYDWFMEPLYAGTYPAVMVNKVGKRLPKFSRKEYLMVKGSYDFIGLNYYTAYYVENVPCQRENQTMLTDSCTNYTPIRNGVPIGPKGASDWLYIYPPGIQDLLEYTKEKFNDPIIYITENGVDEVNDGTKSFDDKLRIYYIGQHLLYIQRAIRNGVNVKGYFAWSFLDNFEWTNGYTVRFGIIYVDFKNGLKRYHKRSALWFKTFLHQ >RHN39972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11970431:11972068:-1 gene:gene46058 transcript:rna46058 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, P-loop containing nucleoside triphosphate hydrolase MSCSYIFDYIIIGDTGVRKSCILLQFTDNRFQPIHNITIGFEVMPS >RHN55850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24728667:24729071:-1 gene:gene31125 transcript:rna31125 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQIILGRVGGLFSLFDLVVVYSGVGRLLLVTGGCLFTIQVVFPLQTCVAARVLLLGFIFLLICVWVSTCFLARWFGGVVFVSFNGLWVYSQWLLLRTSMVMFAAFRVVFRPRCHALAPAEPWCCKGGGGGDA >RHN43258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44399653:44400072:-1 gene:gene49803 transcript:rna49803 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Mg2+ transporter protein, CorA-like/Zinc transport protein ZntB MLLEAYFAQINGILQKLSSLSEYVDNTEDYINIMLDDKQNQLLQVSIIFNTINMIVNAGIVVVGLFGMNIHIDLFDGQPRQFWATIGGTVLGCVLLFLASIWFGKKRYLLS >RHN79332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27660128:27682689:1 gene:gene3109 transcript:rna3109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative suppressor of forked, tetratricopeptide-like helical domain, RNA-binding domain, S1 MAPRSEKSLKHKNNDKPKIDKASKKISKPRSGDVVKKSESLAMQLEDEVPDFPRGGEFKVKRSRDDYDNFGDDDDSKKAWKTKKKGKKVFKKSDEAESDWGLLSGAGITGKLPRYVNRVTLKNIAPGMKLWGVVAEVNNKDLVVSLPGGLRGIVNASDALDPIFDDKTEVGESFLSSVFCVGKLVSCIVLRLDDDKKEKGHRKVWLSLRLSLLHKNFNLDVVQEGMVLAAYVKSIEDHGFILHFGLPSFTGFLPKEGWNGEVRIGQHVQGLVKSIDKVRKVVYFSSDSDTMSKSVTKDLKGMSIDLLVPGMMVNARVKSVLENGVLLSFLTYFTGTVDQFHLQNIYPATNWKDKYIESQKVVCRILFIDPSSRAVGLTLNPHLVQNRAPPSYVKIGDIYDNSKVVRVDKGSGLLLEVPSIPESTPAFVSISDIAEEEIQKLEKKYKEGNHVRVRILGLRHLEGLATGVLKASALEEAVFTHSDVKPGMVVKAKILSVDSFGAIVQIPGGVKALCPLRHMSELEIAKPGKKFKVGAELVFRVLGCKSKRVTVTHKKTLVKSKLEIISSFVDVTDGLITHGWITKIEDHGCFVRFYNGVQGFAPRSELGLEPGGDPGAVYNVGQVVKCRVVSSIPASKRINLSFIIKPTRVSEDDMVTLGSIVSGIVDRVTSNAVVVYIKSSGFSRGTISMEHLADHHGQATLMKSVLKPGYNFDKLLVLDFKGNNMILSAKSSLVKYAQQIPADISQMHPNSVVQGFICNLIETGCFVRFLGQFTGFSPRNKAADDQKTNILEAYYIGQSVRCNISNINGETGRVTVSLKQTSCCSADASFIQDYFHMDEKIAKLQYTNSSASDLKWEERFNIGTVAEGRVEDVKDVGIVVCFEKYNDVFGFITNYQLGGTVVEKGSAVEAFVLDVAKAERLVDLTLKPEFINISKESSISHTKKKKRQREALKDLVLRQTVTAVVEIVKESYLVVSIPENNYTIGYVQLSDYNTQRFPRKQFLNGQSVVATVTALPSPETSGRLLLLLNEVRASSSKRTKSSYKVGSLVEAEITEIKAFELKLKFGFGLHGRVHITEVHDANLPENPFSGYKIGQTVTARIVSKPNEKDSSRNGSRWELSVRPEMVTGSSDIRDNISEKLDFKIGQCVAGYVYKVESEWVWLAVSRNVKAQLHILDSSTEPNELEDFQNRYHVGKPVSGHVLSINLEKKLLRLVLRPFSTLPFRPNEEPQINAVNKDLTAYIHEGDSLGGRISKILPGVGGLLVQIGPHIYGKVHFTELTDKWVPDPLSGYHDGQFVKCVVLEVSNTVRGTIHVDLSLRSSNVMPLQDSADVHSNGHANDKCVEKIEDLHPDMVVKGYVKTVSSKGCFILLSRKIEARILLSNLSDQFVTDLVKEFPVGKLVIGRVVSVEPLSNRVEVTLKTSTVSSSSKSVISDLGKFHVGDVISGSIKRVEPFGLFIAIDNTNVVGLCHVSEISDNHIDNIEAKFGAGEKVNAIVLKVDEERHRISLGMKDSYMRGETVLQIPLDEGSDEPIADGMKSISSTSSRLLGPSNMDIEYETDQFRILSQAEERAYIPPLDVALDDFDQFDVNNTNSYSEEAANEEGSLNEKQKRREKKKAKEEREKQISAAEERLLEEDVPRSADEFEKLVRTSPNSSFNWIKYMDFMISLADVEKARSIAERALKTINFREENEKLNIWKAYFNLENKYGDPKEEAIKQVFGRALQYHDHKKVYLALLGMYERTEQHSLADELLNEMTKKFKHSCKVWLRRVQSLLLQKKDAVQPVVNDALNALRGHKQYKDKHIKFISQTAILEFKIGVPDRGRSLFEGILRDYPKRTDLWSVYLDQEIQLKDKDLIRALFERAISLSLPPKKMKFLFKKYLDYEKSQGDEERIESVKRKAMEYVESTMA >RHN49960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2707319:2711956:1 gene:gene34198 transcript:rna34198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein ENHANCED DISEASE RESISTANCE 2 MGGCASKPKVNDDGVKMKRMNCHNHRRKRRRILRRRVSSHKIESNLGHSNSALQASNRASDAAWFDSTSALDSECDDEFYSVYDGEISATHADENGEERILTLTPDHCGILPNNCLPCLSSSNPPVVEKKRPSSPDTPSSRRKSLSKLSFKWREGPSDMALLSPRAFKQKLVAGSTIPFCPIEKQTPGSWSPLEPSSFRVRGKNYLRDKKKEFAPSGAAFYPLGADLFLSPRKVDHIARYVQIPAINVPGDVPSILVVNIQIPLYTASIFQSENDGEGMNVVLYFKLSEKYSKDLSEQFRENITKMINDEVERVKGFPMDSIAPFRDRLKILGRVVNVDNLNLSATEKKLMNAYNEKPVLSRPQHEFYLGENYLEIDIDVHRFSYIARKGFEGFIERLKLCNLDFGLTIQGNKPEDLPEHLLCAIRLNKIDHSTFNQIGLLS >RHN63694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53268123:53269139:-1 gene:gene26428 transcript:rna26428 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFDGKLLDLSRRGYSKWVFDGKLLDLSRRGYSKWVFDGKLLDLSREGLILFVRRLVLIEVIPNGSLDCWIFRAEEIKTHRGGCLSFNDCYENDGSNSSRDGSEKRFV >RHN77077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3823205:3824628:1 gene:gene488 transcript:rna488 gene_biotype:protein_coding transcript_biotype:protein_coding MYKFEKRSTIHDRFINPSFSSTLLDQIYRSIDEGETKLTTETTKFYREQKPTVINKPTTDRKYYNYEPKTEKLVTVVKETNNNRKSNQHDHDQDALFFSSTSISSDSSSSGFSSSDTDSLYRTKSRSSSCFVPPRPKPVRTSTSASFRFEKEKHGNHVFDDFCRSSETKQGTETRGEEVILIKNKSRAVKIYNNLKKVKQPISPGGKLTSFLNSLFVNSNNEKKMKTEKPTKTKKQMNTWETHEGKVASTCSSASSFSRSCLSKTASFCGRNDYKTVGFCGVEEGRAKVEEATRKFLNEYHSRNKKKKKDDLVLLKDLCINQNEEEDEDDDDVASCASSDLFELDHLSVFGDSRYCEELPVYGTTRVS >RHN74441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33365677:33370822:-1 gene:gene10515 transcript:rna10515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MGNFNMEYYLPIMVMLLIQLIYSGLTLGTRIVLLEGLSPMVFVVYRYAFATIFLAPVAYLSGRNSGSSHSLNLKSFSWIFITSLVGITLDQNLLSWGLYLVSSSVTSAMCNLVPAVTFVIAAFVGMEQVNIRSLRTIAKIIGTIIGVSGAVFIALLKGPKLLNAESITSKSIIGTTLGSDENWLLGCLVLFGSCVAWSVWLILQVPAYASHPNTLSLSAWMCLMATLQSSLVTLFMEADLNAWKITSLLQFGCILYSGVMGSAVALCLQAWCISRRGPLFSAMFTPVSTLIVTVLAVLLLHEEVYIGSLIGAIGVIIGLYIVLWGKAEDVVDVKEKGNQKSIVNATEGIVANEYCEKTYCKTDLEDPLLP >RHN50573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8188034:8191025:-1 gene:gene34875 transcript:rna34875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MEKQKEVQLPSNSGRNAKWWYSTFHNVTAMVGAGVLGLPFAMAALGWGPGLAILVLSWIITLYTLWQMVEMHEMVPGKRLDTYNELGQHAFGEKLGLWIVMPQQLVVQVGSDIVYMVTGGASLQKFHNTVCPSCKPIKLSFFIMIFASAHFVLSHLPNLNSISGVSLVAAVMSMSYSTIAWAGSIHKGVLENVQYSSKATTTAGSVFNFFNALGSVAFAFAGHNVVLEIQATIPSTPEKPSKGPMWKGVIVAYIVVALCYFPVALIGYWIFGNGVKDNILVSLENPAWLIAMANFFVVLHVIGSYQVFAMPVFDLIENKWLVEKLNFKSSKMLRFIVRNVYVALTMFIAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLKLYKPKRFSLSWWINWICIVLGVCIMILAPIGALRSIILEAKTYQFYS >RHN60291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24714895:24716021:1 gene:gene22579 transcript:rna22579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MEKEIDTFLRKLSIASIAIASVTLISLFLITPDTCVPPEAPLKPHFRFPKSTCDFTSSRPSLPSDKKNNRLWSSRDWNNKLRSFSLIFLSIRDIGLLPNNTKVLCISAGAGHEVSALQRLGVEDVTGIELLESLPLVRRADPHNLPFFDGAFDFAFTARFDEALFPMRFAAEMERVVRGGGVSFVLVGECGANEVREVVRLFRNSRFVRSTNVTLSNVRMTSILMRTRKSSS >RHN69909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47283537:47288159:1 gene:gene18442 transcript:rna18442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L17 MTKFRKLNRDTSHRLSMLRTMVSQLVRHERIETTITKAKEVRRLADNMVQLGKEGSVCAARRAASFVRGDDVLHKLFTELAYRYKDRTGGYTRVLRTRIRVGDAAPMAYIELIDRDNELRQSKPPTPQPPQRTPLDPWTRSRLTRQFAPPKVEKSDSDSDLI >RHN43071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42976946:42977290:-1 gene:gene49594 transcript:rna49594 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKTCMCGVSPLVGLGVGAFMVGQTALKATPNKVAKHEKACSDNQHAFIPFAFDTFRFLAPEGVDLLHRVQRVMHSNVMSPRSMNVVFTRIGFSIQKGLAAQLVARLPSIHV >RHN57551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39978743:39984687:1 gene:gene33115 transcript:rna33115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydropyrimidinase MSFIIFTNHYQLLHFLFFLIITITPSSLAQSQHNQFCVAGIGYGESTCGTSSSSSSNKLLIKGGTVVNAHHQQIADVYVEDGIIVAVNPTIAVGDDVYVIDATGKFVMPGGIDPHTHLEMEFMNTVAVDDFFSGQAAALAGGTTMHIDFVIPTNGSLTAGFEAYEKKAKKSCMDYGFHMAITKWDETVSREMELMVKEKGINSFKFFMAYKGSLMISDELLLEGFKKCKSLGALAMVHAENGDAVYEGQKKMIELGITGPEGHALSRPAVLEGEATARAIRLADFVNTPLYVVHVMSIDAMEEVAKARKSGQRVIGEPVLSGLALDDSWLWHPDFDTAAKYVMSPPIRKQGHDKALQAALSTGVLQLVGTDHCVFNSTQKAFGIDDFRKIPNGVNGIEERMRVVWDIMVESGQISVTDYVRLTSTECARIFNIYPKKGAILPGSDADIIILNPNSSFDITAKSHHSRVDTNVYEGRKGKGKIEVTVAGGRVVWENNELKVVPGSGRYIQMAPFSYLFDGIDKKDAAYLNSLQAPVKRAKSTA >RHN75443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42377071:42377481:1 gene:gene11654 transcript:rna11654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucoside 2'''-O-xylosyltransferase MSPWFAMGHLIPYLHLSNILAKKGDKTSFFIPKKTQTKLEKFNLYPNLITFYPLNVPHIEGLPFGAETTSDVSFSLGPLIMTAMDQTKPQIEPLTPLNPEMVFFDFAFWLPKIAQNLGIKSFQYWIISPATMSYTT >RHN75978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46715897:46717024:-1 gene:gene12244 transcript:rna12244 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MTLISNLVFLASIFLFNITNLPFLTNAISSCNGGCNDLNDCYGQLICINGKCNDDPDIGTHICSGRKTINPPLAGGNCQSSGNLQCDGQSHPQYQCSPRVSSSTQALLTWNDFSEGGSGGGPSECYGKYQNNNENIVALSTGWYKGGSRCGQMVRITARNGRSVTAKVVDECDSVNGCDEEHAWQPPCRNNIVDGSVAVWNALGLNTDDGVVPVTWSIA >RHN67949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31740525:31742191:1 gene:gene16213 transcript:rna16213 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L10e MGRRPARCYRQIKNKPYPKSRFCRGVPDPKIRIYDVGAKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMSKFAGKDTFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPLGTCARVSIGQVLLSVRCKSGNGDHAQEALRRAKFKFPGRQKIIVSRKWGFTKLSHAEYLKLKSENRIVPDGVNAKVLGCHGPLANRQPGRAFLPA >RHN45520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21976461:21977133:1 gene:gene39875 transcript:rna39875 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKYFTLSIFDSIQTIALKLLNQIISCLMCFDSVRFDSSIASGF >RHN68681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37777458:37780139:-1 gene:gene17063 transcript:rna17063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MTNTPFSLVLLFFSFFMPTLISSSLVQNPELVVQEVNRKINASSARRNLGYLSCGSGNPIDDCWRCDSNWEKNRQRLADCAIGFGKNAIGGKNGKIYVVTDASDDNPVTPKPGTLRHAVIQVEPLWIIFARDMVIKLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGIHIHDCKQGGNAMVRDSPRHFGWRTISDGDGVSIFGGSHVWVDHCSLSNCEDGLIDAIYGSTAITISNNYMTHHDKVMLLGHSDSYTHDKNMQVTIAFNHFGEGLVQRMPRCRLGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFVAPNNRFSKEVTKYEDAAESEWKHWNWRSEGDLMVNGAFFTKSGGGASSSYARASSLSARPSSIVGSITIGAGTLNCKKGSPC >RHN71278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57860561:57862851:1 gene:gene19937 transcript:rna19937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative organ specific protein MRSALALLPLMLILFVEIVESRNNLGEYWKLFMKDQNMPEEIQGLLSANTKSNLKTLEKKVFGEDIEPRRNISAYKNDGSKQDVKDFEPRSNISAYGDNDIDVKEKNGAIKDFEPRPNISAYGENVIDADEKKKPVKDFEPRPNISAYGDNDIDVKENKGATNDFEPRPNISAYGDNVIDDNKMKKPVEYFQPRPNISAYGENDIDVKKSKDFEPRPNISAFGDNDIDVNEKKGDTKDFEPRPNISAYGDNAIDDDEMKKPIKDFKPKPNISAYGDNVIDADEMKKPVEGFEPRPNISTYGDNVIDVDEMKKQQVKDFEPRTNISAYGDNDIDVKEKKGVTNDFEPRPNISAYGDNVIDANKMKKPVDDFQPRPTISAYGDNAIDNSKTNEVIKDFESRPNISAYGNNEVDTKKKEKAAKDFEQRPIISA >RHN82414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53537987:53539398:-1 gene:gene6577 transcript:rna6577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MMNTTRTLAMSTTTKKEFGNSKEENELRRGPWTLEEDSLLIHYIARHGEGRWNMLAKSAGLKRTGKSCRLRWLNYLKPDIKRGNLTPQEQLLILELHSKWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKQARQLNIESGSKRFIDAVKCFWMPRLLQKMEQNTSPSFDNSSSMTNMNNFGNSIEASTSNSFNIPSMSPSSYPQRGFIDASNGNHLSTMSTSFNNPSLDFFQFSQPLENSKSAPNVFENNFYNGPIQDNFCIDDTNNYGLEGLNLDPMSSMETYDFSQFDLQTTESGWMLDNMANTLWNMDSM >RHN41236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28502393:28506099:-1 gene:gene47536 transcript:rna47536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MDPGAMMNEGSFPNGNGNTTPFSLAEIWQFPAAINGGGGGLGLRRPQFGNGLGQYGEFGPGLNRDGNGLNHAGGGGKKRRDSEDDSAKCVSTSNGGANAVNDSDGKRTKASGNKNEGGDGKVEGEASSGKHAEQSSKPPPSDPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRLNTGIEAFPPKDYGQQTFDLAGMPFVSQATREPSRGSSPEWLHMQVGGGFERTT >RHN58346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1001175:1001579:1 gene:gene20251 transcript:rna20251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S21e MHSKAKFSSFISPEPRFGYLVRLIICPIGCSSATNRLITASEHASFQINICHLDESGVYTGHFSIFALWFCTNTGMYHYYLFYCFLNVLLNCMMVISPLHEELMLWELGSLKLGYFASLFFAFCSVPCLHDYLL >RHN41649.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31764620:31767365:1 gene:gene47991 transcript:rna47991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MDFSSQIISLLLHFVLIVPLVCPINSSRISKHQLFNKTSRSDEEFHKMKKMIATRLQEINKPASPDGDIVDCVLTHKQPAFDHPLLKGQKPLDPPEKPSGHNQKGNYSDIPQLWRLSGESCPEGTIPIRRITEQDLLRADSISHFGRKYIDRDNYELSIGSVQGDGYTGASAILNVWRPKVEKDEFSAAKISIASQKSGDIIEAGWHLSSSGVFPNLYGDDFPRLFTYWTSDLERQSGCFNLKCVGFVQTSKKNLLGGRLGPVSTYNGKQYDFPLIIWKDPNSGNWWLEYGSGNFLGYWPATLFTELKGEAYIVEFGGEVLNLKRTGSHTTTQMGSGHFEFNSINKVAFIRNMQVSVTEQNVYIDLPDPDWAADKPECYPLRPWYNKDWGNYMHYGGPGKSEKCP >RHN40903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24137818:24150078:-1 gene:gene47148 transcript:rna47148 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCGNCDCADKSQCGKGNNYGMTIVETQKSFVETVVMDAPAVEHDCKCGSNCTCTNCTCGH >RHN77320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5991608:5992192:1 gene:gene764 transcript:rna764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative parvalbumin MIVYIPIVRVREPDQFKLKGLPQNIAMTEKQVRDILMKAGRNGDGSLSKGELEKAFKEFGSRNTCWRAFCCLRKIDTNRDGKISREEIDSVVDYVLAWLESKN >RHN75741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44699133:44699620:1 gene:gene11983 transcript:rna11983 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPQTLLQFGAMKISQRLKAFVNGSMSDVETGPLLWDLEIGSESWELLDSIVTMSLPNP >RHN43543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46676416:46686430:-1 gene:gene50142 transcript:rna50142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MEQEPAMEQESTTLGKRSEPEPVSTADGGDTSSQPKKCRSSECTCVHEVAVPINYTSTKDESLHGTLSNPLHNGTMAKTYPFTLDPFQQVSIACLERNESVLVSAHTSAGKTAIAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFTDVGLMTGDVTLSPNATCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNIHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDENEQFREDNFLKVEDTFVKQKLGEGKGGKTNGRFGKGGSASGGSDIYKIVKMIMERKFQPVIIFSFSRRECEQHAMSMSKLDFNSQEEKDTVEHVFQNAMLCLNEEDRSLPAIELMLPLLQRGIAVHHSGLLPVIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTAVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMIDEQMEMNNLKDMVLGKPAPLVSTFRLSYYSILNLMSRAEGQFTAEHVIRNSFHQFQYEKTLPDVGKRVSMLEQEVALLDAAGEAEVSEYHKLKLDLAQLEKKMMSQIIRPEMILYFLVPGRLIKVREGGTDWGWGVVVNVVKKPVGGYIVDTLLHCSPGSNENSIRPKPCPPRPGEKGEMHVVPVQLPLISALSKLRIYVPPDLRPLEARQSILLAVQELGNRFPQGLPKLNPVKDMDVRDSEIVELVNQIEELEKKLFDHPMHKIQDVDQIKCFERKAEVNHEIQQLKAKMRDSQLQKFREELKNRSRVLKKLGHIDSDSVVQLKGRAACLIDTGDELLVTELMFNGTFNDLDHHQVAALASCFIPIEKSSEQIQLRSELARPLQQLQDSARRIAEIEHECKLEVNVNEYVESTVRPFLMDVIYSWSKGSSFADVTQMTDIFEGSIIRAARRLDEFLNQLRAAADAVGEADLEKKFAAASESLRRGIIFANSLYL >RHN63365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50711315:50714386:-1 gene:gene26056 transcript:rna26056 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MFKSKSFSDEGFGLIPKKWRGICQVTKGNPDNFHCNRKLIGARYFFKGFLANPYRAKNVSLHSARDSEGHGSHTLSTAGGNFVANASVFGNGNGTASGGSPKARVAAYKVCWDGCYDADILAGFEAAISDGVDVLSVSLGSGGLAQEYSQNSISIGSFHAVANNIIVVASGGNSGPVPSTVSNLEPWTLTVAASTIDRDFTSYVILGNKKILKGASLSELELPPHKLYPLISAADVKFDHVSAEDALFCNHGALDPHKAKGKILVCLRGDSNRVDKGVEASRVGAIGMILANDKGSGGEIIDDAHVLPASHVSFKDGDLIFKYVNNTKSPVAYITRVKTQLGVKASPSIAAFSSRGPNRLDPSILKPDITAPGVNIIAAYSEAISPTENSYDKRRTPFITMSGTSMSCPHVAGLVGLLKSIHPDWSPAAIKSAIMTTATTKNNIGGHVLDSSQEEATPNAYGAGHVRPNLAADPGLVYDLNITDYLNFLCGRGYNSSQLKLFYGRSYTCPKSFNLIDFNYPAITVPDIKIGQPLNVTRTVTNVGSPSKYRVLIQAPAELLVSVNPRRLNFKKKGEKREFKVTLTLKKGTTYKTDYVFGKLVWNDGKHQVGTPIAIKYPH >RHN78575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16396869:16400857:-1 gene:gene2193 transcript:rna2193 gene_biotype:protein_coding transcript_biotype:protein_coding MERRDALYITKPIACKRFIERLKKFKYMDWKAIDPTSLEYIMTPALIGNPGSHYVCFVVNLKSQKLQFMNSLIGETLHKKMFDVWLKEVEAFVTELYKKRKITMSFQFSTFK >RHN45671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24303255:24304581:1 gene:gene40054 transcript:rna40054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase, 2-hydroxyisoflavanone dehydratase MASITTDTTKHIISEIPTYITVYSDGTVDRPRQAPTVSPNPDHPNSPSKDIIISQNPNISARIYLPKVSHSETQKFSILVFFHGGGFFFESAFSKIHHEHCNVFVPLANSIVVSVEYRLAPEHPLPACYDDCWNSLQWVASNSAKNPVNAEPWLINHGDFNRVFIGGPSSGGNIVHNIAMRAGSEALPNDVKLVGAILQQPLFFSSYPVGLESVKFKSSDKDLYSSVWNFVYPSAPCGIDNPMINPVGIGAPSLDGLGCDRMIVCVAGKDGLRERGVWYYELVKKSGWKGKLELFEEENEDHVYHIFHPESESAHKLIKHLASFLHE >RHN70682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53170723:53171864:1 gene:gene19292 transcript:rna19292 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPIRFVTPCASLNFRKDLLDWSLLRVLDRALTEVFEPSSIFGSKEWRLSFKITTKFESK >RHN65917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6530859:6531303:-1 gene:gene13813 transcript:rna13813 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVVGQAFLSPIIQLIYERLASTDFRDYLNDGLVKKLEITLKSINKVLDDEETE >RHN59519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11625150:11630337:1 gene:gene21560 transcript:rna21560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casein Kinase 2 substrate MEMMVKKFQSKFNKVREEMNQWDELQSRLISQFRNASHIVDRLQVLQKSSNYGILNCVGAVSDVLLQKQIDSLNTISFSMRKTLEEFHCIVLSLEKMHRDGRQLVKGSSSRPTMKQLQQRVGVKPTLIDCLDGLSFLHEIYYSEYLLKTSIVSALSSMALIPSNASDLGALQQLLVDQPNILTEEVQSLFDTIFAEDL >RHN64884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62673933:62678772:-1 gene:gene27755 transcript:rna27755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MFKIQSLLTNNRERVIIQQSYLPAIYSIEQNNNQWFKIHINPGIYWETITIPISSPCIILEGSGSNATTIISSQRETTSDWGSTFSSFATNVIVSDITFKNSYNLEGGSDDIEQALSAAFYGDKSAIFNSSFMSYQDTLFAAKGRHYFKDMLCYIQGDVDFIFGSGQSYFENCMINATQKDSEPSGFVTAQRRENDSDTSDSAQRRERVSWMKKKNLNNSEVNEYSLSSFINKNGWLTNILYILVNFLL >RHN44897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9575028:9577212:-1 gene:gene39074 transcript:rna39074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MALSSKLMIKCWLLLNITFLIGISTSVGQLTNEMYYDNTCPNALVAIQQAVQNAVLGEARIGASLLRLHFQDCFVQGCDGSVLLDDTSSFKGEKNSLQNANSLRGFELIDDIKSTLETMCPNVVSCADILTVAARDAVVLLGGQSWNVPLGRRDSTTASLDASNSDIPAPSLNLDGLIATFARKNFTALEMVTLSGAHTIGDARCTSFRGRIYNETNIDPSFAESKRLLCPFNGGDNNISTLSNSSINFDNTYYNDLVSKKGLLHSDQQLLNGLSTSNQVIAYTTDNESFKRDFANVMLKMGMLSPLTGSDGQIRQNCRFINA >RHN45597.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23517758:23527891:-1 gene:gene39973 transcript:rna39973 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dynamin central domain, dynamin, GTPase domain, GTPase effector domain, Dynamin superfamily MTTMESLIGLVNRIQRACTVLGDYGGGDDGNTFSSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKMESETQEYAEFLHLPRRKFTDFALVRQEIQDETDRVTGKTKQISPIPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIEAMVRSYVEKPNSIILAISPANQDIATSDAIKLAREVDPTGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQAEINKNVDMVVARHKEREYFATSPDYGHLANKMGSEYLAKLLSKHLESVIRARIPSITSLINKSIEELESEMNHLGRPIALDAGAQLYTILELCRAFERIFKEHLDGGRPGGDRIYNVFDNQLPSALRKLPFDRHLSLQNVRKVVSEADGYQPHLIAPEHGYRRLIEGSLGYFKGPAEASVDAVNFVLKELVRKSIAETQELKRFPTFQAALAAAANEALERFREESKKTTLRLVDMESSYLTVDFFRRLPQETEQAGSPAPPSNVDRYAEGHFRRIASNVSSYIGLVADTLKNTIPKAVVYCQVRQAKQSLLNHFYAQIGKKEGKQLSLMLDEDPALMERRQQCAKRLELYKAARDEIDSVSWVQ >RHN53082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41889271:41889564:-1 gene:gene37835 transcript:rna37835 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHEKVCSDNQHAFMPFVFDTLGFLTPNAVDLLYRVQMVMHSNVMIVYKIYTQLFTSNFLYLFMWIKNVADNIIHSEAMVFKQLPGRMSSMSMILS >RHN47283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38470577:38472317:1 gene:gene41861 transcript:rna41861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MFSFMKEMMAKREELYINLLRSYAQDSNFLKGTAIHAYFIKGHIPFTLFLQNHLLNMYIKCKDLTSALQLFDEMPERNVVSWSSVMTGCVHNGGASDALSLFSCMHREGFVKPNEFTFVSALQACSLSENVTQAYQIYSLVVRSGLECNVFLLNAFLTALVRNGKLTEALQIFETSPIRDTVTWNTMMGGYLEFSSEQIPVFWRYMNREGVKPDEFTFASALTGLATISSLKMGMQVHAQLVRSGYGDDICVGNSLVDMYLKNQKLEEGFKAFDEIPHKDVCSWTQMADGCLQWGEPRMALAVIAKMKKMGVKPNKFTLATALNACACLASMEEGKQFHGLRIKLGSDVDVCVDNALLDMYAKCGCMDSAWTVFRSTNSRSVVSWTTMIMACAQNGQPGEALQIFDEMKETSVEPNYITFICVLYACSQGGFVDEGWKYLSSMDKDYGIIPGEDHYICMVSILGRAGLIKEAKELILRMPFHPGVRVWQTLLSACQIHGDVETGKLAAEHAIKHDKNDPSSYVLLSNMLAETSNWDCVVSLRELMETRNVKKVPGSSWI >RHN45790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25597038:25599011:1 gene:gene40193 transcript:rna40193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MSKVNYLITSFMTFRSVAFRLCVDYSDNMFEIFKLGKWGVTTWSMMPLGRGYYEFFFSNEIDKRNVWAAGTVNLKPGVLRLFERAKDFNMHKQRNSHAQVWIRLLELPPEYWMEGTLREIACAVGTPLLIDNATTKRLFGHYARILVDMDFSRKLFHEILVEREGYAFTLEVAYEWLPDYCTHCQNVGHDVSACRQLCPRKETFAPKENIAQGKKQIPVTETTWVPRKENPSGIGSSLAFGAAFTSPVADEEHTAATENSHQLIGNQQATETEATTAALVTGTTNESEAATAALVTGTTSNAEEDNVGSEVIPETVQPQVVPLNCSPMNTEVILMRHRHMFYLRSNKSLMISVALWLRRVLR >RHN68579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36728181:36729776:1 gene:gene16948 transcript:rna16948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MGVQGTLEYLSDLLSSTKKKKKKQTQTVSLKIRMDCEGCARKVKHVLSGVKGAKKVDVDLKQQKVTVSGYVEPKKVLKAAQSTKKKVELWPYVPYTMVAHPYISQAYDKKAPPNMVRKVGDTSNIKESTFDDSYVEMFSDENPNACSIM >RHN47832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42869590:42870627:-1 gene:gene42478 transcript:rna42478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MAESFVFDVADSLLGKLTSYAYEEVSGAYGVYEDFQRIKDTLAIVRGLLLDSEHKNDQRHGLREWLRQIQCICSDAEDVFNGFEFQDKRKQVVEASGSTRTKVRHFFSSSNPLAFRLRMAHRIKEIRNRLDKVAADGTKFGLMRIDVDPGHIVQKRELTHSHVDALDVIGREKDRDEIIKLLMLPHPQGDGDKSLCVIPIVGIGGLGKTTLAKLVFNDERMDQSFKLRMWVCVSDDFDIKKIIIKIINSAFLIPLSLQLQLQLFLLLTRKTLTT >RHN43017.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42571339:42574284:-1 gene:gene49536 transcript:rna49536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FeS cluster insertion protein MAGAAFFAITTTTAHCSPQLVRVPITHTSLSFRSSTPILNRRFSPKPLSIRSLSVSASAAPASGAVAPAISLTDNALNHLNKMRSERSQDLCLRIGVKQGGCSGMSYTMDFEDRANARPDDSIIEYKGFVIVCDPKSLLFVFGMQLDYSDALIGGGFNFKNPNATQTCGCEHLVTSH >RHN73701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19062856:19063085:-1 gene:gene9549 transcript:rna9549 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGWIESWSKRKGLGADSVRKYKDWKTKYVSPKVRSLARPVLALARPCHPPELLLLLLLRF >RHN82364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53206311:53212764:-1 gene:gene6527 transcript:rna6527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MHIEEGVEVKRVFIGAGCNRIVNNVSWGASGFVSFGASNAVAIFSPKSAQILTTLPGHKAVVNCTHWLPSSKFLFKAKELELHYLLSGDADGAIILWELSLVDGKWRQVSQVPQSHKKGVTCINGIMISQTEAMFASTSSDGTVCIWELVFPLTSSGDCKLSCLDSFSVGSKSMVALSMAELPGCTDHIILAMGGLDNKIHLYCGGRTGKFVHSCELKGHTDWIRSLDFSLPVSINGEVNNIFLVSSSQDKCIRIWKMALCSSVPNGNGIYREEESSLSSYIEGPVLVAGSSSFQISLESLLIGHEDWVYSVEWQPPLVASTEGEACYQSQSILSASMDKTMMIWQPEKTSGVWMNVVTVGELSHCALGFYGGHWSPNGDSILAHGYGGSFHLWKNVGDDNWLTQKVPSGHFASVTEIAWARSGDYIISASHDQTTRIYAPWKVETSLQDGEFWYEIARPQVHGHDINCMTVVHSKGNHRFVGGADEKVARVFEAPLSFLKTLSNATLQKSCYSDDDLTNIQILGANMSALGLSQKPIYAQAVHETSDTNGVDGLDTFETVPDAVPTVFTEPPIEDQLAWHTLWPESHKLYGHGNELFSLCCDHKGELVASSCKAQSTAVAEVWLWQVGSWKAVGRLQSHSLTVTQMEFSHDDNFLLTVSRDRQFSVFTITTTGTGEISYSLLARQEGHKRIIWSCSWNPHGHEFATGSRDKTVKIWAVEKKSSSVRQLMTLPQFTSSVTALSWVALPNRRNDGILAVGMENGQIELWSLSYNRQVDGSIVVPGFAAALLVRVDPFICHASTINRLAWRKTEEDHKSLQLASCGADNCVRVFDVTV >RHN61008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32302321:32304214:-1 gene:gene23417 transcript:rna23417 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MHAFVRTTQSLKIPTKNAIFNHHFLRSEPESYAKLIETYTHSRSLQQGKKLHALLTTNGYVRFNLIASNLITLYTTCGQLSIARKLFDKIPQTNIHRWIALIATCARCGFHDHALEVFSEMQTLNDQKSNSVFVIPSVLKACGHVGDRIYGEQVHCLVLKCSFEIDAFVSSALIVMYSKCGEVRDARKVFDGMVVKDLVAMNAVVSGYAQQGLPNEALSLVENMKLMGVNPNVVTWNALISGFAQKCDREMVSEIFRLMNEDRVEPDVVSWTSVLSGFVQNFRNEEAFDAFKKMLLLGFCPTSATISALLPACATEARVRFGKEIHGYALVIGVEDDLYVRSALVDMYAKCGFISEARTLFYKMPEKNTVTMNSMIFGYANHGCCEEAIELFNQMEMEGVPKLDHLTFTAALTACSHVGDIELGQRLFKIMQEKYCIEPRLEHYACMVDLFGRAGKLEEAYGIIKSMPVKPDLFVWGALLAACRNHGHVELAEVAAKHLSELEPESAGNRLLLSSLYADAGTWGKVERIKRRIKKGKLRKLQGLSWIDNGYGLCFLKELDGKAYIDNF >RHN41832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33437440:33437981:-1 gene:gene48194 transcript:rna48194 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFKFETQVQIVVATMAIHNFIRRKAENDMDFNVYEDESTVIHHDDSSSNLDQSQVLNVVSSSEMDRVRNIIRNEIIEHRQNN >RHN74360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32196823:32198528:-1 gene:gene10407 transcript:rna10407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEIHNFISIMIFCLLIFIIGIKGEFYCLSIKDCPQNLCVGSPLPLQCLKFICRCESI >RHN60893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31403616:31411718:-1 gene:gene23286 transcript:rna23286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP diphosphatase MAPLNSLSKEYPLIDSNFQTFCASHAIYSVEDFLLHDLDALFASAANHSNSERLKQGIHQLLLIVDALHPPVLNGLQLIEDAKRNKHVFSTGCEGIDALLGGGLRVGQLTELVGPSSSGKTQVCLMSSSTVAKNKCSVIYLDTGNSFSPQRIAYFVGQSSDYVSVNQADHRLLQNILDRIICYPVFDVYQMFDLLHQLKINLRSQIVKSDHQVQVLIVDSISSLITPILRGNGPQGHALMISSGFLLKQLAHEHNIAVLVTNHVVGGDDGNPKPALGESWKSVPHVRLLLSRDCRSNVSNISIIKHPAMASGRSATSTRLFV >RHN61449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35543961:35544509:1 gene:gene23919 transcript:rna23919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MGFRIASIIKRASFSKTQGSSKGFEVPKGYLAVYVGDRMRRFVIPVSYLNQPSFQELLNQTEEEFGYDHPMGGLTIPCSEDAFLELTSHLN >RHN44389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4143618:4143866:1 gene:gene38506 transcript:rna38506 gene_biotype:protein_coding transcript_biotype:protein_coding MCWRFVSDMYASACFGYYFPPEDDAWFVRGFVYQCWLFGLVCIGFLVRFSMICWFFIMIVLFIFFGFFSLIVSLSFDSFLFD >RHN42176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36115610:36120690:-1 gene:gene48587 transcript:rna48587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK-LRK10L-1 family MFHHHLHHKSKLLSIFIIFITIFITVTTAEQNKTDCVQRCGKQSVKYPFGFSEGCKIKLNCSINKELKIGELKVQEVNSDSIFISLPAKCNRSISFINQLFDKNYAPTWNNTFLVQDCSASNYSGCVIPASSFVGKQIEGCDGSRRSDNINCFTQLQRRRPREDVLALEDWKKIECNFLFSAIAFDNSKVNEAPLQFQVVELGWWLEGSCPRCSRDANCTEVHLAEGKQGFRCRCHKGFVGDGFVNGSRCRRVSRCSPSTLGSSKCGKALRIGVLLGVITVGASITAVLSLLCYFAKRRSSWLTKQLTVKRLLREAAGNYIVPLYPYKEIEKATNFFSEKHRLGTGAYGTVYAGNLHSDELVAIKKIRYRDTNSVDQVMNEIKLLSSVSHPNLVRLLGCCIEKGEHILVYEYMPNGTLSQHLQRERGGVLPWTIRLTIASETANAIAFLHSSNPPIYHRDIKSSNILLDFSFHSKVADFGLSRLGMTETSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITAMKVVDFGRPQSEINLAALAVEKIRKGRIDDIIDPFLDLNRDAWTLYSVQKVAELAFRCLAFHSDVRPSMIEVAEELDHIRRSGWATMEETICMGSSVGSACSSPRSNRNENLVSQNEILVVPQKTNSFLQSIEEVKDSSPVSVHGTWSSRPSSPSTNSLLGNVVQ >RHN45347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17283168:17299173:1 gene:gene39627 transcript:rna39627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MATLREQFQVAINKGNGIKADARFWEEHAGKHIQENTETKKSCFFGFCPDCIWRYKRGEELAIKTKEIRKLMEEKFENVELDRHLPGVERYSSQYYISFESRKLKYEELLDALRDEINYIIGLQGMGGTGKTTLAKEVGKQLKTSEQFNHVIDTTVSFNPDIKKIQDDIAGPLGLKLEGISESDRPKKLWSRLTDGEKILLIMDDVWGNLNFDDIGIPKSDNHKGCKVLVTTRNLKVCNQMVCEKTIQLDLLNEEEAWSMFKLHANLTDNSSQIILKKGRKIATECKRLPVAIATVASSLKGQKRREEWDISLKTLQKPVSVGSVGDDLVDIYKCLKFSYDFLKDKKAEGLFLLCSTFPEDAEISTEVLTRLGIGVGLFGDDYGSYEDPRIQAVASKNKLLDSCLLLETEEGDVKMHDLVREVAQLIAKNQIKIVNFSNKSQKSLVESDKKMKYLICEGNLKDLFASKFDGSELEILIGDMHMEDILHIPISFFENIPRLRVLNLSCHNSYHPLSLPQSMKPLLNIRSLSFGNVDLGDISVFGSLQSLETLELNYCAINELPPEIEKLENFRLLELKNCEIRNNNPFEVIQRCPSLEELYFLNSFNDFCKEITLPTLQRYHLSNVAGYRYRVNDTISRGVSLRWDYFSEATLKYVMKTAEHLHLEGTDKGWRNLMPGIIPIDNGMNDLIELSLETCHQVQCLLDTKHINSEVPSVFSNLKKVTLISCSICNSMFPNLKFLCIEKCHKLQFILPCHSAGDFLLLEYIKIENCHKLEYIFGQHQDVKLVSLKILKHNDVPNFIDIFPEALSIKGSSNSISKPQSELEPVKSKTFSWSQICCYGYKSRGRTSTKMPLVSNNQPKDCSITLVTPSHIFIYSFCF >RHN57485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39483526:39484121:-1 gene:gene33032 transcript:rna33032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MKQRDFFYLFQECVINATQDSSKPPGFITAQRRNSSTEPSGFVFRGGEVTGIGKVNLGRAYGPYSRVIFWETYLSSVVLSGGWDPWKYGGHEKNFIYAEVDCTGPGSNTQGRVPWEKKPNEININDYSLSSFINEDRWLNNIPTIQKKDYS >RHN47038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36649278:36649583:1 gene:gene41588 transcript:rna41588 gene_biotype:protein_coding transcript_biotype:protein_coding MADEESWTQFLKFNCKNPSEEGFIEDDAINYNPAVPLLLAEDGRVLFKLTIMKDKYAVLYNWRDDKEEKIEFTASKPNSNNNIAREDVRWWWAMDYVFMIA >RHN68438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35542448:35546984:-1 gene:gene16794 transcript:rna16794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bacterial surface antigen (D15) MENSPNPNNPKPNEEEIDEHENENEEEEDDEDDVPQSPLSRLRDQKFKLETLSRRLSSELVPIRVHDVIIRGNTKTKDWVIEAELKGIEDVTTMQELIRASEIVLARLQSLGVFESSKISLEPGPVELPNTANVVVDIVEAASRVSGEFGVYTKPATSSWTGEGTIKYKNLLGYGDLWDASLAYGANQATEVSVGLYAPRLKGTSNPVVARLSMLSQDWQEFSSYKEQLLGMSLGLISTKHHDLTYTFGWRTLTDPLQMASRSVRRQLGHGLLSSLKYTFKIDRRNSPIRPTKGLAFVSTTHLGGLTPDNRSLRFLRQEFDARYAVPFGFYNTALNLGISAGAIFPWGHDFMKKPSPLPERFYLGGDFSPVCTLGGPMTLWGFKTRGLGPAEPRRQSRDVFNDDNSDSSKWDSVGGDLSVTAFADLSFDLPIRWLREHGVHGHVFAGAGNTAKLTQNEYKHFSPRRFLESFRSSVGCGFVVPTSLFRLEANYYYVLKQTEHDRGKNGFRFSFSAPS >RHN38745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1722719:1724462:-1 gene:gene44710 transcript:rna44710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MSILSLTTLLLYRKKKKTTLLLFGKKKPTLPYKKTTLLLYHLHLPCVWLRFHRSFLQRFIQVEGLMSRGKFPINILFIGGHVDSGKSTTAGHLIYKLGGIEKDVIERFEKEAAEINRRSFKYAWVLDKLKAERERGITIDISLWKFETTNFLCTLIDAPGHRDFIKNMITGASEADCAVLIIDSTPGGFEAGFCKNGQTNEHVQLAYALGVSQMICCCNKMDATTPKYSKDRYEQIVSQISPFLSEVGYNPGKIPFIPISGYEGDNIIEHSSNLDWYTGPTLLEALDQIRRPKRLIFRPLRLPLQDVYKIGGIGIVPVGRVESGILKPGMVLTFAPTGLQTEVKSVEMHHEALTRALPGDIVGFNVTNVSAKDLRRGYVASHSQRGPAMEAARINQ >RHN67615.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28687687:28688410:-1 gene:gene15821 transcript:rna15821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKPSLLTFSFLLLFVFSLAFSTLVDEQVVVDTNGNPIFPGGRYYLMPPIFGAAGGGVKLGHDTESSTCPVAVFQDYSEVVNGLQVKFIIPGISPGIIFTGTTLNISFVEKPQCVESSIWAIVAGWPAWIGIGGDEDHQESEILTGKFNIQKHGLGYKFVFCPTYTIPPGSCYDIVKIDDVNGRRLILPMDDVSAPFEFVLFDAGDATRNSVV >RHN75660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44138785:44139039:1 gene:gene11895 transcript:rna11895 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSRAWTWSVATSVGVVEALKDQGICRWNSVIRSAQQHAKHNIRSLSHANNKVSSTKLRDDKSKQSEESLRTVMFLSCWGPN >RHN54830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12686756:12689348:1 gene:gene29921 transcript:rna29921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetraspanin/Peripherin MAMSNNIIGFINLVAVILSIPIIGAGIWLTNEPADTCVKFLQWPVIILGVLLLVVSLAGLIGSFWRISCLLIFYLVAMLVLIILLVCLVIFVYMVTLRGHGMIEPNRAYLEYRLDDFSGFLKRRVRSSFKWDAIRSCLSQTNMCGELNQSFRMAQDFFNARLTPMQSGCCKPPTQCAYTFVNPTYWISPINNAADMDCLQWSNDQTTLCYNCDSCKAGLLANLRKEWKRANVILIITVVVLIVVYLIGCFAFRNAKTEDLFRKYKQGYT >RHN39226.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5108898:5114519:-1 gene:gene45230 transcript:rna45230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative occludin domain-containing protein MDDLTKNHGKMRSEEAKPKFKSLKAIDLEPGKPSMKSQIKALAADEATTWKNYHKMEVAGLKERQVETLQVGGSHRFGLMSISATAKGKRSSRRAANMSKSLYDVVPSRMADKQDTNTVFEKEIPTRASKENNGSKPIDLQRMLISLLKDNPNGMTLEALEKVVSNVRPSFIQDLEPTIKRIAKYIPGRYILKPEVDSESFKKPTESGSFLKIKSCTTSAPQGGGFKEEVPNDDLEETMQVKSKVKEETLEKIDMKHASHVYQQLKKRKSYIGSLFDERESEVIDSSRNEQSDRLSKGKHKMGSEWTNIDDKSEGAKRLKAGHLTRESYSPHTDVQMFGNSRNFSPFEFTEDTGRADRQRNCNLGFQKGYSRALPGKSSSDLQKTGQRSFDQRPLGNPSYPIEKSDKLGESIRHNRKHTGKDFRAHVVSFVPENKSHKDAQNEDIYVTEKKVPRNSRDGSNGSKQSLLSVDSNYQKHGEMVGKLKEGRQGTQSHLGKSSKDNNRIGLNKSSAVNGRGISLTGELSDLDYGELRESTPEETIVAKQFERKGSFKHIGIEANTSEVMNSNITEVKPLLKPTLDYGRPTLVLSSGFPSNLDNTNEKNVDNHFEDSTKSRCRVTQTHSHHLKADSTNVGSQFFLPEMSTKFRNSESGVSRGIDLDGCRDTNRRVPANRSKQDTKHGMVPYPVKESKIQTPNSCEEVPDGRKDAVFADRNNGDQKKKELSTDENSCSYSKFEKEEPELKGPITTFSEYTEYVQEYQDKYESYISLHKILKEYRVEFQKLGDDLESAKSKGDMDRYNDIATQIRESYRQCGSRQNRLKKIFIVLHEEMVNTKQRIRDFVQSNKD >RHN59308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9356786:9363710:1 gene:gene21316 transcript:rna21316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative golgi SNAP receptor complex, subunit 1 MRDTTNLDLQESGWEELRKEARKIEGDLDVKLSSYAKLGARFTQGGYVEGGSPSVGSSRSWKSMEMEIQSLLEKLLDINDSMSRCAASAGPATSVTQKLARHRDILHEFTQEFRRTKGNINSMKEHAELLGSVRDDISDFKASGSMSPRMQLLRERAAIHGSTSHIDEVISQAQATRAVLGSQRALFGDVQGKVRLLGDKFPIIRSLLGSIRRRKSRDTLILSAVIAACTLFLIIYWLSK >RHN41882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33835289:33837794:-1 gene:gene48246 transcript:rna48246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative strictosidine synthase transcription factor WD40-like family MSKSNTNTRTQPRTSLFTPFIAIILPVIIASAVFNRLDPFEPVVFPLEKQTRSTITAPLRNNNMRLGSENVADGELFGPEDLVYDADKGLMYTGCEDGWIKRISVNGSVVEDWINTGGRPLGLAFDGNGQLIIADADKGLLRVTREKEIEVLVTEIDGLQFKLTDGVDVAHDGTIYFTDASSKYSYKDYLLDVFEGNPNGRFLSYNPATKKTTLLVSDLYFPNGVAVSPDQKFVVFCETVLMNCKKYYIHGPKKGSTEKFCDLPGMPDNIRYDGHGQYLIGIATAFSLDLDIMLKYPFIRKALAIITKKVPSLNLYKNGGVITVDLEGKSTAHYYDPKLSLSGGIKIGNHLYCGSILYPFVIRLDIEKYPALPTI >RHN72594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8980873:8981272:1 gene:gene8323 transcript:rna8323 gene_biotype:protein_coding transcript_biotype:protein_coding MQMNDGIEEHNPPKKNTRELSIALILPTKTGETSTTHLPFFTGLARLSRHFS >RHN63360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50664868:50667858:-1 gene:gene26051 transcript:rna26051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MLGVWPESKSFSDEGMGPIPKKWHGICQVDKQNQDKFFCNRKLIGARYFYKGFLASPSGGKGLHSVSFNSARDIDGHGTHTLSTAGGNFVANASVFGYGNGTASGGSPKARVVAYKVCWDSCYDADILAGFEAAISDGVDVLSVSLGGDFPVEFYDSSISIGSFHAVANNIIVVAAGGNSGPAPSTVSNLEPWVFTVAASTIDREFTSFVTLGDNKTLKGASLSELELLPNKLYPLITGADVKYDNASSKDALNCEGGTLDPQKAKGKILVCFQVPDDCHFLCRTHKGVEAARVGAVGIILANSDKDSGSGIQADPHVLPSSYVNFIDGSYIFNYINHTKSPVAYISKVTTQLATKPAPFIASFSARGPNLVEPTILKPDITAPGVDIIAAYSENISPSEQEYDKRRTLFNIMSGTSMSCPHVAGLVGLVKSLHPNWSPAAVKSAIMTTATTEDNTGGPILDSFKEKATPFDYGAGHIQPNRVVDPGLVYDLNITDYMNFLCARGYNSSMLRFFYGKPYTCPKSFNLKDFNYPAITILDFKVGQSINVTRTLTNVGSPSTYTAQIQAPPEYVIYVEPKTLSFNQKGEKKEFRVTLTFKLQSKDKSDYVFGKLIWTNGKNYVVGIPIALNNPH >RHN48580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48566674:48567426:-1 gene:gene43312 transcript:rna43312 gene_biotype:protein_coding transcript_biotype:protein_coding MTPITKNIFDMIIFISPLIVTMSMRVLCGRDGRCPKFMCRTFL >RHN70540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52163419:52168933:-1 gene:gene19129 transcript:rna19129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative periplasmic binding protein-like I MNIEYNPIFLKFMVCCWCLVILLGGILAQITKNESNIVVKVGAVIDVSSNGTVGKIGLSCINMSLSDFYLSHSHYKTRIQLILRDSHKDVVSAAAHALDLIKNEKVQAIMGPITTIETNFVIQLGDKAHVPIVTFSATSPSLASLQSSYFFQISQNDSTQVKAITSIIQAFGWKQVVPIYVDNSFGEGLIPYLTSVLQQAYIQVPYLSAISLSANDDAITQELYKIMTTIPARVFIVHMSPSLGSKLFTLAKKIGMMNQGYVWIVTDGMANLFNSLSFNVRESMEGVLGLRTYIPRTKKLDDFRVRWKRKFISDNPKLVDTNLNIFGIWAYDATIALAMAIEKVGIGNTKFDYNESKTSSNYYMPNFEKFGISQNGEKLSEALSNTRFNGLSGDFNVVGGKLQASIYEIINVIGDGEKRVGFWTPDKGLSRNLDTEGLIRSNNSIYSTSKNDLGLIMWPGDMNSIPKGWEIPTIGKKLRIGVPVKNGDNYTEFLHITRDHSTNSTLATGFCIDVFKAVVEVLPYALPYEFVPFAKSDGEMAGTYNDLITQLYYGNFDAVVGDVTIIANRSDYVDFTMPYTESGVTMVVLMKDNRKKNAWAFLKPLTWDLWVTTACSFVFIGFVVWVLEHRINKDFRGPTSHQIGTSLWFSFSTMVFAQRERVVSNLARFVVVVWVFVVLILVQSYTASLTSLLTVEQLRPAITDVNQLLKNKMNVGYLKGSFVYGILKEMNFQDFQLITYQSPKECNELFIKGSANGGIDAAFDEVPYVKHFLGIYSCSSSKYAMVEPRFKTGGFGYAFPKGSPLVADISRAILNVTQGGKMRTIENAWFKESRCLDSNTEISSNNSLGLESFWGLFLIAGIASLLALLIFVVTFLHQHKHIWLNNNPSNSIWRRIEVVFRMFDQRDLSSHTFKKTENINESSNNPYHHDDLGAVEVSPGTPYPPSPFSQTESNVSFYGDFSQNIDMDVMQITNPEVSPVNNCEINSQAT >RHN59053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7122371:7125741:1 gene:gene21037 transcript:rna21037 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYALSVSSSPQNFLKTLPSFKHAAILFLGPTVSCAFLLSWPRISSFIVIGCNVMASSTKGTSTKTIDSGIVVGSALSIKLP >RHN80887.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41583605:41584568:-1 gene:gene4863 transcript:rna4863 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MAMKKYYCEYCDKQFQDTHLDRKRHSAGIQHKQAKSRWYDSFKPEHHNPIPPFCFHFVNTGFCRYGDSCKYFHPNTQQQQPITTTPGNIVGDTMGVSFGNLPPSLQPPPEVGYSNLPFLDWG >RHN50490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7560046:7563637:-1 gene:gene34773 transcript:rna34773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MASLSSFVALVILVVGGIFVHEIEAIPRTFLVFGDSLVDNGNNNYLATTARADAPPYGIDYQPSHRPTGRFSNGYNIPDIISQKLGAEPTLPYLSPELRGEKLLVGANFASAGIGILNDTGIQFINIIRMYRQYEYFQEYQSRLSALIGASQAKSRVNQALVLITVGGNDFVNNYYLVPYSARSRQYPLPEYVKYLISEYQKLLQKLYDLGARRVLVTGTGPMGCVPSEIAQRGRNGQCSTELQRASSLFNPQLENMLLGLNKKIGRDVFIAANTGKTHLNFINNPGQYGFKTSKIACCGQGPNNGIGLCTQLSNLCSNRDLNAFWDAFHPSEKANKLIVNDIMTGTKAYMNPMNLSTILALDATT >RHN75086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39253904:39254994:1 gene:gene11239 transcript:rna11239 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKMIANNYRLKTKHKIIKEEKKDLDHFMVKTKKKSTITNETKLCIVPCFKTHVVKFSILNLNDPHSKFQSFTQQSIISSSRI >RHN58209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44608185:44629541:-1 gene:gene33828 transcript:rna33828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein patched/dispatched MIKQKMNLKKKKKMMIMDFLGIIDFSSSSTSAAVLLLLLLLNVNVLSSPLLTLTAAAAAQIMSNTHSEEYCSMYDICGQRTDGKVLNCPYPSPSVKPNDLLSAKIQSLCPSLNGNVCCTEQQFETLRAQVQQAVPILVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSLVNGNITVDAIDFYATETFGDGLYQACKDVKFGTMNTRAIDFVGGGASNYQEWFSFLGQKVPLGFPGSPYSIHFKTTIPDSSPMKPMNAPVYSCNDTSLGCSCGDCPSSPVCSGSEPSPPTKDPCSIRMGSLKVRCVDFSLALLYVLLVFVLLGWVLLQRTRQERRVGSDVEPLLNDMGDEGSSFTNIQRDETHPEEQVMDPQRQKAMQFSFVQGYLSRFYRSYGRWAAMRPNTVLCLSLVIVLLLCLGLLRFEVETRPEKLWTGPGSKAAEEKDYFDSHLAPFYRIEQLIIATIPDSEHGKPPSIITEDNIELLFEIQEKVDGIRANYSGLFVSLSDICLKPLGDDCATQSILQYFQMDSDNYDDYGGVEHAEYCFQHYTSTETCFSAFKAPLEPTTALGGFSGNNYSEASAFIITYPVNNALAKFGDENGKAIAWEKAFIQLAKEELLPMVQSNNLTLSFSAESSIEEELKRESTADVITILVSYIVMFAYISVTLGDTPHHLSSFYLSTKVLLGLSGVLLVMLSVLGSVGFFSALGVKSTLIIMEVIPFLVLAVGVDNMCIIVDAVKRQPSDLPIEEQISNALGEVGPSITLASLSEILAFAVGSFVSMPACHVFSMIAALAVLLDFLLQITAFVALVTLDFERAKDNRIDCFPCIKLNPYSVEQTEGIGQETDGLLTRYMKEVHAPFLGLWGVKILVIAIFGAFTLASIALCTRIEPGLEQQIALPRDSYLQGYFSNISEYLRVGPPLYFVVKDYNYSLESKHTNQLCSISHCDSNSLLNEISRASLVPESSYIAKPAASWLDDFLVWISPEAFSCCRKFTNDSYCPPDDQPPCCFLDEGPCGLGGVCKDCTTCFRHSDLVNDRPSTAQFKEKLPWFLDALPSADCAKGGHGAYTNSIDLSGYEGGVIQASEFRTYHTPLNRQGDYVNAIRAAREFCSKISASLKMDVFPYSVFYIFFEQYLDVWKVALINIAIALGAVFVVCLIITSSLWSSAIILLVLLMIILDLMGVMAILGIQLNAVSVVNLIMSIGIAVEFCVHITHAFMVSSGDRSQRARTALCTMGASVFSGITLTKLVGVLVLCFSTSEIFVVYYFQMYLSLVIIGFLHGLVFLPVVLSLFGPPSRYTVIKE >RHN53351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1149635:1151742:1 gene:gene28251 transcript:rna28251 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MENNTDQRSIASSYFNFFKAQLHLLNFFTYALIFSFGLLIGMTLTFCVKDFSFNFQIQNFQDPSLSLNPPPHFSYSPPILLSNISKTSYDHDNQTNISIKQNCPATHNLTSNGLEELLKIPKAMHDMNEDELFWRASLAPMIHKTPFKQTPKVAFMFLTKGPVLLAPLWEKFFKGNEGLYSIYVHPSPSFNETVYNQSLVFHGRRIPSKKVKWGENSMIEAERRLLANALLDFSNQRFVLLSEHCIPLFNFFTIYTYLMKSKQTFVEANDIPGRVGRVRYNRRMCPLIQLSQWRKGAQWFQIDRYLAVRIVSDKPYFSMFKKYCHPRCISDEHYLPTLVSIKFWKRNSNRTLTWVDWSKGGAHPAKFSSKDVTIDFLERLRFGSTCEYNGKTTNVCHLFARKFGTQALDGLLTFAPKLMQFN >RHN81686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48113839:48120554:-1 gene:gene5761 transcript:rna5761 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLDHAVLLGNYRKRSGVVGFLLETIMGPTLKISVSEMYGRIVKQSLAKNK >RHN62111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40802871:40806001:1 gene:gene24645 transcript:rna24645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative succinate dehydrogenase (quinone) MASNTQDSSSQSFWEGYKEFWGERFSFMSNYSNFTKRDKPLTSWSNSDVEDFIASDPVLGPVLKTAREAVQYGLAGSALGALFTASFAWKYSRSLHGAGLSFLAGGVFGWTYGHEIANHALQLYRVDTLASEAKFLEWWKRKTEGGN >RHN76858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1855406:1858058:1 gene:gene241 transcript:rna241 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQNPPSIQTSDPEAHEITPTNHSATSFKPKDAVQTLKKNLVFRSKWAELNGAMGDLGTYIPIVLALTLAKDLNLGTTLIFTGVYNIITGVMYGVPMPVQPMKSIAAAALSDKDFGVPEIMTAGILTGGVLFVLGITGLMQLVYKLVPLSVVRGIQLAQGLSFALTAVKYVRKVQDLPKSKSLGQRPWFGLDGLVLAIVCACFIVIVNGAGQKNSGCCGAPEDGNLDGQIQRSDEGGRKTKMNKLRKIIFSLPSAFIVFVLGIVLVFIRRSEVVHEIKFGPSKIEVMKFTKENWKKGFIKGAIPQLPLSMLNSVIAVCKLSTDLFPEREFSVTSISVTVGLMNLVGSWFGAVPTCHGAGGLAGQYKFGGRSGGCVALLGFAKLVLGLVLGTSLAHILQQFPVGILGVLLLFAGIELAMCARDMNSKEDSFVALICTAVSLVGSSAALGFLVGMIVYVLLKLRNWSNDKPLSTIWNQKSPN >RHN80616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39464940:39467043:1 gene:gene4561 transcript:rna4561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MTTFSTEFLSHTLNFRIHTSSHSKPNTIIITSSILFLNEANNNNNKRRRRTNNNEQQQFRVNETKPTKHDQDYDFRDTNFMKTLNRSCKSAKYDESLYFLQHMIEKAIQVMEILEKHGKPDVFAYNAVISGFCKADRVDHASKVLDRMKKRGFEPDVVTYNILIGNFCGRGRLDLALRVMDQLLKDNCKPTVITYTILIEATITQGGIDEAMKLLDEMLSRGLRPDRYTYNVVVNGMCKEGMLDRAFEFLSRISKNGCVAGVSTYNILLRDLLNEGKWEYGEKLMSDMLVKGCEPNPITYSTLITALCRDGKIDEAKNVLKVMKEKALAPDGYSYDPLISALCREGKVDLAIEFLDDMISGGHLPDILSYNSILASLCKNGNADEALNIFEKLGEVGCPPNAGSYNTLFGALWSSGDKIRALGMILEMLSNGIDPDEITYNSLISCLCRDGLVDQAIELLVDMFESEKCQPTVISYNTVLLGLCKVQRIIDAIEVLAAMVNEGCLPNETTYTLLIQGIGFAGWRYDAMELANLLVNMDAISEDSFKRFQKIFPVFDAHKELALSSE >RHN58788.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4911050:4911902:-1 gene:gene20734 transcript:rna20734 gene_biotype:protein_coding transcript_biotype:protein_coding MFMWPGLRLMVSKGKKIDAIHDKQNKTNIMTAWWWREGLRATDVQWMQDTSYSQIDHGCVMHLQRGDTRRHRASIFCLGR >RHN64610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60404874:60413937:-1 gene:gene27440 transcript:rna27440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ecd family protein MDSLKFLITSESESRASEPVQTAVMNMIKDYPDQAKKNMHTVRVRVPVSVAMVLKHEPCLISLAVEGFYDRDIDSMKFAAKMEKFLEKGREEELVCVCVKLSRAMYAQLVQQTFRAPKVYPELPSRDHREEYAEAELGLKIACGMEMMYQQRKRDGVEGKGSTWEAFKQSLEKSGYFQGLLPGSSEYQRLMQNAQEYYRNTSLYSKSSDLMNAPVRRIDEILALPYSVDEFNGQELPPSDDDSWLYNGEEELNSALMERQKEMELYDLKHKGKGKEKRGQDTGSNADEFDPSDLAKSMRAFVDMVSSFEGAEAPEDRSE >RHN44987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10471746:10474991:1 gene:gene39177 transcript:rna39177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MELENQNQDSNFPFWKPISRKFQPDSPFFAIGNLERELLVKQVALEFELTQEKEQLEDFIQEDGREVFCPIAGCGARLASIEDFENHYNARHTASCSVCSRVYPTSRLLSLHVSEAHDSFFQAKVARGYDMYECLVEGCGLKFKSYKSRQHHLVDKHKFPTSFEFFKKALPSKKHRIKSQRKKPSQKEDTSGMMEVENAAMDDLVSAVSRLNTSDTAPSSISFGRRNTKGMSFVPRAVQRGKGSNSGSSVTKK >RHN50107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4116404:4117614:-1 gene:gene34362 transcript:rna34362 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPPIGLKFPKSVIQEIIDELEFKKRQRETPLQRTTLTANKTITHVCFLATLLKIGRFEFMPRNEVNLVAHCYFEHQKFLWGMLDKSTNIKYKIEIMWQDISAIRIVDEDKKPGILEIEKLLAFDFNCDFLFLQLIKVPTFYHHINSMWESSQDFTDGHAAICRRHYLEFPPKVNFKKLLQSNKHLLELSQRPFPSLDSSFFGIPLITPPSLIYSTPPVSVNNHFHNPCPNFLSAPNTC >RHN74669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35593826:35594755:1 gene:gene10776 transcript:rna10776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MKPDISAPGVEILPAYSPIASPSYYPSDKRQVKYNILFGTSMSCPHVAGIVAYVKSFHPDWSPAALKSAIMTTTKPVKGHYDDLAGEFAYGSGNINPQQAIPPGLVYDITKQDYVQMLCDYGYDTNKIKQITGDNSSCHQASKRSLVKDINYPAMVIPVYKHFNVKIHRTVTNVGFHNSTYKATLIHHNSKIKISVEPKLLSFKSLHEKKSFIVTVVGEAKSNQTVFSSTLIWSDGTHNVKSPIIVQRLSRKSTMLFLRQIKYIILSLQLIFMLLFISIVVLPKNNFYSCLRLFHNNPKFNLHKTEINK >RHN48570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48515564:48515761:1 gene:gene43301 transcript:rna43301 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSVKRFLSSGLLSNSLLRPAASSASRSFNTSAMRQYDELFDDSNIMDAVCRPSFSGTCYHL >RHN78139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12212781:12212942:-1 gene:gene1661 transcript:rna1661 gene_biotype:protein_coding transcript_biotype:protein_coding MECNGNPHAPLCSKSIFGVYCTRVFLACGISLAGSLFYISQLLWCILKAFNSD >RHN66389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11742880:11743257:1 gene:gene14359 transcript:rna14359 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEKFAKFLFKKKNHHKCYPQPNEELPSSSLHDFHSHVSKHIDQLALELKPESEILSLSWFQGCFGLLPLINKVFCKTCYGH >RHN39939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11834711:11840836:1 gene:gene46023 transcript:rna46023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA(Ala)(adenine(37)) deaminase MSMASSEGTCLDQSVSEKVISLYNSLPKKGKPQGREVTVLAAFLLSSPSNELKVVALGTGTKCIGRSMLRPYGDVVHDSHAEVIARRALIRFFYTQIQRLSEPSSKSAPSNGAKRFKVDDNNLAFEVDEGCLDKRKYTLRSGWKLHMYISQLPCGDASLSSLVTPLESVPLGENGPHSSSVNSSKQIGMVQRKPGRGDTTLSVSCSDKIARWNVVGIQGALLSYFLQPVYLSSITVGLPHTSPENFQFEDNLKRALYDRIVHLENALTSPFLVNQPLFQAAPVPPNDFLQSESSANNLTCGYSICWNECGLHEVTLGTTGRKQGTSAKGALYPSTESSLCKKRLLEVFLPLREEFLAKSLDDDITYRELKDGAEEYHLASKIFKGKPPFSNWFVKPLDCEAFPISK >RHN44351.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3786105:3786464:-1 gene:gene38461 transcript:rna38461 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycoside hydrolase superfamily MNGLNDKAGFLMSGSNPNPLVMTEFGMDMENIDDQNQRYLSCILAYLGGVDLDWALWAAQGSYYIREKENIVREHYGLWSIDFSSLRYQEFPQRFQLLQKKLLGMAFLIGLMVFYPPII >RHN70508.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51868080:51869199:-1 gene:gene19092 transcript:rna19092 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYNEMANNILYHEQKLTLDDGSVLNNSPNILTPNHIHHPILQHYHYSQSLQNTQQQKERCHEEYQHFYQSHEVHQHKFQPSQQQQTHSLGDIIVNRYIECEGSNARVSFWKAFNNTVLCDATEKQQHEKENNERCLVSDNNFAGELEAVYKLGQNQVFVEEHDSRRRARKKKQKKRLKEEMSLMRVFFKRLTKTVVNHQEVLQNKLLEVIDRMEKERMQREENWRREESEIYEREAVMKARERDFAKRRESSIVSSIEKITGRRFSSQ >RHN38775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1900748:1901419:-1 gene:gene44741 transcript:rna44741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase II transcription factor SIII, subunit A MRRDEVSARKKPSSSVLLFQRNPVMMKRKDQTPLVDLCVEKGIDNVKYLGDVSHVDHDMLERILPHCTLDQLMHIEKSTQGMDLSPITDQLWRKFFEKQFGINCTNEVVKKMKEKRVSFTWLQLYEAKVKRVAQTENEAVDRLAQRYKEEDARKQSRKIKTCTKLPPSERRFCGDNGSGYNLSVKSSNIMKKSKKDFLNSLEVKNNTAMKRRGIGSTSKYLTF >RHN41109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27042856:27045286:-1 gene:gene47398 transcript:rna47398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MEEVSNVKSELSPRSHRLLMLDTDSVVTTKSVKRRRRESSVLGGNEQQGDVSEIQQPVSGQSTATTVKRSSRFRGVSRHRWTGRYEAHLWDKGTWNPTQKKKGKQGAYNDEEAAARAYDLAALKYWGTSTFTNFPVPDYEKEIEIMINMTKEEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYGSQITDVINDYIYKGTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLRPGPNPTTSQEQKSNTEPQPFAATNFIQTRGNMDASNSTINPKKLLQVENTKQKESFNSLMTPLSPCSKPDSPTALGLLLKSSMFRELMQRNLNSSNEDEEIELKYPQEGIAGVFDIDNNSNTYLCSSNISRLPNLVSSEERPLPMYHGTMQSLWNGPSNISN >RHN46361.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30999836:31001023:1 gene:gene40843 transcript:rna40843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MPITLVDQNDNPIYMPIPNPNPTPSPFNITLFDNHLPYDTHNTYSITIDTTLTIQTLLTCSPIHVESWLLETQSLSPAIIGLDIEWRPNSQRGQSNPAATLQLYTNNRCLIFQLIHSPSIPTFLFTFLSNPNNRFVGVGIESDIEKIIEDYNLTVANYVDLRNLAADVLEDRDLLRSGIKTLAERVLGKIVEKPSRITRSRWDNPMLDWDQVKYATVDAFLSFEIARRLYSHQVIE >RHN62929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46797999:46802101:-1 gene:gene25567 transcript:rna25567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine alpha-hairpin motif superfamily MGRKAGGLFINSKRFSSLHKPCMKEMIMFMNCMATSHSDVEACAKQKEILNTCIESQSKKNRKSWGSINYQLQRLSRGRK >RHN40933.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24588907:24591818:1 gene:gene47180 transcript:rna47180 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TCP family MGETIHDQHTGTATATATTTKTAATTSSKRISSSGGGGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKSAIDELAHLPAWNPTATCSSTPQQQQQQQTNEMFHRQSPVDNNPVTASTSHRSAALERRMPPHEQQFPSQQQQLEIDYNSSSSGKHGFLQGSLDTDIADTIKSFFPVETPTTSFHNYQQPPDLLSRTAATTQQQDLRLSLQSFQDPIMLQSHHDNHHHHHNNNNQVLFAGNSSLGYDGGGSGSTLWSEQQQQQQHQEEHDNGRLNRMMAWNANNINANAADGGNSGHGAGFVFSTPAPAFGGYGQFFTQRGPLQSSYNPSVRAWIDPSMATANIVDHHHHYLSPMIHQASVSGGGFSGFRIPARIQGEEEHDGVSDKPSSASSDSRH >RHN45330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16900119:16900340:-1 gene:gene39607 transcript:rna39607 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMGQFNVYGTDDPSTQCLCKVDIKPWMFSKRKGCKSLEAYYVCWDLSNYFKVRACLWSDVLFNFHEIIVCR >RHN42010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34742393:34746621:1 gene:gene48394 transcript:rna48394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysosomal Pro-Xaa carboxypeptidase MKDVKLLSNSLFCMDSLNAKLNRSGVENPWFFFGGSYSGALSAWFRLKFPHLTCGSLASSAVVLAVQDFAEFDQQIGESAGPECKAVLQETTQLVETKLADDGKALRSIFNADDLEIDGDFLYYLADAAVIAFQYGNPDKLCKPLVDAKNAGEDLVDAYAKYVKEYYVGTFGITPKSYDQEYLKKTAINEDSSTRLWWFQVCTEVAYFQVAPSNDSIRSSKIDTKYHLDLCKNIFGDGVFPDVDATNLYYGGTKVAGSKIIFTNGSQDPWRHASKQTSSPDLPSYLIKCNNCGHCTDLRGCPQSPLVIEGNEKNCSSPDAVHKVRQKVQEDMDLWLSECIDSGRSSM >RHN39124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4281601:4287374:-1 gene:gene45122 transcript:rna45122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SAWADEE domain-containing protein MKELTSNESPFPKLSLDEILELERIYNDVGEKSLDPNFCKDIAANFSSSSNSDGKTSLTWEQVQQWLQNKHTETKGHFASSPEGLNLVVDLSGKSSSIKGNKSSPKPKGIQAADLSELAFEAVSIKDNAWHDVSMFLNYRVLCTGELEVRVRYHGFGKDEDEWINVKYGVRQRSIPLEASECHKVKEGHLVLCFHVKSDYALYCDAIVLKIQRREHDSEECSCIFTVRFYHDKSEEEVRWDSLCCRPTQEESEVPFELEPTMNPIANLWG >RHN73220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14508030:14511278:1 gene:gene9014 transcript:rna9014 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MLIIHRHRSIFNLKPLTFSPNPITPLPFSLHFCTNTSDSTSFSVSYLINNFGFDPQFASKLCSTYKLRFKTDQNPDSVLKFFRNYGFSNSQLRRIIPKAPWLLSCDPSKRVLPKFQFFLSKGASTSDIVNFMSKNPRILSVSLKNRIVPIYELVYRFLQSHKDTIACVISNPVLFSDHLVAHNIALLLENGLSDSNISRLLREHRRTLHTCDILKVVDELRDLGFNPSKTTFGVALIAKSSTTKALWKEKVDAFKKWGWSDEDVLEAFKKQPHCMITSIDKINLVMSFWVNQLGWDAKDIAKTPDILSLSLEKRIIPRATVVQFLLSNGLRNKNASLIYPFLMPEKLFLDMFIKRFENAASYLLKLYEEKLNLAHTKNKNCV >RHN47533.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40354846:40361431:1 gene:gene42146 transcript:rna42146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I, cationic amino acid transporter MIVEVGNGEEGGGRFRGFGSLIRRKQVDSIHYRGHPQLARKLSVVDLVGIGVGATIGAGVYILIGTVAREQAGPALVISLFIAGIAAALSALCYAELACRCPSAGSAYHYTYICIGEGVAWLVGWSLILEYTIGASAVARGITPNLALFFGGQDNLPSFLARHTLPGLGIVVDPCAAVLIVLITLLLCLGIKESSTVQSIVTTINVSVMLFIIIVGGYLGFKAGWVGYELPSGYFPYGVNGMFAGSAIVFFSYIGFDSVTSTAEEVKNPQRDLPIGISTALAICCVLYMLVSAVIVGLVPYYELNPDTPISSAFSSYGMEWAVYIITTGAVTALFSSLLGSVLPQPRVFMAMARDGLLPTFFSDIHRRTQIPLKSTIVTGLFAAVLAFFMDVSQLAGMVSVGTLLAFTTVAVSVLIIRYVPPDEIPIPASLLTSVDPLLRHSGDDIEEDRTVSPVDLASYSDNSHLHDKSDVLLEHPLIIKEVTKEQHNEKTRRKLAAWTIALLCIGILIVSGSASAERCPRILRVTLFGAGVVIFLCSIIVLACIKQDDTRHTFGHSGGFACPFVPFLPAACILINTYLLIDLGVDTWLRVSVWLLIGVLIYLFYGRTHSSLLNAIYVPSARADEIHRSQANHLA >RHN63765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53896803:53899573:1 gene:gene26509 transcript:rna26509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative centromere protein Mis12 MAGMEGSMSSESDAIFESVMNLNPQLFFNEVLNTVDDFVLDTFDFYFQEASTKLNAEATQRSQHLTQGVDCIRQKVQSVLDQKLTVWEKYCLYHCFSLPQGFQLPNTLNGETSGNDINPGSTSDPELDAQLESLRKKLAEVGKESEMLNQEIHALESQSSHNARYINEAVQLFEQNSYTELFQEIMTTASELRMKMGKLNTNMIEETGKVKAKRIDNNKMDISAIYASKGLSNTKFEDLQEYVTLMKST >RHN47775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42443761:42444149:-1 gene:gene42414 transcript:rna42414 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTSIVGEHFTIDVLSSLYFINHLLFCFHRRRSLTSFSCNRFLVQCVSSGVEFDDDVDNDGHDASEDDMIWRP >RHN69833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46648753:46652839:1 gene:gene18354 transcript:rna18354 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MAPLSCSRITMFSLVLFVLIIGSVNAQLSTNFYSKTCPKLSSIVQRQVQSAISKEARIGASILRLFFHDCFVNGCDGSILLDDTSNFTGEKNALPNKNSVRGFDVIDNIKTAVENVCPGVVSCADILAIAATDSVAILGGPTWNVKLGRRDATTASQSDANTAIPRPTSNLNILTSMFKNVGLSTKDLVALSGAHTIGQARCTTFRVRIYNETNIDTSFASTRQSNCPKTSGSGDNNLAPLDLHTPTSFDNCYYRNLVQNKGLLHSDQQLFNGGSTNSIVSGYFNNQNSFFSDFATAMIKMGDIKPLTGSNGEIRKNCRKPN >RHN63689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53222838:53225718:1 gene:gene26422 transcript:rna26422 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVESVVTSIYISYAEDPLLIQRWDAEFFNQMSETLHHRLQYRSARARDYRFHEDPIRENASI >RHN51156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14590025:14590378:-1 gene:gene35542 transcript:rna35542 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHLEIFSLRNNTWKELEASRTTCRIVSNQPKVGLFFNGSIHWSARRPDLFMDVIIAFDLMERTHFHIPFQIVFTMNLGIRACGYLENLSVYLLRIMGDERIQNAFILDCAFCSSY >RHN44204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2403472:2404608:1 gene:gene38294 transcript:rna38294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain-containing protein MIKNILLLDSEGKRVAVKYFSDDWPTNSSKLAFEKFVFTKTIKTNARTEAEITLLENNIIIYKFAQDLHFFVTGSDDENEIVLASVLQGFFDAITLLLRNNVDKREALENLDLILLCLDEIVDGGMILETNGPLIAEKVTSHTIDSDSALSEQVVQHFFFYQTNKCIKKSGEA >RHN71900.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3456716:3459086:-1 gene:gene7549 transcript:rna7549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sphingolipid delta4-desaturase MSGKKQTITSGKVREGLCDVRETREKMGKGGGGGEDEEGVMMAGDFFWSYTDEPHASRRRQILSKYPHIKQLFGPDPFAFFKISVVVLLQLGTAVLLKDAGRLKILLVAYFFGSFLNHNLFLAIHELSHNLAFSTPVYNRWLGIFANLPIGVPMSVTFQKYHLEHHRFQGVDGVDMDIPSHMEAHVVTNVLAKTIWVFLQLFFYAFRPLFLKPKPPGIWEFINFSVQIALDVSMVYFWGWKSLAYLILATFVGGGMHPMAGHFISEHYVFNPEQETYSYYGPLNLLTWHVGYHNEHHDFPRIPGNKLHKVKEIAPEYYDSLSHYKSWSQVIYMYITDRTIGPYSRMKRKPSKTE >RHN63568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52288138:52290933:1 gene:gene26283 transcript:rna26283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSSASTTADSAEFMLFGVRVVVDSMRKSVSMNNLSQYEQQPQDNIIIKDDNINKDVITAGYASADDAVPQNSARNRDRERKRGIPWTEEEHKLFLVGLQKVGKGDWRGISRNYVKTRTPTQVASHAQKYFLRRSNLNRRRRRSSLFDITTDTVSAIPMEEEQVKNQDSVSQLQPLCPAVPETRKINGFPFMSVYELGVNESTPMEELTLGQGNVKHNFQTNSLFNPIPFVVSDPKASIVSDITSSSSIDPPTLSLGLSFSSDQRKTSSTHLHAMPCFKNGDNIISVA >RHN71901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3461078:3466428:-1 gene:gene7550 transcript:rna7550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MYISGGSSSMGRSFKESLKLLEADIHHANTLASDFPREYDGACLQMRMSYSPAAHLFLFLVQWTDCNLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAVIYPSLLQLEKGVTDAEDKKQKVVCMERYRRREDEEHKQFSDIDFEREEECGICMEMNSKIVLPNCNHVMCLKCYHEWRARSQSCPFCRDSLKRVNSGDLWIFTDSRDIVDMETVTRENLRRLFMYIDKLPLIIPESLFDPYDSHLR >RHN42193.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36267390:36271842:-1 gene:gene48605 transcript:rna48605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MIRVAWKFFGKACKNVYIRKHSKSASTCQALESLSRMNGLIEKPTKYVLCNALSSCAKTLNWHLGIQIHAYMIRSGYEDNLFLCSALVDFYAKCFAIVDANKIFRAMKQHDQVSWTSLIAGFSANKQGRDALLLFKEMLGTQIRPNCFTLTSVINACVGQNGVLEHCPTLHVHVIKQGFDTSSFVISSLVDCYANWGQIDDAVLLFNETSEKDTVIYNTMISGYCQNLYSEDALKLFVEMREKNMSPTDHTLSSILSACSSLAMLLQGRQVHSLVIKMGSERNVYVASTLIDMYSKGGDIDEAQCVLDQTSKKNTVLWTSMIMGYAQCGRGLEALELFDYLLTKKELIPDHVCFTAVLTACNHAGFIDKGEEYFNKMITNYGLSPDIDIYACLIDLYARNGNLRKARDLMEEMPYDPNCIIWSSFLSACKIYGDVELGREAAIQLIKMEPCNAAPYLTLAHIYTTKGLWNEASEVRSLMQQRVKRKPPGWSMELGRGR >RHN54984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14214311:14216964:1 gene:gene30099 transcript:rna30099 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPEAKRLEEELSYPILVAERIRSATEETDSFKLECSEVWKHVERLLQMLRTLVRFAATAPPPLYERPVRRVAAEAAKNLERALTLIRKCKRRSILHRVVTIVTAADFRKVLVYLDASVGDMKWLLSILDVDGSGGGGGINLSLPPIASNDPILSWVWSFIASIQMGQLNDKIEATNELASLAQDNDRNKKIIVEEGGVPPLLKLLKEASSPAAQIAAATCLCYLANDLERIRVIVNEVGVPAVVQVLADSPIRVQTLAANLVARMAKHDPVAQEDFARENAIRPLVTLLSFDTAVDEQTSIHSIFQVNKELGKKTTDRSGTGSGSRQFANSYSSTYYYTEGSSRGGNYRKERENEDPAVKLQLKISCAEALWMLAAGSVSNSRKITETKGMLCLAKIVEKEQGELQRNCLMTIMEITAAAESNADLRRGAFKTNSPPAKAVVEQLLRILKEVDSPLMQIPAIKSIGSLARTFPARETRVIEPLVAQLSNRDINVADEAAVALTKFASPDNFLYVEHSKKIIEFDAVPAVMKLLRSNEVNQMHHALTLLCYLALHAGSSESLEQARVLLALEGADRTILPQHIRDLVSKAIGHLNLYHAGSHSQPLVYMP >RHN42319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37382830:37383636:-1 gene:gene48747 transcript:rna48747 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thebaine 6-O-demethylase MEVRSILVPSVQELAKESLTNVPERYVLSDQDIVVLSNPTSSLPQVPVIDLAKLLSQDLNLKGHELEKLHSACKEWGFFQLVNHGVSTSLVKNMKRGAKTLFELSMEEKKKLWQREGDLEGFGQAFILSEE >RHN55605.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19954031:19958025:1 gene:gene30808 transcript:rna30808 gene_biotype:protein_coding transcript_biotype:protein_coding MCINSALHSKSKSKSSIIPWMKTKCPMQTNGIGFGYFVMQFMKEIILANQDMIPENYFGDYKCKTYSKDKLVQVEEDWATFMVEYLRDYIVDRLKPQLVVLDYIACHESS >RHN40070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12983903:12990738:-1 gene:gene46166 transcript:rna46166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MESDKSQSVTLRRYHGPVAGVKVLVVDANSACRTIVSKMLISLGYEVMTASLASDALSIICEKKNEVNLVLVEVQLPDMEIYELIEKMGESSNLPSFIMTAYDDDTPSISRALGFGAKWCFRKPVQISDLHDLWRFAVWNRYETTVTEAVPDFVWRLSDVIMATKGLACQPSMNTGERSRQNAIGLEGQPSLNAGEKTLRSANGKEHEFPDNDNSVLLNRKRKSWTDDLHRKFLEAVEIAGIDAGSKTIFQIMNVEEFTKESASNYLQRYRQSMNLRATAMEQHVNGYVSRTNKSQGKCPLEKQVLINDPKDLSNFVPVHILDTSATFLPLESSSCQESPFSQNQLSLPLEQQGVAHTTSSLGRFINRVNDDVSEKISQVFNTEDGEVSNAGQQLNFSTPLTFDMCEPLCTVLPMPPLPPDEKAYYDKFFYAKGTQLFTDEDLKMWLSTIRGMNMPVMFHCACARAASTSNVNAVA >RHN51991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29728521:29729313:-1 gene:gene36589 transcript:rna36589 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNSVRKCSGVMMMVSGMAATSLLGGIKDACRQVSTPTLKSVMELRKSVWKVL >RHN65666.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4225391:4226769:1 gene:gene13534 transcript:rna13534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKTHNIDENEDRISDLPNCVLLLILSILNTKEAVQTCVLSTRWKNLWKYIPVLSISSCHFETRMGFTIFVYQFLYLRDNKTALHTLDLHRDGVIWPGYLNWVIKYAFDHGVQLLDVDSTFYYQHYPLPYVSCHTLTSLTLCTNNQFGHPSSLFPTSLNLPALTSLCLKYFAFHGSGDDDRAEPFSSFNSLKSLIIHCCVQEQNLFISSDTLVYLRINTFVTHQCWKIELSTPSLRSFDFKGNPIQKLSGNNNNLSSIKHVKIDVAVPSYIEKYPLVLFNWLVELSRIESLTVSSSILETLCSVPGLWKVDFPYLQNLKLLKIITYRPSAIPERIVDFLLQKSPLAKAEIIDLTRYITTLSDARIVRIEWMIMLV >RHN56970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35350138:35355648:1 gene:gene32442 transcript:rna32442 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 23S rRNA (adenine(2503)-C(2))-methyltransferase MMSLLHHYSMPLARVVRPSPRTRAAISFATSSSPRTTIPQSQVLLGLSETELQKLALDFGQQGFRGKQLYHLIYKRKVKDIQEFTQVPQAFRNELEEAGWKVGRSPIFNTVVATDGTVKLLLKLEDNRLIETVGIPVEDEKGSVRLTACVSSQVGCPLKCSFCATGKGGFSRNLRSHEIVEQVLAIEEVFKRRVTNVVFMGMGEPMLNLKAVLEAHRCLNKDIQIGQRMMTISTVGVPNTIKKLATHKLQSTLAVSLHAPNQKLRETIVPSAKSYPLDALMKDCKEYFHETSRRVSFEYALLAGINDSVDNAVELAKLLHEYGSGYHVNLIPFNPIEGSEFRRPYRKAVQAFVNALESSKITTSVRQTRGLDANAACGQLRNNFQKTPLVTESDNNLESQLPNMDLAVTG >RHN64475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59396954:59398228:1 gene:gene27294 transcript:rna27294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MENLSDDLLIEIWSRVSYKTAVSCKSISKRFLALISQLQFIERSILHHHTLLQQMKEQENQKKWHFNFISKRKLLISFSPNLNLSTPENQISLCFLGRKFDPKLDNITRKKHVLYSRIVGFSNGLFLCKKTTRGRVYHVCNILTKDWIKLPLPPPPGTGHNKRDRVLEGFVCEPYYSIGESTKKVSFNHHRFRVVRFPCFEGTISEILWGITKSEFEMVVFSSETGQWSKKNVSCPNGLSFTRPAILPYVVAHENILYFMGKTSILVYDPFNNDEKCEIINFPSDASANDILFNGHVGVCRGKFRMACFCTLRCFVKVWELEQDYSWSLLHVTCFPPIPVRDCIVDELLMTGGRNEIVDMGTQVRAIHPYDGDVVFLQRAHRIFVGNLKTNEIEGVGYGIHGFQSLQMISLDLPLWPTPIPSIP >RHN50581.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8260048:8262717:1 gene:gene34884 transcript:rna34884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MVGAGVLGLPYSMAALGWGPGLTILILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLYIVVPQQLVVEVGVNIVYMVTGGASLRKFHNTVCPSCKNIKLTYFIMIFASAQFVLCHLPNLNSISGVSLVAAVMSICYSTIAWTAGAHKGVIENVQYSRNATTAAESVFNFFNALGSIAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVVVAYIVVAVCYFPVAIIGYWMFGNQVKDNVLISLEKPAWLIAISNLFVVLHVIGSYQIFAMPVFDMIESFLVKKMNFKPSAILRFVVRNIYVAFTMFIAITIPFFGGLLGFFGGFAFAPTTYFLPCIMWLTLKKPKRFSLSWWTNWICIVLGLCIMILAPIGALRNIILEAKT >RHN71309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58134581:58136454:1 gene:gene19976 transcript:rna19976 gene_biotype:protein_coding transcript_biotype:protein_coding MGTINLHKHKAEKSNKVQKHRHLRMIAKIFRYAEMCVVLILISRLSFQQLPLALKNSSEYFRGFAVSPAFVFLVGNIIIIILIAQSGHFSQHDSAKRRSSEHDLYLEFLKNSNMYQKIQGFDQKKPSVKVESNVKGRRINDGCMVKFSQKESIKSEDDNKMKLEEKQEIKTEMGLEVKGYRRCQSEIELVRGVNSDDAKDQRVLQRCESDNDKSKRKNIEVDKEKKWSLYPEDGMSSDEFRRTVEAFIARQRKLRINEVQTIFIPS >RHN81685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48101456:48104714:-1 gene:gene5760 transcript:rna5760 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDYQGSSPSSLTNFGRSILSFRREQIHSMEGSTLEIELDSFQQHVTDRFVDLSSVPHDELLSLKWVGKMLDCFLICQEEFKAILHTHKGQVVRPPLDRMVSEYFERSVKALDVCNAIRDGVEQIRVWQKLLEIVLYALDHQRSIGEGQFRRAKKALIDLSISMLDDGKESNASVAHRNRSFGRSNGGRDRDHHQHGNSHSNNNINTYQHRSMGQFRSLSWSVSRTWSAARQLQAIGNNINPPRANDLMATNGLAMSVYTMNSVLLFVMWALVAAIPCQDRGLNVHFSIPRSYTWAIPLLLLHERIMEESKKRDRKNACGLLREIQQIEKCVRVMSDLVDSAHFPLTEEKEGEVRQKVHEVSKVCDALKDGLDPLERQVREVFHRIVRSKTEGLDSLGRPNNE >RHN78315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14009246:14009479:1 gene:gene1856 transcript:rna1856 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVTNTPFFIFISVHFDVSSHLPGKILKPFTFFNNNNNTGNTIYVDMLPVDVVVASFPLKLLLCAL >RHN51748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24179014:24182855:1 gene:gene36261 transcript:rna36261 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMVQVPESKTTSFWTNEKHVNYLNTMEASFLRTMFQNKGCRSSSSHHSRQILRLDRQLPDSSESTLDLKPHHGSRTRKHHKTSDSMGPTMRRTRRRSSQPFNSNDQVVPQVENESKGVAPYNGDFDKGAEN >RHN43818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48744292:48747074:1 gene:gene50448 transcript:rna50448 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived nuclease domain-containing protein MDTITINDSIASRKRKRDEEEMRELEETTFIVVSVATMLLGAMIWYYNTYFVKEPARNLELERRNFLNRLYRGTEADCIEQLRVSKRTFFKLCKILKEKGQLVRTRNVPTTEAVAMFLHILAHNLKYRVVHFSYCRSKETISRQFNNVLRAIMKVSREYLKFHDYHLEGPEANKWKWFENAIGALDGTHIPVTVAAEDRPRYRNRIGDISTNVLGVCGPDLRFIYALPGWEGSAGDSRVLKDALQRQNCLRIPNGKYFLVDAGYTNGPGFLAPYRGTRYHLKEWNGNTPQNYKELFNLRHSRARNVIERSFGVLKKRWSILRTPSFFDIKTQIRIINACFVLHNFIIEEKQTDEILEDHDLELLSVVDEELTNQQTLKVATNDVDEVASVQVTEEWTTFRDAYAKNMFVEYKDKMNIS >RHN73537.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17473075:17479205:1 gene:gene9360 transcript:rna9360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MASSSKSTSALVTSSKKNHYDVFVTFRGEDTRNNFTDFLFDALERKDIFAFRDDTNLQKGESIGSELLRAIEGSQVFVAVFSRYYASSTWCLEELEKICECVQVPGKHVLPVFYDVDPSEVRKQSGIYHEAFVKHEQRFQQDLQKVSRWREALKQVGSIAGWDLRDKPQCAEIKKIVQKIMNILECKSSCNSKDLVGINSRIEALKNHLLLDSVDGVRAIGIWGMGGIGKTTLALDLYGQISHRFDASCFIDDVSKIYRLHDGPLEAQKQIIFQTLGIEHHQICNRYSATYLIRHRLCHERALLILDNVDQVEQLEKIDVHLEWLGAGSRIIIISRDEHILKKYGVDVVYKVPLLNWRDSYKLFCRKAFKVENIIMSNYQNLANEILRYANGLPLAIKVMGSFLFGCNVTEWKSALARLRESPDKDVIDVLQLSFDGLKHTEKEIFLDIACFFNSECEKYVKNILNCCGFHADIGLRVLINKSLISINGQNIEMHSLLEELGRKIVQNSSSNDPRKWSRLWSTEQLYDVIMAKMEKHVEAIVLKYTEEVDAEHLSKMSNLRLLIIVNHTATISGFPSCLSNKLRYVEWPKYPFKYLPTSFHPNELVELILDGSNIKNLWKNKKYLPNLRRLDLSDSRKLEKIMDFGEFPNLEWLNLEGCERLVELDPSIGLLRKLVYLNLKDCYNLVSIPNNIFCLSSLEYLNMRCCFKVFTNSRHLTTPGISESVPRVRSTSGVFKHVMLPHHLPFLAPPTNTYLHSLYCLREVDISFCRLSQVPDTIECLHWVERLNLGGNDFATLPSLRKLSKLVYLNLQHCKLLESLPQLPFPTAIGRERVEGGYYRPTGLFIFNCPKLGERECYSSMTFSWMMQFIKANPFYLNRIHIVSPGSEIPSWINNKSVGDSIRIDQSPIKHDNNIIGFVCCAVFSMAPHRGRFPSSAHMELVLKYPFNKRKSDKSLSRITVSVPVILNGSLVTITTKSSHIWIIYFHCESYHAFREIRFEIFEGQALGMEVKSCGYRWVCKQDLQEFNLTMMNHEKTLAQKCKILAIEDETQPEQESFISQVITTSQRRKSTSDNKSTATTISVVNNKQRKKSRTAISATEAEVSVEVPDSPVTDEVSTESPQKKILNLHIIGRFIGQFLNNCCQQGSTDTDMDTGYDTDTINSDAKSLVSEEDLDAVNSIIEDLNNCCQQGSTDTDMDTGYNTDTVNSDAESLVFEEDLDAVNSIIEKTIQTDIATSNVETDSPVEVADESLDNLELRKKFQLQLRQTAM >RHN38837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2374649:2376440:1 gene:gene44806 transcript:rna44806 gene_biotype:protein_coding transcript_biotype:protein_coding MNENKRKRDGGVGPKIQTQMPRTNKLVDINRKLSDAQRSRILKTPFRYLVEMKTYIGMKGTLLKELLHS >RHN82020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50612966:50616325:-1 gene:gene6136 transcript:rna6136 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPDPGELTELTQPSFDDFQRQTSLMTSCTLLWKELSDHFSSLEQDLLNKSEALNRKIRSLDNQTNESLNLLRHRESTLDDALQIALRDIDNRTEAALAALSRVREDVEDGDGEVDNGEGLMLKLKSFCLKMDALGFWGFVIGKKKELEGLRAEMPEALGECIDPAKFVLEAISEVFPVDKRGDKSGNDLGWACVLVLESLVPVMVDPVLKSRMLVTPTVKKLAKDVAEKWKVSLEERGGVENVKTPDVHTFLQHLVTFGIVDSNDLGLYRKLVIASAWRKHMPKLALSLGLTDQMADMIQELISKGQQLDAVHFTFEVGLVDKFPPVPLLKSYLKDAKKVAASILEDPNNAGRAGYLAARKEQSALKAVIKCIEEYNLEAEFPAESLKKRLEQLEKVKPEKRKQIVVPANKRTRASNSNGGPMPPAKAGRLTNAYVSSFPAASTFVRSPSHGQYPAALPLYPSPPHMYGSRSPSYAYSPEPAPAIAASYPVPPMSYPAYGGYGNVLAPTYQQAYYR >RHN59264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8894927:8897869:1 gene:gene21268 transcript:rna21268 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNLTPLFWKDLSDTCPTIILWHFWSIGAFIDATAMYLFYATKKKTLDFLCYFYFWGTWNWS >RHN62427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43374386:43375026:-1 gene:gene25003 transcript:rna25003 gene_biotype:protein_coding transcript_biotype:protein_coding MNHTLHTLSLPRLISFILNCLAVYSSVILIVWYLEFNIAWVL >RHN41319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29081441:29083031:1 gene:gene47627 transcript:rna47627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gluconokinase MASNNNGPVIVVIMGVSGAGKTTIGQKLEKEIKYKFLDADDFHSQSNKEKMRMGIPLTDEDRMPWLESLRDAIKEFLINKKGLVLGCSALKEQYREILRSGDPDYRLQSYASAVNFILLDAPAEVLIARLNKRAAEGKHYMPASLLQSQLDLLNIDESEGILRVDATLSPESIVNTIKEMHPFQGCFQS >RHN71625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1455999:1462865:-1 gene:gene7244 transcript:rna7244 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSPGNPLLDYCLRHHCIPRWPTCSVVTFVKEMGMTPVKLLLLRRLFWRAT >RHN60156.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22029618:22030324:-1 gene:gene22395 transcript:rna22395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GH3 family protein MLGNTPSQEWLYRCRLGDVVEVSGFHNGTPKLNFICRRKLILTVNIDKNTEKDLQLVVERGSQILNKARAEIVDFTSNADVTNEPGHYVIYWEIKGEVDDNVLDDCCREMDLSFADHGYVVSRKTNSIGPLELCILERGTFKKILDNFIANGVALNQFKTPRCTNNHVLLKILDTYTIKRFRSTGYSLN >RHN49867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1800840:1805407:1 gene:gene34092 transcript:rna34092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MTRRWSFYMDVLPILVIIGQETIEMALLTLFKAATNQGMNNHVFVAYSYAVGTSVLLPVTLFTRSRRSIVVSPLSFSIVCKIVLLGAIGCSSQVLGYIGINYSSPTLASALANLIPAFTFMLAVTFRMEKLAAKSRSSNAKVMGSIISIAGAFVLTFYKGPPIMNFSSLHQSIGFLKSVDSSWDVIAGILLIVDYFLSSLWYILVVHIMKEFPDELTLTLLYTTTATIISIVVALLSVPNASAWKIGLNLSLISIVSSAIFGKFIGIAVCAWTVRLKGAVYVTSFKPLQIVISAGLGVMFLGDTLHVGSIIGATIVSIGLYAILWGKATEEIEEDVGSLESPSNENAPLLQSSRTHTFDNKTNGTV >RHN65874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6125410:6126180:1 gene:gene13766 transcript:rna13766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminotransferase-like, plant mobile domain-containing protein MSFGFLVMLRDKLLNIEKDLSLPLNFVRALVSTYNVEDSCFKFGNDADKFHLDSELDDILYLTGFPINGMQVSGMIHKDNVQLLKTHLALSKPVAKDFLIIKSKQGMTSGVDLNKLLAHFQKPEIISQVDVGILAKVFIFYGLGYVLLPTRTSVGQPHYLPLLGEEMKKYAWGAAMLAHIKGDLDDIIRSQAKPIPKASISCFSLALTIFALERFPILTRELVLDVPTEVPLSLGWIDVIINHFRPKSSKRKSYAE >RHN45245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13626484:13626757:-1 gene:gene39483 transcript:rna39483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(A)-specific ribonuclease MLKFVQLHMLLRQSGIDFKKNNQDGIDARRFGELLMSSGIVLNDNAHWITFHSGYDFGYFGGLMSFGLRS >RHN41977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34484803:34485288:-1 gene:gene48358 transcript:rna48358 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MNLKSEFYLYFETKGVLDSVEPDQKTKMIINVRDSTMVQPLDQEVARRRVWNSNLDLKAPSIHTLGVYFYKTNTTSNFLDAKIMKEALIKVLVLFYPMSDLFFFFSDKDNRVEIDCDSYGVFFVEPCWFQFPTTLMWLRSSSKCIRTSFNHLNHHLMLHKK >RHN74753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36402999:36407008:1 gene:gene10865 transcript:rna10865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-oxo-beta-amyrin 30-oxidase MVLTSHVLTCISFCFIVTFSFYFTNYKLRMEWVSGIILIIVTLGLIWAWKVLSLLWLRPKKLEKLLREQGFQGNSYRILLGDIKDLFKMEKEAKSKSMNLSDDITPRVIPYIQHSVKIHGKNSYIWFGIKPRVTLTEPEQIKDVLNKMSEFPKPNYKIFKLLANALANYEGEKWSKHRKLINPAFHLEKLKIMTPAFFTSCNDLINKWEKMLSSDGSCEIDIWPSLQNLASDAISRTAFGSSYEEGKRIFQLQREQAELIVKVVTKSIIPLWMFLPTIVHRKMNKIDKEITSSLKDMINKREKALKAGEPTRNDLLSILLESNRKEIDENENNKDVGMSLDDVVEECKLFHFAGQETTSVLLVWTMILLSRYPDWQTRAREEVLHVFGNRKPDFDGLNNLKIVTMILYEVLRLYPPVIGLARNVEKDVKLGNLTLPAGVEIFLPILLIHHDCELWGDDAKMFNPERFFGGISKATNGRVSFFPFGWGPRICIGQNFSLMEAKMAMTLILQHFSFELSPTYAHAPSSMITLQPQYGAHIIIRKLET >RHN81612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47557519:47557749:1 gene:gene5683 transcript:rna5683 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPPSTYDHVVPCLLLILLHPMTTPSSSPSLVTVCAATPFLLLAAALSQKFSFITRSLFLLFNLDLASLLLQHI >RHN71919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3583051:3591280:1 gene:gene7571 transcript:rna7571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PSP, proline-rich MAAETFPYQNGVVAKKSKENDRRRRRGKAKKNNKASEQPASNIGEESDNAKENTDPKQVFEQVEIEYVPEKVDLYEGMDEEFRKIFEKFSFTEVAASEETDKKDVAEETAATKKKANSDSDYEDEENDNEQKEKGVSNKKKKLQRRMKIAELKQVSSRPDVVEVWDATAADPKLLVFLKSYRNTVPVPRHWSQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSLGELYHEGKEFEVKLREMKPGMLSHDLKEALGMPEGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPAGASFGYHPGGWGKPPVDEYGRPLYGDVFGVQQQDQPNYEEEPVDKTKHWGDLEEEEEEEEEEEEEEEEEEEGMEEEYFEDGVKSVDSLSSTPTGVETPDVIDLRKQQRNKEPERPLYQVLEEKEEKIGAGSLLVPGHTYVVGTGGTQDKSGAKRVDLLKGQKSDKVDVTLLPEELEAMENVLQARYEEAREEEKLRSQREDFSDMVAENEKKRKRKMQEKDGKSKKKDFKFVFFWWV >RHN45856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26335677:26338718:-1 gene:gene40277 transcript:rna40277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSNSDPPQSNGGAPTSLLLDELIVDILSRLPVKTLMQFKCVCKSWKTLISHDPSFAKLHLQRSPRNTHLTLVSDRSSDDESNFSVVPFPVSHLIEAPLTITPFEPYHLLRNVAIPDDPYYVLGNMDCCIIIGSCNGLYCLRCYSLIYEEEEHDWFRFWNPATNTLSEELGCLNEFFRLTFGYDISNDTYKVVAFSADEVKLFSLSDNIWRDIPNFPIVPFDIDASRCHPYVNNGVYVSGTINWLTIQNKTEYEWNDISIDQFLILSLDLTTETYQHLRPPQGFVDVPPVDPAVTVLMDCLCFSHRSKETHFVLWLMMEYGVQDSWTQFLKISFQDLQIDYGISDSLDYGSQLYLYPFYLSESDNTLIMASNQQGYDGYDNHAIIYNWRDKTVEQITSVDNEILWFHTKDYVESLVSIF >RHN67088.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23685869:23701198:1 gene:gene15231 transcript:rna15231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleolar pre-ribosomal-associated protein MEAASYDGATLNFESKISYEAKLKELLHRITSPEIKLCSSATKEFKSLLKTENSSKLLRGYVTASPKCSELIEAWKLRQGRQGLHYVLELISTLFSHSDGKTGLNGVVKDLDTFARLIVTDCLNDLYKELNCKELKRQKAVLLLLASIVRRGNSLANEVAKSFDFKVSGFAALAKRRKKERDGKREFLLRKSFVGFAMSFLEVGKPGLLRWILQQREMYSGVLRGLEDDDDETVIFVLETLKDCVLVEESLVPPGLRSVLFGSVTLEQLVGICGREGGGDAAKIAFDVLVLVCTDPSNGLMPDSKRRPHPLKGNLKRMMDLLKKMWPAEVQYHRDLLLAIVNARPTFGLLYLKEFPYNVENYKSSSWIAAISVAADLVSSISSGISKEFDNSQSNGPPSIDNMDLLDIVKCLFPRPFSRSMFNKGLPHADTFVKHGTLRLLLELLKLLDSLFGGLNHNSSSSDNTLMQHMVSVKQEIQNYVQPYLPDLQVLLNLLSSLDGCYESHNSSLKRNACHHEHDENSRKKLKMDTSETDIDIIVGGINSAPNIDLIGNSGTVNSGTVDSALKEDALDDVEDLMNSIGEMWDLDLHSMDISTLKDAESYLLSKLLDALRYYHRTLPSTVDQSFDSFKGLLRNPLELTSHLQVSLLSLLVEYIQWCPENEIPIRTPAMLYKYLQPFIKLFMFSPITKTRNLAYRLAVAAMFSTGAFDGNLHEIHTWFLFIPGYQREQSPVNILKVDVLQSLTSFVITFLCDAVSTLGNNLVKYWNILMNYIHSFEGDKDLQPDFSPFIICVLEKCLKVIRSKSGSCSLPKKSMVLLYTCNTVKYLLQTQVNAELLSSVVSADLTENFGSNYEYDEVFPEWKPLKNLLNFVEGISHQQNCCLFSGKKEYVLPDGSLGSALCDVNRLLGGGDGHQLAETAVAFISSIVCENTDKTSTNLPSGVVILSDLLDVPFPILSSIFFLDYSVLVHASKMWPVMFYAGLDMAISDLGSDSRSAAPIETSDLTSCPDSLTCSQLLDASDTDADADAFSILLKQTPFHVILPAMMCMNGHYSSKLSEMQELNFKKNMQELLLHKSCESISGCSVLPNLQLVLFWTHQIQLSHEVIPLAEIEQLLNLCVILVQNLLAQLFVPESGSDLSVKNSSFSSTSHYIQKVIKTIFCHPSVLMSLSFSLENCQNISNGNTGTGFDILNVLSSEGFTKFGNPILNILTMTLNNMWSLLGSHFHGSKTQDVAINFVKIFKSLQQKLFLDVKERFELCIGTKDTTHLLPTLYVLHTLHKFLSPFQLLELVDWMFKRVEMDELPIMPSFLSVGCSVAADAFSSLSFYFQQSSGNRVPYELFWEMGENNVKADIFEQIYSKVIDFSVKYEIDCADRCLHEAVNALYKQKNMQQETFHPMLLAVRKIIMSTPVKMLSLCLYKMNAKKVKFLHILTELSSLHSLVFGHLFLGIVNRSLHHDVGVTGAIDVNLSEDQFILLLPTSLSYLRLIFKRFGDLNHGDFKQIPNFYSKIFLKGFSQWKSFLSKDLFEEEYGESMPSSVQELLCLTDCSLLGKSIHMLQYHFALNGDSLKLKKRLKLFKSIFPKLSSHDELMDCDIQFMDSYSLRQSFNIINHVVAKISLCKMLLFHEEVGGDLKKVGEEMQRKLEASRMHFINVLVDIWQLIVQKFSLTSDQLGTGKTINISLLHNHLEVFVLTNILELAVEMQNDLIQSQSIPFLEQLIRSALLYRFSDPLTMKTLQVLVTRLNEGMLSYDLYLQLLLAHSQFAPTLHLVRRPAGSFLKPVSSILKCIAIPSLDHSENNKNHKEQTTKLSKGPLEIVKLLWILLWTKARHTGLDSQNDIGINLKELHALLHHSYGSTLSEIDLAIYNVMKQIESVTGSCPQNVELNSEAIEEWTRSRQRDNFPIDPDICVSTVLYFPYDRSISDEVPSVNKIETDNVRKKIHFSHVELRERYDPVFILQFSIHGLSKAFIEPVEFAGSGLLAIAFVSMSSPDHGIRRLAYATLDKFKKALEKCQKRKDVMGLRLLMNSVQNSIEEPWQRIPSVIALFAAEASCVLLDSSHDHYAAISTFLIQSSKFNMKVVPLFDNFIWSSSVNFKAERSWILRLVYAGLNSDDDAMIYIRNSILENLMSFYLSPLSDVVSKDLIFEVIKKSIKVQKIARHLVKRCSLFSWLSSLISVARRVGLNADENRFFLKHVLVVLKVVNDVISSGSMSKWLQNHGFEQLMELSSNLFSVVLHDVTMTDETVGLVNPFLQMIVSVLKFSQKREICQSHFTLSIQGLYQIFQAGSVCNQATKGINPDLSLEAILMSAPPTSIFLMDEERLQNFITWAITTALASESKQRLRSNESRLIVKTELGEESHDDTLVSKFLRWLTASVIIGKLHQKSNDTYSVFSETNTLESLHSLLVHVENTSRQRHDINTDCEELLASTIFYLQLLPGINQELLPSVLSALCLLTFGASNISVGRTDLLQDYNTFISSNSSRVRCPPEANPAWRWSFYRPRKDHSLELTGTQAMEEYHACQNLLVIVANVLDGKKLESARLSPLDVEISTLIQWEKSLLRN >RHN41084.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26846998:26847617:-1 gene:gene47368 transcript:rna47368 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKVCLVGVISFLFVSTAIGDILRNYEIKGGGRSLRGGSRRTPLTNSPPDPGLGPSTIDKRSTQNYGLNYDIKRLVPTGPTAIKFPPIQKPPTTTRSRRGESRRTPLSKGRDPITTPNSPFNYDIERLVPSGLSSIPIPPFEKLSTPIRKGGSRRTPLSKGKDPITYPDPPPKH >RHN65129.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64388213:64390134:-1 gene:gene28031 transcript:rna28031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 6-phosphofructokinase MDGSSSSSSSSSLELEDVTNLFEPIKLKDLPHLNHYISNLKTFPNPLDQNPYFHTPNSNTSTSLITTSFSLTPHASLIAPLVLATTSSSTLLIELVVGLWDLYGVRQIFGITAGYRGFYSSSTQPVQLNPNIVHHWHTKGGTFLQTSRGGFDLCKIVDAIQNQAFNQVYIIGGDGTMRGAVKIFNEIKQRKLNVAVVGIPKTVDNDVGIIDRSFGFQTAVEMAQQAISAAHVEAESAVNGIGLVKLMGRSTGHIVLHSTLSSRDVDCCLIPELDFYLEGKGGLFEFLDQRLKENGHAVLVVAEGAGQDIIPRTESHKEERDESGNPVFLDVGVWLKSELNKWWDRDHPGELFTVKYIDPTYMIRAVHANATDNLYCTLLAHSAIHGVMAGYTGFVAAPINGNYAYIPLEDVACAKNPVNTKDHNWSWVRSVTNQPDFLKS >RHN80388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37638676:37638930:-1 gene:gene4309 transcript:rna4309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-mRNA splicing Prp18-interacting factor MERPQRIGARWTSKHVAPDEKIETFELDYDGNLDRSNGYNTATYANVIERCEARDEARRKYLKEQQLKKLVEKNSNRKWGKGVY >RHN73487.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16923278:16925356:1 gene:gene9305 transcript:rna9305 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSISDEDIMIGTSYTVNNKPPVKEIALAVSLLVFGFLSIIIGSLMAYNHVGGDTAHGLFFAILGMLLFIPGFYYTRIAYYAYKGYKGFSFSNIPPV >RHN42560.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39323640:39325534:1 gene:gene49013 transcript:rna49013 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRRKKWTEAEEKTLIDKYGEMVTDGSLAKMRTREKKFKPIACYVNSVHHVRDPVVYPWQWSWKDVSTKVQNMRHQYLLVKQKIKKGEFSGGECDGSSEFDWVEGLTHWSNFLRYKEVFGDVALVVGGGAEHGGNNELLGFADGDRGDRDGFLGSGGGGMDMAEFGHMGHSGDGDGDFAVAMDGVDNEVIGLGFEYEAEEGEVNFNGNGGMREDAENGYVYEEGEVTGSNLKKKRKVVKGMEKKMWRVFANQLGQLREMEVRFEQREMDRERERQRRESLRAEWEKRFEDREKEREEREKEREKVRRQRVSEWEAIDKENEEKERKRREEELIRERELEERMNCRRLEWKKRIDDMLNQHRAEMGQVQTRILHEQQNLSSQLLGIFSQWTAQPAALSDHTSASNHYLSQMMQNLHHVNGIVHEDTRVEGDNQEDQFIVDG >RHN52450.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35411539:35430627:1 gene:gene37131 transcript:rna37131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium channel, voltage-dependent, ERG MNHFEKDEITNLSETHPQRSDESENSNFQRNISRTQSAPVSIPMALLDPYDRQPNLVGHTGRLRTARKTSISQMSGPLYATPTTTGNESENSLHGDAKGFGKNLYSFCSSCIPGVMNPHARIIQQWNKLLDIFCLVAILVDPLFLFLFYVNKDKCIVINWRMATTLVVIRSIIDIMCFLNILIQFRLAYTSPLFNVVGAGELVDNPKKIALNYLKNYFFFDLLAASPLPQFKVTTEKLSLNYLKLRLLVAQVTKVYGAHLHCLIILANSLGSSGANYAKNLLLIVIFAQFVPRLFKFLPRLFGKSPIRIIFVSAWANLIIALLIFHVVGSCWYVFGLQRVNQCLRDACHHSNLLGCSYLIDCDSKAGNISATLWSKDKGADACLNATSGAFSYGIYANAIPLTIETKVINKYVYALFWGFQQVMTLAGNQTPSYFVWEVLFTMSIIALGLLLLVLLIGNMQSFIQSLGKRSLEMIRRGRDVEQWMSHHRVPDDLRRRVLLAEWDSWHNVPERTVLENLPKNLQIDLRRHIFRFVKKVRIFSLLDEDAPILDVIRERLIRAMYMRGDKILSQGDLVHKMMFVVRGKLESIRADGIQVSLSEGDVCGEELLIRFLEQSSERKEGKKVKIQGQDLISDRTVNCLTNVEAFSLEAKDIEEVTTLFSKLLRSPHVQQVIRYESPYWRSLAATRIQVAWRYRKKRLRPANTTQNDYQTLRYESPYGKSHRVSRIQVAWTNRKKHLRRANTTQ >RHN53200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42777365:42785598:-1 gene:gene37980 transcript:rna37980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lupeol synthase MWKLKIAEGGEGLISVNNFIGRQHWEFDPNAGTPEEHAEIERLRIQFTKNRFSIKQSSDLFMRMQLKKENQCSPIPPAVKLREEDIITGEALITTIKRAISFYSSIQAHDGHWPAESAGPLFFVQPLVMALYITGSLDEVLGPQHKKEIVRYLYNHQNEDGGWGFHIEGHSTMFGSALSYIALRLLGEGPEDGEDKAMERCRNWILDHGGLVAIPSWGKFWVTVLGVYEWRGCNPLPPEFWFLPKFTPIHPGKMLCYCRLVYMPMSYLYGKKFVGPITDLIKSLREELYNQPYDQINWNKARNTIAKEDLYYPHPMIQDMLWGFLYHVGEPFLNCWPFTKLRQKALEIAINHVGYEDENSRYLCIGSVEKVLCLIARWVEDPNSEAYKLHLARIPDYFWLAEDGLKIQSFGCQMWDAAFAIQAILACNVSEEYGPTLWKAHDFLKASQVVENPSGEFKAMYRHICKGSWTFSMHDQGWQVSDCTAEGLKAALLLSKMPSDLVGEKLESERLYDAVNVILSLQSSNGGFPAWEPQNAYSWLEKFNPTEFFEDTLIEREYAECTGSAMQALYLFTKLHPTHRAKEIHHCLSKAINYIENTQNPDGSWYGCWGICYTYGTWFAVEGLTACGKNYHNSPSLRKACQFLLSKQLPDGGWGESYLSSQNKVYILL >RHN75025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38838221:38839564:-1 gene:gene11172 transcript:rna11172 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Bile acid:sodium symporter MLISLLALTLANKFVEDSVVGMPSTISSPLMYLMGFSLVLIWWKIKSKTKLGS >RHN54965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14042785:14043812:-1 gene:gene30078 transcript:rna30078 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKEMKVQISSRNDVIQQGGLLRQASMNKNNCLCSPTTHAGSFRCRLHRTPSLIRTKSMESSSSTHGSVVDANGDANKD >RHN65045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63826383:63829674:-1 gene:gene27938 transcript:rna27938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative importin subunit alpha MVWSDDNSQQLEATTHFRKLISTIHNPQIDHVIQSGVVPRFVEFLFRDDFPQLQYEAAWILTNVAGGTSVNTKVVIDHGAVPILVKLLSSPSDDVREQAAWTLGNVAGDSPSCRDLVLSHGALIPLLSQLNEQAKLSMLRIATLALSNFCRGKPPPPFEQVRQALPTLRRLIFSNDEEVVTEACWALSYLSKYGSNDEIQAVIEAGICDRLVELLLRPSPSVLTPALQTVGNFIKVDDMQTQAIINHGLLPFLLSLLIQNHKESIKKDACWIISNIVVGNRERIQAVIKAGLIAPLVNLLQNAELAIKKEAAWALRNATSDGTHEQIKYLVSHGCIKPLCDLLVCPDPKIVSVCLDGLENFLKVGEAEKSFCNTGDVNLYAQMIDDVEGLEKIENLQSHDNNEIYEKAVKILETYWLEDVDETLPLGHRKLDPNLKRKIVHYYSLATPWRARVRLESYFGGSGLDRLEKSWEWWNHVNLPIPILDFSHIFSPIGSASRLTKRAFFGLKP >RHN65010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63620517:63626121:-1 gene:gene27900 transcript:rna27900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase transcription factor C2H2 family MIFDGTVIMLLGVQNSHMEELICVENRQDDIFKFDEEVIEVQCETSRNNNREEAELSFSEWLEVDEHLAVWFKWKENWHAGIKCASADWPLSTIKAKPTNDNEQNKYIVIFSPETRNYSWVDMLLVKSIHEFPQPIAYETYHEGLKMVQDLTIARQFIMQKLAVEMLYIINQFHLNALIEAARNVLVWKQFAMEASHCRRYLDLGIMVQRLQKNIMHCYIKDNWKLHSSESWAERCQGANNAQTVELLQEELFDSILWNDVHTLRDAPAQQNLCFEWNTWNHDVMKWFSTFLSFSSSSDTQQHASGSDGMHQASLQVGSKRPKLKVHRAYTHSRKEGTVEVPMVTEFPSQLISPVSETVVQSVDSEILFNNGTISRPLDETVVQISEEHDAKEGILDRQCQAYVESKGRQCVRMAIKNDIYCCAHFSKKKEKSVKVLTPYCGGTTIDGSRCKNHSLPSFTFCKKHLCIADRNNRSNSNCHTLKRKYEESCSGQKNPLEIDTVLIIDDDDSFCAKNILGETLMLSGNDHNEIDAFRQTESSNHGNDHNKDSCFHNENINKCKICFEEFANDQTLGDHWMENHKKEAQWLFKSYACALCFNSFTNKNLLESHVQKGHCVKFDENCLLLLCIPCGEYFGNMEELWLHVKSVHPAELKLSKSPKQLSLSTGDVSLEVTGKGNEMGETSMQQPQCLEVANIFSSDIQKTKDQPNNLDILSNACTACCKQNLTEKSTNVSDPASIVMEQDESQSIINSNYARLGSSQKALVLCDDISCGMESTPVICVVDQNILNSLFEQEQQYINLPRPWMNFTYVTKPMLGASSRLDFYEGQQLKCYCSSSTCCCETCDHVYLFDNDYDTAKDIFGKTMHKKFPYDNNGRIILEEGYLVYECNDKCRCDKTCPNRILQNGIRVKLEVFKTEKKGWGVRAGEAISRGTFVCEYIGEVLEEQEAHNRCKSYGEEHCSYFYVVDARVNDMSRLIERQAQYIIDSTRYGNVSRFVNNSCSPNLLSYQVLVESMDCKRSRIGLYASRDIAFGEELTCNYHYELVLGKGSPCLCGSSKCRGRLY >RHN45646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24094436:24095428:1 gene:gene40027 transcript:rna40027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MEKMNNKFDLVSDDSDHKYRLDNIGGNCFSNTRSSIYKRIMKEWKILEKNLPDSIYVRAYESRIDLLRAVIVGAAGTPYHDGLFFFDIQFPSDYPNIPPKIHYHSFGYSLNPNLYPNGMVCLSLLNTYVGEKCEKWDPSSSTILQVLVSIQGLVLNEKPLFNAPFYRVFKRSFHEKKSRDFIEDTFVRTCYTIVNLIRKPPKNFEVFVKEHFRERGHVLLAACREYVNGRLMVGYYNYNNKQMASSSSSTSMTGIKVRESFQKSLQSAYGNMYKQFIKCGASVEGFLQELELEEQGKDRSKSKSKRSNGGSRIFKKAMGKIKLALGLKKK >RHN50861.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11241640:11241996:-1 gene:gene35200 transcript:rna35200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription initiation factor IIF, beta subunit MIKKEERVDEYGSGGTKEKNNSGGGGGGRVGGYVDTSKADAPVWLMKCPPVVAQSLRGPSSSDSSLPVAKVVVSIDPLKDSAQPEVCIFVIIVVVVFDFHACMRVFFLVLLCSSLVPL >RHN59856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14089996:14090763:-1 gene:gene22021 transcript:rna22021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MERSNGKACGICGKWFASWKAIGGHMRSHLVKLPIPPKLETNNQALDNSADLTQHPNQTASSLTFHPEEHQTQNFRSMKRNFSAFSANSNREKESVSYPKTPTRKRSKCHRKLNVAADTKDLPIDPDVMMLWGFYKDAQKREEIKKQKIKETNKTKEKHIEGSRDDSIIQAHTQVSFKCQRCGKMFRSYQALCGHKAYCKSDSDGDFKDQKPFQCPYCDREFKTAQALGGHKRVHFSSANEFRSVSVDLNFPDPK >RHN68279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34211890:34213273:-1 gene:gene16613 transcript:rna16613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEESLNMMWMKRKQILHSHNEVVPSFGTINNRSWEEKAFAEDAARILGGCIWPPRSYSCHFCKREFRSAQALGGHMNVHRRDRAKLKQSLNHHNELELHSHHKNHFTTSRDNSSTWPSTTYTQENCFQPNMSSYSSSIKWGNNHKESLDSEQKGLANAKEQIFKGYGCNDYVETSLSMGQNNSMFGQKLQTEDDSCGGDKGINYKRPKTASISSSLPVLLKPCLNDRCLTFQSAEFVIGVKPGIEDLDLELRLGKLTQKV >RHN77025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3328747:3330725:-1 gene:gene432 transcript:rna432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MESTCVDTSLNLNVNASSILKDEVLVEELHRLSSENKRLNETLTNMCENYDTMQKQLNQLMNQNFENQTQQSRKRKAESESCINMFGTVRGINIINNNNECSTVTDEESLIKRPCRDISSPKAYKVLVKTEASSNSLYVMDGYQWRKYGQKVTRDNPSPRAYFRCSYAPSCPVKKKVQKSVEDPTILVATYEGEHNHGHEKAEISMISSQSEEAPLGSVHVTSPQQIIQRTCSTMKLDNVPKSSIQQFLVQQMATSLTNDPNFTAALATAISGRILDHTSNKDKW >RHN55483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18889266:18890645:-1 gene:gene30671 transcript:rna30671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MNTFPPPRQLPYVVILRKTPPPSNVLESPPPSNVSAFLSQPNPSVLAVIHSEELIIEILSHLSVKSLMQLKCVSKTWKTIISDPLFIKKHLKLSARNPQLAVLSHFDRDVNVNPISISRLVECTWISIPLAQFGGDFIVQPVSVIRLSRRINDYYHPSNYDNCHGIVGSCNGLICLHRCVSFTYSGQVHSFRFLNPATKTIKFKTLMSLQLDIYFKFGFGYDNSTHTYKMVMLRFNHFGDVGDQVRNTVKVFTLGVNIWKDIQSFPVETVFHPKSMLVDYNSVYLSNSLSWLVRHRYNCHQKILSIEQFVIISLDLGMETFTQLLLPPCCDELQPLDTPTLCVLMNCLCFTHDLEKTHFIIWQMKEFGVEDSWTQFLKICYQNLQIDDYRLDVVPQVFPLCLSENGYTLILAINHSVFNIRDQAILYNWRDNRVKKIENTCKKFWKASKGYVESLISTC >RHN50556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8071886:8072236:-1 gene:gene34855 transcript:rna34855 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHHTCNFVNYDEEGYILDYGNFANYDEEGYILDYGKTINHLQAAAIKEFLLLYGIGKEESLQGSITYTSVDSNDEKETSCVVQTGESTTNGQENIDTEMSLFEMCNKNRKIFEP >RHN72989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12389912:12394280:1 gene:gene8758 transcript:rna8758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bicarbonate transporter MKDTFIPFRGIKNDVKKRLMCYKHDWIVGLTAGFRILAPTTYIFFASAIPVISFGEQLQRDTDGILTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYIFMFNFAKNRPDLGSKLFRAWTGWVCMWTSLLLFLLAILGACSIVNRFTRVAGELFGLLIAMLFMQEAIKGLIHEFHIPERANPESTEFQSSWRFGNGMFALVLSFGLLLTALRSRKARSWRYGSGCLRGFIADYGVALMVLLWTVVSYIPAGNTPKGIPRRLFSPNPWSHGEYENWTVIKDMQNVPVLYIIGAFIPATMIAVLYYFDHSIASQLSQQKEFNLRKPPSFHYDLLLLGFMVLLCGLLGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLIATARNCMRKQQPLGQVYGSMQNAYRQMQTALTHQEPYAPGLKELKESTIQLASSTGSLNNAVDESIFDIEKEIDDLLPVEVEEQRVSNLLQSLMVGGCVAAMSVLKMIPTSVLWGYFAFMAIENLPGNQFWERILFIFTATSKRYKVLEECHASYMETIPFKIVAVFTLFQTVYLLVCFGITWVPIAGVLFPLMIMLLVPVRQYILPKFFKEAHLQQLDAAEYEEVLTLQLNLSAEGELSRTTSFVDDGEILNGIITRSQGEVRQTCIPKVISCHCNTIK >RHN73187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14195628:14203477:-1 gene:gene8975 transcript:rna8975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEAIEPVEPQSLKKLSFKSLKRALDLFSPVHQQLPPPDAESKKIRVNYKVHVEYGGIKSTAQPSQQTNSATQNHSQQAGSSNVLALPGPGDSKDLQKGGAHNALVVGPSMPSTATNGLGFQGKNTVVVSTSGSSERNFSTSALMERMPSKWPRPVWHAPWKNYRVISGHLGWVRSVAVDPSNTWFATGSADRTIKIWDLASGVLKLTLTGHIEQVRGLAISHKHTYMFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLAIHPTIDILLTGGRDSVCRVWDIRSKMQIHALSGHENTVCSVFTRPTDPQVVTGSHDSTIKMWDLRYGKTMSTLTNHKKSVRAMAQHPKEQAFASASADNIKKFTLPKGEFCHNMLSQQKTIINAMAVNEEGVMVTGGDNGSMWFWDWKSGHNFQQSQTIVQPGSLDSEAGIYALTYDVTGTRLISCEADKTIKMWKEDDNATPETHPLNFRPPKDIRRF >RHN58131.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43969317:43969842:1 gene:gene33741 transcript:rna33741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MKRFVVVVSTNETAKVIKTQYIHDDIAFSILSKLPIKSTKRFECVCKSWSLLFDNPNFISAYGKGFLTKDHSIYDDTSLLLHKKNTCSFCWFGNRAKLDWPRPLPGYVSGFDILGSGSVHGILCLVCAYKENIILWNPSTKEFKLIPPSPYSSGPYWEA >RHN73855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20685486:20693327:1 gene:gene9738 transcript:rna9738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NSF attachment protein MSSSDPNKLLAKADKLTKLSLTRWSADWKTATVLYEQAANGFRGARNHEKAKIAYEKASQGQQMLSSPWDAAKHMESAAALAKELSNWREVADFYQKASELYMECGRPQPASDALAKGARALEDTMPEEAIQLYTDACTILEDDEKEQMTFDLYRAVTSVYIKLEKYTDAASSLLRLGLAADKCNATNSQSKAYLSAIIVYLYAHDFKQAEKCYNDCSQIDAFLKSDHNRCASKFLSAYTDGDVDEIKKIAQSSAVSHLDHAIIRLARKLPTGDVSALKTSTAEDDEDSLDENDLT >RHN61486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35821528:35828059:-1 gene:gene23957 transcript:rna23957 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNVVTLKEPKRFTLDLLKTMDPNDTHNEKLRGQIVVELTYKRLNEEEAVKGFDETQTIPKAPEGTPAGGGQLVVTVLEAQDVEGKYQTNPQACLIFRGEEKKTKVDWRFVKTAKNIARPIIEEPILKYKVDSVEFQTLTLRTLPPTFFNISLLQNKAKTEN >RHN44486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5258023:5261783:-1 gene:gene38610 transcript:rna38610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-arabinopyranose mutase MASPTPLLKDELDIVIPTIRNLDFLEMWRPFFEPYHLIIVQDGDPSKTINVPQGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVANDPTGKKINALEQHIKNLLCPSTPFFFNTLYEPYREGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAILTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCIKVICDHLGLGVKTGLPYIYHSKASNPFVNLRKEYKGIFWQEDIIPFFQNVVLSKEATTVQKCYIELAKEVKDKLSKIDPYFDKLADAMVTWIESWDELNPAGAGAGASAAANGKAKA >RHN60535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27836228:27837194:1 gene:gene22856 transcript:rna22856 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA synthase MLVSNCLFRVGGAAILLSNISSDSQRSKYHLKHTVRTHKGSQDTCYNSVFQKEDETNKITGVSLSKELMSSAGFALKANITTLGKYVLPLLEQFKFVSTFVVKKYFNNKVKIYTPDFKLCFDHFCVHTGGKAVLDEIQKVLGLSDFQLEPSKMTLYRYGNTSSSSVWYELAYCEAKGRIRKGDRIWQIAFGSGFKCNTAVWCALRNVDPIKEINPWSDEINEFPVDVSI >RHN59553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11916331:11916465:-1 gene:gene21597 transcript:rna21597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoflavone 4'-O-methyltransferase MMMTLLNGKEREKKEWEKLIFDAGFSSYKITPICGFKSIIEVYP >RHN72108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5057679:5062154:-1 gene:gene7781 transcript:rna7781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MSILVLDHIFAFITYKKKIYKINDSIMGKQGSPRRPTPECKGAARGMLGMNKDYKITSNDPPSWKRMTAVDCRWHSKTLLLHGLKNDSSKYNSIKGFYVGKKNIRTIVLMFGLLGCLFLLDSIFISFFEFENIQPSAAASNHSSELQDTDSYIIEKQSPVHMYDRLLNLASSALAEREFKQESSKLWTDPYKQASLWKPCAQRKVQTNPGKSKKSNGYILVSANGGLNQQRVAICNAVAVASVLNATLVIPKFLYSNVWKDPSQFGDIYQEEYFINILKDDISIVKELPPDIKSLDVEAIGSQITDAVLAKEATVADYIKIVLPLLLKNRVVHFLGYANRLGFDPMSSDIQRLRCKCNFHALKFVPKIQQAGSLLIQRIRKYRVSQSMLDTQLLGKFIHNKEDHKAAKGYTKYLALHLRFEIDMVAYSMCEFGGGESERKELQAYRERHFPLFLERLKNSTSISPMNLRKRGRCPLTPEEAALVLAGLGFKQETYIYLAGSRIYGGNSRMEAFNRLYPNVVTKENLLTATELAPFRNFSSQMAALDFIACASADVFSMTDSGSQLSSLVSGFRTYYGGGHAPTLRPNKTRLAAIFAENDTIGWNGFEVRVKKMILEGQNAGKRSYGRSIYRYPRCPECMCKQH >RHN77272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5596318:5596601:-1 gene:gene710 transcript:rna710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,3-beta-glucan synthase MSFCLSRSLTNRNGKASHSAWCNYDDLNEYFWSLDCFSLGWPIGDDGDFFKSTSDLTQVIF >RHN55440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18351724:18354293:-1 gene:gene30620 transcript:rna30620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MELPPGFRFHPTDEELIVYYLCNQATSQPCPASIIPEVDIYKFDPWELPDKSGFGENEWYFFTPRERKYPNGVRPNRATVSGYWKATGTDKSIFSGSKHIGVKKALVFYKGRPPKGIKTDWIMHEYRLIGSRRQANRQIGSMRLDDWVLCRIYKKKNITKSLETNEDYPTNQINMTQTNDDNEQELVKFPRTCSLTNLLDMDYMGPISQILSDGSYNSTFEFQINTAHGGTIDPTYAAGLEKYNVKQNSSFGNQVFDQRE >RHN65033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63772359:63776729:-1 gene:gene27926 transcript:rna27926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysophospholipase MAARSFVLWLHGLGDSGPANEPIKTLFTSPQFRSTKWFFPSAPHAPVTCNYGSVMPSWFDIHEIPVTANSPNDESSLLKAVQNVHATIDKEIAAGTNPNNIFICGFSQGGALTLASVLLYPKTLGGGAVFSGWVPFNSSVIEQITPEAKRTPILWSHGLSDKTVLFEAGQAAPPFLEKIGVSCEFKAYPGLAHSINNEELKHLESWIKARLQSSS >RHN75631.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43902442:43904510:1 gene:gene11864 transcript:rna11864 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MVRTPYCDKNGLRKGTWTPEEDKKLIAYVTRYGCWNWRQLPKFAGLERCGKSCRLRWLNYLRPDIKRGSFSHEEEETIIKLHEKLGNRWTMISANLPGRTDNEIKNYWHTTIKKTLVKNKSNTKRGTKKAKDSNSKNHPTMEKPKKLGEMLENNSDFNITSPPSSQPSTSASSCISLMDTAATTTISYENSSLFDDYDDLPFMDAYVSENFWTEPYIIDSLYVLPNEDSILLEHEYFSPVYDAELWSHGK >RHN47201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37822366:37826034:-1 gene:gene41767 transcript:rna41767 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLLKALLHLKDEFITITTAVEPLLLLFMNESVFHVHFEVVIGAIEREKEIGANLFICTIFPYEIFKVKLLLPQSLQLKKQQKTRILVSLLSMYSRLFHSEVVLVLSCEVAFPPKSVGRTHRIMINVGSTIIQVAGFIFNQYWWMIYKFFTDLYI >RHN46673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:33965950:33966726:1 gene:gene41192 transcript:rna41192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MGTITHSFASSKIVELHFLFQNFQIMASSMLVKVTCLAMICLVLGIPLANAVITCPDTDITLTACLSYVAYPNPPPPQPCCDAVLDVTAQARTREDRQAVCSCLKGLMIGIPGLDLTALAALPKVCGANIGYEISPGMDCSKIN >RHN56146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28132869:28138604:-1 gene:gene31501 transcript:rna31501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MSRPLHRGVSGGARVLENINDDTWDSQSKDKSEKDDFDRRGSSDHTPLALRSPLKLFSDKENGFASDPISVGSPRSRFKLMMFLVKFSLVFIVVFALVGSFLWTLNLSSSSRGRVYHGYRRLQEKLVSDLLDIGEISRGASRWKELESCSPELENFVPCFNVSDGNEFERKCEYEQSQNCLVLPPVNYKVPLRWPTGKDVIWVANVKITAQEVLSSGSLTKRMMMLDEEQISFRSASHMFDGVEDYSHQIAEMIGLRNESSFIQAGIRTVLDIGCGYGSFGAHLFDSQILTLCIANYEPSGSQVQLTLERGLPAMIASFTSKQLPYPSLSFDMLHCARCGIDWDQKDGNLLIEADRLLRPGGYFVWTSPLTNARNKENQKRWKIVHDFTENLCWEMLSQQDETVVFKKASKKNCYTSRKKGSRPLCGRGLDVESPYYRELQNCIGGTQTRRWLSIEKREKWPSRANLNKNELAIHGLLPDELGEDSDSWKAAVQNYWSLLSPVIFSDHPKRPGDEDPSPPYNMFRNVLDMNANFGGFNSALLQARKSVWVMNVVPRSGPNYLPLIQDRGFVGVLHDWCEAFPTYPRTYDLVHAAGILSLEFSQPLRCTMLDLFIEIDRLLRPEGWIIIRDTIPLIESARVLAAQLKWEARVIEIESNSEEKLLICQKPFFKKHAI >RHN62486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43804267:43809297:1 gene:gene25067 transcript:rna25067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-related family MGAEVIVTWGTWEELLLGGAVIRHGTGDWSVVAAELRGRTHSPSAITPEVCKAKYEELQQRYSGCTAWYEELKKRRVAELKRALEKSEDSIGSLESKLEFLKADKNEKRDDCHVDNNSVGPELDVPSQKLERVESSSKELSKDGLSAGSFTHQTDTNWSHECQVPAMSSEDMEISAGVSGSIEHEKVLNIDKLTDTAGKRDDCHVDNESVGPESHVPSQKLERVESSTKETSKDGLSAGSFTHQTQTNWFHECQIPAMSSEDMEITPGVSGSTEHEKVLNVDKLTETVYEGQGGCFKKRRGKRKRKDCARNINEASVRESDFSIVVSRLKESSTSNCGEVVKSSGVTEENTNLKKDGTKDLMAILDSILEIKGASCFCRKHDSQKRQRYKQLIQRHMDFDTIRSRISNKTIDSVVQLFRDMFLLTTNALMFYSKNTRQYKSALLMRDIVKEKLTENRRNVIHSNVDTVCATPSLKVPSVRPCNQKINAAKAADGSDPASGVSNKAKKPRTGGSKENSLSSVKTLHIKKAVGGSKKHEPSTPNLMKEMKEKKRRRTRL >RHN75682.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44269463:44272599:-1 gene:gene11919 transcript:rna11919 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation protein, beta-barrel MDNDDDVFALADSFINFDYAPDSPPPLSDPPVACSGVENEVNENVEIIDAVVRSEIISNDDEIRGDIITNNYDGTQMIDIRDEIIANDDGNEMDSDSSWIERTESEEYEYEEGEIVDSNSEDKEDDSEETTSWCFDVGGDNDDDQSQSQESRTNELENLSRVPPVNVNLGPHHKMLPVGVVTSIVGVRVIVEGVEKHDPLNEGSILWITERQTPLGLIDEVFGQVKNPYYVVRYNSEKEVPEGIREGTLISFVAEFVNHVLNNKDLYKKGYDASGQYDEEVSDEEEFSDDEKEAEYKRLVKQNKRGRNNQSTDRRMENNRKQVPLKDGSITTMSIAHAGSSVAHGHCPPIQATGQGFIGAAHGHSSPLPCTRQSFFGSTNVNPPFPHANGGPNVFTTGVWPNGGTLPPQQSAMYPENTQISQQLPMQGIPLQQQLPMQGIPFQQQFNPSQRFPPPTTYPGGQLNMYADPMHAQGPINQNQWTPIPQFQAPMNFNPNHISGHQGVPWLQFNQPANINPNRIAGNQGGPSLQFNQPANINPNHIAGNQGGPPFQFNPPANFHSNHISGNQGGPPHQFNPPSNFHAVSISDNQHPPQFHHQFNPGAYDGPGRAFSASRGRRPFHRGGRGWRPAR >RHN47504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40196461:40198146:-1 gene:gene42115 transcript:rna42115 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSVVLEVKMDLEEDSMKVFREGISLAINFWWVIRLKIRRKSLCDYESSPERCVEWLCDTIFSRFIRSKHLPSATIKLQLKFQLISFLSNVPPEDNSILEVCDQLVSMYQECLQHDFSSVQILKEADAHRKRVNKFQIDSLPSIQKKKKTILYLQDCLWQDYLKDLSQSTSFKERFKNSILLSIRLGDIPPSYLETFEEEVTKFGMETYLKKYGMETTPSGDIIQEANVSCSLIQANSSSEIDCSNLTNSIRVFEEGVGLLMEDLWVRHVFIHNKCGSNPSLMLQQLAEDILFWFTQTIKPVSFDYIEWIIRNELYPLPILAKNAFATTQEVAKKLMVMYEECLLDNFSSVVTLREENLSRGSCSTSSNEATDE >RHN81077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43161027:43163722:-1 gene:gene5073 transcript:rna5073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L19/L19e MVSLKLQKRLAASVLKCGRGKVWLDPNECNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKHARREERFAQGPGEKVPAAAAPAASAATATQAQPSKKSKK >RHN57115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36608991:36609936:1 gene:gene32615 transcript:rna32615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MAECNALRKMRHRNLVKILTCCSSVDYNGEEFKAIVFELMPNGNLEKFLHDNEGSENHNLNLTQRLDIALDVAHALDYLHNETEQAVVHCDLKPSNVLLDDDFVAHLGDFGLARLILGTTEHSSKDQVIFSTIKGTIGYIPPGKALILYPFLVSTLKKIGSADLLLFISDMTLNYGEGVPVSPRGDIYSFGILLLEMFTAKRPTNNNFSESLSLHEFCKMKISEGILEIVDSHLLLPFAEDETGIVENKIRNCLVMFARIGVACSDEFPAHRMLIKDVIVKLLEIKKKLPC >RHN79496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29551662:29553659:1 gene:gene3293 transcript:rna3293 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSLYFGKLSERVCRDELERVFGRFGRCNVQVKKEGYGFVVFDFRLDAEKALRELKGTKICGELLTLMWSKQQPESHFAKFDRGGERKGNTYELQGKRIFDKLGERERKEGFDGWEKWNHNTDIVERGESVEEGEYRRDGFKYCDGEEKNRRRDFPDEGGHVVPNQGRIGRGAEPTHGKATDCGNGNTLEFDRYRPSCGYDRKDDKEDYSSGSLLANSQENVGRAQIGEETLNRPNSSKLKHTGYRRGEAGHKMQNCRKEHSLRRKYNRLDDEHADKIDKKRRVEDDIESGWGSWVIPQSNGDALLMSHQRDKWRVSGSRSDCAPLRNESSPVAKDTEEYEGKKQSRNETESPKRSREKKISRRSVSSSLLSDYSAFRSLTHSQSSKSLPRSTKYSRSRSVSSRAHSSSSKSVSSSKSQNCRGKKLHSMRSSSPTSLSVSLNQSLLSSPNKIQLNSKSSSTNGAALKPVDHLVARGQGIGSTMELENLQSKDSDIAVNGQAVVSTTAVDATLKDQHVQEDNNENLTKPVIAEKLSPRRVKWEVGFQHPRTLMADDIPTEVQKPTLETHITPRSGCSTIISTEEMCMVLNKSGLELPEGHEIKLTTDDFFGAARLWPWYIIYYRRLKKGPISIENYARRVAQNQEFGIVDKYIRSSSGWGEFSPENS >RHN68106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32901276:32903563:1 gene:gene16406 transcript:rna16406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MLLFQHCKNKNKMYLFLVVLFFSINPSQQTNSLSLSFPLTSLSLSNDTTSKMLYTSQLFSTTKKPNNPQNKTPSYNYKFSFKYSMALIINLPIGTPPQTQPMVLDTGSQLSWIQCHKKQPPTASFDPSLSSTFSILPCTHPLCKPRIPDFTLPTSCDQNRLCHYSYFYADGTYAEGNLVREKFTFSRSVSTPPLILGCATESTDPRGILGMNLGRLSFAKQSKITKFSYCVPPRQTRPGFTPTGSFYLGNNPSSKGFKYVGMMTSSRQRMPNFDPLAYTIPMVGIRIAGKKLNISPAVFRADAGGSGQTMIDSGSEFTYLVSEAYDKVRAQVVRAVGPRLKKGYVYGGVADMCFDSVKAVEIGRLIGEMVFEFERGVEVVIPKERVLADVGGGVHCVGIGSSDKLGAASNIIGNFHQQNLWVEFDLVRRRVGFGKADCSRLVK >RHN54236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7986138:7988074:1 gene:gene29238 transcript:rna29238 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:IFR2 MATENKILILGPTGAIGRHIVWASIKAGNPTYALVRKTPGNVNKPKLITAANPETKEELIDNYQSLGVILLEGDINDHETLVKAIKQVDIVICAAGRLLIEDQVKIIKAIKEAGNVKKFFPSEFGLDVDRHEAVEPVRQVFEEKASIRRVIEAEGVPYTYLCCHAFTGYFLRNLAQLDVTDPPRDKVVILGDGNVKGAYVTEADVGTFTIKAANDPNTLNKAVHIRLPKNYLTQNEVIALWEKKIGKTLEKTYVSEEQVLKDIQESSFPHNYLLALYHSQQIKGDAVYEIDPTKDIEASEAYPDVTYTTADEYLNQFV >RHN58278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:526674:527156:1 gene:gene20174 transcript:rna20174 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMEERFENLSVSRLISILEKSENITNMNSQNSWDVSSLSSLSQEYVFYKLSQIQFSNGSKFKIRSILESPGRSFFLKNEIKDYFFRMQGTYNSKLRHKKRSDSLMNPWTNWFKVLYQYDLPEKRWSRLVSQNWRNRINEHRVAQNKDLVEYDSYEKIN >RHN80204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36091899:36094915:1 gene:gene4103 transcript:rna4103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEGESNNKENSSKLASYEAEGTSNHDVSEEHHIQNQYFGRSGIEWNFLPKLPQNNYVEYLSESSCYLPNTATTAEYNMIGNSHYHTLGSSSSSFNDPGKADFGFKLIDSSSHDFGVRKRAGLWRSDEGEEEAHKFETGSSQNLHYAEGHATWTPDSVGDKQYGSQFDHMGVVAAPSLLPNPKSGGSSTKQKSEKTRYSDRQRRQRIADNLKALHELLPTPHVGSQAYILDDIIDYVKYLQIQVKELSGSKLQADSNSIPLVFHEGYGHYIKEQVLNEPLEEIMGNLLEVNSAATCQLLENKGM >RHN78579.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16417783:16422234:1 gene:gene2197 transcript:rna2197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MADPERDIEQVSCPTFVFVCAGVSSAFITLKRGTQLVKYSRQGKPKLCNFRLSQDETSLIWISHGKEKNLKLSSVLRIISGQRTAVFRRYLRPEKDYLSFSLIYKKGERSLDLICKDTAEVEVWLSGLKALISTGQLRNRRTRSELTDDSNDISPNDRPFGGALEFTASIPKGRFSFEAVPRESTSNRRSDAGSEHTSMAPVRTSVGDGSRVSVSSTNYASSVVSGPDDIESLGDVFIWGEVWAEGNSSDGLGSQVPSKIDMLIPKPLESDVVLDVNYIEPGVSHIALVTRQGEIFTWGEDSGGRLGHGFDKDYGKPRLVDSLAITSVSFVACGEYHTCAVSISGDLYTWGDGTHNAGILGHGTDVSHSIPKRVTGPLEGHQVVSIACGAWHTALITSNGKLFTFGDGTLGVLGHGDRESVAYPKELQLLSGHKAVKVACGVWHTAAIIEVNDQSGSSSNTSSSKKLFTWGDGDQYRLGHANKETYLQPTCVAALADYNFLQVACGHSLTVALTASGHVFTMGSKAYGQLGNPTSDGKVPILVRDKLQGETVEEISCGTHHVAVLTSRSELYTWGRGANGRLGHGDIEDQKTPILVEALKERHVKNIACGANFTTCICIHKWVSGNDQSVCSGCRQPFGFTRKRHNCYHCGLVYCHPCSSKKALKAALAPTPSKPHRVCDACYAKLKGCENSNASHFNKEITRPSSSVYGREKTERVEVRPSKFLLSSATCLVKSLGSTHESSSMAGDALQLKDIIFPGPSSATQKASRALIMQQSQAPTPPPSANSRPASPYSRRPPSPTRSVSPGFSRSLIDSLKKKNEHLNQEVSKLQNHVCLLKKHLT >RHN74760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36485099:36489609:1 gene:gene10872 transcript:rna10872 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-oxo-beta-amyrin 30-oxidase MATTTAIVLFILMTLSLIWAWKILNWLWLKPKKLEKFLREQGLKGNSYRLLVGDIRDLLKMRKETTSKPMNLSDDIAPRVFSYFHHSVAKYGKNSFMWFGPTPRVNISDPELIKYVLNKIYDFQKPNASPLVRLLANGLVNLEGEKWSKHRKLINPAFNIEKLKIMLPIFFKSCDDLITKWERMLSSDGSCELDVWPFLQNLASDVISRTAFGSSYEEGKRIFQLQIEQAELTKKVMIQVFIPGWRFLPTSTHRRMKEIDREIKASLTDMINKREIALKAGEATKDDLLGILLELNHKEMEEHDNNKDVGMSLDDVIEECKLFYFAGQETTSVLLVWAMMLLSRYPDWQARAREEVLQVFGNKKPDFDGLNQLKIVTMILYEVLRLYPPAIATSRSTHKDVKLGNLTLPAGVQITLPIVLVHHDSELWGEDAKVFNPERFSGGISKATNGRFSFFPFGGGPRICIGQNFSMLEAKMAMAMILKKFSFELSPSYAHAPTQVITLQPKYGVHLILRKVAT >RHN69872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46997369:47002149:1 gene:gene18400 transcript:rna18400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA/RNA-binding protein Alba MRNYITYATTLFQEKGSDEIVVKAMGRAINKTVMITELIKRRIVGLHQNTQIGSTDITDTWEPLEEGLLPLETTRHVSMITITLSKKELDTSSTGYQPPLPADQVKPLNEYEDEGEGSPRMRGRGRGRGRGRGRGRGMYNGGMEYGDGWDGGRGYGGRGRGRAWGHAFRGRGRGYGAQPVGQYDYGEYDAPPAPRGRGRGRGRGRGRGRDAGRGAAV >RHN50221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5273782:5274321:1 gene:gene34488 transcript:rna34488 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDETEAIDVAVWSELVADEGRRRFSWFVGADKKKIRRREREEFLQSLVNGK >RHN65001.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63549386:63551334:-1 gene:gene27891 transcript:rna27891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MAISTSLLLLSCFLLPIVLSFVNAYSKENYYNTNKPYLNKIDSCWRAKPNWALNRKALADCAIGFGKDSIGGKYGAIYIVTDSSDDPANPKPGTLRYGAIQTKPLWIIFERNMVLTLKNELIMNSYKTIDGRGVKVEIGNGPCITIQGVSHVIIHGISIHDCKPSKAGLVRSTPDHVGRRRGADGDAISIFASSNIWIDHCFLARSTDGLIDIIHASTAITISNNYFTQHDKVMLLGHNDEYTADKIMKVTIVFNRFGSGLIERMPRVRFGYAHVVNNKYDQWQMYAIGGSANPTILSEGNFYNAPNDHTKKQITKRESKGNWKSWKWRSSKDYFSNGAYFIPSGYGSCAPNYTPAQSFVAVPGYMVPAITLNAGPLSCFVGRSC >RHN74165.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29732457:29732693:-1 gene:gene10171 transcript:rna10171 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGLIQPIKWSSELLLLAISKYSPFTYGLSRLPTIHCLTFCYLVSTSQFSFAYIIFGFVPQICFLKGIPVFPKVRYG >RHN49033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51931822:51948361:1 gene:gene43816 transcript:rna43816 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucoamylase, starch-binding, carboxypeptidase-like, regulatory domain-containing protein MSIGDAFLCLLFLTTYSISVASADSIYGCGGFVQASSWLVKSRKQTDAKLDYSHVTVELQTVDGLVKDRTQCAPNGYYFIPVYDKGSFVIKVNGPDGWSWDPEKVPVVVDNQGCNNNEDINFRFTGFSISGRVVGAAGGDSCPVKNGGPSNVKVELLSPSGDLVSSVLTSSSGSYLFTNVIPGKYELRASNRDMKVEVKGSTQVELGFGNGVIDDIFFVPGYSISGSVVAQGNPILGVHIFLYSEDVSEVECLQGSANGPRQEVALCHAVSDADGKFAFNLIPCGSYELVPYYKGENTVFDVSPSSVPVNVKHQHVTVPQKFQVTGFSVGGRVVDGNDMGVEGVKIIVDGHERSITDNQGYYKLDQVTSTHYTIEARKKHYKFKKLENYMVLPNMASIEDIVAVSYDLCGLVRMVSSGQKATVALTHGPDNVKPQKKQTDGNGNFCFEVLPGEYRLSAIAATPDNAAGLMFAPSYIDVAIKSPLLNVEFSQALVNVRGAVVCKEKCDPSVSVTLVKQADKHNEERKTISLTSERSEFLFSDVIPGKYRLEVKHSSPESVTMEDNWCWEKSFIDVNVGAEDFEGIVFVQKGYWVNVISTHDVDGYINQPDGSTVNLKIRKGSQHICVEFPGIHEFSFIDSCVFFGSSSVKIDTSNLLPIHLKGEKHLIKGQINVHSGFHDALPEKIVVDIYHDGAGVGDNAMAILKSHGKDETNTSVFEYSVWANPGEKLTFVPRDSRNDGDKKLLFYPREHHVSVTDDNCQAHIPTFSCRLGVYIEGSVSPPLSGVHIRIFAAGDSSITGLKSGELILETTTGTDGSFVAGPLYDDVGYNVQASKPGYHLKQVGSHSFSCQKLGQISVHIHHKDDNNELIPSVLLSLSGDNGYRNNSVSGAGGAFLFDSLFPGMFYLRPVLKEYAFSPPAQAIELESGEFKEVTFQATRVAYSAIGLVTLLSGQPKGGVSVEARSVSKGYFEETVTDSSGNYRLRGLLPDTVYAIKVSKRDVMGSSNIERASPDSLSVKVGTEDIKGLDFIVFEEPDMTIVSCHVEGNGTDELRKHLMVEIRSASETSKIESVFPLPISNFFQVKGLSKGRHLLQLRSGLPSSSLRFDSDIIEVDLDKNVQTHVGPLRYRIDDQLKQELTPAPVFPLIIAFLVVALFISIPRLNDLYQATIDIPAPGTTSTSRKDVRKPTLRKKTY >RHN45687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24472704:24476503:-1 gene:gene40070 transcript:rna40070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase C MANFYLVLLHISLTFVLTHSAPKGSLITHLPGFNGSLPSKHYAGYVTVDKNHGRNLYYYFVESEGNSSKDPLVLWLNGGPGCSSFDGFVYEHGPFNFEKPKTKGTLPKLKPNPYSWSKVSNIIYLDSPAGVGFSYSKNLSDYKSAGDVKTASDTYTFLLKFLANPLFISGESYAGVYVPTLADLIVKGIEAGTKPKFNFKGYLIGNPVTDDVFDGNAIVSFAHGMGLIPDKLFKVKTIVRANLKLHHSHITNDIQGLNVYDILEPCYHGGENKTSNSKLPLSFRQLGKTDKSLPIRKRMFGRAWPYRAIVKDGFVPSWPELVSNSDTAPPCIDDEVAMVWLNNPQVRRAIHTVENLIVFIVIKQKSVVKGWTLCTDQIKYKHDTGSMIKYHKKLTSKGYRALIYSGDHDMCVPYTGTEAWTKSIGYKIVDEWRPWLTNDQIAGYFFSYSFFLLSHIMNFHNLHYEIFFQK >RHN73308.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15266923:15273249:1 gene:gene9107 transcript:rna9107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cu(+) exporting ATPase MIIFEKKYFDHLLHFTLQNLNFSLKPPLSLTTLMASAVRDLQLTNLPAGRKIAAVDNSDDLENVRLLDSDDGVDDEMRRIQVRVSGMTCTACSNSIESALKAVDGVLTASVALLQNKADVVFNPALVKDEDIKNAIEDAGFEADILPESSGPGKVPHETLVGQFTIGGMTCAACVNSVEGILRNLPGVKRAVVALATSLGEVEYDPSVISKDDIVNAIEDSGFEASFVQSNEQDKIIFGVVGVYSLTDTQVLEGMLSNMKGVRQFRFDQLSSELDVLFDPQVLSPRSLVDGIHGESNGKFELHVRSPYTRMASKDVEETSTIFRLFISSLCLSVPLFLMKVVCPHIPFMYSLLLWRCGPFLMGDWLKWALVSVIQFGIGKRFYVAAGRALRNGSTNMDVLIAVGTTASYVYSVCALLYGALTGFWSPTYFETSAMLITFVLLGKYLEVLAKGKTSDAIKKLVELAPATAILIINDKDGKSFEEREIDSLLVQPGDTLKVLPGTKIPADGIVTCGSSHVNESMVTGESIPVLKEVNASVIGGTINLHGVLHIKATKVGSDTVLCQIISLVETAQMSKAPIQKFADYVASIFVPTVVSLALLTFLGWYIAGSIGAYPEEWLPENGNHFVFALMFSISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGDALETAQMVKYVIFDKTGTLTQGKASVTTAKVFTGMQRGEFLTLVASAEASSEHPLAKAVLAYARHFHFFEDSSDATQNDAKSGWLFDVSDFSALPGRGVQCSIDGRRILVGNRKLMVENGIDISTEVENFVVELEQNAQTGILVSYDDILIGVLGVADPLKREASVVIEGLQKMGVIPVMVTGDNWRTARAVAKEVGIQDVRAEVMPAGKAEIVRSFQKDGSIVAMVGDGINDSPALAAADVGMAIGAGTDVAIEAANFVLMRNNLEDVITAIHLSRKTFSRIRLNYVFAMGYNVVAIPVAAGALYPSLGIKLPPWVAGACMALSSVSVVCSSLLLKRYRRPRLRYSRPRVTTGLEIIVE >RHN55907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25328281:25332555:1 gene:gene31192 transcript:rna31192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-acylglycerol O-acyltransferase MELSKTFRFQSKNLTSFIPFSPIYNNPLKNHLSFRTKLNNRPKKIKLTVTAKRKAPIDGVSEELNDIASYNLDFAYSRRRVRSAFAEVQQQLDHCLFKDAPAGIRAEEWYERNSRGLEIFCKSWMPESGIPIKASVCFCHGYGDTCTFFFEGVARRIAASGYAVFAMDYPGFGLSEGLHGYIPNFDDLVDDVIEHYTQIKARPDLRELPRVLLGQSMGGAVSLKVYLKEPNNWDAVMLVAPMCKIADDVLPPDAVMKVLTLLSKVMPKAKLFPNKDLAELAFREPSKRKLAPYNVICYEDNPRLKTGMELLRVTKEIESKVEKVSAPLLILHGAADKVTDPLVSKFLYENASSKDKTLKLYENGYHCILEGEPDDRIKAVHDDIVSWLDSRCSVK >RHN67109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23981600:23985873:-1 gene:gene15254 transcript:rna15254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MSLLLLFLTNLCLSTTNQSSSFHTYLLQLSNFFIYKYLIKAFITSTHKEKTNSKMDISIVMIRNSFLLISCFVFFSLGFLEAQKTPAIYVFGDSLVDVGNNNHLTLSLVKAILPYYGIDFPTKKPTGRFSNGKNAADLIAEKIGLATSPPYLSLVSKINFNKKNVSFLHGVNFASGGAGIFNGTDPTIRQSISLTKQVDYYSQVHEKLTQQTEASTLQKHLSKSIFAIVIGSNDIFGYYNSMDLQKKNTPQQYVDSMTSSLKIQLQRLYNNGARKFEIVGVGPIGCCPISRLKNKTECFSQTNLLSIKYNKGLQSMLKEWKLENKDLISYSYFDSFAALQDIIQNSISYGFKDVKDACCGLGELNAQFFCTPVSSLCANRQDHIFWDPVHPTEAAMRIFVDRLYNGPSKYTFPINMEQLVAI >RHN81271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44480031:44481029:-1 gene:gene5287 transcript:rna5287 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKEATDVRACKKLCSQKGDQVLDKWNCHVQDINRSIREVEFDQSILLWHIATDLCNSSDDNEPQNLNPATLQSRYTSQLLSDYMIYLLVICPFLLPNGIGQIRFEDTCAEVGELLKERKYIKERSQVCKMILRVNTSIPPSEVKGDRSKSVLFDACRLAKSLQSLETEENWSKEQKWEMISHVWVEMLCHAASQCRGLHHARQLSQGGELLTHVWLLMAHLGITEQFQISKGHVRAKLKLS >RHN48394.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47204448:47204657:1 gene:gene43106 transcript:rna43106 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDGNFEWFLGREFQIVLYMGNILSGRVIDYTNDAGWFVVQYEDGHTDELYWKELLEIILSFGCYDFP >RHN72002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4288983:4293718:-1 gene:gene7669 transcript:rna7669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MGLIDLNTTEDDETPYSAISSSSSSSHSGISTSASAAMVVPPPLPPQGSVCLELWHACAGPLISLPKKGSIVVYVPQGHFEQAHDFPVSACNIPPHVFCRVLDVKLHAEEGSDEVYCQVLLVPENQQLEQNVREGVIDADAEEEDTEAIVKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYGQQRPSQELVAKDLHGSEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGIRRAVQLKSSGSFGGLSGMQLDPGSLMDVVNALSKRSAFSVCYNPRVSSSEFIIPVNKFLKSLDCSYSAGMRFRMRFETEDAAERRFTGLIAGISDADPVRWPGSKWKCLLVRWDDIEASRHNNRVSPWEIEPSGSASNSSNLMAASLKRTRIGFTSAKLEFPVPNGIGASDFGESLRFRKVLQGQEILLCPPYGRASFDEARGSGCIGRYDGYPMLGSRNGWPAQMHDAATHLHASVTSGQVSSPSSVLMFQQAVNPVSNSRYDNINLNQGSYISEAKSGMFAPSLSDKPILSSGLALEGTTSFGVHDFHNNNNLLDGSRSRDSVLAMRDNQDMVSCKTGCRLFGFSLTDDTHIGGG >RHN58964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6270178:6272534:-1 gene:gene20934 transcript:rna20934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MDLVLNSLINATPFTLLIFLISLCLFGFSKFAHSKNKEAPIAKGAWPILGHLPIFCGTQAPHRILGALGDKHGPIFTIKLGPKHALVLNNWEMAKECFTTNDMIVSSRPKLVATEHLAYKGAMFGFAAYGPYWRHLRKISNLEILTSRRVEHHQHIRVSEVQTSIKELFNIWSRKKNESNPSNYVLVDLKQWFTHVTFNMVLRLVVGKRYFGAKTIVDEEKAQRTVKALNEMMHLSGIITVGDVIPCFKWFDFGGHVKAMNETSKELDEILGEMLKERRHKRTLSEKVDGEDQDFMDVLLSLLDGTTIEGFDCDTMIKATILTIFIGGSDTSSGALTWALSLLLKNPIAMEKAKEELDTHVGRERFVNESDIIKLVYLQAIVKETLRLYPSAPLGGPREFSENCTLGGYHVTKGTRLITNLWKIQTDLSVWPNPLDFKPERFLTTHKDIDVRGNHFELQPFGSGRRKCPGICFGLQMVHFTLASFLHSFDILNPTPELIDMTEEFGLTNSKATPLEILIKPRLSLNCYDEIM >RHN64949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63221333:63222772:-1 gene:gene27830 transcript:rna27830 gene_biotype:protein_coding transcript_biotype:protein_coding MNENKRKRDGGVGPQIKTQMPRTNKLVDINRKLSDAQRSRIMKTPFRYLVEMKTYIGMNGTLLKELLHRWDASSLGFRVGVRTVAFKHLDLY >RHN74984.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38459586:38469664:-1 gene:gene11128 transcript:rna11128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone acetyltransferase chromatin regulator PHD family MEHLCDDNFEGSNEERQIFTEVFFGDDTFQSNQRRVISGAINLEHESTKNTFKSFGSSNENSVIWRPSSSRFIHPEEDINAIQHSNETALGCLPESLTCEDQNDENVNVKRMKFSLHELPCSRSNSDVLGSSGIPKVVISNLTCAATDCDSDSIAFRTVESSKHGVISSCYLLKHNLVQKIHASNDDADVTNCKSKTEDGNIPKEVSVSKSVASPVSQESSASRLVVTSPSITVVKKSESPLRTEEMPEGFQSSNMVISNSLSQLDQEDPRPLLQFHIVQLLTMSGWSIGKHQRPSRRWMESFYKTPKGKIMREFTKVWRLCGQLLSVEKCNVTYGGRKEWTNISDFWSDLSSALKNVEKLKNQPETAAMLAYQWWIMDPFVVVIHFDRKVGALKRGEEVIKASCSLVSSKGKMAYSSKKKGKMAYAPVDSALENTSHTLSEQKHDQAIFCDSSTATRTTEISAFMINSHACKQTSDGNQEDMYVEEHKPNIIENRLMEMSVSKSSMDLASLPACALGSTVTHSSASSFDVLTSSGNLDLESKVNAVHQAKLRNSKSSDKHASENRSECSEEEGRKISMASVFGKDSTWSSSNGILKKKMRKKCKRISQIKPSMLHHSDILGSTVTDQVQSLNGDVCGTQTVLEEVQDYVVDSVGKKKNKKKLSGSANQRHIKKTNYSTTKTNKSNRCHIEDDDLLVSAILKNKDFNPKIMRGKSRAKSGKSRGLRKLKSHKGRCRLLPRNPCNGGKHNMDGKKYYLGERTLLSWLIENEVICLNDIIQYRNSKDNSVTKDGKITKDGIVCKCCGKVLTLSEFKIHAGFTLSRPCLNLFMKSGEPFTLCLLQAWSAEYKARKSQNQAGNIVDNDRNDDSCGLCGEGGELICCDNCPSTFHLACLSAQEIPDGNWYCINCTCRICGNLVIDKEVSDAHDSSQCSQCEHKYHKKCLGERDKQEGAVSDTWFCSQSCREVYCTLQSQVGLVNQVADGFDWTLLRCIHDDQKVHSAQWFALKAVCNTKLAVALTIMEECFVSMLDLRTGIHMIPQVLFNWGSDFARLNFQGFYTVVLEKQDVLISVASIRVHGTTVAEMPLIATCSRYRRQGMCRRLVSSIEEMLISVKVEKLVVSAIPDLVETWTKGFGFVPVGDTEKRRLKKNNLMVFPGTVLLEKSLYGKKKDEGLCDQSTLATDESFKAGICSEGMDISESLPLDVGNVATNEVGAKSECEPVDCKNQPDNRADSEISRDDNILAVEIALGDKESTETSRSFGEEKITPFEGNNVEVPRTSANKDGTESGGRVFEDKNINIGEVKENDMHEHVSNVSCKTFSGNNFDTVSNFECSAMYDETVIFGSLANSAN >RHN79437.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28879412:28880294:1 gene:gene3227 transcript:rna3227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MTYSRAIYLAISMVLLSSVAMAADHVVGDEKGWTVDFNYTQWAQDKVFRVGDNLVFNYDNTKHNVFKVDGKLFQSCTFPSENEALSTGKDVIQLKTEGRKWYVCGKANHCAARQMKLVINVLEEGAPSPSSSAHSIVSSIFGVIMVATIAIATFF >RHN71285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57926262:57927066:-1 gene:gene19945 transcript:rna19945 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQQEKKKKKKKKKKEFSFRICIHVDTVYTNFALVVYTNLSFDFGGEEPFVLKPSLIVLFLQHQM >RHN81010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42601003:42602306:1 gene:gene4999 transcript:rna4999 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRIFHHISLIFSDAHPLFFTLAITFKFLPHQSLKIKHVHE >RHN52988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40877476:40880431:1 gene:gene37730 transcript:rna37730 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSNYFGDSNMGNERVSGSSSRKGKKNHQDKPKQPQRGLGVAQLEKIRLHGEMGYGFHPPLHNPHPSNFINEDPRIQTPYSSIPSSSFSYSSSSTSYSASHGFQPNIMMGLPQYDRTNIRFGDSQPVFDSSRLWEHANATSQSTTTKPLLNLYDSQYIDTKKHRSGSTSSQNSESSDHNQEPDLELRLSL >RHN60815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30580434:30600776:-1 gene:gene23200 transcript:rna23200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2',3'-cyclic-nucleotide 3'-phosphodiesterase MSTPHRFLFNLTTFNSRTFFFLPFSHSHFTLTMPRNQRSSGLHGEQRWKEKPKTEPQVSAIGDAETVTNKLSALHIGENSAQTKVQNVNKVVNSQGSTAIWKPKSYGTVSGGANVTEVESTPVSKAKVDGLGGVAVASTQKISSGSVALSKLFSGNLLENFTVDSSTYAQARIRATFYPKFENEKSDQETRSRMIELVSKGLLTLEVSLKHSGSLFMYAGHEGGAYAKNSFGNIYTAVGVFVLGRMFHEAWGTEASKKQAEFNDFLEKNHMCISMELVTAVLGDHGQRPLEDYVVVTAVTELGNGKPKFYSTPEIIAFCRKWRLPTNHVWLFSTRKSASSFFAAYDALCEEGTATSVCKTLDEIADISVPGSKDHVKAQGEILEGLVARLVNHESSKQIEKILMEFPPPPADGAALDFGSSLREICAANRSDEKQQMKALLEGVGSSFCPDYADWFGTDAADIQSRNADKSVLSKFLQAHPADYSTKKLQELVRLVREKRYPAAFKCYHNFHKVDAISNDDLFYKMVIHVHSDSTFRRYQKEMRNRPGLWPLYRGFFVDINLFKADKEKVAEISKNSVNERGSSGTEKDDFADEDANLMVKLKFLTYKLRTFLIRNGLSVLFKEGPGAYKAYYLRQMKIWGTSPGKQKELSKMLDEWAVYIRRKCGNKQLSSSIYLSEAEPFLEQFAKRSPQNQALIGSAGSLVRTEDFLAIVEGGQDEEGDLASERVAPSEPNISVKDTVPKDEGMIVFFPGIPGCAKSALCKELLNAQGGLLGDDRPVHSLMGDLIKGKYWQKVAEERKKKPKSIMLADKNAPNEEVWRQIEDMCRRTRASAVPVVPESEGTDSNPFSLDALAVFVYRVLQRVNHPGSLDKASPNAGYVLLMFYHLYDGKSRKEFEGELIDRFGSLVKMPLLKNDRNPLPEAVQCILEEGIDLYKLHTKRHGRLESTKGTYAKEWMKWEKQLRDILSGNADYFNSVQVPFEFAVKQVLEQLRNIAKGDYTPPDTEKRTFGTIVFAALSIPVIDIQGVLNNLAKNNPKIDEFFKDKNLDKLNRAHLTLAHKRSHGIKAVADYGLWLHKKAPVELTALLFSDKMAAFEAFPGSVEGEKIVPKNAFPHITLWTSQGVSAKDANMLTQLFAEGKANRIDFNPPINVSGTVEFY >RHN49922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2294215:2295277:1 gene:gene34154 transcript:rna34154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MIINLIAGGVDTTSVTLTWAICLLLRNPLILEKAKEELDTQVGKERCVRETDIDKLVYLQAIVKETLRLYPAGPLSAPREFSENCNLDGYDVRKGTRLILNLRKIHTDPNIWSNPLEFKPERFLTTHKDVDVRGHHFELLPFGGGRRICPGMSFGLQMVHLTLASFLHSFEILNPSSEPIDMTETFGLTNTKTTPLEILIKPRLSSNCYEIM >RHN64594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60268316:60272388:-1 gene:gene27422 transcript:rna27422 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LysM domain, EEIG1/EHBP1 domain-containing protein MLRKVKGDGEEYLLKDVEIIRKNLYLEKSFSVNSTSSSKSKNYDPKPEKEGNNLKKDKKSKWNWKKPLRALSLSKNKKFKFCFSLQVHLIEGLPLSFNDSTLCVHWKLRDEHLVTPPAKVIQGVAKFQDILNCTCSIVGTKSGSHNSAKYEAKHFSLYASVLGVPELDLGNHRLDITRLLPLSLDDLEEEKNSGKWSTIFRLSGKAKGAVMNVSFGYMVVGDNNTFAPNVLTSRQNCLALSETDVNPCQYSSRVIDEVKDLHEVLTSTKSAVPSSTDVLNKKSDDENTCSPPHKKDELVLKESLEPIKFDVFQENLETVEPNVFFTPVSVKENYDECECVEFAAVDQGVEFSSNEHVKLEESIVEANVDSSKESIAVHEVSNNKDDLYTKELLLQEIESVLNSVSELEILAMESPIIMEVASESMFRKSQSMDDFTESVTSEFLSVLGLDDSPIGFSSESEPESPRERLLRQFEKEMDSEGFSLFDTDTCYVGEEEEDCDYGASFGPEQWKFSTGIKPPSLSHDLQEGLEFEDEDVKSKPKGHILEDSETEALMHEWGLNEEAFQYSPTKGFVGFGSPIHELPEEPPRLPPLAEGFGPFIQTKDGGFLRSMNPSLFMNAKSGGNLIMQVSNPVVMPADMGSGLMETLQYLASVGVEKLTMQANKFMPLEDITGKTMHQILWEAMPSLEGKNRQCHLQHDLVTRKGSTCVQRGLKGSSSELKSDEFTSSSIGNQGGSSFCSLEDLAPLAMDKIEALSVEGLKIQSGMSEEDVPSDIIGQSFRDLSGLQAKGVNIGGSLGLDGAAALPLLDIKDSSDEVDEIMGLSLTLDDWMRLDSGEIDDIDDISEHTCKLLAAHHANSFDVIRESSKGRKKQGKSHGRKCGLLGNNFTVALMVQLRDPLRNYEPVGTRMLSLIQVERVLVPPKQKICSNVSQVGNNSDEDDECEIQEKVEMKDNKEERSGKESDIAQFRITEVHVAGLKIKSHKKKLWGASSKQQSGSRWLIANGMSKSNTNPLMKSKVASKFGEVTTTKVQPRDTLWSMSSRIYGTVTKWKELGALNPHIRNPDIIIPNETIRIR >RHN39472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7366905:7371760:-1 gene:gene45497 transcript:rna45497 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIFKDIKKNTKIKREMEKKNTMVSLIHARSPRNMCIDGKFVEPHVGPRAIAFSLSHAYK >RHN47441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:39770286:39778178:1 gene:gene42044 transcript:rna42044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MASNPSLQFGFQGTTEPNPCTVESPATLLIKHLPDAIPHDTLSRLLSHYGASSVRPCSAGRLRNCAFVDFKNDMLASQAQRQLNGLKFLGKVLSAERAGKPNENVGKSSGAQLGKDSKTSAVRSEDVIKPIDGEAKSGGFPIPEPIAHRIGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPTPPLPPEVPAPLPPVPPPHSVTAMPRSANMSSDESEMESSDEEGGARTGNHGRKRARRGAIVGPAIDKDVAHESVGVKPATLIPKEIPMIKKNLVLKINIAPKATLNEHKDNATGIELQETEKDTLDPNKFLTPDELERGKLPPEEILSLPKFKNYTPGNPASVLYIKNLAKDVVADDFYLLFGSFFGSTDAAKSGLQVKLMQEGRMKGQAFLTFPSTELAHQALNLVNGYKFKGKPMIIQFGRNPAAAKAT >RHN71136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56729772:56730449:-1 gene:gene19785 transcript:rna19785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MTFCLSMFTLFVWSITVTVSMIFIFIFRKIIGARYYPNPDANATTTNTVRDTYGHGTHTASTAAGNVVSGASYYGLAEGTAKAFDNAIFDGVDVLAISLGAHSFFRPDLTTDPIVIGAFHAVEHGIVVVCSAGNDGPTQSTVVNDAPWILTVVATTIDCDMQSNVVLGSGKVIEVQFITLTLQYSNMSIQQGKHYKVYHY >RHN45273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14107204:14108099:-1 gene:gene39517 transcript:rna39517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MKNEPPKSRRRSRHSNPSHSAILPDDLIFEILSWLTVKPLMKLKCDPYYLLIDKDCRHVVGSCNGLVCLLGYSPAEMWFRFWNPATRKISDKLGFFRDDTYGLKYWTFTMGYDNSSDVYKVVALQYCSHLTTRVRVLTFGNNIWRNIQCFPARVLHFSYDNREFGGVHLNCTVNWLAVITDDGNHGKYVIISLDLATETHTQLRPPPSTPNRSVQDVQPGVCFLMDSRKPILLYGK >RHN61091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32884748:32885110:1 gene:gene23514 transcript:rna23514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-arabinofuranosidase B, arabinose-binding domain-containing protein MSPRTRPTSYHCKFFQWRCLFGFLLVPGLDRSNQTASLESQSNKDCYVHSDMSAGSRVKLRCKSGSEASFNQETSFVSGKGLRQYHPTSFLAKGANQNFILEPLFNFRDENYTIYFNIQD >RHN78349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14312090:14314129:-1 gene:gene1893 transcript:rna1893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEKARVETEPESLEGGLKANTCEECGASFKKHAYLLQHMQSHSLEESNLLDTKMIYKIIMDIIV >RHN79495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29545214:29548794:-1 gene:gene3292 transcript:rna3292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MTLGSAGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVEPKKFGLLANWQREYSMEDILTQLKKEMAAPHNRKLVQPPEGTYF >RHN40337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15426282:15427449:-1 gene:gene46483 transcript:rna46483 gene_biotype:protein_coding transcript_biotype:protein_coding MACEFQKALVQSYLVHQLESKQDLCHHKGLLQTEALVHVD >RHN64396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58742985:58748841:-1 gene:gene27212 transcript:rna27212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain, Cell wall/vacuolar inhibitor of fructosidase MKRASTNFMSFFPLYTLLLSCFFLKLTQSFTQMNVEVDDLVDDICKKTPNSDLCSSILHSNPQANTTDAKGIAAIMVNDILENATNTLNYIQVLVNQTKDIELQRKFSICAETYIPLVKTVLPQAIDSINQNKYGLAAYSMVYVGKEIDSCNKKFSGSTTSPLGDRTDILHKLLDIAAAILKQLIITRGKKMKRASTNFMSFFPLYTLLLSCFFLQHTQSFTIPNAEVDDLVDDICKKTPNSDLCSSILHSSPQAKTTDAKGIATIMVSDILQNATNTLNYIQVLVSQTKDLQLQRKLSICAETYIPLVKTVLPQAIDSINQKKYGLAAYSMVYIGKEIDSCNKQFSSSPLGDRTSFLHKLLDIAAAILKQLISG >RHN79107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:23090709:23097781:-1 gene:gene2820 transcript:rna2820 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGIESSSLLHPLQSYHRHIAASTLVCFEVILSSRWWLKCLGL >RHN48668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49366835:49370065:-1 gene:gene43410 transcript:rna43410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MEDLPVEVVGIILSHLGSARDVLIASLTCKKWREAWQTHLQTLSFNTSDWPLYREICSSHLEMLITCTLFQTKGLQCLTIFMDDEHEFSVTPVIAWLMYTRDSLRELRYNVRTSPNFNIIEKCSRKTLEVLTLASNPISGVEPKYHKFPCLKSLSLSFVSISALDLSLLLSACPKLETLSIVCPEIAMSDSEASIELSSSSLKDFFVESYSFDKLILVADMLENLHLKDCSFDAFELINKGTLKVLKLDDVSVIHLDIGDNTENLEIVDVCNFIFMWQNFYNMISKASKLKKLRLWSVVFDDEDEVVDIETISVCFPRLTHLSLSYDLKDGVLHYGLQGLSFLMNVVVLELGWTTISDLFSVWVAGLLEGCPNLKKMVIYGYVAEIKTHEECQTFTKFTEFMIQLGRKYSHIKFEFEYE >RHN65807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5546514:5548206:1 gene:gene13697 transcript:rna13697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVEALKLVNVLILFLSIFLSIIVSTSSFPWKLYPCVTDKDCPRKNRHVVKCRKGYCVGVQII >RHN48267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46173416:46182139:1 gene:gene42966 transcript:rna42966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MEVGGSFRIGSSSIWRNSDAAEIFSNSFHQEDDEEALKWAAIQKLPTFERLRKGLLTSLQGGTIEIDVENLGMQEKKDLLERLVRLAEEDNEKFLLKLKDRIDRVGIDLPTIEVRFEHLNIEAEARVGSRSLPTFTNFMVNIVERIFNSLLVLPSRKQHLNILKDVSGIIKPSRMTLLLGPPSSGKTTLLLALAGKLDQKLKFSGRVTYNGHEMSEFVPQRTAAYVDQNDLHIGELTVRETLAFSARVQGVGPQYDLLAELSRREKDANIKPDPDIDVYMKAVATEGQKANLITDYVLRVLGLEICADTVVGNAMIRGISGGQKKRLTTGEMLVGPTKALFMDEISTGLDSSTTFQIVNSMKQYVHILKGTAVISLLQPPPETYNLFDSIILLSDSHIIYQGPREHVLEFFESIGFKCPNRKGVADFLQEVTSVKDQEQFWEHKDQPYKFVTAEEFSEAFQTFHVGRRLGDELGTEFDKSKSHPAALTTKKYGVGKIELLKACSSREYLLMKRNSFVYIFKLCQLAVMAMITMTVFLRTEMRKDSVVHGGIYVGALFFGVTVIMFIGMAELSMVVSRLPVFYKQRGCLFFPPWAYSLPSWILKIPLTCVEVAVWVFLTYYVIGFDPYIGRFFRQYLILVLVHQMAAALFRFIAAVGRDMTVALTFGSFAIAILFSMSGFVLSKDGIKKWWIWAFWISPLMYGQNAMVNNEFLGNKWKHVLPNSTESLGVEVLKSRSFFTETYWYWICVGALIGYTLLFNFGYILALTFLNPLGKHQAVIPDESQSNEQIGGSQKRTNALKFIKDGFSKLSNKVKKGESRRGSISPSRQEIVAAATNHSRKKGMVLPFEPHSITFDEVTYSVDMPQEMRNRGVLEDKLVLLKGVSGAFRPGVLTALMGITGAGKTTLMDVLSGRKTGGYIGGNIKISGFPKKQETFARISGYCEQTDIHSPHVTVYESLLYSAWLRLSPDINAETRKMFIEEVMELVELKPLQNAIVGLPGVSGLSTEQRKRLTVAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLLKQGGQEIYVGPLGHNSSNLINHFEGIQGVSKIKDGYNPATWMLEVTNSSKEVELGIDFVELYKNSELYRINKALIKELGSPAPCSKDLYFPTQYSRSFFTQCMACLWKQHWSYWRNPEYNAIRFLYSTAVAVLLGSMFWDLSSKIEKEQDLFNAMGSMYAAVILIGVMNGNSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYVFVQAVVYGIIVYAMIGFEWSMVKVLWCLFFLFFTFLYYTYYGMMSVALTPNNHISIIVSSAFYSIWNLFSGFIVPRPSIPVWWRWYSWANPMAWSLYGLAASQYGDLKKNIESNDGSQTVEEFLRNYFGFKPDFLGVVALVNVAFPIAFALVFSIAIKMFNFQRR >RHN61089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32879352:32879781:-1 gene:gene23512 transcript:rna23512 gene_biotype:protein_coding transcript_biotype:protein_coding MGICRGSFAAIPNGGFVTGQYPSSMLMNMNGFNNHPFSLMNMLARHAMQQQPQMMYYTSPFLFLLILAIIITIIITTFMQITPSNYSYKLLLCKSHFISLVGQVCVRMLDVFVCL >RHN42995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42459134:42461566:1 gene:gene49513 transcript:rna49513 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAT dimerization domain, ribonuclease H-like domain, hAT-like transposase, RNase-H MSQENMESDCEVDLLDSDDETMEQKESRLVKTCASMILSSDYSFRHCEYDSMKNIMEWLSQDIVLPPPDVVELYFEDLYTEEKLNIKQQLATIPNRISLTFDLWESNTAETYICLTAHFVDADWKLNSKVLNFCLVLPTVSEMCERMVEFLNDWGIEEKIFSLTLEDSFKNKILQEKFQNQLVLHNGLLCDGEFFHGHCFARILKLIVEEGLKLVRDVLCKIRDSIMFVRHSKSGREKFKECIAKVGGVDCSVRLHLDTPKRVNSTYSMLESALKYRRVFESLHLYDDSYVLCPSVEEWKRVQKICVFLLPFSETANMINATTHPTSNLYFLQVWKVQCLLVDCLGDQDEDIKRMAERMLTKFEKYWDEYSVVLAMGAVLDPRIKLSTLANCYSKLDASTRERKLQQVKSKLYMLFDKYSSKSTPSCVQRIIQDQSSSMPFQEKLNSLSDGLFDELKVHHQQLVTETGKSELDVYLDESNLDFRCDEDMDVLQWWKSNNNRFPDLSILACDLLSVPITTVASDFEFCMGSRVFNKYKDRMLPMNVETRMCTRTWLHNFVNNDGEDDDDGDGDDFEETMNEVYVDEELDNSSNGYDFSDGDDD >RHN63277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50017788:50024866:-1 gene:gene25959 transcript:rna25959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Legumain MAVHSKNMFSIVLSLWSWLLLLLTLDGLVARPNHLEWDPVIRLPGEVVDDAEVDEVGTRWAVLVAGSSGYGNYRHQADVCHAYQLLIKGGVKEENIVVFMYDDIANNELNPRPGVIINHPQGPNVYVGVPKDYTGDNVTAENLYAVILGDKSKVKGGSGKVINSKSEDRIFIYYSDHGGPGVLGMPNMPYVYAMDFIDVLKKKHASGGYKKMVVYIEACESGSMFEGVMPKDLNVYVTTASNAQESSWGTYCPGVEPAPPPEYITCLGDLYSVAWMEDSESHNLKRETVKQQYKSVKERTSNYNNYALGSHVMQYGDTNITDEKLYLYQGFDPATVNLPPHNDKLESKMEVVNQRDAEILFMWEMYKRLDHQTEKKREILEKIAETVKHRNHLDGSVELIGVLLFGPTKGSSVLQAVRATGLPLVDDWECLKSRVRLFETHCGSLTQYGMKHMRAFANICNSGISEDSMEKACMVACGGYKLELLHPSNRVYSA >RHN45965.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27344304:27346455:-1 gene:gene40395 transcript:rna40395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L13 MVSGSGICAKRVVIDARHHMLGRLASIVAKELLNGQKVVLVRCEEICASGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPSKIFWRTVRGMIPHKTKRGEAALARMKVYEGIPPPYDKIKRMVVPDALKVLRLQKGHKYCSLGQLSAEVGWNYAGTIKELETKRKERAFAAYEKKKQINKLRAKAEKIVDEKLAPQLEILAPVKY >RHN79862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32948038:32951175:-1 gene:gene3710 transcript:rna3710 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc-or iron-chelating domain containing protein MISLTTTTTLVLTPLSLVTCSAARRPHTTTVKTDRKQRQNNAGFSGKKKELSWHCVEGCGACCKLQKGPSYPSPEEIFTDPLDVELYNSLIGPDGWCIHYEKSSRKCSIYSERPYFCRAEPEVFESLFGVKQKNFNKEACSFCRDSIKAIYGSNSKELHNFDESIRSSSSG >RHN64818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62177863:62180835:-1 gene:gene27682 transcript:rna27682 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRNHRLRVQNKKPIKRSGKKPLVKKFLDYLKSDTFLYAPLLSPQPSGSGFPSPNNFKVVELKRPIKERHWFNEYLKSQGYMYDPVIELPLSPQEPLKDREMIRKDVSTGKSTMNVNNQQTDDLENLNKRSESHIPQTHISDRVTQGQKETVKHTVYQTCRTTSTSRNMTLNAQLRAHS >RHN56244.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29188029:29189117:-1 gene:gene31613 transcript:rna31613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MLPPLRRFYGFESWMDNKELEEINCDKSKSERKSKEACKSHREAERRRRQRINAHLNTLRSLLPNTTKSDKASLLAEVVQHVKRLKKEADEMANRHNDGESSSSCSGEPGSVNSTEVVETWPFPGESDEATVSYCGKEEGEPRRMKVTVCCEERPGLNHDLTQAIRSVLAKPVRAEMMTVGGRTKTVVVVEWPNGEGGDDVREEKVVEALERGLKAVIENRAFVDSGMGPILLGRKRAREVECSLLLRNEDFC >RHN54683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11279427:11281699:1 gene:gene29757 transcript:rna29757 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCNLNTHEKLEEGVGNCPVCRKPFHAKDLDHVLDLVGSHSSTVSLNNDEVDNDEKILQSQHEIIRKQRFEAILSLQKENNGLIEPKKGIVILPGMYLQQPVAVPNSTSTKEPDEIEQQERDPPAVGSGRHVGGTSNGPSSSGNRNFGARRHRPRNDHHSSSTARHPRKPVQQQWVRRDNPSNKQ >RHN72511.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8250740:8264587:1 gene:gene8231 transcript:rna8231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative starch synthase MEISLSLQFSCKTVFPNRSTCFNRTPSSWCKPSTKLSCVNASSDFSRKRQQKKGSVAKSKGSSPKGFVPKSSIGSSSKKNARVKKGEQTLDVNVDDDKDGEVEFSLEEKHAIVDKTNEIVREFGQTSLSDETLDVVETNRGEEDVDDDLLHGEGTSYIGDDGYVKDSQEASPATDDRINEEASRMLKLKLEENLRKQKIERIADENFLRGKQMFVYPPVVKPDQDIEVFLNKNLSTLRDEEDILILGAFNDWRWKSFTIRLNKTDLKDNWWSCQLYVPIEAYKLDFVFFNGQSVYDNNDQKDFCITVDGGMDALAFEEFLLEEKRKELEKLAKEQAERERQAEEERRIEADKAAKEEDRLQARLEVERRQETVLQLMKNAVKSNDSVWYIEPGEFKGKDLVRLYYNGSSGPLEHAKEIWIHGGYNNWKDGLSIVERLVKSGLKGGAWWYADVVVPDQALVLDWVFADGPPQNAAVYDNNHKLDFHAIVPLATPDAQYWVEEEQLIYQKLREERKLREEAIRVKAEKTARMKAETKEKTLKSFLLSQKHIVFTEPLDIQAGSTVTVFYNPSNTNLNGKPEVWFRGSFNRWSHRNGPFPPQRMLPAENGTHVKTSVKVPLDAYMMDFVFSESENGGVFDNKFGMDYHIPVFGSIVKEPPLHIIHIAVEMAPIAKVGGLGDVVTSLSRAVQDLNHNVDIILPKYDCLNLSNVKDFQFHKSYFWSGTEIKVWHGKVEGLSVYFLEPQNGFFWVGCVYGRANDAERFGFFCHAALEFLLQNGFNPDIIHCHDWSSAPVAWLFKEQYTHYGLSKARAVFTIHNLEFGAALITRAMAFADKATTVSPTYSREVAGNPAIAPYLYKFHGIINGIDPDIWDPYNDNFIPVPYTSENVVEGKRASKEALQQKLGLKTADLPLVGVITRLTHQKGIHLIKHAIWRTLERGGQVVLLGSAPDPRIQNDFVHLANQLHSSHYCDRARLCLSYDEPLSHLIYAGADFILVPSIFEPCGLTQLTAMRYGSIPIVRKTGGLYDTVFDVDHDRDRAQSQGLEPNGFSFDGADAGGVDYALNRAISAWYDGREWFNTLCKTVMEQDWSWNRPALDYLELYHAARKLE >RHN71222.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57346578:57346901:1 gene:gene19875 transcript:rna19875 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MINTLVLSPNTRYAAYLVFKVTNVFGITNFKMKLSVGVKGGRSSTKFATLDSDERQISLRSDGWLEIEMGEFFYSYLQDEEINMSFRGIDYSDCLNFFLEGIEVRPK >RHN59948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15222623:15223718:-1 gene:gene22120 transcript:rna22120 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPCKKTSFTKRCFSMAKQHKARIHIFGRCLTMLLCWHNHSISD >RHN56959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35270122:35274755:-1 gene:gene32431 transcript:rna32431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-Hand 1, calcium-binding protein MKRKKWSELEEQTLLTKYSELHRSGTLPKLKTREKKFKPIADHVNAVHHLHDPTTFPFKWSWRDVSIKVQNMRHQYIGVKHKIQSNDHGFNWNDGVIHWENFLNYKRVFGDVKIHPKPKLNDGFETEIDDSDDSDGDEDHDCDGDVSEEELKRLGVKVLKLREVMVKREERKREREFLKEKEEWKRKEFEFSSGKGECCCGERELELEAIWVKKEVEKRVRLEKELEEEKRRRRKVEEKMEEEEMEWREKMVAMQIEHEKQMMQMHAEACHNQMQVLGVMARILCQFFGSGNDGLGGAGLGTLPTQVLHHGGGLGNVKPDASSPSEFM >RHN75559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43277370:43277796:1 gene:gene11786 transcript:rna11786 gene_biotype:protein_coding transcript_biotype:protein_coding MGECGSKRGQKDWRFGKRLKEYRGRFYIMRRCLVMLLCWHKYG >RHN47114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37216755:37221007:1 gene:gene41671 transcript:rna41671 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5-amino-6-(5-phosphoribosylamino)uracil reductase MELSLFDGNEDAYWWILCTEQYFRATGKSEVAKMMVADLTMRGRLEWWLWWSPRHPQSNWDTFTTALFWRFKPEWRHLLPILDDEIEPTSKPLKSTEATFFLEDSVADASLTVVGDPRNTTLAHIRTPENMQLASCSPSPHSIAVSDYVPVRTAITETHIKDWGYKDDADFTIPPKPPDATQPESTCVLLSRTSKITTIRDTRAYDLGPTKPPSPISVILPPPSLQPKPPDLLIAPPPAVPPVSSPPPKPPDRSVATGINPLCAHVTLHSYPTPTVLLFGTAENSTHLISIPFPFPVVDSPTLGLKSPHVVFLFNPGGSQFLKTSHFKFYKDVVTNVVLLQWWYNLPVTIISAEIPPPEPPDSAAILLPLATTLNTYLTLISGSCIDMVSRFCHGEFFGFETREFVKIEFSPNTCYMFAIMPIRPNLFQILQIFVTIFGSSSLESCLLSLDNVVSADVNVDDLNNKHFPTTLIGVRIMALPTSMKVPDPTFDIDLISFLVVITEISLNIIMSLDSLNHLLEPSVCVVVQTLSSFVLSRSKLTVLHCREEDEEQCPLWLELIFLATVETFVFSYDFSVFDTGSSCGVYPYLHVCCYNPGDICFPHHASNFWNDIIVYGSFEFGIWTKSDSFKDDSMPEKIPNWMAGTYDYGQTFIGSVYNFELAKYSVKCVIVANYKVPISMNSTSDIQENGICAPTQSVVAAFNSYAMVTLATFFHVVTTFFKFGVAASTISELGYNEVGIRFNINPRDNKVVIHDGHVTHPFLGVIQFPLIFVGSKVPPMSVEYSSTYPFRGFPSIWKLDVVSSSSLLMASWVPNSVRMFEKVMSNYIVPSTMTCADIVVIYVAFFIIDVHLNSQDKQRIVFLITHRFSTLVNVLMLAEVENGEMVDISKHESTLKLVAAQEWLFFVMRTPIFLQSGLCLTCHDCNKKRFSHFASQIDKITSIVHKTHPKYTGYDEMKWLIAWFWNIVEVVSVEQNQHLFVHWQKQIALGVILVHGIKLVEACLVYNLEDKVDFEELRPDWDNMKIDVMYSALKCKFSTYPHLSSMLLSTAGSVLVEASPHDLFWGGGREGEGLNYLGRLLMKLRSEFLEESSSSSNESPSLAV >RHN40732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20059754:20063286:1 gene:gene46926 transcript:rna46926 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MISFEIKKQVVLIYLWLWWNTTSICVKATNDSLRPGDKLDANSNLCSKQGMYCLYFNSEEAHLVISSGFDGPVVWMYDRNQPIDIYSAVLSLDYSGVLKIEFQNRNVPIIIYYLPEPTNDTVATMLDTGNFVLQQLHPNGTKSILWQSFDYPTDSLIPTMKLGVNRKTGHNWSLVSRLAHSRPTSGEFSLEWEPKEGELNIRKSGKVHWKSGKLRSNGIFENIPAKVQSIYRYIIVSNKDEDSFAFEVNDGNFIRWFISPKGRLISDAGSTANADMCYGYKSDEGCQVANEDMCYGYNSDGGCQKWEEIPNCREPGEVFRKKVGRPNKDNATTTEGDVNYGYSDCKMRCWRNCNCYGFQELYINFTGCIYYSWNSTQDVDLDKKNNFYALVKPTKSPPNSHVNAGKRRIWVGAAIATSLLILCPLILCLAKKKQKYALQGKKSKRKEGKRKDLAESYDIKDLENDFKGHDIKVFNFTSILEATLDFSSENKLGQGGYGPVYKGILATGQEVAVKRLSKTSGQGIVEFRNELALICELQHTNLVQLLGCCIHEEERILIYEYMPNKSLDFYLFDCTRKKLLDWKKRLNIIEGISQGLLYLHKYSRLKIIHRDLKASNILLDENMNPKISDFGMARMFTQQESTVNTNRIVGTYGYMSPEYAMEGICSTKSDVYSFGVLLLEIVCGRKNNSFHDVDRPLNLIGHAWELWNDGEYLQLLDPSLCDTFVPDEVKRCIHVGLLCVQQYANDRPTMSDVISMLTNKYELTTLPRRPAFYIRREIYDGETTSKGPDTDTYSTTAISTSCEVEGKYK >RHN51751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24316532:24320173:1 gene:gene36268 transcript:rna36268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MAWLRTLGPIFADFSIPSISFQHNSEIITLQGDPKAQPTQSTYTQVCHFLHMDAVASLHLLTFETVQKPSFPDSSPPSSTPEVDSLLSKFPTVFQTPHGLPPSRTHDHHIPLLPQTSPVNVKPYRYPHSQKGTMTSIIQDMLKEGTIIPSTSPFSSPVLLVRKKDGTWRFCVDYRALNAVTVKDRFPIPTIDELLDELGTASVFSKIDLRSGYHQIRVAQEDTHKTAFRTFDGHYEFLVMPFGLSNAPSTFQSAMNDLLRPHLRRFVLVFFDDILIYSKNMTDHLNHLHIILELLATNHYVAKLSKCVFAVHTVHYLGHVISANGVAPDPEKVEAITDWPVLRTITALRGFLGLTGFYRRFVRSYATLASPLTDLLRGTKFQWSLEADQAFTNLKLHMTTMPVLHLLDFSKIFVVETDASQVAIGAVLSQDGHPLAFFSKKMNPKMQAASVYVREMYAVTEAVKKWRQYLIGQQFHIFTDQKSLKNLLLQKIQTPEQQQWASKLQGFNFEIYYKPGKTNQAADALSRKFSNDEPLLFALTSPVPQILSKFKQYYNQQGHDLVHTLLATNTESQLYKFTKGLLYYKDRLFVPKFKDWRLKLLTEYHSTPLAGHSGVQPTVSRLAASFNWPGLYTDVKEFVRLCVTCQQNKYQTQKKMGLLQPLPTPSQVWEELSMDFITHLPNSFGHTAIWVICDRLTKYVHFIALPTKFTASDLAHRFSVEICRLHGIPKSIVSDRDPLFLSNFWKALFKAQGTQLKYSTAYHPESDGQTEVVNRTLESYLRCFVSEQPRHWYKFLHLAEFWHNSTVHSAIKMAPFEALYGRQPPTIPDYVPGNTTITTLDESLKNRQEILNRLKANLHSARKSMEKQANKKRRNFTFQIGDQVLLRLQPYRQTTVNRRTSQKLSKCFFGPFKITERVGSVAYRLDLPPESRIHPVVHISMLRPYYGGEDLPLPPPDDSTTAQVPEDAGDAPKNKDEQASIFQKKEEEKKLSDDKGKLKYTNDRNSSLQTPKSASDLSPNTSLPLDTTIALSPNKSQPPVQNPTIAPQLSSNNSDPSTYTCSNSPPLPKPAHATVKINPLKSGPSKIQLVDSTTLTKPPTIITNQNPPRGEPNLEDKVLNNQGSIVKKENSKVTKFLRKNKAPAWLKDYYRY >RHN81710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48337028:48350646:1 gene:gene5792 transcript:rna5792 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase TKL-Pl-4 family MDLLEGVGESSSPPRSFGGGYTNYDIRTDVYNRLVETGHEQAVSNPEFREQLDSHFNRLPPSYGLDVNVDRAEDVLLHRSLLDLARDPDKRPVYHIRLLENIATRTDGEDQEIVNTHTRPESSSHATNGGVIASNKRTRDLATEFETYSKLEDLNLDVMKNSKDKIDSFSQRHEHSSVPVHEIIFSAVDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPLEETDGLYAAMEKAVARSEGSWSHSSNSHSAVEKALAAEGKSGDWEIDRRSLKIGEKIASGSCGDLHHGVYLGEDVAVKVLKSDQLNDALEDEFTQEIAILRQVEHKNVVRFIGACTKCPHLCIVTEYMTGGSLYDYLHKNHNVLELSQLLKFAIDVCKGMEYLHGNNIIHRDLKTANLLMDAHNVVKVADFGVARFLIQGGVMTAETGTYRWMAPEVINHQPYDQKADVFSFAIVLWELVTAKIPYDTMTPLQAALGVRQGLRPELPKNGHPKLLDLMQRCWEAIPSSRPSFNEIRVELENLLLEVENYSEVNGA >RHN82398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53413277:53415782:1 gene:gene6561 transcript:rna6561 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MTLLMAWLFIFKSLLFSLIMLHVSAQDGGCPTSFSCGYLGQITFPFTVTQYPHCGILAISGCDQKNTSAPNSIQLGKMPSKQSLIVTYVEGNTITVSDETQQKYLLSKKCQAFHNYPVPPTTPLGSFYIKFNITMFKCNRSLKVTPPKSFQNYANCSGYDIYYDLQNIVRPPPFKVPNSLAQCTQCQAAVRDMPNDDPFEFLSPQISIVVQLSDDCNQCLHHQGGRCRLDIQGKFHCAEGNRGWFVKMLILGVGVLVVTAAILLIVVKIYYTRWRTRNPTNLVIEVFLKKHGHLQTKRYCYSEIKKVTDSFKHKLGQGGFGSVYKGRLHDGRYVAVKILNELKDSGEEFMNEVASICGTSHVNIVTLLGFCLEGSKRALVYEFMQNGSLEKYIFEENDQILDLQLDCQTLYYIAIGVARGLEYLHKGCNTRILHFDIKPHNILLDENFNPRISDFGLAKICTRKESMVSIFGARGTAGYIAPEVFSRNFGAVSHKSDVYSYGMMVMEMVGRRKNINTEVDRSSEIYFPHWIYNRLDSNQDLGLRNVRNEIDDEKVRKMTIVALWCIQTNPSTRPDISKVVEMLEGRVELLQMPPKPFLSSPAISPPHFSSETLESQKMLDAEQREHY >RHN77868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10055722:10056770:1 gene:gene1370 transcript:rna1370 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMDVAGNTLMGSVLNMAFIFTSSSSTMCFMWSITIYIFFNISTNVISLIKNSKLHWLYLPRPFSSTKRS >RHN48321.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46612134:46619034:1 gene:gene43025 transcript:rna43025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-Extensin family MPPSVLFLFALLNFFFSSQVESFSLSMSFASLEQTKSWFVKPSSAPTSAPVPSPSYQGPSVTPRHKHHHHHRRHHSTRPPYAGAPPPSTDPACDQICTDPLTSTPFGSPCGCVFPMKVRLTLDVAPFAVFPVLNELEYEVALGTYLEQSQVKIMGATADSQNQGRTSVDINLVPLGEKFDNTTAALTYERFWHKKVPLNRSLFGDYAVMYITYPGLPSSLPSGTPIGSGPSQNDDGILPFSANFDSKSQKTNLRTIVIIALSSFVLLLVLIGAFFVTLKWRKTRRPSSAVGPAFTSSLNKRSGLGSMLSSSIASSTSMSLMSTMPTSILSVKTFSLSEIEKATDKFNTKRVLGEGGFGRVYSGTLEDGAVVAVKLLTRDNNQNGDREFIAEVEMLSRLHHRNLVKLIGICIEGRRRCLVYELVPNGSVESHLHGDDKNRGPLDWEARMKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSNHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPQGQENLVTWARALLTSREGLEQLVDPSLAGGYNFDDMAKVAAIASMCVHSEVTQRPFMGEVVQALKLIYNDTDETGGDYCSQKDSSAQESDFRGELAPSDSSWWNGGGLTPRLTYGQASSFITMEYSSGPLEDMENRPFSTSSFNGDELSLPIRHGNRSGPLRTTRSKLSLYRFSGSRSEHGEVSSKRNWI >RHN50234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5395455:5400779:-1 gene:gene34503 transcript:rna34503 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTKSYRCEVKEARVSFPLKLKNTSWILAISGSRESTVIVSKIVNK >RHN44488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5304224:5305348:1 gene:gene38612 transcript:rna38612 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTPVFFYSCALLPSKSEYHFHCRLPSPLLQTPISSFYSKLKFNPSLRIILKNPQKFPYCNFASNLTLISFKYISFYYHPDLDLVCHRHSFRISIVIFDTGVKFSWSETVVFSSHLNISKTS >RHN53892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5081101:5083200:1 gene:gene28853 transcript:rna28853 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNEQDYGHEVADIIRIVLCIKLMRNTGDEIRKGCLQSCRQNIMKMIADKQRHKTKEVKEKACISPVQLDDLKEKGGNCVG >RHN52339.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34073473:34085849:-1 gene:gene37002 transcript:rna37002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MPQNQIPDLSLQISLPNSSQTSICTTNEVDDLDSSFDIWKNINDAITHEGFKSHSDGFSSSIRNSTLQKTDTELSLSNSKTKTTTFSEAESVWKRKNFVRLRPFNGIPLYSDNNPSIIEKDSNSSLYPSCSASSSTFSRFNGITIESLRPQKFQYMNHQHHLLQNQQQQKVNQFGNSEFGNGFVRSRMMMPRQQSNKRNMRAPRMRWTSSLHNRFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTDKPAASSDGDENFMSLTQPHDQNKNYNASLEHDIGYTSNTLWANSSSSNGTWTQGSSGDHFEELSTQEILSTHHIGKLPQGSNCIQSRSSKDQNIDCPNPNLEFTLGR >RHN39535.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7937270:7937830:-1 gene:gene45565 transcript:rna45565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S49, ClpP/crotonase-like domain-containing protein MWTKIRSLASKKPVIALMSDLAMSAGYYMAMGAGVIVAENLTLTGSIGVDLGKFIPENENINEKIDLEEYPDDGRYHKLHAAKQQGSSRPFSKSRMYKQFRDDVDLSRSMTVDKMEEVAQRRIWTGKDAVSNGLVDAISGLSRAIAIAKLKANLPQNRHVTIVELSYSSCGLKGYCIDYSLVEYNN >RHN81695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48196679:48200307:-1 gene:gene5775 transcript:rna5775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB family MLGDSAVLSGGGGDAPAPAAAPPNMTVEGGGGVGSNSESDVERGRVEDGERSFGGNRWPRQETLALLRIRSDMDTVFRDASVKGPLWDEVSRKLAELGYHRSSKKCKEKFENVYKYHKRTKDGRGGKSDGKTYRFFDQLEALDHFHTNPSPQNISKPPQISAPTPSQVVTTATVSLPITESTMSQVVNTTLSIPHATVPSISMPQNNIATTQPIMNMNPTIPSNPSTIFQPSTTNPTSTNPLPSFPNISTDLLSNSMASSYSTSSEDTTEEGSRKRKRKWKNFFERIMKKVTEKQEDLQKRFLEVIEKREQERVVREEAWRAQEMQRINREREMLAHERSITAAKDAAVMSFLQKIAEQQNLGQALHNINIAQPPPPQQQLPQRSVAPTPTPAVVPISVVQVNTTPPPAQPPPVSKLGTTIVQQQQQQQLVTNMEIVKVDNNGETFMGGMSSSRWPKVEVQALINLRTSMDNKYQENGPKGPLWEEISLAMKNLGYNRNAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYKEKGKGENYSGGGGGGAGSTQVVQPENMAAPLMVQPEQQWRPPQQGEDNMEQNRGQEEEDMDEDDKDGEEEDDEEDMDESGNFEVVANKPAVGASA >RHN55972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26204392:26208023:-1 gene:gene31273 transcript:rna31273 gene_biotype:protein_coding transcript_biotype:protein_coding MITNSVNPMMMFVGLNSFGLTPLYPMLLLPSKQLKQCLKLKYD >RHN70335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50713547:50714953:1 gene:gene18905 transcript:rna18905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MNTSAAKREENVIYTYLRSMELEEKRRPMKDYMEILQRYITPELRGKLVDWLVEVAEEYKLHNDTLHLAVSYIDIFLSSHPIRRINLELLGVSSFYIASKYEDITPPQVQDLCFTTRDKFNKEEVQEMENKILKTLDFDLSNPTVMTFLRQESAKSDSYLQFEFLTNYLAELSLLDYDCLSFLPSLVAASTKALQEYSEYKPVELRECVLVLHDLHTSEKGASFKAIRTKYKQHEVNIFFIPFLRLYHGKFNDMISFYM >RHN81619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47600306:47602600:1 gene:gene5690 transcript:rna5690 gene_biotype:protein_coding transcript_biotype:protein_coding MVATLTDFYINVIILSAWVAHKEANWISLILWIISFIFLGSIAPCAYIVVQISKLTSSQDLMYYILLRNDTELKPKYSFVVTLRILFSILGAVMLGTLGYTLVTDGSPFRIELLTSITTCVYVVWQLFQISCYDPAYFILVKHSHRAGLTDV >RHN45406.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:19932676:19939260:1 gene:gene39729 transcript:rna39729 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MNEMKAMPLPFEEYIGKGVLDLASSVVASSDSYLFSHQQEQFLQRWRENCCYAGIEPNSVVVFDLKKPNQQTTPSSSSTLSSSHGSAGGGASTDSTTGAAANKNIPQVEGKRCGMEDWESVLSESPSQDHSILKLIMEDIEDASMGLSKLLQVGTSNSHSQQDVEFNGFSFMDQQSSVLDPISSNGNNNNFVTSTATTATAAEICSDFPFGSRGMNQIQNPNFSSSQVSLSHGGLFHQHQQQSIGSLDQKLPHQFVMNQNQAQFMPNPSLVFPFTYSQLQENQEIQLQPPAKRLNCGTNYEIPKIPFLDSGQEMFLRRQQQHQQQQHQQQLQLLPHHLQQRPMAPKQKMGNPGSGGGEDVSAHQFQQAIIDQLFKAAELIDAGNPEHAHGILARLNHQISPMGKPFQRAAFYFKEALQLLLQSNVNNSNNNSFSPTSLLLKIGAYKSFSEISPVLQFANFTSNQALLEAVEGFNRIHIIDFDIGFGGQWSSFMQELALRNGGAPALKITAFVSPSHHDEIELSFTNENLKQYAGEINMSFELETLTLESLNSVSWPQPLRDCEAVVVNLPICSFSNYPSYLPLVLRFVKQLMPKIVVTLDRSCDRTDAPFPQHMIFALQSYSGLLESLDAVCVNVHPDILQMIEKYYLQPAIEKLVLGRLGSQDRTLPWKNLLLSSGFSPLTFSNFTESQAECLVQRIPGRGFHVEKKQNSLVLCWQRKDLISVSTWRC >RHN58768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4656744:4657474:-1 gene:gene20711 transcript:rna20711 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVLSDIGRRFVGTMTDVLKAFMVPAESEANGSQLWIIMGLFLNQTARCYLMMCILIGRITRCMI >RHN65779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5270029:5270766:-1 gene:gene13668 transcript:rna13668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MERLSHIISDRVEAQYWKPMRAGRYGPQISHLLFADDLLLFAEASIEQAHCVMHCLDMFCQASGQRINGQKTQIFFSKNVDNQLRQDILQHTGFTQANSLGRYLGANIAPGRTSRGNFSHIINKIQNRLSGWKQQCLSLAGRITLSKSVLSSIPYYHMQYAKLPKTLCSEMEKIQRGFVWGDTEHTRKAHLISWDTCCLPKKEGGLGFKRPLLMNEAFLMKILWNLINKPVIPCFWT >RHN56940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35056162:35056815:-1 gene:gene32410 transcript:rna32410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MWLIIRLNRCRKSCRLRWLNYLCPNIKRESFAEDEVDLILRLHKLLGNRWSLIAARLPGRTANDVKNYWHTNLRKKLALEKEKKEKEKHKETMKTHEVIKPQPRIFSTHSPWLNKKQK >RHN42555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39273012:39273299:1 gene:gene49007 transcript:rna49007 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L16 MKGKAYRGNTISFGKYALQALEPAWITSRQIEARRRAISQNVCRGGKIWVRIFPDKPVTVIPTETRMGSGKESPEYWVAVVKPGKILYEMGGILL >RHN72387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7233407:7235130:-1 gene:gene8092 transcript:rna8092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MQLKSVCKSWKYLISDSNFAKNHFRVSTTRHHLVSDKTKPSLNYYPLSSVFTEITPTTTPLQLQFPLNDQSWDRFIGSCRGILCFSLGKAPPLVWNPSIQKFTKLPSLGYTEREGLCRLYGFGYDHVSDTYKVVVVDWYSDDGSHYGLDKNQTMLHTLGTNSWRRIQNFPYTPFGADGSGTVVCGTINWLTSKTWSATSLFIVSLDLEKESYRELLPPPDHRVITVVNFMLGVLRDCLCLFSNDPTFTDVWLMKEYGNNDSWTKLFRLPHMKDHPRSWSHACPLYVSEDDQVLLDMTSKLVVYNYRDGTFKDFGIQNTFSCWNSKVYQESLISPCP >RHN59917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14763711:14765192:-1 gene:gene22086 transcript:rna22086 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGSNTSTGIALIIAIFFTVVHTPAVVAHIIKRAKRKVPTRRMSLQLLDRTSELRILLCLHGPDNISASINFMEISRGKSDPGLLVYVTEMIELTDQIAVTVERGEGVETTNVKDKDVMAMRDQITRSFQAYIEDDDDGVTLKRTMAVSTINNMAQDICTLAEDLMTALIILPFHRTQLKNGKLDGGNQGFRYVNRKLLKSAPCSVGILVNRGLGSLGKISKTEASLNVATLFIGGKDDREALAYTGRVAGHPGIKLTVIRFLVDTSAESSRIAAYRVSLPEKGEEIALDDECFAHFYDKHISGGKISYMERHLTNAAETFSTLKSFEGKYSLVIVGRESGLNSILTKGMNDWQQCPEMGPIGDVLSGPDFSTTVSVLVIQQHRHKGEIDGLDEEFNIM >RHN54747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11801630:11802214:-1 gene:gene29826 transcript:rna29826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MQTVESAVHPKYKEAKNNEDKTPREVFTENHEKLVKEGATWAKETAESFTLVATLITTIMFAAAFTVPGGNNQDSGIPLFLKDKMFNVFIIADAISLFTSSTSVLLFIGILTARFAEKDFLKSLPLKLCFAIFALFLSVVSMMVAFCASLAMLLKGNQGVIITTISLASIPVIVLVPSQLELFFEIFKSTILSD >RHN64693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61039831:61040153:1 gene:gene27537 transcript:rna27537 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFDGGRKKRTADYPNFCSSKPYISEARVRVHFYSYKN >RHN64847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62442700:62445609:1 gene:gene27713 transcript:rna27713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MAKKSQKQIEKDDNASNDNDNLNPSNTVTTKAKRTRKSVPRTSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQGAYDNEETAAHAYDLAALKYWGQDTIINFPLSNYQKELIEMESQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGKVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLKPNNNNNDDNNKSNINLCDINSNSSANDSNSNEELEFSLVDNEISLNNSIDEATLVQPRPTSATSALELLLQSSKFKEMVEMASMTSNVSTTLESDQLSQCAFPDDIQTYFEYENFNDTMLEDLNSIMPTFHYDFEGAEVL >RHN74901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37653846:37654196:-1 gene:gene11035 transcript:rna11035 gene_biotype:protein_coding transcript_biotype:protein_coding MENQDPSNCRKEMELELIRGRDTAKQLLEVINVHRVNIHGDLEGLIIPFAQDLAKKVLRSFTNTIFLLNTNDDVFSDEEVLPVTIKDLSPANCPKDEDTDKACKSFKTQRGCYKRK >RHN40375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15746067:15747290:-1 gene:gene46522 transcript:rna46522 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAAKSQGEKKVRNHIPDDLVFSILSKLSLKPLKRFGCVRKTWALLLENPCFQTNFISIPHSYYDGTSLLLYEAVECLDYSLHCSFYLLSDERYENQVKLDFPNPFQEDNPFSDFYNCDFYGCDTFTGTLCLKQRNTLSLWNLTTHEFKVIPLSPIEFVPPYREASVDVHGFGYDYIKDDFKIIRYIQFTPISSGRLKRLNVQHEDVSWNEISYEPEWEIYSLRCNSWKKHDVNMPKRWCSGSYEPLYIDGLSHWWSVSENCDEHLLVSFDLSNEMFFTTTIPIDIPLDIDTNFHLGFVYRRLVVLNRSIASISWYLLDKTIFYISILGELGVKESWTKLFVVGPLPYIDRLIGAGKNGDIFFQKKDGKLVCFSLSTQKTEELGVKGAHFYDLAIYKKSFLSIGGINH >RHN67814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30455959:30457886:1 gene:gene16050 transcript:rna16050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MPSLTLQWLSLVAIIWLQAISGTNTDFPTYSSQLKQILSISQVQLNNLAFASDAGKLFGWFSGLAAIYLPLWLVLIIGSFLGLIGYGVQFIFITNQVSSLSYWHVFLLTFLAGNSICWINTVCYVIAIRNFLSYRQVAVGLSTSYQGLSAKLYNNIVDFVSPNNKAKSFLFLNSLVPVVVGLIVAPLVREIEVKNPKHSSVCFALMFVITIATGIYAVISSLQFFTNKVSSLGVFIGIIVSILLPLLLPISVKIKELLDSWHKKSERLRVYNFTMEENTNYEGRTENEVKDGEDSGEFQVVGVMEEIGVKLMLRRINFWLYFFVYFFGATIGLVFLNNLGQIAESRGCLATSSLVSLSSSFGFFGRLIPSLIDYFNREKRTISRPATMMAVMAPTACAFFLLLNKTDLALYISTAVIGVSTGVITSSAVSTTTELFGTKNFSVNHNVVVANIPMGSFLFGYSAALLYHKEEHGEGSCMGMECYSKTFIIWGSFCCLGTFLALILHFRTRKFYSTKH >RHN41515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30707385:30708681:1 gene:gene47848 transcript:rna47848 gene_biotype:protein_coding transcript_biotype:protein_coding MESQDHLTPSHVDASRPSLGFPLGTALLLIIIFSLSGILSCCYHWDKVRSLRQSFSDSDPQSHSSNKSKLYSTELKQNRGESFSVMMPGDDVPKFIAMPCPCQPSRPENIVVTVEKPPPPLKPPQLPIPLYL >RHN50735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9931780:9934932:-1 gene:gene35059 transcript:rna35059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MNGEGDICVKNFSIMLFGKKIQPNSSTMNSCSNSKHKGNEMPHIQNIEKSKNSSNEENESKVNTSTVEDNMETSSTDQDKVLKKPDKIVQCPRCNSFDTKFCYFNNYNVSQPRHFCRKCHRYWTAGGKMRNVPFGAGRRRNKQIASQYRHMIVASGGISTSNFESNDSSTESAAVFRCSNNNGIVLKFGPENESNDSIFNPNNHKRNVDANANNCRENGDEESSLCLSSLTNGYTRGNELSESEHNRSKPLQNYSASSWMIPLNQSLNNVTSMVQSSMQMCHPYGVDPSSMQWCHMPNIGLQFVPESFKNGSVSIGSNNCISPSSSTTS >RHN41871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33741331:33743376:-1 gene:gene48235 transcript:rna48235 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MDYFVVSDQLSTTLWYLILMLLVYKLLVKHQRSNQKSEEPKPKLPPGPTPWPIVGNLPEMLANRPTFRWIQKMMNDLNTDIACIRLGNVHVITISDPEIARELCIKQDAIFASRPSSWSNEYVTNGYLTTALTPFGEQWKKVKKVISNELVSPLRHKWLHDKRVEEADNIVRYVYNKCTKIGGDGIVNVSVAAQYYSGNVIRRLLLNKRYFGNGSEDYGPGLEEIEYVEAIFTVLQYLFAFSVSDFMPCLRGLDLDGHERIIKKACKIMKKYHDPIIEDRIQQWKNGKKIEKEDLLDVLISLKDGANNAILTEQEIKSNILELTLAAVDNPSNAVEWGLAELINQPKLLKKATEELDSVVGKGRLVQEYDFPKLNYVKACAKEAFRRHPICDFNLPRVAMKDTILANYFIPKGSHVYLRRQGLGTNPRIWEEPLKFNPERHLKIDGSNLSLADPSLNVITFGTGRRGCSGVMLGTSMTIMLFARLIHGFTWSLPPNQSNIDLSESHGGTTKAKPLVAVAKPRLEPKIYGLY >RHN72646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9371443:9375076:-1 gene:gene8390 transcript:rna8390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MAGDVLLPELLTEILSRLPVKSLLRFRSTSKSLKSIIDSHNFTNLHLKNNSLNFNLILQLNTDLYQLDLPNLTKSMIPLNHPFSTNIAPVTRNSNMGLIGSCNGLIAISYGQIAFRDPNGPNEITIWNPNTRKHRIIPFLPLAIPNILESDNIHRFSLCVHGFGFDPLSGDYKLLRIAWIADPNERSSFVPHVRLFNSKTNSWKIIPAMPYALVYAQNTGVLVENSLHWIMTKKLGGLHPSLIVAFNLTLEIFNVVPLPDVDNSNKSFEIDVDVLGGCLCMTLNYETTEIDVWVMKQYGYRDSWCKLFTMVKSCFHLPLKSLRPLGYLSDGKKVLLRVDFEKLFLYDFRSAQVSYVEGIPDFHDVMFSVGSLVPPSFPVDNSRKKENRTSKSKRSFSFHRDDFLSRGFKLRL >RHN65018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63686013:63686430:-1 gene:gene27908 transcript:rna27908 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFGFSFANSSASQHSKLIFMKPWSGKSSIDVVLLNSYEVRKFGRCTNLVNQTHQDQAIVKVSQEHHIFGDIILYLFYYVFEYAFILFFSRSNAILT >RHN64557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60018201:60018590:1 gene:gene27383 transcript:rna27383 gene_biotype:protein_coding transcript_biotype:protein_coding MEREISQIMAILGSESHTLTSTVKIVNQVEVECVKCYSCGFTEECTPAYISKVCQRYQGKWLCGLCAEAVKDEVVRSKRRITIEEALNRHINFYKEFRSSSARLNKTEPPIVAMSKILRRSLDSPRPHL >RHN39656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9179361:9181450:-1 gene:gene45698 transcript:rna45698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MSPSSSSSIISLPHENENPSPQTLTLQQKLQFLVQTQSENWVYAILWQTTKDEKGKPLLSWGEGYFQGTKETTNSNKQNDSNTCANKDAEWFYVMSLTRIFSIGNASSISLPGKSFALDSVLWLNSKHELQIYNCERSNEAHVHGIETLICIPTTNGVIEMGSYDNIQQNWNLVHQAKSMFQTSSSESNSEIDLISTNPLDKSMFQTFADIGIVSGSGEDTDETQKTMNQKLQQMNNIVSSCSIDSENSDSEYCPPLATPTKTRTEKDSFEKREPKKRGRKPLSGTQTPMNHVEAERQRREKLNHRFYALRSVVPNVSKMDKASLLSDAVDYINELKSKIEDLESVQQKESKKVKMETMEIVDNNSATTTSTVVDQKRPCNVNAFEIDVKIIGNDAMVRVQSENVNHPGARLMSVLKDLEFQVHHASISTVNEVMVQDVVVRIPNEMNKEESLIRSAILMKLGQ >RHN50429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7074874:7075586:-1 gene:gene34711 transcript:rna34711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MTFFIVYGWGRGEHGRLGFGDSDKSSKMLPQRVQLLAGEDIVQHRYHGYETIVTLIVEMVSPSQIFSFGRGDHGRLGYGRKVTTGQPMEVPI >RHN62386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43066293:43070098:1 gene:gene24955 transcript:rna24955 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVFYLDLDGRAYRCTFCRTPFALADHVLSRSFSCSRGRAYLFSKVVNVTLGTQVERLMMSGLHTVEDIFCCCCGQIVGWKYVVAHEKSETYKEGKFVLERWRIVDDVEDELNLDANASSSDSENT >RHN57396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38638708:38639196:-1 gene:gene32935 transcript:rna32935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MCGLYLRLVRVHIVEHRHSLKGLNLLKNGIKSTIPQSLSKLRNLEWSDLSRNQLTGEIPVTLTNLNFLSVLNLSQNHHEGIIPAGQQFGTFGNDSYEGNTMLCGYPLSKPCKNEEDLPPYSTTDDQEESGFGWKAVVIGYGCGAIFGLLLGYNLFFFTGKPE >RHN80109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35168965:35171997:-1 gene:gene3993 transcript:rna3993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MEEVEQASRTAVESCHRVLSMLSQPRDQVHHRNLTVETGEAVNRFKKVVSLLHGLDHSRVRKLKNLQNVPYPQTAFLDNPNCKRNIINHHTKNVHFTQTTYPQNSVQELGSTLRNPLSLGNPSLELSSNGKSPLHLAQQAASTHYQFYQPQQQHHHQQQLQQQRLLMQQQQQQQQMKHQAEMMFRRNTSGISLNFDKATSSTPTMSSTRSFISSLSIDGSVANVDGSSFHLIGAPISSDQNSQHKRKCSARGDEGSLKCSSSSKCHCSKKRKHRVKRSIKVPAISNKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLDEPTMLMVTYEGEHNHAKVPTQPANA >RHN68729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38076599:38077182:1 gene:gene17117 transcript:rna17117 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKYKHVKPAATKGAAVTRPVVVNKPAAKPAHKASHKHVAVIKPGYKFKSTTDISSTSTSMFVTSANAATSMFVNPHFKVPAKKKSEIIYDVTQLKNKAKTTMS >RHN55638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20384696:20389983:-1 gene:gene30850 transcript:rna30850 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MESWSYIPEERSYLFSDEMDFSLDAFMRSRKPLVEWENKSSCNFERDGFNSDREVVKSMEFVDLGFPMESSRTVRGSVQTSSCELDSNNSSKRENSSVHVIAFDSSFAEEDSEAKHLSSRVESKNHDSSLIDLKLGRLVDCRGGGSDRDGKTFTSESMPIHQNVLAKRSRTSSLPALAPVCQVYGCNMDLSSSKDYHKRHKVCDVHSKTAKVIVNGVEQRFCQQCSRFHLVAEFDDGKRSCRRRLAGHNERRRKPQFDYMTSKQHKILQSYQGTNNFSFQDIFQSGILFPEKHDQISQSGHIKLEEDPICSPQLEAPATHGHELSSHALSLLSAQSQNPLHQAAGNPSATSSTFFRDIRTQDRGDQVSETPLMTSSMNKHERNESFPCGINSKENIKSEHGGTVDLFQLSSNLQRVEKQRNSVLVKWENEDCCFSNV >RHN39517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7826711:7834450:-1 gene:gene45545 transcript:rna45545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MADSSFEIDNPRRHYPTPVSYQSISGSSSFINSASRSNATPRTRRTRRNRIPSTPFASDDDRSWQGEVSWKFEPTGLREHSTNFGSVLSPWPTNSTSDRSRVFRQSANDYYLSRIGGFRNLTNSSNDHSSYGRVELKSHVARATNDHSYFDQYSGFSKLGIIKEGVNSGNRHINKKASPLAEEDELSGIDYSISDEHVKHDHGHGVPSYGRKSPSQIYGGGGGYSHYESKMASGYDDDEGDEDMDEDDVVGPPKNVGLFSLFRYTRNWDWLLVFIGCIGALINGGSLPWYSYLFGNLVNKLSREAKNDKDQMLKDVEQICIFMTGLAAVVVVGAYMEITCWRLVGERSAQRIRTEYLRAILRQDISFFDTDINTGDIMHGIASDVAQIQEVMGEKMAHFIHHVFTFICGYAVGFRRSWKVSLVVFSVTPLTMFCGMAYKALYGGLTAKEEASYRKAGSIAEQAISSIRTVFSFVAESQLGEKYSELLQKSAPIGAKIGFAKGAGMGVIYLVTYSTWALAFWYGSILIARGELDGGSAIACFFGVNVGGRGLALALSYFAQFAQGTVAASRVFYIIERIPEIDPYNPEGRKLSSVRGRIELKNVIFAYPSRPDSLILNSINLVFPSSKTLALVGASGGGKSTIFALIERFYDPIEGIITLDGHDLRTLQVKWLRDQIGMVGQEPILFATSILENVMMGKDNATKEEAISACIAADAHNFISKLPLRYDTQVGDRGTKLSGGQKQRIALARAMIKNPKILLLDEPTSALDAESEAAVQRAIDKISAGRTTIVIAHRIATVKNADSIVVLEHGSVTEIGDHRQLMSKAGTYFNLVKLATESISKPLPTENNMQITKDLSSINNKYAPDIAKSSYLVDISRSKLEDSMQDENQEDIEDKKYKKSRNYKLSEVWKLQKPEFMMLISGLVMGMFAGACLSLFPLVLGISLGVYFSDDTSKMKRDVGYLCLVLVGLGFGCILSMTGQQGLCGWAGSKLTLRVRNLLFQSILRQEPGWFDFDENSTGVLVSKLSIDAVSFRSVLGDRFSVLLMGLSSAAVGLGVSFVFNWELTLVAAAVTPLTLGASYINLIINIGPKINNNSYARASNIASGAVSNIRTVATFSAQEQIVNAFDKALSEPRKKSLKSSQLQGLVFGLFQGAMYAAYTLTLWFGAYLVKNNRGDFDDVYKIFLILVLSSFSVGQLAGLAPDTSMAASSIPAVQDVINRKPLIGNDGRKTKKVDRSKAFKIEFKMVTFAYPSRPEVTVLRNFCLKVQGGSTVALVGPSGSGKSTVVWLTQRFYDPDQGKVMMSGVDLREIDVKWLRRQIALVGQEPALFAGSIRENIAFGDQSASWAEIEAAAMEAYIHKFISGLPQGYETQVGESGVQLSGGQKQRIAIARAILKKSKVLLLDEASSALDLESEKHIQEALKNVSKEATTIIVAHRLSTIREADKIAVMRNGEVVEYGSHDTLISSIQNGLYASLVRAETEANAFS >RHN64460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59246041:59255680:-1 gene:gene27279 transcript:rna27279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5'-nucleotidase MYATEILSMFAVHGFDVVPTTITNSFKNSAFTIPTNVNLPKPLTLCRCTVDSKSIGDDVFSVTPPNKCGVDYLGESTKGDLNVKLEQLEAFGIGDAAFEGPIEEVARIEAREAEDLLKDLGIPSPSSSRNSPRGIFCTRTLNLRSISAIGYDMDYTLVHYNVMAWEGRAYDYCMENLKNMGFPVDGLAFDPDLVIRGLVIDKERGNLVKADRFGYVKRAMHGTKMLSTRAVSEMYGRELVDLRKESQWEFLNTLFSVSEAVAYMQMVDRLDDGTIPADLGPLDYKGLYKAVGKALFWAHVEGRLKSEIMSKPEQFVEPDPELPLALLDQKEAGKKLVLITNSDFHYTDKMMRHSFNRFLPNDMGWRDLFDIVIVSARKPEFFQTSSPMYEVVTGEGLMRPCFKAQPGGLYSGGSAQMVENSLGIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEDEYSALIRCRGDRESLVELINQKEVVGDLFNQLRLALQRRSKDRPAQTLAATNMDDEDLTESMQKLLIVMQRLDEKIAPMLEADGELFNSRWGFLSRAGLWDKSHLMRQIEKYADIYTSRVSNFLYYTPFMYFRSQEQNLAHDSYTHYCSQMNNELSSNGKMEER >RHN63258.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49749910:49759440:1 gene:gene25937 transcript:rna25937 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Phox domain-containing protein MIVRREGQLAPKHLCWVVGSYFEKIGSTSFAVYSIAVTDAHNRTWFVKRRYWNFERFHRHLRVIPNYTLHLPPKRIFSSNTYDAFVHQCCAQLDKYLQDLLSIANVAEQHEVWDFFSVSSSKEECPKIGYSNLTTMKSANHSINFDFS >RHN46987.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36299679:36300396:-1 gene:gene41531 transcript:rna41531 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHIRFCTADFYSNSTTATEFPRASSNIDTNKTPAVLAFSSVGAVGAGAMARNYVARKREMQLNFNNYLKCRLKEHFLMTATKRTMKITAWYLKGFVAISHIFSEQHVRTSFYRVSLALECTIYFVIIFIWFCLIKMVACNHI >RHN56909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34650542:34655367:-1 gene:gene32374 transcript:rna32374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small GTPase superfamily, ARF/SAR type, P-loop containing nucleoside triphosphate hydrolase MGLWDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNSIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAIVYVVDAADRDSVPITRSELNELLTKPSLNGIPLLVLGNKIDKSEALSKQALVDQLGLESIKDREVCCYMISCKDSVNIDVVIDWLIKHSKTAN >RHN62051.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40322806:40325318:-1 gene:gene24580 transcript:rna24580 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LSM domain, eukaryotic/archaea-type protein MAGTEEESAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRTTKRTVPFLFVRGDGVILVSPPLRTA >RHN82007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50541652:50542412:-1 gene:gene6123 transcript:rna6123 gene_biotype:protein_coding transcript_biotype:protein_coding MTCELSQLHPYPIAFYLHSLLHLSLLLNTTHITIFHQIWISHRLCSLECNLLSNHSIHTLLIQYP >RHN68764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38356583:38360185:1 gene:gene17156 transcript:rna17156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MGSMQSSQKSKEEMETAMNKAKEIAASSPVFVFSKTYCGYCNRVKDLLKQLGAAHKVIELDTESDGGEIQAALAEWTGQRTVPNVFIGGKHIGGCDSVLEKHRTGQLVPLLNDAGAIPLST >RHN46059.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28144986:28146791:1 gene:gene40499 transcript:rna40499 gene_biotype:protein_coding transcript_biotype:protein_coding MMASQTIKEKFVELKNSKQTPPNARPKIQRVQEYLRSRKNFEQHYSPKLVSIGPIHHDNPTLKLGEKYKLMWAAKYIENTGLIPEELHKKIADNIDELKGRFSDDVLTLTGNSLQGFECLDEKLSWILFMDGCSLLHILDNIMYLLPMNIKVDQLALVREDVLLLENQLPYEVLKLLWKDEDESGLIKSMRSFHNCIYFVGSEAEKEKEGNGEHSVSIPNESQSETPTHLLDLQRKIILTTSNSKKKINEAKIREWRWKNSEENIPITYRGIEDLRAVGIRLKASATGRPTDVDFTAGWFTAKLTIPVIYVNNLAASTLLNLIAYEMCPDFDNDCGICSYVALMDSLIDHPEDVKVLRSKGILCTVWSDEEVASLFNIIGTDLVANIDKYFYVQLKLREHYFNKYKTWIALGFRTYLNNPWAVIAFLAAFTALALTFIQTWFTVHPANK >RHN67354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26390510:26393104:-1 gene:gene15535 transcript:rna15535 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MQAVNNQKGRVFFLHGHGGTGKTFMWRTLASAIRSQRHIVLTVASSGIASLLLPGGRTAHSEFKIPVPAFDCSTCNIEKGSEIAELLKLTKLIIWDEAPMTHKFCFEALDKTLKDIMSSSTSIFGGKIVVFGGDFRQILPVIPRGSRSDVVHATINASYIWDHCHVLTLTKNMRLQSCAQSSDAAELNQFSQWILNIGDGKISEPNDGNAEIEIPSELLITNFDDPIHAIVRSTYPNLMENYKNEDFWQSRAILASTIEVIDQINEYVLGLIPGEEMVYLSSDSIDQLEANANEAFEEFTPEFLSSLTASGLPNHKIKLKVGTPIMLLRNLDQLEGLCNGTRLIVTRLANHVLEAKVVYGKNIGSIIYIPQISISLSQSPWPFKLIRRQYPIIVSYAMTINKSQGQSLDYVGLYLPRPVFSHGQLYVAVSRVKSKQGLKILIHDDERQPLNTTTNVVFKEVFQNL >RHN55752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22562739:22565255:1 gene:gene30994 transcript:rna30994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MTLSEKRANHGDHIDRISDLPCNVIDGILERLNIQELVSTSLLSRKWRYMWNSVPQLQFCEDFFCRFEDLNDPGPEISRIITEILLLHNGPIYRFILDIPLFSNILITTEILNKWVLFLSRRGIKDLALVNYATFFNQMPSHVFSCQELTHFWFSGFNVSVPPNFRGLKKLLVLQLDHNTYEFGALESLISGCPLLEELSIELFGDMKSICLKKAKNLTDLLLTVNQESVSGLIKSLPEIQRLAMESYRNKFDLLKHYADIIPPSQLISLKYLKLNSVNLDERGELYYIVSVLKSASNLVELFIESRDEEEPDQPEELECTSCCLSRLQTVIISTGTIFKNAMSLAQFILANSSSLTTLTFKIYLGYEKSDATLLSSISRDLSMMQRASLKARIKVLH >RHN66916.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21605476:21606399:-1 gene:gene15038 transcript:rna15038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNDLVELQLQFISQLRCLIDTKHIASQVPNVFSMLVVLDLWRLENLKELFNGPLSFDSLNSLEKLSIKDCKHLQSLFKCNLNLFNLKSVSLEKCPMLMSLFHLSTAVSLVLLERLEIEDCGCLEYIIDERKGEESRGEIVDDNDNTSHGAMLQNLKVLNIKNCPRIELILAFHSAHDLPALESITIEHCDKVKYIFDQDLKLGSLKQFKLHGIPNLIDIFPECNRTMSFAIKEASSISGYASQPQEKSDPIKCNIFSWTNVYCWGKKNGHKLRSTTSNQFQVPDNLMVTLSPSLLSSSSSMLFFTLF >RHN46180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29415179:29418310:1 gene:gene40624 transcript:rna40624 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMCICRCLHPKLKSKSSIIPWIEIKCPMQTNGIDCGYFVMRFMKEIILANQDMIPENYFGDYKCKTYSKDKLVEVEEDWATFMVEYLRDYIAQRLKL >RHN79516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29787448:29788776:-1 gene:gene3316 transcript:rna3316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casparian strip membrane protein MSTTIDVPESSNINKEKTILVAPARPGGWKKGTAIMDFILRLGAIAAAIAAAASMGTSDQTLPFFTQFFQFEASYDSFTTFQFFVISMAIAASYLVLSLPFSIVAIIRPHAPGPRLFLIILDTVFLTLATSSAAAAASIVYLAHNGNQDTNWLAICNQFGDFCAQTSGAVVASFITVVVLILLVVMSALAIGKH >RHN48850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50694874:50695227:-1 gene:gene43610 transcript:rna43610 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIGLSYNFDTKEGMIYISGKVDSKKIIKMAGKHGKKVELCWINSMEGNNYANTNMDMPMSSSAYPQYQGGYYPPPPPPPPPPMPYYQYNNYDPMYAPHYDPMYQQHGYSSQLHYY >RHN59664.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12602638:12603620:1 gene:gene21736 transcript:rna21736 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAALSSYFIIIPLLRFSSLQVRTITALITSDLSKDEFGTAFLITATTMSPI >RHN77546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7625813:7626565:-1 gene:gene1011 transcript:rna1011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKKQRQQSVASRNTRTRFSSQPKRTTAAFYLPDECWQLVFKFLNNGDDNRYLKTLSLVSKQFLSITNPLLFSLIIDHRLLSFLPRLFHRFTNLTSLVFARNCLDIDKLLSEISCFPLNLTSLDISNQPTIPAIGLRAFSQNITTLTSLTCSKMDSINSNDLLLIAECFPLLEELNLGYPRNKFKDHSNFLNGLETLSLALSKLTRINLSDHYYINDISLVHLFKNCKLLQEVIMFECDGITKAGIFFSSP >RHN45107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11864994:11873560:-1 gene:gene39315 transcript:rna39315 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MTKSVDLPPKGGFSFDLCRRNDMLEKKGLKAPTYLKTGTTIVGLVFQDGIILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVVTALTLLKRHLFNYQGHVSAALVLGGVDITGPHLHTIYPHGSTDTLPFATMGSGSLAAMSVFESKYKESLSRDEGVKLVVESICAGIFNDLGSGSNVDVCVITKGHKEYLRNHLTPNPRTFVNPKGFTFSKKTEVLLTKITPLKEKVEVIEGDAMEE >RHN81586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47299563:47306656:-1 gene:gene5655 transcript:rna5655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CCA tRNA nucleotidyltransferase MRLILKTTLFAIPRLTTSAAFSQTPTVHLLPLHAPRPAKTLLLTKTPSFRTSACAPMSTQHHVRDTIELTTIEKSIFDRLLATLRHFQLQTQLRIAGGWVRDKLLGKDCYDIDIALDDMMGTEFVEKVRDYLLFIKEDAPSVCVIESNPDQSKHLETARMRLSDMWIDFVNLRSEEYAENSRIPSKQTFGTAEQDAYRRDLTINSLFYNINTGAVEDLTNRGISDLKSGKIVTPLPPKATFLDDPLRVLRAIRFGARFDFTLDEDLKGAAACDDVKNALAAKISRERVGTEVDLMMSGNQPVKAMTYICELTLFWTVFTLPAEHEPVISDGCERLCISYLDNASNLIHLLGESTFTAEQRRLSLYAALFLPLRNTTYSEKKAKKVPVVNHIIRESLKRKTKDAETVLDLHRLSYKFLSLIPYLASGEDIQASNLDWMRDFDVPVSSRARVITGFLLRELKDFWRVALLISIILHPIDSEDQLGKQRDLFNAVENSITKLGLEKVWDVKPLINGKDVMKVLQLKGGPLVKEWLDKTMAWQLANPSGTAEKCIEWLEEANSKRVKLE >RHN70648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52989884:52995233:-1 gene:gene19257 transcript:rna19257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed RNA polymerase MDLEGSVKDSVLTTTQVSIGGFDSDVKASDLVSYLESKIGYVDRCRLKTSCTPPESYPEFTMTDTKQIEITDDYKKVAPHAFVHFTLPDSATEALNAAGRCDLILNGKVLKVISGPQNPNFLNQRRRTEAPFKMSDVIVEIGTLVSPADFTVAWRGCDKGVNFLVDPFDKICKICFNRDTAFSFKGIGKQAVIKCDFKVGFLIRDIEEIQRYDDTSHLVVLLRLASSPLVWYRTADDDIDESVTIDLLDDDDPWIRTTDFTPSGAIGRCNYYRISIPPRHGAKLNKALEYLRRQRVPRIPLKRPPKIQNEPDFGVPMSDAFFYIDSQKDISFDIMFLINAIVHRGIFNQYSLSNKFFELLKNQPKDVNVAALKHICTYKHPVFDAAKRLEAVQKWLLRNPKFYQISKQSDDVMEVRRLVVTPTKAYCISPEVELSNRVLRRFREVSDRFLRVTFMDEGQQTLNNYALNYYVAPIVKEITSNSFQQKTRIYKRVKTILDDGFYFCGRKYSFLAFSSNQLRDRSAWFFAEDKDITCDDIRNWMGRFNQKNVAKCAARMGQCFSSTYASVEVPANEVNSMLPDVERNNYVFSDGIGIITTDLAVEVAEKLKLDKAPSAYQIRYAGFKGVVACWPAKGDGIKLSLRPSMNKFQSTHTTLEICAWTRFQPGFLNRQIITLLSALDVPDEIFWKMQEGMISSLNQMLVDRDVAYDVLTKSCAEHGNAAAIMLSCGFSPKTEPHLRGMLNSIRAAQLWGLREKSRIFVSSGRWLMGVLDELGVLEQGQCFVQVSTPSLENCFSKHGSRFSETKTVHVVKGIVVIAKNPCLHPGDVRVLEAVDVPDLHHLYDCVVFPQKGERPHTDEASGSDLDGDLYFVTWDGNLIPPSKRSWPPMEYAAQESRLQTRQVTVQDIIDFFVRNMVNEQLGSICNAHVVHADSSDYGALDENCVHLAELAATAVDFPKTGKLVTMPSNLKPKLYPDFMGKEHHQSYKSKKILGRLYRRIKDAYDKDIDAPEFNCVSSDILYDTDLEVPGSSEFIADAWEQRCSYDGQLSGLLGQYKVKTEEEVVTGQIWSMPKYNSRKQGELKERLKHSYSALKKEFRQIFEKLKSDVGELSDKEKNLLYEQKASAWYQVTYHPKWVKKSLDLQLKSSDNQSADSLGNMVMLSFPWIAVDYLACTKTRHRRVKDFDSTKQVDSLAKYLSERL >RHN67481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27589821:27591728:1 gene:gene15674 transcript:rna15674 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MARGNSTFPIHFFKIILQTNLQRIKIPNKFTRKHGVGLSNPVLIKPPDGTKWKVYWKKINGEIWFEKGWKYFIENYSLGHGCLVVFKYKGDSKFDVHILGQNAVEIDYDPSCDGYDEKNDIDHSDDESVEILEEWLNQKNARQRSPFVSPRPHKKVRLRKLLKELHHWPRGARAQEVAQNFISCNPFFTILIKPNHLVANRLNVPNFKGVIENKEKDVMLQIGKRSWNVKLLCWYKHTQGRRLSARWSLFARESGLQQGNVCVFELINKEGLVFKVHVF >RHN81356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45160041:45160247:-1 gene:gene5378 transcript:rna5378 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MYEKRLSESKYLGGDSFTLVDLHHLPSLHYLMKSQSKKVFESRPYVIAWVADITGRPAWSKVLAMIPN >RHN56781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33711770:33713011:-1 gene:gene32232 transcript:rna32232 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNGGGKCYGVMVKAFSTVARNPYNGTKGACRHVNTLTLKSLMEQRKSV >RHN78644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17057970:17058467:-1 gene:gene2275 transcript:rna2275 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MELEMITSSEDSDEQEVQDECIVTKRSYDCTFCKRGFTNARALGGHMNIHRKERTTYKAKQGTPNSSPFVPAVVSSQPSTYYCILEPPRNYDMYHQTSKTNPRNSPPTFQYDFLNTSSQSLLGTNLSLQIGSSHKGNDQVWRGIEKDGEGVDLELRLGHDSYSNY >RHN56563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31901925:31903530:-1 gene:gene31980 transcript:rna31980 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAIQVLRCGSSIHEVVLLEICRIWKVEERTVDKRDVRLRLVNKDENRNFLKVTVFRRRVREREITRSNR >RHN65379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1593426:1595119:1 gene:gene13211 transcript:rna13211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTKIIKFVHVMVLFILLFFVVTNVNGKWPSCKEAIDCGENFCISRFRVKCWRFKCFCIL >RHN42409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38104934:38112583:-1 gene:gene48846 transcript:rna48846 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TCP family MEEDEIQAQHKFPRVENEEENHGRDIIRRGGGGCDGSANLNRFNNWHHSSRIIRVSRASGGKDRHSKVMTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLIKSASDAISELPSLNNSLFSGDTPNNNNNNNNNNNNSNNQPSEQGVDSVHDSEMVVENGENNYQSQNLSLSKSGCSSTSETSKGSGLSLSRSDVRVSRVKARERARERTAKEKDKEINHESHNTHQQHHNNVTSSISQTASFTELLTCGINNTVTTTNSPRGSAEEPSFFNKARQQQQQQQQWNSSAPMDHYFSQVFPGSSSSRAHHHHHLLGHSLHDHQSMTMSNSVSPFSTSGENHSQDQHQHHLQHPFSFIPDHMMQNVVTSSSTQPSGNNNDYNLNFTISSGLAGYNRGTLQSNSPSLLSHLQRFAVSPLDGSNNLPFFMGGGGGGASASSSPASASPSAAPTMENNHHHHHQIQHHLISSVFDGSSLQLYSDQKGKPKN >RHN48906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51056298:51058638:-1 gene:gene43672 transcript:rna43672 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLAGFRSSLPFSGLIRELEKDVETVIKVLQPGPLGITEHKFSAEEISRANATVAKAVANWRRNAILEDNNNRILKDYIHK >RHN45630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23929606:23933966:1 gene:gene40010 transcript:rna40010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEESGENWPYNSDLEISDEAILKDGESESVCEDQIGDPTDNTKENNEKEMLKELSDVMGLKKMDEISIIENARDYVATLQERVRELEQEDGSNICTNKRTKVNSDEYSCGTSDNTLPEVKAKVLQNDVLVIVHCEKQNGILLKILTCLENLHLSVVNSSVLNFGKSILDITIVAKMDDGYNLKVDELVKTMRIAISTQ >RHN65776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5235326:5236644:-1 gene:gene13664 transcript:rna13664 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFHSCHRMRTTKLNSLPEEGIAYQKLPIVRSKLEEEAKKIVVQDCSHFLHSYQQQNDRMSVVSRIRILLS >RHN44931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10070740:10081542:-1 gene:gene39111 transcript:rna39111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MPMPMAMATSINSPITFHFLKPPLSSFPSLSRSPQSHCSFFNSSTTRCSYSSLEVRDVSYQPPGTEFKLLNSVNFSLPEKSLGLIFGQSGSGKTTLLQLLAGINKPTSGSIHIQKYGDDGSPSQSPEPLVPERVGIVFQFPERYFVADTVLDEVTFGWPRQKGNDQLRENLALGLQRAINWVGLSGISLDKNPHSLSGGYKRRLALAIQLVQIPDLLILDEPLAGLDWKARADVVKLLKHLKKELTVLVVSHDLREFASLVDQSWRMEMGGNLRQEFLPL >RHN58230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:106801:107462:1 gene:gene20117 transcript:rna20117 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSHLAFSFRFPSKIIIKNFKSSFVTIFEYLDEFKLVESETKEDVVVVEEDDDEAEKNKNLLIRFFFKSTRRSTTTTTTEMITITELP >RHN58706.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:4185012:4185458:-1 gene:gene20639 transcript:rna20639 gene_biotype:protein_coding transcript_biotype:protein_coding MFQIRVNIETILFTITLNINCSDVAFNFLTIFLGLRKDKAKNKTQQRGEMKWREINNHAIHCCLVLQPLWNTTSRIHIHCLIRIKVIFIQPNIYQRKFIQVEAIPELNPFLVFLELFSNC >RHN66609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14915100:14924405:1 gene:gene14636 transcript:rna14636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MRNTNRANEPASFWTQANALLRKNLTFQKRNVKTNIRLILFPFVLCILLVLIQTILNNQFDKAKYKCGCVENECGVQYSDFDQVGTCPITNPMEWPPLLQTPDPRYRAVRTDFLPFSDFPNPLCRNNGSCPLTMLFTGTNQSFGEVLSRNMIPSTIDIDNSNVMDSFATNVLGSSTETEYTNFLEPAFFSELPIYYLQSQCGKNSTLSVPVQISTSSIQQELRCAQALRLWRNSSSEVNNAIYKGYRKGNTERQINEITAGYDFLNSNEDTFNVSIWYNSTYKNDTGFDKIALARIPRSVNLVSNSYLQFLLGSGTKMLFEFVKEMPKPETPLKFDVASLLGGLFFTWVILQLFPVVLTSLVYEKQQNLRIMMKMHGLGDGPYWIISYSYFLAISIIYMLCFVIFGTVIGLKFFTMNDYSIQFVFYFIYINLQISLAFLLASLFSNVKTATVIAYIGVFGTGLLAGFLFQFFIQDTSFPKGWIIVMELYPGFALYRGLYEFSQSSFTGDTMGTHGMRWGDLNDSTNGMKEVLIIMFVEWLLVLFFAYYIDQVLSTRSWKSPLLFLKGFQKKHSSSFRKPSLQRQGSKVFVMTEKADIHQEREKVEQLLLEPTTNHAIVCDKLSKVYPGRDGNPKKFAVRELSLALPEGECFGMLGPNGAGKTSFINMMIGLTKPTSGTAYVQGLDIRTDMNGIYTSMGVCPQHDLLWEILTGREHLLFYGRLKNLKGSALTQAVEESLKSVNLFHGGVADKKAGKYSGGMKRRLSVAISLIGDPTVVYMDEPSTGLDPASRKNLWSVVNRAKQDRAIILTTHSMEEAEVLCDRLGIFVDGSFQCIGNPKELKGRYGGTYVFSMATSIDHESNVEKLVQQLSPNAKKIYHISGTQKFELPKDEVKISNVFQAVETAKRNFPVSAWGLADTTLEDVFIKVAREAQPFHTLS >RHN71018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55826011:55831263:1 gene:gene19659 transcript:rna19659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MGTLGRAIYSVGQLIRKTGQAVDRLGSHLQGGYIEEQLSRHRTVLNIFDKAPVIDKDVFVAPSAAVIGDVQIGKGSSIWYGSVLRGDVNIIRIGSGTNLQDNSLVHVAKSNLSGKVLPTIIGDNVTVGHSAVLHGCTVEDEAFVGMGAILLDGVVVEKNAMVAAGALVRQNTKIPSGEVWAGNPAKFLRKLTDEEIAFISQSATNYTNLAQVHAAENSKSYEEIEFEKVLRKKYATKDEEYDSMLGVVREIPPELILPDNVLPDKAKKALKI >RHN64732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61367322:61367830:1 gene:gene27582 transcript:rna27582 gene_biotype:protein_coding transcript_biotype:protein_coding MNHDEKQNKQKERVKERPVDLDGILRRRLCVSLLTMVSVLSRRRRNEEATLTNSNRSEASISSHLSIRLYAFSVCLVQVI >RHN80693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40142764:40146756:1 gene:gene4650 transcript:rna4650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine synthase MGEDKSTIAKDVTELIGKTPLVYLNHVVDGCVAQIAAKLEMMEPCSSVKDRIGYSMIADAEEKGLIRPQESVLIEPTSGNTGIGLAFMAAAKGYKLIITMPASMSLERRTILRAFGAELVLTDPAKGMKGAVQKAEEILAKTPNAYILQQFENPANPKVHYETTGPEIWKSSGGKVDALVSGIGTGGTVTGAGKYLKEQNPDIKLYGVEPLESPVLSGGKPGPHKIQGIGAGFIPGVLDVGLLDEVIQISSEEAIETAKLLALKEGLLVGISSGAAAAAAIKVAKKPENVGKLIVVVFPSFGERYLSSVLFESVKREAESMIFDH >RHN65388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1673023:1675382:-1 gene:gene13220 transcript:rna13220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MAIIGKTLFMLVFVSSIFSIASSRKGPSSSKIDWWCNLTPHPKPCKHYTTQMNNHFKIKHRVEFREMLVQLALKQALTMQKEAQDNSQQQQNSSVHKTVHGDCLKLVENTIFHLNRTLEGLNNASKNCSPNDVQTWLTTSLTNIETCKSGALELNAQDFNFIMQTNVIEMIRNILAINMHFLKHNKETEEGSFPNWFSVHERKLLQSKGPVKYNLVVAKDGSGQYKTVQAALNAAAKRKYKTRFVIHVKKGVYRENIEVAVHNDNIMLVGDGMQNTIITSSRSVQGGFTTYSSATAGIDGLHFIARDITFQNTAGPHKGQAVALRSASDLSVFYRCAISGYQDTLMAHAQRQFYRQCFIYGTVDFIFGNAAVVFQNCNIFARKPLDGQANMITAQGRGDPFQNTGISFHNCQIRAASDLKPVVDKYKTFLGRPWQQFSRVMVMKTFMDTLVSPLGWSPWGDTDFAQDTLYYGEYENYGPGSSTTNRVKWPGYHVITNRKEASKFTVAGLLAGPTWLATTTVPFTSGL >RHN69972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47680119:47683945:1 gene:gene18508 transcript:rna18508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MCDSNMLLYLPDDVFAIISKSFSPRDICNLSLCCKSLNSLVASEKIWLTQCQVLCIVPHKDLVEWRKGVCSYKALCRFLLKVQPLIGIWVHQNPELGNVVYVMPGFVSVVGCRVIPQELGPLGMEDGPILWASVFEVIADFDGSPMFFLHGREKGIDYIYPGSARCIDKFCNILLLEVEPEQNKNVGSLLQSKSFVHQSGLELSRKVSRSNSDICRPQMVIGNNNEGNVTFSKLAFSDRRKLLEVTTGQVRQKIPDTVLGPLFPRLRDDEGNFQKDLVLLRERRSQLSRLYKLGSSEIDYKANSQEVVGSKQLELDDDPARAVPDSLFGEDGGTQSVKRKGIHRYFWNSLKHILGRSNSINDSHSASKKLTTSSEKRHAQLQEFLKSSNTTRLTLNASNVKLTSYRAWPNMHDSRFALYKLPLRVPSADQEYAGLWGGAFGWPPGKPSEDKPGKALFFLLLSYEEFQGQQLLIATKILEGTHYVLHPNGSAMFIANINEPSSEPFPWNTDADSLPVNIKHVYTGEGIASGYGFRYPGSKPGSLFVFHNGVIAFIWKETRAVLTLQRLNLQELLKKGERIPSLPPIANFSYLTKSYSNVFAGFPTSSNSLSSPRKARH >RHN47680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41496338:41499799:-1 gene:gene42305 transcript:rna42305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MEGDKCSSFFQRCKPYIAMISLQFGFAGMNIITKVSLNRGMSHYVLVVYRHAFATAVIAPFAIVLERKIRPRITFLMFMQMFVLGLLGPVIDQNLYYAGLKFTSPTYSCAISNILPAMTFVMAVIFRMEKLDMRKLRCQVKVIGTVITVAGAMLMTLYKGQVINILSSQYMHHPKNYEPENITDSGEKDWVKGSILLIIATFAWASFFILQAVTLRKYSAQLSLTAIVCSLGTLQSIAVTFVMEHNPNAWSIGWDMNLLAAAYAGIISSGLTYYVQGIVMQKKGPVFVTAFSPLMMIIVAIMGTFILAEKLYLGGVIGAILIVIGLYSVLWGKSKENKEIEEETITEGMKCCVENGVILETVIEGVEETNDIEMQKGEATKVLRVAIISVPKV >RHN55497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19036770:19041377:-1 gene:gene30687 transcript:rna30687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UVR domain, hemimethylated DNA-binding domain-containing protein MVQGVSLSTLATCGKSRIYGSPFEPFKQSHITSSTGKHLVWNKCVKSLVFIGYPFASRQRSGFKVEAGWMFRGGEQELDASVEQSESANEDILMFFFQLDLATRVQCALNMEEYDIAKQLRNKLTEVEEEVIKQKQSKRGMSSKSEAQDKALSVIRLRSDLQSAIENEDYALAAKLRDEISKLEAESLAASAKALAHENAQYVFRLGQKVKHKMFGYRAIIVGMDPVCSESSSWMENAQVKKLSRGTAQPFYQVLVDVRAEPDLLVAYVAEENLLVPDKADKGRFDHPYMSFLFYGMDSAGDFIPIKQLREKYNKPRHEIPFDPPTDEDSGKS >RHN58962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6249982:6252065:-1 gene:gene20932 transcript:rna20932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain, GDP-mannose transporter GONST3, plant METIYYFNHPHKFFNNNLQATVSKLHKRRTKPKNESKIEKKSDTKLTQNFAFNFTTQRSLQFHILMSKVVEKNPTSNMNVNDGVAGNWYTSIVHQVSVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSAAGVLLCGWLKVVEHDKLDLMTMWRFLPAAVIFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAVGESVFLHRPWPSLKTWASLGTIFAGSVLYVATDYQFTFAAYMWAVAYLVSMTIDFVYIKHVVTTIELNTWGLVLYNNIEALLLFPLELLIMGELKKIKHEITDESDWHSFPVVLPVALSCLFGVAISFFGFSCRRAISATGFTVLGIVNKLLTVMINLVIWDKHSTWVGTVGLLICMLGGVMYQQSTSKPKAAIQETRQEDEEQLKLLEMQVNSETNISDIEVNKSREGN >RHN76875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2038906:2044208:-1 gene:gene260 transcript:rna260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MERPGSVNDGQHIIEIAGSAEASTSASSRDRQFNGVDVSHREERVGAVRLTVGQNSVSTLGISTESNRRNSSSVRRGDARRSRSPVHSGLWISIELVLLVSQIVASIVVLSLSRNEHPQTPLFQWIVGYASGCVATLPLLFWRYYNHNHLREQDSAQSRQTSPRISDPSGTFLSISRNNGDAGQAAAASSRSNQTSILMNRRMKILVEYFKISLDCFFAVWFVVGNVWIFGGRSSAAVAPNLYRLCIVFLAFSCIGYAMPFILCSTICCCLPCIISILGVREDLSQNRGATSESINALPTYKFKMKKNKKNSENNSANIDGGTVAAGTEKERVISGEDAVCCICLAKYENNDELRELPCSHLFHKDCVDKWLKINALCPLCKSEVGEDLTGLGSGEDATQTTG >RHN55110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15217358:15221543:1 gene:gene30239 transcript:rna30239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthine/uracil/vitamin C permease MAGGGGGGGATPPPKQDEFQPHPVKDQLPNVSYCITSPPPWPEAIMLGFQHYLVMLGTTVLIPTALVSQMGGGNEEKAMLIQNHLFVAGINTLIQTLFGTRLPAVIGGSFTFVPTTISIILASRYDDDIMHPREKFKRIMRGTQGALIVASSLQIIVGFSGLWCHVVRFISPLSAVPLVALTGFGLYELGFPMLAKCIEIGLPEIVILVFLSQFMPHMMKGGRHIFARFAVIFSVIIVWVYAIILTGCGAYKNAEHETQDTCRTDRAGLIHGASWISPPIPFRWGAPTFDAGEAFAMMAASFVAQIESTGGFIAVARFASATPVPPSVLSRGIGWQGVGILLSGIFGTGNGSSVSIENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPMPIVAALYCLLFSQVGSAGLSFLQFCNLNSFRTKFIIGFSIFMGFSVPQYFKEYTAIKQYGPVHTNARWFNDMINVPFSSGAFVAGILALFFDVTLHKSDNQTRKDRGMHWWDRFSSFKTDTRSEEFYSLPFNLNKFFPSV >RHN65616.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3813662:3813949:-1 gene:gene13478 transcript:rna13478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-mannosidase MLTWSLMVMIDVGWLKTIDQYYVGSNHTIQRACVQSVLDSLIPALLADKNRKFIYAEHARVKTIIYV >RHN63901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54920585:54923957:-1 gene:gene26657 transcript:rna26657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MNFVIAKNPINLFNSHSPYFHDRFRNPSFLLHNSITKWTCGTNTLTLKATSASTSTVYGGWDELASSEASGEFDSLRNFLVSVGIDDRKNAFVFFLGIVCAMAISRVRVSTVLILPASAMVFALGYSVGFLRNGNFSFGELKLSGSGSSKRKEKDENLNSSEKLKSLSEFLDEIDVVVSDFKIDLENAINNKKIKMDDLYGYVEVSDKIKLLNLNGRNVVKSLVDNEEKFNCVLVENQKSGRRKKQVGEVGYQMLQSIGSLFQENLRSSNSTKLRESVERQLDQTRGNGALPPGEDKPLNLVDDSSKLNGKLDCSQDSLTNSVLDMDRNGRIGTNSDRENFGVGDNRRSAAKFPEREEYSYRNKGLRFTNNHSISLKMDSSSVADMWESHESRLDSESIKVRMKRVESETSFLHEQLLNQGQEAFRSSIDKRDSGPDRSRYEEDRDRMNYDADQLLADDLSESDNEFNAPSSTKVSDDIMFDRYLAEATDLLKQAKEFVKGTYDGEQAEIMLYKTASILSKAVDLKPMSLLAVGQLGNTYLLHGELKLKISRELRNLLSGSIERSSAKRSRIIKELRNKITSKEEAMQLLIDVCEECEELLVNAGRKYRLALSIDSNDVRALYNWGLALSFRAQLIADIGPGAAFEAERVFLAAIDKFDAMLLKGNVYAPDALFRWGMALQQRSRLRPGSSKEKLKLLQQAKRLYEDALDMDSNNIQVKDALSLCVSELNYRQF >RHN54127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7137783:7140081:1 gene:gene29117 transcript:rna29117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MEIQLSSFVIPFFLFLTLRLLAKYFKPKTLDYKLPPGPMKLPLIGNLHQIAALGSLPHRAFKQLAHKYGPIVHLKLGETSAVVISSPKLAKEILKTHDVIFANRPHLQASHIMTYGSKDIAFSPYGDYWRQMRKICMLELLSNKRVQSFSYIREDETRNFIKSIQSSEGSSVNLTNRIFSLVSSTVSRSAFGDKTEDQDEFVSVIRKAIESVGGLEPADLFPSMKSIIQMLTGTKSKVLKMHKKADKILEIIVRKHQEKQRRAKEGKVSSDEVEQEDLVDVLLRIQESGSLEIPITTSNIKAVIFDAFAAGTDTTTSTIVWAMSELMKNPSVMNKAQAEIREACKGKEIISENDIQELPYLKLVIKETLRLHSPTPLLLPRECTELTNIDGYDIPKKTKVMINVWAMARDPQYWTDAEMFNPERFEGSSIDFRGNNFEYLPFGAGRRICPGLQFGIAGIMLPVALLLYHFNWELPNKMKPMDLDMTEHYGLAIGKKSDLCLIPTVYA >RHN63125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48677340:48681623:1 gene:gene25786 transcript:rna25786 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribose-phosphate diphosphokinase MASSLFQLQHTSSSTMPFAPSSFFSGVSHGLTFPTLGFVDLSRSRTVAYNTVKCDMSEASNVVNGKPIVPVINEQTLPKFMESEKTVSRNGNKLKLFSGTANPALSQEIARYMGLELGKITTKRFADGEIYVQLQESVRGCNVYLIQPTCPPANENLMELQVMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITKAGADRVLACDLHSGQSMGYFDIPVDHVHCQPVILDYLASKTISSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRSGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHEEGAREVYACCTHGVFSPPAIERLSSGLFQEVIITNTIPVAEKNYFPQLTILTVANLLGETIWRIHDDSSVSSIFQ >RHN51186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14922464:14922907:-1 gene:gene35574 transcript:rna35574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLLVEAENLCGDLPSLKTLQLRFVRFKNQNFLQQLLNASPNLEDLNAYGNSKHDENSAPVGVKSLSLAKLVRAEMGARDVPYNVVNNVEYLCIEDAQKANLTSIPVFPNLIHIKLWFYDFFHGWDGILQLLQHCPKLQTLFIIRKVC >RHN44719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7704514:7710101:1 gene:gene38874 transcript:rna38874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-sulfomuconolactone hydrolase MALRLCSTVLSVSVVRTNHCSRKPTFRSVSAPTNTTIRMSSSETIPSTSSTSNVIDSHLHVWASPQEASKFPYFPGQEPNLPGNVDFLLQCMEEAGVDGALIVQPISHKFDHSYVTSVLKKYPTKFIGCCLANPADDGSGLKQFEHLVLKDGYRAVRFNPYLWPSGEKMTNEIGKTIFKRAGELNVAVGFMCMKGLDLHISEIEQLCTEFPSTLVLLDHLAFCKPPLNDKEDFVFSKLLNLARFPQVYVKFSALFRVSRTQLPYLDLSPLLSQLVSSFGANRVMWGSDFPFVVPECGYKGAKEAVQLIANQIPLPSSDLEWIMGKTAAQLFHSQLTVAKHE >RHN72604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9088371:9089475:-1 gene:gene8346 transcript:rna8346 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYHKATVHPSPPVITDQLSFLPATILTLAAALSPEDREVLAYLIYCSSTTAPPTFSNFSGNPRRSTVKTGDHATLFNCSCFRCYTSYWVRWDESPNRQLIHEIIDAFEEWLVQSGKGGKKGKGKKEKRNKKGFENKNKNKNSGELNRSVLNESTTELESVGESSNSSNNSGGSENSEKVVVVVADEEKGSVRKFVSFIGERIWGVWG >RHN68299.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34400337:34404654:1 gene:gene16633 transcript:rna16633 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK-LRK10L-1 family MKTTSFLLVTSTLLLLTCTTHVFSATPCPPCGNTTVPFPLSTTPTCGDQSYKINCTSTGTLVFTTVNNSYPIQSINPQTQRFVIQPAPLIPNTCTTTDKIYEGIILNNTLPFNITSSNTIVYLNCTRDLLRSPLNCSAASACHAYINATVPTCQTGPLCCTYRTGGSSNSYQIRVRSSGCSAYSSFVNLDSGLAVNRWSRPGLEIQWMSPRETVCTSQNDCDAATSTCGVDSSSPNNGIKRCFCNGDLVWDPIQGVCAKKITCFNPDGCKSSHKTAIIAGITCGVGAALILAAIAFLLYKRHKRILEAQQRLAKEREGILNASGGGRAAKLFTGKEIKKATNDFSADRLLGIGGYGEVYKGFLQDGTAIAVKCAKIGNAKGTDQVLNEVRILCQVNHRNLVGLLGCCVELEQPILVYEFIENGTLMDHLTGQMPKGRASLNWNHRLHVARDTAEGLAYLHFMAVPPIYHRDVKSSNILLDFKMNAKVSDFGLSRLAQTDMSHISTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTSQKAIDFNRASDDVNLAIYVQRMVDEEKLIDVIDPVLKNGASNIELDTMKAVAFLALGCLEEKRQNRPSMKEVSEEIEYIISVASTKAV >RHN62985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47297768:47299360:1 gene:gene25628 transcript:rna25628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAT dimerization domain, ribonuclease H-like domain, hAT-like transposase, RNase-H MCEILKPFFTITNLISGSSYPTSNLYFGEIWKIECLIRSYLTSEDLLIQKMAENMKVKFDKYWSDYNVVLAVGAVLDPTKKFNFLKFAYEKLDPLTSEEKLKKVKMTLGKLFSEYIKNGIPSNLSSSQVQPSYGGGTRITSSSYDEFEEYESQSSNNTGKSELDTYLDELRMPLSQEFDVLAFWKERSRRSPNLARMACDILSIPITTVASESAFSIGARVVNRYRSSMKDDSVQALLCACSWLHGFEELYDDNNDVQEDETRGSGQASNSTVDIVNLEED >RHN73360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15654948:15656790:-1 gene:gene9162 transcript:rna9162 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIKNNDMGKGYSVNPPANKNPQKPPENKKNPVSEQMKGISHSEKPPPPAAKKTTSKRPLSDQNAKLSYNGNTK >RHN67130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24181205:24181916:1 gene:gene15279 transcript:rna15279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MSSGRKSRGRQKIEMKKMSNESNLQVTFSKRRSGLFKKASELCTLCGADVALVVFSPGEKVFSFGHPNVDTVIDRYLSRVPPQNNGTMQFIEAHRSANVRELNTQLTQINQLLDIEKKRAEELSHLLNGRDSILALNELKKIVDHHAGRLVIQGAPTQTFPFFVGNSSSSNMPLHHTPNPPQAQMLPAEFYQNPMLQPHLFGFNNMGGGGGYGPSGFF >RHN67753.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29986449:29988839:1 gene:gene15978 transcript:rna15978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MASSLNFFTFLILFFHFQHSSSFSLSVEKPEQDIIMSPKGTFTAGFYSVGENAYSFAIWFTQIHKNLNNATVVWMANRDQPVNGKRSTLSLLKTGNLVLTDAGHSNVWSTNTNSSKPLELFLYDIGNLVLRERKTNGFILWRSFDFPTDTLLPDQSFTRYMKLVSSKSDNVYSSGFYKLLFNNDNLLSLLYDGPQVSSIYWPYPWLVTSETGRSSYNSSRVAKLDVWGNFRSSDDFTLKTSDYGAVLLRRLTLDFDGNVRVYSRKHGQEKWSISGQFHQQPFKIHGICGPNSFCINNARIGRKCLCVPGFRRIHNQDWSQGCKPSFQLSCNNKTELETRFQRLSRVQFYGYDDDYQANYTYKQCKHLCMRMCQCIAFQYRLDLGVSYCYPKSQLQNGFSSPNFQGSIFLRLPKRKHVHFHENVVKNDILDCSRNNEVKQLRRSYVEDEENGPMKFMLWFTSGLGVIEALCFFMIWWFLFKNKKHFVRDNQGYVLAGARFRKFTYSELKLATKCFSQEIGNGAGGTVYRGLLSDNRVVAIKRLHEANKGESEFLAEVSVIGRLNHMNLIGMWGYCAEGKHRLLVFEYMEKGSLADNLSSNALNWGKRYKIALGTAKCLAYLHEECLEWILHCDIKPQNILIDSNYQPKVADFGLSKLLQRNNLDNSSFSRMRGTRGYMAPEWIFNLPITSKVDVYSYGVVLLEMITGKSAMISILITDGEKTHNESLVTWVREKRRKLLEMKSLVEQIVDPTLGSNYDMVKLETLTMVALKCVEEEKDMRPNMSEVVEMLQTHEHDS >RHN76112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47782755:47783241:1 gene:gene12391 transcript:rna12391 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKRKTSSKSLFSRSSSTRSSTSNSSLLRSSSSSSKCNLQRSFSQKNPSSIGRKCTNLAKEQKARFYIMRRCVAMLVCWHKHGDN >RHN59977.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15692912:15699115:1 gene:gene22152 transcript:rna22152 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L1 MSCFHHHTLFPNMASCTTTTSSSSLMLTHPSSSILTPQDLSPSSLRSFPRTFFLSPLVLKPSKSVNLTYQSRSSILLSSAVAADVAETVDEDEKDDVDDVAETVTVVTTKPKKGKAALLLKSDRVRSKRFLEIQKLREFKKEYDLKTAISLVKETAKTKFVETVEAHFRLNIDPKYNDQQLRATVSLPKGTGKPIKIAVLTQGEKFDEAKLAGADLVGGEDLIEQIKGGYMEFDKLIASPDMMPKVATLGKILGPRGLMPNPKAGTVTANITQAIAEFKQGKVEYRADKTGIVHLPFGKADFSEEDLLTNLLSAIKSVETNKPSGAKGVYWKSAHICSAMGPSVRLNIKEMLDYRLQSE >RHN76635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51866951:51869280:1 gene:gene12989 transcript:rna12989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MGAHSYLIVRAPAAFNNVKFLVVIMVLLLHSCTNMSMSSSDAEALLKFKGSLTNAVALSSWDPSINPKPPCQGTIPNWVGLFCLNDRVWGLRLESMGLTGNIDLASLASMPALRTLSLMNNTFVGPLPDIKLLPNLRALYLSYNHFSGQIPDDAFAGLPRLRKAHLSNNEFTGKIPSTIATLPIIVVLRLDSNKFQGEIPNFRNKNSLKVINLSNNELEGPIPPNLRHFDASSFSGNALLCGPPLMNQCQLPAAGKETGTLSNLLVMKTSLIVISIAFLVVIVVSIFVIFRLKSQKKQLDDEHHSSMISTFHAQASKKYVKPPVVYVKTKSLAEHYDPESPKHDRHSHGHSKKGEQGKLIFLRQDGITFDLQDLLKASAEILGSASFGSSYKAVILDGLKSVVVKRYKQMNNVPREEFHEHMRRLGNLNHPNVLPLISYYYRREEKLLISGFVHNGCLGSHLHGNHNYEKPGLDWGTRLKIVKGVARGLSYLYSALPSVIVPHGHLKSSNVLLDESFEPLLTDYALSPVINLDHAQQIIMPYKSPEYAQLGRITKKTDVWSFGILILEILTGKFPENYITPRYNSDSDLASWVNMLITEKRTSEVFDVEMGGIGTSKAELLKLLKIGLSCCEENVERRLDIKEALQQIEELKETTIDGGEYSSSLITSTERDAYRAV >RHN42021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34818821:34819488:-1 gene:gene48408 transcript:rna48408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MKDTTLANYFIPKGSHVYLRRQGLGINPRVWKEPLKFNPERHLKIDGSNLSLADPSLDLITFGTGRRGCSGVMLGTSMTIMLFARLIHGFTWSLPPNQSDIDLSESHGGTTKAKPLVAVAEPRLEPNIYGLY >RHN57959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42750742:42751864:1 gene:gene33554 transcript:rna33554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase (ATP-hydrolyzing) MLRVLVIVQKLLQENKHSSKRDIYYMHPSVFLDQSVVDHAINDICVLMQCSRHNLNVVSAGNGYVMRSHSIMENALFYDVYICIKQIIATHTVNPWP >RHN60914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31565778:31566744:-1 gene:gene23309 transcript:rna23309 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MAPKGEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKEGGSAAGDKKKRSKKNVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >RHN70332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50696614:50698815:-1 gene:gene18902 transcript:rna18902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin METRASKKRANTNQQPPHVIVPKRQRVVLGEFPDLNLPDFDYQPTQKLQCPKNPNLNKSISTLPFLNSNLDKPYVSDIFDYLQTMEKKRRPMFGYMENVQRGITSNMRGTLVDWLVEVADEYTLLPETLHLSVSYIDRFLSNQPIIRSKLQLLGVSSMLIASKYEEITPPKAIDFCQITDNTYDLDEVLKMEADILKTLNFEMGNPNVITFLKSFVGIASENQKTSNLQFEYLCNYLADISLLDYECIRFMPSIVAASVIFLARFILRPGVHPWTSSLCECLGYKSAELEECVMILHDLYLSRRAASFKAVREKYKQQKFKYVANLPSSPEIPNYYFEED >RHN53931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5421549:5427827:1 gene:gene28897 transcript:rna28897 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MCA5 MENYLQENFGGVKSKNSSEEALRRWRDVCGFVKNPKRRFRFTANLDKRGEAAAMRRTNQEKLRVAVLVSKAAFQFIQGAKPSDYKVPEEVKDAGFQICGDELGSIVEGHDVKKLKYHGKIDGIAEKLSTSATEGISNDADLLDKRQQIYGINKFTESQAKSFWVFVWEALQDMTLMILGVCALVSLIVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKISIQVTRNGYRQKMSIYELLPGDIVHLAIGDQVPADGLFVSGFSLLIDESSLTGESEPVVVNTENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAIVTFAVLVQGLVSLKLQQENFWNWNGDDALEMLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRNLAACETMGSATTICSDKTGTLTTNHMTVVKTCICMKSKEVSNKTSSLCSELPESVVKLLQQSIFNNTGGEVVVNKQGKHEILGTPTETAILEFGLSLGGDFQGERQACKLVKVEPFNSTKKRMGAVVELPSGGLRAHCKGASEIVLAACDKVLNSNGEVVPLDEESTNHLTNTINQFANEALRTLCLAYMELENGFSAEDTIPVTGYTCIGVVGIKDPVRPGVKESVALCRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPEFREKSLEELLELIPKIQVMARSSPLDKHTLVRHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNIVALIVNFTSACLTGTAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRAPVGRKGNFISNVMWRNILGQSLYQFMVIWFLQSKGKTIFSLDGPNSDLVLNTLIFNAFVFCQVFNEINSREMEKINVFKGILDNYVFVGVISATIFFQIIIVEYLGTFANTTPLTLVQWFFCLFVGFMGMPIAARLKKIPV >RHN62803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45942228:45945446:1 gene:gene25412 transcript:rna25412 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MVTFSVGGCDDGEGPSNPNQKRRRVDAGEEVAAATTTREEEEEEENQQPQEGFEMENPPIETLENDANERNSVGSNAGQQNNDLSKKVSAIISDPDVLDCFICSEPLAVPIYQCENGHIACSKCCGELRNKCPMCSMPIGYNRCRAVEKLLESIKISCPNAKYGCKDMFSCSMKSSHEKECIYIPCKCPHTGCGFLASSKELALHFSHRHAGFGIQFTYDKFISVSLNTRQKQIVLLDQNDARLFIVHNHIVQHGNMVHISCMGPKAITDTHYDVLARSQGSTLILQSSTKTIQDNNGDAPTAGFLVIPSDHFGFGQLKLDIRIKSH >RHN44555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6150198:6151867:-1 gene:gene38689 transcript:rna38689 gene_biotype:protein_coding transcript_biotype:protein_coding MVANCCNWQRIYQKRCQVFLSTFMYEQNIICNKIEVKVVTSHSRYLGLPVIFGRSKKEVFVFVQDRLQKKVKGWKEKYLSNAGKETLFRAVALAILSYIMSCYKIREGCCANIEGMLSKF >RHN69746.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45998809:45999792:-1 gene:gene18258 transcript:rna18258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M20 MHACGDDAHATMLLGAAKLLNRRKNKLKGTVRLLFQPAEKELEPRGASQERCK >RHN49520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55349187:55351438:-1 gene:gene44361 transcript:rna44361 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MNDEFRIGMHILAVDDDRTCLKILGRLLESCQYHVTTAPSARMALNLLRENKNKYDLVMSNVHMPDMDGFKLLELVGLEMDLPVIMFSENDDPKLVMKAIDVGACDYLLKPVQLKEVKMIWQHVIRKKKTSKRSNDDVPNSDSGNGIDSAVTKSSDNKIEKPSRKRKDGNEDDVEEENEYDHENDGPTMPKKPRFKWSRDLHHKFVVAVNQFGVEKARPKKILNLMNIENLTKEHVASHLQKYRQKLKSSSYGKNNEANIVASGSDTSHSRIDTPPSVNIHGLATLDLNISLN >RHN53953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5705929:5714149:-1 gene:gene28928 transcript:rna28928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative procollagen-proline dioxygenase MKAKTVKANWSLRTKKFSLPSVFLLCIFFFLAGFFGFSFFHHSQEDEYGVRVRLLEKSVKDETEHRLLHAGKSGDNFITSIPFQVLSWNPRALYFPNFASAEQCDRIIEMAKAELSPSRLMLREGETEEGTKGIRTSSGMFISASEDKTGLLEVIDEKIARAAKIPKTHGGVTFLLCPPTAHLLINPAYNILRYKVGQKYNSHYDAFNPAEYGPQESQRVASFLLYLTDVPEGGETMFPFENGSNMDSSYNFEDCIGLKIKPLKGDGLLFYSLFPNGTIDPTSLHGSCPVIKGEKWVATKWIREQLHYDV >RHN39147.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4470924:4475496:-1 gene:gene45146 transcript:rna45146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexokinase MGKVVACATVIGATAACAVATVLIHRYVKKSKRWGKAIAILKEFEEKCATPTLKLKQVADAMTVEMHAGLASDGGSKLKMLISFVDNLPTGNEEGLFYALDLGGTNFRVLRVQLGGKDGVVGQEFTEVSIPPNLMVGTSHELFDYIAAELSKFVNEESEDFKVPPGRKRELGFTFSFPVKQTSISSGDLINWTKGFKIDDAVGQDVVAELRKAIERQGLDMNITALVNDTVGTLAGGRHTNKDVIAAVILGTGTNAAYVESAQAIPKWHGDLPKSGEMVINMEWGNFRSSHLPLTEYDYSLDAESLNPGEQTFEKIISGMYLGEIVRRVLYKMAEEAWFFGETVPSKLKVPFILRTPDMSAMHHDSSADLNVVKTKLKEILEISDTSLEVRKVVVALCNIVATRGARLSAAGIVGILKKLGKDTITNGEGQKNVIAMDGGLYEHYTEYRKCLENTLKELLGEGVSDSVIVEHSNDGSGTGAALLAASHSFYLDG >RHN75095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39329099:39332700:1 gene:gene11252 transcript:rna11252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucuronosyltransferase MMKMGILNMEKKWLYPLIATSAFCMLFLATSFNFGLVSSIHSINSLFFFLPSHSNRNQTSSLAFVEKKISPSSAPAKPSTPRFAYLISGSKGDLEKLWRTLHALYHPLNHYVVHLDLEAPLEERLELASRIEKQHIFNEVGNVFVISKANMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLVTQDDLLYSFSSLDRSLNFIEHTSRLGWKLDKRAMPIIVDPGLYQSTKQDVFWVNPKRALPTAFKLFTGSAWMVLSRDFVEFVVWGWDNLPRTLLMYYANFLSSPEGYFQTVACNVPELSKTVVNTDLHYISWDVPPKQHPHILNINDTDKMIASGAAFARKFKQDDPAMDLIDKKLLKKRHGLFTLGGWCSGKPKCTEVGNMYKLKPGPGAQRLQKLIAGLTLKAKSGQDQCK >RHN41880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33813189:33815667:-1 gene:gene48244 transcript:rna48244 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGPVFLGPKTGYNNADHIANGFLSAIFRPDTFDPALLWRGRLDRIVEFGFLT >RHN69566.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44475861:44478400:-1 gene:gene18049 transcript:rna18049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MESKIKTLEVLPFIIMVIMEGCTIGLTILAKTVITNGMSPFVFIVYTNALATIILFPTSFLLNPQNRKEKPLFTFSLFMRFLFLGFTGITMTQTLLFLGLSYSSPILVCAMSHLIPTFGFLLNIIHRKVELNLRNSGIQVQIIGMMVSLMGAIVAEFFKGPLIRPSSHNLKYTKQLFFFSSTPEFWVFGGILLAAASFSVSIANFIQKETVKQYQEPMKMVSYYTLLGTIFSAIVSCIFERDLNAWMLKRNMELILIVLTAIFGGVIRPNIQVWLSRMKGPLYVPQFKPFGIAFATTFGVCFFPNSLHYGSVIGASVIGMGYYTILYGEFKGDEDEKSSDENSDSLDKKIPLLQEDMQV >RHN38898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2722352:2722879:1 gene:gene44874 transcript:rna44874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-mRNA-processing-splicing factor 8, U6-snRNA-binding protein MGPRDSTHDGKLWNLNNYRTDVIQALGGIEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQILNCRFTLWWSPTINRANVYVGFQVQLDLTAIQSSLVAEDP >RHN46461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31918875:31921754:-1 gene:gene40949 transcript:rna40949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SGNH hydrolase-type esterase domain-containing protein MAGPMRPQIVLFGSSIIQMSFDNGGWGAILANLYARKADIVLRGYSGWNSRRALEVLDEVFPKDAYVQPSLVIIYFGGNDSIHPHPSGLGPHVPIEEYKENMRKIANYLKSLSDHIRLIFLTSPPISEVQIKKKLSATQTGRTNEHCGIYARALLELCDEMNLKVVNLWSAIQEREDWLDVSFTDGVHLSAEGSKVVLKEILRVLREADWKPSLHWMSLPTEYAEDSPYYPPSADGTTTINVSYSIPRRHLQWDL >RHN52320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33879685:33881301:-1 gene:gene36983 transcript:rna36983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MSIRWPRVLNPTYLTQIIRTQKNPLKALEIFNEAKSKYPNYSHNGPVYATMINILGTSGRLKEMSDLIEQMKEDSCEC >RHN54646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11017790:11020893:-1 gene:gene29716 transcript:rna29716 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative formin, FH2 domain-containing protein MLGKCEQFFMELMKVPRIESKLRVFAFKITFSGQVSDLRKNLNTINDATREVKESVKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKLLAEKMPELIDFDKDLVHLEAASKIQLKALAEEMQAVHKGLEKVEQELTASENDGSISSGFRKVLKNFLDFAEADVRSLISLYADVGRNADSLSKYFGEDPARCPFEQVTQILVVFVKTFNKSREENERLADAEKKKLEKEAMKEKTANNTHSRKDVVR >RHN69224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41905076:41911918:-1 gene:gene17665 transcript:rna17665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase response regulator and transcription factor RR-A-type family MSLLHVIGFSLKVGHLLLVLCCWIVSLIYINWFISMDTKMGFLGDGGKMWLKWWEKILGSTCKIHQQYYQCIGSKRVRRELWRKLLLAWVLGWFIASLWIFCGINLHNTEKRKETLASMCDERARMLQDQFNVSMNHIQAMSILISTFHHAKNPSAIDQKTFAKYTERTAFERPLTSGVAYAVRVLQSEREQFEKQQGWSIKRMDTMEQNPVHEDDYVPDELEPSPIHEEYAPVIFAQDTISHVISIDVLSGKEDRENVLRARESGKGVLTAPFRLLKTNRLGVILTFAVYKRDLPSNATPNERIQATDGYLGGVFEIESLVEKLLQQLASKQTVLVNVYDTTNQTHSIPMYGSDVSGDEFYHVSFLNFGDPFRKHEMHCRFKQKPPFPRLATGTSIGILVIALLVGHIFHATVSRIAKVEDDYQEMMVLKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMMNMLMDTDLDVTQQEYVRTAQGSGKALVSIINEVLDQAKIESGKIELEAVLFDIRSIMDDVLSLFSEKSQGKGVELAVYVSDQVPQQLIGDPGRFRQIITNLMGNSIKFTDKGHIFVTIHLVEEVFHSIEVERESTSKDAEHTLSGFPVADGRRSWEGFRAFSHEGPLGSFSSTSSNDLISLIVSVEDTGDGIPLESQPMIFTPFMQVGPSISRKHGGTGIGLSISKCLVGLMNGEIGFVSEPKIGSTFTFTAVFTNACPNSNELKTQQINNQPHPATSEFNGMAALVIDPRPVRAEVSRYHIQRLGVRVEIVSDLKQGLSTVTDGDASVNMILIEQEVWDRDSSISSHFVNNIRKVVEIDKGKGIPPKLFILVNSSSSFRAGSTASCLHNPTVVTKPLRASMLAASLQRAMGVGNKGNPRNGEHQGLSLKHLLSGRKILIVDDNSVNRTVAAGALKKYGAGVVCVSSGIEAITMLRPPHQFDACFMDIQMPEMDGFEATRRIREIENSVKDRELFVHLPILAMTADVMQATHQECSKCGMDGYVSKPFEAEQLYREVSKFFQSS >RHN54427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9456816:9456992:-1 gene:gene29465 transcript:rna29465 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTPFLGGDGLGFAGWCSGLCSGHYVAAVLASGFIPLMRGPYDGGVAARNGGWWWG >RHN43886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49222437:49225558:1 gene:gene50521 transcript:rna50521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heme peroxidase MAPSLFSTPFIHPGLQPLVAKMTLFDTILFYVIHFVDKIVLWHKLPVLLGAIYLGIRRHLHFRYNLLHVGGVSGNNYDTQHYAYRTPAGTCNHPDDHLIGSQGTIIGRNMPPTTLNYGLLDPHPAVVTSKLLARKSFIDTGKQFNMIACSWIQFMIHDWIDHLEDTEQVEIGVPDGYSSGCPLKTFKFFKTKKFQTGSSHMKFGFQNTRTPWWDGSVIYGNNEKGMGRVRTFKEGKLKISEDGLLEHDEKGIPVSGDVRNSWAGYSLLQALFIKEHNAVCDMLKEHYPDFDDEQLYRYARLVTSAVIAKIHTIDWTVELLKTDTLLASMRINWYGFLGKKFKDSFGNILGPELSGLVGLKEPRDHGVPYSLTEEFTSVYRMHALLPEELVLRNIKPTTGEDKCPSILEKVPMTEMIGKQGEKRLSKIGMEQMLVSMGHQPCGAITLWNFPTWLRNLIAHDIDGEERPDPVDIATMEVARYNEFRRNMLMIPISKWEDLTDDEEVNEALKEVYDDDVEKLDLIVGLHAEKKIKGFAISETAFFIFVIMASRRLEADRFFTTNFNSKTYTNQGFEWVNKTESLKDVIDRHFPEMTKNWMTSSSAFSVWDSMPDPKKYIPLYLR >RHN62898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46613569:46614048:-1 gene:gene25531 transcript:rna25531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription repressor PLATZ family MESCFFACLLVLVRRYVYHDVVQLGDLEKLIDCSNIQAYTINGAKVIFLNERVQSRSCKGTTTAANANSFCCTCDRILQDPFHFCSLSCKVIYA >RHN64189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57159186:57168876:1 gene:gene26976 transcript:rna26976 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate, phosphate dikinase MSSIVRGIVIRNTSSDNRLFKGNKNDVIGRRSTKVQWKELKLRLTSTWKAGRTSTYQPLIRAQTILTPTTPPTTKKRVFTFGKGKSEGNKAMKSLLGGKGANLAEMATIGLSVPPGLTISTEACQEYQENVKNLPNGLWEEILEGLNFVQNEMGAFLGNPSKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVAGLASKSGERFAYDSYRRFLDMFGGVVLDIPHSLFEEKLEKLKYSKGVKHDTDLTANDLKDLVEQYKSVYLEAKGEKFPSDPKKQLELAVKAVFNSWDSPRANKYRSINQITGLVGTAVNIQSMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLINAQGEDVVAGIRTPEDLETMKTCMPDAYKELEENCKILENHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAIKIAVDMVNEGLVDIRSAIKMVEPQHLDQLLHPQFEDPSKYKDKVVATGLPASPGAAVGQVVFTAETAEQWHAQGKSAILVRTETSPEDVGGMHSAVGILTARGGMTSHAAVVARGWGKCCVSGCSDIHVNDHEKVVVIGENVISEGEWISLNGSTGEVILGKQALSPPALSDDLGTFMSWADEIRNLKVLANADTPEDAIAARQNGAQGIGLCRTEHMFFASDERIKAVRMMILAITQEQRKAALDLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLNEIVGELTSQTGMKEEEIVSRIEKLFEVNPMLGFRGCRLGISYPELTEMQARAVFQAAVSVSSHGITVLPEIMVPLELRHQVSLIRNIAEKVFSEMGSSLSYKVGTMIEVPRAALVADEIANEADFFSFGTNDLTQMTFGYSRDDVSKFLPTYLSAGILQHDPFEVLDQKGVGQLIKICTEKGRAAKPNLKVGICGEHGGEPSSVAFFAKLGLDYVSCSPFRVPIARLAAAQVAA >RHN55854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24756497:24759116:1 gene:gene31130 transcript:rna31130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Tat binding protein 1-interacting MAPKSDSAEAIVLNFVNEQNRPLNTQNVADALQKFNLKKAAIQKALDSLEKGGKISFKEYGKQKIYVARQDQFEIPNNEELNQMKEKNANLQKQLEEQKKVIGEVEAEIKSLQSNLTMEQICEKEIDLRMQVQELEIKLNKLRGGVTLVRPEERVAVESMLSEKISQWRKRKRMFRDLWDTLTENSPKDPKEFKEELGLEYDEDVGVSLQSCSDLIPQGKKRPRGQ >RHN45278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14250555:14251171:1 gene:gene39523 transcript:rna39523 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRGCVLIWRPRQTEEKRERERDKLVYYYDDGERNHTTEERETRERELKDMCNEDLL >RHN68948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39797852:39798255:-1 gene:gene17371 transcript:rna17371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MWRTIRMCYIRISPYAYVDLWKICCIAFELSCGHLEDIDIKRFCIDDLLKCIADHGSQLRCMRLVNCCLITDKGFGKAMRKLPQLEKVDISYCCLTDVS >RHN64338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58312382:58316548:-1 gene:gene27146 transcript:rna27146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative importin-alpha, importin-beta-binding domain, importin subunit alpha MPLRPNSKNDSKPDSKADARRNRYKVAVDAEDGRRRREDNMVEIRKNKREESLQKKRREGLQNQQQLPVTFDSKFESLPALVAGVLSNYGSAQLEATTLFRKLLSVDRTPPIEEVIQSGVVPRFVEFLAREDSPLLQFEAAWTLTNIASGTSENTKVVIDHGAVPLFVTLLRSPSEDVREQAVWALGNVAGDSPKCRDLVLNHGALVSLLAQFNEHTRISMLRNATWTLSNFCRGKPPPAFELVKPALPALERLILSEDEEVLTDACWALSYLSDGTNDKIQEIIDAGVCGRLVQLLKHQSASVLIPVVRAVGNIVTGDDDMQTQLMINHGVLPYLLSLLTQNHKKSIKKESCWTISNITAGSKEQIQAVIDAGLIAPLVRLLQNAEFDIKKEAAWAITNATAGGDHEQVKYLADQGCIKPLCDLLNCSDARIIMVCLEGLENILTVGEEEKRRGNTEDDNLYFQMIDVSEGFDKIENLQSHDNQEIYEKAVKILETYWSNDEDEMLPSDQSGFNFGSQNLPSGGFNFR >RHN75094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39306911:39313191:-1 gene:gene11249 transcript:rna11249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton pump-interactor MSVEIVGFEMVQGPMDNGVEGDKPVALEKENGKLEKDVGAGETIKFGSHGDESAKVEVNDVADSNTPKDAAEEWPAPKQIHYFYFVRCRPYDDPSIKAKIDLLDKEISKKNQARFQITEALKAKRSEKSELISQIKILREDNRQYQSLFDEKMKEIEPLQQALGKLRNSNNAGRGGICQSEEELNKFINGLQYRIQHESIPLTEEKQILREIKQLEGTREKVIANSAMRTKLHDTIGPKDTIQDQVKLIGGDLDGVKKERQAIRSKIKQIDDVLKAIDIDIQSLQAELVAVTQKREQAFESIQKLRKQRDEGNSYFYQSRTLLTKARELAAKKDVAAIDELSQTEGEKFMALWNGGKAFRADYEKRILSSLDMRQLSRDGRIRNWDEKPLLEEPKPAAEPEALPKPVAKQPKEAPKPSPVETLPAQKETKSKGRDLAAIDDDYEFENPHKEAPAAKEPEIDPAKLKEMKREEEIAKAKLAAERKKKMAEKAAAKAALKAQKEAEKKLKVLEKKAKKKSGAVATPQEEQEAAEVEATEQEKVDDVVEAPAPVKEKVTKESSVRSRSRAKAPEAIPKAILKRKKSNNDLLWTGIAVLLILMLLGLAYIYFV >RHN69482.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43755194:43762647:-1 gene:gene17960 transcript:rna17960 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKKAFSSFVKKGGRQMFTVELRPGETTIVSWKKLLKDATKPNGSASTSQQSRPEPFPGQPVEVEENDPAQPHRFSAVIEKIERLYMGNGSSDDEDLPDVPDDDQYDTEDSFIDDAELDEYFQVDNSKVKHDGFFVNRGKLERTDEPPVLPNQQPKKRRKKDTVKNPDENNNVLGSNKHVKVGKVESGKTTSIQAKNVCNSSQNLAVPGEHYENLKPQNQLDIYGSSSKKKTADTKPISVSSVSLKTSSDDFPAATSEAKDADKKIGAFQSKNTSGSFDATHQKYHEKGAHAQSKSQPVKPSKSIDGLENISRSKEKNGRRHQLPDLNLSVGKRTTKATKSEYMHKKDGSSVRPKSSMLEKALGELEKMVAESRPPAAENPEADNTSQAVKRRLPREIKLKLAKVARLAASHGKVSKELINRLMSILGHLMQLRTLKRNLKTMISMGLSAKQDDDDRFQRIKKEVVDMIKIQAPTLESKQQQKAGASGDVQEFGPDGKPITKRNFSMDAALEDKICDLYDIFVDGLDENAGPQIRKLYAELAELWPTGYMDNHGIKRGICRAKERRRASHSKNKDQEKIKRKKLLASRQEDSVQLDSGSISSQQNQQEKLAPESTSHASTSTNKSVSTTSTAVRVPSPTNGVKQEKAKGSSSSSMDDVRVADGVLTKKAKRKPELELEGANCGPEKLVPLQEEERPRSQKQSSGLPTKSNLQPTSPPGLEQSS >RHN75738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44662451:44664990:-1 gene:gene11978 transcript:rna11978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MSLLLILNITLLLLLSSVSSTEFVYNRNFNSTNINLNGNATIQNSILTLTNQTFFSIGRAFFPQKIPTKPIKNSSNFLPFATSFIFSITPCKNFPVAHGFAFIFSPSMVINGAQTGNYLGLFNRTSSGNDYNHVFAVEFDDYRNEEFNEVNDNHVGVDLNSIISNYSEPAEFWGGGKNGDELEKLKLSSGENYQVWIEFREFEINVTMAPAGKRKPNRPLISKPINFSRVFVDEMYVGFSGSTGRMVDTCRILAWSFSHSNFSIGDALNTKNLPTYVNPKKFIYKSKAFVISVIFGSLLVFGCCILVFFILLRKKKEEKEEEFEDWELEYWPHKISYKEICDATSGFSEENVIGIGTSGKVYKGVLKGGDEVAVKRFNHDTQHGMRGFLAEISSLGRLKHRNLLGFKGWSKEKRGKLILVFDYMENESLDKRIFECEETKLLNWEERIRVLENVASGILYLHEGWDFEVLHRDIKASNVLLGKDMNARIGDFGLARLQHQERVADTTSVIGTLGYMAPELVKVGKPSTATDVYSFGILVLEVVCGRRPIVTDEPPLVELVFSLMEKGELSSAIDERLKGQMECKYNTVVAERLLHLGLLCASVDPGVRPTMRQVVKALEGVKCTECNEECVHVSLIGKINLAASWSKNSYDSARLNSPTLADILPFKYFSGDCLSVSYASMEPLSDSLSEGR >RHN61598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36709032:36709633:-1 gene:gene24077 transcript:rna24077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MVVVHGLQGFASTLSPFSCDVERMTSLSFPFHPDHRSSAHVHTPGATVLPAIMRLMLRDTTLRLRNNGFSILSLAMKVKYEELITLNNMTIFAVDDLSIFSGSQSYISNVRFHIIPNRYLSIADLEKLPVGTALPTLERGQPLLITTSGGGVTLAPMRINYVRVKVADVIRNVKIVVHSVR >RHN45489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21384113:21385526:-1 gene:gene39835 transcript:rna39835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MWPHVEVEDMLPPEFKKGPGRPKKLRFRELGEGGGRFRRLVVAYRCITCDKFEHNARSCKENQNPTALKRKRKPAKNATTSEAEETSAPVDDDDDAFFDQVIITNADQLDVVVNNVVSQPSNASQSSSVSQPSNVYQSSNVSKQPKTKQTKHPKKPKAMSVPQLKPTAMSVPNVPNAMSVPKPKPKPATTLKSTHLKKRCSQRLKTVKRLKNIDGPGCSADQPMTIDNEEEAGVMTQESAAIVPAKVPVLGACLKLMKPWGELSTRSTQ >RHN74233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:30608769:30612281:-1 gene:gene10259 transcript:rna10259 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNQRIPIVHLYGAWRCVYHLYGLHDNVKELTLMVEPSLLE >RHN45751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25251570:25251910:1 gene:gene40143 transcript:rna40143 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYVFDFWVVLCFILCSMRVMLKKEEKDEEKEENNYYVFMRKRLIWVSNLIIRTRKGEKKNRKKIRYDGSLEYTMDQESNGYNHIKNTRHQKTDGDN >RHN58586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3018192:3026249:1 gene:gene20509 transcript:rna20509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MDGSDLYKASSSLRGNTSTFFSRSSRREEDDEEALKWAAIEKLPTYNRLKKGLLASSHGVANEIIDIDKLGVQERQKLLNRLIKAAEEDNEKFLLKLKERIDRVGIEIPTIEVRFEHLTIEAEAYVGSRALPSFTNFTIGAVEGLLAFLGIISHKKKHMTILKDVSGIVKPGRMALLLGPPSSGKTTLLLALTGKLDKALKESGRVTYNGYGMDEFVPQRTAAYISQHDVHIGEMTVRETLAFSARCQGVGSRYDLLSELCRREKEAKIIPDPDIDVYMKATSTEGQEESLITDYMLKILGLDICADTMVGDEMHRGISGGQRKRLTTGEMLVGPSKVLFMDEISTGLDSSTAFQIVKSLRQYVYILHGTALISLLQPAPETYELFDDIILLSDGEIVYQGPRENVLEFFESIGFKCPERKGVADFLQEVTSRKDQEQYWMHRDEPYRFVTVTQFAEAFKSFHVGKTIKEELEIPFDKSNNHPAALTTKKYGVNKKELLKANISREFLLMKRNSFIYLFKMVQVSIMATITMTLFLRTHMHKETVIDGQIHFGALYFSLIMLMFNGTIELTMTIVKLPTFFKQRDHLFYPSWAYAIPSWIVRAPVTLVDASIWVFLTYYVIGFDPNIWRFLKMYLLLILLNQTASGLFRAIAAFCRDMIIANITGFYTLLIVFTLGGFVLAKDDIKGWWIWGYWISPLMYAQNAIMVNEFLGNSWNKITPYSNMTLGILSLKSRGFFTHAYWYWIGVGALIGFIFLTNFLYIIALTYLDQNSRRSTAVADSSRGKERGMVLPFEPYSITFDEIVYSVDVPQEMKDQGVIEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIDGSIKISGYPKKQETFARISGYCEQNDIHSPQVTVYESLLYSAWLRLPAEVDSNTRKMFIEEVMELVELNPLRNSLVGLPGVSGLSTEQRKRLTISVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELFLLKSGGREIYAGPLGRYSNQLIKYFESIEGVSKINDGYNPATWMLEVTSPAQEVALGADFHEIYKNSELYRRNKQLIEELGKPALGSKDLYFPSQYSQSFLVQCLACLWKQRWSYWRNPLYTAVRFYFATFIALMFGTMFWDLGRKYTRGLDLSNAMGSMYTAVFFIGVQNSASVQPVVAVERTVFYRERAAGMYSALPYALAQVLIELPYILAQTLSYGVIVYAMIGFEWTVPKFFWYMFFMYFTFCYFTFYGMMTVAVTPNYHLAAVLASAFYGSWNLFSGFVVPRPRMPVWWRWYYWANPVAWSLYGLFASQFGNITDIMEMEDVTVQEYIRNYYGIKHDFVGVSAAVVFGIAIAFAFTFAVSIKVFNFQHR >RHN54064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6630314:6635405:-1 gene:gene29043 transcript:rna29043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase kinase kinase STE-STE11 family MRWLPTLIFNPSSSSSSSSSSATSPSTRKSSSASSSSPSSASSEPSDSRRSSRGTWFFGNGKKSTRSRKLRHVDDANVEYSTAPIQRSPSARGYIRSNTSSSVAPQPLPLPESPGGLLRQRDADCRLPSPLPKEASGPSLSPREASGRVTESDVNVGVGSLPVGFKMRSVFASKETRRNAENAETRPPGSRSVRQDTSGDAAPGFRGNNFRISVPPRSTSTSNTPFTSPTISPYDTKQDDFVPYYYVSPKANQFWSAPEMPTSTGQPPPAFFDLSASGIDGASSPHQSPGGKNPKSPARSSSPLPRLSLDYPTAARRERLSLEYPTTAPPPSVHPLPLPPWPGTSLPSPSANATYSQPGVAKTESLSMKSQWQKGKLIGRGTFGSVYVATNRETGALCAMKEADIFFDDPKSAESIKQLEQEIKVLSHLQHPNIVQYYGSEIIEDKFYIYLEFIHPGSINKYVRDHCGAITESVVRNFTRHILSGLAYLHSKKTIHRDIKGANLLVDSSGVVKLADFGMAKHLTGHSADLSLKGSPYWMAPELMQAVIHKDNSSDLAFAIDIWSLGCTIIEMFTGKPPWSEYEGAAAMFKVMKDTPPIPETLSTEGKDFLRLCFVRNPAERPTASMLLEHRFLKNVQHSDPSPSSHLYNGTTLMDKPHSPRGLSENKPDQFSIVSAQIGKGKSATESGIGMSHSLYS >RHN74354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32134374:32134821:-1 gene:gene10399 transcript:rna10399 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAQPKESKTATIYESIEGGENRTRTDLFSKEDQGGIQVDKLQEKVHDPAGKGGPVFGAGKDENKQDLGVTGTGTG >RHN46552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32682354:32693062:1 gene:gene41050 transcript:rna41050 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleolar complex-associated protein MGKKQKQQPKIVLPPELPPEIHDDEVEYSDDDVKFVNENREFASLISSLDTKSITKHVTRVADAKDDALEKLYEQRRMKKDAKKETEETGLQVDRVDALPVKSLDGEVYYRTATRTAPVNGPRKEETEEDDNEDKGFVKLTKAEKRAKLKKSRKEGKKQGKEVAKEEVEEAPQSTVLDEVKEDLKAEENFESKKCKLAELGNALITDPESNIKFLKDMVQLSKDKDLTIVKLGLLSLLAVFRDIIPGYRIRLPTEKEQEMKVSKTVRKMRFYESTLLSAYKAYLQRLIALEKLPSFQLVAVQCICSLLDKNPHFNFRETLLDVTVRNISSSNEAIRKFCCSTIKSLFTNEGKHGGEATVEAVRLISYQVKDHNCQLHPDSIEVFLSLSFDEDLARSEQMEKDKKFKDKKFGKKRKNTEASNQLPENDRKKSRQESISKTREEVEADYKAASFSLDVMEKRQMQTKTLSAMFEIYFRILKHTMQSIVVRPETNPGALSAAVGMEPHPLLDPCLKGLAKFSHLIDLDFLGDLMNHLKILAAGGSNLSNTLEKLPKCLTVSERLQCCIVAFKVMRTNLDALNVDLQDFTVHLYNLVLEYRPGRDQGEVLAEALKIMLCDDRQHDMQKAAAFIKRLATLSLSVGSADSMAALVTVKHLLLKNVKCRNLLENDTGGGSVSGTIPKYLPYSTDPNLSGALASVLWELSLLSKHYHPAISTMATGLSSMSTEQNQVFLSKSSPLLAFKDMSIDQELSFEQSGSIKLNNKRKRSHGNATSDSIGSTTVTSSFNEDDLRKKFSSHFMVLHDIKENERLRSKLDKTAKSLQLYEQYKIQKKKRSKPSK >RHN55505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19095740:19096357:-1 gene:gene30696 transcript:rna30696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MSPTPMIHVALLPSSGMGHLTPFLRLASLLLQHQCHVTLITPQPTVTKAEEDFISKFHSSFPQVNRVQFHLLPFHDNAAATTNTSVDPFYQQFASIRCSSHLLSPLLASLSPPLSSFIYDMTLISPSLPIAETLCVTHYILFTSSATMFSFFSYFPSLAAATTSFSEVDVVEIPGVSSMPRSSIPPLYKLKITEDLSHKSNDYTK >RHN45095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11714883:11719095:-1 gene:gene39298 transcript:rna39298 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine aminopeptidase, S33, alpha/Beta hydrolase MGGVTSSMASKFAFFPPNPPSYKLIKDDLTGLLLLTPYPHRENVEIMKLSTRRGTEIVAVYVRHPMATSTLLYSHGNAADLGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPSEQNTYSDIEAVYKCLEESFGAKQEDIILYGQSVGSGPTLDLAARLPQLRAVVLHSPILSGLRVMYPVKRSYWFDIYKNIDKIPLVNCPVLIVHGTSDEVVDCSHGKQLWELCKEKYEPLWLKGGNHCDLELFPEYIRHLKKFITTVEKSPSQRYSFRRSTDQFEQPRKSTDKFEVSRKSTDRREKPRKSTDKPEKVKNSSSSNGGDMLEKLRMTFDHKERSRRSVDCHEKSRKSVDHQLEKGRKSVDRLDRIRTG >RHN57909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42524832:42526759:1 gene:gene33500 transcript:rna33500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MELQNPFSNIIFMLSFLILLVLFKIVQRWSFNNSTTKLPPGPWKLPLIGNIHQISGSSPPHHLFKKLAEKYGPLMHLKLGEVPYVVVSSPEMAKEIMKTHDITFCDRPNVLLPRVFTYNARDIAFSTYGELWRQLRKICVVELLSAKRVQSFSFIREEEVSDLVKSISANEGSIVNLSKSIFSMTYGIVARSAFGKKNRHQQLFKSTIEEALGLLGEFCIADLYPSIKILQKVSRVKTRVERLQGEIDRILQDIINDHRNNHSKTSKDEDLVDVLLKVQHENVHSQQPLTDENIKSVIQDLFIAGSETSSGIVLWAMSEMIKNPIVMEEAQVEVRRVFDKKGYVDETELQQLTYLKCVIKETFRLHPTVPLLVPRESRERCEINGYEIPAKTRVAVNVWAIGRDPKYWVEAESFKPERFVNSSIDFKGTDFELIPFGAGRRMCPGIAFALPNVELPLAKLLYHFDWKLPNGMSHQELDMTESFGLTVGKKHDVCLIPITRRP >RHN81136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43573584:43575057:1 gene:gene5136 transcript:rna5136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock factor binding 1 MGSRINELEQSINDLRAEMGVESSPSPAAPEKPKEEESKKEEGSD >RHN50451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7225202:7227800:-1 gene:gene34734 transcript:rna34734 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDWSKLPTELLNLISQRIDNEVDLIRFQSICSTWRASSVPNHHHILPFKFPLLQTPFFSHQIETLINIDLPFGYLSKHNFFLIKPPQQQQQQTLLRPWLIRVCQNTRGQTQLFHPLFHHYPLPRIRLVLDFNKLSVLHLGSNNFIKDHDIKTDNGKYRFPIKVVAVTCHGKKPLVAVAPAFPPQPLLLKCGDGKWKVILDMSTGFGDICLFKGWPYVVDKIGKTVMVGPDSSVHLVAEPLVGRGNKKFLAESKGDLLLADVYDPDLNDSVWIDLFKLNEKEKKWVKLTSLGDRVLFLGEVCSFSVSASDLCVAKGNCVIFSYSIICSSKSESCILDLDQGRHSPLSDYPEYSNLFWPPPKWI >RHN61276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34391803:34400354:1 gene:gene23719 transcript:rna23719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB/SANT family MEEKRPPSTVDTPAPEPTPSRRRAGGNKRKSGSLNASNSASTSSKRITREKASPLHHPPPHNGPLTRARQIPNNSSAAANSSTAGGSASAPAAVKHAPQTQALVVAAEQLKKKESEWESMEAEIEAEFKAIRSRDANAHVVPTHCGWFSWSDIHSIEKRMMPSFFNGISENRTPDKYMEIRNWIMKKFHSNPNIQIELKDLSELDIGDSDARQEIMEFLDYWGLINFHPFPSTDSAVASTGDDGEAEKNSLLEKLYHFETLQSCPPAVQKTGLITPAMTSGLFPEPAIAEELVKQEGPAVEMLEYHCNSCSGDCSRKRYHCQKQADFDLCTDCFNNRKFGTGMSPLDFILMEPAEAAGVSSGKWTDQETLLLLEALELYKENWTEIAEHVGTKSKAQCILHFVQMPIEDAFVDCDDDVDAGSKETADPAATNNNLPMDEDKAKDASEVIENDISDSIKGHDETSQAEDVKVKDNQEETPKLQDGSDEKTSEGTPKLEDDNKVKLGEEVGDDCVLNALKEAFAAVGYSPEPEGPSSFAEVGNPVMALAAFLAQLVGSDLAVASAHNYIKSLSGNAPSTEIASRCCFVLEDPPDDKKDTATSERDSKSEGDQTDKNVQQDAAMLNDKDLEKDHQKTKIASDASEDKIHQASTDGGISEKPISSEGEAMNNHESGLDNCNDPSISKAPNDQAQGTLHNSSGSTTKAEIPPSSEEVQERTSNEEPGHPIEEQKEGSVSDSHPSEKNEIQQSIKSNLPVELPKPAETPKSDDMVSDSMPSDTNKPQKQLSTNAVSESQKTTDSAMDVDVVSNSLPSKIDSQPLTSSQDNETHKDVDMMPSSHPIKSSVGAENGAIAGAVEDCAGNGMEVKNDGSKTKQDSSFEKVKRAAVSTLAAAAVKAKVLANQEEDQIRELTSALIEKQLHKLETKLAFFNDMEHLVMRVKELLDRSRHKLYHERAMIISSRLGVPASSSRGVPPSIPTNRIPMNFANSLQRPQFNMNPQGPLISRPGSTAATTLPNPLMSATAAGSSVRPSSQENLSSVGTE >RHN71272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57797526:57797798:1 gene:gene19931 transcript:rna19931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mediator of RNA polymerase II transcription subunit 32 MDSVVDSLKNAYQDFVDAAATVLEASNISGALDTAATDTALKSFKQKWELFKVACDQAEEYVQSVKQRVESESLVVDAEMLLESIEKLHN >RHN61261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34277191:34277881:-1 gene:gene23702 transcript:rna23702 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPATVRNDPAGVRGAGCVPGSVFQKMGWRRKDKAAATMVVVRVEIERMKEKAVESRGWSGGRRRWRRDGRCGGGENVCCRH >RHN63886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54786362:54791371:1 gene:gene26641 transcript:rna26641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase MARCH MLGEVQLQPPPIQQNPSDSDPLLAHQDEVEDDDSHGSGNSNEIKDQEDIEAGSLPCCRICLESDSDPEDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETYEDNSWRKIKFRLFVARDVFLVFLAVQTVIAAIGGFAYIMDKDGNFRNSFDDGWDRILSRHPIPFYYCIGVVAFFVLIGFFGLILHCSSLNSNDPRMAGCQNCCYGWGILDCFPASMEACFALVVVFVVIFAILGVAYGFLASTMAIQRIWQRHYHILTKRELTKEYIVEDLQGSYFPPKIDPEHESRLKMLKLL >RHN49479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55086984:55087830:-1 gene:gene44316 transcript:rna44316 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MTLNSRSNNDFTPTDDELIRSFLYNRIHNNPVPNYITILDYELFGIVKNPWEIWEEFAPSHSYCGKDLYFFTTLKKKSATSKRLIRTIGSGTWEGEDTGKGIVAKETNKVLGIKKRFRFGKSNTFHDGAWILHEYNLDKSLINNTLANNYVLCRFRKNLKINQHQSNSHLMNSIGIPRINVELDGQGKTVVPGHAENDISVSYHTIFRATNIYFF >RHN39110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4179146:4179661:1 gene:gene45106 transcript:rna45106 gene_biotype:protein_coding transcript_biotype:protein_coding MARVKERLNNNTITKNFTDVVVQIILTLILFSKVTNATCSLWPNGLYCFGSPYYTVTFENHLSKDDPTYDMKKNKMTITCYADAGAEHTWPNPTGCFGFCDNGVQRTYRCVVTLKKSSWRKEFIAFGDFCNDCKKEVDGCQWQIRKDHVFLYSPTKKKYVQYEYLPGQHLP >RHN78915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19976869:19981790:1 gene:gene2583 transcript:rna2583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lipoyl synthase MFHQSLLQPCSFSFSSNPFHKTTKPSSFTFTLRCQANDPSLSHSEVVVSPQQQPLSVSAMSKLMESENKNGPYPGGLGPFTGRDPNVKKPAWLRQKAPQGERFSQIKESISQLKLNTVCEEAQCPNIGECWNGGDDGIATATIMVLGDTCTRGCRFCAVKTSINPAPPDPMEPENTAKAIASWGVDYIVITSVDRDDIPDGGSGHFAQTVKAMKNIKPEIMVECLTSDFRGDLKAVETLVHSGLDVFAHNIETVKRLQRIVRDPRAGYEQSLAVLNHAKQSKEGMITKSSIMLGLGESDDEVKETMMDLRAINVDILTLGQYLQPTPLHLTVKEYVTPEKFDFWKEYGESIGFRYVASGPLVRSSYRAGELFVQTMVRESAKNADGSLL >RHN51782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24806365:24806802:-1 gene:gene36300 transcript:rna36300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MKFREIDTQEEFEEILHKIKQEPFDCSKKDNCRCDDPADIEYDSTRTWVKYKPNIPKTPKGFKRISVLRDDYSKLDSYYITPTGKQLRSRNEIAAYLKDHPQPNGVSALDFDFSSPKVMQDTIPDIIVKQKDSANKKVKIAKDEV >RHN46089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28406075:28412241:1 gene:gene40529 transcript:rna40529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-dolichol alpha-1,2-glucosyltransferase MGKLALAAIVSSWVVPITIMVNHIVPEPYMDEIFHIPQAQQYCKGNFGSWDPMITTPPGLYYLSLAHIASLFPGFFCVEASSSFTDMCSAAILRSINGVLAVICSIILYDIIIHLKPTLGDRKAMLHAVVLSLYPLHWFFTFLYYTDVASVTAVLAMYLASLKKNYWLSALVGAFAVVVRQTNIIWVLFVACIGIIDMSLMHGKGNAKTAKSDVSIEHDFTCATGTGAKGSNLKRRKSSVKAVNTAEHTLPKTNASSPSFCSDLVNEIWALLLTLWRMKWELLISFSPFLIVLMAFLLFVYWNGSIVLGAKEAHAVTPHFAQILYFSLVSVLAQAPMHFTFTHAVDLFQSFWRSRPLSYIQMFLALIAGIFSVHFFSVAHPYLLADNRHYPFYLWRKVIMAHWSIKYLLVPVYMYSWFSIIHMLGKVRSKLWILAYFLATAAVLVPAPLIEFRYYTIPFYFLVLHCNIRDDQQWLLTGMLYVGVNIFTMIMFLFRPFHWDHEPGIQRFIW >RHN75265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40755921:40757700:-1 gene:gene11440 transcript:rna11440 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxygen-evolving enhancer protein MAPLTNLHGVSKTLTPITCLVPNAQRTFKTGQVVVGFLGSKTKKISECESVNTTRRAAAISLVSLVLTGQFSEKISLAKDNGFWIDGPIPEPTVTNNIANEKTGTRSFIKKKLYMANIGAKGSVLRIKKYAFDLLAMADLIAQDTLNYVRRYLRLKSTFMYFDFDKVISAVPVEDKQQLTDVANKLFDNFEKLEEASRNKNLLETQACYKETEVMLKDVMDRMTIMYKTI >RHN40444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16358403:16358861:-1 gene:gene46595 transcript:rna46595 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIYNFINFCGGTHMNIIQAMQHNFPEQCLYFKSIVTVFLFLVHVTFFKPFGFDFKLRESVVVPTLPNGSFNGGKGGMILQISNLEAWFLILKMYSIGSSNSAGVGWYSGATGMDFNHGNTSPFDFGDRKFFLELMGFDDPILNDGGGVNL >RHN81599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47480914:47481868:1 gene:gene5669 transcript:rna5669 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTERLSTKKEEKTMTFYVYHPCYCLEEIFKTFLRCFGIESTQTKEEEDSSTSLLKPHACACASDSNVALKDRYYSSSSNKKSSQEEGVADPPPSTSTQTINLSSMGRGGPRRTPLTQGPPPQHN >RHN77634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8286076:8286423:1 gene:gene1107 transcript:rna1107 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDGDDEYEYAEAEFAEEKKFGLKFSSSHWLMAPPPPEPPDKVKVDASVSSRNIHFAAIKESLLQTIPVEFLSFTTTVNYHLMHSSKVPNYLNNYGLQTACQLFDVMPKFHLVK >RHN62166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41349545:41351008:-1 gene:gene24711 transcript:rna24711 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MDSPQCNPLHIIFFPFMSHNYMIPTIDMAKIFASKGVKATIVTTPLNKPFFSRSIEQFKIHFNNIDIQTIKFPCVEGGLPEGCENVDAIPTISLLPTFFTATKLLQQPFEELLLQQKPHCIVADMFFPWATDSATKFGIPRIVFHATGFFSLCVSQCLEQYEPFKNVSSETEEFFIPNLPGNIKMTRLQLPNIFTKNDAITQNIAKLYAEMRESEARSYGVIVNSFYELDGVYADYYREVLGKKEWHIGPFSVYNRDMDTSYCRKEPSINKHECLKWLDTKDINSIVYVCFGSKNHFLNSQLKEIAMGLEASGKDFVWVVKKNAEDREIKGLLEFEKRMKGKGLIIRGWSPQLLILQHKAIGAIVTHCGWNLTLESVAAGVPMITWPIAPEQFYNEKLVTDVLKIGVPVGAKTWDGKVSDKVHWDAVEKAVKKVMEGEEAKEMRNKAKVLAKMAKKAVEEGGSSYSQLNALIEELGSLCHHQHISKE >RHN60905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31511228:31515699:1 gene:gene23300 transcript:rna23300 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTSSSRGRPGTRETSPERTKVWTEPKPKTPRKVSVVYYLSRNGHLEHPHFMEVPLSSPHGLYLKDVIIRLNLLRGKGMPTMYSWSSKRSYKNGFVWHDLTESDFIYPTQGHDYILKGSEILEHETTARPPLEEESDSPVVITRRRNQSWSSIDLNEYRVYKSEPLGENIAADASTQTEEKRRRRRVLREEDEEQEEEEKEKNDEIENEGETENQNQSTELSREEISPPPSDSSPETLGTLMKVDGRLGLRSVPKENPTVESCPSGRMRASSVLLQLLSCGAVSFKEGSGASSGKDQRFSLVGHYKSRLPRGGGNHVGKEVGTLVEIPDLNRVRLEDKEYFSGSLIETKKVEAPAFKRSSSYNADSSRLQIMEHEGDMVRAKCIPRKSKTLLTKKEEGTSSSMDSVSRSQHGSKRFEGQQ >RHN77002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3111593:3111827:1 gene:gene409 transcript:rna409 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGFRLFVNIVSHSIGSVRIDNNGFLLFVFVIVVVESCCVDMSCCGNGSWRWKVWFDLNS >RHN52567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36692694:36693422:1 gene:gene37259 transcript:rna37259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteinase inhibitor I3, Kunitz legume MKNTLLAFFFLFTFLSSQPLLGAAEASNEQVVDTLGKKLRADANYYIIPVPIYKCGPYGKCRSSGSSLALASNGKTCPLDVVVVDRYQALPLTFIPVNPKKGVIRVSTDLNIKFSSRATCLHHSMVWKLDRFNVSKRQWFITIGGVAGNPGWETINNWFKIEKYGDAYKLVFCPSVVQSFKHMCKDVGVFVDENGNKRLALSDVPLKVKFQQA >RHN61233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34036341:34041230:-1 gene:gene23674 transcript:rna23674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 62 MEAFSVTSTTIPSSLTRREAAAVKPSGHVNLSKYSHFINYPFTTTPCKNRIRSNIIRAQVSGSTKSSTAEGIPEKKDSKDDNLVFVAGATGKVGSRTVRELIKLGFKVRAGVRSAQRAGSLVQSVKQLKLDGTSGGSEAVEKLEIVECDLEKPEQIKSALGNASTVICTIGASEKEIFDITGPYRIDYMATKNLVDAASVAKVNHFILVTSLGTNKFGFPAAILNLFWGVLCWKRKAEEALLASGLPYTIVRPGGMERPTDAYKETHNVTLSTEDTLFGGQVSNLQVAELMAAMAKNPELSYCKIVEVIAETTAPLTPAEKLLAKIPSQRPYIPSPKKVQEPDTAAVSSPAPSANVTEAVPSIAPQKETEQPEPVAEVPSITPQKETEQPKLVAKQPLSPYTAYEDLKPPSSPSPVKPSDKKQINISDAVPTPSSSDAPSSIPEINVISQTTTSSKGKESLSPFAAYPDLKPPSSPSPNAPTTSISTSSVGEVAKIEEESSNGPAQLSVEDTPKDDGQNLHEPKSRPLSPYAMYPDLKPPSSPSPNAPNTSSVGLVPNIDAVSTSSVGEVPNIDAVSTSSVGEVPNIDAVSSNGPAQLSVEDTPKEDGQDLHEPKSRPLSPYAMYEDLKPPASPSPSFRQS >RHN55815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24250700:24251053:1 gene:gene31083 transcript:rna31083 gene_biotype:protein_coding transcript_biotype:protein_coding MRCWNKNNREAQGEEGREWVACTWWWKVAPLRFIRCRIGIERMYRETKNIKHRILIKFFTEKMVMGLSYLKMESVCGVTGVNEITRDGERVSSQTTTATCNARKSLDFRVPKEVPAT >RHN68839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38970564:38973573:1 gene:gene17250 transcript:rna17250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein MSIDELKSAMEGHVDLMSDLVQKISSELRSNLRPAKDNFLGFFHAIDWKEPWLLGLLTFHVLLLLAIIISRKNTNFQMCLFLLALAGVYLAERLNSILGENWKSFSSQNYFDPSGVFMSVLWSGPLLAFSMIILINTLFSLCYLIVKWKRAELRHRARAARSKQE >RHN57555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39995938:40001280:-1 gene:gene33121 transcript:rna33121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MLRACQFRVGLPIPKLSSLSTIPSIFSFSFPSHSHSKIHTFSYKKPFHFHSPSLPLSPFTSTMPPKASASYSTGGSSVEGGAEREILVQHLLVKEDDPKLLVDLQQRVAAGEDLSDLAVEHSICPSKDEGGMLGWVRKGQMVPEFEEAAFSAPLNKIVRCKTQFGWHLLQVLSEREEPVLLDIQPDELHVKFQDPNFLEEAQLIDVREPEEVTTASLPGFTVLPLRQFGTWGPEINSKFDLQKDTYVLCHHGVRSLQVARWLQSQGFRKVYNISGGIHAYAVKVDPSVPTY >RHN48206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45783970:45786846:1 gene:gene42896 transcript:rna42896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRAPCCEKMGLKKGPWTTEEDRILINHINTYGHSNWRALPKQAGLLRCGKSCRLRWANYLKPDIKRGNFTKEEEDAIINLHQTLGNRWSTIAARLPGRTDNEIKNVWHTHLKKRLPQNQHEHNNSTKRNKKQTKLDFHVDASKSDQDTKQEQHHEDDRPQCSSDMSSHNNSSIATSNNDNDISISYNNINNNNDVVDSAENNFALDDDFWCEVLSSDNSSIETSGVMDIGVDNYQFQAPLGTEEGVFDSSSLSLCQDMDFWHDVYARAEEITELLEL >RHN46814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35101001:35103376:1 gene:gene41345 transcript:rna41345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase MARCH MECSCKGDQRLVHEECLIKWFSTKGNKKCDVCLTEVQNLPANLVHVSRSVQLRNIQLSAWQKFVVLVLISTIGYFNFLVDLLLEGNLAFHQKLLHSSVFERRHPVENECPDPKTRSIIIPAAVSFTLSLLASVFAFFLAIREYMALYALLEFGLVDVTLRLFYTLLHLAPIYSVPLSSVLGFGIAMGINYLYIRHANRRLQVSTNDIPV >RHN70327.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50676613:50677482:1 gene:gene18897 transcript:rna18897 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKKNMMTMMMFEALKEVKDKVNEDDEIHQEQEQQIVVVVHHSQC >RHN63202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49321519:49323361:-1 gene:gene25878 transcript:rna25878 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ERF1A MYGNSNFDSDLALLDSIRRHLLGESEFIFGAPTNVSGNTRVFSRSSSFSSLYPCLSDNWGELPLKEDDSEDMVLYGVLRDAVNVGWVPSLEVGSPESVSSVFPLEMTVKPEPDVMPVENVLPVASTAEQVVPEGPKAAPVKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAALAYDRAAYRMRGSRALLNFPLRVNSGEPDPVRIASKRSSPERSSSSESNSPAKRKKVMTAQSGLKTGQVGSQVAQQCTRGGQLLVS >RHN50516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7859019:7860224:-1 gene:gene34812 transcript:rna34812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MTYDQFSWRPYVNFNYTNEEESQIWSANTYLICFQIVERHQTDRVRLQFVLPQHPPSLPENLKDFHKINLTKDKIKGSWRDKHHRQVQNWNQRHQLALHGVRYNHEVYPNRQYFAWYWEFFGDHLWLSREVLLSNPRQTCARILPGLSRDYPAVPETYTVPDENMWSNTPPSYNQFMTPPPTNFDQTFNPSSNYNYNQTYQSPPQPTNQILHNTPIAHPSYSQQSHHGESSRTSNYSFDDFNPTQYTTQTTNTIESLAAGFNDDDFVNEIWTNSASQVQNEVAMETNDDVEILDEDVEQEEHEEEQEQNQRPVRNKKDKLCAMGGHSLHWRKWFPRRK >RHN48473.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47710862:47711897:-1 gene:gene43192 transcript:rna43192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MVQMPEVNSQVFYFPQGHAEHACEPVNFSAYSKIPSFIPCRVEDIRYMANHETDEVYAKLRLVPMNINQVSFDNDGVAGINVSETKDKHQSFAKTLTQSDANNGGGFSCPRYCAEMIFPRMDYSGNPPFQGIYPKDVHGEKWHFRHVYRGTPKRHLLTTGWSPFVSDKKLASGDSVVFLRSENGELRVGIWREKRRNNVGVVRVEIWGKVKVLEVIEAVRLGTNMQPFDVVYYPRSGTPEFFVKTSLIGITLQIRWCPGMRFKMPIETEDSSRISWFIGTVASVQAADPSWPDSLWRLLQV >RHN41323.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29124182:29125356:1 gene:gene47631 transcript:rna47631 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGRQVFTVDLLERYAAKGHGVITCMAAGNDVIVIGTSRGWVIRHDFGAGDSHEFDLSAGRPGDQSIHRVFVDPGGCHCIATVVGPGGAETFYTYAKWTKPRVLSKLKGLVVNVVAWNRQQITEGS >RHN64953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63248599:63250054:1 gene:gene27835 transcript:rna27835 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MDRSPDVLSKKVNLVSSDGVVFEVDLGLALMSKRFEDIIDIETIPIGDVDTISVDEVNSKMLSMVVEYCKKHDKRQKYVDIKIWDAQFVDVDPKTLDDLETHARYLKIERLGNLAFYKEG >RHN70998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55713233:55713853:-1 gene:gene19638 transcript:rna19638 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDSGRADGTLVNAISESGEHSRLVLCVEPVMAVSLASCKIELPLVGQLGTDIELKPDSVEGQVGARVISNVEPSVVDVRDIEVHEPKKATEKKSKKQKSSKSQSSGQTKGLLKNATLQQSKNSKFEKPNYIETNLKEVNRDEEDYETHKQTSGEDSLSTKAVVLSTKASLKLLLRMTQSHLVHRILNFLQGKLGNLLLVSRQSL >RHN50483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7501955:7503025:-1 gene:gene34766 transcript:rna34766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MIVFFFLLEKMGRGRISMELIQKEKSRKTTFQKRKNGLMKKVNEFSILCDVDVCVILYAPNFEAQGFVEPETWPKDKREVQRILQKYYNTTSDRRPKIYDVQEYFKERMKKIKFEISKVRKEKIKMMYPSWDESYNSLGVEQVRSFASMLDSKLDACNQRMHMLKGDLKGKTIAHESHKVDILIGNPNLASKPSSYFNLMQNNMFEAKIHPPLMNINDKTPLGFWQLQLGQSSQPSSMISNAQSSYYVESQEGRYPQSYPCKQIDVNWANWANRVDTNVTCDLKIDMKRKDVAENDENLSPYYYNGNASTMQSYPFAMHSLPFQNLSYLPHGFQLNGFNDINMLQAHKFNYMDGRK >RHN42702.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40315242:40317196:-1 gene:gene49182 transcript:rna49182 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSANCGIGSGGVRRGWDAKEIEDFLLLRLDMPGLGFMVDVGFYCSSVSLFSINQRLQICVKAYICKSCSEVLSLPK >RHN71956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3926700:3927710:1 gene:gene7617 transcript:rna7617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MEQESGDGGRRGEMSKLVQAHPQPQKCPRCDSFNTKFCYYNNYSLSQPRFFCKTCRRYWTQGGTLRNVPIGGGCRKGKKSKTPSSSTPSSNSSLSQPTLPQSTDQLTMAAMAKNSSSVFASFSSAASFYQGGVVGYMSPFAAFNHSLNSNPSAHSASHTFEQSQNLGVGVGLGLGSSSNLSLLQGFNVAAAALVSSSQGQNRPPPQQFFQLGGNGSGPMFTSQPRGLNLIPPSTMVNATTSVATVSTAYNENWPQSFINSGNNRASDQSLWSTISTTSINGVGAGAGGSRGDVGVGGSRGAGAGAGGNGSVGAGASGSASLALNQWHDLSEFNPPQ >RHN55280.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16759592:16766034:-1 gene:gene30432 transcript:rna30432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MESIKKSFDEFYSHYARESLEELSEEIPFTITDPSLSNHPIIFASHAFLNITGFTRDEVLGRSGSMFQGSATCRRSVMEIREAVREERETNVVLVNYRKNGTPFWVFLTVSPVFCVKSGAVVHFVAVQVPLKLRVYGGGGSVVVNHDFMFRCCRKEVCSDSLVELDRVSSRNQVLDLEHDDDDVTDLESEEPREASDDERRSAVTAMDNIFSVLTHYSELTGRLVCRKRCSNPAVGLLSSSLIISLGRIKQSFVLTNPHLPDMPIVYASDAFMKLTGYTRDEVLGRNCRFLGGRNTDDSALQLIRESIKTEKLCTVRILNYRKDKSSFWNLLHISPVRDATGKVAYFVGVQIEDAYKIEEYRQCWKPDMKQLSVVGAVKVAVRSLSMSAGSSKT >RHN63071.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48113055:48113897:1 gene:gene25728 transcript:rna25728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acylglycerone-phosphate reductase MSEQKIVLVTGCAKGGIGYEYCKAFAEKNCRVIASDISSRIKDMSDFESDNNIETLELDVSSDQSATSAVDTIISKYGRIDILVNNAGIGSTGPLAELPLDTIRKTLEINTLGQLRMVQQVVPHMALKKSGTIVNVGSVVGNISTPWAGSYCASKSAIHAMSNSLRLELKPFGINVVLVMPGSIRSNLGKANLEKLSDYDWKLYKDFKEAISERARASQGGKATDGRVFARHVVNKILANKPPKQIIFGHLTGLFALLSWSPLWVRDMFFSSRFGLDRKV >RHN66307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10629768:10632983:1 gene:gene14260 transcript:rna14260 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MHDLVNDLATFILGKSCCRLECGDISENVRHFSYNQEYYDIFMKFEKLYNFKCLRSFLSINTMNNYNFLSSKVVDDLLPSQKRLRVLSLSWYINITKLPDSIGNLVQLRYLHISSSKIKSLPDTTCNLYNLQTLNLSRCWSLTELPVHIGNLVSLRHLDISGTNINELPVELGRLENLQTLTLFLVGKRHVGLSIKELRKFPNLQGKLTIKNLDNVVDAREAHDANLKSKEKIEELELIWGKQSEESQKVKVVLDILQPPINLKSLNICLYGGTSFPSWLGNSLFSNMVSLRITNCEYCMTLPPIGQLPSLKDIEIRGMEMLETIGPEFYYAQIEKGSNSSFQPFRSLERIKFDNMVNWNEWIPFEGIKCAFPRLKAIELYNCPELRGHLPTNLPSIEKIVISGCSHLLETPSTLHWLSSIKKMNINGLESESSQLSLLESDSPCMMQHVAIHNCSKLLAVPKLILRSTCLTHLELNSLSSLTAFPSSGLPTSLQSLHIVKCENLSFLPPETWSNYTSLVSLYLIHSCDALTSFPLDGFPVLQTLQIWNCRSLVSIYISERSSPRSSSLESLHIESHDSIELFEVKLKMDMLTALERLNLKCAELSFCEGVCLPPKLQSITISSQRTKPSVTEWGLQYLTALSNLSIEKGDDIVNTLMKESLLPISLVYLYIRDFDEMKSFDGNGLRHLSSLQTLCFWNCHQLETLPENCLPSSLKSLRLWDCKKLESLPEDSLTDSLRELCIWNCPLLEERYKRKEHWSKIAHIPFIDINHEVTI >RHN73138.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13711409:13712898:-1 gene:gene8924 transcript:rna8924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S10, serine carboxypeptidase, alpha/Beta hydrolase MGNLGFGSMIRRTSIWRNGHYVPQLSQVIIKHNSATKQDSINLKGYMIVLVWDN >RHN48346.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46804196:46810223:-1 gene:gene43054 transcript:rna43054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MGQGTPGGGLNRQPGDRKPDGNDKKDKKFEPAAPPARVGRKQRKQKGSEAASRLPTVTPLSKCKLRLLKLERIKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFTIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKDKVMFKKKEGVPEGLYM >RHN57326.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38133505:38134080:1 gene:gene32852 transcript:rna32852 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, protein accelerated cell death 6 MPPCPEGKLFFAEPLQDEALSRNVSSDKEPELEIQPSEVIEILPECCKFDNQIDLLSKAYLLVYGVSTNLLDFSKLTKIETPMENTVLHIAVWYGNNDIVNLVIEHAPKLLFKFNENNDSALHVAARYGHISTVEKLLASYANIERSEIKMAWLEYNDSQVSEQSARRVAHHIDSQVSDSNSPKTKPDEDN >RHN48584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48586170:48587706:1 gene:gene43317 transcript:rna43317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 50S ribosomal protein L30e MKLLEKDFALNQRGTVKIIAEEPDDVWILYNLITIGDVVTADTTRKVHLESNKNTASRVKLTLHLKVTCRDFHKDSSTLRVHGRNLESNQHVAAGSFHTLTLERNKSFDLEKKLWGPHAFEALTDATENSSSSSDANLAVVLLQQHQAEIHLLGKGVTTRCSKIEASSRSYSHKKSSSSSPSFNVFFRDVFAAFVKHVDFKTVKSVVIAGESDDNALLSPTIFRRFLLSEAKRLKMRCIEENKSRIVVVGSRCNNNNKSNCNFDLREVFNDAAVMNLIKDSNLGLEIRAFKELWDMVCDSSDRVCYGPKHVESAHEMNAIETLLIIDELYRNEEIEMRKKYESMVKSVKQGGGKALVYSSMHVSTPQLAQLTGVAAILRFPLPGLQDMDDDDHV >RHN43509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46404045:46407629:1 gene:gene50101 transcript:rna50101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MMAGSWKRGSLFVLAIISIGCLFACSNAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVSFTDDERLIGEAAKNLAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNRDGKPYIQVRVKDGETKVFSPEEISAMILGKMKETAEGFLGKTIRDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKHSKDISKDNRALGKLRRESERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLQKNQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAFGAAVQGSILSGEGGAETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIERMVREAEEFAEEDKKVKERIDARNALETYVYNMKNQVSDKDKLADKLESDEKEKIETAVKEALEWLDDNQSVEKEEYEEKLKEVEAVCNPIITAVYQRSGGAPGGGASGEDEEDESHDEL >RHN40931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24538858:24544523:1 gene:gene47177 transcript:rna47177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative homogentisate 1,2-dioxygenase MDNSISGDDFNYQSGFGNHFSSEAITGALPVEQNSPLICPFGLYAEQISGTSFTTPRSLNLFSWLYRIKPSVTHEPFKARVPSNKKILSEFNDSNSSTNPTQLRWKPTDIPDSPTDFIDGLFTVCGSGSSFMRHGYAIHMYTANKSMDNCAFCNADGDFLIVPQQGRLFITTECGRLNVSPGDVAIIPQGFRFNVNLPDGPSRGYVAEVFGTHFQLPDLGPIGANGLASPRDFLAPTAWFEDKSYPGYTIVQKFGGELFTAIQDFSPFNVVAWHGNYVPYKYDLSKFCPYNTVLYDHSDPSINTVLTAPTDKPGVALLDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIHGNYEAKVDGFLPGGASLHNCMTPHGPDTKSYESTIARGNNVGPHKIIDTMAFMFESSLIPRISQSALESPFLDHDYYQCWIGLRSHFTVPELKTIKTKE >RHN45027.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10909060:10909344:-1 gene:gene39222 transcript:rna39222 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFDLLFLKHGCYLLHLLPEPQVSTFSYAPQNCSKPLRLSCRKLLKM >RHN75227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40350258:40350617:-1 gene:gene11400 transcript:rna11400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MRQAGAYSGILNGGIGRTGPHSLPLARIKKIMKNSSEDVKMISGVAPIVFSKACELFIEELTRRSWIMAIDAKRRTLNKEDVASAVIATDIFDFLITLVSNSDSTDDTTVMQMETMNSS >RHN41358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29419053:29424714:-1 gene:gene47673 transcript:rna47673 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MCLNSMDSEMVKKLGTSLLVPSVQELVKQPIAKVPERYVQQNQEPSVVSSTISLPQVPVIDLKKLLSEEDGTELEKFDHACKEWGFFQLINHGVNPLLVENFKKLVQDFFNLPVEEKKILSQKPGDMEGFGQMFVVSDEHKLEWADLFYIITHPSYMRNPNLFPSIPQPFRENLEMYSLELKKLCVTIVEFMSKALKIQKNELLELFEEGGQAMRMNYYPPCPQPDKVIGLNPHSDGTALTILLQLNEIEGLQIKKDGMWIPIKPLTNAFVINIGDMLEIMTNGIYRSIEHRATINSEKERISIATFHSARLNAILAPVPSLITPKTPAVFKDISVEDFFKGYFSRKLEGKLYIDDMRMKNE >RHN55822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24413557:24413972:1 gene:gene31091 transcript:rna31091 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFDIHIQILIFFFSSSFMVSSSSLASFEVAEKRIYDIEGHDLDGDGAPLPTPISLLKEPFVKMFLIFEKKNRFLQAANADLKWKFWISSFSFILDFH >RHN73192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14240179:14246878:-1 gene:gene8980 transcript:rna8980 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative condensin subunit 1/Condensin-2 complex subunit D3 MDDETISRIVTEISDLRETHHTENPQPLSEQSLSSLQTLLNHSQPLDPLYDAVSPSHLIPPIATAMDSSPPPHSLLASHVFLSFLLSPNAPVFTLFTPLSFLSFLRSLRRAFKNTNPNPNNNAQQIRKRRRNSKNKKNVQNDDDEPNSPNSVQKVDVRVLISLFEKLVSVMGLIHLDRFPESLKSLIQTISEVPLTAIESCNEVQYGRLVGLCSKILKEVLKPEHGEVSETAAEVLKAISPFVVMPKSQVRSFAVGFVISLSRDSDGVRKALVNFPRYLANKAPDKADPRALAVDFIMEVVRVMAVGDQIEFVKYVVKMSQGKTNLRLLAVDLILNLVTLLKDPLGVNSTDEEEGNVAWGIWCLEALVKRCSDVSATIRGRAMSTLAQVVGFLSGSEKASVVLKEFMGFGDGNVAGVGGKGINEMLRRRCVDEKAVVRKAALLFVTNLTALLGGAIDEVVLKTMGMACSDSLLSIRKAAAAALSEAFRTFSAETVTTEWLHSVPRQIADNETSIQEECENLFQELVLDRISRTAAATSSYIEPASSIKKKGKGLDNKMDMPVPQGILYLLREICNGEVSPWVKKICTNLGKKKRLNHKIVTALQNIIKVSESIWLNHSKPIEKWTAPQGAWFLLSEVSVFLPKAVDWEFLHHHWQLLDKHKVKGEFRSPVVQINAFEGDESIECNNVAWASDRVFLLQTISNVSVELPTDPAADLAHNLLKRVEKFNMHATEVDAHVKALKTLCKRKASDAATEEAIVLKWVHQVLSRASQIIETFISDNSEQNAETDFFTPPRSGPSKGRKSGRKRKSLSKAITAIYTIGSLIIVCPSADMSAIIPLLHTIITSGNSDPKLNKLLGPATSLQQESPSFYIQGWLTMGKLCLADGKLAKNYIPLFVQELEKADSAALRNNIVVTMADFCVRYTALIDCYITKITRCLLDPCELVRRQTFILLSRLLQRDYVKWRGVLFLRFLLSLVDESEKIRQLADFLFGNILKVKAPLLAYNSFVEAVFVLNDCRAHNGHRESNESRTESQLFSIRGADEKSRSRRMHIYVSLLKQMAPEHLLATFAKLCAEILAAASDGMLNIEDATGQSVLQDTFQILCCKEIRIQSTRVSSESADIEEEGGENGSSTRKAITQAVKKGLIQNTIPIFIELKRLLETKNSPLIGSLMECLRILLKDYKNEIDDILVADKQLQKELIYDMQKYEAAKAKATVAEAVASKPKSAANQSPDVSKNLAKEQEQTHEQNEDSDKPPSDSKIASARADAAAAATARSLLKEINKGTSTPQLSSLNVPKVKSFTGGCVSRGDKRLDVLKSVQKKHSFDSDEEN >RHN59189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8306010:8307122:1 gene:gene21186 transcript:rna21186 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MDLPQELILQTLLRLPVKSLILFKCVCKLWISIISDPQFANSHFQLNLTKHTRRFLCISALSPEIRSIDFDAFLNDAPASPNFNCSLPDSYFPFEIKGSCRGFIFMYRHPNIYIWNPSTGSKRQILMSAFNTKAYINLYGFGYDQSRDDYVVVLLSNKVNPFLVGVPQSHLEVFSFKDNTWKEIEGTHLPYGDNYREGEGVVFNGAIHWLSSRRDIALDVIVGFDLTERILFEMPLPNDVDHTELVHSGLWVSGEFLSIWVKDTTNDTIEIWVMKEYNVHLSWNKTLVLPQYVIPGHYFNPLYYSRFADYFHPMYSTENGDIIGKYGGTKLVKYNDKGQFLGHHSFCNSPSEVVVYTESLLSLSGDDEHV >RHN50818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10783750:10786700:1 gene:gene35153 transcript:rna35153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter 2 MQQQHLHHLHKNLTYPKPNILVHKKIHFQTKKFITHNTTTLTTKPSNFLHIKNRVIAPENDTNINVAENDTNVNIDVNVENENFIKKNQCPEKKSFWGAVSLIIGAAVGPGMLGLPSLTIKSGPLPSTIIILISWLYVISSIILVAELCFDAMENDNVDEVMLGLVGANKGPDFVGDPITLLLSVNPSALSAVQGFAFSAMATSLIGYAVSLPKQILDTLELVSGKGDDNEYGKGRVGSVFYGGNGGDGVGYSGKVGFGSSTDLSEIRLNEERFDGIKLVVTLLVLCFSVLIASYFRSTFSRALDFAGVYANCFLFGIIPPVMTCIHQQSKKGKIRPSFIPGGNVTLLLLFTVSVILGIWH >RHN53176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42538484:42540247:-1 gene:gene37951 transcript:rna37951 gene_biotype:protein_coding transcript_biotype:protein_coding MNQITLTRVCCMTFFITVRSFPLLSSHLKRKNWPKTTPYHHPWDYPNEKKTREEFSYESMRKDVKYHARTKSELQSHMEMLDLINSCEASYKADVRKQKAAEARNQKHKAAELPKQISPFDPFELPKQSSDEFRRQNFFKFLASIEARNQKQKAAADRKKKAAADRKLKTDEARKQKQAEVHEQEVAEVQEQKHETAEVRKQKQKAAEVRKQKQVAAEVRKQEAAELRKLKQEAVELRKQKAAELRKQRAEARKQKAEAVEIRKREAEVAEGNIKSKRQKK >RHN76107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47737417:47740615:-1 gene:gene12385 transcript:rna12385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative M-phase phosphoprotein MAKRELSSVLKNLKFMQRAAAREEKVEKVEEEVKHDVSFGSTPTISRKCVVIVEGDPHPGAFKGRMSFQSFNPTVDKLNEEEVKLSQPVAKTSISSNQNANVSVRENNSSAEGSESASVNKKSYEVNGNGKRKQCEDNEAQYPNKSPNNDQGNKKSSSNNGLGSFKKPSGDKLDWNVLRSSSVRQNR >RHN60472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27175416:27175697:1 gene:gene22786 transcript:rna22786 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSDLETLFLQSETNPYEEDDQKVIFLCESIELKNCDEKEVLDLPNPTMPLASLDNPLNKRVFKQVNKNSWAGSKIESLIYFTATTSSTSFN >RHN58316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:738139:739557:1 gene:gene20216 transcript:rna20216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MDNEEIPSVPSTPATPGTPGAPLFGGFRSERTGNGRKNSLLKNLKCFSVEDWTLEDGALPKVTCSLPPPPVPLAKKVGAEFIGTYILMFAGIATAIVNQKIHNSETLIGCAGATGLAVMIIILSTGHISGAHLNPAVTISFAALKHFPWKNVPLYIAAQVLASICASFTLKGVFHPFMSGGVTVPSVEYGQAFALEFIISFNLMFVVTAVATDTRAVSILYIIYIYILL >RHN78369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14497573:14500583:1 gene:gene1915 transcript:rna1915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [histone H3]-lysine-36 demethylase MGATNVVKCKRSKIRRKMVEKSSITHKSSKFDLDDLNWTNMIPECPVYHPSEQEFEHPLVYLQKIAPEASKYGICKIVSPISASNPAEFVLMKEKKDFKFETIVQPLRLSKWNEKDIITFSKRGRKFTYQEFEAIANKAFSNRFCSSEDLSSLDIEKAFWHEMIHGEKGTVEYGVNIEGSVFSCDPDDKLGTSKFNLKNLARLPQSPLRLVDRGIPGITDPMLYIGMLFSMFAWHVEDHYLYSINYHHSGGSKTWYGVPSSAASQFEKTVLNHVYCKKILAEHGENGAFQFLAQKTTMFPPNVLLQHDVPVYKAVQKPGEFVITFPNSYHAGFSHGFNCGEAVNFAIGDWFPFGAAASKRYAHLKILPIIPYEELVCKEAMLIYNSSKDRSYKSKLEVMASYCAIEQSFWHLMQYYKTSLSRLNNSRKSSSSSNTSIGSVTCSLCHRDCYVAYLLCKKCYSHPICLFHDVVPKTCLCGGKYTVFKTNDMSELEDAAKSFEQNDNRHEEKSARRTVNSARAVSSKLKTRLTDNVKHNVEKNQKKTKNRRRNNASPSVMSAKRPRTLYNLRKHESKLIIEAPAISSRFEI >RHN38443.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000013.1:20956:23796:-1 gene:gene50728 transcript:rna50728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TauD/TfdA-like domain-containing protein MEFSCKEFKVGKCEGEKIVDGETLPLVLQPREANKSDLESLLLGLNKNKEWFEEMIIKNSAVLLRGFDVNKAEDFNDIVETFGWEDIRYVGPAPRTHIYKMIWTANEGPLSEFIYYHHEMVLIKEYPKKVILFCEIPPPEGGETPFVPSFRVTERMIEEFPEEVKEMEEKGLKYSFSAPSNSDRTSMRGRGWEDAFGTSDPKEAELRAKGLGMDVEWLPNGGLKTILGPRNLTKVFEGRKGRRMWFNTIVGMHGKEISSATMADGTEIPEHVVKRCGEIIEEESIQFKWEKGDVLFLDNYALLHGRRPSLPPRRVLVATTK >RHN75252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40673300:40673740:-1 gene:gene11425 transcript:rna11425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MARTKQTARKSTGGKAPRKELATKVARAPRAKGGGKSMRKMREGHVKKPHRFRCGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >RHN44230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2572737:2575899:-1 gene:gene38322 transcript:rna38322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MAFPPPHSFMFNPHEDHDQLPSTSLNTFPSFPPQHFQQGAPFLLKKSMSFSGIENKCHDHHEVHGDDELSDDGFQSGEKKKIRLNLDQVQALEKSFEFGNKLDPERKVQLAKALGLQPRQIAIWFQNRRARCKTKQLENEYEVLKKKFEAVKDENDALKVHNQKLQGELEALKSKDWCEGGTKYLMKETEGSWSNGSDNSLNINLDLSRTQALNSSVSSQNGRNLISLLPTSLNPNNIPQLLQFTSRPDIQDENFSSMFHNIDEHQNLWPWA >RHN39995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12116707:12119025:-1 gene:gene46082 transcript:rna46082 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPDHYDFNFLSSSTISFLWLFIGDDMVLFFYVNFDSSVISLIKKVKNSNIMGCSEGWRHKHDTEQFNT >RHN70314.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50543076:50547093:-1 gene:gene18884 transcript:rna18884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-III family MNKKHSHHSSHLYSTMAPKTNHSNTFIIFLLLTTTTFSNSTKLNNKTKPSLSDPTSLLAFKSKADLNNHLNFTTKTPFCNWQGVECNNEHKVIRLILRNLDLGGFFPSRTLSNLDQLRVLSLQNNSLTGTIPNLSGLFNLKSLFLDNNYFTGSIPFSIFSLHRLKTLDFSHNNLSGNIPTHFINVDRLYYLRLSFNSFNGTIPPFNQSSLKTFDVSGNNLSGAVPLTTALSRFQPSSFALNPNLCGEIIRRECRPSTPFFSPATPPTVGLNQSAKVHGLIRQPYGKKHDRRAVIIGFSTGIVFLLLSLACFAVVIKKQRKKKGKGTSGSSVMASDTAAATVEEAVVMQMEQERELEQKVKRAQVAKSGSLIFCAGESQVYTLDQLMKGSAELLGRGCLGTTYKAVLDNRLIVTVKRLDCAKMGGYVSKDVFERHMESVGGLRHPNLVAVRAYFQANQERLIIYDYQPNGSLFSLIHGSRSSRARPLHWTSCLKIAEDLAQGLSYIHQAWRLVHGNLKSTNVLLGPDFEACVTDYCLSVLTNPSTFDEVGDSAPYRAPETRNPNHQPTPKSDVYAYGILLLELLTGKYASELPFMVPGDMSKWVRSIRDDNGSEDNRMDMLLQVATTCSLISPEQRPTMWQVLKMLQEIKEIVLLEDSELDLRSSNAIAMS >RHN82081.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51142320:51142895:1 gene:gene6200 transcript:rna6200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IBR domain, Zinc finger, RING-type, E3 ubiquitin ligase RBR family MNRLLQSSFARFVPKQKRLMKCLGIRYCYHSFCSECVVKQVATKIQDNITNVSCPGLNCKGVLELESCRKLLPKELIDKWNDALCEAFFLTVPKFYCPFKDCSAMLLDEDEGREDVRESECASCHRLFCAKCHVPWHPGIGCEEYQKLNVDERGREDLLVRELANKKKWKRCPRCRFYVEKRDGCLHITCR >RHN39976.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12007172:12009649:-1 gene:gene46063 transcript:rna46063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAISKERYAVVTGSNKGIGLETVKRLASNGIKVVLTARNQKRGIQAFEKLKKEFEFCNLVVFHQLDVTDPFSIASLVEFVKTQFGRLDILVNNAGINGFNADDMVEPIINWRELSQTYEMAENCIITNYYGGKETTEAFLPLLQLSDSPVIVNVSSAAGLLKYISNEWARSVLDDTENLTEELIDEVLKEFLKDFKQGSLENKGWPTYLCAYKLSKAAVNSYTRLLAYRHPNLCINCVCPGFVKTDMNRNTGDLSVENGAASVVRLALLSSNSTSGNFFARQDLSCF >RHN56842.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34130022:34133654:-1 gene:gene32301 transcript:rna32301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MEILGQKEGMHSVIHVQKLLDQFSCGNDHALKARKPYTITKQREKWTDEEHKKFLEALKLYGRAWRKIEEHVGTKTAVQIRSHAQKFFSKINRDTDGNDTTMVETIEIPPPRPKRKPIHPYPRKLVEIPKNEISNLEQPLRSNSLVSLDFGQENNSPKSVLSAVASETLGFSDSDTPAGSLSPVSSISAVHTSRFPLLESKSSSSEEDLSQQIDELNGGSTHDVQPLMKLELFPKECVATNEVAAEESPCRTLKLFGTTLLVKDTCKSSLTSTDASEPIPATQQLQRGCSDISLATVVPWWTISDNSAFKPLHTEPEGKHLHSNHGECEDKEIQKEGSSCVGSNSTSSISDEESNERLDDQAKSDNVNYFVGHTTLNETVRLRTFGKGFVPYKRCMAERERQCSTVTDERREHQRMRLSL >RHN81036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42787953:42796424:1 gene:gene5030 transcript:rna5030 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein MGTSDEVQLDDKAKRMRDLLSSFYSPDPSNSSNSAITSPKYASLDDINSSEFDPDQYMNILVYKSNLEGLLQRHVEMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKSNISGMETNMEQLLDKIMSVQSRSDNVNTSLFDKREHIEKLHRTCNLLRKVQFIYDLPDRLGKCIKSEAYADAVRFYTGAMPIFKAYGDSSFKDCKQASEEAIANVIKNLQGKLFSDSESIQVRAEAAVLLKQLDFPVNNLKTKLLEKLEQSITDIQLSPEEINNGSGDLSPSASSHKAATHEFMEAVRALLVIFPDSEKQLVKFAQDLVTKNFATAEEYVKTRIHPEDLLGVLRVVWDDVLLIDEVLPEAALSNHSLEAANVVVKLYVRSAFSHLLQDISDSFLQVLKKDGAEQYSLEAVLDSSTKAVLQGGMNVLLGFRKILDDDSGILVRQRELFVDLVQEGFQTFFKQLEDQFLLFSGRNNSSAIQLHGLAEGAIDEKAFPGLVLVLAQLSAFIEQTEIAASFSGGSVRGYESRPAFAPGEICRKFRSAGEKFLHLYINMRTQRISLILKKRFTTPNWVKHKEPREVHMFVDFFLQELEVIHNEVKQILPQGIRKHRRTDSNGSSVSSRSNPLREEKLGRSNTQRARSQLLETHLAKLFKQKVEIFTKIEYTQESVVTTIVKFCLKSVQEFVRLQTFNRSGFQQIQLDIQFLRTPIREIVEDEAAVDFLLDEVIVATAERCLDPIPLEPPILDKLVQAKLAKTKEQNTTISS >RHN78417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14923825:14925051:-1 gene:gene1969 transcript:rna1969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLQSMKLFPLSCLLWFFCMFVMATSPHASSKTQSSEANALLKWKASFDNQSKSLLSSWIGNKPCNWVGITCDGKSKSIYKIHLASIGLKGTLQNLNISSLPKIHSLVLRNNSFFGVVPHHIGVMSNLETLDLSLNELSGSVPNTIGNFSKLSYLDLSFNYLSGSISISLGKLAKITNLKLHSNQLFGHIPREIGNLVNLQRLYLGNNSLSGFIPREIGFLKQLGELDLSMNHLSGAIPSTIGNLSNLYYLYLYSNHLIGSIPNEVGKLYSLSTIQLLDNNLSGSIPPSMSNLVNLDSILLHRNKLSGPIPTTIGNLTKLTMLSLFSNALTGQIPPSIYNLVNLDTIVLHTNTLSGPIPFTIGNLTKLTELTLFSNALTGQIPHSIGNLVNLDSIILHINKLSDQFLAL >RHN68364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34878221:34880568:-1 gene:gene16705 transcript:rna16705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MSAYAHQMEQQYAAASLSDDSDTSSSHYGIESGFYMTSLTATIFFASLVTLGVLLITLLVSLTIMLQSCQSKSTGVIELLNINDYYSYCSVHSLHAEINNLEGYDLPNICRDLAIHYIKGGYYARDLNIVVSMIIDYFKSMRPSDNGLDVVLLDIDDIIHSNPYSSNLYHRFHNDSISNCMKEAKNVKLMFVLRLYMNLQTDGWSIILLSRESEINQNVTINHLVSAGFRSWSSLMMRAEDSDSTKGDEYFSRQRSMIQKKGFHIKSIISSHLDALSAPDTRIRNFLLPGLVCDKFEHQIESIDTGH >RHN75312.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41139627:41142897:-1 gene:gene11493 transcript:rna11493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthocyanidin 3-O-glucoside 2''-O-glucosyltransferase MESPSSLHIAMFPWFAMGHLTPYLHLSNKLAKRGHKISFFIPTKTQTKLEQFNLYPNLITFYPLNVPHIHGLPFGAETTSDVSFSLGPLIMTAMDQTQPQVELLLAQLNPKMVFFDFAFWIPKIAQSLGIKSFQYWIVSPATISYTLSPSRMCESINLTEFDLMKPPKGYPNSSFTLYSHEAKYLALKRNFEFGSGVLFYDRLFNGLSLSDAIGFKGCRQIEGPYVDYLEQEFGKPVLLSGPVLPEPPKTVLDEKWGSWLGGFKDGSLVYCALGSECKLTLEQFQELLLGLELTGYPFLAILKPPVGFETVEDAFPEEFEERVKEKGIVHSGWIQQQLILEHSSVGCFVTHCGAGSLTEGLTNNCQMVLIPHLDADHIINAKIMGMKLKVGVEVKKGEEDGLFTKESVCEAVKIVMDDENEIGREVRNNHDKLRNLLLNQDLESSCVDGFCEKLQELVR >RHN38935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2890044:2895397:1 gene:gene44912 transcript:rna44912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 9S-lipoxygenase MEASKRPSSCISKWQYSSTKSKLVSNFNPVCQAVQKLTFRGKFVIILNQKKSIPGKFISVQIYSGSEVDPNTGKGWLSEKAYFKECGRKKPHHDDDVDDAQTKVKTYKIKLQVDSHFGTPRAFVIQNKQNRKFYLLSASIETCTNRIIHFDCNSWIYPIKKTKFDRLFFSNRCYLPSQTPRALAELRKEELDKLRGNGMEERKEWDRIYDYDYYNDLGDPDKGSEHFRPILGGSRLYPYPRRVRTGRKHSATGPSSESRPQATNFDIYVPSDEIFSPNKLKEIKSNSIQAIVHLLSSKTESLPQQSSRSFQSFKEILDMLSTNRNQTIEGLIRDNLKKLVPNKYLKEVTQSIKENHWHVPIPPIIHEKEWAWNDDMEFGRQMLAGTHPVRIQCLMTFPPQNKFGVQSSIKKSIIEQMLEGWTLPQALEQGRIFMLDHHDYLMPYLNRINANGVSAYASRTLLFLRSDGMLKPLAIELSLPGSSLALEIQRVFLPSKQGTQAALWQLAKAHVLANDTFYHILVSHWLYTHAVVEPFILATKRRLSEMHPIHRILSPHFKDTMHINALTRLILINSGGILERMLFPGETCMQITCDLYKEWKFTEQGLPADLIKRSMALEDQDENNSTGIQLLMLDYPYAIDGLEIWVAIKSWVRDFISFFYKDNEAIIADTELQVWWSEIRTIGHGDNHNETWWYQMTTLSDLVETLTTLIWISAARHAVINHQKHAQNGNYPPNYPTLCRKFIPLEGTVEFGEFLKDPDKFFMKMFPDRFEMSLGLTLVDFLSKNSYDEVYLLRCQPSHGWIDNEIVLNRFAEFKEELKEIQTRVLQRNKDPKLKNRRGLAKIDHNILYPKMPSSGSRRWNHGKRSVL >RHN63748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53729821:53735568:1 gene:gene26491 transcript:rna26491 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-MIKC family MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAQEISVLCDAEVALIIFSTKGKLFEYSSDPCMEKILERYERCSYMERQLVTSEQSPNENWVLEHAKLKARMEVLQRNQRNFMGEDLDGLGLKELQSLEQQLDSALKQIRSRKNQVMYESISELQKKDKALQEHNNMLTKKIKEKEKELQNSVEVTPIETQPLESMNTTGSGSLQANCNEETAAQTRGGAILPSWMIRPINE >RHN64401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58764745:58765256:1 gene:gene27217 transcript:rna27217 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSCISIFSSEIGDFPLKPFSQVVASFAASFAPSSATLGSPCFKAAMDFGTTSTKIDLYLPAHELKASV >RHN66032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7776357:7782293:-1 gene:gene13942 transcript:rna13942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MGCIASKNAETKASRISRWRSTGIVALRDSKLKTFPDEIIDLDRSVRTLDLTHNRIVDIPVEISKLINVQRLILADNLIDRLPVNLGKLQSLKLVNLDGNRISSLPDELGQLVRLERLSIAGNLLTSLPATIGSLRNLLILNISNNKLHSLPESVGSCFSLEELQANDNLIEDLPSSVCNLSHLKSLCLDNNNVKQIPMNLLKDCKALQNLSLHGNPISMDQFQQMDGFQEFEARRKKKFDKQIDSNVMIGSKGLDEGVDL >RHN78469.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15413574:15416679:1 gene:gene2037 transcript:rna2037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MSCLLLFFYVFVMIKSPHAATKIKGREAESLLKWKESFDNQSKALLSSWIGNNPCSSWEGITCDDDSKSINKVNLTNIGLKGTLQSLNFSSLPKIRTLVLRNNFLYGIVPHHIGEMSSLKTLDLSINNLFGSIPLSIGNLINLDTINLSENNISGPLPFTIGNLTKLNILYLYSNDLTGQIPPFIDNLINLHTLYLSYNNLSEPIPFTIGNMTKLIRLSLFSNSFTKNIPTEINRLTDLKALDLYDNNFVGHLPHNICVGGKLEKFSAALNQFTGLVPESLKNCSSLKRLRLEQNQLTGNITNSFGVYPNLDYMELSDNNLYGQISPNWGKCKNLTSLKISNNNLTGSIPPELGRATNLHELNLSSNHLTGKIPKELENLSLLIKLSLSNNHLSGEVPEQIESLHELTALELAANNFSGFIPEKLGMLSRLLKLNLSQNKFEGNIPVEFGQLNVIENLDLSGNSMNGTIPAMLGQLNHLETLNLSHNNLSGTIPSSFVDMLSLTTVDVSYNQLEGPTPNITAFGRAPIEALTNNKGLCGNISGLEPCSISGGKFHNHKTNKIWVLVLSLTLGPLLLALIVYGISYFFCRTSSTEEYKPAQEFQIENLFEIWSFDGKMVYENIIEATEDFDNKHLIGVGGHASVYKAELPTGQVVAVKKLHLLQNEEMSNMKAFTNEIHALTEIRHRNIVKLYGFCLHRLHSFLVYEFLEKGSVDIILKDNEQAAEFDWNKRVNIIKDIANALCYLHHDCSPPIVHRDISSKNVILDLEYVAHVSDFGTSKFLNPNSSNMTSFAGTFGYAAPDKG >RHN78978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20517654:20517992:1 gene:gene2653 transcript:rna2653 gene_biotype:protein_coding transcript_biotype:protein_coding MWVRGMKHFHQNTKSTILPMYFFNLELKYSAPKGLLFLLKVCHWNMAKHLPCTHATEAYGGYPIGYLMVVKKSTTPFLHGHHLTSLMPANPFNQVNTPKTGGERPKWKTGLC >RHN41713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32267526:32267917:1 gene:gene48063 transcript:rna48063 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTMCSAKASTTESTAVSSRETFCLAHRSTVVITSTWPFEFVTL >RHN78159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12396874:12397551:1 gene:gene1684 transcript:rna1684 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDENLVVRICSFYSILKDYDVMQHQRYNNLKRTESYNNLKLC >RHN55058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14775430:14778409:1 gene:gene30184 transcript:rna30184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MMNKPLNLICFFFLFSSITVISAGDDVAGDKNPFTPKAFLNRYWDKEIRNGLPKPSFLFSKASPLSTVEAATFAKLASGNVLSTRLPEFCSAANLLCLPEVAQSLEKHGDDVNFAIYRDKNFTNYGTDRPGGFDSFKNYSDGENVLVNDFRRYSRNSAGHKETFTSYATNANVADQSFHTYGAGATGGGGEFKTYTTETNVPNEVFTSYSDSANGRTQSFNSYTENGNSGQQSFTSYGKNGNGPTEEFTSYGTSSNTAGSGFSNYAETSNAGNDSFKSYGVDMNNPTNSFSNYANGGNGATQTFSTYREKSNVGADSFTSYAKTANAAKIGFDNYGKSFNDGTDTFTSYAKTSTGETKVGFKGYGVKNDFKEYSKEGVSFAKYTNVSSSLSASVKVNKNAASGSLVKKWVEPGKFFREKMLKEGTVMPMPDIRDKLPKRSFLPRSILAKLPFSTSKIDEMKGLFKASDNGSMEKMMRDSLGDCERVPAKGETKRCVGSIEDMIDFATSVLGRNVVVRTTENVNGSKKDVMVGRVNGINGGKVTRSVSCHQSLFPYLLYYCHSVPKVRVYQAELLDPKSKDKINQGVAVCHLDTSDWSPTHGAFMSLGSGPGRIEVCHWIFENDLSWTVAD >RHN56989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35478182:35482870:-1 gene:gene32463 transcript:rna32463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGVNIDRFNLLHDSFLSIFVSSLPFKDAARTSILSKDWKKVCKLTRNIEFNELFFIKFDQTHEIVQS >RHN54143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7252824:7254509:1 gene:gene29133 transcript:rna29133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MVSKGPTFILWHFVLAVVITIFFTSKFSFAKQTKLMGLKMNMIDRCWRPNPEWRKHRQQLATCSVGYAGKMTNNIGKGIIQYKVTDPNDDPINPQPGTLRYGASVIQGKVWITFKKDMNIKLIKSLLISSFTTIDGRGVNVHIADNACLMIFKATNIIIHSIRIHHCKAQTPGMVMGPNGKVISLGQVDGDAIRLVTASKIWIDHNTLYDCEDGLLDVTRGSTNVTVSNNWFREQDKVMLLGHDDGYVRDINMKVTVVYNHFGPNCNQRMPRIRHGYAHVANNLYLGWMQYAIGGSMGPSLKSESNLFIAPKVGSKEVTWRKIGHGNGDKWEFHSVRDAFENGASFAISKGSRVPKPNYSKEQGFKVVDVKSVRTLTRSSGAFQCSRTSIC >RHN52941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40569272:40572078:1 gene:gene37683 transcript:rna37683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MVFTEEDITKLYRIRKTILQMLFDRNYLVDETQLTMSREDFIFKFGEHMKREQLEINTTHRNNLSEKICVFFFDDAKLGVNIVRGIINRMLKENVDNGIMVCQNKLSPAARKAVAGMSSIGSKRLEVFMEDELLVNITKHERVPPHQVLTDTEKKALLKRYTVKDTQLPKILTNDPVARYYGLRRGQVVRIIRPSETAGTYITYRIAS >RHN42692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40281069:40282954:-1 gene:gene49171 transcript:rna49171 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKLSEVSDLMEPSVGGCSSPRLQYALMADNRIPNMDDRVKDQIMDALRVRDQGENIIGLCGPDERVKASVTTVMRRSERDQLFEKIVTATVTKKPDITQIQTQIGDAICLNFDDKIDLAESSCCMSYGNNKRIRTAERALLLCAKMKELQTVLVVMYDLHGRLDLSEIGMPFGEDHKGCKILLTSTNLEVLSKEMKVHKLIQLSET >RHN42636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39881756:39885328:-1 gene:gene49101 transcript:rna49101 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein transport protein SecG/Sec61-beta/Sbh MASGGAAPQRGSAAATASMRRRKTPGGGASGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAILHVIENGRNHWRNSISAETTPCSHECLPIITKLYQ >RHN48431.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47424385:47428705:-1 gene:gene43146 transcript:rna43146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative interactor of constitutive active ROPs MQTPKARSSAPEMSQRKSPAATPRTARQLKTPNSGSNSASSSPNPIRKTPKDMSPRVNERRLSHSPISEKKRPSKVQELESQIAKLQEDLKSAKDQLNSSESWKRKVQEEAEEAKKQILSLSKELEESRQQFSDLSASEETRLQELSKISQDRDRAWQSELEAVQKQHSMDSSALVSAMNEIHKLKSQLERASESESSQANNAKSDHAQIQDLRMDLSEAISVMEKLRNEASDCKESESRALEVIGKMQMQLETVNKTVETLRSDGLKATEAYKSLALELEQSRSQAKSLEELVRKLDTDSTNGKGLSQENEEINGLKAALISAKSEVEQLKSALDVAEIRYQEEYIQSTLQIRSAYEQLEHRKSESSGREAELYEELRKAIAGIEELKASLMEKESQLLSLSAENEGCNSSINEKRSTERESELAAELKKMDTDVAEWKAKLLDRETELKNVTEENSILKMEIKELELNKITDEAIEVEAARAAEKEALTKLSYVMEEADKSNRRVARVTEQLDAAQAANSELEAELRRLKVQSDQWRKAAEAAASILSTTGNNGKCVGKNGALDSSFNSISSKTMNSPYLEDTDDESPKKKNKNMLKKIGVLWRKNHH >RHN75874.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45770748:45771095:1 gene:gene12130 transcript:rna12130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MSGGRMGKNNYNSQSSSSSSMYNDEVRELQCWCPRICVVRKANTVNNLGRPFYVCPLPKVCLTMDDSENCKFFMWVDEAEELGYFENNGVGRSSN >RHN39950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11882110:11882526:-1 gene:gene46034 transcript:rna46034 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MASFNGFYDVVTIMIGFTLLFTTSLCSEISQPPSGGPSNDVASAPKPLSPYEKYLTNCASKLKPAECGKQIFSGVFVGNQIISDYCCHSLVNDVGKSCHHDLTRNALQWPAYAKNKTEILKRNDKVWNDCIAVRPILP >RHN56455.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30994469:30996017:1 gene:gene31860 transcript:rna31860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MKFNQTSIKLLHYLQRHQKLHLLQSLFLKEGKKMVVSEMEKKAELIFIPSPDIGHLVSSLEFAKLLINTHNNIFITVLCIKFPHTPFSDSYIKSVLNLQPQIKLIDLPQVESPPKELLISPPCYIKALMHTLTPHVKSTIQTILSSHSNHVVGLVLDLFCLSMIDVGNELGIPSYLFLTSNVGFLGFMLSLQNRRVDDVFNDYDPELLIPGFTNLVPSSVLPNAAYSKDGGYEAYYNLARRINDTKGIIVNTFSDLEQYSIDALYDHDEKIPPIYAVGPLLDLKGQPNPKLDQSQLDLILRWLDKQPNKSVVFLCFGSMGVSFGPSQIREIALGLKHSGVRFLWAMKSPPRTNNYEEKRLPEGFLEWMELEGKGMICGWAPQVEVLAHKAIGGFVSHCGWNSILESMWFGVPILTWPIYAEQQLNAFRMVKELGLAVELRVDYRIGSKEIVMAEEIEKGLKNLMEKENILLKKVQEMARNAVLCGGSSFISVGKLINIMIGSN >RHN58177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44351954:44355442:1 gene:gene33794 transcript:rna33794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling &Metalloenzymes JmjC family MAAAAAEESVPDQDKQAMNVGAAETVSLNNGENLVEAGDVAAAVAEESVPDQEKQAMNVGANTVELLLTDDEMAARDSSSVKAAMEIMKRGRRSFADIRKRKMDEEVLLVSRTKEERDVEAGGSSSFQPERKKCGRKRKSEKETTENEASLSLSMLNIKPADYSKDARVFCDNCKTSIFDYRRSCTKCPFNLCLLCCCELRGGKLLGGPDPLSFSIFSEAEDESMTHEWSRSGWLADGDGSIPCPKVDNECHHGFLELRRILPPNCISELLCKANELAETFKLQDVKETCDTRCSCLKPVSNADDIGNNTRKAALYENSSDRFLYCPRAVDLHHGDLRHFQWHWSKGEPVIVRNVLEYTSGLSWEPKVMWRSFCQKTNSKYDEVLDGKAVNCIDWCETLISTDSSLVTQGVATRKDWLNWPEVLKLKDWPPSDLFQERLPRHHAEFITSLPYKEYTNPFSGSLNLAVKLPDYCVKPDMGPRTYIAYGFPQDLGRGDSVTKLHCNVFDAVNVLTHIAKVELKPEEINVIKKLRQKHLEQDKRDLYGDREVVEIFHRHSDTNDSDLVVGGDPLEGALWDIFRREDVPKLKEYIKKHFREFRHVNCSPLKQVIDPIHDQTIYLTMEHKMKLKEE >RHN48780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50152544:50165487:-1 gene:gene43533 transcript:rna43533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-rRNA-processing protein IPI1/Testis-expressed sequence 10 protein MTRPKAKSKKKSGVVDFKKRKVKIGRKLPPPKNATNTEVKSKAIILPEQSVAADKAGLAVNKKGLTLKELLSQTSHHNAKVRRDALMGIKDLFSKHPEELMLHKYRVVEKLRERIGDDDKVVRRSLYDLFKLVILPSCKEDNQELITSLLMAYIFNAMTHLAADIRFMAFDFLDLTLEYYPPCFSSYAEKIFQNYEDILRKNQYHLQDKEKLKDALTGLVRCLSLLPWNIEEPDLQNKDDIGQRVLHAFEADNSMSSTGFSHIIMKLKDLVPVLVNSFQEFTTLFNARENLEGKSFGCMASILHSIDLIVRSFVYGIDKKSECTSSQGGGDVAVWDVSVSSTFLKKLFPLFPLDPGHGLSQKDDGRLLDLNMMIAKIFYELNEWICLPPFLLEKFLEFLENALLGKFGSTAQSGKTVWEKHLVQLIPFIPKFISRGASVWTSRLLWAFTHTFKESKPSSLLKLACLSAMEDMLTSIQKMLSPETNNLGNVELQEALCAWITELPVLLIQLGDKHPTCSSALVRLQLRIGQRALLNSALVCVYDNMQNSLQEFYSTCQGENICYGPFLRLPRESQELSLCCLYYFSHLDLPFLKSLAGCCLSPDLDPYVLFRTIEILNSAYKDGHINIADYLSVFITLALRFKVSSEVDAAGLKGDALCNTLKSVTSVLCSYMEQIGNNSLVLQIIEKVIIDQILLKPSLDNSCSLLRMLVTVDSKPTRLSEQSIITLGPCLSEYIMDAVQHIPEDGEEQRISTNCLSTIYYLLPCFFLFDRCHKLMGYVLKTMESAITESSLSLENGNGTQHMGNCLTRVNTVASVLVLMHKDAKLQGIMSEFKEDIDSIIQKVRFLQSSRQISLTIEERPKVQLTLDQLNILTR >RHN79627.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30722865:30729421:-1 gene:gene3444 transcript:rna3444 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucomannan 4-beta-mannosyltransferase MEVFQVASEGVGMQLAFIWRKIREPLIVPMLRAAVFICLSMSLMILVEIVYMGIVICLVKLFKRTPEKRYKWETIKDDVELENSNYPIVLVQIPMYNEREVYQLSIGAACELSWPSDRIIIQILDDSTDPTIKELVQEECRRWRNKGVNIKYEVRDNRNGYKAGALKEGMKHSHVLQCEYVAIFDADFQPEPDFLCQTIPFLVNNPEIGLVQARWKFVNANECLMTRMQKMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLSVRASLEGWKFLYLSNIQVKNELPSTLNAYRYQQHRWSCGPANLFRKMIMEILTNKKVSLWKKTYMVYSFFFVRKIVVHINTFVLYCIVLPATVMVPEIVVPKWGTVYIPTIITLLNAVAAPRSLHLVVFWILFENTMSLNRAKATIIGILETSRVNEWIVTEKLGNALKDQDSKGLEKLQFKIGNRIHMSELFVGFYLLFCGCYDLMYGKNYYYIFLYMQAIAFFLLAFGYVGTFVPNS >RHN51322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:16171737:16178007:-1 gene:gene35746 transcript:rna35746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant organelle RNA recognition domain-containing protein MRFTIFSLRHTTPTAHRHHLRTLFSGTFTLVRDRGLDHAVEREKNLKPLLTLLSLINNEPTKSLPISIIKQSRSLNLPFRPIEFIRKYPSVFEEFYNNGCTFEPHVKLTAKAIDLNADEKFLVASDVFKKDVAVRVLKLLMIAKGNKIPLSVVDGLKWDLGLPDDYVKSVIPEFPDHFRVVGVDNNAVLELVCWSKKHSVSFLEKKYGDKAKGQGKELGFPVQFSTGFEMDKKYEKWVKEWNGLRYVSPYENGVNLSGSSEESDKWVVGVLHEILNLLVSKKTEKDNVLMIGEWLGLASRFKRVILQHPGIFYVSSKNRMYTVVLRDGYKRGLLVEDNPAMEFRRRYIHLMNTVKEDSKNDKSEKGKTSSKEGSLKEDEGKVEEEECDENRGEEEEEEEGSGEECSDDEDEDASETVDDDEEEESMGTRKSSANRRGRNNFVEMNSANRRGRNVAETNSSNIRGRNFDNKRGRNFEESNSTNRRGRNFEESNFTNRRGRNFEESNSTNRRGRNFEESNSTNRRGRNFEESNPTNRRGRNFEESNSTNRRDRNFEESNSNNRRGRNFEESNSTNRRGRNFKESNSANRRGRNSVEMKLGTEKPSRDSRRERSGEKLMRSTWEKNASEVSKRMQMRGEHKDVENSPHRSRSTKSREGLLTVKKTVV >RHN77539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7558420:7559825:-1 gene:gene1004 transcript:rna1004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, galactose oxidase, beta-propeller MILITFLLIDSCAVTSTVEKLSLEGISEKMNLGIDLETEILVWLPLNSLMGFRCVQRSWNDLTQNPTFLKRRHINMSDSPNLMILHETKPYVTLLSCHTVIHIKSLFSNSNCRTFIRMESYGSCNGVFCLQGLCWFHKSCLDELIMWNPTTREVHRVPPSLCLDNDSCMYGFGADDPNNINFKVVKLHTSYGGTMRSAEVYNLSTNSWTPTEHPLPFTKITRQCPSRYNTLVNTVYHWIISSSYGNRHFVANILCFDFRDNQFHQLRGPTFSCDYRNFAWDGVAEIKGSLAYVVHCNFNAPVVLSIWVMDQSGWHKKCNIGPLVSMFRMCGLWKNGDQILGGKVGEPLTSYDYQGKSLYQFQIDVDYTKFHEYVPSIAPLSI >RHN47914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43517528:43518468:1 gene:gene42568 transcript:rna42568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase domain-containing protein MYEGVSTSVRTQDGTTEVFPITIGLHQGSTLSPYLFTLVLDVLTEHIQELAPRCMLFADDVVLVGESREEVNGRLETWRQALEAYGFRLSRSKTEYMEWNFSGRRSRSTLEVKVGDHIIPQVEEDLRKLLEKPLERIQRSMS >RHN61831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38675706:38676938:-1 gene:gene24331 transcript:rna24331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MTANNQLYHSISLSLLLCLGLFAIQVTSRTLQDDSNIYEKHEQWMVHYGKVYKDLQERENRLKIFKENVNYIEASNNAGNNKLYKLGINQFADLTNEEFIASRNKFKGHMCSSITKTSTFKYENASVPSTVDWRKKGAVTPVKNQGQCGCCWAFSAVAATEGIHKLSTGKLVSLSEQELVDCDTKGVDQGCEGGLMDDAFKFIIQNHGLNTEAQYPYQGVDGTCSANKASIHAVTITGYEDVPANNEQALQKAVANQPISVAIDASGSDFQFYKSGVFTGSCGTELDHGVTAVGYGVGNDGTKYWLVKNSWGTDWGEEGYIKMQRGVDAAEGLCGIAMEASYPTA >RHN42306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37294796:37296249:1 gene:gene48733 transcript:rna48733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger, cation/H+ exchanger, CPA1 family MEDEETRSTKLEVAMIKPCRRCIAQMILLAGPGVVLSTIFLGTLLKLTFPYGWSWKTSLLLGGLLGASDPVAVVALLKELGASKKLSTIIEGESVMNDGVAIVVYTLFYRMVLGETFNWLAIIKFLAQVSLGAVGIGIAFGIASVLWLGFIFNDTVIEISLTLAVSYVAYYTAQVSAEVSGVLALMSFGMFYSAFTRTAFKGERQQSFHYFWYTFYASLC >RHN40909.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24219322:24220285:1 gene:gene47154 transcript:rna47154 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSSSIPFHAPIIHHRQPSTTITTHSFSFHTPTLHFRTPSFLRPPSPLNLTPPSIFTVAAKSSTPDKASEQKWVHEGLITESLPNGMFRVRLDNEDLILGYISGRIRKNYVRILPGDRVRVEVSRYDSSKGRIVYRLRNTPGGGSS >RHN64739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61436910:61439714:-1 gene:gene27590 transcript:rna27590 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sm-like protein Lsm6/SmF MATIPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIEGNFTGNLGEILIRCNNVLYMRGVPEDEEIEDAADD >RHN40819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:21597796:21598008:1 gene:gene47033 transcript:rna47033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MEVPKGYLAVYVGEEMKRFLIPVAFLNGPLFQELLSQAEEEFGYCHQMGGLTIPCKEDVFLHKTSRLNGL >RHN78036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11443516:11446174:-1 gene:gene1550 transcript:rna1550 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MNPPSGTIVFNTVGRTQYGFDVFYTHLNNNHNDHRLTDGISVNFNAQFTNDHQTIVFVSERTGSPRFYLTRSENKPELLLPSLPNNTLFQDCPIIKNSKIYFSSTHDQPNALFKSASAVYSTAVDGTGTLTRLTPHGVVDYSPAVSLTGNLIAVASYGSRNWDGDFRELKTDIVVFEESVPENRVVVAERGGWPTWLGDSTVFFHRIADDGWWSIFRADLKDSNLTGIQSSPIRITPPGLHCFTPAAIQNSNRIAVATRRKESDYRHIEIFDLETKTFQKITYSVNPDFHHYNPFVSPDSCYIGYHRFRGESVQGESTYPHLDQVKSPVDDIRLLRLNGSFPSFSPDGDFIAFNHELDGNLNGGVKIIRSNGSKRWSLLKGRTCFGNSWSPTEKNVIYTSIGPIFESVTKTVQIARIEFEPDHLSKDREEIPFTLKILTKYDSGNNAFPSCSPDGKFIVFRSGRTGFKNLYIVDAVNGEEVDGGLRRLTEGEWIDTMPCWSPKGDLIAFSSNRHDAKNSEVFGIYVVNVDGSGVRRVEIGKGVDGERERLNHVCFSGDGEWLLFTANLGGVSAEPVGLPNQFQPYGDLFVVKLDGSGLRRLTCNAYENGTPTWHHGELLLCNDGDEDGDNGDWDKLKGAFQEPLWITCDI >RHN78097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11865148:11869350:1 gene:gene1613 transcript:rna1613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Extensin domain-containing protein MTAKASSPKRGHNWPPMAMAFVIVLISTSVVSAAADSYIYSSPPPPYVYKSPPPPSPSPPPPYEHKAPSYQYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYEHKAPSYQYKSPPPPSPSPPPPYIYKSPPPPSPSPPPSYIYKSPPPPPYEHKSPSYEYKSPPPPSPSPPPPYEYKSPPPPSYEHKAPTYSPPPPSPTPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPYEHKTKPYYYKSPPPPYEHKTKPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPIPHTPYYYKSPPPPKVLPPPYYYNSPPPPVVYHHPHPYHHALIVKVVGNVYSFRCYDWEYPEKSHNKKHLKGAVVEVTCKAGSKIIKAYGKTKINGKYAITVKDFDYVKYGSTVCKAALYAPPKGSPFNIPTKLNQGTNLYLKSKDKYEVVLKAKPFAYASKKHFKECEKSKPSPTPYYYKSPPPPSPVYKYNSPPPPVHYYSPPYYYKSPPPPVKAAPTPYYYKSPPPPAPVYKYNSPPPPVHYYSPPYTYKSPPPPVKAAPTPYYYKSPPPPAPVYKYNSPPPPVHYYSPPYYYKSPPPPSPSPPPPYYFKYYYKSPPPPSPSPPPPYYYKSPPPPKQISHPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKEISHPPYNYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPSPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYHYQSPPPPSPISHPPNYYKSPPPPSPSPPPPYHYVSPPPPVKSPPPPAYIYASPPPPIYN >RHN57313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38069654:38071965:-1 gene:gene32838 transcript:rna32838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminocyclopropanecarboxylate oxidase MMMNFPIISLEKLNGVERKDTMEKIKDACENWGFFELVNHGIPHDLMDTLERLTKEHYRKCMEHRFKEVISSKGLDVVQTEVKDMDWESTFHVRHLPESNISEIPDLSDEYRKVMKEFSLRLEKLAEELLDLLCENLGLEKGYLKKAFYGSRGPTFGTKVANYPQCPNPELVKGLRAHTDAGGIILLFQDDKVSGLQLLKDGQWVDVPPMRHSIVVNLGDQLEVITNGKYKSVEHRVIAQTNGTRMSIASFYNPGSDAVIYPAPELLEKQTEEKHNVYPKFVFEEYMKIYAALKFHAKEPRFEALKESNVNLGPIAIV >RHN74135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:28935069:28936207:-1 gene:gene10130 transcript:rna10130 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLLNTQNALFIFYLLHFVFVFPIAIVLIVDCCLCILFQGS >RHN80499.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38622205:38622444:-1 gene:gene4434 transcript:rna4434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MFRSYYKCTAPGCSIRKCVERAWVDPKDVITTYVGRHNHDVPTSMANSHTISEEPSFDGIGNGGDTI >RHN50650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8841485:8842120:1 gene:gene34960 transcript:rna34960 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MFLLLLDNFTCGKNFSTHMMLCCGTVIGIECKDEVVMALAGLAADGMQIVAGAKSEAYNYDSWFAPFADHPLFFLNMYIILPCLLIKLIHYLYDWIVICYKG >RHN76313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49325863:49328969:-1 gene:gene12615 transcript:rna12615 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M20 MLSFMAFFKCVNMLIIIFIFFLCATPIFSDSSSTNSKDHLAIPKLLELAKEPQVFDWMVDIRRKIHENPEVGYEEFETSKLIRTKLDELGVPYKHPVVVTGVIGYIGTGLPPFVALRAEMDALLMQELVEWEHKSKVPGKMHGCGHDAHVAMLLGAAKILKEHEKELQGTIVLVFQPAEEGGAGAKKILDAGALENVSAIFGLHVGPNIPLGEVASRSGPMLAGGGFFKAVIRGKGGHAANPQHAIDPILAASNVIVSLQHIVSREADPLETQVVTVGNIQGGGAVNVIPDSVTIGGTFRAFLRESLTQLRHRIEQVIIGQAAVHRCNATVSFLDDKIPSVPPTINNDSLHDYFQSVAGSLLGIDKVKGHHLLMGSEDFAFYQEAMPGYVFIVGMEDVSVERLRSWHSPYFKVNEDVFPYGAALHISLATRYLAKLNQEVPAEDGKYHDEL >RHN79506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29684433:29698410:-1 gene:gene3305 transcript:rna3305 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKSHSTNNFLPLSSSNCCLSNGSSSVGRKVSDLHCLLFSKWGSSRKGCLIQHDLSSSSGQGSVSCRKHYFTFSKMGRSVNLLPFATSDDGMAVNGSPQADASANLEKMRVKLNSSLEDEDFYDGLVQALYDAARVFELAIKDHKSSSRVSWFSTAWLGVDQTAWVKALSCQAAVYSLLYAASEISSRDDSRDRNVNVFVQRSLLRLSAPLESLIRENLSAKQPEVYEWFWSEQVPAVVTSFVNKFEGDGRFTSAIALSGKTKGLSSASDVSLLLLTLTCIAAIAKLGPAKVSCSQFFSMSTEITGSLMDMLVGLIPVSQAYNSIKDVGLHREFLVHFGPRAAAFRANVEWGSEEVIFWVNLVQKQLQQAVDKEKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTRTFLLANGFDTPNDPIEDFIRYLIGGSVLYYPQLSSISSYQLYVEVVCEELDWLPFYPGITSITKQSRGHSKPEGPPNAEAVTQAFAVCSYWMQSFIKYSTWLESPSNVKAAEFLSRGHNKLMECMEELGMIKDKTLESDAKKIVDGQRSTIQSTIKGSGSFDEALKSVEEAVIKLEKLLQELHVSSSSSGKEHLKAACSDLEKIRKLKKEAEFLEASFRAKADSLQEGVNSDQTITPVGEEDRFMEGKSRKNDNVKVDRSKRQIGKSRGFWSIFVPPIPRKPEPEPDVDAHENYIEQPAPNVGVVDQEPNEILRFELLRNELMELEKRVQRSAYQSENNEDLMISDDGARYNGDAGGVQMVRVQKNENFIQKSFDKLKETGTDVLQGTQLLAIDVGAASGLVKRTLIGDELTEKEKKALKRTLTDMASVVPIGILMLIPVTAVGHAAMLAAIQRYVPSLIPSTYAPERLDLLRQLEKVKQMSMSTSDVDSDDEMDEVK >RHN46867.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35420428:35420778:-1 gene:gene41402 transcript:rna41402 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFTLSRLLAHLSPTQEETLKLNVDGCFPEDSKCLGVGGVICKHYDDWVADFSHCKIGGDVLQAKLCVIHMSLDFYHNKSRNNIVYESGCLKVVELFIVSLRSYISHLRIRYLV >RHN56069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27339779:27341321:-1 gene:gene31395 transcript:rna31395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan 1,3-beta-glucosidase MLPLNAIQTWNHVFSWRLDHWSSFIVEDDFKFIARNGLNAVRIPVGWWIASDPTPPWPYVGGSLQVTPPWQLEFQLETQVWCPIFLGIQKTLVYIELLNEPLSPGVTLETINKYYKAGYYAVRKHSTTTYVVMSNRLRPSEPKELFPLANGLMRSVIDVHYYNIFDDSFENMSAQQNIDFIYNNRSSELNFITTSNGPLTFFGKS >RHN78614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16772179:16773045:-1 gene:gene2240 transcript:rna2240 gene_biotype:protein_coding transcript_biotype:protein_coding MFEPVQPKYIKAVAGFSSIFYIPFSLSLSFSHLISQTLSSLSYLLHLLRLERWWPEVAPTQWPASHRGGAPELKKLSSLFSFFFCAFSRLPPLYTVCVCVCPVC >RHN75453.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42409940:42411776:-1 gene:gene11664 transcript:rna11664 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFFAEAVAIHNLKHTFTMMYKYQLIFETYNQSLTSCFLLRSASAYFGFK >RHN42602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39635675:39638003:-1 gene:gene49059 transcript:rna49059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MKDSNSNSNSSSTSSRTEPIGQNLIKLISNLCFSFFVFSVLIFTVIAITYQPPDPWLQSSPALTNLFTQPQNATFHIDTSVIKTGEDLTLSPQPSPADSTPAVTEAVIEKSEENIANSSATAPSSSSSSSCDELLGTLNCSDPRVLIAIQRFNLRAFKSISFFEYQTPVNGAVSGDCDVSWRFRNKREKSWRKYRDFRRFRLTVTDDCRYKVIHAGGFHSGVNARRNPVPRPRTGGKGKTLPRVTNRDDEINDTIPSLGSETNFRNGKYLYYSRGGDYCKGMNHFMWSFLCGLGEAMFLNRTFVMDLSVCLGAMYNPSNKDEEGKDFRYYFDFEHLKEVASIVEEGEFLRDWKRWDKTHLKKKKVPVRKVVTHKVTPMQLQKDKSTVIWRQFDSPEPENYWYRVCEGQAAKYIQRPWHALWKSKRLMNIVSEISGRMDWDFDAVHVVRGEKAQNKELWPNLDSDTSPDALLDKLKGLVQPWRNLYIATNEPYYNYFDKLRANFKVHLLDDYKELWGNSSEWYNETSLLNNGKPVEFDGYMRVAVDTEVFYRGKTRVETFYNLTKDCKDGINTC >RHN65184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:72406:76127:1 gene:gene13001 transcript:rna13001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ABC transporter permease MalE MQTAFYSHPVFCFSCRNLSINPKSGLVKIRAPYLSQLDSKCEFNRRPHIYNSPITIKPNRLFVLPNTDDGHPTASTMDDEMNTNHAPNVISPMFLSNWSPPRYLWRGLSVLILAGQVIMKILKGKVHWRNTLQQLERVGPKSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSVGGVLALAFSRELSPVVTAIVVAGRIGSAFAAELGTMQVSEQIDTLRVLGSDPVDYLVTPRVIASCIALPLLTLLCFTLGLASSALLADGVYGISINIILDSAQRSLRAWDIISAMIKSGAFGAIISIVSCAWGVTTMGGAKGVGESTTSAVVISLVGIFIADFALSCCFFQGAGDQLKNCV >RHN44101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1673475:1676156:1 gene:gene38160 transcript:rna38160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MNLIKYLVSNFFGSKIWMLFFLIWDLIVGIQSAATVTSHQLQMEANAILNSGWVNIDNMVPDYNISNRCNWPDITCNEVGSIKAINIDNMMPRYTGTVLFERLNLSTFRNLESLVVIGHHLPKTILKEICLLSKLTHLQLSRNYLESQVPHSLGNLSKLTHLNLSNNILVGKLPPSIENLSKLTHLDLSANSLKGQVPPSIENLRQLNYLNISFNFIQGSIPPELWLLKNLTCLYLSNNRFKGEIPSSLGNLKQLQVLDISHNNIQGSIPLELGFLEYLSSLDLSHNRLNGNLPIFLSNLTQLQYLDISHNLLIGTLPSNWFPFNNYLLSMDLSHNLISGKIPSHIEDVYYKLNLSNNNLSGTIPQSLCNFYYYVDISYNCLEDPIPNCLQPSNKENNNLTVISFNQFHPWPIHKKNKKLKHIVVIVLPILILLVLVFSLLICLNLHHNFRNKLDGNSTKTKNGDMFCIWNYDGKIAYDDIVRATEDFDMRYCIGTGAYGSVYKAQLPSGKVVALKKLHGYEAEVPSFDESFRNEVKILSEIKHRHIVKLYGFCLHKRIMFLIYQYMEKGSLFSVLYDDVEAVEFKWRKRVNTIKGVAFALSYLHHDCTAPIMHRDVSSSNILLNYEWQASVCDFGTARLLQYNSSNRTIVAGTIGYIAPELAYTMAVNEKCDVYSFGVVALEALVGRHPEDILSSLQSNSTQSVKLCQVLDQRLPLPNNDVVIRDIIHVAVVAFACLNINPRSRPTMKRVSQSFVTELTPLSIPLSEISVQQLMSQELKGLFYIGNP >RHN42153.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35871508:35873279:1 gene:gene48557 transcript:rna48557 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MVCHRVINSVFWCFIFLVVLVTFFTTKVSSSKQSEIEGMEMNVIDQCWRFNPEWRKYRQQLALCSVGYAGKMTNNIGKDLIHYKVTDHSDDPLNPRPGTLRYGASKIQGKVWITFQKDMNIKLVRPLLISSFTTIDGRGVDVHIVDNACLMIYKATNIIIHGIRVHHCRPQAPGMVMGPDGNIMPLGQVDGDAIRLVSASKIWIDHSTLSDCQDGLLDVTRGSTNITISNNWFREQNKVMLLGHDDGFVRDKNMKVTVVYNYFGPNCHQRMPRIRHGYAHVVNNLYMGWVQYAIGGSMEPSLKSQSNLFIAPTVGKKEVTWRKSSNEVGDTWEFYSVGDAFENGASFMETKGGQVTKPNYNPEQNFEVADAKSVRSLTRSSGVLQCSKTSIC >RHN56012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:26753414:26753827:-1 gene:gene31325 transcript:rna31325 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMLKFDFNLGEQKVKFELMKQCAATSGNHTTEQKQPQNLLRVK >RHN74028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:23773508:23780995:-1 gene:gene9955 transcript:rna9955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-Pl-6 family MAFDQTSSPKTMLPPPVNNVIRSDESVNVGSGAAVPVYYPDTGLVGVGYGNVASGGGSSTTWCIRPHPPLNPNVGFNFPNRVAVGNAVDLSGSFVATSNGYPLNLGNWVTTGNALDSNSNSNSNNSIQYGSRVIGNGTGVGLIGTPSDHVNQSASQASENSGDDSVSGRKLKFMCSYGGRIYPRPSDGLLRYVGGQTRIISVKRNVSFNDLVQKMVDTFGQPVVIKYQLPGEDLDALVSVSCADDLDNMMEEYERLIQRSKDGSPKLRVFLFSASELDPSGLQQFANLNDGGQKYVEAVNGIFERIDGNKLTRKGSLTSAASTQNSDLSGFEAPDSTNAAQVDVNGLPMSTTLSPDANVIASHDATTANVMVSDPVESVHSSVSAVSLGIPVANSAPTQPPPFQNEVEVEKLVPVTLSQQQFGLQQSGMQIPPSAPLQAFTDPRQQVLNHPDYVPMPAHMGFPNPQPVGRSGTIYSQQYFHDNTARFTSQQVIPALHVTMTQPSSHAGIRPSVIQPQQVIHGQQNGFEQYYDENTSGLRMHHQLISEQSCKAYPVQVPFGGNYGWVQISPSEHVFYHDGMLPQQPVMVPQRVEDCYMCQKKLPHAHSDPVVQDQHNSCVGSVPDSMPSYNSLPVEDNLRAQPTNRVSVTAQVKEGNVNVEQAVGTRPRDIISCGDTSGLPLEAEGERNRSDHPRNAVIIPEMVARTGEKQSSGDGLTGTAPLSYLDDFARQHMVPVETWAKEDVVLNTPVNEIPLVGGTSVENSVCRVQESSTEYTNELANTISKADVVENWIAQDLLKPIDGGMDNLKIRNPETFVNNDNFDYSTQHAIEKKGVDLDNIGRSKLIADGNQIKMVDTLPNPTVEISYGNKSRPVEYNEVAHSPVWGSPGTNPQSKNGNHQKDDAVLSSISQPVGFGHVQDSSNSLFSNQDPWNIHGTYYPPPRPDKVTSKKETYSYMDQLGENSGNGVEQKFDGQLNDGLYQTFKQNSTLEEARYTKEDQQLQAVAESSAASVLHSSTSNPDLHARDVSLHENIEDGDVQNNLLDVHCKEKAQDDKSNLSEKANFGFPASDVGSLQIIKNSDLEELVELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERLRADFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRNACQKNGRNLDKRKRLMIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYADLHYGAIIGGIVNNTLRPPVPESCDPEWRVLMERCWSSEPSERPSFTEIANDLRSMAAKISPKGQNQQQQPASSQNQVQK >RHN81920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49810087:49813539:1 gene:gene6018 transcript:rna6018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative abieta-7,13-dien-18-ol hydroxylase MKFIDFLFALKPLFPILIAIALAGFIIKIHGSRFFDKKRRYHPVAGTVLHQLFNFHRLLEYMTDLTSKRKTYRLLSFNRSEVYTSDPANIEHMMATNFSNYGKGWYHHSVLEDLLGDGIFTVDGEKWRHQRKSASYQFSTKLLRDFSSSVFKSNAVKLAGIVSEAATSNNIIELQDLFMKSTLDSVFKVILGVELDTMCGTYREGTQFSNAFDEASAAIMFRYVNFLWKVQRFLNMGSEAVLKKNLRVIDEYVYTVIRSKIEQSQKPQNNSSELKGDILSRFLELNETDSKYLKDVILSFIIAGKDTTAITLSWFLYQLCKHPHVQEKIAQEIMEATKVEDGSTIDELAARLTEESMEKMQYLHAALTETLRLHPPVPVESKYCFSDDTLPDGYSVTKGDLVSFQPYVMGRMKFLWGEDAEQFRPERWLDENGNFQRESPFKFTAFQAGPRICLGKEFAYRQMKIFSAVLLGSHSFKLADQNKLVKYRTSLTLQIDDGLHVNAFHRNK >RHN82488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54184166:54184399:-1 gene:gene6657 transcript:rna6657 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKSVACGGEKRKCVCLLLDLFQRKRESGFGLEWKRNRTCIRIEMNLDYFVGLIFGQFWDFN >RHN40574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17769749:17770210:1 gene:gene46737 transcript:rna46737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MLLCQISTLPLKNLTSLHFFCYYNLFPADGLRVFCKNITTLTSLTCSGLQCLNSTYLFLIADCFPFLEELNIPSPIFLIDNESLLDGVEVLSLALSKLRKVDLTGHHYITDQSLIHLFKNWKLLEEAIILDCDQITNDGIASSLHERPPTLKS >RHN80815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41018532:41020538:-1 gene:gene4782 transcript:rna4782 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MVEEDTQKRRRIVIIGVSTFLLVAMVVAVTVGVNFGANDSKDNNGNTHMASTVKAVKSFCHPTDYKKECEENVIANAGNTTDSRELIKIAFNVTVTKISDGIKKTNLLHEVEKEPRAKMALDTCKQLMDLSIGEFDRSIEGIKNFDLNNLENILVNLKVWLSGAITYQETCLDGFENTTSDASKKMKNILTTSMHMSSNALAVISDLADNVLDLNATTDGRRLIDDYKGEYVGEQVVAKDDVNDVPSWVGDGLSVGVRRLLHVNQHKLKANVVVAKDGSGKFKKINDALKQVPKKNQKPFVIHIKEGVYHEYVEVTKKMTHVVFLGDGGNKTRITGNKNFIDGINTYQTPTVAIEGDNFVAINIGFENSAGPQKHQAVAIRVQADKAIFYKCSMDGYQDTLYVHTMRQFYRDCTISGTIDFIFGDAISVFQNCTFLVKKPLENQQCIVTAQGRKERHQPSGIVIQNCHIVADTHNVKFDNKAYLARPWKNFSRTVFMKTYIGDLIQPDGFMPWQGPNGTVSGIDTCFYAEYNNKGPGSDKSKRVKWPGIKTLTSQSASHFLPSMFFHGDDWIKVTKIPYSSGEKSTKK >RHN43125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43397130:43400127:-1 gene:gene49653 transcript:rna49653 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQDFEPIFAEPKLEWKPHCSHPLRPFLFHVHPPNSSHLVIHVTNFHSDTWEAHLSVSSLEDIMDIIGIGGSWSEFANYFVNSLKSEDLKLVLEPNSNSDGVSSAKLIAQKSKGMPLITIPLTKLVDSSASEAVSNLSLSLFKAFRSTKCSLVDVQERSVQLTNMMASEKERNETIPLDRRQKFQKISDSEKAGVSNNGAQNSPDKQKARDTGTTKVKNRVMPAHRRTKVRGALLRDSDPES >RHN78203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12764559:12765154:-1 gene:gene1737 transcript:rna1737 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIFSNETLVEEFVPTEEDMENEVLVQAVNHFEVGFRDDANPLFDVQTYLAEHQKLMGEHKDFLNRVSTLEDDMRTLKANNVESRENISKPSGGEVGSGSKYVGDRCVKKNAVNDGVKNEAGKEEENNNSMDKMKLDGEDVDEKGEKRDLSLKYMFGFNKIELI >RHN68192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33576494:33580782:-1 gene:gene16507 transcript:rna16507 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRQYSFRKPDLLSLRKLGKKVLCTDDFFEQHGNLLGVVKTDIDEGLLNAFVQFYDPGYHCFTFQDYQILPTLEEYSCWINLPVLDKVPFSGLEETPKHSTIAAALHLETDEVKTHLITRGKFLGFSTDFLYERTTFFDKMGVAYAFNSILALLVYGLVLFPSLDNFVDIKAIQIFLSRNPVPTLLGDTYLSIHRRTQAGRGTILCCAQLLYRWITSHLPRTPRFTTNPENLLWSKRLMSLTPAEVVWYDRVYDKGTIIDSCGKFANVPLLGMEGGISYNPTLARHQFGYPMERKPLSIYLENVYYLNADDSTGMREHVVRAWHTIRRRDKDQLGKKTGAIHQSYTQWVIDRAVQIGMPYKISRLLSAITPAPPLPMTFDTEKEYQERLTEAEREIHRWKREYQKKDQDYETVMGLLEQEAYDSR >RHN65795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5484516:5486273:1 gene:gene13685 transcript:rna13685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MTKSRYIYIFFYIKQTIAIDYKALIVCYFLQRRIFKPLFKAIVFGLNRERKRTMALHESITNQTNVSLRIAKHLFLKELDKNIVFSPLSLQVVLSIIAAGSEGPTQQQLLDFLLSKSTDHLNDLASQLVSVVLFDAAPTGGPRFSFVNGVWVEQTLSLQPSFKEIVSSDYKATLLSVDFKTKAVEVTKEGNLWAEKETNGLIKELLPPRSVDSLTRLIFANALYFKGAWSEKFDVLKTKDYDFHLLNGSSVKVPFMTSEENQFIEAYGGFKVLRLPYKKGKDKRRFSMYIFLPNAKDGLPALVEKLAAKPHLLHYSLHPAEAEVVEFRIPRFKISFELETSDMFKELGVILPFTRGGLTKMVDSPLVGNSLSVSKIFHKSFIEVNEEGTEAAAASAGCYEEELDSKERIDFVADHPFLFLIRENSTGTILFVGQVLNPLDE >RHN71670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1816588:1816944:-1 gene:gene7294 transcript:rna7294 gene_biotype:protein_coding transcript_biotype:protein_coding MALFNLNLDLMIVSALLLCTTTMTQSPPSLPRKTLSVVYRSTADSPSTGSSPLEPSAASPSPSDHPQQPADDQQSVLPFIHGIQIFLAIYLSILCLLIIVVMVFLLFLFVKYIRSRCL >RHN59744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12738970:12739275:-1 gene:gene21854 transcript:rna21854 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L14 MIQPQTYLNVADNSGARELMCIRIIGASNRRYAYIGDIVVAVIKKAVPNSSLERSEVIRAVIVRTCKELKRSNGIIIKYDDNAAVLIDKEGNPKELEFFPQ >RHN77149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4453036:4453266:1 gene:gene574 transcript:rna574 gene_biotype:protein_coding transcript_biotype:protein_coding MIWVLVLSLCSRWFALCYYSAFSLSLDFGIGFLVGRGFGQVKVSVLVVRELRGAFLPCVGSLVGQSLLIRGREQVL >RHN67877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31121704:31126093:-1 gene:gene16122 transcript:rna16122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative taxadiene 5-alpha-hydroxylase MVEDIPFIAICVLTLSLVFLLKKILSKSQTKNVPKGSLGYPIIGETLNFLKAQRQVKGSEWIEERVSKYGPVFKTSLLGSPTVFIIGQQGNKFILGSSDDVLSANKPPTIQKILGKQTLAELIGSRHRLLKGEMLKFLKPECLQNYVKKMDELVYKVLLKELKENKTTQVVRLMKKLSYDMACNVLFDIDDHTREILFEDFTTAFKAIHSLPINFPGTSFWGGQKARARIVAKILPIMNKRREDLSKGVLSSTNDMLSCLLAIRDENHQPLSDDIITDNFIFLFVASHDTSATLMSLMIWKLSRDQEVYNKVLEEQMEILKQREANEEGLTWGEIQKMKYTWRVAQELMRMIPPLFGAFRKALKDTCYQGYDIPKGWQVYWASSGTHENKDIFENPYKFEPSRFENQTKPIPPFTYLPFGAGLHNCIGNEFARVETLTTIHNFVKMCEWSQLNPEETITRQPMPYPSLGLPVMIKPRCNMSS >RHN78955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20257006:20258492:-1 gene:gene2624 transcript:rna2624 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSTPNHVGGSYEPLNCFRTTVYPDEDHIEVPVRFMNDWKHELIKQSRGWIKDLVENSICIDTSCITSHYIITGGIMISHKCGFQNPQTVMLSYQPIDNHFRMEIAHEELNEDSVCLSTEFSTRLCLNGDNDGNCSFRSDSDDVSDECYDGYSYNWAVKVTDSIAKGESVLHFPQFVVQRFPFLNPHHVHVFEVFSSYTVQCTVRTITKPDGLDEKFLTNG >RHN55905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25312930:25314651:-1 gene:gene31190 transcript:rna31190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase, Very-long-chain 3-oxoacyl-CoA synthase MPSMLPNSSNSSKHKYVKLFYQYFVNHFITLTLLTIMICIFIELVRLGPFEILNLFKSLQFDHIQILCSCFLIIFISTIYFMLKPRTIYLVDYACFKPPITCRVPFATFMEHSRLILKNNPKSVEFQMRILERSGLGEETCLPPAIHYIPPKPTMEEARREAELVIFSSMDTLFAKTGLKPKDIDILIVNCSLFSPTPSLSAMVINKYKLRSNIKSFNLSGMGCSAGLISIDLARDLLQVYPNSNAVVVSTEIITPNYYQGKERAMLLPNCLFRMGGAAILLSNKKAEHKRAKYRLMQVVRTHKGGDDKAYRCVFEEEDREGKVGISLQKDLMAIAGEALKSNITTIGPLVLPASEQLLFLFTLIGKKIFNPKMKPYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSAEHVEASRMTLHRFGNTSSSSLWYELNYIESKGRMKRGDRVWQIAFGSGFKCNSAVWKCNRSIKTPVNGPWEDCIDRYPVHIPEIVKL >RHN78863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19330268:19332890:-1 gene:gene2524 transcript:rna2524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MLAISPPMFSTIGWPFEESLSHNQHQNQLFNFHDQVEAEINSTDPSQSTSSDLSMVKKLVHNASERDRRKKINNLYSSLRSLLPVFDQMKKLSIPATISRVLKYIPELQNQVEGLIKRKDEILLRLSPQVEEFILSKESQRKKHSYNSGFVVSTSWLNDSEITIQISCYTVHKIPLSEILMCLENDGLLLLNLSSSQTFGGRVFYNLHFQVDKTHRLESDILNEKLLSVMDKEGLFQVNKN >RHN41847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33557236:33563354:-1 gene:gene48210 transcript:rna48210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLHRALLSKFILKPSNVSLFQFNQQRGLHSRNKKAMEFIAKGWNALKEVDRVIDYCELNDRRLIPLLNTAKENFELALEADNSNTHARYWLSRLHMKYHVPGANKAVAAALLVEAADMGDPDAQYALGCHLRVENEDIHSDQQAFYYLEKAVDQLHPDALYLLGAVYLTGDCVKKDIASALWCFHRASEKGHAGAAIAYGSLLLKGVKIPESLIKFSLKRGSAAQMRGKNKESITIDPVEMAKEKFQIAAKAGCDLGFKWLARLEEEEKRLLTQDY >RHN56776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33662763:33668506:-1 gene:gene32225 transcript:rna32225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MQGGLRSFLSDRNVIKNAVLQRVCMVNPLLQPVAFSRFESATPARIEEHGFESTTISDILQGKGKGADGSRLWCTTDDTVYDAVKSMTQNNAGALVVVKPGEEKSIAGIITERGTKCSHSYPSPSTMKHFWRFTLKASKSSKFNELHTLSNPTFIKQYSNSNTPQNPNKVLDLVSFLKPSTSIPNPESTEQIPNHVLDLVSLFKPPNQEDKVAILTKDLICVASDSVRVSSILDQNSEYLIGSHPVYIQLLNQLNSKPSLLLEVINWRRKRKLSFSDACRNSMHAHEYSKGIKAAGRSRNIDLAVELFKEAEFKGLKITSTYNALMGAYMFNGLSDKCYSLFLDMKKDPTCYPSVATYNIVISVFGRLMLTDHMEATFKEMNELPLAPNISTYNYLIGGYISTWMWGDMERVFQVLNSGPVEPNVKTYLLMIRGYAHLGNLEKMEKIYSLVKDNVNDIPIIRVMICAYCKSSDADKMNKVKALLKLIPEKEYRPWLNVLLIKLYAEENCLEEMDNAINEAFKRRTVVTTMGWRMCYSLYHSKLVMYGSQKNLREMQNVLEEMDSVNMQRTKKTLWIMYKAYWSCGQRSLVLKILGQMFKHGHEVPIDAFPS >RHN61632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37024232:37026810:1 gene:gene24116 transcript:rna24116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MASMEDINMCTEQITLPGFRFHPTEEELLDFYLKNMVVGKKLRYDVIGFLNIYHHDPCDLPGLSKVGEREWYFFVPRDKKHGSGGRPNRTTEKGFWKATGSDRKIVTLSDPKRIIGLRKTLVFYEGRAPRGTKTDWVMNEYRLPDNSPLPKDIVLCKIYRKATSLKVLEQRAAEEEEMKQMVGSPTSSPPSSTDTISFNYTQQDQNMSMPLLFQQVIPKKESEHEIIKTEDMVSLSSHEKIVKDNKKPCGTSLQLPLGKDNVPELQLPIAADWTQDTFWAQFNSPWQHNWSAYTNILNF >RHN59113.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7566847:7571238:-1 gene:gene21102 transcript:rna21102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MLNHGSNVSAEVGGCSDEELWKAIAGPLVDVPCVGQSVFYFPQGHMEQLEASTNQELNQRIPVLKLPTKILCRIVNIHLLAEQETDEVYAQITLVPESNQNEPTIPDPPTEELPRPKIHSFCKILTASDTSTHGGFSVLRKHATECLPPLDMSQPTPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDTFVFLRGENGELRVGVRRLARQSSSMPSSVISSQSMHLGVLATASHAVATQTLFVVYYKPRTSQFIVSVNKYLSAVSNKFAVGMRFRMRFESDDSAESDKRFSGTIVGVEDISPHWANSKWRSLKVQWDEPSAITRPDRVSPWEIEPFVSSASTATVQPTAAKTKRPRPTSEIPDVDTTSAASIFWDARMSQTDMTQRIMNSKTNNNATLRNQTEGSWLSSPRSSYPSHLLHDTTDDGKSVSAWPVSQPQSSILNIDRMLDQVEKDNKVETATTYRLFGIDLIDHSKKSAAVEIPSSHAVNGNGVTTEVSSSTLSSSDTARKSDISKASFERKQEPQQVSPKETQSKQICSRSRTKVQMQGVAVGRAVDLATLNGYDQLIGELEELFDIKGQLQHRNTWEIVFTDDEGDMMLVGDDPWP >RHN78082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11756324:11756959:-1 gene:gene1597 transcript:rna1597 gene_biotype:protein_coding transcript_biotype:protein_coding MHSERVKQSHPTSREELENHVVDDVTPTLSDDVEHNHSRPQELVESPKGAHEHVPHSSIVEHDDVHEVSKESPQVQTENLVEHVDMHSVPNQSPMAARSIDNYVVSHEAVVISLEGTEEVVQADVNINVSHNQEDEHVTAEIQQQEVHPSKNIQHSLDLWERVRQYDERSTVEDFTPVLTRNQKQKLELQQVLQKQPTKTHGRGNPSTTAQ >RHN66606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14890556:14891290:-1 gene:gene14633 transcript:rna14633 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAKDAYTKLSTDLRESDAMSILMEDFPSICKHDPLDVQMNFIKDHFVVTGKKIRLEYVLETMYYGALPVEKGRKTKRKALAKDEYLGDAPEQPAKKAKRAKKVEAAIHENIVGPTIATIQEEVEDLEADKILTKRTRSGKSAATSQAAPNQPSIPEKKRRQAIRKLKLADYVMEEEDQIEATTDLVSRELKKKKDEEESSLQKDAEVAASLPKALEIAKDIEVPASSIVREDVGTDAQEVI >RHN68870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39224064:39227999:-1 gene:gene17285 transcript:rna17285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 13S-lipoxygenase MTLLLKKPHVHRPYTINNASLPSYIRRKYSHSCYSKSNWFLKSSLSLSSISISTSKKVVCPSIVMATKSSNETKSHNVKALVTLKQSDGGLIKNIVTGIVGNKHLVLELVSAELDPRTNSKKETIKGKAHETEKKENEVQYEATFELPVDFGNVGAVLVENEHDKEIFLKNIVLDGFPDGPVHLTCQSWIQPKHDTPTKRVFFTNKMYLPSQTPSGLRKLREDELIELRGNGEGEKKSSDRIYDYDVYNDLGDPETNIKLKRPVLGGTKQYPYPRRCRTGRKHSNTDPSYETRDSDFYVPRDEAFAEIKDIQFNTTTASSGVKTILQSLDTILTDINLGFDSFEDIDELYKQGFPLPSSLGSNNLTLLQKAIPRLIKVAHDSQNLLRFDTPEALKRDKFFWLSDEEFARETLAGVNPNSIQLVKEWPLRSKLESQIYGPPESAITKEVVESQIIGYTTVEEAIQEKKLFVLDYHDLYLPYVSKVREMKNTTLYGSRTLFFLTKQGTLKPLVIELTRPIIGDKPQWKQIFTPASDSTNLWLWRLAKAHVLAHDTGYHELISHWLRTHCVVEPFVIATNRQLSTMHPVYKLLHPHLRYTLQINALGREILISSYGVIESTFFTKKYSMELSSVAYDKLWQFDLQGLPNDLLHRGMAVEDPSAQHGLKLAIEDYPFANDGLLIWDAIKQWVTDYVNHYYPNPSIIESDQELQAWWTEVRTKGHGDKSEEPWWPNLKTQKDLIDIITTIAWVASAHHSATNFAQYAYGGYFPNRPTIARNNMPTEDPTKEEWEKFLNKPEQTLLECFPSQIQATLVMTILNLLSYHSPDEEYLGQLIEPSWAENPAIKVAFERFNGRLKEIEVIIDSRNANSNFKNRNGAGIVPYELMKPFSGPGVTGKGVPYSISI >RHN49477.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55044978:55047966:-1 gene:gene44314 transcript:rna44314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MDTIIVERKKRISTLHLLLAFSFTFLLQTTIRVECINLNYTKYRQVSSLRLERIQRHLNKINKPPVLTIESQDGDLIDCVHKRKQPALDHPLLKNHKIQKRPTTMPKGMDMNINEESREDERSSKSGVAWQMWHQNGTRCPKGTVPIRRSTVHDVLRAKSLYEYGKKQRRSQLLFGRNEPPEVVNNGEGHEHAIAFTKSGEEVYGAKATINVWDPTVEVVNEFSLSQIWILSGSFGGSDLNSIEAGWQVSPELYGDSRPRLFTYWTSDTYQATGCYNLLCAGFVQTNSKIAIGASISPLSSYNAKQFDITILIWKDPQLGNWWMRFGDGTLIGYWPVELFTHLADRATMVQWGGEVVNSRANGQHTSTQMGSGHFAEDGFGKASYFRNLEIVDTDNSLTSATNILTLAQNKNCYNIKSSYNNKWGTYFYYGGPGNNPQCS >RHN43462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46076779:46077618:1 gene:gene50044 transcript:rna50044 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTDLLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >RHN53194.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42714017:42717750:1 gene:gene37973 transcript:rna37973 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMNNSSESETAIWKEIEISESYLVCSMYEEAESLSSSILKQLRNDYYHDTPISPTQDMFESTAMVLVQAFNQLGKTAEILNQLREYFISLKAIPAQVLLTGACLQIGQGSTLGVREFLEEFLDGWSLGDGQYDAVIAEVNEERESRFERNYVIGIDEYLEVVEVYAIILLATVLKEVDLAVSWVENAPLPEKNRQELLRRLHSIHSLRSTTSSEVASLQSPTNNNETYSSKKIHEYRGSPRALTSKHAVVSKLSERIETCSWCFRAINLKFANAKIVVPSGKIMLGCLILFACYILRRKQATLKRIVRRQVIVTKKALVDLWELAFSHQVNPLAAVQPLATATRQGQ >RHN58913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5889020:5892208:1 gene:gene20869 transcript:rna20869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MRITLVSLFSFLICYYSIYITFQITTIASAKCLEDQQSFLLQLKSSLMFKPEISNKLKLWNSSVDGCEWIGVACDSKGFVVGLDLSEESISGGFDNASSLFSLQHLQKLNLAANNFNSVIPSGFNKLVMLSYLNLSYANFVGQIPIEISQLTRLVTLDISSVNSYITGQGLKLEKPNLQKFVQNLTSLRKLYLDGVSIKAQGQEWRNALLPLPNLQVLSMSYCDLSGPLDSSLTRLKNLSVIILDGNNFSSPVPQTFSNFKKLTTLSLASCGLTGKFPKTIFQIGTFSFIDLSFNYNLHGSIPEFLLGGSLQTLRIRNTSFSGAFPYSIGNMGHLSELDLSNCELNGTLPFSLSNLTKLRYMDLSSNSFTGQMPPFGMAKNLTRLNLSHNRLSGEISSSNHFEGLHNLVSVDLRDNFINGSIPSSLFALTLLLNIQLSLNQFSKFDKLINVSTSVLKTLDLSNNDLSGPFPMSIFKLHSLSVLDLSFNRLNGSLQLDELMELRDLSTLDLSYNNISVNVNVSNPNYTSFPNISTLILASCNLKTFPSFLRNQSWLSILDLSHNQIQGIVPNWIWRIQNLQSLNISHNMLTGFDGPLHNLTSNLISLDLHNNQLQGPIPVFSEFSSYLDYSMNKFDSVIPQDIGNYLSFTTFLSFSNNTLHGTIPRSLCNASNLQVLDISINSISGTIPSCLMKMTQTLVVLNMKMNNLIGTIPDVFPPYCALRTLDLQKNNLDGQIPKSLVKCSALEVLNLANNIIIGTFPCLLKNISTIRVIVLRSNKFNGHIGCPNTSGTWQMLQIVDLAFNNFSGKLPGKFFTTWEAMRSDENQADLKVKRVQFEVLQFGQIYYHDSVTVTSKGQQMDLVKILTVFTSIDFSSNHFEGPIPYSIGNFKALYILNISNNRLSGKIPSSIGNLKQLESLDLSNNTLTGEIPVQLESLSFLSYLNLSFNHLVGKIPTGTQLQSFQSSSFEGNDGLYGPPLTEKPDGKRNDELLSCSTDWKFLSVELGFVFGLGIVIGPLMFWKQWRIRYWKLVDKILCWIFSRIHLEYVTHRGQTYIVLRWH >RHN51839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26430483:26432117:1 gene:gene36379 transcript:rna36379 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSSCCARFRSSTNPISLFPFLRIRLYSHSSFNSNNLDNVVSSFNHMLHMNPTPSIIEFNKILGSLVKSNNNHYPTAISLFHQLEFHGITPDIVTFNILINCYCHLWEMDFAFSLFGKILKVGFQPNTITFNTLINGLCVNGKVKEALHFHDHVISLGFHLDQVSYGTLINGLCKLGKTTKALQVLRKIDGKLVNTNVVMYSTIIDSLCKEKLVTEAYELYSQMIVKKVSPDVVTLSSLIYGFCIVGQLKEAFCLFHEMLLTNIHPDVYTFNILVDALCKEGKIKEAKNVIAVMMKEGVEPDAVTYNTLMDGYCLANEVNKAKNVFNVIGKRRMTPNVCSYNIIINGLCKIKMVDEALNLFNDMCCRGIAPDKVIYSSLIDALCKSGRISHAWELLDQMHDRGQPADVITYNSFLHALCKNHQVDKAIALVKKIKDQGIQPNINTYNILIDGLCKEGRLENAQVIFQDLLIKGYKVTLWTYTIMINGLCLEGLFDEAVTLLSKMEDNGCIPDAVTYETIIRALFKNDENDKAEKILREMIARGLL >RHN46740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34486326:34486661:1 gene:gene41262 transcript:rna41262 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGTLALQRSSQYLKEVVICRKWWSEVYIEGDATHTMVKDLSEMRRLIRRLLVITWKMIWSQGMLLCGDPHDFACSLTAFSWKFVLNFVLMGLAFVGFYPVQNTDIYFLF >RHN49699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:394515:394976:1 gene:gene33904 transcript:rna33904 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Smr domain-containing protein MGQINRARCVWKVAYENKLFSKAIVFDQHIAWSLDVRNLSVGAALIAAVHTLHRFRKRMLYYGIVPRRIKLVTGPTLKIVIVQMLGSVESPFEVSKVVLRAPGDSVMEWFKKPIVQQFLLNEIPSRADILMHKLNILFPNSAPEHELTHTGHF >RHN39191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4853964:4856119:-1 gene:gene45194 transcript:rna45194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MAGERCRVVSCHVRLFTYQLSLYLIRATFYYGNYDDLNDPPQFDLHFGANVWDTVKFTIASLTTIKEIIYTPSQDYIQPCLVNTGKGTPFISAIELRPLNNRAYVTNSVLSLFKQYDLGSITDLEYRYKDDVYDRIWFPCELSSDSRRLIISLNNDDLDQNEYTLPKYHSLL >RHN76749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:970434:973232:1 gene:gene122 transcript:rna122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MEFRHLSIFIVLNLALVATHAALPPEVYWKSKLPTTQMPKAITDLLHLDWTEEKSTSVAVGKGGVNVDSGKTKPGGTSVNVGKGGVHVDAGKTKPGGTAVNVGKGGVHVNAGKGKPGGGTRVNVGKGGVNVHAGKGRGKPVHVSVGNKSPFLYNYAASETQLHDKPNVALFFLEKDLHQGTKLNLQFTKTNSNDDAKFLPKEVANSIPFSSSKVENILNLFSIKEGTEESEIVKNTISECEENGIKGEEKLCVTSLESMVDFTTSKLGNNVEAVSTEVKKESSDLQEYVMAKGVKKLGEKNKAVVCHKESYPYAVFYCHKTDSTKVYSVPLEGVDGSRVKAVAVCHTDTSQWNPKHLAFQVLNVQPGTVPVCHFLPQDHVVWVSK >RHN67526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27979277:27979777:1 gene:gene15722 transcript:rna15722 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate transporter domain-containing protein MPPISGKLFTVSLISFWYASNIGVLLLNKYLLSNYGFKYPIFLTLCHMMACSMLSYIAISWMKVVPLQTVRSRVQFVKISSLGLIFCLSVVGGNISLRYLPVSFNQAIGATTPFFTAVFAYLMTLKREGWLTYMALVPVVTGVMIASGVCPLSYLLSFISCIMVAI >RHN68994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40154461:40158080:-1 gene:gene17420 transcript:rna17420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MGNVSNEEQELKEIEILERNDIEKVGDELEDESTVAPWMKQITIRGLIASILIGIIYSVIVMKLNLTTGLVPNLNVSVALLGFVFIKLWTKILEKANIVSTPFTRQENTIIQTCAVACYSASFGGGFGSYLLALNRSTYERVGGASTPGNTPDTKEPGIGWMTGFLFVSYFVGLTALIPFRKMMIIDSKLPYPSGTATGVLINGFHTPKGNVTAKKQVKGFTQFFSFSLLWSFFQWFYAGDGQCGFAQFPTFGLKAWKNTFYFDFSMTYVGAGMICSHLVNLSLLFGAVVSWAIMWPLIRVLKGNWFPESLPESSMKSLNGYKVFISIALILGDGLYNFIKIIYFSALNFQACMKMRDQNAASAADNNQNQTLDDLTRDEFFIKESIPIWLACAGYAVLTIISIIVIPLMFPQVKWYFVVVAYLLAPILGFCNAYGAGLTDMNMSYNYGKVALFILAALGGKSNGVVSGLVACGLIKSLASTSSDLMHDFRTGYLTLTSPRSMLATQAIGTAIGCVVAPLTFFIFYNTYDVGNPNGEYKAPYAIIYRNMAIFGVEGFSALPSHCLQFCCGFFAFALLANLLRDLNPKNIGKWVPLPMAMAVPFVVGAYFAIDMCVGSLVVFVWSMMKKEEAGLMIPAVASGLICGDGIWVLPSSLLAWFKIHPPICMNFFANN >RHN41690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32105757:32112892:-1 gene:gene48040 transcript:rna48040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SPX domain-containing protein MVKFSKQFEGQLIPEWKEAFVDYWQLKKDIKRIQLLNNTNKKPNNHQMSSVVKSPFSSLRKFFPFQQRDHDPIQVHRKLVSSTSKGDMYETQLLDQFADTDATKEFFACLDQNLNKVNKFYRTKEKEFLDRGESLKKQMDILIELKSAFMEKKGKGNSSHYSKEEESVSSTFSINEEDSVRSREQTEDLEKIEENFTESNENEEDKSMDLKWEDGKLRTLSGHFLSGQGKNVRINIPLTTPSQTFSEISYLVMEDLFNKSSRKCNPEGGVLRVNKTRLHHAEKMIKGGFIELYKGLGYLNDYRNLNLLAFIKILKKFDKVTEKQILPIYLKVVESSYFNNSDKVVKFMDEVEELFVKNFAKGDHRKAMKYLRPIHHKKESHAITFFIGLFTGCFWALFGAYVLMAHLTGLYKRHQNSLYMETVYPVLSVFSLMFLHFFLYGCNIIAWRKTRINYRFIFEIVPTKELKYSDVFLICTMSMTAVVGVLLFHLTLLTKGYSYAQVQFLLGLLFLAFLLLLVCPFNIIYRSSRYHFLCVIRNIIWSPLYKVLMLDFFMADQLCSQVPMLRSLEFMACYYITGSYKTQDYGYCMRVKQYRDLFYAVSFLPYYWRAMQCARRWFDEGDTNHLINLGKYVSAMLAAGAKVAYEKDRSVGWLCVVVIMSSVATLYQLYWDFVRDWGLLQRNSKNPWLRNELMLRRKAIYYLSMVLNITLRLAWLQTVLHSSFENVDYRVTSLFLAALEVIRRGLWNFYRLEHEHLNNAGKFRAVKAVPLPFHEVEDEED >RHN77542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7594298:7604483:1 gene:gene1007 transcript:rna1007 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MMMDLEEENEFDQQMEEEDDEEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGHQSDFAETIYKISFGQIYLSKPMMTESDGETATLFPKAARLRNLTYSAPLYVDVSKRVIKKGHDGEEVTETQDFTKVFIGKVPIMLRSSYCTLYQNSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVGEVRSMAESQNRPPSTMFVRMLSRASAKGGSSGQYIRATLPYIRTEIPIIIVFRALGFVADKDILEHICYDFADTQMMELLRPSLEEAFVIQNQQVALDYIGKRGSTVGVTKEKRIKYAKDILQKEMLPHVGVGEYCETKKAYYFGYIIHRLLLCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRGYVQKCVDNGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWGTENFEEISPAVIPQATKIFVNGCWMGIHRDPDMLVRTLRKLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVDKQRLLIKKKDIHSLQQRESPEDGGWHDLVSKGFIEYIDTEEEETTMISMTINDLVQARLNPEEAYSDTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAISCYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRANTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTTPLSQEEQQGQAARYSKRDHSISLRHSETGIVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRRLSAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCERCGLIAIANLKKNSFECRGCKNKTDIVQVYIPYACKLLFQELMAMAIAPRMLTKEVKAIKDQKKKGA >RHN72068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4749204:4749646:1 gene:gene7739 transcript:rna7739 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVRRRLAVPPLFFRRRCASRRRFLRGFLCLFSSSNRSSLRFLISFPSTLSPPFYCKVCSGFGGLRFQSTGSFSEVSFQIRRLLHAEEWVLSSILYCCLLAEIDGCRLDLLLWFHNPERVRFC >RHN41494.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30496941:30497556:1 gene:gene47826 transcript:rna47826 gene_biotype:protein_coding transcript_biotype:protein_coding MNIETTSQLCKIQTKFHMATSKVLSIALFVLLGLSMCSATRKLSQEGSGGLPGGGGLPGVGSGEGGGLPGVGSGGGLPGGGGLPVVGSGRGLLGGLVGGLPVVGGLLGPILGG >RHN55418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18117220:18119162:1 gene:gene30596 transcript:rna30596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MTNDSTIKLFGRTIFQTRNTDDYSSEFSPPLGHEDFSDHSLHSSLSSSSSSPNEHDSKRYKESSRKEPTSVLDYEEASKQTTEDLNTPTTSETKTSQLNSTKVDEQSDISQDKAPNKFLPCPRCKSVDTKFCYYNNYNANQPRHFCKNCQRYWTAGGTTRSMLVGAGRRKNKISSFSSDASHNRQMSTVLTFGSDSPVMSSTSLATKMNVGSDDEISDKSNQCFFPQQFPWNPAMCYPVSFQPNIAYYGGCLVPSWSVQPITTQSRVPSKPTLGKHSRDDLENNEKESDNNSVLIPKTLRIEDPNEAAKGSNWLTLGIKNGGRFFNGFASTGGDKNHVVEANSLALKANPAALSRSLVFHERI >RHN62515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44003494:44005902:1 gene:gene25102 transcript:rna25102 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRRTVSDMTLGFDTYSYGRALGRKRVVVSNNDEAFESNPVTVPLKRMCSGRFDTISEKSRLEALPQDLLVRVLCGVDHDDLEQLFNVSTTIKEAGEIAKQMHFEFSTPKKSSVAVRSPFDIENGFDDEIEAPNAPLMSKKSKPRLSANKLAGISVSLFA >RHN80118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35286909:35288599:1 gene:gene4005 transcript:rna4005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator AUX-IAA family MENSLGNHQTEMNLKATELRLGLPGSDEVEKLPCNFSVLRNNKRSSPEEASDVDSISKSKLNSSNGSSHTTNDDQDNAPPSKAQVVGWPPIRSYRKNSLQQKKGEEVGMYLKVSMAGAPYLRKIDLKVYKSYSELLKVLENMFKCTIGEYSEREGYNGSEFVPTYEDKDGDWMLVGDVPWEMFMSSCKRLRIMKGSEAKGLGCF >RHN56397.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30552934:30555743:-1 gene:gene31789 transcript:rna31789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase, cytoplasmic isozyme 2 MSNFKSKYHDELIANAAYIGTPGKGILAADESTGTIGKRLSSISVENVESNRRALRELLFTAPGVLQYLSGVILFEETLYQSTAAGKPFVDVLNEAGVLPGIKVDKGTVELAGTDGETTTQGLDGLGARCAKYYEAGARFAKWRAVLKIGPNEPSEHSIHENAYGLARYAVICQENGLVPIVEPEILVDGPHDIQKCAAVTEKVLAACYKALNDHHVLLEGTLLKPNMVTPGSDAPKVAPEVVAEHTVRALQRTVPAAVPAVVFLSGGQSEEEASVNLNAINQVNGKKPWTLSFSFGRALQQSTLKAWSGKEENVKAAQEALLTRAKANSEATLGTYKGNSKLGAGASESLHVKDYKY >RHN70319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50622612:50624345:1 gene:gene18889 transcript:rna18889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative asparaginase MSGWAIAVHGGAGVDPNLPPHRQQEAKQLLTECLNLGISALRSNASALDVVELVVRKLETDPLFNSGRGSALTAKGTVEMEASIMDGTKRRCGAVSGVSTVKNPISLARLVMEKSPHSYLAYTGAEEFAREQGVETEDNEYFITPDNVGMLKLAKEANTILFDYRIPTEKCAGTESPVKMNGLPLSIYAPETVGCVVVDSQGRCAAATSTGGLMNKMSGRIGDSPLIGAGTYACEVCGVSCTGEGEAIIRGTLAREVAAVMEYKGYELQQAVDFVIKDRLDEGFAGLIAVSNKGEVAYGFNCNGMFRGCATENGFMEVGIWE >RHN76956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2698960:2699467:1 gene:gene352 transcript:rna352 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFCFRVSYVSSSNIILCFFNDQSKAIEILTKDLKVFRSRNEEVFKELTQLLTYNNIREHELLSTYQDAISSRKIVMNEIKEIIEQHPMLKGKLKFPDIDCQRLKHLLNARFHTYFLNL >RHN80252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36544587:36544830:-1 gene:gene4154 transcript:rna4154 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYCTVNSKLPVECFQCVVVSCPWSSTLLTQERIKDVDFGSVAIG >RHN54009.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6190497:6190643:1 gene:gene28984 transcript:rna28984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nicotinate phosphoribosyltransferase MDSNGSIKPSRVIPGPTNPMVTPLLTDHYQFTMAYAYWKANKHQERAV >RHN43778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48431245:48432466:-1 gene:gene50400 transcript:rna50400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc/iron permease MHNNMARLNNPSILVTIILFLLVTLPFASCESECSSKYEGVCHNKNEALKLKLIAIFSILVTSMIGICIPIFTTSIPALKPDGDLFVIIKAFASGVILATGYMHVMPDSFQDLNSPCLPERPWKKFPFTTFIAMVSAVFTLMVDSFSISFFKKKLSASSSSNLEAGSETKEPEQIGHGHGHGLVVANGHEKNVNAEQLMRYRVVAQVLELGIVVHSVVIGLSLGASENHCTIRPLIAALCFHQLFEGMGLGGCILQADYGTKMKSTMIFFFSATTPFGIALGIGLSKVYSNTSPTALIVEGVLNAMSAGLLNYMALVDLLANDFMGAKLQSRMKLQIWSYVAVLLGAGGMSVMALWA >RHN56575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32004348:32007143:1 gene:gene32001 transcript:rna32001 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADALLGFVFENLTSLLQNEFSTISGIKSKVQKLSDNLVHIKAVLEDAEKKQFKELSIKLWLQDLKDAVYVLDDILDEYSIESFRLRGFTSFKLKNIMFRHEIGNRFKEITRRLDDIAESKNKFSLQMGGTLREIPDQVAEGRQTSSTPLESKALGRDNDKEKIVEFLLTHAKDSDFISVYPIVGLGGIGKTTLVQLIYNDVRVSRNFDKKFWVCVSETFSVKRILCCIIESITLEKCPDFELDVLERKLQGLLQGKIYLLILDDVWNQNEQLESGLTQDRWDRLKSVLSCGSKGSSILLSTRDEVVATIMGTWETHRLSGLSDSDCWLLFKQHAFRRYKEHTKFVEIGKEIAKKCNGLPLAAKALGGLMSSRNEENEWLDIKDSELWALPQENSILPALRLSYFYLSPTLKQCFSFCAIFPKDREILKEELIRLWMANGFISSMGNLDVEDVGNMVWKELYQKSFFQDCKMDEYSGNISFKMHDLVHDLAQSVTGKECVYLENANMTNLTKNTHHISFHSEKLLSFDEGAFKKVESLRTLFDLENYIAKKHDHFPLNSSLRVLSTSFLQVPVWSLIHLRYLEIHSLGIKKLPDSIYNLQKLEILKIKHCNKLSCLPKRLACLQNLRHIVIEECRSLSRMFPNIGKLTCLRTLSVYIVSLEKGNSLTELRDLNLGGKLSIKGLNNVGSLFEAEAANLMGKKDLHELYLSWKDKQGIPKTPVVSAEQVLEELQPHSNLKCLTINYYEGLSLPSWIIILSNLVSLVLLHCKKIVRLPLLGKLPSLKKLRLYGINNLKYLDDDESEDGMEVRVFPSLEILELSCLRNIVGLLKVERGEMFPSLSKLVIDCCPKLGLPCLPSLKDLYVYPYLPHIPKIEL >RHN44737.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7951760:7953905:-1 gene:gene38896 transcript:rna38896 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTLNIRYASNTQFPFMSICINCHILNAYVIFLLLLHFIMDILWLFNFLQDNPYALIPWRQIYDV >RHN82354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53130148:53131217:-1 gene:gene6516 transcript:rna6516 gene_biotype:protein_coding transcript_biotype:protein_coding MMEYFFRYTYVTFCSGYFIMAGDNNSFMLINPFTRINKVINTSNFEVQSYMFANHALLAFGKCSEEFVLVVLCQDSMSLHVYQSRNCGWVTYSTMENQGRVVDFVIFHNIIYVVTDKANIGVLSLNSANIKFLKLKSISDATTSLWLRLVNCDDQLLVVDMKFNFIRNAYKIDFSTMTYVELETLGDIALFCVSNMLEKSCYALRNPNMWGYESNSVYVISVLSTTCIMYSWDDKKSQKYITLPNPHDTGFSMFDWCFRHLRHEVDYSLV >RHN40862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23376041:23386483:-1 gene:gene47099 transcript:rna47099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSFFFFSLFSLLLLFSLCFVSFTLGATLQEDEVEALKDIGKTLGKKDWDFSVDPCSGRNNWISSTQLHGSENAVTCNCSFQNNTLCHVVSVVLKAQNLSGTLPPDLVRLPFLQEIDLTLNYLNGTIPKEWATLKLVNISFYGNRLSGPIPKEFGNITTLKNLVLEFNQLSGNLPPELGSLSQIEGLLLSSNNFTGVLPATFAKLTALKQFRIGDSGFSGAIPNFIQSWINLEMLTIQGSGLSGPIPSGISLLKNLTDLTITDLNGSDSPFPQLQNMSNLSKLVLRSCNISGALPEYLGKLTNLEVIDLSNNKLSGQIPVSFDGLQNMYLLFLSGNQLSGSLPDWIAKPDYVDLSYNNFTITNFELQTCQQGSVNLFASSLKGNSLGNISCMENYQCPKTWYSLYINCGGKGTTVDGNKTYDDDSNEMGPSRYRQIGPNWALITAGHFFDSGRPDYYTWSNTTKLAVDNDDPKLYMDAHVSPNSLTYYGFCLGNGNYTVKLHFAEIMFSDDKTYSSIGRRVFDIYIQRKLVSKDFDIVKEAGGVGKAVIETFTASVTSNALEIRLYWAGKGTTTIPFGSVYGPLISAISVNSDFTPPSENSRSIPAGGVAAIVAAAIAIILIIGIMWWKGCLRLKCFGSRERKGLASQTGLFTLRQLKAATNNFDESFKIGEGGFGPVYKGVLFDGPIVAIKQLSSKSTQGSREFINEIGMISTLQHPNLVKLYGFCMEDDQLLLIYEYMENNSLAHALFAKKEDLENRQLRLDWKTRKRICIGIAKGLAYLHGESKIKIIHRDIKATNVLLDKDLNPKISDFGLAKLNEDDKTHMNTRIAGTYGYMAPEYAMHGYLTDKADVYSFGIVILEIVSGNNNTVSHPQEECFSLLDWARLLKEKDNLMQLVDRRLGEDFKKEEVMMMINVALLCTSFSPSLRPSMSSVVSMFEGKTNVQEVVAESSEVLDDKKYKVMQQYYKHKGENSTSEAGSQSIASDESHAFIYYTDSTKNQEIRKTI >RHN63856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54528769:54529561:1 gene:gene26611 transcript:rna26611 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cysteine-rich transmembrane CYSTM domain-containing protein MDAPPMYQEINYMEHVRKRHEEKGCLYACFFALCCCFCCYETCECCLDVACCCCS >RHN77995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11126381:11126638:1 gene:gene1509 transcript:rna1509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MKILHFDIKPHNILLDENFNPKVSDFGLARLCPTGNSIVSLTAARRTIGYMAPELFYRNVGTISYKADVYSFGMLLMEIANRRKN >RHN77338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6119465:6123976:1 gene:gene783 transcript:rna783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LisH family MDVDPRQYDNIAISEKDVSNIVLSYLIHNCYEESAESFMAGTGAKRPTDYLDNMEKRKKIFHSVLEGNALKAIELTEQLTPEILVKNTDLLFDLLSLHFVELVRSRKCTEALDFAQTKLSPFGKDGQYTEKLQDFMALLAYKEPEDSPMFHLLSLEYRQELADSLNRTILAYFNLPNYTAMEKLIQQTTVVRQCLNEEAGKDAPQVFSLKEFLKRR >RHN72603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9076821:9078325:-1 gene:gene8345 transcript:rna8345 gene_biotype:protein_coding transcript_biotype:protein_coding MILTNSRISYADINTFDKEICSIFIYEKDHLHQHPTNPYEKTKHQSQSSSSYVSNTTLTLPSLLTTLVAGPLTVGEYIFR >RHN71889.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3379680:3381781:-1 gene:gene7536 transcript:rna7536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-farnesene synthase MELPLSCIERRVRKIKKNIFSSNFDLYNFVCPSTYDTAWLAMIPHSKYPSQPMFNNYLDWLLNNQKPQGYWGESDTIECLPPTIVSMVALIKWNTGKSMVDKGRSFIHANADKLLNEVKDDCPRWLAIVLPAMIELADEIMGLDVLFTKSSRDTMSYIANRRKSFLNKEEVVGDFDWYPPLMSYLEALPPSYVNEKDICKNLSADGSLFQSPSATAKAFMAYGTQECLDCLQSLAQRCPKAVPQAYPMDEDLIKLCIVNQLQKLGLGEYFMGEIEILLTKVYRNYSKEQNSRVQPLNMNALQLQKDSLAFELLRTHGFKVSPLRFCWFLNHDEIRAKVEKDYEHFSSAMLHVFRASNLMFSGEYELKEARTFSRKLLEQIVSTGKGCLLRQVNCLNFH >RHN50869.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:11297581:11298150:1 gene:gene35211 transcript:rna35211 gene_biotype:protein_coding transcript_biotype:protein_coding MKKARRSSRSMPGLVPRKPPPPTGLLSATSRISSLVSPRVFATR >RHN73580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17834865:17837605:1 gene:gene9406 transcript:rna9406 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRGGAWNSSPPLLSPPLLIILLPILAFTLLFLTVPPLLSATTNLLQHTSFVKVKTSWNSLNILLVVLAILFGVFARRNDDEEPSTPHQTTNNNVIPDQNDTFRIVSTSSERGGYESQQWFGFSSEERKVFDETSNRLLQSPVITGVTRMRRSSSSYPDLRQMDNGYKFRFFDDYEIEKQFRSPARVTFSRSLEEKQEQVHVKEIPVDTFEVRSSSSSSPVKSTIPPAPPPPVSARRHTNQIHRRVESSNEITEVEDTEFTRIHTPPADTADTPPPSPPRLSVKTRSERKKSNVKREIAMVWASVLSNQRKRKKKQKPKNDHNHHCDNVEELTNNTTAPPIPTTPPPPPPPPPSVFHSIFRKSHGKSKKIHSVPAPPPPPPSRRSSKPKKHIPPPPPTPPPAPSRRRNHTKPPLPNKSSNFINETVENFNAGSQSPLIPIPPPLPPFKMPAMKFAVRGDFVKIRSNQSSRSTSPEREHIDVEVTETIAVVNHNGDVTGPVFCPSPDVNAKAATFIARLRGEWRLQKLNSIKEKGNGSLPHARE >RHN80915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41874673:41882371:1 gene:gene4899 transcript:rna4899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease 1 MGIKGLTKLLADNAPKSMKENKFESYFGRKIAVDASMSIYQFLIVVGRSGTEMLTNEAGEVTSHLQGMFARTIRLLEAGMKPVYVFDGKPPEMKNQELKKRLSKRAEATAGLTEALEADNKEDIEKFSKRTVKVTKQHNDDCKRLLRLMGVPVVEAPSEAEAQCAALCKAGKVYAVASEDMDSLTFGAPKFLRHLMDPSSKKIPVMEFDVAKILEELDLTMDQFIDLCILSGCDYCDNIRGIGGMTALKLIRQHGSIEKILENISKERYQVPDDWPYQEARRLFKEPEVSTDDEVLNLKWSPPDEEGLITFLVNENGFNSDRVTKAIEKIKAAKNKSSQGRLESFFKPTANPSVPIKRKETPVNDAKAKGSNKKTKVGGGKKKK >RHN76310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49301810:49303960:-1 gene:gene12612 transcript:rna12612 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MDCLSWDDDSQFVANIPILWNTQPQDFARDYSMCNPSSSVFNNQMQEMQKVESGAGNSWEETLIFQSYPSLSVNIHPPNNYVPLPDFNMAHQPISKSTTTCSLESSLDCLLSATTNSNTDTNSVQDDGISMIFSDCKNRNLWNFSAVSSAESESTNARNKDMQYGFKELDQETVSPASSDQGKIIDNSKVHSTKRTNIDQYDPCFSITAQNSSSSTEFGFKLISEKPPKSKKPRWDNKCPGSSNINFQQPNSSFEEPDPEAIAQMKEMIYRAAAFRPVTNLGLEVMEKPKRKNVKISKDPQTVAARQRRERISERIRVLQKIVPGGTKMDTASMLDEAANYLKFLRAQVKELENIGNKIDTVNNCPPTNIAFSFNPSISMQNPNVNIQYSHD >RHN62104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40759637:40760380:-1 gene:gene24638 transcript:rna24638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FAS1 domain-containing protein MASLLFLASSFIIFFFFFSFSSALPRQTIFEAADILYYSGFDSMSLTLELAESLLEHSPSATIFAPSDSAFKKSGQPSLDLLLFHFVILPLPQQSLRRLPAGTKLPTMLTGQSLTVTTSSSDRVTSVNNIKIIGSPIYDNGVLFVYGIDRFLDPSFQYTGPNKKPSSNSNSSFEQALETLKTTGYSEMGMNLIGDFEDHHWLFRRDVVPYKFIWNDVVQNNVVQDNASVVVDVFSDEDQNLFDPGEL >RHN50815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10754938:10755627:1 gene:gene35150 transcript:rna35150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase, Very-long-chain 3-oxoacyl-CoA synthase MLKHFYLISNIMNILFMSLLIVIATSYVGCFYVNDEYCNPQPSILIKSFCLATSLVYYIFMTRPNKIYLVDFACHKPKNNCLCTKEMLLERANNFGFLNEESFILIHKILERSGVGPTTYVPEALLEMPPRLTLDEARNESNLVLFGAVDELLEKTKVEAKDIGILVVNCCLFNPTPSLSDTIVNHYKLRGNILIYNLSGMGCSAGVIAVDFAKRLLEVVFSLFILYIS >RHN50378.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6614016:6614525:1 gene:gene34656 transcript:rna34656 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant self-incompatibility S1 MEVTSPITLKFSIFLIMVLFAFEVRETLSAFFVPSRVTVTIVNNIQAPTATEMTLDCKSKDNDLGNHTILWGSSYVFSFKPSADPFRVTLFYCSFIWPQDPRRHYLNIWDQNHDKCTDCLWQVNVNGGCLNNYGCGPFTGIQLMDANNTSKWSQRKGLDELGDAHPSTP >RHN72291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6518271:6521302:1 gene:gene7985 transcript:rna7985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 4 MKNSLSPEWNILAPITFYISFHNRFLHSLLRSTFSLSLSMTSRSCLLNHRSLSLRLSRIHRLSLPSSSSSSLVYSSSSRLDCTIVKLQSSVIQQYSSCVRRNVSTGAFDDDSFHFPIFDDWSPEESTSYASSSDGEDFDGDIFLTPVHDVDLPSASASASNKDALTVAAHRLVTIGRGHKKHSIKLGIFITMGLIIGMTVLLLYVDWCVWKIVRLPLSPFYLTRPFLISAILVSFAGYVFVPIFRHFKAIHVIKQQWPLRHHLKRRTPTLGGLFFVPIGIIVANVIADTSSIEVSGASGVTIAFAAVGLLSDILNLTKNHWRGLPALVEVFSEVAVGGCFSFWLDITSISSPYGMYTFLLLI >RHN76410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50024207:50027317:1 gene:gene12732 transcript:rna12732 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lysine methyltransferase, S-adenosyl-L-methionine-dependent methyltransferase MEHSSPSPEKEDGGDEEVMSEVHLGCPPRFSGPHISRFTISLPLLHDVDHIKHILSSENESLETQILEFDQDGDLLLPRRTSISSCKNSYCVRIQHNITSSIPNVGLQVWRAELVLTDFILHKALCSSEFHGVIALELGAGTGLVGLLLARTANSVFVTDRGNQILDNCVKNVQLNRGLLNNPATIFVRDLDWFDSWPPKARVEEASHTQRYSWTSREIEDAENASLLLAADVIYSDDLTDAFFSTLERLMSRGSAKVLYMALEKRYNFSFSDLDVVANGYSHFRSYLRDVDAVESFESACIPNFVGKQTDISQIPQYVKEYERTHDVEIWQIKYCGPRHETSVSTG >RHN50837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10950230:10950910:1 gene:gene35174 transcript:rna35174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MKKTACSSSYESTDSSSDEEVAQSNPKPKTLTVKPSSFDEYSTSDDEYLPSDKEVVQSNPKPQTLTAGLVFKSGSKRSIDNSGNPNEVPPAKKPETMSELLPLVDSSLMTITTSADVKEYQNSFVFEMDLPGLKSGDIKVEVEDDKVVVITGERKREEGGGGVKYLNMEQRRMFGKFSGRFLLPENANADAVSAVCRDGVLTVTALKKLPPPQNPNKPRRTIVCLN >RHN82619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55235596:55235971:-1 gene:gene6794 transcript:rna6794 gene_biotype:protein_coding transcript_biotype:protein_coding MLGINETLHNICFTWVLFHRYVVTREVENDLLFASCNLLKEVEKDTEAMKDPIYSKALSSTLSLMLGWAEKRPLPTMILSMTVILNQWRALSLLLHYQQRYWQKIYLMSIIGRTKPM >RHN65353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1397593:1401950:1 gene:gene13181 transcript:rna13181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAVVEVPIPSNGRGYNGKMTPIVILSCMVAATGGIIFGYDIGISGGVTSMVPFLEKFFPDVYTKMKQDNKISNYCKFDSQLLTTFTSSLYIAGLLASFFASSITRAFGRKPSILVGGAAFLIGAALGGAALNIYMLILGRVLLGVGIGFANQAVPLYLSEMALPRYRGAINIGFQLCVGIGVLSANLINFGTEKIKDGWGWRISLAMAAVPATILTLGAFFLPETPNSIIQNSKNHQKAKLMLQSIRGTHDVQQEFEDLIEASIMSNSIKHPFKNILQRKYRPQLVMAIAIPFFQQFTGINVISFYAPILFLTIGLGESASLLSAVMVGIVGTTSTFISMLIVDKLGRRVLFISGGIQMFFSQILIGSIMAAQLGDHGEISKKYAYLILVLICIYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSITVAVNFLFTFIVAQTFLSMLCHFKYGTFFFFGGWVVIMTVFVYFLLPETKNVPIEQMDRVWREHFFWKRIVGDKIEGKQTVVP >RHN39492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7515815:7522420:-1 gene:gene45519 transcript:rna45519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lipoprotein lipase MATATMATAAGAAALLYYTLNRKLQTQTTVDEDGEESGSDAPTDMRFRVTHRLIQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNYHVDSVFGGNESVQLKGSEITAELKYLLNLLTLCWHFSKKPFPLFLEETGYPDENVLLREPKAGILKPAFTIIVDHKMRCFLLLIRGTHSIKDTLTAVTGSVVPFHHTVVHQGGVSDLVLGYAHCGMVAAARWIAKLATPCLLDALGQYPDYEVKIVGHSLGGGTAAILTYVLREQKELSVTTCVTFAPAACMTWELAESGNSFITSIINGADLVPTFSAASVDDLRTEVTASAWINDLRNQIEQTRILSTVYRSASALGSRLPSIASARARVAGAGAMLQPVSNGTQVVMKRAKSMAQAAWTRPNLNLTSWSCMGPRRRATGAHFKEEGSSPRSPASDNAESSDSLLCSSPRKGINAKSMNLPVSSSVDEWTSEIECANESNADAEVDDDLLLSENTMDHDRYEDQMSEVELWQQLEHELYDRPEGEEADVAKEIREEEEAAETEVVGQTRNSSALETKEVHRFFPPGKIMHIVTLHSDSTELESDGSPTSSTSSDDSQLDETKIGIFLTSRSLYSKLRLSQTMISDHFMPVYRKQIERLIKELEEESNEDHRTKEVML >RHN64503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59588900:59589175:1 gene:gene27323 transcript:rna27323 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFSDTGTVFASPSVDLDLPFLSLIHCKLVLLVVAEDVSRRQRVLSSERRHFLEKRDNDWWWLCFVAGGLVVVYVEKMTEDKEERDSGRG >RHN60307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25076197:25079379:1 gene:gene22604 transcript:rna22604 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MGSFFIVLPCFALHLFFVLLLTHFTSHTLSFCNQHDSSALLHFKNSFSVNTSSQLDICSSTSFKTKSWKNGTDCCKWDGVTCDTESDYVVGLDLSCNNLKGELHPNSTILQLRHLQQLNLAFNNFSGSSMPIGISDLVNITHLNLSYCDLNGDIHSTISHLSKLVSLDLSGYSYEKVGLKLNSFTWKKLIHNATKLRDLYLNGVNMSSIGESSLSMLNNLSSSLVSLHLANTGLQGNLLSDILSLSNLQRLDLSHNQDLSGQLPKSNWSTPLRYLYLSHTAFSGEISYSIGQLKSLTHLVLSFCNFDGMVPLSLWNLTQLTYLDLSNNKLNGEISPLLSNLKHLIHCDLADNNFSGSIPIVYGNLSKLEYLSLSSNSLTGQVPSSLFHLPYLSNLYLSFNKLVGPIPIEITKTSKLSYVGLSENMLNGTIPNWCYSLPSLLKLSLRYNHLTGFIGEFSTYSLKSLYLSNNNLQGHFPNSIFELQNLTALDLSSTNLSGVVDFHQFSKLNKLGYLDLSHNTFLSINTDSIADSILPNLFSLDLSYANINSFPKFQTRNLQRLDLSNNNIHGKIPKWFHKKLLNTWNDIWYIDLSFNKLQGDIPIPSYGLQYFSLSNNNFTGDISSTFCNASFLNVLNLAHNNFQGDLPIPPDGIVYFSLSNNNFTGDISSTFCNASTLNLLNLAHNNLTGMIPQCLGTLTSLNVLDMQMNNLYGSIPKTFSKGNAFQTIKLNGNQLEGPLPQSLSHCSYLEVLDLGDNNIEDTFPSWLETLQELQVLVLRSNNLHGVITCSSTKHPFPKLRIFDVSNNNFSGTLPTSCIQNFQGMMNVDDSQIGLQYMGTDNYYNDSVVVIVKGFSMELTRILTTFTTIDLSNNMFEGEIPQVIGELYSLIGLNLSKNGITGSIPQSLSHLRNLEWLDLSCNQLTGEILEALANLNFLSFLNLSQNHFKGIIPTGQQFNTFGNDSYQGNTMLCGLPFSNSCKNEEDLPQHSTSEDEEESGFGWKAVTIGYACGAIFGLLLGYNVFFFTGKPQCLARHVERMFNIRLKRTINRATANRRRMN >RHN63538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52035425:52042661:1 gene:gene26245 transcript:rna26245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xanthine/uracil/vitamin C permease MAGGGAPAPKADEPQPHPPKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTSLVPQMGGGNEEKAKVIQTLLFVAGINTLVQTLFGSRLPAVIGGSYTFVPATISIILAGRFNDEPDPIEKFKKIMRATQGALIVASTLQIVLGFSGLWRNVARFLSPLSAVPLVSLVGFGLYELGFPGVAKCVEIGLPELVLLVFVSQFVPHVLHSGKHVFDRFSVLFTVAIVWLYAFILTVGGAYNHVKRTTQMTCRTDSSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMTSFVALVESSGAFIAVYRFASATPLPPSILSRGIGWQGVGILLSGLFGTGIGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPPIVAALYCLFFAYVGSGGLSFLQFCNLNSFRTKFVLGFSIFLGLSIPQYFNEYTAINGFGPVHTGARWFNDIVNVPFQSKAFVAGVVAYFLDNTLHKKESAIRKDRGKHWWDKYRSFKTDTRSEEFYSLPFNLNKYFPSV >RHN41013.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25787502:25791274:-1 gene:gene47282 transcript:rna47282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MNIDIISFLINLTCILLLPSLKFSIAVDTLDLSQSFTNGMTLVSQGEKFVLGFFSPMNSNKSYLGIWYKNIPVQTVVWVANGVKPINDSSSGILTLNDTGNLVLKQRDEVVWYTTSQQGSLNPVAQLLDSGNLVIRGEKETNPEVYLWQSFDYPSDTILPGMKLGWNLRTHTERRMTSWKSPDDPSPGDLYWGSLLYNYPEQYLMQGTKKYVRVGPWNGLHFSGVPDQKPNNVYAYNFVSNKDEIYYTYSMLNDSVISRMELNQTDSIYYRYVWSEDQQIWNVMKSLPKDRCDYYRKCGVYGICTITGSLLCECLSGFSPRSPAAWNSSDWSQGCVRNKPLNCTNKLNDGFVKVKGLKIPDCSHTWVDQTIGLNECRLKCLNNCSCTAYTNSNITGEGSGCVMWFGDLIDIREFENDGQDLYIRMDASELGKEGHDGLKKNLISILASIIIASGMLFFGYRLYILRHRITEDSENIELEGGRGSEDEDDLDLPLLTYSTLVTATDNFSIKNKIGEGGFGPVYKGRLASRQEIAVKRLSSDSGQGINELKNEVKLIAKLQHRNLVKLLGCCIEEEHRMLVYEYMPNNSLNWLIFDDTKSKLLDWPKRFNIICGIARGLLYLHQDSRLRIIHRDLKPSNILLDDKLNPKISDFGIARIFGLDQTHGNTSRVVGTYGYMAPEYAADGLFSVKSDVFSFGILMLEIICGKRSRGFYVENESPNLVTHAWSLWKEGRALELIDKNIKDACVESEALRCIHVSLLCVQQFPEDRPGMPSVILMLGSDGEFAKPKEPGYYVNKYSFAETSKSGQSEKTITNQMTITLLEAR >RHN60766.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30256555:30257225:-1 gene:gene23125 transcript:rna23125 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVTFEHLSARHWKNLARWDRIVARWCAGREKQKHIFSADLFQILSDTFTINIGLVSEQTWR >RHN55370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17710671:17712440:1 gene:gene30540 transcript:rna30540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSGAQNLKKLHLDSFKNLVEVHDSVGFLGKLEDLNLNRCTSLRVLPHGINLPSLKTMSFRNCASLKSFPEILGKMENTTYLGLSDTGISELPFSIGLLEGLATLTIDRCKELLELPSSIFMLPKLETLEAYSCKDLARIKKCKGQVHETMYSGAKSVVDFNFCHLSDEFLATLLPCLHYVRNLSLDYIIITILPSCINECHSLKELTFNNCMELREIRGLPPNIKHISAINCTSLTSQSKDTLLNQMLHHSGPRYICLPGSTIKSNWFRQYRREPNLSFSF >RHN38609.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:691912:693246:1 gene:gene44566 transcript:rna44566 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVEDHIQQIINIPDNIEPSHWPQCCIYKVPATLLNVKEEAYTPLIISIGPIHHNNERLEEMQEYKHRYFHYFWNRLDKKSDFMNYKVFLQQEEQKIRRCYQKKFTDINSEQLVDMILLDSVFIMELFLRENKKWEHKDDYIITQLCVSKSIQRDLLLLENQLPIYVLEKLYDTIVPSKVKKHNWFLKLAHEYFASCYPHHKESSERKFEAKKWEKSRHFTDLIRYSYLPMKLKNQYNDFHKECLMVRTATKLNEAGISFEKVHNRSLLDIKFEKKPLLSWFLCLGCLPCCKCFKARFLFPQLKVDHATECVLRNIVAFEQCHYPDEPYICNYVSLIDSLIHTEEDAELLVEKEVIVHDLGSNEELTSLVNNLCKHVVTNSSCYYQLMEDLNEHYNSEWKRAMGTLRWVYFRDPWRSSSTIIGIVVLIFTIFNFRRVVGLMF >RHN73007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12540561:12563639:-1 gene:gene8776 transcript:rna8776 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MFFSGDPSNRKRVDLGGRSSKERDRKNLLEQTRLERNRRLWLRQQNSAALRIQKCFRAKKVVRTEQSKLRQKFLHIYGKNCLNVDRKAFGPDSDFLRQFLYFFNAENHDDFLVLLQICRLLLKFVQESGNAVRLFAGENYSSVCALVNYRVKKLAYNCIRAVHHNRNQLKDQLLLTPKESNASAIPLLEIIVLLIDLKLPWSCVIVGHFSQNNGFALLRQIILTGKDNAEKCIGSSLEHVLTGVMCHIRQKPCICSDIDPRYSFSLQILTIPFLWHVFPNLRQVFARQGMSQHYVHQMATFVPNLINFLPKDISDEFPTYACLLGNILEIGGVALSQPDCSFDMAINLAAVTTVLLEAHHSLTRSDNRENSMIAEDDITGNDEVMEVALDRKLDQQIYNSIDTRFLLQLTNILFRDISSANGPDDMEVAAVGAVCGFLYVVLNTLPIERIMTVLAYRTDLVPMLWKFMKRCQENKKWSSLSERLSYLSGDAPGWLLPLAVFCPVYKHMLMIVDNEEFYEQEKPLSLKDMSSLIILLKQALWQLLWVNHTSSANSVRSIPVRTSSKKLSIESIQQRVSIVVSELLSQLQDWNNRRQFTSPSDFHADGVNDFFISQAVIENARANEILKQAAFLIPFTSRVKIFTSQLAAARQRNGSHAVFTRNRFRIRRDHILEDAYNSMSQLSEDDLRGLIRVTFVNEFGVEEAGIDGGGIFKDFMENITRASFDVQYGLFKETADHLLYPNPGSGMIHEQHLQFFHFLGTLLAKAMFEGILVDLPFATFFLSKLKQKHNYLNDLPSLDPELYRHLIFLKRYEGDISDLELYFVILNNEYGEQTEEELLPGGKNLRVTNENVITFIHLVANHRLNSQIRQQSSHFLRGFQQLIQKDWIDMFNEHELQLLISGSLDSLDVDDLREHTNYAGTYHSEHDVIEMFWEVLKGFSMENKKKFLKFVTGCSRGPLLGFRYLEPLFCIQRAGGNATEDALDRLPTAATCMNLLKLPPYRSKDQMESKLLYAINSDAGFDLS >RHN77230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5227234:5229288:-1 gene:gene661 transcript:rna661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine--tRNA ligase MKMGKSLGNTLEPNDLVSKFGTDAVRYFFLREVEFGNDGDYSEERFINIVNAHLPNTIGNLLNRTLGLLKKNCQSTLAVDSTTAAEGNGFKDNVARLVDKAHMHYENLSLTSACEAVLEIGNAGNSYMDERAPWSLFKQGGTASEAAAKRDTKWGGLEGGQVMAQPQPVFARIEVEDKGVAVGKKTLKSKGKSKQAQEVVGA >RHN67778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30188346:30188984:-1 gene:gene16009 transcript:rna16009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prenylated rab acceptor PRA1 MSSEKLRVPIAITTLRASLMNLHHHVHRRQWTELIDRSTISIPESLTEAFFRIRKNIYYFRINYIIVLTLVLAAFLLSRPLSLLLLISLAGAWLFLYILRAPEKKLVILDRVFSKNELLVVLIVATVVVVVVTSIVSVIVYAVMVGVGIVCAHGAICVPEDLFLEQQEPWSWYFGLFPLVENGSKSRSSYDPNNSIV >RHN76876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2048212:2050192:1 gene:gene261 transcript:rna261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MFSSFEYGYIYLKARKPSKSTRLKMIDGEPDRISYLLGDVIDKILSHLPIREAVRTSVLSNKWRYKWATIPNLVFDKQCVSATSKCSELFLDMEGKLMEIIDQVLLLYSGPINKFQISRCGVNLISETALDRWIFHLTKRSIKELVLQISERKLYKIPWCLFSCQSLHHLTLYYCLLKPPSTIEGLKNLKSLDLDHVSMSQYAFENLISSCPLLENLTLTELDGFTQINIHAPNLKVLDICGKFEDISFDNTFQLDYVFVDLSLYLNSESNQSRLHGSPSNLLNFFAHLHHIHGLVINGYFLKYLAAGAVPVKLPTPCINLTYLWFSINFYDFKEISTALCVFRSSPNLKELNIFAKHEKKTIVLAPAFFCWEDIFLEPSMLTRVRHVGIEGISGIKSELDFMRFLLLHSPVLEKMRVKPNFNVGSKLMSELLRFKRASAQAEVVYLGEVYW >RHN42859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41490107:41490875:-1 gene:gene49356 transcript:rna49356 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-galactosidase MIGAKGLKGSSWPDLDMLPFGWLTDADTNGGPHRYSKLNLEEKRTYMTLWALAKSPLMYGGDMRKIDPATYEIITNPTVPEINFFNSNNIEACEPSNFFWGFPYVDSDGIRSWIATGRKGEVYLAFFNLNEQKTPVYAKTSDLAKVFPGIHISSCQGKEVWSGSVERTSQQRKE >RHN81513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46623759:46625868:-1 gene:gene5570 transcript:rna5570 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetraspanin/Peripherin MYRFSNTVIGLLNLLTLLASIPIIGAGLWMARSSTTCANFLQTPLLVIGFIVLVISLAGFIGACFHVACALWLYLVIMLLLIVALLGLTIFGFGVTSKGGGVEVPGRSYSEYHLTDYSPWLKKRIQDPRYWNTIKNCILGSKTCDKLASWTPLDYMQNDMSPIQSGCCKPPTACNYNMEAVMMTQDSDCYKWSNEPTLLCYECDSCKAGVLEDIRRNWHKLSVLTVTMLILLIGIYSIGCCAFRNARRAETDYPYGENRMTKVRPRWDYHCWRWLHDRKEQLY >RHN82520.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54419013:54425205:-1 gene:gene6691 transcript:rna6691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ACT domain-containing protein MALTNASIAPSFSVNATKLSDPLSIPLSSHTFFPLRPSSSAKNKNIVYASSYDLNAVDSASLISSKNLDSVPMPIVLIDQDSDSEATIVQLSFGDRLGALLDTMKALKDLGLDVSKGTVSTEGPVKQTKFFITQSDTGRKVEDPDMLESIRLTIINNLLQYHPESSEQLALGEVFGIKAPEKKLHIEIATHIQVKEDGPKRSLLYIETADRPGLLVEIIKVIADVNIDVESAEIDTEGLIAKDKFHVSYGGAALNRSMSQVLVNCLRYYLRTPETDIDSY >RHN72356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7039756:7041684:1 gene:gene8058 transcript:rna8058 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MLKPFLTEMVAFLTLTLSLDLLVICLIAPEGWYTAENTVKFGALRDTDHPELRQPRVMEIWESLLQTLKPGSKITVLTNGPLTNLAKVVSVKNISSRIQEVYVVGGHISRSANDKGNVFSVPSNKYAEFNMFLDPLAAKAVFQSEVNITFIPLSIQQKASSFSSTLRWLSQIEKTPETVFSKRILSRLRRLKKIHHRYQHMDTFLGEILGAVVLANGHSSLLDAKFELKSVKLLAEGIESTDGKMVVDEKYGKLVRILRHVDAKTYHEIYAKRLGDPNQSAKVGSFKEQKRKWSHPRDRS >RHN54709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11486747:11493372:-1 gene:gene29787 transcript:rna29787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-dependent channel, 7TM region phosphate MATLQDIGVSAAINILSAFAFLLAFALLRIQPINDRVYFPKWYISGGRSNPRSSANFVGKFVNLNFKTYLTFLNWMPQALRMSETEIINHAGLDSAVFLRIYTLGLKMFIPVTIVALLILIPVNVSSGTLFFLRRELVVSDIDKLSISNVPPKSLRFFVHIGLEYMLTIWICFLLYKEYDNVALMRLHFLASQRRRVEQFTVVVRNVPHISGHSVSDSVDSFFKTNHPDHYIGHQAVYNANRFAKFVRKRDRLQNWLDYYRIKFQKHPDTRPTVKTGCLGLWGRKVDAIEYYDQHVKELDKLMTLERQKIIKDPKSILPVAFLSFNSRWAASVCAQTQQSKNPTLWLTDWAPEPRDIYWQNLSIPFVSLTVRKLVITLSVFALVFFYMIPIAFVQSLANLDGLEKVAPFLRPVIELKFIKSFLQGFLPGLALKIFLYILPTVLMIMSKIEGYIALSTLERKTAAKYYYFMLVNVFLGSIITGTAFEQLHAFLHQSPTQIPRTIGVSIPMKATFFITYIMVDGWAGIAGEILRLKPLVIYHLKNMFIVKTERDRGKAMDPGSVEFPETLPSLQLYFLLGIVYAVMTPILLPFILVFFAFAYLVYRHQIINVYHQQYESAAAFWPQVHSRIIASLILSQILLFGLLSTKKAVKSTPLLIMLPILTFAFHKYCKRRFEPAFRKYPVEEAMAKDILEKTTEPDLNIKAYLADSYLHPILRSFEVEEEELVELETVEVRVDKHQTHHVASPILSEPGSPSPPHHVHQHQHQPSPPHYNDYPLPPEYYYHPTSPTHYAYQYQNQP >RHN52178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32378500:32379531:1 gene:gene36816 transcript:rna36816 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLGLWEGGVSFVRGKEIDYSPERINSLLEIVPPEECEVKRRMEECKDWSDERWEELLLQICVEGAKWQGGSHMLLRADFKPHAKAWASFVVQTLEGTSCTSEIPLARLLTVFAILDGAPINVGELIANNIYMFASGTKRAIPHLSLINWLCEEQEFDLFANDISAPMMKPLNDTYMDSFEYWNHPRSGKEFTEAVCLNRRPMTGSFERFDGSEEAMDHYFDVTRIRAQDIRLDFAAGSRQSRHHFGEDSFPEENPATWIPEDQMQD >RHN80486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38514385:38514729:-1 gene:gene4420 transcript:rna4420 gene_biotype:protein_coding transcript_biotype:protein_coding MWMKKAYILRGQHHKNGQIIPEGEIIGISSVSKCPHITNVLGFESRCGVKFHLYVFSNSNMLILWLPHEYPTLVLEPMVRWNDPCIKSLSKNDDQVRCHVDGRVSVCDKNKIPV >RHN71011.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55796919:55801273:-1 gene:gene19651 transcript:rna19651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CBS domain-containing protein MQGIYRILQPRRNPLRAAIFQQFHGNGIFSLNKLSSGFGCGTSYPPMLQKGLENVTVSEVLMTKGEEKVGSWLWCRVDDAVFNAMKNMAENDIGSLVVLKPEGQQIAGIVTERDCLKKIVAQGRSPLYTQVGQIMTNENDLVTVTSDTNILRAMRLMLENRIRHVPVIDGEIVGMISIVDVVRAVTEQQSGELKRLGDYIRGEYY >RHN44819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8911858:8913648:-1 gene:gene38984 transcript:rna38984 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MEQSEINFAKEVFVALLHAYAAYDEFEKAKQVVQDQRIPVKWLIEIKRMLVSSLASHGKLSEALVLLEEIKKAGQTLNPRAVLCLMDVLCSHGELERGLLLRTGLSGEDWVEGCEMVMQYSVQYKNLSSTIEMFKQLKDHFESDDAFKRGILFGGSRTRMYRLCAEAFYLIFKHGSTHLQFGLDLLDLIKKEVVGLVPSSECLNYLLSVCAKSRDLNKANLVWKEYALAGYPYGEDCYWRMYHALLASGEHKSADSLLVNKIPSSYSGLSSDLKHSYRHSYVKKNDVPVFEFELASYSWFAPLNSMKTRKA >RHN49404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54559970:54563826:1 gene:gene44229 transcript:rna44229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MERVLSIAEITEQYWLTSKACKGGDTKMNRSDSEWAFQKFLREQEAAEEAEAATAKPSSSSTSTSTSSSTVDVNLKINNSIDSEDYQALLKTKLDLACAAVAKSRGSLVKSQDPDNGSQPSYPYELGPLATLKECGPSGNDPSKLQNKDIKVAVGVPCMPKKPAVTIKSTTSGSSDDEEGDGEINMNGDNPTDAKRVRRMLSNRESARRSRRRKQAHLTELETQVSELRGENSSLLKRLTDVTQKFNNSAVDNRILKADVETLRAKVKMAEETVKRFTGSNPVFNAMSEVSSMGMSLFDGSPSESSADASVPVQEDPNNHFFQPLPNHLMSSHDMRGANNRSGSIISSAESGQNTPAGGNKIGRTNSLPRVASLEHLQSRIRGGAEEDK >RHN73300.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15210347:15212975:-1 gene:gene9099 transcript:rna9099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKRRRNMSYILKSLYDMIFFISLLFFVVENVSATYGFYCDDDVPCNPHLCLPPLQVICGGDFLCFCIYQ >RHN43095.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43125201:43129806:1 gene:gene49619 transcript:rna49619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UMP kinase MAVSIPLFSSTLSSSSISLSSSSKLHFASRTSSLRRNSTSLVIRSSYSGNGSSPNAFNSRNSEIPSMSPFALSMNESSKPKWRRVLLKVSGEALAGDQAQNIDPKVTMSIAREVAAVTRHGIEVALVVGGGNIFRGASWAGSSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVFDDDPKRNPQARLLDTLTYQDVIKKDLSVMDLTAITLCQENNIPVVVFNLNKPGNIEKAIKGERVGTLIGATWNSTISRT >RHN80241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36421915:36426310:-1 gene:gene4142 transcript:rna4142 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKNNIIGLCLLCNKSTMYPKVKLRQLHHQDEYEGGDVRNLGLKAFLSLSFHSPTSPVKDHKVVSVPSIVKVPKFYLPQVSIPRVSVTEDFVDCSLTSDSSGSAEPGKDGDTDESKVYIRADLIPRVPVTEDFGEGGLTSDSSGSAEPEKNGDTDESKVYIRVDLIPRVPVTEDFGDGDLASDLSGPGRLEKDHGPEKDGNIDDENKVNIRASPIPRPRAVISSPENDLMIGSRNKIGNGRVYVSKNSTVLPNRHALAQCKVKSHDTTDIPPDTRKSTETKSKDKVDHVGKKKVHKGSVKSDNLHRHWKF >RHN71540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:873190:875251:1 gene:gene7152 transcript:rna7152 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNIVLAVLFLYLFSTCHAIWINLPVSGTKCVSEEIQNNVVVLADYIVLPTDRSNQPTLSVKVTSPYGNNIHHNENVTVGNFAFTTSESGNYIACFWVAQNHGGGNVSMNLDWKIGVAAKDWDSVARKEKIEGVELELRKLEGSVEAIHENLIRLKDREAGMRTVSESTNARVAWFSFMSLGICIAVSVLQLWHLKRYFQKKKLI >RHN80680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40027806:40030449:-1 gene:gene4636 transcript:rna4636 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFPSCFGENAVQVADSSSSSSSSSKTAQNLVICVYQCSIRGRSCLITITWSKSLMGQGFSVGIDDASNQCLCKVDIKPWVFSKRKGCKSLEAYSCKIDVYWDLSSARFGVGPEPLEGFFVGVVVDKQMVLLLGDLRKEAFKKSNAVPLPLNAVFVAKKEHVFGKKFFGNKVVFCDNGKIHDLVIECDTSVASDPCLIVRIDSKTVMQVKRLKWKFRGNHTILVDGLEVEVYWDVYNWLFGTSFGNAVFMFRTCFSQDKIWDAQPVSDANVLQWSFSQRFSETKLQQQGVGFSHILYAWKNE >RHN60633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29001677:29003684:-1 gene:gene22978 transcript:rna22978 gene_biotype:protein_coding transcript_biotype:protein_coding MSKINVRRIRNILHESIIAVEKIALNVHRCKLWFYKVIRQPEKHNFTMQINKDKGARR >RHN81315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44804187:44805389:1 gene:gene5333 transcript:rna5333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MEEANKKPKTRACTNEEHDKLSDLSDELIFRILSFLSTKESHKTCVLSTRWESICTKIPDLNFKLPNISDSMSSKAIQSVYATLLKRIENIRKLSLFSEYGCQSYDVHLWVSKALDLKVQELELECWSFKKPTILPLRLSISKSLVVLKLRGGTQPRLNYSSNFPSLKILHLHYIVWNSILDDRIEFDLSNFLSHCPHLEEFALHDCFKQPINIVSLNSLKRLYLFLEMPLPDFDICPVQINAPSLEDLCVVDISLTPRKYELINLSNLDQASLHIIKYSDFNSLYTQLKGLSNTKSLTIKPETIHVSFHDLIFFLKISFIFSYPYTLFFVMQFLSMEDNLDALHLLTFHNLLFLSIEISENCSWNMLVSFIQNAPKLEDLAIEVCIKVFNFICTIFLKR >RHN43087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43064512:43065904:-1 gene:gene49610 transcript:rna49610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MEFLDEDAKPKFLYQSGAPAATESQPYQKPTKSFIAVTFSISSIFFGLAFFVFQSEPLKSIFIWFALSLLLGPFAPISITGGDSRVGRGHAVNFPDQETSTDEDDSRKKSQQRRSKQRRSDDVTAVVAPAPVVSLKNRNGNGNGVALAAVVEEEKEWNEDDIEILKKQMVKNPPGKPGRWEAIAEAFGGRHKAESVVKKSKELGEKKVDDSDSYEQFLKKRKALDKRLVEEGGDLATVEKVESVWSSNEDIALLNALKAFPKDVAMRWEKVAAAVPGKSKAACMKRIAELKKGFRTSKSGSEV >RHN45832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26103706:26111373:-1 gene:gene40253 transcript:rna40253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oleoyl-[acyl-carrier-protein] hydrolase MLKLPCNGVDRVHLMSQCGFMGRPTSFFTGRSSVKGFRSTKAYPVQAVASAKDGAVVNRVEADIGSLANRLRLGSLSEDGLSYKEKFIVRSYEVGINKTATVETVANLLQEVGCNHAQIVGFSTDGFATTTTMRKYHLIWVTARMHIEVYKYPAWSDVVEIETWCQAEGRAGTRRDFIIKDYATNEVIGRATSKWVMMNEDTRRLQKVSDDVRDEFLVYCPRELRLAIPEENSNCLKKIPKLGDPAHNSRLGLMPRRSDLDMNQHVNNVAYIGWVLESMPQEIIDSYELQSITLDYRRECQQNDIVDSLTSVEALEGAEVVPELKSTNGSGKAMDDKQERQQFLHLLRLSNEGLEINRGRTEWRKKAAR >RHN69654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45266323:45270783:1 gene:gene18150 transcript:rna18150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MALSTPHTQRFLLTKLHKHSTSSNYINTNRNIFINNRRKRFCSSIAIDAPSSLTDSPPIRWGSVSLQGPREEMEDDIVLRPNTLQGFSFAAVFDGHGGFASVQFLRDELYKECLEALQGGLLLLEKDFKAVEEALKKAFVKADMRLLKWLEMKGEEEDESGATATAIFLGNNKLLISHIGDSSVVLCRSGKPELLTSPHRPYGSNKASLQEIKRIREAGGWITNGRICGDIAVSRAFGDMRFKTKKIEMLQKGAQEGRWTEKFISRVQFKDDLVVARPDIYQVTLGSDAEFIVLASDGLWDYMSSSDAVSFVRDQLRKHGNTQLACESLAQVVLDQRTQDNVSIIIADLGKTDWKSVPLQQQNVTFELVQALATVGIVSIGIWFSSQLSL >RHN67316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25908038:25914146:1 gene:gene15496 transcript:rna15496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MTKLAPLEESLLNGEASVSNNNSDSKKTLRNESSTSYSNAGFFSILTFSWMTPLIALGNKKTLNHEDLPLLSTNDCANGTFTTFRNKLELECGNVRNVTTINLAKVLFFSTWQGILLSGFFALLYTCASYVGPYLIDNLVQYLNDENKAKNEGYILAMMFVGAKLVECLSQKHWMFKFQQVGVRIQSMLVSIIYAKGLTLLYQSKEGYSSGEIINLMTVDAERIGEFCWYMHETWRAVLQVSLALFILHRSVGNASLAAFAATVVVMLLNHPMASLQEKFQGKLMEFKDKRMKATSEILMNMRILKLQAWELKFLSKIIHLRKLEEIWLKKFLGCTAIVRFLFFNAPTFLAVATFGSCVLLSIPLESGKILSALATFRLLQMPVYNLPDTISMIAQTKVSLIRIVAFLRLDDLQVDVVEKLPRGNSDIAIEIVDGNFSWDLYSVNTTLNNINLRVFHGMRVAVCGTVGSGKSSLISCIIGEIPKISGNLKVFGTKAFIAQSPWIQSGKIEENILFGREMDREKYKKVLEACSLKKDLEVLPFGDQTIIGEKGINLSGGQKQRLQIARALYQDADIYLLDDPFSAVDAHTGSHLFKECLLGLLKTKTVIYITHQVEFLPDADLILVMKEGRITQSGKYNDILTSGTDFMELVGAHRAVLPSVKSLERRNTFKKSSITEEDTVLSSDFELEQEVENIGDRKGKLDDTVKPKGQLVQDEEREKGRVEFKVFWKYITTGYGGALVPIIFLSQILTVVLQIASNYWMALATPVSATAEPEIGNLTLMVVYVSLAVGISFTTFARAGLVVIAGYKAATMLFNQMHLSFIRAPMSFFDATPSGRILNRASTDQSAIDIRVPNVAWGFTYSLVQLLGTVVVMSQVAWQVLIVLIPVMAAGIWYQRYYSSSARELSRLTGVCQAPVIQHFSETISGSTTIRSFEHESRFHEMNMQLIDKYSQPKLYTASVVEWLSFRLDLLSSTLFAFYLVFLVSFPSSIADPSIAGLAVTYGINLNAVQSNLISFLCNLENKIISVERILQYTSIPSEAPLVTKESQPDHSWPSFGEVHIQDLQVRYAPHLPLVLRGLTCTFTAGAKAGIVGRTGSGKTTLVQALFRLVEPVAGQILIDNINVSLIGIHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEALDMCQLGDEVRKKEGKLHSTVTENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNIIQQTLKKHFSDCTVITIAHRITSILDSDMVLFLSEGLIEEYDSPKKLLKDKSSSLAQLVAEYTRRSSTGFGS >RHN41386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29683539:29684501:-1 gene:gene47704 transcript:rna47704 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTGSNNIEILRVQALSILCTPHPFLEFSGFAPIPDKVDSIRSRKQQIKNDTLFDFDGSSPVQDKVDPFCSTKQQIDNDAFLDFDGFSPAEDKVDIYNRSKEQRQDNDEENIEEGEFSFACTKVRGLHIFADEIFENGKIRQIPHTFDQSLFIYPTSNNNVSHLRPPLKKIFIKNSVNRHSMLGGISKESQNESLQNMTMVEIKASNECYEKSNSTGSSNLWKFRQNMNLRSNSDHKDSLVLLNASDPKNSRKPKVENIVNKKRKDEKHKNGLSAYEKLYVSNKTRKDSNKRRSFLPYKRQLLGLFTNMNGLSRNLHPF >RHN67094.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23826152:23826976:1 gene:gene15238 transcript:rna15238 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIIYFLIDRLLRLNKILLITMGLVHEAMHLMHGNTGFPSTTSKFLGFHELVIMMRLMHEAMPLTHVDMNFFFYQYQLPSIDFVLPDRNFQSIVWSSFL >RHN82096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51249235:51249510:1 gene:gene6220 transcript:rna6220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MDKKLLRRDLRKAPARCNRVKNEVLVIAKLQHRHLVRLLGYYLEDEKILIYEYVPNKSLDYFLFLNIPSHYSLIILPQFLCIYKLPTTTLL >RHN42060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35150093:35151040:-1 gene:gene48451 transcript:rna48451 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYHNKIISSYACLAPCQTFKTFPSSKTSIFIKLFFQKNSLSLHAIISISFYFTFIAMTIKLQHVLSFIIWLFLFFILFHMFFGFKSNISTSTSGKTMITGNKFPVSQSRKMLATGFDFTPFINRHRHSRLHHRHQDHHYRSHTRMAHPNEPKKSEIDPLYGVDKRLVPTGPNPLHH >RHN62506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43923750:43935883:-1 gene:gene25090 transcript:rna25090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEMDEACRVLPFQLQFDKPLASQVKIAEWNPEKDLLAMVSDDSKILLHRFNWQRLWTITPGKCVTSLCWRPDGKAIAVGLDDGTLSLYDVENGKLLRSLKSHCAAIICLNWEEDSHLITDDHYHTSKYEDRTSRFFPPAPKIPRMPGLVSGDNGFMDDGDDSFEELSNSSHQRFNVLCSGDKDGNICFSIFGIFPIGKTNIHNLTFPTSSDGAKSSKRLLNACIHKVALSKDLCRLIVMCSGDLVEVVDDLGVIHMAGHNANGLHCLALNTAIFWNRKNELHQVAQQASNIEDLTEVVRASLSVMSRQWSDAMHTFKEKFNSLSTLITDHGLDSSPQEEFLGLLGGARTSPPVHQFLVSTLGEVGVKRISKVLCGAGKELQRIVLEHLQPAVEVIGFRMGELRGLSRWRARYHGIGLDEPLISNATEKAGMLLVQVERFMRVLSSVLQQYSNFFNWLLKCIKLLMSEPSDQLLPYNSELVIIFLKFLYEQDPVKQLLEISETDYDVEIDLETAERIKELVQFGGFSDTEYLRRTLAKEFQQLELSFKEAFQMPFTTISRKILCEDLLPLFPLPSLPNASSMTRISTSISYYEDSSRASSSHYTGQHQVIDYISFQVPDESFSDIEKCICIVRGFMHDADSLKKGYSSLEAVLLRVPVDYQCIDLSLYKDSQIVLLLNKATNTSESAGDGCMIILQASDLPYVSISRSAYIDVWRLQELKDSAACLHIGDEKTRTIPHCVIAPLAVSASRGVACVFAARKRALVYILEEDEDEVSDAE >RHN38924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2828160:2828537:-1 gene:gene44901 transcript:rna44901 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMTTEKEHIEEIRREKFSIGEKRANPLIEDLHQAVKNLSAELYSTNVHFVKELIQVPYLVSFHLFNFSLIFIHAQSMLAIYLIMHMNHSIIGVYDITLFLNLSSSTIFLYTSIKLYLTYWIFM >RHN53304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:846915:847598:-1 gene:gene28195 transcript:rna28195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDRSYISLHVSHVHEPILLDSKDIPSLGGWFLINFDFTHIILPSDHDATTSMSNSAITMNKILAIPIEILCNCTEGDHNNVLFLYDTFDFVATNILDVILPDMEQCARQMVLKYGEGHDILEMNMSLHVDTLETVEEEEEEDDRNFDDNGQQAQQIAGLLEKLENNYHSSHKIGQCSICLEEFCTELELAYTKCSHVFHQKCIRPWIQKCINRSSSYSCPLCRGQII >RHN64527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59828077:59829583:1 gene:gene27350 transcript:rna27350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MSQVETGAKLLATLALALFHLLPVTPTLSEFNRHREITLLEEHLTAAETTVRVVRVRKDGTGDFTTVTDAVKSIPSGNKRRVVVWIGMGEYREKITVDRSKRFVTFYGERNGKDNDMMPIITYDATALRYGTLDSATVAVDADYFVAVNVAFVNSSPMPDENSVGGQALAMRISGDKAAFYNCKFIGFQDTLCDDYGKHFFKDCFIQGTYDFIFGNGKSIYLRTTIESVAKGLNVITAQGRERMSDDTGFTFVHCNITGSGHRNTYLGRGWRRSPRVVFAYTYMDSVVNSRGWYHHGSNETIFFGEYKCSGPGAVRLNYKRILSDEEAKHFLSMAYIHGEQWVRPPPKL >RHN78433.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15043090:15048127:1 gene:gene1989 transcript:rna1989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3-dehydroquinate synthase II MSLLSQIGFAQFTPPPSTSYTRLHHKPCSSSMSSSSWTPLEDSGNKKSKKVWIWTKNKQVMTAAVERGWNTFIFPSNLPHLANDWSSIAVICPLFASEKEILDAQNKKVATIFDVSTPEELERLRPEDEQAENIVVNLLDWQVIPAENIIAAFQNSQKTVFAISDNASEAQTFLEALEHGLDGIVLKVEDVEPMLELKEYFDRRTEESNVLNLTKATVTQIQVAGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYIAVPGGRTSYLSELKSGKEVIVVDQQGRQRIAIVGRVKIESRPLILVEAKTDSDNQTISILLQNAETVALVCPVQGNKLLKTAFPVTSLKVGDEVLLRVQGGARHTGIEIQEFIVEK >RHN55236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16323644:16327348:-1 gene:gene30381 transcript:rna30381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEAVLEVVLNNLSSLIQKEIGLFLGFQQDFNSLSSLLSSIKATLEDAEEKQFSNRAIKDWLLKLKDTAYVLDDILDECATQVLELEHGGFQCGPSHKVQSSCLSSLSSKHVAFRYKIAKKMKKIRDRLNEIAEERSMFHLTEIVKEKRSGVLDWRQTTSIITQPRIYGRDEEKNKIVEFLVGDASVLVDLPVYPIVGLGGLGKTALVQLIFNHERVVNHFELRIWVCVSEDFSLKRMTKAIIESASGHACEDLDLEPLQRKLLDLLKGKRYLLVLDDVWDDEQENWQRLKYVLACGGKGASVLVTTRLPKVAAIMGTVPPHDLSLLSDNDCLDLLKQRAFGPNDEEREELVVIGKEIVKKCRGVPLAAMALGSLLRFKREEIEWLNVKESKLWDLQGENCVMPALRLSYLNLPVKLRQCFSFCALFPKDEIINKKFLIDLWMANGFLSSNAMLQTEDIGNEVWNELYWRSFFQDIEHDGFGKIQKFKMHDLVHDLAQSITEEVNCCITEPSPSNRIRHLSIYGRKSRVVGSIQLQGIKSLRTFLTPTSHCSPPQVLKYGMLRDLTSLKRLNIRRCQMFNLSESFQYLTCLEKLVITSSSKIEGLHEALQHMTSLNSLQLINLPNLASLPDWLGNLGLLQELDILHCPKLTCLPMSIQCLTSLKNLRICSCSELGKQCKENTGEDWQKIAHIQCIKVQNCRTPFGGGRGGEDGGGYYVSSLFCFQHQ >RHN47800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42600632:42607074:1 gene:gene42443 transcript:rna42443 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MEDEVEHRPLHQTTLPKQIEQHNDSEPENEDDDDVSSFSSDSEIDDALDWLDSKNEDYYDSIDGTSLSSWRPNAHGGHHSHSSTLQPLSNRNQKFSHHIRASPLEEWEGRMNIAMSNTVTTAIRGSVREMAIGKTKTTEKADRATVEQAIDPRTRMVLFKMLNRGVFQDMNGCISTGKEANVYHATKSIISTEKEANISHAIKTNGEELEIQELAIKIYKTSVLVFKDRDRYVQGDYRFRNGYCRHNPRKMVKTWAEKEFRNLKRLKEEGIRCPTPILLRLHILVMEFIGKDGWAAPRLKDADLSLDKLREGYVEIIVAMRTLYQKCKLVHGDLSEYNILYYEGHLYIIDVSQAVDPDHPHALDFLREDCIHVSDFFKKHGVGVMTIRELFEFIVDASISDDAVDGYLEKVQQKILARGDVSAEDEIADSVFAQSYIPKTLVDVKNVEEDVQRITSGKETGDLIYQTITGLKHALTITEPSLQNDQLKPKSNTIDDSHAISDGKSNLLEGDAEAQPGENEEDNKSDSEEISSSESDSDTPLDKKVARKEARKENKKKVKEEKREARKTKLPKAVKKRKKKLSKAIKTR >RHN70385.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51052889:51082531:1 gene:gene18957 transcript:rna18957 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MDEAFARSIPEVLDFFGVDPTKGLSDTQVAQHGRLYGTNVLHEDQRAPFWKLVLKQFDDLLVKILIAAALISFILALINGETGLMAFLEPSVILMILAANAAVGVITETNAEKALEELRAYQADVATVLRNGCFSILPATELVPGDIVEVSVGCKIPADMKMIDMLSNEVRVDQAILTGESSSVEKELKTTTAANAVYQDKTNILFSGTVVVAGRARAVVVGVGPNTAMGSIRDSMLQTEDEVTPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPSHGGFVHGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVAKICIVESSKSSPFVTEYGVSGTTYAPEGIIFDKAGVQLDTPAQLQCLLHMAMCSALCNESTLQYNPDKGKYEKIGESTEVALRVLVEKVGLPGYNSMPSALNMLSKHERASYCNHYWEEQFRKLDVLEFSRDRKMMSILCSRNQLHVLFSKGAPESIISKCTTILCNGDGSVMPLTADIRAELDSKFNSFAGKETLRCLALALKWMPSDQQKLSFDDEKDLTFIGLVGMLDPPRDEVRNAMLSCMTAGIRVIVVTGDNKSTAESLCRKIGAFDHLIDFTEHSYTASEFEELPALQQTIALQRMALFTRVEPSHKRMLVEALQHQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMKVKPRKVNEAVVTGWLFFRYLVIGAYVGLATVAGFIWWFVYADSGPKLPYTELMNFDTCPTRETTYPCSIFEDRHPSTVAMTVLVVVEMFNALNNLSENQSLLVIPPWSNLWLVGSIVLTMLLHILILYVRPLSVLFSVTPLSWADWMAVLYLSLPVIIIDEILKFFSRNPSGLRLRLWFRRTDLLPKREVRDK >RHN54224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7927304:7930991:1 gene:gene29224 transcript:rna29224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-6-phosphate 1-epimerase MAMTSMALCGVNTVTFQNRARYNSGIAFASLGNKEKTTLGVKVTEGEGNLPKLVLTSPAGSEAEIYLFGGCITSWKVPNGKDLLFVRPDAVFNKKKPISGGIPHCFPQFGPGAIQQHGFARNLDWTVGDSENVEGNPVVTLELKDDTYSRAMWDFSFHALYKVTLNAKSLSTELKVKNTDNKAFSFNTALHTYFRASVSGASVKGLKGCKTLNKHPDPNNPIEGSEERDVVTFPGFVDCVYLNASDELQLDNGLGDIISIKNTNWSDAVLWNPHLQMEACYKDFVCVENAKIGNVQLEPKQTWTAVQHLTIA >RHN66767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:18491868:18492585:1 gene:gene14846 transcript:rna14846 gene_biotype:protein_coding transcript_biotype:protein_coding MCNFSSNFILIELFILIDHSCNICHLDVLSVTNFFGSVLETIHIDPAYRSQSNKIFGGPEIKMPLDQSISDNDRRILRNSNYEEWPNKLSTERRSLTPYVMVTCSAISLENANYLGHDCIFQHICPRELLIELYYVSPCLFCRWWSTAMVPRVLGAIDRL >RHN62097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40709195:40716325:-1 gene:gene24631 transcript:rna24631 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pseudouridine kinase MLSGRQLDHEDAEAVIIGGMVLDIHATPSIRANPGTTTPGKVYYVQGGVARNVAECMSKLGAKPYMISALGFDMAGNLLLEHWKTAGLSTEGILKNKDIETPVVCNIFDVNGEVAAGVASVEALEKYLTPDWILCFKSTLLYAPVLMVDANLSGPSLEASCKMAADTGCPVWFEPVSVTKSQRIRSVAKYVTFASPNEDELIAMANALSGSNEFRPLKEDHKKDNLSPGSLFQILKPAVLVLLGKGIKVVLVTLGSNGVFLCSKGGPSCYKFPVAKTNRTGFGGQLYKSVMQNCPPNCYSGFSELDISNRLFAVHLPSLPASVVRLTGAGDCLVGGTLTSICAGLDIMQSVSVGIAVAKAAVEVETNVPSAFSLASIADDARSVFCHAKVLLQQSMM >RHN76179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48295182:48303237:1 gene:gene12465 transcript:rna12465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDSDDMHDANDVESLDDDFYSGETEDAPMDDYSDDYDDDNNNNNNNDADDDYFDDGADDSAQSRSTEINFAILKESDIRDRQEDDISSVATVLSIPPVAASILLRHYNWNVSNVNDAWFADEDRVRRKVGLLEKPVYENPDAKELTCGICFEAYRPSKIHNASCGHPYCFSCWGGYIGTSINDGPGCLMLRCPDPACGAAVDQDMINLLASAEDKQKYDRYLIRSYIEDNKKTKWCPAPGCEHAVNFDAGGENYDVSCLCSYSFCWNCTEEAHRPVDCGTVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYEAAKQEGVYDETEKRREMAKNSLERYTHYYERWASNQSSRQKALADLHQMQTVHMEKLSDTQCQPESQLKFITEAWLQIVECRRVLKWTYAYGYYLAEHEHAKKQFFEYLQGEAESGLERLHQCAEKELQVFLSAEGPSKEFNDFRTKLAGLTSVTKNFFENLVRALENGLCDVDSNGAATSKATSSKNAAGSSKGKSGRGKGTKATVSSRLTDDNEWSCEQCTYANVGSATACAMCNQERQ >RHN68885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39363043:39367941:-1 gene:gene17301 transcript:rna17301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylglycerophosphatase MRYLKSNILIYLSIYLVPSSSIVRVWIGSTTQGCGAKHHSLFLIGHSFKIVIDTRISYLTDSQVPLRNFYGPSLPQTQSCNKGLESNYRSRYNSNSNQNDKHLFLIKYFSLADSEDTSNQNPETRNHSQRQPDRQREFKEHKKDSALFTKMWWADLKAAFGQRINFEGILCSTMVILKDPKLSLPHISVPDIRYIDWAALHRKGFKGVVFDKDNTITVPYSLTPWPPLESSLESCKSEFGQDIAVFSNSAGLREYDHDGSKARNLEGTIGIKVIRHRVKKPGGTAEEIEKHFGCEASELIMVGDRPFTDIVYGNRNGFLTIWTEPLSLAEEPFIVKQVFVLYSFSFLSARSVQFDYV >RHN71751.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2420867:2421213:1 gene:gene7385 transcript:rna7385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase MGLLFLRSFRLGLEAGSKPELLLALSCLCKGNREAFLVCNGFKDNLVGRKLALNGVIALEQEEELNMVVEISNKLYIRLELLADGVGEAAQIYLLLMG >RHN56272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29406581:29411636:1 gene:gene31644 transcript:rna31644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TIFY family MEPPMYDHSQPLNTTVPITAGESDDGSGPDAGVDAQHQIQYETHGMDDSNSDAVYGNGSENPEFALQSFDESDQLTLSFRGQVYVFDSVTPAKVQSVLLLLGGCEQPNVGVNAVPQQSLRVESMDFPTKYSQLHREASLLRFRQKRKERCFDKKVRYEVRQEVALRMQRKKGQFTTSKKQDGSNSCGTDQDSSQDASPSEISCTHCGTSSKSTPMMRRGPSGPRSLCNACGLFWANRGVLRDLSKRHQELSTVPVEQVDQGNSSDCGTATIPAHNITPIEQPSTIC >RHN78824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18762500:18762817:1 gene:gene2480 transcript:rna2480 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLNSLQIVQRNTGQVVAGKPLWRVEVINNCICDQSQIMLSCNGFQSFEGVDPLILKKIGDNCLLYNGHLLSPRNIDVFAYASDSSFPFVPVSSVTGTCHQKDI >RHN77528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7437841:7441171:-1 gene:gene992 transcript:rna992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MADLGSASSKRMWCSIPEKFQLHVAMLALQFGYAGFHVVSRAALNMGVSKLVFPVYRNIIALLLLLPFAYFLEKKDRPPINLNFLCQFFCLALIGITANQGFYLLGLDNTSPTFASAIQNSVPAITFLMAVILRIEQVRLNRKDGIAKVAGTIFCVIGASVITLYQGPTIYSPSSHLNSGTNTTIITEVTTTTPMIDFGTMSLGDAKGKNWTLGCLYLIGHCLSWSAWLVFQAPVLKKYPARLSVTSYTCFFGLLQFLLIALVFERNAQAWIFHSGGEAFTILYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTFVVAIMASIALGEEFYLGGIIGAVLIVAGLYFVLWGKSEEKKFAKEQLAIASSTEHSIIRPSSHAKASLTQPFLSSSSSTENV >RHN76930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2485582:2488588:1 gene:gene323 transcript:rna323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MIKSKFLLSTNTMINLKLPINTPKTRLTEQTILTLLNSHCNTLSKLTQIHAFILKTGLQNNPLILTKFTSTSSNLNSIHYATSFLFPPSHTTSTPTPSYDAFLFNTLIRAYSQTRDSKSNSFLFYRTMLRYGVTPNKFTFPFVLKGCAGIGSLRLGKCVHGCVVKFGFEEDVHVLNTLIHMYCCLGEDGFEFAEKVFDDSPKMDTVTWSAMIAGFVRLGCSSRAVDLFREMQVMGVCPDEITMVSVLSACADLGALELGKWVESYVEKKNIPKSVELCNALIDMFAKCGNVDKAIKLFRQMDSRTIVSWTSVIAGLAMHGRGLDAVSLFDEMVENGITPDDVAFIGVLSACSHSGLVDKGRYYFGSMERNFSIVPKVEHYGCMVDLLCRGGFVKEAFEFVQKMPFEPNQIIWRTIITACHATGELKLGESISKELIKSEPMHESNYVLLSNIYAKLRQWEKKTKVREMMDMRGMKKVPGSTMIEVNNEMYEFVAGDKSHDQYKEIYEMVDEMGREIKKAGYVPTTSQVLLDIDEEDKEDALYRHSEKLAIAFALLNTPPGTSIRIVKNLRVCEDCHSATKFISKVYNREIVVRDRNRFHHFKNGLCSCRDFW >RHN82004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50523181:50531602:1 gene:gene6119 transcript:rna6119 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative folate-biopterin transporter, major facilitator superfamily domain-containing protein MTCVYILSLIPLLPSKFPNFYTSPFVVRSPQYRRGRTHRNPPETEMSGIIPRSASAFRLNIDDSDSLLGSRTGAGKGDTVTTETDLEASSTSKNVLRKNRYSTLFGVDLSPDTVAVAMVYFVQGVLGLARLAVSFYLKDDLHLDPAEAAVISGISAFPWLVKPLYGFISDSIPLFGYRRRSYLVLSGLLGALSWSLMATSVDSKYSAAFCILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSSYFSGSLLDAYGVRFVFGVTALLPLLTSAVAVLVKEQPTLGTARGQTFSFARPEFFENSKQSIIQLWVSVRQPSIFLPTLFIFLWQATPHSDSAMFYFTTNSLGFTPEFLGRVKLVTSIASLLGVGLYNGFLKNVPLRKIFLGTTILGSALGMTQVLLVTGLNRKFGISDEWFAIGDSLILTVLSQASFMPVLVLAARLCPEGMEATLFATLMSVSNGGSVLGGLIGAGLTQVFGITKDKFDNLAALIILCNLSSLLPLPLLGLLPGDNPDANPKDDSEIEMKSN >RHN40871.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23542768:23546498:1 gene:gene47112 transcript:rna47112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MGSSKPISPFRLSSLLRSQKDPSLAFQIFLNPQNHYRYSILSYDLIITKLGRAKMIPQMELILQQLHNDTRHRVPEPLLCHVISFYARARLPSRAVQTFLSIPSFRCTPTLKSFNSLLNALLTCRRFQTITHFASRLSEFGPPNTCTYNILIRSCFFQGRTDRALELFDEMRRTNVCPDQVTFGTLIHGLCKDSRMHQAFGMKKLMIQEFKLKPCVSVYTNLMKGVCEIGELHRAFEIKDEMERNGLRLDVVVYNTLVNALFKAGRKEEALRVLEEMKESGYHWNSVTCNVMIGEFCRQKNFQEAYRILDGVEGVKPDVFGYNVVIGWLCKVGKWSEANDLVQDMPRRKCVPDVVTYRTLFDGLCRWTQFREAAIVLDEMLFKGYVPHTKSLNEFVCGLCREGNFELLSTVLSGLASRGEFCNEGIWNVLVSVVCKQEKLAAEPFKIFKALAVS >RHN43372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45236834:45240873:-1 gene:gene49934 transcript:rna49934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytosol alanyl aminopeptidase MARLVLPSKTLAFSRKSLLGLISPAPLQINSSVNCFRNISKSSVRYRHFLASEVVLRKNCCPFYSSVPRVKKASRKLICSVATESKQVEESKMAMPTEIFLKDYKMPDYYFEKVDLKFSLGEEKTIVSSKISVFPRVEGSTPPLVLDGQDMTLVSVHVNGKALKEEDYHLDARHLTIQSPPSGKYDLDIVTEILPQKNTSLEGLYKSSGNFCTQCEAEGFRKITFYQDRPDIMAKYTVRIEADKSLYPVLLSNGNLVGQGDLEGGKHYAVWEDPFKKPCYLFALVAGQLESRDDTFTTRSGRKVSLRIWTPAEDVPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFNMGAMENKSLNIFNSKLVLASPEAASDADYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRVGDVSKLRNYQFPQDGGPMAHPVRPHSYIKMDNFYTGKLLYF >RHN52199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32629778:32630865:1 gene:gene36843 transcript:rna36843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MGGSKASSIGNSNGRSPPKCECNRLMQLWVSNTDENPKRKFWRCCNYWENEDHCNLFIWDDELETPRVKSLLKRLAVNNRENELGSTLQNSNGSAGYDVGSSTMVNQTCKNFEDGCKNCAMISEYLRVFGKEIGEEVGKELGKELGKVYGTERASTKHLKTKKKLQYERSKSFGLLVLLVLSWIFFLVVLKFMYPVEM >RHN42391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37975039:37977601:-1 gene:gene48828 transcript:rna48828 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLSDSDFMRFSLFKTRASAILIPVSSAIAFAFASCKQGWYYRCLGMLIKQKKNQNAKDARKLLININVLGSAGPIRFVVSEGDLVATVIDTALKSYAREGRLPILGKDVTSFALYCQHVGSDALSPLDTIGSHGGARNFMLCKKPETTNRMANADGAVALARRRNGSLKNWFNKSLSSVYVLIS >RHN51227.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15218506:15221946:-1 gene:gene35626 transcript:rna35626 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPNRASFEDKMLLTMFDACDAAKDATQDDIDDRLAFLDAVRASSIGLEGGKPPSLKICGVVFHMLRTVKSLQLIMACHKLLVDLEKHFPRAYLSDEDDSLSSSNAPPKLVVAEEAWSPLILGLDNAAVVSGASEKQSGGSLDPSLLQSLLLFQYLVIVLEGDFLPRNATMNWSLQRKSWLNMLLGSRKINYKSLMKNCIEVICLLCQPFENDNFHKSNTALFLALAEVLKNTRVSIDKFLVMILALDVSKKKADIEGNTSRSDGSRTPLVDIILDELAYNKDNIPHFFKPAARTRRSSGVTEDTTFDGALKCFSNKTRTKSIIKKIGADVVQLLLAHGFQAQLSILSNSALVDLCREFISTFESLRSTDGNMEILSIGKEALFTAACVISMKS >RHN65359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1448155:1454195:-1 gene:gene13187 transcript:rna13187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-RLCK-IXb family MDRVQEAEENNKSSVVGLAIKGNKKSKYVVQWALDKFVHEGISIFKLIHVRAVITGVPTPMGEVLPLSQVRNDVATAFKREVEWQTNQMLLPFKSLCEQRKVHVDVVVIESDDVATAVAEEVAREAITKLVLGASSSGIFRSKHKGISAKISVCTPRFCTVYAVAKGKLSIRPSDTEIDGSIIDNTSETSFSSSSSSNYTSTSQTDSASVASCNALHSYAKETQRVRAHSSMDQTLLSTSPSLNETNHFRGQSLDLGRKNAAASSSRKSDIDHALSQASSCGSISDTESFFYEQNHAKDATRVNRLPPSNRQENINLELEKLRIELRHAQGMHAVAQSENVDASQKLNELNKRRLEESMKVKEIMAKEEMAMELANQEREKYEAAAREATYLKECAEREAAERKETEFKAIRAAKEKEKLEDALSGSTPQYRIFTWDEIVSATSSFSEDLRLGMGAYGIVYKCTLHHTTVAVKVLHSTGNCKNKQFQQELEILSRIHHPNLLLLLGACPDHGCLVYEYMENGNLEDRLLQKNSNSPIPWFNRFQIAWEVASALSFLHSSKPQPIIHRDLKPANILLDGNLVSKIGDVGLSTILDSDELSAMYKDTAPVGTLSYIDPEYQRSGLISTKSDVYAFGLVILQLLTAKPAIALTHIVETAIDGGNLADILDPKAGSWPLQETLDIARLALGCAEMRRKDRPDLNDHVLPMLERLKEVADKAQHSASIVTIKSRPPNHFICPILQDVMDDPCVAADGYTYDRNAIEKWLEENDKSPMTNMVLPHKHLIPNYTLLSAILEWKT >RHN59279.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9016002:9016541:-1 gene:gene21283 transcript:rna21283 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain-containing protein MVRFSTLTLVIVFLSCVASSYAANVADPQTICKKAKDPSFCLNFLKSKPGGVGRDLNSLLKYTLGVLRTDVSGTISLITKLIAQSGNDLEKKNYYKSCLTFYAEDEGALGEIEEAQDLLNRSDYNGVNVHISGVMSDVYNCGTGDEHPYQDTSSLPKQADVVNNVAEIILIISNLLLNH >RHN59044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7035757:7038260:-1 gene:gene21027 transcript:rna21027 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKTLIADKTAITKVPFSIVRLKSIGYISFRGFEGFSRDVFPSLIRSWLSPSNNVISLVQTSESMSSLGTFKDLTKLRSLCVECGSELQLTKDVARILDVLKATNCHKLEESATSSQISDMYASSSIDDCLGQVCISGPRNYLKSLLIQMGTKCQVPNIAEDNLLQTTNEIWDTFLLYNSEWSTFSCHGCSIRFDIPTMKGRNLKSMMLSVVYYSTPENITAEGCHGVLIINHTKTTIQAYKRDTPTSFKDDDWKNITSNLEPGNKVEVMIVFGEGFIVEKTTISLLYAEPIDKEIEHCNARDDNVTTSCRDQNIFCRLFTQLPSLVCAVLASRRFWFSLVAILVWITCSGSKK >RHN42427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38275612:38283192:-1 gene:gene48867 transcript:rna48867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MKLSSAGFSPPPQEGEKRVLDSELWHACAGPLVCLPADGSRVVYFPQGHSEQVAVSTNREVDTYIPNPSLPPQLICQLHNLTMHADTETDEVYAQMTLQPLNPEEQKEAYLPAELGTANKQPTNYFCKILTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELIARDLHGNDWKFKHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASRPQHMMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPPGLPSFHGLKDDDFGMNSPLLWLRDADRGLQTLNFQGMGVNPWMQARLDPSMMNMQPDMYQAMAAAALQDMRTLDPSKQHPASLLQFQQPQNFSNGNAALMQNQMLQQSQPHQAFPKNQESQHPSQSQAQTQQFQQLLQHQHSFTNQNYHMQQQQQQQQQQQQQQQQQHQQQQQQQSQQQQQVVDHQQMSNAVSTMSQFVSAPPSQSTQPMQAISSIGHQQNFSDSNGNPVSPLHNMLGSFSNDETSHLLNFPRPNSWVPVQSSTARPSKRVAVDPLLSSGASHYVLPQVEQLGQSQTTMSQNAITLPPFPGRECAIEGNTDPQNHLLFGFNIEPSSHLVYNEMSNLKRVNSNCDSSTAPFQSSTYLNTTGADSSLNPGLTHGVGESGFMQTPENGGQGNPQNKTFVKVYKSGSFGRSLDITKFSNYPELRSELARMFGLEGELEDPVRSGWQLVFVDQENDVLLLGDGPWPEFVNSVWCIKILSPQEVQQMGTNGLELLNSAPTQRLSNGICDDYTGREDSRNLSTGLTTVGSLDY >RHN41811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33197376:33207090:1 gene:gene48173 transcript:rna48173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Foie gras liver health family 1 MEEYPEELRTPPITLTSLVGCPDLHPLISTYLLSQQPPINTLALPDLSKINLFNKKKPDPDSIGNTSQPPSIVNGILKRDWLLKHRTKIPSVVASLFATDRVFGDPSQWLQVCSDLDSIKSVVRARNIKLVVVLVHTNANDEVSEDRIIALRKRAELEAKYVVILNPNDDSEFQLSLNRLANIFSELSGVYYREEGRRVKQRIEKKNVSSVELIVRYCFKVAVYAEFRSDWTEAMKFYEEAYHTLREIVGVTTRLPAVQRLVEIKSVSEQLHFKISTLLLHSGKVTEAVTWFRQHKNTYKRLVGAPDAIFVHWEWMSRQYLVFGELLETSSKITQNFPPVILGSSSKPLSEWEYYPAYYYQLAAHYMSEKRSALELAISMSETPNEVNSGADSVVPSAYIGQFARLLEEGDNVDMLPLTDEEYTHYTVSEGKRFRDSLEIIALLKKAYESYSGMKIQRMSSFCGFQMAKEYFAEGDIVNAMQLFDSIASLYRKEGWVTLLWEVLGYLRECSRKNGTIKDFVEYSLEMAALPISFDTGVQRDTGPAGPANLRQREVLHKEVFELVGDASVSSKSEDSSNLKITADESVQLEVDLVSPLRLVMFVSVAFHEQTIKPGASTLITISLLSHLPLTVEIDQLEIQFNRSSCNFFITNAQNHQSVEGSDTQQQRTERAPSLLLVSNKWLRLTYNIQSDQSGKLECLSVIAKIGPHFTICCRAESPASLDSLPLWTLEDCIQTVPIKDAVLVFSGQKSTQVEEPDPQVDLCLGASGPALVGEVFLVPVTLVSKGHDVYSGELKINLVDVKGGGLFSPRDSEPYSMDSHHVQLLGISGPEGEDDSQLDSDNIKKIQQSFGLISVPFIKNGDSWSCRLEIKWHRPKPIMLYVSLGYTPNSGESNAQMVHVHKNLQIEGTTAIVINHHYLMPFRRDPLLLTKNKQVSDSEQNKQASESDQPESLPSNQKTVLIVSAKNCTEVPLRLKSLSVEEEDGVERTCSIQHGSEELSKPTLLVPGEEFKKVFSLSSNVNISKLRLGTVCLRWRRDMGVHEKSASTTTLSSWVVTKQKLPDKNVELPPLIVSMECPPYAILGDPFTYCIRILNQTQLLQEIKYSLADAQSFVLCGYHNDTVYVLPKSEHIVSYKLVPLASGMQQLPRFSMTSARYSASYQPSNSSNSVFVFPSKPHFKTAGSTNFRVEESVGNE >RHN48285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46307971:46311800:-1 gene:gene42986 transcript:rna42986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MRMKNNMMQMKTQIFIFFCYIVIFCFSNSFSAASNDEVSALLSLKEGLVDPLNTLQDWKLDAAHCNWTGIECNSAGTVENLDLSHKNLSGIVSGDIQRLQNLTSLNLCCNAFSSPFPKFISNLTTLKSLDVSQNFFIGEFPLGLGKASGLTTLNASSNEFTGSIPLDIGNATSLEMLDLRGSFFEGSIPKSFSNLHKLKFLGLSGNNLTGKIPGELGNLSSLEYMILGYNEFEGEIPAEFGNLTSLKYLDLAVANLGGEIPEELGNLKLLDTLFLYNNNLEGRIPSQIGNITSLQFLDLSDNNLSGKIPDEMSLLKNLKLLNFMGNQLSGFVPSGLGNLPQLEVFELWNNSLSGPLPSNLGENSPLQWLDVSSNSLSGEIPETLCSKGNLTKLILFNNAFSGPIPSSLSMCSSLVRVRIHNNFLSGKVPVGLGKLEKLQRLELANNSLTGEIPDDIPSSMSLSFIDLSRNKLHSFLPSTILSIPNLQVFKVSNNNLEGKIPGQFQDSPSLTVLDLSSNHLSGTIPDSIGSCQKLVNLNLQNNLLIGEIPKALANMPTMAMLDLSNNSLTGHIPENFGVSPALEAFDVSYNKLEGSVPENGMLRTINPNNLVGNAGLCGGTLLSCNQNSAYSSMHGSSHEKHIITGWIIGISSILAIGITILVARSLYVRWYTGGFCFRERFYKGSKGWPWRLMAFQRLGFTSTDILACIKETNVIGMGGTGIVYKAEVPHSNTVVAVKKLWRSGNDVEVGRGSDELVGEVNLLGRLRHRNIVRLLGFLHNDTDLMIVYEFMNNGNLGDALHGRQSVRHLVDWVSRYNIALGVAQGLAYLHHDCHPPVIHRDIKSNNILLDANLEARIADFGLAKMMIQKNETVSMVAGSYGYIAPEYGYALKVDEKIDVYSYGVVLLELVTGKRPLDSEFGESVDIVEWIRRKIRENKSLEEALDPSVGNCRHVIEEMLLVLRIAVVCTAKLPKERPSMRDVIMMLGEAKPRRKINGNNETSLAANNNNKEMSVFSTSPVSGLL >RHN53643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3015489:3021152:1 gene:gene28570 transcript:rna28570 gene_biotype:protein_coding transcript_biotype:protein_coding MYVANMYESLVNEVNIRLASLNGIHDKFIGVALEAAIGLHRRLAKKFPKKGPCTYKRRELATSTETRTRFPELVIQEEKRVRFVVVNGLKPQPPPKKVKGLIIVERLKIDDAGW >RHN54534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10304666:10309009:1 gene:gene29598 transcript:rna29598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MATMGSEAWKAHLGMAVVQLFNGGYHVITKVALNAGVNQLVFCFYRDLLALFALSPIAFFHERQTRPPITKQLLMSFFFLGLTGIFGNQLLFLIGLGYTNPTYAAALQPAVPVFTFLLAVIMRVERVNLQSIEGLTKVGGTLICVSGAIFMVLYRGPSVIGYTEPVIIPQNEIIVSGQPEPSAWLITGLQNLGLDNFELGVVFLIGNCTCMAAFLAIQAPVLKKYPANLSVTAYSFFFGVVLMAIVSLFMTDLSSDWILTESEILAVVYAGIITSAFSYGVISWSNKILGPTLVALYVPLQPAFAAILSQIFLGSPIYLGSIIGGCLIIAGLYTVTWTSYKERRAIVGITSHVSGTSEPLIIQEKSAHHRGDIFPGSSRSPNPKSYD >RHN76376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49742704:49747335:1 gene:gene12690 transcript:rna12690 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPKLSITFTGTRHTHSPKQTTAMLERTLSSRRGNSHGDSDDDDLINADDSKTKKQHILFRITNRASTFLSQTRHYVPCLTIAFLLLFAFSFFFFFTSRSFVCISSNAFFKPASRAAFFDLDGLDSDFGALGVPCCRSKHGKTVEWTSKDLLKGLEEFVPIYETRPIKNNMYGMGLDHSFGLWFIARWLKPDLMIESGAFKGHSTWVLRQAMPDTRIVSLSPRHPEKYLKKGPAYVDGNCTYYAGKDFVDFGSVDWSKVMREHGIKDLSRVLIFFDDHQNELKRIEQALKAGFRHLVFEDNYDTGTGDHYSLRQICDQFYIRGGGHSCFKDSDEARIRSKRKKFWEKAVDTEELCGPGEAWWGVRGYMRDNFNHSNKPISYAQHFQNSRYVESILDVYWELPPVAGPSLTHQTRYDPARAPNPIVEDGRYGLFQRLGLGKLDNSVFNGYTQMVYLQISEQ >RHN72675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9642345:9648806:-1 gene:gene8421 transcript:rna8421 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BAH domain, Agenet-like domain, Agenet domain, plant type MSGDEVDAVFVSWEEQVICQERGNRVIHFYLRHMSGDSVLAVVGTERSVRHMMYVVPQQFLMDYGSTNVVYKWRARREVVDWLNHLVSRNRSHRNGTLLDDSAIAAGLGSLDTFADGINANNRKIPDKLISKKLNIQSSDIVWSGASSFCAKQLKHYSGFDRKGTTIYVHSFVYIMAEEENHYLGYLEDMYEDKKRQKRVKVRWFHRGQEVKHVIPELDLHEGEVFITPNVQVISAECINGPATVLTPKHYEKYKAELLRTSSSEIHVCSRQLKNNKLKPFALTKLSGYSNQPILSGLNCPSLPKRKADCPKFEDGGNFTQDDSLRSSNKRNRSSVEYLVAEKGSSGLQNSSLMNEMPNGVPKYPSLKLKLSRNTMGKGIEPKPELPFKVNDKVEFLSQDSGIRGCWFRCTILYASHKKLKVLYDDIMDAVDEEEHLEEWIPAQRVAKPDKLGMRCDGRFLVRPRPPESVKGCTFEIGAAVDAWCGDGWWESIITAVDASENGTCQVYSPGEEKFLLVEKRDLRISQDWIDNMWVNILGKPDICSFLSSNPPRPKFQANSAVVNGSITGSSATFESKSPPTAKVEVAPKVEQKSSGLEELNGGMKRMTLWKPPLHAIHEDEDIDSGGFDGDTETDNVVDAFDKDKDCNSGSSSDDDGSDNDGDDADADNTGEDSEENLVMEEKVGRSEPKLDAAGAIQVT >RHN47629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41104327:41105184:1 gene:gene42250 transcript:rna42250 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSLLAKDSLLLSIITYSHFHFHLFLGNESLWWKPQIDVVCFGFELPSIQCSTDCFQNLEYHLLGSNKRQLKSWLGECMLGLQMGRKCLEIPLSGRSLVQCLVKSKSLEDNSYDPCCSVDFEQYCL >RHN52503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36175447:36177469:1 gene:gene37190 transcript:rna37190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose synthase MGSIYGFWRKLNKEQKLAKERYIQMFYKLQFRNLVSKKGTKSSQEPQPMSTTPTKKSEAKAEATSKGHDAAQAKAEVPQTHLATLPPKIESQPTSRGESSSKELATKQSGYFILDYVGCFQELLSCSSFIISLYLEHLFTKEQ >RHN40284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14861422:14864817:-1 gene:gene46420 transcript:rna46420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative prefoldin MADESIKTAFVEIQGRMIETTRKLKQVQTQIRSKETDKKRAFLTMEELKQVPDDTNVYKSIGKTFVLEKKATLMNEQENKFKDSETSITALQSSKEYLEKQIAEVENNLRELLQQDPGLARQIMSMNV >RHN81058.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42964553:42966456:-1 gene:gene5052 transcript:rna5052 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLDDHLVDINVTLRESQIQSKVKKFRGEGMSLLMRKEKII >RHN59571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12125977:12127161:1 gene:gene21618 transcript:rna21618 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAEILKFIHALVIFLSLIGLVISGNHTYWCVTTDDCATNICRSGLTEECWVFRCICKYETK >RHN44415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4432466:4433151:1 gene:gene38534 transcript:rna38534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone-7-O-beta-glucoside 6''-O-malonyltransferase MVSSKLEVVDKNESNLKPQSLSAFVLTCAYSLVCLAKAIHGAAKEKDKFSFAFPIDCRSRLEPPIPNNYFGNCVWGHFVDTKPSNFMEEDDVYLVAKCIHEKIKMINDHGVFQGSINDGFGKYNSLINEGFGVFGVGGSNKFGVYETDFGWGKPEKVVTVSVDRGLYIGLAESKDGNGGVEVELVLNKLVMDLFSSFFFEGLCIN >RHN63785.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54009929:54012565:-1 gene:gene26531 transcript:rna26531 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative centromere protein Mis12 MEGSESEAVFESVMNLNPQLFFNEVLNTVDDFFIDTFDFYFQEASTKLNAEATQRSQHLTQGVDCIRQKVQSVLDQKLTVWEKYCLYHCFSLPQGFQLPNTLNGERSANDINPGSTSDLELDAQLESLRKKLAEVGKESEMLNQEIHALESQSSHNARYINEAVQLFEQNSYTELFQEIMTTASELQLKIGKLTTNKIEGTGKMKAKRIDNNKMDISAIYASKGLSNTKFEDLQEFVTLMKST >RHN61772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38242514:38246335:-1 gene:gene24267 transcript:rna24267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MLFAAISTRDKFQSLYIQKLISLYFVIGCIVHIMDNFEYVTEPVSPSGQYFNTPPLCSYVFGFLESQIPIDDSQTMYLFQHVFLPINPRFSSIMVRDKDGKMKWKKVEVKPEDHMHVPIFPKSESIELYDQYFDDYVSKIMMERTPQDKPLWEIHLIKYPTSNAEGTLIFKLHHALGDGYSLMGALLSCLQRADDPSLPLSFPSRKPSQLLSPKKGFFKWFPSTIFSFFNSFSDFGWSIAKSSMLKDDKTPIWNGEEGVESQPCVLSNLSFSLDEIKTIKSKLGVTINDVITGVIFYGIRLYMQEMDKKARTSNSTGLVLLSTRNVGSYQSIQDMTKADSKSPWGNHISFLHVSIPKLSKASLSNPLEFIWKAQKIIKRKRNTFTVFLIEWLLDMELKLRGHEAVAKHIYDTLRNSSVVISNLIGPVEPMALANHPVKGLYFTMTGGPESINIAVMSYTRILRITLKTQKGFIDEQKFKFCMVRAFEVISKASMEIPS >RHN62948.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46964489:46974852:-1 gene:gene25589 transcript:rna25589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nicotinate phosphoribosyltransferase MEAAKNNNNERTSNGSIHGPTNPMVTPLLNDLYQFTMAYAYWKANKHQERAVFDLYFRKNPFGGEYTVFSGLEECIRFIANFKLSEDEIDFVRQSLSPSCEDAFFDYLRGLDCSDVEVYAIPEGTVVFPKIPLLRVEGPVAAVQLLETPFVNLINFASLVSTNAARHRKVAGKSKTLLEFGLRRAQGPDGGISASKYCYIGGFDATSNVAAGKLFGIPLRGTHSHAFVSSYMSLDEITDRSLRRKDGSSTCEDFVSLVQTWLSKIQLLNGVFGETNQSELAAFISYAFAFPDNFLALVDTYDVMRSGIPNFCAVALALGDLGYKAGGIRLDSGDLAYLSCQARKFFCSIEKEFGVPGFGKLIITASNDLNEETLDALNKQGHEVDAFGIGTYLVTCYAQAALGVVFKLVDINNQPRIKLSEDVSKVSIPCKKRSYRLYGKEGYPLVDIMTGEDEPPPKVGERILCRHPFQESKRAYVVPQRVEELLRCYWPGNLDKKLEPLPPLKEIRERCINQLEQMRPDHMRKLNPTPYKVSVSAKLYEFIHFLWLNEAPVGELQ >RHN78359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14375163:14395070:-1 gene:gene1903 transcript:rna1903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MYVQITVLIGETGSGKSTQLVQFLADSGVGANESIVCTQPRRIAAKSLAERVREESGGCYEDSSIKCYSSFSSWNKFDSRIIFMTDHCLLQHYMSDKNLSGISCIIVDEAHERSINTDLLLALIKNLLSKRVEMRLIIMSATADAKQLSDYFYGCGIFRVPGRNFPVEVRYVPSEYEGRSRSSVVDPYVSDVVKMATEIHRTEKEKEGTILAFLTSQLDVEWACEKFEAPSAVALPLHGKLSSEEQFHIFKNYPGKRKVIFSTNVAETSLTIPGVKYVIDSGLVKDSRFDPSSGMNVLKVCWISQSSANQRAGHAGRTEPGRCYRLYSEADYQSMEPNQDPEIRRVHLGVAVLKILALGVKNVQDFDFVDAPSTSSIERAIGNLIQLGAIKLNNDVYELTPEGRRLARMEIEPRLGKLVLGCFQYTLGREGIALAAMMANARSVFCRVGNEDDKQKSDCQKVQFCHCEGDLFTLLSVYMEWEVQPQNWKNKWCWENSINAKSMRRCQNTFLELESCLESELGLVVPSYWRWDPHNPSIHDENMKKAILSSLSENVAMYSGRNQLGYIVAQTEKHVQLHPSCSLLVFSQRPSWVVFYELCSESNEYLFCVSAVDFQSLYSLKPPPLFDVSKMEEQKLQTKTLVGFGKHVLKRFCGKGNLLGHVSRIRKACMDERIFVDVNFDENHIQLYACSNDMNTASKLVNDVLQYEKKRLHTECMEKCLYHGFGSSSPVAMFGSGAEIKHLELEKLPLSVDVFHPNVNAIDEMELLMFFEKNTSGCMCDMQKFTGMVKDVEDKAKWGKITFMTSNAAKRAAELDGEEFCGSPLKIVHSQSAMGGDTTFSFPAVEARISWLRRPIKAVGIIKCDKNDVDFIIRDFEKLIVDGRRYVRCAPSDKYLDNILITGLDKEVPETEILDVLRSATSRRILDFFFKRGDAVENPPCSVIAETILKEISPLMPKKKPHISSCRVQVFPPKPKDYSMNALIHFDGRLHLEAAKALEKIDGKVLPGFHSWQKIKTQRLFHSTLIFSPPVYHVIKGQLEKVLARFNNLEGLEWKLDITPNGSHRVKITANATKTVAEGRRLLEELWRGKVIVHDNLTPATLQPILSKDGSSLTSSIQKATSTYIQFDRRNMKLRIFGSPDKIALAEKKLIQSLLSLHDEKQSVIRLSGRDLPSDFMKQVVKNFGPDLHGLKEKVPGADLRLNTRNRTILCHGNSELKSRVEEITFEIARLSNPSSERFDTGPSCPICLCEVEDGYQLEGCGHLFCRSCMVEQCESAIKNQGSFPIRCAHQGCGNHILLVDFRTLLSNDKLEELFRASLGAFVASSSGTYRFCPSPDCPSIYRVADPDTASVPFVCGACYSETCTRCHIEYHPYVSCERYRQFKDDPDSSLRDWCKGKEQVKNCPACGHVIEKVDGCNHIECKCGKHICWVCLEFFTTSGECYSHMDTIHLGVD >RHN77372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6412083:6413578:1 gene:gene819 transcript:rna819 gene_biotype:protein_coding transcript_biotype:protein_coding MFYEWSWNPRDCILRLTIVWCCGQWGGSPCFLVVGLCAGCTVRGSFLVDGRVFLEADSGGGRCLHGNKKKLSINWKQLFFRIIIHHFSVEPLKPLRSVITLVSSFVPNSLKYIFSFGTIHLPRELLRLKACTFSLLDQNSSYLSLDKTKSSHF >RHN44025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1077504:1084962:-1 gene:gene38069 transcript:rna38069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative T-complex protein 1, zeta subunit MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKSNLGPKGTIKMLVGGSGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDISGDGTTSTVLFIGELMKQSERYIDEGMHPRVLVDGFEIAKRATLQFLEKFKTPVVMGAEPDKEILKMVARTTVRTKLYESLADQLTNIIVDAVLCIRKPEEAIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCHILTCNVSLEYEKSEINSGFFYSNAEQREAMVIAERRQVDEKVRKIIELKRKVCPDNDSNFVIINQKGIDPPSLDMLAREGIIALRRAKRRNMERLVLACGGEAVNSVDDLTPECLGWAGLVYEHVLGEEKYTFVENVKNPFSCTILIKGPNDHTIAQIKDAVRDGLRAVKNTIEDESVVLGAGAFEVAARQHLMNEVKKTVQGRAQLGVEAFADALLVVPKTLAENSGLDTQDVIIALKGEHDRGNIVGLSQNTGEPIDPQMEGIFDNYSVKRQIINSGPVIASQLLLVDEVIRAGRNMRKPT >RHN64827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62256809:62261359:1 gene:gene27692 transcript:rna27692 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWSCCLLALLVLVSIIESESRVARKDLGLDLGGLGIGLGAGVVARKDLGLDLGGLGIGLGAGVGLGIGGGSGSGAGAGAGSGAGAGAGSGSGSSSSSSSSSSSSSSSGSGSGAGSEAGSYAGSRAGSGSGGRSRSGGGD >RHN59322.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9562341:9563232:-1 gene:gene21333 transcript:rna21333 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSYILLLFLCIISLHACNARRHPSSDLDKKMEKKPHFSLKINVEKNAFDSSRKKLSEGDNKMKAEFVADSEKSKHQRSTNQKVLKDMRKVSAELQTKSHVSVSSLRVPHNKKKHSEKHPGFNLDYSPPKTHPPSHN >RHN76611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51647300:51647830:-1 gene:gene12962 transcript:rna12962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MDMVNQRKKNMGRQKIEIKKVEKDSNKLVTFSKRRQGLFRKASELCVLCDVHAAIIVFSPGDKLYCFGQPDTNVVLNSYIKGTTEFEDSKSAENSPTCKDYNRQYEEAQKMLETEKKKLEDVQNLAKIFNKGDWWNDSIDDMSSDQLEQFMVSIYELRRKLVERADELVMKQSVMP >RHN64711.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61186292:61188313:1 gene:gene27559 transcript:rna27559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative villin/Gelsolin, ADF-H/Gelsolin-like domain-containing protein MTTASKSGALRHDIHYWLGKDTSQDEAGAAAIKTVELDAVLGGRAVQYREVQGHETQKFLSYFKPCIIPQEGGAASGFKHVEAEEHKTRLFVCKGKHVVPFARSSLNHDDIFILDTESKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVASIEDGRLMADSESGEFWGLFGGFAPLPRKTVSDDDKTIDSHPPKLLCVEKGKAEPFETDSLTKELLDTNKCYILDCGLEVFVWIGRNTSLDERKSASGSTDELVSSTNRPKSQIIRVMEGFETVMFRSKFDSWPQTTNAAMPEDGRGKVAALLKRQGLDVKGLVKADPVKEEPQPYIDCTGHLQVLEYILYQITHRN >RHN68039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32318364:32320480:1 gene:gene16325 transcript:rna16325 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxygen-evolving enhancer protein MELRTFTLQPNMTHLFPKFTCCVKPYNFQHLHQKNFSLKISRRNLGLIFSGECIFRTKGANAFDFGLVVPDQTVEEAQNVVRVHAQDLLQVRDLLESKSWKIAQKELRRSSALLKKDIYTIIQNKPGSERSQLRVLYSTLFNNVTRLDYAARDQDGPEVWQRYENIVVAVNEILSRI >RHN68849.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39085162:39092294:-1 gene:gene17260 transcript:rna17260 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTSKLDDDKALQLCRERKKFVRQALDGRCSLAAAYVSYVQSLKITGTALRKFTEPEAPIDSSLYTSTNATPEPLAFNEKTPSQFSFSSPSASQRIDPPETFSPTPSPPSSIKFQANHMRFSSSSSKKVEEKPPVPVIGTVTSSGTPQNATPRSTERPETSAYEDSSLPNETPWDFFGLFHPIDHQFSFQEGKGMRQDMGIASDITRLRDEEGIPELEDDEEKISSQESEVSHDSEDEFDDEPATDTLVRKFENFNRVNDHVQANGFPGTNKPQAGDSVVNGEKGSSASPYVSPLKTATTVSVLPAETNKSVETENHPENKVVPKDFFASMKEIESLFVRASESGKEVPRMLEANKLHFRPIFPGKENASMTSSFLKACFSCGEDPSQVPEEPAQNSVKYLTWHRTMSSRSSSSRNPLGANSKDDTDDHTNNLFDNFCMNSGSHASTLDRLFAWEKKLYDEVKASGVIRKEYDMKCKILQQLESKGEKTSTVDKTRAVVKDLHSRIRVAILRIDSISKRIEELRDRELQPQLEELIDGLSRMWEVMFDCHKLQFQIMSTAYYNNHARITMHSELRKQFASYLEGELDYLASSFNKWVGAQKTYLEAINGWLNKCVSLQQKTTKKKRRPQLPLLRMYGPTIYATCDIWLEKLGELPTQEVVDSIKSLANETSRFLPRQEKNHAKVAKHPHLASWNADIGNESSDNLLRDDVSEDWMSGFDQFRASFIRFLGQLNNFSGSSVKMYTELIQAIQHSKSYHHKSNSQTQDDHSKQESQVDQSENKNS >RHN42381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37887135:37890498:1 gene:gene48816 transcript:rna48816 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MKKKGIGSEARAERMANADTDMQLLNEAERGRQLQKQKKRKLQGCEEEVLAKLEKFKTKVSAKETPTTVESKHANDEELADWSSVTLKFFPRSWQGPHVSQRGSK >RHN46758.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34626335:34629079:1 gene:gene41281 transcript:rna41281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vestitone reductase MAEGKGRVCVTGGTGFLGSWIIKSLLENGYSVNTTIRADPERKRDVRFLTNLPGASEKLHFFNADLSNPDSFAAAIEGCVGIFHTASPIDFAVSEPEEIVTKRTVDGALGILKACVNSKTVKRFIYTSSGSAVSFNGKDKDVLDESDWSDVDLLRSVKPFGWNYAVSKTLAEKAVLEFGEQNGIDVVTLILPFIVGRFVCPKLPDSIEKALVLVLGKKEQIGVTRFHMVHVDDVARAHIYLLENSVPGGRYNCSPFIVPIEEMSQLLSAKYPEYQILTVDELKEIKGARLPDLNTKKLVDAGFEFKYTIEDMFDDAIQCCKEKGYL >RHN57543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39945788:39946819:1 gene:gene33105 transcript:rna33105 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQPEATCQLDMGSMTLPKPIPLFLQSHNSFHLTFYFSLSVV >RHN39451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7224708:7225939:-1 gene:gene45475 transcript:rna45475 gene_biotype:protein_coding transcript_biotype:protein_coding MCMAMLLQCYVRIFLSRIVFLMHSLGSNYSLVVCSTFSLDIIGFGILLCFFYGVAITLGSLIDIAYWIVFGSLGLISEAWDCSWIVDEFLGRPLKHLLEFILMSLSCILVLFCAALLLHFFFSGFGYVGIFLSFIVGSIDLLAYGLNASTWICFLWFEDTSHGYASLLACIWFFLSRLGIGAHLFFNFGTLVLLLHGTFDVQFVQHMTSFHCKLWPFLALFLLDIIIDFRYPYSSCLVLLFMMALLMIGFYRMVVKRWFAASSSKVITP >RHN50197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5044427:5046080:1 gene:gene34460 transcript:rna34460 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESADIMKYILECIDSLHKHETSPLLAKYVENLRQGAQVFQIITKYLNLGK >RHN80313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37102142:37102685:1 gene:gene4220 transcript:rna4220 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDNVPTHSNLLRNWVIIDLSGMLCVFCENLVKSDSHLFVTRVSLLTMWGISL >RHN49342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54105976:54113824:-1 gene:gene44161 transcript:rna44161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, von Willebrand factor, type A, copine, protein BONZAI MGSCFSDLKGAKQAVGGVNAQTGTTNNNNDAVDFFYTAQGFQPLFTHVELSLSACNLLDLDIASKSDPMVVVYAKKGDGRLEELGRTEVIPNSLNPEWIEKISIAFHFEMVQPLVFHVYDIDTKYHRVPTKTLKLSDQDFLGEASCTLSEIVTKPSRSLTVKLQNKRGISGQRKQGAITIHAEETVAARSAVEIIFRCSHLDNKDILSKSDPFLRISRIVESGGSVPICKTEVKDNNLNPKWRPLCLNFQQFGSKDNPLLIECFDFNSSGNHALIGKLQKSVADLEKLYQERKGANFVMRSTRNGQEKVLKGQLFVDQYCEKEQFSFIDYVSSGFELNFMVAVDFTASNGNPQQPDSLHYMDLSGRLNSYQKAIMEVGEVIQFYDSDKRFPAWGFGGKIPGGIVSHCFNLNGSLTSSEVVGVEGIMEAYGSALRSVSLSGPTLFGPVINLAAQMAAASLSSYNSSKYYVLLIITDGVVTDLQETINAVVKASDLPLSILIVGVGSTDFKSMEVLDADNGCRLESSTGRIATRDIVQFVPMREIQSGQISVVQALLEELPNQFLTFMRSRDIKPLVSQFPHPSTIL >RHN78692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17591115:17591423:1 gene:gene2330 transcript:rna2330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding pseudobarrel domain-containing protein MLNKDLADKFIIPHLLGGAEAARKKEGVQVKVWDFDEKELHSLVFKIWASDKYYVFTKTWIEEFIKRRKLNKGDQIGLRWDQLNNRFDFSVLHREILVCNSS >RHN75451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42399131:42400267:1 gene:gene11662 transcript:rna11662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived nuclease domain-containing protein MNFWKEQLEHNTQEEEDDDTFEESYILAALLGEYATKYLCKEPCRTSELTGHAWVQEILQGNPTRCYEMFRMEKHIFHKLCHELVEHDLKSSKHMGVEEMVAMFLVVVGHGVGNRMIQERFQHSGETVSRHFHRVLHACLKLSFKYIKPEDPMFCECHAKIKNDQRYWPFFKNAIGAIDGTHVSCVVSASEQPRFIGRKGYPTQNIMAVCDWNMCFTFVLAGWEGTAHDARVFDKALTTANLNFPHPPQVLFGRFWLSNTNRVHWSI >RHN49233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53448750:53450737:-1 gene:gene44042 transcript:rna44042 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDSPTHHLSLFDLLPLLSSFVIVHRSSSPFLCPCSPFVTAVSSQNLSCCRVSSITEPLIHHRDRHLVPSVALYVSEFDPVGH >RHN39120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4246648:4248094:-1 gene:gene45116 transcript:rna45116 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDIQKLQTRLKALHHITHHNRHQRTLIIELVLILLICHSCQIFL >RHN49833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1568732:1573441:1 gene:gene34057 transcript:rna34057 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase TKL-Pl-4 family MKKSESGGYVRADQIDLKSLDEQLQRHLSRAWTMEKKNKEKEDEGGEVEVGRSSSNSNTRNRQEWEIDPSKLIIKTVIARGTFGTVHRGVYDGIDVAVKLLDWGEEGHRSDAEIASLRAAFTQEVAVWHKLDHPNVTRFLGATMGTSDLQIQTETGHIGMPSNLCCVVVEYCPGGALKSYLIKNRRRKLAFKVVVQLALDLARGLSYLHMKKIVHRDVKTENMLLDKTRTLKIADFGVARIEASNPHDMTGETGTLGYMAPEVLNGSAYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMDEVVTMLEAIDTSKGGGMIPLDQQQSCLCFRRYRGP >RHN67281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:25480876:25481878:1 gene:gene15460 transcript:rna15460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase WNK-NRBP family MPSVQQNPSDKDTETFVETDPTGRYGRYDELLGAGACKKVYKAFDNEEGIEVAWNQVKLRNFSNDPAMIERLYSEVRLLKNMTNKNIIALYNVWRDKEHNTLNFITEVCTSGNLREYRKKHKHVSLKALKKWSKQILEGLNYLHVHDPCIIHRDLNCSNVFVNGNTGQVKIGDLGLAAIVGKNHSAHSILGTPEFMAPELYEENYTEMVDIYSFGMLVLEMVTLEIPYSECDNVAKIYKKVTSGVRPQSLNKIKDAEVKTFIEKCLAQPRARPSAEELLKDPFFDDVEYDENEDVDC >RHN42796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41054867:41057649:-1 gene:gene49284 transcript:rna49284 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MVNTKFLQLIAKFIAILCLLMHGHVLCNGGLNSQFIASEAEALLEFKEGFKDPSNLLSSWKHGKDCCQWKGVGCNTTTGHVISLNLYCSNSLDKLQGQLSSSLLKLPYLSYLNLSGNDFMQSTVPDFLSTMKNLKHLDLSHANFKGNLLDNLGNLSLLESLHLSGNSFYVNNLKWLHGLSSLKILDLSGVDLSRCQNDWFHDIRVILHSLDTLRLSGCQLHKLPTSPPPEMNFDSLVTLDLSGNNFNMTIPDWLFENCHHLQNLNLSNNNLQGQIPYSIERVTTLATLDLSKNSLNGSIPNFFDWLVNLVALDLSYNMLSGSIPSTLGQDHGLNSLKELRLSINQLNGSLERSIHQLSNLVVLDLAGNDMEGIISDVHLANFSNLKVLDLSFNHVTLNMSENWVPPFQLEIIGLANCHLGHQFPQWIQTQKNFSHIDISNTSVGDTVPNWFWDLSPNVEYMNLSCNELKRCRQDFSEKFKLKTLDLSKNNFSSPLPRLPPYLRNLDLSNNLFYGKISHVCEILGFSNSLETFDLSFNDLSGVIPNCWTNGTNMIILNLARNNFIGSIPDSFGNLINLHMLIMYNNNLSGRIPETLKNCQVMTLLDLQNSFEENIPKTLCLLKSLKILDLSENQLRGEIPRCVFPAMATEESINEKSYMEFLTIKESLSEYLSRRRGDGDQLEFKGIDLSSNYLTHDIPVEIEKLVELIFLNLSSNQLVGSIPSNIGEMENLEALDLSKNQLLCAIPTSMVNMLSLEILNLSYNTLSGKIPSGKQFETFWNDSYIGNPHLCGSPLTKACPEDGNSWFKDTHCSDIEGSIEHESDDNHEDKVLGMEINPFYISMAMGFSTGFWVFWGSLILIASWRHAYFRFLGNMNDKIYVTVVVALNKLRKKFHTQQPPM >RHN72374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7153479:7155586:-1 gene:gene8077 transcript:rna8077 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosylmethionine decarboxylase MEFSGFEGFEKRLELHFFGDDPNPTINHQLGLRKLEFESIQQILQAVQCTVVSAVGNSYFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSIIPLIYFANTHLNFTLSSVSYTRGSFIFPNSQPFPHTSFNEEVSYLENTIPSNLCFRKASIMPSKSSSHSWHVFTATQNPHHHIPYEQYTMEICMTELDPILAGKFFRRPDEEKSGNSSGNQMTELTGINEINKEAFICDFAFDPCGYSMNGMDGECYSTIHVTPEDGYSYASFECVGSISDNDDNIVHMLRKVVQIFRPGTMSVSITTCSEYNNEVWRKVTGALEPLGLKCRSCAMDQFPAIGSVVFQTFTPRRRKNASK >RHN70114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48866833:48876814:1 gene:gene18664 transcript:rna18664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEEPPCSSSSRSSSKGKSSIDPITGINVLSDDLLLNIFTRLPAISFASATCVNKSWNSVCNRIISRPKLASALSLNPSLRDAVNEVVDKVLSEPIRPYFAIVNIGCGFDPSKILRLVKRRVGFNIPVVVTVNNGIIGRDAVTDEFKEVKWGALFSGIDDEEYARHINEGIVLTIGCLPGLKVEAIPLIRPAKTPQEPCVDSFSMDIKEYSASVSGHQFPVGIILFGEASSDMKLVMEKLDYAMPMDTVVVGDERGCSVFRCGNDSRHACGSKGCIEAVALVFAQDRNRSSGNIRFHVAFSNGVSPVGGRYKAASVRTNKSDCSTWLTAKREGHQQPLDGQTILHDINTLLENHIEPPELYIGVTKHRKVSIGAEKPMPRTCIAYHGVVGGDEEYLYVDGMGIKTGDIFQFYHSDPNVALASLTEVRGSFKKFKLGRNSRSSENDGDNAINVFGGIVFACYGRGESFFGRLNADSSPFLENFPGVPLAGMFCGGEMVRPCTTMIGLCPDAKPISCFLHVYSSVYLLMSYDPPSVDH >RHN41037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26279977:26281086:-1 gene:gene47310 transcript:rna47310 gene_biotype:protein_coding transcript_biotype:protein_coding MWFPEECNGFDPRFKLKIPVENNVDEAVFVLCDDVVRRVAQFTCDLLMSLEEGSSL >RHN82048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50863051:50865284:1 gene:gene6165 transcript:rna6165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAGGGFTTGSSDVVFEARITAAVVISCIMAATGGLMFGYDVGISGGVTSMPSFLQKFFPDVYKRTQEHTVLESNYCKYDNQKLQLFTSSLYLAALVASMIASPVTRKLGRKQTMLLAGILFIVGTVLSASAGKLILLIFGRILLGCGVGFANQAVPVFLSEIAPTRIRGALNIMFQLNITIGIFIANLVNWFTSKIKGGYGWRVSLAGAIIPAVMLTMGSLIVDDTPNSLIERGFEEKGKAVLRKIRGVENIEPEFEDILRASKVANEVKSPFKDLVKSHNRPPLIIAICMQVFQQCTGINAIMFYAPVLFSTLGFHNDASLYSSVITGGVNVLCTLVSVYFVDKAGRRVLLLEACVQMFVSQVVIGIVLGAKLQDHSDSLSKGYAMLVVVMVCTFVASFAWSWGPLGWLIPSETFPLETRSAGQSVTVFTNMLFTFLIAQAFLSLLCLFKFGIFLFFSAWVFVMGVFTVFLIPETKNIPIEDMAETVWKQHWFWRRFMH >RHN72956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12112842:12116599:-1 gene:gene8724 transcript:rna8724 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MELEYDDDGRIKRTGNVWTATTHIITVVIGAGVLALAYAIAQLGWIAGIASILIFSCISVFTYSLVADCYRYPDPVNGKRNYSYMQAVKVYLGGTMQVICGSIVYGKLAGITVGYTITSSISLAAIESIVCVHRKGHEADCSSSYNPYMISFGTLQIFLSQIPNFHELTWISTVAAITSFGYVFIAIALCFNVIISGNGASTSITGIKIGPELSLETKVWRIMSSMGNIALACTYATVIYDIMDTLKSHPSENKQMKKANVIGVSTMTMIFLLCSCLGYAAFGDHTPGNIFAGFHEPYWLVVIGDICIVIHMIGAYQVMGQPFFRFIEMGANIMWPISNFINKEHPISLCGVTINLNLFRLIWRTIFVILGTTLAMAMPFFNQILALLGAIGFGPLVVFFPIQMHIAQKGIRPMSLRWCALQCLNCVCFLVSLAAVVASIHEISKNLHKFKIFAYKQ >RHN69802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46366779:46368491:1 gene:gene18318 transcript:rna18318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MVEIRKRKITSKLIENATQRKIRYMNRVEGLFTKMEQVKTLCGIEACAIVFGPGDSRPSVWPSPHVAKKLIHKFEYMPTSVRSRNMTDQLTYVVEKGKKLDANLAKINKYNEETLLRVSVHQILNEGKSISDFNASMKNNLIDFLLERIKIVRKKTDYFEKAMFPLNKPPSLPPPMSCAINNDNMTDFRININKEVLNQQPLLDLAKQVDQMGDGFNPGGGGSVGDMLVNQENFEGFYNNIYSNTEIRPHTYFGGGVDLASSQGNLKDFDSRMEITSDKYLNGSVDDILLPRGNSGGFDASLSSSMEIPPHVSHGDGVDIMLPQGNFGGFELNTSGNMWIPPHENSSGNVDALFPHFSFRGQNNFESFDKNTGSNMWTPSHENPNVDVDALIFTQNYGGQCNFEGFDNITYHNMWTPSHENPSDGVEMFIPNVETIGQGSFKGFDNNTNTKMEISPHANHSGGIDVVLPQWNFKGSDNNVGTNVEVSLHANSSDDVDLLSHQGIFEGFDNNTSSVMWIPPRKNHRDGDNTRIRCQNNIGGNLDEYGMGSANPNSVDNNDGSNFNQGLSFE >RHN60949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31769768:31770610:1 gene:gene23351 transcript:rna23351 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPYQRISICKTLINTYKSYEKEKIRGVKKQNPRTKNLRPNLSQHTSS >RHN53046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41469606:41479741:-1 gene:gene37795 transcript:rna37795 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase CMGC-Pl-Tthe family MGNKIARTTQVSASEYYLHELPSTYNLVLKEVLGRGRFFKSIQCKHDEGLVLVKVYFKRGDSINLSEYERRISTIKEIFSSIDHPHVWPFQFWQETDKAAYLLRQYFFHNLHDRLSTRPFLSFVEKKWLAFQLLLAVKQSHEKGVCHGDIKCENVLITSSNWLYLADFASFKPTYIPYDDPSDFSFFFDTGGRRLCYLAPERFYENGGEMQVAQDAPLKPSMDLFAVGCVIAELFLEGQPLFELSQLLAYRRGQHDPSQHLEKIPDIGIRKMIQHMIQLEPESRFSAEMYLKEYAGVVFPTYFSPFLHDFYRCWSPLHSDMRVLLCQSAFQEILKQMMNKQSSDDAGVTSGELLEEMVAKESASFMDSRRKREDIGKGLVHDQYELLGDINSLLRDAKNNNKSPAGPQQGIGNAQNSTFPENLKSLQSPGELLQTISNAFRGNDHPFLKSITMKDLNSLMSEYDSQSDTFGTPFLPLPKDTMRCEGMVLITSLLCSCIRNVKLPHLRRAAVLLLKASALYIDDEDRLQRVIPYVIAMLSDTAAIVRCAALETLCDILPIVREFPPSDAKIFPEYIFPMLSMLPDDPEESVRICYASNIAKLALTAYGFLIHSISLSEAGVLDELSLPQKPFTSSTQTPGRMMINSDVQLLQLRKSIAEVVQELVMGPKQTPNIRRALLQDIGKLCYFFGVRQSNDTLLPILPAFLNDRDEQLRTVFYEKIVYVCFFVGQRSVEEYLLPYIEQALSDMTEAVIVRALECLAILCKNGFFRKRILLQMIERAFALLCYPSEWVRRSVVSFIAASSESLGAVDSYVFLAPVIRPFLRRQPVSLASEKALLSCLKPPVSRQVFYEVLENSRSSDMLERQRKIWYSSSQSKIWEMDLLKKGIDELDSLKSWAEKQQGSEAQQIVGTGIQQPGLSDNDKAESKFRDMGAFMHNDSNMLGQRDPQFSEKLQFSGFMSPTFSGANSLTYDKPSEGIPLYSFSVDRRGMGIPPAASDSPLQMNSLGVSSSAMPWVNPLSKSFNLANSVPSPKLFSGSFSINNGTKQFHRVVHEPDPKENETAFLNSTFQDVGLSSNNKGTSISLEDATTQADLSGFQSFARTSIPDSGWRPRGVLVAHLQEHRSAVSDIAVSSDNSFFVSASDDSTVKIWDSKKLEKDISFRSKLTYHLEGSHALCVAMLPGSAQVIVGASDGFIHMFSVDHISRGLGNVVEKYSGIADVTKKDTKEGAILGLLNCPMDNNSIMYSTQNCGIHLWDTRSNSNSWTLKATPDEGYALSLASGPCSNWFVSGSSRGVITLWDLRFLVPVNSWKYSLACPIEKICLFLPPPNASLSSTTRPLVFVAAGCNEVSLWNAENASCHQVLRTANYDSDAEMSDMPSALAKPSSKPTSQSDPRRNVNRKYRVDELNEPPPRLPGIRTLLPLPGGDLLTGGTDLKIRRWDHYSPDKSYCICGPNLKGVGNDDFYETKSSFGVQVVQETKRRPLATKLTPKAILAAAATDSAGCHRDSIVSVASVKLNQRLLLSSGRDGAIKVWK >RHN55302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17028443:17037831:1 gene:gene30467 transcript:rna30467 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UAA transporter MEAHGGGLRRILVLAFCVAGIWSAYIYQGILQETLSTKRFGKDAERFEHLAFLNLAQNVVCLIWSYIMIKIWGGGNTGGAPWWSYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGSLVYGIRYTIPEYLCTFLVAGGVSSFALLKTSSKTISKLAHPNAPLGYGLCFLNLAFDGFTNATQDSLKARYPKTSAWGIMLGMNLWGTIYNMIYMFAWPSGSGYEAVNFCKQHPEAAWDILLYCCCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVVSSLLSGNPLSTKQWGCVTMVFSGLSYQIYLKWQKLQRLQKKKKAT >RHN82481.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54141543:54142445:-1 gene:gene6650 transcript:rna6650 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor OFP family MGKKNLKLPSLFKTKEISQRKQHPWQFLPSCGHPKTLSFRVGAASTSAADDHIFKTVNSVFFDQTDNINIETPKSWFTTSSESASFSTESEDYYCYNDGESLETLVRGVKSERLFFEAEDTSSILEKAKANGFPFKESVVLAMESEDPYEDFKRSMEEIVESHGVKDWDGLEELLSWYLKVNGKNNHGFIVGAFVDLLISLAASNNSNNSCSNLTLYSSAVSSFASSSPLYLCEGQSEITEIEDNNNNNNVTAS >RHN42944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42111594:42112588:-1 gene:gene49453 transcript:rna49453 gene_biotype:protein_coding transcript_biotype:protein_coding MRSITFITITPSYFPFLLVRFNFLFLFCCLVLGLLELSSFAFGVRQMFFLGWFEFLMYMVVIFTFGYDTLYLSFKNFK >RHN64695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61046808:61052339:-1 gene:gene27539 transcript:rna27539 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rab-GTPase-TBC domain-containing protein MPPALLDPPLSKTSSVSSLISGTISQEPVPENRLFDDLRGLQWRINLGVLPSSSSSTSVDDLRRATANSRRRYASLRGRLLVDPHVPKDESSSPNLVMDNPLSQNPNSTWGRFFHNAELERMVDQDLSRLYPEHGNYFQTKGCQGILRRILLLWCLRHPDCGYRQGKFLSQATVTHNMFTFLLNTFAHFGTVFTFPSNSVSMHELLAPLLYVLQVDVERLAEVRKLYEDHFTDRFDGLFCQENDLSYSFDFKKSSDLTDDEIGSHGKGMKIKSLDELDPKIQTIVLLSDAYGVEGELGIVLSEKFIEHDAYCMFEALMNGAHGSVAMADFFSYSPVAGSHTGLPPVIEASAALYHLLSHVDSSLYSHLVDLGVEPQYFALRWLRVLFGREFSLDNLLIVWDEIFLSDNSKMEKHAEDNTDTCFRIFHSSRGAFISAIAVAMLLHIRSSLLATENPTTCLQRLLSFPENTNIKKLIEKAKSLQTLALSTEISSSTPALVEYNNKGKSVITRSVTTIACESGSPKTPKSLLPDNSYWEEKWRVVHSAEELKQDGVEKQVPSQKKRWTEKVKLSLKRTVSEPSSSTIKNGKKESKTSVKRSLLEDLSKELGSEEDIENLGCHETLCQQDNHSLAVEAEQQDDDSDVSNNYGADDRCLSRNTGSEENSFNLASPPNEFKDHENVSQKSSVGSNLSLDVINEISYSSPIDSPLPISDHPENNLSPVAGRNNDSTGNSATLSRNIKLNKFQWLWKFGRNNGELMSEKRGLASEAVKQTNKYNDQSNTASSSTAGDLCSSVNFNGDSADQNVMGTLKNIGQSMLEHIQVIEYAFQQECGQGTSLDNNTSKNVLVGKGQVTAMSALKELRKISNLLSEM >RHN55177.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15760030:15761793:-1 gene:gene30314 transcript:rna30314 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative structural maintenance of chromosomes protein MLLVLSFVKLIFFSPYQNRLIDEIVESESSANQVGENKSLSEKLIKRKNFSLKNCKDRLKEMSKKSYKCLLALKNSGVKEIFEAEKWVQEHRHEFHKEVYGPVLVEVNVPDQSHAKYLEGQLAWYTWKSFITQDPRDRDFLVNNLQHYDVPVLNYTGRDSQQREPSEISPEMRAIGIHFRLDQIFDAPDAVKEVLISQSKLDHSFIGSEETDQKAVEVPNLGISSLWTPENHYYWSKSRYGNHVSAIVEQLQRPKLLVNSMSL >RHN72914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11807869:11808330:-1 gene:gene8680 transcript:rna8680 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MKEDARKSKSLSFKYTPNYSEIKKTEFKANHVCQRPKQEEYLGNYEEVFYQPKTDETRAAYDEFLNLIRQPLAGQPLSTLGFVAYIIVEILKNETGSKYPWNKRKHIDLILNPISDHVFDQLLSISKLLTDFHDDNVSSSSSPHGNSELNLLT >RHN43370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45225305:45226996:1 gene:gene49932 transcript:rna49932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative clathrin light chain MESLDSFEEEQSHHQSPTTGGGGGVVVGGPFEDDNNSNYEGFNSQQQFDYGSTFHPPNNPDDDHLTVDTSNNINNDQHSPPGYGFGVSSPNPNPDFVTPFQTNETDDGIFSSEGPVLPDPTQMQEEGFARREWRRKNAIHLEEKEKREKEMRNQIIKEAEDFKEAFYEKRKLNCETNKQTNREKEKIYLANQEKFHKEADKHYWKAIAEIIPREVPNIEKRRGKKEADNKPSVHVIQGPKPGKPTDLSRMRQMILKLKQNPPTHMMPPPPPKEGKDAKENKDGKDAKDAKDGKNDKAEKSSTPTATASPGSAENKPTTPTKDDAAAAASVNGEQQDPPAVEGEQVASSEPPAAQ >RHN48349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:46844573:46845136:1 gene:gene43058 transcript:rna43058 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTTTIAKTRTELFRTRLGSALRTTLACSIVGCTALYSPQPIKGYIKFPSISYVTTILIVLSDGTLGDAVRGCWHVLLATIQVMIFSLLSLQVIRPDNFSNCMAALAVATGAFVVALPKSTHLLTKRIAYGQLVIVYVSTVIHGAQEGVATHSIHVACSTALGAIASVLAMLLPLPYPRFAYNEVI >RHN73395.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15927171:15935956:-1 gene:gene9199 transcript:rna9199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SRCR domain, F-box domain, potassium channel, voltage-dependent, EAG MEWDSNSDLSGDEDDAVSSSFLLNDDVGPLPFPVLQTAPCGFVVTDALDPDHPIIYVNAVFEMLTGYRAEEVLGRNCRFLQCRGPFAKRRHPLVDSSVISEIRKCIDEGVEFQGELLNFRKDGSPLMNRLRLTPIYGEDDEITHVIGIQLFTEANIDLGPLPGSTIKESLKSSGRFHSVLSSLQPPPLGDRNVSRGICGIFQLSDEVLSLKILARLTPRDIASVSSVCTRLYEVTRNEDLWRMVCQNAWGSETTRVLETVPGAKRLGWGRLARELTTLEAAAWRKLTVGGGVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSNNPEWQHVQVSSPPPGRWGHTLSCVNGSRLVVFGGCGTQGLLNDVFVLDLDATPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDMSMENPVWREIPVTWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEDEPCWRCVTGSGMPGAGNPEGIAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYILDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSDLHELSLANSVI >RHN82735.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55982166:55982393:1 gene:gene6919 transcript:rna6919 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPDTTSPPSRSRSFRAPSLDDGRILVDYNIQNESTLHLALRLRGGAKKRKKTFTKISHNRVNMNLGWVSFWN >RHN54573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10569124:10572144:1 gene:gene29638 transcript:rna29638 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MVMVVNKISGTFPLCLYNMSSLTMISAASNQFDGSLPSNMFNTLPYLKVFAISGNQISGLIPISVENASTLAELDISNNLFVGNVPSLGRLHYLWGLNLEINNLGDNSTKDLEFLKPLTNCSNLQAFSISHNNFGGSLPSFIGNFTTQLSRLYFASNQISGKIPLEIGNLNSLILLRMKNNYFEGTIPSTIGKFQKIQVLDLYGNKLSGEIPSSIGNLSHLYHLNLGKNMFVGNILSSIGNLQKLQMLYLSRNNLRGDIPSEVLSLSSLTTGLFLSQNFLSGSLPDEVGQLQNIVRIDVSKNWLSGEIPRTLGECLSLEYLILTGNSFNGSIPSSLESLKGLRVLDLSRNQLSGSIPKVLQNISSIEYFNASFNMLEGEVPTKGVFRNASAMTVIGNNKLCGGILELHLPPCSKPAKHRNFKLIVGICSAVSLLFIMISFLTIYWKRGTIQNASLLDSPIKDQMVKVSYQNLHQATNGFSTRNLIGSGYFGSVYKGTLESVGGDVAIKVLNLKKKGVHKSFIAECNALKNIRHRNLVKILTCCSSTDYKGSEFKALVFEYMRNGNLENWLHPTTGITDQPISLTLEQRLNIITDVASAFCYLHYECEQPVIHCDLKPENILLNDIMVAQVSDFGLAKLLSSVGVALTQSSTIGIKGTIGYAPPEYGMGFEVSTEGDMYSFGILLLEMLTGRKPTDELFKDDHNLHNYVKLSIPDNLFHIVDRSIIIESEHNTDNGNTGSIHPNVEKCLLSLLRIALSCSVESPKERMNMVDVIRELNIIKSFFPAEVQQRRGASQPQIT >RHN40136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13565455:13569265:1 gene:gene46245 transcript:rna46245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase Aur family MDQNQKREHEWSINDFEIGKPLGRGKFGRVYVAREVKSKYVIALKVIFKEQLEKYNILHQLRREMEIQISLKHPNILRLYGWFHDAERVYLILEYAHNGELYKELRKKGHFSEKQAATYILSLTKALAYCHEKHVIHRDIKPENLLLDHEGRLKIADFGWSVQSVKKRKTMCGTLDYLAPEMVENKGHDYAVDNWTLGILCYEFLYGVPPFEAESQEDTFKRIRDVDLNFPPTPHVSKNAKNLISRLLVKDSSKRLPLQKIMEHPWIKENANHTGVCE >RHN74720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36125607:36126407:-1 gene:gene10828 transcript:rna10828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MDELRKCHRIILPWSYIYKLPEKLKCSELKLLQLQNIDDYLRVPDDFFSGMIELKVISLYGMMFAPSPPPSLCILTKIQTLELAGCVLEDISIVAELKSLEILSLERSDIKEFPKEIGQLNNLRMLNLANCSALRFIPANLISSLTCLEELYMGNCFIQWDVKGSNDQSKNASLEELRSLSHLTALDIMT >RHN63853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54474898:54491286:1 gene:gene26608 transcript:rna26608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MESAVNRAITSAATNPTPPPLEEPEYLARYLVVKHSWRGRYKRILCISSVSVITLDPSTLAVTNFYDVATDFEGAAPVLSRDENSIEFSISVRTDGRGKFKAMKFSSMYRASILTELHRIRWNRLAPVAEFPVLHLRRRASQWVPFKLKVTYAGVELIDSKSGELRWCLDFRDMDSPAIVLLSDAFGKKNVDHGSGFVLCPLYGRKSKAFQATSGCTTSAIISNLTKTAKSTVGLSLSVETSQTLTVSEYIKQRANEGVGAEDTPLGGWSVTRLRSAAHGTLNVPGLSLGVGQKGGLGDHGDAVSRQLILTKVSLVERRPENYEAVTVRPLSSVCALVRFAEEPQMFAIEFSDGCPIHVYASTSRDSLLAAVHDALQTDGQCAIPVLPRLTMPGHRLDPPCGRVYLQYGQQKPVADAESASMHLKHLAAAAKDAVAEGGSIPGSRAKLWRRIREFNACIPYSGVPSNIEVPEVTLMALITMLPAAPNLPPESPPLPPPSPKAAATVMGFIACLRRLLSSRSAASHVMSFPAAVGRMMGLLRNGSEGVASEAAGLVAVLIGGGPGDASVTDSKGEWHATIMHNKSVLFSNHSYIIILVNRLKPISVSPLLSMALVEVLEAMICDPHGETTQYTVFVELLRQVAGLKRRLFALFGHPAESVRETVAVIMRSIAEEDAIAAESMRDASLRDGALLRHLLHAFFLPAGERREVSRQLVALWADSYQPALELLSRILPPGLVAYLHTRSDGGLAEDTNQEESSSRRRKRRLLQQRKGRTGRGITSQEQSFPSANSFDVSDSSRQTGVAVGRGSDNYPNTSVDPSSGQTSSFQSSIVHTSENMAKESTGDAQNGFSAVAASATVASENSNEAPDFSNSVDPDCSAVGSQNAGIPAPAQVVVENTPVGSGRLLCNWPEFWRAFDLDHNRADLIWNERTRQELRESLQAEVHKLDVEKERTEDIGPGGATLEMMTGAESVPQISWNYSEYSVCYPSLSKEVCVGQYYLRLLLESGSGGRAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAVPDELGASDDWCDMGRLDGFGGGGGSSVRELCARAMAIVYEQHNKTIGPFAGTAHITVLLDRTDDRALRHRLLLLLKALMKVLSNVEACVLVGGCVLAVDLITVVHETSERTSIPLQSNLIAASAFMEPLKEWMYIDKDGSQVGPMEKDAIRRLWSKKAIDWTTRFWASGMLDWKKLRDIRELRWALASRVPVLTPPQVGDTALSILHNMVSAHSDLDDAGEIVTPTPRVKRILSSPRCFPHIAQAILSGEPSIVEAAAALLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIGKLFAVTHVHQAFHGGEEAALSSSLPLAKRSVLGGLLPESLLYVLERSGPAAFAAAMVSDSDTPEIIWTHKMRAENLIRQVLQHLGDFPQKLSQHCHVLYDYAPMPPVTYPELRDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRKPMDLSEEEACKILEISLEDVSSDDVNKKKSFETADETSSLSKQIENIDEEKLKRQYRKLAMKYHPDKNPEGREKFLAIQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRYGGILEPFKYAGYPMLLSAVTVDKDDNNFLSSDRAPLLVVASELVWLTCASSSLNGEELVRDGGVHLLGSLLSRCMCVVQPTTLGNEPSAIIVTNIMRTFSVISQFEAARAEILEFSGLVEDIVHCTEFELVPAAVDAALQTIASVSVSSELQDALLKAGVLWYLLPLLLQYDSTAEESDATESHGVGASVQIAKNMHAIRASEALSRLSGLYGDGSLIPYNQAAAVALKVLLTPKLSSMLKDQMPKDLLSKLNANLESPEIIWNSSTRAELLKFVDQQRATQGPDGSYDIKDSHDFVYEALSKELFIGNVYLRVYNDQPDFEISEPEAFCVALIDFISYLLHNRCPEEPNNIVEETTSFTATSEHLNEAVEGSGNEHQILNNSGTMLDEQSVGKEEPELIKNLRSALISLQNLLTSNPNLASIFSHKDKLLPLFECFSIPEASDSNIPQLCLGVLSLLTAHAPCLQAMVADGSSLLLLLQMLHSAPSCREGSLHVLYALATTPELAWAAAKHGGVVYILELLLPLREEIPLQQRAMAASLLGKLVSQPMHGPRVAITLARFLPDGLVSIIRDGPGEAVVVALEQTTETPELVWTPAMAASLSAQISTMSSELYREQMKGRVVDWDVLEQASGQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYEEQTVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVGAVAFEGRRETMSTGGINNGKHADKTNGQDNESTENSQTPQERVRLSCLRVLHQLAASTTCAEAMAATSVGSPQVVPLLMKAIGWQGGSILALETLKRVVVAGNRARDALVAQGLKVGLVDVLLGLLDWRAGGRNGFCSQMKWNESEASIGRVLAIEVLHAFATEGAHCTKVREILNNSEVWSAYKDQKHDLFLPSNAQSAAAGIAGLIENSSSSRLTYALTAPPPQSTTSRPPPSSTSDFSGKQDQPL >RHN63824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54272392:54275455:-1 gene:gene26577 transcript:rna26577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MNAVLQAFTHILPLHRGLLLLDLKLHSSCPRADENKFCILCALRNHLTEAGHPSRDTVNPSELYNGLQSFMPEYTTGKHQDPHEFMIKALTALKGCLLEYNNLIDLTFRGAVVKQLRCCSCKASDEPVHLEVFDLTLNIDNASDTQTALDIYFNISKIDGAACSFCNKVGFCEEKRWLLEAPSVVVIHLNRLVFEFDPHSRKGHTRKENKNVGFKMDIDLEPYALSPTEKEGYWKYDLYAIVEHVGASVNSGHYICYVRCVGDQWYSMSDTVVTKVSEEAVMSKEAYLLFYLRKGTPWFSSQEVQATASKKRLNMSIIDIN >RHN47782.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42513533:42515537:-1 gene:gene42423 transcript:rna42423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxoglutarate/iron-dependent dioxygenase, non-heme dioxygenase domain-containing protein MGSETVSQIIPVVDLSDQNMKPGTDTWVSACNVVKTSLEDYGCFVAHYDKIGKELCDNVIFGIEEFFKLPLETKAQKISDRPFHGYSGQLLTLPLYESLDIQDPLTMLGCQIFTQIIWPQGNNRFCESFNEYSKLLGELDHIAKRMVFESYGVDTKICESLIESSNYLIRCLKYRTREMDENDLGLTPHSDLTIISVVHQLNNLNGLQIKLKNGEWTEVEASPSSFVVLAGDAFTIWSNGRIRACEHRVIMNATKTRYAAALFSFASKIMEIPEELVNEEHPLRYKPTFDHYDYLRFFQKEKMKEPISRMEVYCGINFKPK >RHN75521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42955119:42958804:-1 gene:gene11742 transcript:rna11742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MFSNPYLLTFSLLLSLPILFFLAPAILPPHPSPIPISPTDELDDINLFNTAISHSTPPSSSSHPSKFFHLSSKNPTFKIAFLFLTNTDLHFTPLWNLFFQTTPSKLFNVYVHSDPRVNLTLLRSSNNYNPIFKFISSKKTYRASPTLISATRRLLASAILDDASNAYFIVLSQYCIPLHSFDYIYKSLFLSPTFDLTDSESTQFGVRLKYKSFIEIINNGPRLWKRYTARGRYAMMPEVPFEKFRVGSQFFTLTRKHALVVVKDRTLWRKFKVPCYRDDECYPEEHYFPTLLSMEDSDGVTGYTLTNVNWTGTVNGHPHTYQPEEVSPELILRLRKSTNSESFLFARKFVPDCLEPLMGIAKSVIFKD >RHN39920.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11707399:11712176:-1 gene:gene46004 transcript:rna46004 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target SNARE coiled-coil domain-containing protein MASSSDSWVKEYNEAMKLADDISGMISEHNSFPSSGPETQRHASAIRRKITILGTRLDSLQSLLSKLPVKSEKEMNRRKDNLANLRSKVNQMASTLNMSNFANRDSLLGPEIKPDAMSRTVGLDNNGLVGLQRQVMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLDEHVDITDSRLRRVQKNLAILNKRTKGGCSCMCMLLAVIGIVGLVLVIWLLVKYL >RHN43576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46979560:46980827:-1 gene:gene50179 transcript:rna50179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MLPNELIVEIFSLLSVKPLMRFRCVNKFFNTLVYDPYFIQMHLKRSKRNLHLAAMPLPYSTSSVMTFPNIYRLLEQQENSSTTIQYDPYHRLIEKNDRLWWVAGSCNGLICLIDRGILGLCLWNPTTRTKSQIFYVHTRYVKSSFTFVYDVSTQTYKVVELRIEGEHGSAMVQVFSFRDYSSRYIQCFLPLFKFNSGNNTGVYLSGTINWLVLRDYVSSRGNFVYQPELVVLRDTLNFFHDFEETHFVIWQMKDFGVQQQLFKINSNKLPSCYYNYGTGFKQLGSLPLYLSKIGDTLVLANHEDGKAFIYNRVDNKVEEIGITNKIIWSHARDYVESLVPTH >RHN60166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22266321:22267689:1 gene:gene22407 transcript:rna22407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine N(alpha)-acetyltransferase NatB transcription regulator GNAT family MANVEILELDRNNSKVVEEIVKLERKIFPKHESLASFFENELKKKNSGLLYLHVDAQLAGYVMYSWPSSLYASITKLAVKEQWRRQGHGEVLLKAAIEKCRTRKVSRIMLHVDPLRTPALNLYKKHGFHVDCLVEGYYSSDRNAYRMYLDFDSN >RHN75820.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45358721:45359529:1 gene:gene12074 transcript:rna12074 gene_biotype:protein_coding transcript_biotype:protein_coding MANTQITIFALIIFTTFLFMTLQARTLQPHPFTREKSNVVSHHVLFHKLVSDLSKNIHNIGDVADATHEDANQHRLSPGGPDPHHHAGPQNK >RHN41989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34593474:34595244:1 gene:gene48371 transcript:rna48371 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSTARRVTRSQTTTLTASNINNIPSSRKIEDSEKNASKSRQRKGQAQQQDRCALIDISNDSPIVGLANGEIETPLSSIAKQRGSRVKKTPGSGEALLRGQVKTLLQKVEEEAEISKLTMDVRPFLQFVNSPMQLLAPTPANTPQIPNVHDTAIGFTEFSPSSVVQEQLIPQVLNQESVESEKNVLSPLLLDFSDKSEVTEDSKMGSTEDDGDSIWSIQVNASTHDDEDDDEEIAEVEEDEVDDYYEGVEEEEEYADGGLLLDELCEGLSNIGVNEGNVVPKFAGKHTRFLYDSDEDEIVEEVVEESADSNSEILHLKGLPTPKGKHIRFSEEEEKSDL >RHN45291.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14521540:14523673:1 gene:gene39541 transcript:rna39541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MGRQPCCDKVGLKRGPWTIEEDHKLVNFILNNGIHCWRMVPKLAGLLRCGKSCRLRWINYLRPDLKRGGFTEIEEDQIIQLHARLGNRWSKIASHFPGRTDNEIKNHWNTKIKKKLKFLGLDPVNHKPIEQKQQTLDDDKNIINQEPNISEEFEENMEMKSLVSDGTKEMTKTELKREENKVAWDDTSELLNNFEMLCSNLDLETWMSQDTNTSANSVSSSSVSLDNTSHISIDESSYLQQNSLQQWVDSMDSFIPWDGFNLLDQDISFFGK >RHN72786.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10681592:10683806:-1 gene:gene8542 transcript:rna8542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MGNENHDQQYSKKLPSYNNPQTHILTQKHKLKFVMLIIFTNLFTIYMFTGPFSFMYRYSSMSSSDSNSILQELNSTKAQLAASHTILSELHQRLNSTNLLVQALLIDLTREQEKHSNRVDENPLIVKLGKDDSTTAAGSLSDELSIALGPHKLPYGYSPKIGSDEIHMTIGEACLRLNEELKQYMTYDIGGECPVDEVLSQGLILKGCEPLPRRRCHPKSPMNYVEPTPLPDSLWTTPPDTSIIWEPYSCKSYQCLVDRKNEPGNSYDCKGCFDLEKEEKIKWIFDDGGLDFGIDQVLATKAPGTIRIGLDIGGGSGTFAARMRERNVTVITSTLNLDGPFNNMVASRGLIPMHISISQRFPFFENTLDIVHSMDVIGNWMPDTMLEFVLYDIYRVLRPGGLFWLDHFFCFGSQLNKTYVPMLDRVGFNKLRWHVGMKLDPKVRKDVWLISALMEKPMI >RHN51676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:22419022:22419612:-1 gene:gene36166 transcript:rna36166 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVVPGPSDVNGVIEAADDLGHEDDGVDCVEETLAEEFTAGVHESPTSPCGFGRMVDYSPNAKVGVDVASVDCTVSLGDDDQTFLTDLLSVSDVLMDMFPTHSIKRNLSAAFKHVVVLEASMPSKSAISEAE >RHN45917.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26775806:26776854:1 gene:gene40344 transcript:rna40344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MVRIFGGGEDQANTRRIVGTYGYMSPEYAMQGLFSEKSDFFSFGVLILEIVTGRRNSCFYDNEHSEPFRICVDAMEGRLFYL >RHN79173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25146633:25155385:-1 gene:gene2903 transcript:rna2903 gene_biotype:protein_coding transcript_biotype:protein_coding MGWNLFFWLVICFPTNIALLASNFYQVLILSDLESDYINPFEASSRINYFILPEFIGQGVLCALCLLTGHWLMFLLNVPVTCYHAMLYVKREHLIDVTEVFRVLSAEKKFRIAKLVLYLIVLIVVIFRLTLIGVYYLGLEDDDDLKYFW >RHN78215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12865001:12865705:-1 gene:gene1749 transcript:rna1749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MSSVHHGRGSVAKGMHFYQTIQLRQLQDQELMLPRNFVEKYWKGVSNPISLKFPNGSECKMNWVQRGDDVWLLNWMRFARSLRCGDLLVFQYNGGSDFHVIILDDSKLEIDYSNMKCNDDQDSNKICKQEEESDDDDCVEILNDIAATPQGTNIYKRKFNINATQKKVSGKFIYLLFVFIYR >RHN61368.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35214245:35214543:1 gene:gene23828 transcript:rna23828 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHEVICFTDSLHFIVLLQDQTPKFHKFATLIKGIKNLYIKIGMSASPTLSEKKIIVIIFLLSYALLPILVSWSIKLL >RHN80756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40666118:40668600:1 gene:gene4721 transcript:rna4721 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1/BTB/POZ domain, NPH3 domain-containing protein MNKFEFPGVDKVTMKILDCENVLSGGKRNRCVVLPANVPIVADSLKRKNQNWIARASSTNDLIIQVGDSSFHLHKLAMVSRSEYLNRLVFKRRSNNIEDNNHTIQIDNLPGGRKTFELVVKFCYGWKFDMTSSNIASLYCAANFLEMSEDIEQENLISKTESFLTFLMLSSWKDTFRILKSCESISHWAKELQLVKRCSEAIAWKACVIPKLEIKVDACWWFEDVSLLRIDHFIEAIQSVKKRGMKSEFVGSCIENWTKKWFSKVTLGIDPNVTQKHMPIQLHKVSTECLIKMLPTEENSVSCNFLLHLLKAGMMLKINHELLCELETRVVLILEQCCVQDLLVKNQGDKDSLYDVDVVVKVLQSYVLGISSNSAAKVHIVGRLVDGYLSQVARDQKLTVESFKLLVEVLPQNARDSDDNLYRAIDMYLKAHPYLTEEDGENVCNVLEYHRLSQEARQHVMKNDRLPMKLSTGFVLLEQVNMSWTMASKGSNYHRSKTSIRISKDFEKRQMNQEIKVMRKDVEMMKSQLLELNTCKMKLQKHLKLSTR >RHN68527.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36253752:36256934:1 gene:gene16891 transcript:rna16891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MKLIINFSSTIFLLFTTSISAYTFSDHISPNFTASYLQFIANTGTFLLSRNKTFKAAIFNPGNQQTSFYLCIIHAASNTVIWSANHAPISDSDTVKLTVEGITIFDKNGNSKWSTPPLKSQVQKLSLTEMGNLVLLDQSNGSLWESFQHPTDTIVIGQRLSVGASLSSASSNSNLSTGNYKLTITSSDAILQWHGQTYWKISMDSKAYKNSNDDVEYMAVNTTGFYLFGHNEQVHVYQVGLSVANFRVAKLASDGQFTISSFSGTNLKQEFVGPDDGCQIPLACGRIGLCNDNSLSSSSSSTSSSSPVCSCPSNFHVASGNLHGCVPNDVSRTLPLACSSLTNNNHSQSNSSVVSFLNIGYGVKYFGNIYSDPIMFGVSLSDCQGHCSSNCSCLGILYRNSSGSCYMIENELGSISNGGEGDMLGLIKVNIGHDIDNEQNSQKDGFPVIAAVLLPIVGIIFLLALVFFLMWRKFTKSKKQEVKLGKQISISQHSSGDLDQDAFYIPGLPTRFDYEELEVATDNFKTLIGSGAFGVVYKGVLPDKTIVAVKKIINIGIQGRKDFFAEIAVIGNIHHVNLVRLKGFCAQRGHRMLVYEYMNRGSLDRNLFGGHPVLEWQERCDVALGTARGLAYLHSGCEQKIIHCDIKPENILLHDQFQAKISDFGLSKLLSPEQSGLFTTMRGTRGYLAPEWLTNSAISEKTDVYSFGMVLLELVSGRKNCSFKSRSHSIDDDHNNSSGNNGNSSNSSTTGLVYFPLYALEMHEQKSYMDLADPRLEGRVTIDEVEKLVRIALCCVHEDPSLRPNMVTVVGMLEGGTPLPQPRMESLNFLRFYGRRFSEASVIAEENEHGSVRIQQPRDSTRFVSRFSYISSQQISGPR >RHN77174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4644299:4644689:1 gene:gene600 transcript:rna600 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLFPYLHLLDTMKNQIKNKNLSYQMNCFISLVWAGPIFELEDAVPAFSHCYFVPFVVYVKHINRLLQIENILFVSF >RHN67212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24956228:24956604:1 gene:gene15372 transcript:rna15372 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKNFFNSLRKKRKMSHQTVTSTSRWCFSTTFFRQGQSFCRVDSKCR >RHN61538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36252963:36255691:1 gene:gene24011 transcript:rna24011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 50S ribosomal protein L30e MAPKKGVKAPVAASKKKPEKVTNPLFEKRPKQFGIGGALPPKRDLTRFVKWPKNVQVQRKKRILKQRLKVPPALNQFTKTLDKNLATSLFKILLKYRPEDKAEKKERLLKRAQAEAEGKTVETKKPINVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGTVVHKKTAAVLCLTTVKNEDKMEFSRVLEAIKANFNDKYEEYRKKWGGGIMGSKSQAKTKAKERLIAKEAAQRMT >RHN52780.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38731560:38734346:1 gene:gene37488 transcript:rna37488 gene_biotype:protein_coding transcript_biotype:protein_coding MEPERSKPLHNFSFPCLKWGNQRTLRCVNENNNSNNNNNPSLKNNESIDVVRQRIMGDLKIAAKKLKVSIFEENGAVNDVTNVNGHVGHAGNNVVANDVVGNGDSNAVRPWNLRTRRAACKAPLVPTMPSVPVVKDEGRRFFDVGCSSPSSSPLMMKKKKMVNENEKVKFSVSLSKEEVEEDFWALAGTRPPRRPKKRSRIVQRQLNTLLPGMWLSEVTAESYKVSEVPE >RHN53019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41289382:41292852:-1 gene:gene37763 transcript:rna37763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative senescence/spartin-associated MGCTDSKTLPPMEKSIPIASTNSSTKPKTLRQEILIQIPGCRVYLMDEGEAHELTQGQFMIIKIVDENVSLATIIKIGNNVQFPLTKDEPVVKVDSLHYLFSLPVKDGHEPLSYGVTFPHEFSGSMALVESFLKEHSCFSDLKLSKKKSDLDWEEFAPCVENYNHFLAKAIAGGTGQIVKGIFMCSNAYTNQVQKGGQTILNSPSDKKNGGNGMVKESMNNKTAAATKNNRMNENLIRVRKLTNMTEHLSKSLLNGVGIVSGSLMAPVLKSQPGQAFLKMLPGEVLLASLDAVNKVFEAAEAAEKQTLSATSQAATRMVSNRYGEEAGEATEHVFATAGHAANTAWNVSKIRKAINPASTAATLRNSAKNTSKY >RHN80707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40274465:40279059:-1 gene:gene4664 transcript:rna4664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MMVCNEEEKIEVFSENETEVSKSDSVDGSLPVSTVFTDVGVVPEQQKNELQHFISNLQKEVEELRLKQRKVDEKRREALSKILDIKGSIRVFCRIRPVHLTEKRRNSEPVSAGSERIRVKFGGTRKDFEFDKVFPQEASQESVFVDVEPILRSAMDGHNVCVFAYGQTGTGKTFTMDGTNEQPGIIPRALEELFRQASMDGSSSFTFSMSMLEVYMGNVRDLLAPRQSCRPHQPLANSNLNIQTDPKGLVEIEGLSEVQISDCAKAKWWYNKGRRCRSTSWTNVNEASSRSHCLTRISIFRRGDTSEAKTETSKLWMVDLGGSERLLKTGARGLTLDEGRAINLSLSALADVLAALKRKRSHVPYRNSKLTQLLRDSLGDGSKVLMLVHISPSEEDVCETICSLNFAKRARAIESIKEVPMELKKQKEMKIMELEENIKEAEKQRQSLGDQIQNVELKLCENKKLFSATYNLQESDDLVTSSSLKDDFKEVTETPKASKKSTPRSVSNSVPRFMSSTVASRHRQIASEQEIGGRTKSLRSVVTRNSIQFPSSQSLSYSDLRIKAILRSSTGIGKSRHAETSNVPNTIHTERPNCNELESKVSTPRSTMVTSSDSNLRVTLCRHRRRMSNLI >RHN43730.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48030299:48030806:-1 gene:gene50351 transcript:rna50351 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFFSRSSIPRLLSSASSLSQPSLSTSVTSPTIQWSICATSVFLDTVTLTLRCGRTGKYYDPNKVEANCATSTHVLYAK >RHN42210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36378064:36379396:1 gene:gene48625 transcript:rna48625 gene_biotype:protein_coding transcript_biotype:protein_coding MKATSFFFVRFYEGNLITCYINICHILNLTSWDIANLMSTTIYFRINIKTYLQCFRTLEENVKWYKMFGSIVDIFFFFYKRG >RHN48178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45600106:45602065:1 gene:gene42865 transcript:rna42865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MASSNGTSSGTGSPCGACKFLRRKCAPDCIFAPYFCSEQGPARFAAIHKVFGASNVSKLLLHIPAHERCEAVVTIAYEAQARIRDPVYGCVSHIFALQQQVACLQAQLMQVKSQLSHNIENNNQWIGNNNNVAATQAMNYPFCPTYMNPISPQSSLESSIDHSSSMNDGMMSMQDVQSSREDFSFQGCNNYKKRMPHNNNNDHDLGELQEIAIRMMRN >RHN49260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53618065:53623401:1 gene:gene44073 transcript:rna44073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase Do MSYFLSKLLRLNRSSAVGAVGIFAVGSTLLCSDNHTNSRTFVHARIPLPLQDISWLTLATPFDLLPLHLPSFDQHGILTLSSYRVNPVPSSDISKETSGGVNDESKPSKVTFGRDTIANAAAKVGPAVVNISIPQDFYGFATGKSIGSGTIINKDGTILTCAHVVVDFHGTKGSSKGKIEVTLQDGRTFEGKVVNADMHSDIAVVKINSETPLPEAKLGSSTMLRPGDWVIAMGCPHSLQNTVTAGIVSCVDRKSSDLGFSGSPREYLQTDCAINVGNSGGPLVNMDGEIVGVNIMKVLAADGLGFSVPIDSVCKIIEHFKKSGRVIRPWLGLRMLDLNEMIIEQLKKKDASFPNVNKGILVPMVTPGSPGDRAGFRPGDVVVEFDGKPVESMKEVIEMMVDKVGVPIKVVVKRANDKFATLTVIPEESNLSL >RHN67184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24699462:24702166:1 gene:gene15341 transcript:rna15341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator SWI/SNF-BAF60b family MLPQRMKKAVTDNPKKLANLIDLVNLPSTLRDFVGQSQTSRLSCFMRVWSYIKTNNLQDPNNKNVVNCDEKLKGILLGKPQVDLAELPALIKLHFPKEPK >RHN73106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13511887:13520141:-1 gene:gene8886 transcript:rna8886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MNFRIVFLNCFDSIFGCLCQHFCQSDIAVVYSRMKWKNPVKLVPPLICFIRYTYQVWFECISFMSGFQSSTICAPTLLYFFRWISCRVDDPLVSSLRRLSVVGQDMSVEFASLIADRTAGVWFSGRIMNLQKLIITLLDNFCFIINLSTMEGVEVERPLKIHFIPYLASGHMIPLCDIATMFASRGQQVTIITTPSNAQSLTKSLSSAASFFLRFHTVDFPSQQVDLPEGIESMSSTTDSMTSWKIHRGAMLLHGSIEDFMEKDPPDCIISDSAYPWANDLAHKLQIPNLTFNGLSLFTVSLVESLQANNLLHSDTNSDLDSSSFVVPNFPHRITLCGKPPKVISKFLKMMLGTVLKSKALIINNFTELDGEECIQHYEKTTGHKVWHLGPTSLIRKTIQEKAERGKEGDVNMHECMSWLDSEKVNSVLYICFGSINYFSDKQLYEMACAIEASSHPFIWVVPEKKGKEDESEEEKEKWLPKGFEERNIRRMGLIIKGWAPQVKILSHPAVGGFMTHCGGNSIVESVSAGVPMITWPVHGDQFYNEKLVTQFRGIGVEVGATEWCKNGVAEREKLVSRDNIEKAVRRLMENGDEAKNMRLLAQEFGEKATQAIQEGGSSYNNLLALIEELKRLRDPKRHD >RHN56306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29699497:29710190:-1 gene:gene31685 transcript:rna31685 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MVLRKVGKYEIGRTIGEGTFAKVKFAQNTETGESVAMKILDRSTIIKHKMADQIKREISIMKLVRHPYVVRLHEVLASRTKIYIILEFITGGELFDKIVHHGRLSEAEARRYFQQLIDGVDYCHSKGVYHRDLKPENLLLDSLGNMKISDFGLSALPEQGVSMLRTTCGTPNYVAPEVLSHKGYNGAPADVWSCGVILYVLMAGYLPFDELDLTTLYSKIDKADFSCPAWFPVGAKSLIQRILDPNPEHRITIEQIRNDEWFQKSYVPVHLLEYEDVNLDEINAAFDSAEDEGDNPQCEIADTGPLILNAFDMIMLSQGLNLATIFDRGQDTMKYQTRFITQKPAKVVLSSMEVVAQSMGFKTHIRNYKMRIEGISAKKTTHFSVILEVFEVAPTFFMVDIQKAAGDASEYLKFYKNFSSNLEDIIWKSPHETSKLKTSKTRSKRH >RHN64458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59237338:59242283:-1 gene:gene27277 transcript:rna27277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MAATATKKVIAICQSRGEFVTNIDGSMSYNGGDAYAIDIDQETSLSDFKSEIAETFNCNVSTMNIKYFLPGNKKTLITVSKDKDLQRMVSFLGDASTVDVFVINEEVVARNTSNMPASRSSRTTVSEAVVPVVIPINVAAIDAEQCIDQVEVDVANEAPAQSLCSGANDDKRQRAAQQWENTITGVDQRFNSFSEFREALHKYSIAHGFAYRYKKNDSHRVTVKCKSQGCPWRIYASKLSTTQLICIKKMTRDHTCEGSAVKAGYRATRGWVGNIIKEKLKASPNYRPKDIADDIKREYGIQLNYSQAWRAKEIAREQLQGSYKEAYTQLPFFCEKIKETNPGSFATFTTKEDSSFHRLFVSFHASITGFRQACRPLIFLDSILLNSKYQGELLAATSVDGNDGIFPVAFAVVDAETEDNWHWFLQELKSALSTSEQITFVADFQNGLKKSLSEIFENCYHGYCLRHLADKLNKDLKGQLSHEARRFMVNDFYAAAYASKLEIFERSIENIKGISPEAYNWVIQSEPEHWSNAFFNGARYNLMTSNFGQQFYSWVSEANELPITQMIDVLRGNMMETISTRREKSNQWITKLTPSKEEIIQKETSDARSLQVLLSQGTTFEVCGQSVEIVDIDNWDCSCKGWKLTGLPCCHAIAVFECVGRDLYDYCSRYLTVDNYRLTYTEPIHALPDIDKPVQVESAMEVVTVTPPPTKRPPGRPKSKQVESIDLIKRQLQCGKCKGLGHNRKTCKLS >RHN50144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4499395:4504386:-1 gene:gene34399 transcript:rna34399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MPFTVAAAEEHALPVVLFSPSRACYFLACLLSPKMYQNSQLPFKDESDLTNEYLDTKVDWIPGLKNFRLKDLPRLIKTKNPNDLTIRFNTEVADKCLRASGMVFNTSNELESDVMNAFYSMFPSLYTIGPLASFVNQSPQNHLTSLDCNLWKEDTKCLEWLESKEPGSVVYFAWGLANSKKPFLWIIRPDLVIDRGLIASWCPQEKVLNHPSVGGFLTHCGWNSTTESICAGVPMLQKAMELKKKAEVYTRPGGCSYMNLEKVIKEVNIGFIFIKPLASLSSTFKHKNSKMSDKKPHAVLIPYPVQGHINPLLKLAKLLHLRGFHITYVNTEYNHKRLLKSRGPNAFDGFTDFSFETIPDGLTPTDGDGDVSQDIYALCKSIRKNFLQPFRELLARLNDSATSGLVPPVTCIVSDIGMSFTIQASEELSIPSVFFSPSNACTFLTFIHFSTLLDKGLIPLKDESYLTNGYLDTKVDCIPGLQNFRLKDLPDFIRITDTNDSMVEFIVEAAGRAHKASAFIFNTSSELEKDVMNVLSSTFPNICGIGPLSSLLSQSPHNHLASLSTNLWKEDNKCLGWLESKEPRSVVYVNFGSMTVMTAEKLLEFAWGLANSKQPFLWIIRPDLVIGGSVVLSSEFVNEISDRGLIAGWCPQEQVLNHPSIGGFLTHCGWNSTTESISAGVPMLCWPFFADQPANCRYICNTWEIGMEIDTNVKRDEVENLVNELMEGEKGKKMWKKIIEMKTKAEEDTRPGGCSYMNLEKVIKEVLLKQNQT >RHN71025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55870528:55871598:1 gene:gene19666 transcript:rna19666 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFSNNRRNLEYIFHLFNLFATLLLFWHFSFFTIALKSFTHLYAISKSLFSNSFFVFLLLHVIILSIYKLSNQNDDVSTAVYNQCLKITSSSELVTADTDYQCFKITPEDPVESLPEKQKQDPVVSSFVTDRVESWLEAQQIFCNSEVGDSSLISEETVVEEHEPVMAVTETTTTTCCTTVTTTGDNKVSEEKCYRRVQSECYERSLVANNPRELRRFDTCLKKKEPQRSLCYVEELSKEEFKRTVDDFIAKHKRMMQSEERARSQKTEYLALTPY >RHN68767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38369395:38370051:-1 gene:gene17160 transcript:rna17160 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKTRILTKASAIGPLSPPRIRLRTSALYLGSPPFKLSRLHRGMPKSTGSNENLSIPPGVTSSTKPGPEGLISSKPSEWTTKALFMPNLLSALAILKTYQKGQDKPLIRDDRDGEKRKELDPQLFSLFFIFLCEKYKT >RHN53142.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42277385:42281573:-1 gene:gene37912 transcript:rna37912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSGLVSQISFNKKSLHAIGDTPNPYEKAISIVGKTLAPFDEDNLIPCFGFGDATTHDQEVFSFHSDHSPCHGFEEVLACYKNIVPNLKLSGPTSYAPVIEAAIDIVEKSHGQFHVLVIIADGQVTKSVDNEVSPQEKKTIKAIADASKYPLAIVLVGVGDGPWEDMEKFDDKIPTRDFDNFQFVNFTKIMSKNTSAAEKEAAFAVNTLMEIPFQYKACVEFRKLGHVTGRAKRIVPKPSPVPYSRPAHSNSTTDDQNQSACCPVCLTNAKDLAFGCGHMTCRDCGSRLRHCPICRHRITSRLRVYSG >RHN60891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31402070:31402978:-1 gene:gene23284 transcript:rna23284 gene_biotype:protein_coding transcript_biotype:protein_coding MRHICRDQKQQIFFAGTKTKTRHICRDQNHILAKKLCTQHSISMTNIGTHRRLLTLGAVKPGRSSTSISPTSFKIIPVLWSTTLKSKH >RHN61125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33174834:33180243:-1 gene:gene23558 transcript:rna23558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MAFNGHQYLLYFSVLNFIISSFVPIMAASVTPTPLVDRTSLNRSSFPKGFIFGTASSSYQYEGAANEGGRGQSIWDKYVHEHPEKILDGSNGDVAVDQYHRYKEDVAIMKYMNTDAYRFSISWPRILPKGKVSEGINQEGIKYYNNLINELLDNGLVPFVTLFHWDLPQVLQDEYSGFLSPNIINDFQDYAELCFKEFGDRVKHWITFNEPHSYSLGSEPYIVSHNQLLAHAAAVKLYRTNHQASQNGSIGITLNCHWFLPFSNDTLDHQASERALDFMFGWFMQPLTTGEYPSSMVSYVGNRLPKFTREQSKILIGSFDFIGINYYTSNYAANIPQSNNDTGTPGYFKDTHVNLTTERNGIPIGPRAASPWLFVYPRGIQELLLYTKTKYNNPVIYITENGMDELNDPTLSLEEALMDTYRIDYFYRHLYYISSAIKHGVKVQGYFAWSLLDNFEWLAGYRRRFGINFVDYKDNLKRHQKLSAHWFRNFLKKK >RHN45122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:11978056:11980311:1 gene:gene39331 transcript:rna39331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MQMKVIGFETAKELYKDDPDFQKFWNATNSQSSQDYYRHEGFLFKGKTLCIPQCCLREAIIWEAHDGGLAGHFGRDKTIALVKENFHWPRLERDVYKHIQRCRVCHLAKAKSQNTGFYMPLPVPEAPWEDVSMDFVLGLPRTQRQKDSVMVVVDRFSKMAHFIPCQKTNDAVQVADLYFKEIVRLHRIPKTITSDRDVKFLSHFWRTLWKKMGTKLQFSSASHP >RHN43953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:160568:160765:-1 gene:gene37993 transcript:rna37993 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAFWGTRVMQVVKKHDSPRLLWKRIKLTTTCKANAKKRLHRVWQVFELVNFLANFDSSAHLLF >RHN58057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43414987:43421082:-1 gene:gene33663 transcript:rna33663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative regulatory protein RecX MNMARNLAGNIGFKITSHLQPRIYSIPRNIWKFRIECFKRRDFSSCCVAVRYIPNTSCRVKIRHLEYCSFADGSVIKINDGKIGHATIAHCETSQNLDEFHSELLFDDSEEGSEQMEEVGDSCEQDFIRVDKFTNDAEQSAVKLLASRALTAVELRKKLLSKRFSPDAVEAVINKLQRQGFINDRLYAESYSQSRWSSSTWGPRRIKQALFKKGVSQTDAEKAVEVVFKDNNDCAGEEKTVIGLSKQSIDHLYVQASKQWFKGQNVPKETRKSRIIRWLQYRGFDWNVISIIVNKLDRHEQNPP >RHN62141.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41083871:41085467:1 gene:gene24681 transcript:rna24681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major intrinsic protein MSTSEITKMEEGDLKTQTKGESGFCGSPEVVQIIQKVIAEVIGTYFLIFAGCCVVVLNKVEETKGTVTFPGICVTWGLSVMILVYSLGHISGAHFNPAVTVPLYLAAQVLGSVLASGTLYLLFDVDEKSFFGTVPAGSNIQSLVFEMLTSFLLMFVVSAVSTDNRAIGELAGIAVGMTIVVDVFIAGPVSGASMNPARTFGPAVVMHIYKGFWVYIVGPFVGAILGASAYNLIRFTDKPLREISRSSSFLKSVSRAASFR >RHN64846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62440014:62440568:1 gene:gene27712 transcript:rna27712 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQWWLNPFTCLVVCFAEFPCFVGASHLLGQLWLFCVGILIYRCGRLSFLAICGSLACKGVIRCFLRSWVACMQSGSGG >RHN77558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7704602:7704864:-1 gene:gene1025 transcript:rna1025 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIWGSYVPIVILSDSRVYYLQLYTSCGRYLFRIQKMLTYILLRIIIDLLAC >RHN70369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50939887:50946997:1 gene:gene18939 transcript:rna18939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-transporting ATPase MESYLNENFGDVKPKNSSEEALQRWRKLCWVVKNRKRRFRFTANLSKRFEAEAIRRSNQEKFRVAVLVSQAALQFIHGLSLSSEYRVPEEVKAAGFEICADEAGTIVDGRDVKKLKIHGGVEGITDKLNSCVNNGISTSEPLLNQRKEIYGINKFTESPARGFWVFVWEALQDTTLMILAVCAFVSLVVGIIMEGWPKGAQDGIGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITVQVTRNGYRQKISIYDLLPGDIVHLNIGDQVPADGLFVSGFSVCINESSLTGESEPVNVSDLNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFSVLVQGLFSRKLQEGSQWTWSGDDAMEIVEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGKIKEVNSSIDSSDFSSDLPDSAIAILLESIFNNTGGEVVKNENGKIEILGSPTETAILEFGLSLGGDFHKERQASKLVKVEPFNSIKKRMGVVLQLPDGGYRAHCKGASEIILAACDKFVDSNSKIVPLDEDSISHLNDTIEKFANEALRTLCLAYIDIHDEFLVGSPIPVNGYTCVGIVGIKDPVRPGVRESVAICRSAGITVRMVTGDNINTAKAIARECGILTDGIAIEGPEFREMSEKELLDIIPKIQVMARSSPMDKHTLVKHLRTTFEEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFTSACLTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRAPVGRKGNFISNVMWRNITGQSIYQFVIIWLLQTRGKTVFHLDGPDSDLILNTLIFNSFVFCQVFNEISSRDMERINVFEGILKNYVFTAVLTCTAIFQIIIVEFLGTYANTSPLSLKLWFISVFLGVLGMPIGAALKMIPVGSV >RHN56854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34228247:34229138:-1 gene:gene32313 transcript:rna32313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor IIIC, 90kDa subunit MPTSLYRDDKPVVRSISWSPLGMAANSGCLLAVCTSEGHVKVYRPPFCDFCAEWIEVVDITERMYEYFQLTEFQDTGIPSSDFSEVSYLIHPFFYCSSFIVLHVYDPFTLFPH >RHN62628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44793285:44795767:1 gene:gene25223 transcript:rna25223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MASALLANRNEPNWLQHRGGGAEFMGKAPNPNPKFSNKKRTQSPSDDASSINRRSNDNHSQYVTFNIESYSKTELHDLKNRLVSELDQIRQLKTRIESGEFKPRLNHNGGGPNKKSGSKKFSGNKRPFPAEKELKKSKSEIGDAMKACGQILTKLMKNKSGWIFNTWIFNTPVNATALNLHDYFDIIKHPMDLGTVKSKLAKNAYSTPAEFADDVKLTFKNALTYNPKGHDVNTAAMQLLEKFEELYRPIQEKFDEKSFDDELQASSWNHVEPEREREKVKKKDNPIPIPPPVAKRQESLPEPASTSNQPSTSNPQLAQSPVRIPSPMRALPVKPLKQPKPKARDPNKREMNVEEKHKLGLGLQILPPEKMEQVVQIIRKRNGHLEQDGDEIELDMEAVDTETLWELDRLVTNWKKMVSKIKRQALMDNNNVPSNKGNGELPDREKVDATPPSEGKKQKKIDTVDEDVDIGDDMPANNFPPVEIEKDKDMGATGGGASSSSSGSSSSGSDSSSSDSDSGSSSGSDSEAGNGHL >RHN49709.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:455466:480700:-1 gene:gene33915 transcript:rna33915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative invasin/intimin cell-adhesion MEKKKKNMALILLIFAITAFVERVAASSPPHASSGPHIADVNLLLPPKMTFPVQYRLQGSDGCFKWSWDHHDILSVLPEYNSSNKCSTSARLRSIAPYSGRKETAVYATDVKTGIVIRCKVFIDNISRIQIFHNSIKLDLDGLATLHVRAFDNEENVFSSLAGLQFMWSLMPEANGLPYRLVNVPIKDSPLSDCGGLCGDLDIQIKLEDDGVFSDLFVVKGIEIGHEIVSVHLLEPQLQNMADELLLTVAEAMSLDPPSPVFVLVGAAVPFTLKVIRGNIPQVVALPSPHHQWSASNASVAQVDSKTGLVYASNLGMTAIIVEDTRVAGHVQVSSLNVVLPAVLGLYITPLSSSGDPVEGLESSPLMARWHVVSGRQYLIQIKVFAHAHDAQEIYITENDDVKVYDYRSDYWRTVSVSNDIAVRHGWRNTKLLKAYSPGLGNLTASLSYPGGADDKKEIITVVQEVMVCDQVKFTLGNESGIIVLPWAPGVHQDAELKAVGGCAKAESDYKWLSSDISTVSVSASGTIQGKKPGKATIKVVSVYDSLNYDEVLVEVSIPSSMVMLHNFPVETVVGSHLQAAVTMKTANGAFFYRCDAFNSLIKWKAGSESFVIVDVSQESSYLETVPSSQLHPSDVGFPCSWTYLYASNTGQAVIHAILSKEYHQFSHGPVVLKASVRIAAYLPFIVRQVGDGNHFGGYWLDLAPAENNKQLHNLEELNLVPGTNLDLSLVGGPEPWSKHVDFIETVDVLDGENALTDDGVLVHRISGNNKTLYRVLCQTLGTFRLLFRRGNSVGDDHPLPSVAEAWLPVTCSIPSSIVLIADEPVNEHEIIRAAAHAERSSRRLRDTPITVANGRTIRISAAGISASGEAFANSSSLSLKWELSSCEELAYWDYAFDIVKSNDWERFLVLRNESGLCIVRATVTGFLGGLGDDTFHQFPQTENVLTDAIRLQLVSMLRVDPEFSLIYFNSNAKVNLSITGGSCFLEAVTNDSQVVEVTQPPTGLECQQLILSPKGLGIANLTLYDMGLTPPLKASALVQVADIEWIQIMSGEEISLMEGSLLTIDLLAGTNGGTSFHASQFVYMNIHVHVEDTIIELLDADSLSSLDGRHVNAPNFKIKGRYLGITTLYVSALQHFGHVIQSQAIRVEVYKAPRIHPHEIFLLPGASYVLTVEGGPSLGVHVEYAVESDKIASIDRYSGRLLANSIGNTTILASVFVNGNTVICEARSILRVGVPSTIKLHMQSEQLGVGRKLPIYPLFPEGNLFSFYELCKSYQWTIEDEKVLTFKVAGSLHGEKYGSASEESQVGGNFDENDLGFINVLYGRSAGKTNVAVAFFCEFSTSGSKTQPMLYSSSLSVTVVPDLPLALGFPITWILPPYYTTTSLLPSSSESYTQYDGQTRKGTIKYSSFSLDKNAMQKDAVFIDGDRIKTTEANNLACIQAKDRTTGRIVIASCVEVAEVTQIRIASKEVLLKVIDLAVGAELDLPTSFYDILGNPFYEACNAVRFYAETNYPDVLAINKTADGKGNVHIKAIRHGKALVRVAISEAQQKSDYVLIRVGAHVYPRNPVLHIGSPINLSIKGLNDKVSGQWLTTNESVISVDPLTGVAKAIGEGSAQVYFHYAKSKLQTTVTVLKGHSISVDAPKGMLTNVPYPAKGYNFSVKFSTYGESLDAPGGNKIISFDCRVDPLYIGYVKPWLDLETGNSYCLFFPYSPEHLVHSIPKSEGIRPDISVSIYASLKEHEHVLGSASALFIGGFSIMEMGKGPMQLNLTPEFNKTYITIVGNTDVEIHWNRRDLIMIAPTSKDDFGVRGFARYEVKLLKAEKFKDKIIIILPTNGQRMEIDITYEPASEKTALSNVTMNKAPWASIFGCLLLLILPIAAFIYFTDRPYRSQQTSAPVTATIASPATSYRSSPTGSNEMSPRTPQPFVDYVRRTIDETPYYKREGRRVNPQKTY >RHN53440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1672736:1673452:1 gene:gene28347 transcript:rna28347 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFVVEYFLVNGNSFDDYFTLRGPRFITKSPDILKRLEIEGCIQNMSIPTPNFKSKRASEEQMKRAFKLMGGCIVASDN >RHN54144.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7258000:7259685:1 gene:gene29134 transcript:rna29134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MVSKGPTFILWHFVLAVVITIFFTSKFSFAKQTKLMGLKMNMIDRCWRPNPEWRKHRQQLATCSVGYAGKMTNNIGKGIIQYKVTDPNDDPINPQPGTLRYGASVIQGKVWITFKKDMNIKLIKSLLISSFTTIDGRGVNVHIADNACLMIFKATNIIIHSIRIHHCKAQTPGMVMGPNGKVISLGQVDGDAIRLVTASKIWIDHNTLYDCEDGLLDVTRGSTNVTVSNNWFREQDKVMLLGHDDGYVRDINMKVTVVYNHFGPNCNQRMPRIRHGYAHVANNLYLGWMQYAIGGSMGPSLKSESNLFIAPKVGSKEVTWRKIGHGNGDKWEFHSVRDAFENGASFAISKGSRVPKPNYSKEQGFKVVDVKSVRTLTRSSGAFQCSRTSIC >RHN69097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41019453:41021854:1 gene:gene17526 transcript:rna17526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thymidine kinase MASSLGVGSSHSHSSSGEIHLFVGPMFAGKTTSLLRRIKSEVDNGRNVAMLKSSKDNRYAVDSVVTHDGIKFPCWALPDLMLFKDKYGHEAYQKLDVIGIDEAQFFEDLYDFCCKAADEDGKIVVVAGLDGDYMRRSFGSVLHIIPIADTVTKLTARCELCGKRAFFTLRKTGEKQTELIGGADLYMPVCRHHYVNGQVVVEAAMKSVFGSQKVGCGSLIETAPVV >RHN50660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8935572:8937605:-1 gene:gene34970 transcript:rna34970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MARTFTTDGKACVRPTASTQQLHDKQVTSSKNGRVRPTASTRRLHDKQVTSSKKELVNMLPREAYEPHHVVNEPRQELDEPHQDIRADSVEVHGYPGGPKDCSILKSYGDHVARQIWEGKDRGELRIFNNGKKMNGATLQHNEVQRLVEGSGLLPLLKCSHELIDKGLISAFVERWHQDTNSFHLPVDCYLG >RHN44789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8488676:8491566:-1 gene:gene38951 transcript:rna38951 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone 7-O-methyltransferase MDSENEYKASELFVAQAHLYNQIFSFMRPVSIKWAVELGIPDIIQNHGKPITLPELVSALRIPEAKAGCVHSVMRLLAHNKIFAIVKIDDNKEAYALSPTSKLLVKGTDHCLTSMVKMVTNPTGVELYYQLTKWTSKEDLTIFDTTLWDFMQQNSAYAELFNDAMESDSNMMRFAMSDCKSVFEGITSLVDVGGGTGNTVKIISEAFPTLKCIVFDLPNVVEGLTGNNYLSFVGGNMFESIPQADAILLKWVIHNWNDDDCVKILKKCKEAASRQKKGGKVIIIDIVINEKQDEHEITEVKLCFDITMMANHNSRERDEKTWKKIITEAGFMSYKIFPIFGFRSLIELSV >RHN64319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58133987:58135723:-1 gene:gene27123 transcript:rna27123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MQTPRVTTIQVRVDCNGCAQKIKKALNGINGIHDLLVDFDRQRLTVIGWADPENIVKAVKKKAKKNATIICSNIELTPSSKDSKPTKQKPKENILAPQAQGTSFPELILEATPSSPTPTWHNARQHWQNNIGTENVEKAHMSHHHQPNYVNRIGSRNNYVDHRDRLYHNDPVFLQEPSKIQPLNVIHSYNTHMPSSHITEYECVRSPSWHTHYNHIEHYNGDYNNNNVDIAAMFSDDNPNACTIV >RHN56756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33445199:33450667:-1 gene:gene32197 transcript:rna32197 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYTGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >RHN73762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19730918:19731673:-1 gene:gene9624 transcript:rna9624 gene_biotype:protein_coding transcript_biotype:protein_coding MASWKKTIASPFKKACTFFNQQPPREHKKSQIAEQENRGMDLHGEVMACGYEDVHVMWSILDKSKSTACNITSS >RHN81725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48485710:48488522:1 gene:gene5809 transcript:rna5809 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLLKEVLNSFTSRLKDRCLLLAAIPINFLKTLIHCYYKISPFFTYILSWILNQSR >RHN78238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13046956:13048574:-1 gene:gene1772 transcript:rna1772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exostosin MFFRKSSPTQPLNSLPCTTKSQSFSKTKETHQWVKFTLFITLCLFLLYFMYPTTITTTTTTTDTTTTTTCDGSTPSFYIYNLPPRFNLDLLKNCENLNIYTNMCPHVKNNGLGQPLSKTSWYTTHQLLAEMIFHARLENHVCRTWDPNQAILFYIPFYGGLHASSMFREANHTLRDSLAVDLIDHVLSLPWWNRHNGKDHFISLGRTAWDFMRSSSGSDFGANILLNLPPVKNMSVLTIERQPWEGENQNGIPYPSYFHPKTKEEMLTWQNKMRQNDRPFLFSFIGGKRKGLGKAKIRDELFKQCNESSLCKLVDCGGGNSKCHQPMEVLSVMMESRFCLQAPGDSFTRRSTFDSILAGCIPVFFSPHTAYSQYHWYLPKEKNTYSVYIEEGGVGEKSNMIEEVLMGIKGVEVEKMREVVIGLIPRVSYAHPNGSDVGFNDAVDVALQGLFFFGYTSRTC >RHN63191.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49231141:49235698:1 gene:gene25867 transcript:rna25867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerol-3-phosphate-transporting ATPase MSSSSTREPPPGIKLIRNKEWNLQTYRYMVLLITFIAYVCYHASRKPTGIVKSVLCPPNKTHGWAPFNTPEGVSKLGEIDVAFLACYSIGMYVAGHLGDSLDLRLFLTTGMIGSGIFVGLFGMGFFWNVHEFWFFLVMQMIAGLFQATGWPSVVAVIGNWFGKRKRGLIMGIWNAHTSVGNISGSLLAASVLDYGWGWSFVSLGGLIMFGGVIVFFFLPAYPEDVGFSCIHGDEEAQVVKTVGGDGVVVEGERESGSGKGGDGRGKSIGLLEACMIPGVITFALCLFFAKLVAYTFLYWLPFYLTQTEIGGKYLSVKSAGNLSTLFDVGGIFGGILAGYMSDKLSARAITAGSFMYAAIPAMLLYRSYGSVSMHVNIGLMFITGLFVNGPYALITTAVSADLGTHKSLKGDSRALATVTAIIDGTGSVGAAIGPLLTGFLSTRGWDEVFMMLVLGAFIAGLLLSRLILAEIAEKTGKTMSNSNGQQTPGDAASQPLLEEER >RHN77833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9755258:9760260:-1 gene:gene1326 transcript:rna1326 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNTQMEEVEAILEKIWDLHDKLSDAIHSISRSHFLTSVKTLTNSAHNKNDTVSSETTPEGNNGNGFVYVKDFRPVNDDVDDAVHEAKSLNAIRTALENLEDQLEYFHTIQTQQRVERDAAIARLEQSRLVLAMRLAEHRGKKYKVIEEALAFVGDVHDASGLVSPEVFGQPKCTDENFVAEKGKRSNILVNVFVSSFNFVKKSLGLDHMGGIVGNAALVAVSMIALLQLQQVTNHEHSFREENRVHSNRTMRRAAHLEGSSSSAHSGNLNVFLARG >RHN44216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2478116:2479306:1 gene:gene38306 transcript:rna38306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MQLKSLMQLDLSFNNLRGRTPSCLGNFSQLLERLDLKWNKLSGLIPQTYMIGNSLRMIDFNNNNLLGELPRALVNSRSLEFFDVSYNNINDSFPFWLGDLPELKVLSLSNNEFHGDIRCSGNMTCTFSKLHIIDLSHNQFSGSFPTEMIHSLKAMNTSNASQLQYESYLMWNNVGQYLISTDVFYSFTMSNKGLARVYEKLQKFYSLIAIDISSNKISGEIPQVIGELKGLVLLNLSNNNLIGSIPSSIAKLSNLEALDLSLNSLSGKIPQQLAQITFLEYLNVSFNNLTGPIPEHNQFSTFKGDSFEGNQGLCGDQLLKKCIDHAGPSTSDDDDDDDNSESFVELYWTVVLIGYSGGLVAGVALGSTYFPQVFAWCRDCLSVSVIILLNKIFERH >RHN45650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24121709:24126810:1 gene:gene40031 transcript:rna40031 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MNSRYPFLTNPSSSKDKWDRFIPNRSAMDFDYACYMVMEGTKVRKENPNRNSEYQKRLAEACNMNDRTRILAFKNKPPIPVELVPKELVFPSPPPRPQSKPSKPRRISKTCTRIVDAPDISGDFYTNLLDWGSDNVISIALQNTVYLWNASDCSASELVTVDEEHGPVTSVSWSPDGCHLAIGLNDSLVQFWDTTAERMVRTLRGGHRERVSALAWNGHTLTTGGMDGKIVNNDVRARSHIVETLKGHDRGVCGLKWSPSGQQLASGGNDDVAQIWDRSVASSNSPTRWLHRFEEHESAVKALAWCPFQGNLLASGGGALDRCIKLWNTQTGEKLNSVDTGSQVCALLWNKNEPELLSSHGFHENQLILWKYSSMVKMAELKGHTSRVLHMTQSPDGDMVATAAADETLRLWNVFGTRKRVEPFTSFNRIR >RHN42592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39566262:39568179:1 gene:gene49049 transcript:rna49049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I MGAYDSDSLHSSTQNSYHQHLLNQREEEDPNPKTKSQKKLALLPLIFLIYFEVSGGPYGEEATVSAAGPLFAILGFIIFPFIWSIPEALLTAELATTFPGNGGFVIWANEAFGPFWGSLMGYWKFFCGVINLASYPILCIDYLKVVIPVLSSGLPRIVSVFVSTSLLSFLNYSGLAIVGYTAVGLGVISLLPFVLMSLISVPKIDPSRWLSLGQEGVEKDWTLFFNTIFWNLNFWDSASTLAGEVEEPHKTFPKALLFAGLLTCLGYIIPLLATTGAMPLDQEVWVGGYFAHVAGLIAGNWLKYWMEIGAVLSIIGLFEAQLSSAAYQLLGMSDLGFIPKIFGERSKLFNTPWMAILISTIISLGMSFFSFTEIISTVNFLYSLGMLLEFASFLKLRKKFPTMKRPYKVPLGFFGLIVMCFVPSALLVYVMTVATKIVFVASTFLTFLGIVLYYFMNLCKSKRWIEFSGVGDKLDEDEDEDIII >RHN57582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40288384:40297935:1 gene:gene33151 transcript:rna33151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MEGFGMNSDLSRTGAVERDIEQAITALKKGACLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLKLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRSHHRKWRTESRSDGIPSEANSPRTYTRRSSPLHSPFGSNESSQKDSGDHLRLHSPYESPPKNGLDKALDVVLYAVPQKSFFPLDSASASVHSISSGGSDSMHGHMKTMGMDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDGVVGGGNHRVGSGLGVKIDSLFPKALESAVVLDVQNIACGGRHAALVTKQGEIFSWGEESGGRLGHGVDSDVLHPKLIDALSNTNIELVACGEYHTCAVTLSGDLYTWGNGAYNYGLLGHGNQVSHWVPKRVNGPLEGIHVSYISCGPWHTAVVTSAGQLFTFGDGTFGALGHGDRKSVSLPREVESLKGLRTMRASCGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVALVEHNFCQVACGHSLTVALTTSGHVYAMGSPVYGQLGNPQADGKLPTRVEGKLLKSFVEEIACGAYHVAVLTLRNEVYTWGKGANGRLGHGDTDDRNNPTLVDALKDKHVKSIACGTNFTAAICLHKWVSGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHSCSSKKSLKASMAPNPNKPYRVCDGCFNKLRKTLETDSSSHSSVSRRGSINQGSLELIDKDDKLDTRSRNQLARFSSMESFKQVESRSSKKNKKLEFNSSRVSPVPNGGSQRGALNISKSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTTPKIVVDDAKKTNDSLSQEVIKLRSQVESLTRKAQLQEIELERTSKQLKDAIAIAGEETAKCKAAKEVIKSLTAQLKDMAERLPVGTAKSVKSPSIASFGSNELSFAAIDRLNIQATSPEADLTGSNTQLLSNGSSTVSNRSTGQNKQSQSDSTNRNGSRTKDSESRSETEWVEQDEPGVYITLTSLPGGVIDLKRVRFSRKRFSEKQAENWWAENRVRVYEQYNVRMVDKSSVGVGSEDLAN >RHN80002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34251385:34251997:1 gene:gene3872 transcript:rna3872 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNITDSPTRERTRQSRRSSANKQSNLKDSSDGSNDSLIQSDGSQGENLPEIPRKARRKKSKDKENSGGGSSSKLRSKAQQSSDPNLEYESPSSKSRNKPKSFEENEQYERGTSIIS >RHN45659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24197365:24209956:-1 gene:gene40040 transcript:rna40040 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVDKVYCHLPERVRRQYGYVQNVSRHLIDVVELRPTHIVKGEIDFRTHTIKEPEWGQPTEESTWKMKDGYMIWYTTVSHPLILPLLLGDLSRPIK >RHN43041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42771516:42775216:-1 gene:gene49561 transcript:rna49561 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEHSNCPASAVQALTHAMSLRMSPASSESWCRRVVVMVNMSIPACGSHAERDMKIPAVRL >RHN38696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1356661:1363307:-1 gene:gene44657 transcript:rna44657 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MPPKTPKRGSAGSGSKRGGRLSRGTAKSAQNQQQQQSELVEEKTVIEEEIKVEENLVVEEKPVVEEDNPVVEDKAIDMNQIAPEAVEDANSAKKDEEEVKESIDEYERDERLDLEDNDPEYEPEEYGGVDYDEKEIEQEEGHEVGDEVEEEPEENVVEEGDSGEEEVEDGHDEIEGEEDDEHADEEHDRERAEMADVDEEEHREVVKERRKRKEFEVFVGGLDKDATEDDLRKVFSEVGVVTEVRLMMNPQTKKNKGFAFLRFENVEQAKRAVAELKNPVINGKQCGVTPSQDSDTLYLGNICKTWTKEALKEKLKHYGVTNVEDITLVEDSNDKGTNRGFAFLEFSSRSDAMDAFKRLQKRDVTFGVDKPAKVSFADSFIDPGDEIMSQVKTVFIDALPPSWDEDYVRNLLKKYGEVEKIELARNMPAARRKDYGFVTFGSHDAAIRCADSITGTELGEGDKKAKVRARLSRPLQRGRGKHVGRGDYRPSRGSAIMSRPSWSSRPAPRSFSSRGVRGIGSRAPPIRSISARDRRPVMSIPVRSRPLPPPARSYDRRPADAAYSKSSVKRDYGRRDDLPPPRSRVAVDYGSRMTSERRPTYRDYPPRGSDYSDPPRSTARAAPRRGYVDDGYSQRYERPPPPPPPSHPSYREGRPRDYDSLSGSKRSYAAVDDVPPRYADTGARQSRARLEYDYGGSASQYGDAYGDRVGRSSLGYGSGSRSSISGQDSHGVYSSRQGMSYGGGSYGGSDVGGMYSSSYGGDYVSRGNDVGGSSYSSMYSGRGAGGGSSYMGSGGSGSYY >RHN54564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10521574:10521845:1 gene:gene29628 transcript:rna29628 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCSFSVGARVEYAVMGFSADCEAGPHFLFCWVVLVVGWDGFLVLVTMRQLGFRELFG >RHN49942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2515326:2518381:1 gene:gene34179 transcript:rna34179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MADTLSAASLLLQVRERGVQMSGGQKQRIAIARAIIKMPKILLLDEATSALDSESERVVQEALDKATVGRTTIIIAHRLSTIQNADIIAVVQNGKIMEIGSHESLVQDDNSIYASLVRLQQTKRDETDDTPSIMNKDHMQNTSTCRLVSPSSSLNSATRGNDDVLNYNNVVEDVATKFVVDDDDNDNSKDKKKVEVPSFKWLLAMNGPEWKQTCLGCINAVLFGAIQPVYSFGLGSVISVYFLENHDEIKKQIRIYALCFLGLAVISMVVNVLQHYSFAYMGEYLTKRVRERMFSKILTFEVGWFDEDQNSTGSVCSRLAKEANVIGSSGTNNFSSGNSIHNGTNIIAWRLAIVMIAVQPVIICCFYTRCVLLRNMSRKAIKAQDECSKIAAEAVSNLRTINAFSSQDRILKMLEKSQQGPSHESIRQSWYAGIGLACAQSIKLCSYALTFWYGGKIVSQGYISAKALFKTFIILVTTGKVIADAGSMTNDLAKGSDAIESVFTILDRYTKIDPDEIEGYKAEKLIGKIEFCDVYFAYPSRPNVMIFEGFSIKIDAGKSTALVGESGSGKSTIIGLIERFYDPLKGIVTIDGRDIKIYNLRSLRKHIALVSQEPTLFSGTIKENIAYGSYDDKVDESEIIEASKAANAHDFISSLKDGYDTLCGDRGVQLSGGQKQRIAIARAILKNPEVLLLDEATSALDSQSEKLVQDALEKVMVGRTSVVVAHRLSTIQNCDLIAVLDKGSVIEKGTHSSLLSKGPSGAYYSLISLKIRPTNIIIDSSHEIN >RHN44363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3861150:3863630:-1 gene:gene38474 transcript:rna38474 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP83E9 MVSYLHTLLALPLLVFFLFMKYKTNIKNSSSSTFPKGPKGLPIIGNLHQLDTSNLHLQFWNLSKIYGPLFSLQIGFKKAIVVCSSKLAQEILKDHDHDVSSRPPSHGPKTLSYNGIDMIFSPYNDCWREIRKICVVHFFSSKKISSFAHVRKSEVKLMIEKISNHVCSSKISNLSEVLMSVSSSIVCRIAFGKSYEHEGGEKSRFHGLLNETQAIFLSFFVSDYIPFMGWVDKLTGAIARVDNTFKALDEFFEQVLKEHLNPNNRKKDDEEKDIVDVLLELKNQGRLSIDLTNNHIKAVVMNLLVAATDTSAATSVWVMTGLIKNPRAMKKAQEEIRNIKKEFIDEDDIQKFVYFKAVIKETLRFYSPAPLAPRETSKSFTLNGYKIEPKTSVFVSIWSIHRDPETWKDPDEFYPERFLNNDIDFKGQNFEFIPFGAGRRICPGIPLGIATVEMITANLLNSFDWEMPEGMTKEDIDTEGLPGLARHKKNHLCLVAKNHM >RHN54125.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7112332:7114325:1 gene:gene29115 transcript:rna29115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein DA1 MTSRGLHGEDIVGFYFFHFNNWSGTVIPANSAGLIEYRAHPFWIQKYCPTHELDSTPRCCSCERMEPKDSKYLFLDDGRKLCLECLDSAIMDSHECQPLYHEILEFYEGLNIKVEPQVPILLVERQTIKEAIEGEKNILRRPIIGAGYRVTDMITKPYRLTRRCEVTAILVLYGLPRLLTGSILAHEIMHAWLRLKGYPNLRPDVEEGICHILAHMWLGSELYSGSGNNDAPCSSSSSSMPPSSSASSKKGKRSDFEKKLCEFFKNQIESDTSPAYGDGFRSGYQAVLKYGLESTLDHIHLTGTFPC >RHN63521.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51932367:51937990:-1 gene:gene26227 transcript:rna26227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAIRGVDFKWYDGFFLSMLATSVVIVAINWKRYHSCTYPLHIWIVVDYTAVFVFRLLMFVDNGFAAGMGLDFGWQQRYARFCGRVVVLSILGLLLYPFLWAWTIIGTMWFSSAKSCLPEEGQKWGFLIWLLFSYCGLLCIACMSVGKWLTRRQAHLVRAQQGIPLSEYGILVDMIRVPDWAFEAAGQETRGMGQDTASYHPGLYLTPAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFRVGNEVRGLPCAHNFHVECIDEWLRLNVKCPRCRCSVFPNLDLSALSNLRPDSERSSDSVVTTTRYVRGQPSSQSYLLRLQGLLRPVRTEIAGPVGDTDNALQNAENGVAPVLTQNAPNRVQVSSVECMPVSLSSAQR >RHN41055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26448566:26455756:-1 gene:gene47331 transcript:rna47331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin protein, neddylation MSNQKKNFRIEPFKHRVVMDPKYADKTWKILEDAIHEIYNHNASGLSFEELYRNAYNMVLHKFGDRLYSGLVATMTAHLKEIAKSIEAAQGGSFLEELNRKWNDHNKALQMIRDILMYMDRTFIPSAKKTPVHELGLNLWRESVIYSNQIRTRLLNTLLELVQSERTGEVIDRGIMRNITKMLMDLGPAVYGQDFEAHFLQVSAEFYQVESQRFIECCDCGDYLKKAERRLNEEMDRVGHYMDPETEKKINKVVETQMIENHMLRLIHMENSGLVNMLCDDKYEDLGRMYNLFRRVADGLLKIREVMTLHIRESGKQLVTDPERLKDPVEFVQRLLDEKDKYDKIINQAFNNDKSFQNALNSSFEYFINLNPRSPEFISLFVDDKLRKGLKGVNEDDVEVTLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYASHPDLGDGPTLTVQVLTTGSWPTQSSITCNLPVEISALCEKFRSYYLGTHTGRRLSWQTNMGFADLKATFGKGQKHELNVSTYQMCVLMLFNNADKLSYKEIEQATEIPAPDLKRCLQSLALVKGRNVLRKEPMSKDVGEDDAFSVNDKFSSKLYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRLLDHNNLIAEVTKQLQLRFLANPTEVKKRIESLIERDFLERDDNDRKMYRYLA >RHN66256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10117146:10118327:-1 gene:gene14203 transcript:rna14203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MKFGSNEDDRNTIVTGTLPTLPFDLVAEIFCRLPVKLLLQLQCLGKSLKSLISDPKFTKKHLQFSKAFQHNHHLIVNIPGDIGKLIMKDCTIPSVFNAAMSTSCIKPTKLRFPDILNTVSAYKLCVSSCDGILCFTCEYDTIAGHSVVLWNPSIRRFNMFPVMENPGKRVPHSTKYNFGYGHSTHTYKIVGVSFFPDKSNEVCCYTLGTDCWRRIQDLPYGSTSAVGVFARGTINWLAYDSQSSSHNIVSLDLEKESYQKLLKPNLETDSWSLRESMDCLCIFARFEKFVDIWIMKRYDNEEPWSKLYRVPYMHDLAQLYHAPYLHDLDLNPNDDALYITEDDQVLMYYRNLVQLMFTVYDSKSGTFNMSVPQDINGYFNPEVYVESLISPCP >RHN55684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21088105:21091372:1 gene:gene30905 transcript:rna30905 gene_biotype:protein_coding transcript_biotype:protein_coding MPVILHDALWPYRTAYKTPLEECKDDGDCPTNMCLPSLVSKCINFICECTHSMSTD >RHN76420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50108380:50108977:-1 gene:gene12743 transcript:rna12743 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGLLHHNGKAFPGKKVVNPSKSQKNLKVEDEEHHPTSVISLASETMEQCTLSPKSHHYAYMG >RHN43742.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48117202:48117416:-1 gene:gene50363 transcript:rna50363 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAPSWLVFEGVDLVPHRLDWKLVQIPHCHASCPLGMGLSVRGLFCFSSFS >RHN67731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29829451:29830907:-1 gene:gene15952 transcript:rna15952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MWPPWKKSTSSPFSCSSFKDIQTLFSEEPTTINKPKKTSIFHRVTLANSLLRAWSNQPKLTYTSSPLSPNDPRASRAAQPSLIPSSQQRVVIYFTSLRVVRTTYEDCKTVRSILRGFKIHLDERDVSMDSGFLSELRLVTGHKTGLKLPRVFINGRYIGGAQEVTWLHENGELKKLLEGLPVADSLVCHVCGDHRFVLCGECSGARKVYAEKGGFKTCMDCNESGLIRCISCSC >RHN76357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:49661057:49661712:1 gene:gene12668 transcript:rna12668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MSSFIFNCFIIFMAATTFTCVQSAKQFQVGGRLGWREPEPNNTAFYTQWAERNRFQIGDSLVFEYENDSVLTVEKFDYFNCDASQPITTFTNGKSTLNLDRSGPFYFISGTDEHCSHGQKLLVEVMAPHPIPASPPTTISNPPEGSSPIMAPANSPYSSDSIEASSSSMVVTSSFMLTLVTFGIVMMLAL >RHN67661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29030161:29033363:1 gene:gene15869 transcript:rna15869 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MSSVACLNRESKSDLRRNVADYQPSVWGDYFIQYASESMELDENIKVQIETLKTDVRKMLVSKSEKPLAKVHLIDSICRLGLNYHFEDEIDEILQDIHKNYVQNGEIITFEDNLCSLAVLFRLLRQQGLHVSPNVFYKFKDDQGNFSERLIRDVEEMLCLYEATHVMIHGEGILEEALAFTTTHLESIAKQLSHPRAVQVKHSLRQALHKNLPRLEARRYISIYELDPSRDENLLILAKLDFNILQTLHQKEFGNLCKWWKESGVCNKLPFARDRIVESCFWSLGVYFEPKYSKAREMMTKLFVIATVIDDAYDAYGTIDELELFTDAIERWNINCIDNLPDYMKILYTTTLELYEEIEQEMRNEGRVYALNYYVKEFKKYLQAYMTEARWLNKKYKPTLEEYIRISIESSGYALVTTTSYIGMGATATEDIFKWISNEPKILNASVVLCRLMDDIVSNEFEQKREHVSSFLECYMKEYDVSREAAIQEGRKRILDAWKDINEECLMPTEVPMNFVRRILDLSRFMDVVYKDKDNFTHTEGEMKTFIKALLVDPVLI >RHN67931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31589033:31601193:1 gene:gene16191 transcript:rna16191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MRLEYALNVPKILCWKDQMASFLTDLAKTYVEKLINGVIAESSYICCFTCIANDFEEERSRLETENTTVKQRVDVATSRGEVIQANALFWEKEADELIQEDTKTKQKCLFGFCPHIIWRYKKGKELTNKKEQIKRLIENGKDLVIGLPAPLPDVERYSSRDYISFESRKSKYKELFDALKDDNSYITGLQGMGGTGKTTLAKKVGKELKQCKQFTNVIDTTVSLSPDIRKIQDDIAGPLGLKFDDCSESDRPKKLWSRLTNEGKIDQNEEKKILLIFDDVWDDIDFDKIGIPDNHKDCRILVTTRSLSVCHRLGCNKKIQLEVLSDEEAWTMFQTHAGLKEMSPTSLLDKGRKIANECKGLPVAIAVIASSLKGIQNPKVWDGALKSLQKPMPGDEEVVKIYKCLDVSYDNMKNENAMRLFLLCSVFREDEKISIERLTRLGIGGGLFGDDFDSYDDARNQVVISTTKLVEFSLLLEADRDQSILIMHDLVRDAAQWTSREFQRVKLYHKYQKASVEKKMNIKYLLCEGKPKDVFSFKLDGSKLEILIVIMHKDEDCQNVKIEVPNSFFENITGLRVFHLIYDQYPTIPLSLPHSVQSMKNIRSLLFERVNLGDISILGNLQSLETLDLDDCKIDELPHGIAKLEKFRLLKLESCEIARNNPFEVIEGCSSLEELYFTDSFNDCCKEITFPKLRRFNIDEYSSSEDESSSKCVSIVFEDKFFLTETTLKYCMQEAEVLRLRRIEGEWKNIIPEIVPMDQGMNDIVELRLGSISQLQCLIDTKHTESQVSKVFSKLVVLKLWNQHNLEELFNGPLSFDSLNFLEKLSIQDCKHLKSLFKCKLNLFNLKRLSLKGCPMLISLFQLSTVVSLVLLERLKIKDCEGLENIIIGERKGKESRGEIINDNESTSQGSIFQKLEVLSIEKCPALEFVLPFLYAHDFPALESITIESCDNLKYIFGKDVQLGSLKTMELHDIPNFIDIFPKCNRTMTSSIKRSSSISGDASKPQEQSEPIKCNMFSWTDIYCCGKIYGHRLRSTTLVSKDQPQDNLMKSTFPPLKELELNNCGDGKIIKELSGNVDNFLALERLMVTNNSKVESIFCLNEINEQQMNLALEDIDLDVLPMMTCLFVGPNNSFSLQNLTRIKIKGCEKLKIVFTTSVIRCLPQLYYMRIEECNELKHIIEDDLENTTKTCFPNLKRIVVIKCNKLKYVFSISIYKDLPALYHMRIEECNELRHIIEDDLENKKSSNFMSTTKTCFPKLRILVVEKCNKLKYVFPISISKELPELKVLIIREADELEEIFVSEFDDHKVEIPNLKLVIFENLPSLYHAQGIQFQVVKHRFILNCQKLSLASESTPDFENDISASDFGYDFELFDYWKTLFQQLQRETKGHDSGNENPSPQTTKDFVVGIEVQTASEHKLTSPQIKMKETPQTENELIENAPDLEIPTNLKELMNERSMDQQRSLGETDTAVKPSQGIEISAEEGTTSANAKTITTSLGPLSTSKHKTSSQEYGGGEMAISSPISITRPLTTQEVHVNNLQETSNTNDDQVSLNEDAVVKVTSIVEEQFSKDVEFEVLESKPALIIPSPQAFQSPSMLSGGDPSQLDEELEEMEQTLETKHEFVENVPQQEMPSVAIIPTNSKELMNEQEMEQKRLRGEIDATVKPSQENNVSEISVEEGTTSANAKTKTSSQEEGDNQRAIAYTPIDITKPVTTQDVDVEIWQETSNTNDDQVSLNDDNVMKASSNTEDQFSKDDNILVSKSRPSSIASQFPSKPSEGDPSQIDEDLSSSFVVTGELENLVSNNHLAIEKLSLLTDFLVKHPSVLLRDTSLSSRYKGYAYNSLAELLKFLQTHSVLDVLGSSNSEFVELLQDVRRFPFDKEWLDDVEMRALFPDLQFSQNALQKLLDSEQRVTKEVEEMRLKINIFNQHLEDLKHQLTSSEADLETIIQQKAKILETKAALSAPLGY >RHN63145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48840986:48841978:-1 gene:gene25812 transcript:rna25812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative meiosis arrest female protein MPVLFSTDSFGDDVETFVFSSRGSRLISRSVSREDLAHRLQRHVPRFFMSLTENEILQLVELLITKFKWLKESPSEAFPFILTQSVRFRPKKRKTSIY >RHN61638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37073585:37074500:-1 gene:gene24122 transcript:rna24122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MEVTFSSTTGKIPSLPITKSITGGRNSCSISIARCNKFVQLSFSSTRDRTNKSNLFKVLSTEKDHVQVVEGSGVDEIYDTLVKRILPPASMSLNPNYKVFVGLAGPPGAGKSTIAHEVAKRINKLWPEKTSSFDSQVQPPDVAIVIRMDGFHLYRSELDAMKNPEEAHARRGAPWTFNPTRLLTCLKNVRVHGSVYAPSFDHGVGDPVQDAIFVNLEHKIIIISSLFDEKWFIDIDIDKAMQRVLKRHISTGKPPDIAKQRIENNDRLNAELIMKSKKNADIIIKSVDF >RHN80839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41185143:41191112:1 gene:gene4807 transcript:rna4807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MEMGSSSRKKKKQQQHDLELEKVRLISLALELGFDELAANQCFDRLVSLYGDDGRDFITVEHCGDDFLAALGESVQNTEEWDDLHEMESQAVGTLNHVLDTRGDGSKCFIDIIDDSPKKQGNKFVELGSSDDEEDTNFDVSRVQHPTNLVNGRKGITQGSVSSTSRKIKSSAAKDRNSTLSYEELKTLDDIELANVVIFGNKSLRPLQHQACKAALAKQDSFILMPTGGGKSLCYQLPATLQPGVTVVVSPLLSLIQDQIITLNLKFGIPATFLNSQQNASQAAAVLQELRKDKPSCKLLYVTPERIAGNQSFVGILKCMHQKGQLAGFVVDEAHCVSQWGHDFRPDYRGLGSLKQNFPRVPVMALTATATHPVREDILNALRIPHAIVLERSFDRPNLKYEVIVKTKEPLKQLGQLLMDRFKSQCGIVYCLSKSECVDVSKFLNEKCKIKAAYYHAGLAARQRVAVQKKWHDGEVHIVCATIAFGMGIDKADVRFVIHNTMSKSIESYYQESGRAGRDNLPAVCIALYQKKDFSRVVCMIRNGQGYKKESFKTAMAQAKKMQQYCELKDECRRQTLLEHFGESFDRKNCKYGSSPCDNCLKTAS >RHN63288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50090879:50093481:1 gene:gene25972 transcript:rna25972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative voltage-dependent anion channel MDKKPVNSFPTHFMDIHDEVLSEGDEEGTIKNEENEEKTLNKPTTRARETKRNHRSINRQFSLETGFSVLNRERKGKDDRKVLTRSGTSLGGANRFGLEGHKRDFSIFKTKSTLSKQNSLLPRKIEKELESQKINGASGVDDDSVNASVPAGRYFAALRGPELDEVKDYEDILLPTDEQWPFLLRFPIGCFGICLGLSSQAVLWLNLATSPATRFLHISPDISFLIWLLSLAVLIAVSITYILKCIFYFEAVRREYFHPVRINFFFAPWVVCMFLAISVPSRIVPQQTLHPAIWVTFIVPYFLLELKIYGQWLSGGKRRLSKVANPSNHLSVSGNFVGAILASKVGWKEPAKFFWAVGFAHYLVVFVTLYQRLPTSEALPKELHPVYSMFIAAPSAACIAWQSIYGEFDGISRNCYFIALFLYISLVVRIKFFTGFRFSVAWWAYTFPMTTVSVATIRYAEAVPAYITKGLALGLAFMSSTMVSVLFVSTLLHALYWRTLFPNDLAIAITKRKQGGEKKPLKKAYDIKRWTKKALTKNSENKDNSKNNGYNSQCDNNSVVA >RHN55572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19583161:19584589:-1 gene:gene30773 transcript:rna30773 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MERRILRSTKRRRIYEVKNDEKAENGEIDDMLSNFPDGILLHILSFLDAKYAVQTCVLSTRWRNLWKLIPTLILHSSKFSTLKQFSKFVPKILTLRDTSISLHALDLDRHGHIEPRILKKILDYVCSHNTHLQKLEISLRGDHRLIMRTVSSCHALTSLKLSVWNRGGSCDNSGTRFPKSLNLPSLTNLNLKEFIFSCGENNCAEPFFGFTKLNSLVIDCCSIWDAKTLKISSETLVNLTMHKNIFYIDKIELSTSSLCTFTYTDCFIPRICGTGLASVKQFYVVVTMGQYLEKPGMVLRSWLLDLANVTSLTISSTTLQILSLVPELLELKLPSLCNLKSMEIKLEPLERSRGLFNKVKDEMLKKIAAKSMKQVFELRRAGLKPPPIPDGIVAFLLQNSPSAKVHITTKYPDIFKIKQVVVKKFIVNLII >RHN60359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25798015:25798551:1 gene:gene22664 transcript:rna22664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MAPTFSTPIKIISSILLLITIIITNGQAPNQTSTLVFYLQDVGRGFNATVMPVIGINGRVWSYHSFGTIFVVDDPVMLSPSPFSTQIGRAQGVITVTSLDGANVNIVLSLVFNNGEYSGSTLEIQGNSRQRENSRELSVVSGTGRFRYARGFVVFETMSYDAPSERSVIRLTITLALP >RHN44807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8695263:8696029:1 gene:gene38972 transcript:rna38972 gene_biotype:protein_coding transcript_biotype:protein_coding MYTDEKHISIFWSKLHISSTGLEEDVILMPCVPGEKVCIQRPKGVKDEMFHMYVVVLEELGAFIRGFEVLCDALDLEPSIGVFFHFYGTKGVEKLSWVSINAHPYKKLFPTYSSNFKKDWRETFVRVQGENGCVETSVKVDGVLRFPLCWTSVPVYVVGFDFDKMMPYEHGVVGFLERMLLMDIHELLNRESDPESIEMYLHEYLPLFLLFISFSVCLLIASLLLFW >RHN58261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:375211:378997:1 gene:gene20153 transcript:rna20153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acid--thiol ligase MTIQTMEQELIFKSKLPDIYIPKHLPLHSYCFENLSKYGSRPCLINAPTAEIYTYYDVQLTAQKVASGLNKLGIQQGDVIMVLLPNCPEFVFAFLGASFRGAIMTAANPFFTSAEIAKQAKASNTKLLVTQACYYDKVKDLENVKLVFVDSSPEEDNHMHFSELIQADQNEMEEVKVNIKPDDVVALPYSSGTTGLPKGVMLTHKGLVTSIAQQVDGENPNLYYHSEDVILCVLPMFHIYSLNSVLLCGLRAKASILLMPKFDINAFFGLVTKYKVTIAPVVPPIVLAIAKSPELDKYDLSSIRVLKSGGAPLGKELEDTVRAKFPKAKLGQGYGMTEAGPVLTMCLSFAKEPIDVKSGACGTVVRNAEMKIVDPQNDSSLPRNQPGEICIRGDQIMKGYLNNPEATRETIDKEGWLHTGDIGFIDDDDELFIVDRLKELIKYKGFQVAPAELEAIILSHPQISDVAVVPMLDEAAGEVPVAFVVRSNGSIDTTEDDIKKFVSKQVVFYKRINRVFFIDAIPKSPSGKILRKDLRAKLAAGVPN >RHN71886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3365147:3368029:-1 gene:gene7533 transcript:rna7533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SAP domain-containing protein MMVTTLSLECHYDYFHCGYDEIEEHALNVESCVQVLRILNTKADVEIEKLENDLLCLENDLACIEHENWPEICCSALTKRIDQLDAAVTTLKSDHTDYVAMQVLLHSKPAETLHEIMKALLEDHRQDSHGKHLDENILNVTEHAPDNGIIREGGGEDFKTSENSQSSELLFELHVKGSDDPEKPEEFLAISLVRSPDAGVVGYVPYRSEKMVLSDTLDEDVRQSQFATTDTCDQSLNLVLPKEENVCSDDYRLANIEGMNMYSHSRFGIGQQEESENSDLANNLHDFVPKTARRAYGEEYNAAPDEDLVPLQTVYPLNFCFSDTESEFDVNFSKSFEHFPSKLKAREKKKPEFEACLAREPLNSPTSVIPSTSMNVSTKRQRKPETWTAYTVLNEPTNSKITWRAMQPGQDEIDGNDVVLYDSDYGSTKRQRTLKSRPAGTIVIDDNAIVLYEGGITNSDRVPTDNASQYNGKELSLVDYHNERSQTLMKETLVVLKSMAKKHKIKGYYKLNKADLVEELVKQFSSR >RHN77214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5119538:5121528:1 gene:gene643 transcript:rna643 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKGQNQNMFVRIMCSPIRALGKARDAYVRSITNCGQNMSYGSYPMDGASKFSLSRSQSAATSRRSSASLEGNEDFAELVRAASARTLGNRIDVDLVLKQQHQQQQQKLHKGLPKSSSVGMAKIDEDMPFDSFVEGKVGFVADSYPRSKSYAVGHRRNVAF >RHN80805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40974509:40981575:-1 gene:gene4772 transcript:rna4772 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MLSFLCDSEQNKHRLPFFAYLLAYTSLSGKKMSGRSSRTLYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPKPPGYAFVEFEDARDAQDAIYYRDGYDFDGYRLLVELAHGGRGSSSSVDRYSRHSGRSGSRGVSRRSDYRVLVTGLPPSASWQDLKDHMRKAGHVCFSQVFRERGGLTGIVDYTNYDDVKYAIRKLDDSEFRNAFSRSYIRVREYDRSHSRSPSRDSRRSYSRSRSPYVSRSRSCSLSHSYSGRSRSLSPKAKHSRRSFSLSRCSLLRRPGNCMLGS >RHN53295.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:755302:756213:-1 gene:gene28186 transcript:rna28186 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIVALLLLVCLVVVGNVEGGSYPEEECFNNCHRELDEKYSVMYVNATCMFRCVKWTASKTEVKSGSRKNGPLETPTVNPNSRSITN >RHN74311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31762328:31767080:1 gene:gene10350 transcript:rna10350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP 3',8-cyclase MWRYFSKFIHSPISRFNPSKSNSIPVNFEMGSYCATRICNLQTLFKGKSSGYSTVTSCDSFSEEKPKDNSVSDMLVDSFGRLHTYLRISLTERCNLRCQYCMPAEGVELTPTPKVLTKIEILRLADLFVSSGVNKIRLTGGEPTVRKDIEDICYELSSLKGLKTLSMTTNGIVLARKLPKLKECGLDSLNISLDTLVPAKFELMTRRKGHEKVMDSINAAVDLGYNPVKVNCVVMRGFNDDEICDFVELTREKPINIRFIEFMPFDGNVWNVKKLVPYSEMLDTVAKRYPSLKRNQDHPTDTAKNFMIDGHKGQVSFITSMTEHFCAGCNRLRLLADGNLKVCLFGPSEVSLRDPLRNGAEDHELREIIGAAVKRKKPSHAGMFDIAKTANRPMIHIGG >RHN54771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12061037:12063695:-1 gene:gene29854 transcript:rna29854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MMKSTSVASDGIVHQVLTKDNYERWKIVMQNYLQGQGLWDVVIQNADTRTDQVSKKMKDGQQALHFFQLSSCKRDFLETVKKSGWNNFTNQNGPDSRATPDLEQGQGLLGVIQTVSEFETDASWKIKDVKALHIIQLSCGREIADEISHFKTAKSAWNHLGSLYGKDLTAGADHEQDVDHIHSPKNKELFWKVMRGTPIGNIGMDSDVYMTSASRSSLLHAAVIAGNVENVELLVKVGKDKLICMQDEHGDTALALVARYTGNTDIAKCMVEEIKGLSETLLEKENNDNVIPILLAAANGHKELTSYLYSKTPPNPKCSTN >RHN51199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15007117:15007365:1 gene:gene35590 transcript:rna35590 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L16 MSRNVRRGGKIWVCIFPDKPVTIRSTETRMGSGKGSPEYWVAVVKPDKILYEMGGVPENIARKAISIAASKMPIRTQFILSE >RHN73063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13154239:13160463:-1 gene:gene8841 transcript:rna8841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MENLCNFGDLSFNIVEDKLSSSNDIFWETNKLSEMKNVKFSVEEDLGDYNGIESLCSNFGFFQDDPSQEDELLLSTDQQKYHQQPYQDYESFDNLHFDMVQFDEQQCPTKNPPLCDTKKDQQFYQTPPIEILKNYGKGFKRLSPDEGKIHHPENESKLSTEDIMKIAGTRFIQSSSSSKSASGLILNHPFGFSFSGLSDEEKENVSLAESLLACAEKVGYQQYERARKLLSQIESLSSKTGNPVKRVVHYFAEALCQRIDKETGRFSVSSNNMQKMESLFDPQEVSKDLNPAMIALYEDLPFSQVSIFTCVQALLENVNDAKKIHVIDLEIRKGCQWTILMQALQSRNECPLELLKITAIESGNSDTSKHIVEDTGKRLKDFAQSLNIPFSFDIVVVSDLLHIREELFKIDSEETVAVYSQFALRSKIQQPDKLETIMRVIRTINPIVMVVAEIEANHNSKSFVNRFIEALFYFSAYFDCFETCMKGDEKNRFILESMYFSHGIRNIVAEEGAERKSRNVKIDVWRAFFTRFGMVETELSMKSLYQAELVAKRFACGYACTFDMNGHCLLVGWKGTPINSVSVWKFI >RHN49610.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55909132:55913534:1 gene:gene44459 transcript:rna44459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MGDHNNKFVPPTMLRVLLVEPDDSTRHIISALLRNCGYKVAAVRDGLKAWETLKNKSLDIDLVLTEVDLPSISGFSLLTQIMDHHNCKNIPLIMMSSQDSVSTVFKFMLNGAVDFLIKPVRRNELRNLWQHVWRRNTTNKLDVAAENNAASNHSSGSVASTHKNIECSEKNSEPHDNSIKYERESAEYKDVTGEKSTTIASKAAGCDKISTGLRLGQNYDYSETENRDEVLGTELSKAHPHINTKIHQSNNELEDHSAGAIDLMATFDKYPKNNHANCSFSGGNTAKFDFDTQFELSLQRDSPGSPPKPTTEERQILNHSNASAFSWYGSSMVLQPLFPTKSSHESQKLSENINTTHHYDGKKQKQENITYLVIGQSGQVDTKCQLEFFPATGATSDNKSMEHNNVLHSMFNAQSGMHPTWTPKSVFQKESSPFPTSISSHSNPKSQNSEPHHWSDDATYTCDQSNNDFAMHESPSNGQSCTSFYHDAESHNASGVCEGLGSVSDGNAPSTIVGKNNLESSMNNDHHDGLRDTSSHRTSQREAALTKFRLKRKDRCYDKKVRYESRKRQAENRPRVKGQFVRQVQGEVPVAETRGY >RHN76679.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:347885:352004:-1 gene:gene42 transcript:rna42 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MHIEAPENYGPEDKNFDDDGRAKRTGTWLTASAHIITAVIGSGVLSLAWAIAQMGWVAGPAVLFAFSFITYFTSTLLADCYRSPDPVHGKRNYTYTEVVRANLGGRKFQLCGLAQYINLVGVTIGYTITASISMVAVQRSNCFHKHGHQDKCYVSNNPFMIIFACIQIVLCQIPNFHELSWLSIVAAVMSFAYSSIGLGLSVAKVAGGGNHVTTSLTGVQIGVDVTATEKVWRMFQAIGDIAFAYAFSNVLIEIQDTLKSSPPENRVMKRASLIGILTTTLFYVLCGTLGYAAFGNDAPGNFLTGFGFYEPFWLIDFANVCIAVHLIGAYQVFVQPIFGFVEGQSKQKWPDSKFVNGEHAMNIPLYGSYNVNYFRVIWRSCYVIITAIIAMLFPFFNDFLGLIGSLSFYPLTVYFPIEMYIKKTNMPKYSFTWTWLKILSWLCLVISIISAAGSIQGLATSLKTYKPFRGEQ >RHN41574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31175171:31181867:-1 gene:gene47908 transcript:rna47908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative caffeate O-methyltransferase MDYRGVLGFQVDMANLFNVKEEHELEDEESFLYAIQLCNSMVLPMVLHSASQLGVFDVLQKAGKGAQLSADEIASRISCSNPDAPKMLDRILVLLASHDVLKCLIIQDEQKLGTFHRLYSMTPVARFFAPNSDGVSLGPLLALGQDKVFLASWSQLNNAIREGGIPFNMVHGTHAFDYPSFDSRFNQVFNTAMINHTKIVMKKVLESYNGFKDIKRLVDVGGGLGVNINLVTSKHPHIQGINFDLPHVIQHAPSYPGVEHIGGDMFKSVPKADAIFMKWILHDWSDDNCLKLLKNCYDAIPNDGKVIVLEAFIPIIPDNDYASRSTSQLDVLMMTMNPGGKERTKQEFMDLATKVGFSGIRYECCVCNFWVMEFFK >RHN70576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52417535:52424365:-1 gene:gene19169 transcript:rna19169 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDQKELEEQLIQAGNKLADPPSSVDELLSLLNRLESYLAKVEQAPRTSMRTALAPCMKELVGNKLLRHPDPDVKAALAACISEITRISAPDTPYDDDQMKEIFQLIVSSFENLHDKLSRSYENRRIVLETVAKVRSCVVMLDLDCDALILEMFQHFLKTIRDHHPKDVFSSMETIMTLVLEESEDISFDLLSPLLESIKKNNEEVFPIARKLGERVLESCGSKLKPCLVQAVRTLGISLDDYSEVLGSIFQEEAKAIKEAAQSPKDNRNGNRNSKSVTNDGVARVGEDEEFNDLDGRKVEQKLEEATKKSRRKPSHSTKSAKPSEKMIDSGSHRKKVLSSSHEDQEVAYAVTSRRAYNVESQVMASPSPSDSLPDENHSEKLCKAETKDSPSNVEVAEAVSKKASEGASISKAKPVKQPVRKVLGQNSGVKKTAGTDSGKKQSGSASGADAKKHSVKKLDDNEGGGGSSSRQLVDKKKRGQEEMVSSPRSDIKSSEDEKLEETTMTNGKRKHASGRKNESDIMEHDQNLVGVRLEVWWPKDRQFYKGVIESFDSRKKKHKVVYDDGEVEVLNLAREKWNVIEADSDADEEEGSDRGSLDASIEMPARKKTKPSSDEPTKQGMPGLSSSGGASGSSKSKGVLKFGQKSTGGYKSNESRTVSDSEDEVSRKFKDSTPKSGSSKSATQTMTGKSKNIGGSKTSKRKDDDTIMPKPSVKSKQETPKSGITKQKTPKTDASEGKPPKGVEKSTVDSGGKGKSNSLKKKDLEDDDSESDVSASEEVEDTKGKTSGDAMNGKKRQRS >RHN62261.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42120775:42121371:-1 gene:gene24816 transcript:rna24816 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDGIPTPAPTPHVQYSNAARLLFLGAETVSASSARDPIFVMQTAPPAKATLGDFILSPITTTDENLGPNKGKVTQSAKVVNNSEACRKSEKILSKLWADDLDTDQAFDNTLEPDTYTEQQQALLAAHLEVHKFLSQPTDTVKKGKRGRPRKPKSPKAFSGTKSKHKTATDPIESGSDAVNTRSKHGVIKSNPKYGD >RHN60854.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31002075:31002659:1 gene:gene23241 transcript:rna23241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MEPFTRDHRGSLEVFNPSSSDDNNNENPNPINSWNTWTTNSRPNETPQQPTRDSINSDEVPVATSWMALKETTSPSVSNEPGSAAAQRAAEWGLVLKTDSETGKPQGVAVRSSGGGSRRDSNNSMRSSGESSDEGREFRGIPRVSEDLRDALSAFQQTFVVSDATKPDYPIMYASAGFFNMTGYTSKEVIGRNW >RHN73803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20007306:20007746:-1 gene:gene9670 transcript:rna9670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MSMVTITASYTVIPEEPTPQGCLWLSDMDQVVRPRHTRTIYIYKPKQNTGKAIEIKILVHYYPLAGHYCYTRGGRRELNRNAKGAILLEVETTKTIHDYGDFSPSDSTMELVPKIDYSQPVEDIPLFLVQLTKFQNKDEHLAISIA >RHN74632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35351654:35352018:-1 gene:gene10735 transcript:rna10735 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFFSHIIVSFSQQPLFSSLSSRLPFSSFSLPNSYMFSFFTTVLPFLLQTLSYAYPFFCCFNEEK >RHN44756.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8156074:8156281:1 gene:gene38917 transcript:rna38917 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTVIRPGRGLPHPTKLQSLQQSVPLSQSERRPLGPPKWSLILRRVALSLALQENSTSSAFDVEK >RHN41608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31455142:31455983:-1 gene:gene47950 transcript:rna47950 gene_biotype:protein_coding transcript_biotype:protein_coding METKIPAKAVTATKVLVIENAAEGASAPGCPGVAPPGPDAGTFEPGAGGEKVFGLGLEPGEEEVEGETAGEGVGDFVEGDGAGAEFGDNCVGAGAGACARHDVAKSPNITNNLIDAKPMLYMFFEREECLIRTKK >RHN40139.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13590448:13590774:1 gene:gene46249 transcript:rna46249 gene_biotype:protein_coding transcript_biotype:protein_coding MDGISHSNNHVKMSTIFFHFTCVIPIHSNQTNVAMLSPNLMKSIKKTMSKAIKHKWLKMKKKEDERCLWKKTILMGEKCQPMQFPGAIFYDDKGNRLFEPPRSKTNRP >RHN53795.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4197515:4207651:1 gene:gene28741 transcript:rna28741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L19 MASQVLLQALFSPIQCPPSKLCFTSSCTASTFGSRNLPLISTSISRRCSPLIAKPSFVVRADSNSDAVSASDNVDENPEASDNVDEVPETEGEQILDSASEVEESKPPRQTRVKLGDVMGILHKRAIAASDEVRTTPDLRTGDIVEIRLEVPENKRRLSIYKGIVISRQNAGIHTTIRVRRIIAGTGVEIVFPIYSPNIKEIKVVKHRKVRQARLYYLKDKLPRFSTFK >RHN80995.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42471363:42472336:1 gene:gene4983 transcript:rna4983 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NPR1/NH1-interacting protein MEKKKNEVRNDLNDKQEEEEEEMEIEKFCSLLRSFRDARDRLRRRESELHDQLEKYESNKKKMKTEPPCFQLQDFTTDIQFRKLPLNFLNPVANYTIVDNNKVEKKEHTLDLKLAL >RHN78228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12959798:12961085:-1 gene:gene1762 transcript:rna1762 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MSLHHPEEFLKIVQNHELHKGELRVPKKFVEKYWKRIPNPVILRLPNGAQKKIFWVERDGNIWLKRNWENFAKSLKRGYALVFKYIGGPYFKVKIFGHNTLEKDYSNIKFIDESCEGREEVQEVVELSDDNVNSAGTSQRRKSGKRKINQQKIKGSKRGMIKKTKKCSTISERDNNENPSFELDLSQSYAHGYTLRIPSDFSRTYLNENLKGSSASIRFGENMPMKVKVMFQDVKYNRTCFVTNGWKPFAKKYNLQVGNVCKFVMTQLHPLSFTITITQAKGFSFLLYLLKKNVFIDM >RHN77970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10955957:10959763:1 gene:gene1483 transcript:rna1483 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLRLALRRVLSSSSSRFITGSASKPSLSIWRRKKELGKEGLIITKELKRLQSDPVRLDRFVRSNVSRLLKSDLVSVLFEFHRQDNVFLSMKLYDIVRKEIWYRPDMFFYRDMLMMLARNKRVDETKRVWDDLKGEGVLFDQHTFGDIVRAYLDSGMPSEAMDIYEEMRQSPEPPLSLPFRVILKGLIPYPELREKIKDDFLEVFPDMIIYDPPEDLFDDHEKHDDESNCDIY >RHN58893.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5769801:5770232:1 gene:gene20849 transcript:rna20849 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHPRNPCEALCAKLFLNVEPSSKVFVCDSCNRFTTFQNLHCTCGKPINKQPKNLDSDGQGNNAQNGVFVRENGSLFLVSDDLKIVTDSLLSSVQMLIESWYPDLTQLEEVTHNIGKNEVIAVCVVFCLMSLFPFFLFLIKI >RHN63349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50580474:50584089:1 gene:gene26036 transcript:rna26036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding protein MGKASRWFRGLLGLKKTEHGSSSSDQKPPKEKRRWSFVKSYREEQQHSSHVTFPTEHVQNNRQAIAVAAAEVVRFNYSSNGIVRIREQWAAVKIQAAFRGSLARKALRALKGLVKLQALVRGHIERKRTAEWLKRMQSLLRAQARFNAARTMQTSHLNAKSSTLHLYGPATPEKSESPVRSRSMKSEHSPGLKRNGSKSCLSNGGNISENQLDEQSWNRVRSLIRTYSRSDEKSDRIVEIDSGKQHINTKRRNIFHSTSDPDYYYSQNLTPTKESKSHQFGQSVSCEVQSYYNPLKLNEVEENSFCTANNSPQLLSSATSKDDGYNRSPFTPTRSDGPRSYIRGYSDYPSYMAYTESAKAKVRSLSAPKQRPQYEKSSSSNRYSLNGHDMARLAAQRTSALQASFTSKGYPGSGRLDKLGMPVGYRY >RHN47855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43061692:43063883:-1 gene:gene42502 transcript:rna42502 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEREEGETHHLPIPTSPETHRRSICSNCRRPNSVCLCHALPAKPIPTTTRILILHHPQEAKHKLSTTPILTKSLLNATSITGRRLRRGKSPLLDHSPPAIYLFPSTTSSPAINISDFKLSELKRNGDDGLVLIAFDATWKHAKEMVKASEGYLSKFAVRVCLGMEDERVSGGSIYDSELILRKEPFGGCVSTMEAVARALRVLEPNGIEIEASLIGILKEMVRLQAGFLKPMNPRPKLLKMKKLKEVEECESSENEKL >RHN75681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44253865:44256946:1 gene:gene11918 transcript:rna11918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ULT family MSNGLERESGFTMFSDEDLRDIDGVKKIGECVEVMCGCTSHRYGDAVGRLRVFVNGYLEITCECIPGCEEDKLTPAAFEKHSGRETARKWKNNIWVIVDGEKVPLYKTVLLKYYNQALKTANGSHKSNNGQACHRDEFICCTRCNKERRFRLRTKEECRHHHDALADPNWKCSDLPYDKITCDDEEERGSRRVYRGCTHSAACKGCTSCVCFGCELCRFSDCTCQTCTDFTRNAKA >RHN78454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15280104:15280915:-1 gene:gene2021 transcript:rna2021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MASSMLTKVTCLAMICLVLSIPLANSAPSCPEVQQTLAPCGPYLIQPGPPPPPEPCCNGVKTLNDQARTQQDRRDVCGCLKSIVANPKLNLPAVASLPKDCGVDIGYVISPDMDCSKVN >RHN58684.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3944963:3945556:-1 gene:gene20617 transcript:rna20617 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MPTQHFLTFFFFLLFSCNTLTSTSSPSFEKEETYDFVRSIDPKLLHLNNQEKLSHFRFFWHDIMSGKNPTSITVVPLPLKLNTTTSFGLVNIIDNPLTLGPKMSSKLVGKAQGLYASASKDEFSLFMAMTFAFIEGKYNGSTITILGRNSALNKVREMPIVGGTGRFRFARGYAQTKTYQYDSQDTTVEYNVYVFYY >RHN65588.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3441833:3444526:1 gene:gene13442 transcript:rna13442 gene_biotype:protein_coding transcript_biotype:protein_coding MFECDVPFMMFTLIIVLKFLSWENGVLHYVLGRTLVLGQGGHVLNTLREERLST >RHN70542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52180239:52180805:1 gene:gene19131 transcript:rna19131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MEKKSILLGLGLFLCSITIQVNSEYHSERSIHSLHLKEKVTRLHFYIFDILSGNKPTAVEVAHPNITVGPKATPFGHVYAIDDPLREGPNESSKLIGNAQGLYLSSSQSENLTIVMYADFGFTTGKFKGSSISVFSRNPVSEPIRELAVVGGRGKFRLARGFAQVKTHFFDYKNGDAILEYNVTLLHY >RHN80267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36655478:36660103:1 gene:gene4170 transcript:rna4170 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHSRRESYPSASYDFLHQRHIETVIGEEDGLEWPFGNVESMSADDVRETAYEIFFTSCRSTPGFGGRQTLTFYSNHDNSGGGGDGGKPNQTVSKPTSRVKRALGLRLIKRSPSKRMAGGGVISSLPSSPVAGGSPLSHTPPPFRPRRPMTAAEIMRQQMRVTEHDDNKLRKTLMRTLVGQMGKRSEIIILPLELIRHLKPSEFSDSNEYHMWQKRQLKILEAGLLLYPSLPLENNSTFASRLRDIIDSGESRPIDIGKNSDTMRTLCNSVVSLAWRSNNGTPTDVCHWVDGFPFNLHLYTSLLQAIFDIRDETLVLDEVDELFELMKKTWSTFGITLPIHNICFTWVLFHQYIATGQIEPDLLSASYVMLTEVANDAKREKESLYVKILSSVLISMQSWAEKRLLNYHEYFQRGNASQIDILFPVALLASKISGEDLTITDGEKGERGDFTIVDSSGDRVDNYIRSSMKNAFERVLEAVNARYGEFERNKELSEVLLQLAHETEVLVMKERQLFSPILRKWHPTAGAVAAMMLHTCYGQVLRQYVSEVNSLTSESVQVLQRTGKFEKLIVQIVVEDSNECDDGGKTVVGEMVPFDVDSMILSLLGKWIDESLSKGKESLQRAKETETWNPKSKTELYAQSASELMKLVETIVDEFFQVPIAITEDLVQDLVDGLEILFLDYMKFVASCGSKQSYIPLLPPLTRCNRDSRFLKLWKKAAPCGSNNEGTYQINGTHESHNPRPSTSRGTQRLYIRINTLHYLMTNIHSLEKALAMNPGVVPSNRLRFTNNRRAQNNNNSYFESLNLSILAACRHVSEVTSYRLVFLDSSSVFYDRLYVGGVTRGHIRPVVRNLKQNLIQMSTILTDRAQPLATKEIMKASFDAFLMVLLAGGTSRVFHRHDHMALRDDFENLKRVFSNNVEGLIAENVVDGEAAVVQGVIALMGQSTEQLMEDFTIAACESSGIGMMGNGQKLPMPPTTCKWNRADPNTMLRVLCYRNDGAANQFLKRTFQLAKRR >RHN65641.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4021564:4022756:1 gene:gene13505 transcript:rna13505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEKARVETEPESLEGGLKANTCEECGASFKKHAYLLQHMQSHSLEESNLLDTKMIYKIIMDIIV >RHN80553.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39026272:39027499:1 gene:gene4492 transcript:rna4492 gene_biotype:protein_coding transcript_biotype:protein_coding MLASKGDCEMIDDISEGRKQFQTSSESAADRKSLRKDEESANVNQIRGREYGGNTPFRQFFKRMKSFEELSEGCIATIHSRTTPIDIGGFSVHSKTFRSAADSDDVWNCFLPSDISSILSQRPSLANIPTKKALYLALYDHPIIIDHGQKVQSYVVEFCYNSLNLVVPLLLLLWFYNWLLTILISILALILLGRIFLLVFNIRHFGFFFLKKLLIKRPTVTVHVDFTCVW >RHN54414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9376222:9377285:1 gene:gene29448 transcript:rna29448 gene_biotype:protein_coding transcript_biotype:protein_coding MASKYHVRSNSFPSQSHPSSTRIEQDLRKMKTWEATSTSTSDSITTGLSFLEDLYISLDDLLHMSSTQKAVSHHQGEKFVEELLDGSVKLLDICGITRDTMLQIKENVQSLHSALRRRKGDSSIETSVAEYKFFTKKMKKNVAKLITSLKQMESKFGASSLLNKNQDLVAVITVLREVIAMNLSIFQSLFSFLVGSSSKSKAAKWLKVTKLMQKRVTCEENMESINEFQCVEASLRTLISEGSNVAHERFEALENAIESIEKGLENIFRCLVKTRVCLLNIMTH >RHN76831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1634158:1634937:1 gene:gene210 transcript:rna210 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYEGVYICVKSGYEAKIKQEENTLCFEVEELKVMYMLHVSLWHIESIVLWGHSVFYNVITLHMIWGDPRACTMGLF >RHN48048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44518662:44520692:1 gene:gene42719 transcript:rna42719 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTDWPSPAANLSLVEQHIKKILAATGVDVPSLAIDEEYPATLPLPLAAFVSLSITYKLDKGKGRFLLLIGPTLNAVASVCVWPCMPILTSLWIQKVKRWRDYFLLRASGTVFHHNKDAVVQLLKSCFTSTLGLGSTCMNNNGGVGALLGHGLASHSPVAPGILYLRVYRFIGDIMFLNKAIMSILLLSVRDIASSDELPKGDVKKQLNKIKYGMKRGQVSLVRYMARVKQAALLGASLVWISGGQKLVQSLIRGTVPSWFLSADTLEQEDGETGVLVAMLSGYALAYFVMLSVAFAWGIDHSSVPPNRRAKVIAIHLDFLADTMERFTTLSCHHATWQAYVSGFVSLIVCCTPMWIREVDAELLKRLSKGLRQLNEDELALRLLEIGGIGVMGTAAEMIIESARML >RHN78601.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16575077:16579828:1 gene:gene2226 transcript:rna2226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative deoxyribodipyrimidine photo-lyase MNRTIVWFRRDLRIEDNPALAAAARDGSVFPVFICCPKEEGQFYPGRVSRWWLKQSLYHLDQSLKSLGAGLVMIKTDSTLKALLECVNAVQAKKVVFNHLYDPVSLVRDHNIKEKLVELGISVQSYNGDLLHEPWDIYDESGHAFTTFDPFWSRCLQMQMKTYSTIPPCQLIPAQGKVEKHSIEQLGLEDELETSSNALLSRAWSPGWSKSDKALTEFVENHLIHYSKNRLNLGVDSTSLLSPYIHFGELSVRKVFQLVRTKQILWKNEGNIVGEESATFFLRAIGFREYSRYLCFSFPFTVERPLLGNLKFFPWNTDPSKFKAWRLGRTGYPLVDAGMRELWATGWIHNKMRVIVSSFAVKMLLIPWKWGMKYFWDTLLDADLESDILGWQYISGSLPDGHELERLDDPEIQGTKYDPEGEYIRQWLPELARIPTEWIHHPWNAPLTVLKASGIELGQNYPKPIIEIDLAREQLTQAIFKMWEDEAASKASTSENKHEVVDDSENLSIPKVFLKDKAPRGATSSSNDQKVPILQNPKIDDPPNRKKQKCMDKEDREQDSLSNLSKRTDTGVSCVDQEVCSTAESSSKRQSSSTCSFYVP >RHN50111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4130799:4132157:1 gene:gene34366 transcript:rna34366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cleavage/polyadenylation specificity factor, A subunit MAYCIIPHRFRHHGRCRQVWNLPQDVSDEIEEDPTGGRIKWEQGKLNGAPNKVEEIVQFHVGDVISCLQKASLIPGGGECILNGTVMGSIGALHAFTSRDDVDFFSHLEMHMRQDNPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPTLPMDLQRKIADELDRTRGEILKKLEEYKII >RHN54767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12036288:12036618:1 gene:gene29849 transcript:rna29849 gene_biotype:protein_coding transcript_biotype:protein_coding MNVALHLLCKYKDLFSEAFRDLEDSNSVLFALAKTPSIFPSDSRFGLREQLIYDSKFSNLSFIMHVILPQRYCLVEIIKQSLAAASKT >RHN49035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51964423:51965004:-1 gene:gene43819 transcript:rna43819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase Rpc34 MNREINLPTTVLNKSLKALVEKYSMVKEVPNIQNEDIKHYMAAELEPSEDITGGHFYSDGKLDTGFIDALKKVCLKCIFMHKVSTCDGCLEWIKKSEIFNTEVTGKQIEEILQTLVLDDEIMQMTSAGYGDFAFIPVGKTCYICKSKGGVIGEKKSGYFTSFPCFSCERMSFCSPDGVVSPGACVYYQKWLDF >RHN41303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28994440:28998734:-1 gene:gene47610 transcript:rna47610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain, DYW domain-containing protein MVFFPLLYTTKISLFMIDRDICKMLPFHKLSRHISELQALLSSFNKSSQALELLAKALDQNPDIKTLKKLHTMIFYLNSHQNPSLGIKLMRSYAACGEPGLTRKVFDEMSDRNVVFYNVMIRSYVNNHRYDDGLLVFREMVNGGFRPDNYTYPCVLKACSCSENLRYGLLIHGDVLKVGLDFNLFVGNGLIAMYGKCGCLFEARRVFDEMIWKDVVSWNSMVAGYAHNMRFDDALEICREMEDYGQKPDGCTMASLMPAVANTSSENVLYVEKIFVNLERKNLISWNVMIRVYMKNSLPTQAVDLYLQMEKCRVEPDAITFASVLPACGDLSALLLGRRIHEYVEKKKLCPNLLLENSLIDMYARCGCLDDAKRVFDRMKFRDVASWTSLISAYGMTGQGCNAVALFTEMLNSGQAPDSIAFVAILSACSHSGLLDEGRIYFKQMTDDYRITPRIEHYACLVDLLGRAGRVDEAYNIIKQMPIEPNERVWATLLSSCRVFTNMDIGILAADNLLQLAPEQSGYYVLLSNIYAKAGRWKEVTEIRSVMKRKKIRKTPGISNVELNNQVHTFLAGDTSHPQSKEIYEELGVLVAKMKELGYVPETDSALHDVEEEDKEGHLAVHSEKLAIVFALLNTQEYQIRITKNLRVCGDCHIAAKLISKIVEREIIVRDTNRFHHFKDGVCSCGDYW >RHN68211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33703532:33709065:1 gene:gene16529 transcript:rna16529 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNNRHPYNNMDLVKICRYAVERSCGHLEDIEIVSFCNGDLLQTIVSWFIRTSKQNVTNQAYVEGSIWKDYILEVVSTLASYYHPPIFHRGEQEFRITMMEEKIF >RHN76811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1462684:1467953:1 gene:gene189 transcript:rna189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MDERSSKRGINGNSRDTSNTRDTANTRDRNDQTRRRAGCSSTANPPKVAQVRYVEKGKSLRPSGQSASSGKEVIGSSRRTAANPAKPLTKPERTLTSSRLQAEKEGTKSTKGILMEVGKSSGVSNLRSQRNFNQRPVLRQRENGSIGPVTQAASSKYGLRNLRCNTVSDVIPSCSSSDSTVNTRKTTLIKRRNSEGESSSTGKGKKMTAPSSEGLNFGSRKGISISDTRGSRNIPPHRDNSRASVRTVRSVSGYARGRFSSQGNENPRVTNESPVVSPISPHSIDLNSPVTEELYDMMPNSPEEYDIPHSLIIQDGSRRYDVDDMSEVLLALERLEQDEELTQEQIHLLETNLYLSELNIYDRHRDMRLDIDSMSYEELLALEERMGTVSTALTEEALSDSLKRSIYQSEPSDDAADYVNEEKDDTKCCICQEEYVDGDEVGRLQCVHKFHVLCIEDWLRLKNWCPFCKESASLSNSSSSP >RHN54725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11641557:11648021:-1 gene:gene29803 transcript:rna29803 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNHDPNPFEEEEVNPFSNGTGSKSRVPSVASEPLGFGQRHDATVDIPLETSNGDSKKKSQELAVWEADLKRREKDIKRREDSVAKAGVPVDDKNWPPFFPIIHHDIANEIPVHAQRLQYSAFASWLGIVLCLVFNVVAVIVCWIRGGGVKIFFLAVIYALLGIPLSYVLWYRPLYRAMRTDSALKFSWFFMFYLLHIAFCIFAAIAPPVVFHGKSLTGILAAIDVFSDHVLVGIFYLIGFGLFCLEALLSLWVIQRIYMFFRGHK >RHN51158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:14597372:14597926:-1 gene:gene35544 transcript:rna35544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MITSEIDSNFLLFILFCHGKFEIITIGGSFFPVKKESQCEVFEGLNVSLISDGNAFGGKLIDILIAASPVQVVLGSYPAGSNEEVKFDTKEPPKEDLSPPSAESQQKIESDPKEPKSLLGSFGIGLFWVRLDFLLTLS >RHN69420.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43293271:43298804:-1 gene:gene17890 transcript:rna17890 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MADHSESDTSPLVPPLPPVDPSSIDLEAGPSEQIQCRICLETDGRDFIAPCKCKGTSKYVHRECLDHWRSVKEGFAFAHCTTCKAPYHLRVHVAADRKWRTLKFRFFVTRDILSIFLAVQLIITSLAYLVYLIDGYQQNWLRILWGFDSALSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGVCADCHLPGTLCLWTDCTACFEGCGTMATECGGCLGGAGEAGLPLLFIMALIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEMTGSDWSPPALPTEHVQQLKTLGLL >RHN59997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:16267079:16268349:-1 gene:gene22177 transcript:rna22177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRKKNMAHILMFVYALIIFLSPFLIGRKGGPPGGRTYIPCISDDDCIVAQPPYVLLCVNNFCTYFRDDDLPQR >RHN72496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8078320:8084545:-1 gene:gene8215 transcript:rna8215 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLFILLLCARESHSSRVEHAEGPVQLDNHVGSMFPKIAPLGAPQGPQPFLPLLAPSPMTPFTNISIPKLSGLCSLNFTNAKSLLSVTAIDCWGFFAPFVANIMCCPQLEATVTVLIGQSSKHTNALALNGTVAKHCLSDVEQILMGQGASGDLRQICSISSSNLTEASCPVKHVNEFNDMVDTSKLLLACADIDPVKECCYQVCHNAILEAATAIASKGSHVLDLDASHDLPEHSIRVNDCRNIVLRWIASKLDPSHGKKVLRGLSNCNMNKVCPLVLPDAKQVAKSCGSGISNRTACCNAMESYVSHLQKQSFITNLQALDCAETLAMKLKKSNVADDVYSLCHISLKDFSLQVATQEYGCLLPSLPSDAIFDSTSGVTFLCDLNDNIPAPWPSTSQLASKSCNKSVNIPALPAAASGQSCLYSRHVLIFLLVNLFFLAMALV >RHN41240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28534538:28536480:1 gene:gene47541 transcript:rna47541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain-containing protein MAESRSMEPEKSAEEVVNDGGDNDIDILSSLPNTLLCHIISFLPTRTSVWTMSLLSRRWRHLWKDLQVFQFYCYRLHSTPFKKFILFVNTVLHLRNFRNIHKFHLDLTFHCSVFENSYLNFVHCVKSWILDAIGPQLQHLYLYIHIDSVIHLPSFLFINCSNLLSLRMLIDLSVFGKHCSLSGKISMEVKHSSLHFQSLKMLSLGTEIMDESKLLSFLSGSPKLETLQVYFYFQPAVSVTNALVPSSYQSSKPANDNFTWTYFDINEGYINLGIIGNFHTMEEAFLNVFYRFESEFVDPVLDQLLVPHDAINLRLRHSTSKFRTNILQSLVVIVYKQCSIHAPVLNYPEFHDLHHLKFILPCFNSNLLVHVLEKCRMLQVLIIQSNKEEPPPLRTWQLKSTTVSECLKSHLTYVHIEGYQGLEYELTFAEYILQNGLVLRTMLIFVDSLMDKTDKECSLKRLTNISKGSVTCQLKFDPTVSS >RHN75771.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44921178:44923054:-1 gene:gene12018 transcript:rna12018 gene_biotype:protein_coding transcript_biotype:protein_coding MASLANQVSFLFLLVLFLSPQIQARQNKFFSFFTHFKTTHNVEDPQLPQSPAPAPEPGAAPEIESTNIPSGPAPEPQFLVETGNGYGLYGIDSSQYSPTKETPKTTLTDFEDELLNEDFNDNKSYKKGYPQTNFHNNNEVYTKNYNNEENKNSYNSYNGKEFYNNNYERKGEGMSDTRFMENGKYYYNVNSENEKYNNVNGYESGRGSTENEGNYEKNQYQNEFETMEEYEKQQEAQGYTYTP >RHN50551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8063772:8064656:1 gene:gene34850 transcript:rna34850 gene_biotype:protein_coding transcript_biotype:protein_coding MHKEIEKVYETSQMHFPNDNRSVMPEITNLAAFPSFFFPYDTKVTTLRACLV >RHN45335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:17029852:17030254:1 gene:gene39614 transcript:rna39614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MFIGVFFVEKLLILGVGVNSTLEDKIKSLPQQPKVGFQQFSVHVTLDTHHRPLFYYLVEAEVDPASKPVVLYLNGGPGCSSVGQGAFSEHGPFLPTTKGTIWRRW >RHN81016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42630102:42630593:1 gene:gene5005 transcript:rna5005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H-like domain-containing protein MTRQRFFGSGAEWHVPPYSPSSKCSSVHLCDGVSYLIIMFSGAYERVPQSPVSFLNLPNYTFVGFGIKDNVAKLESNYGCGIINAVELGPLAATAMKKPRLAYIGVDELLSVVTGLSLRNQRPVRIFYDWENRKHFTELVKTATINVYSYQKIGRKLLAYDWE >RHN81054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42934078:42938265:1 gene:gene5048 transcript:rna5048 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATLITTAVVFLAIGVIFSPETFGSKSTTLSTYIKLAHLLGFSIAFGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFSMVGVCIAVTVASFGYLHPWKTSSTSQRYQLGFLISALAFNLTNLFVFTPMTIEMMKQRHKVERENSIGEEVGWSKNVEVAKKNPKLAKMNKKFGMIHGLSSLANIMSFGSLAIHSWYLAGKLDL >RHN44334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3623712:3625820:-1 gene:gene38441 transcript:rna38441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MGSYTNDKENHVVETLTPQIDDSGTLLAMIIGSNMVFPAVFKAAIELNLFDIIAKEISHESSVGFVSAFEIASKLPITQYSDLPNRLDRMLRLLASHGLLSISTRTNDDGSIVRVYAITPAGKHFVHHENEDNYMDAFTSYLNHPAFLGVWSNLKEAIIEPEINVFEKVHGIPIYEYFEKDQQINNLFNKAMTQTCAIHMKIILEIYKGYEGISTLVDVGGGNGQCLKMIISKYPSIKAINFDLPQVIEHAPPFPGIEHVGGNMFECVPQGDAIMIKLVFHNWSDEKCLETLINCHKALPSNGKVIIVDFISPEDLESTNASKMISIVDNMMFITAGGKERTSKEFESLGKHSGFSKFQVVCRAFSILGVMELYK >RHN61551.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36329687:36333447:1 gene:gene24025 transcript:rna24025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FF domain-containing protein MTDSRIHCGVLPLKKATEEKAQAMRDAAADSFKSMLKEQGEITFNSRWSRVKESLRDDPRYKSVKHEDRELLFNEYISELKAVEHAAERETRAKREEQDKLRERERELRKRKEREEHEMERVRLKIRRKEAVTSFQALLVERIKDPMASWTESKPKLEKDPQGRATNSDLDSADMEKLFRDHVKMLQERRARDFRALLAEFLTSEAASQETDDGKTVLNSWSTAKRLIKSDPRYNKVPSEDREALWRRYAEDMIRRQKSSHDSKEEKHTDARGRKSLESSKNPLESGRSHERR >RHN52643.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37340020:37341833:-1 gene:gene37337 transcript:rna37337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MATNESIPVESDPTPTPSPLLGDMDELKKVFNNFDANGDGKISVNELETVLRTLRSDVPQQEELRRVMEELSTDRDGFINLSEFAAFCRSDTTDGGDSALRDAFDLYDKDKNGLISTEELHLALDRLGMKCSVEECQDMIKSVDSDGDGSVNFDEFKKMMTAKVKTHTVNESVS >RHN57815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41871552:41872214:-1 gene:gene33404 transcript:rna33404 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCRFFVGLFALIGAFFVILFLCTLIINRTIQFPTFQVESASLNQLTGNGRKLGTEKMSAAWNITVSLSNPKQYLSVSYQDTLDAEIFYMDEYGGIITLNTSTIQPFNGSMIEMKLKVDSCEPHVTNNIYRSRREHGRVEFGLKLFTSIQFKKDIFESNWITLKVVCYPLSFVISQNVYNITTSAGILLQGVTCTRS >RHN51846.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26676511:26677424:1 gene:gene36391 transcript:rna36391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLRMRHTTTIVEFTRILSSIVKTKNHYTTVLSLSRQMEFNGIIPDLLMLNISINCYCHLHQMNFAFSVNTKIFKIGYLPTTVTLTTLINGLCLNGKVQEALNFHDHVIARGFRLNEVSYGTLINGLCKMGETRAALQMLKRIEGKLVDTNVVMYSTVIDRLCKDKCV >RHN77556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7693143:7697727:-1 gene:gene1022 transcript:rna1022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MIKLGQTLTQYRMLSNRIILRVMEIDLVLLSLLPILILFIIHIHKIKNTRRASSTPPGPKPLPLIGNLHQLDPSSPHHSLWQLSKHYGPIMSLKLGYIPTLVVSSAKMAEQVLKTHDLKFASRPSFLGLRKLSYNGLDLGFAPYSSYWRDMKKLCALHLFSPKSLHSFRPIRENEVAELIQKLSQYDGDEKGWVDRIKGTLGRLDKKFKELDLIYQQVIDDHMDNSTKPKTKEQEVADIIDIFLQMMNDNSLSFDLTLDHVKAVLMNIFIAGTDTSSAVVVWAMTALMNNPRVMNKVQMEIRNLYEDKDFINEDDIEKLPYLKSVVKETLRLFPPSPLLLPRETIESCNIDGYEIKPKTLVYVNAWAIARDPENWNDPEEFYPERFIISSVDFKGKNFELIPFGSGRRMCPAMNMGVVTVELTLANLLQSFDWNLPHGFDKEQVLDTQVKPGITMHKKIDLHLVPMKRKT >RHN63079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48218587:48220907:1 gene:gene25736 transcript:rna25736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MGLNNQDASNNSGLQLILGLALTLTKQDTPPSNNNVTKPYSSSNHNNYEAEPSLTLGLSTKSYYEEPLDFSTQTNSPHHSVVSSFSSGRVKRERDVSSELEMETTEMERVSSRISDEDEDGATAARKKLRLTKDQSAMLEESFKEHSTLNPKQKQALARELNLTPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTEENRRLQKEVQELKALKLVKPLYMPMPAATLTMCPSCERLGGGGGVNGGSSNKTNFSKPHFYNPFTNSSAAC >RHN61888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39150139:39151618:-1 gene:gene24399 transcript:rna24399 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MVSTSQKQHILVLFLLLALADITNVMSRKLYESPSLQERHEQWMSEYGKLYKDAIEKEKRFMIFKDNVEFIESFNAADNKPYKLSVNHLADLTLDEFKASRNGYKKIDREFATTSFKYENVTAIPEAVDWRVKGAVTPIKDQGQCGSCWAFSTVAAIEGINQITTGKLISLSEQELVDCDTKGEDQGCEGGLMEDGFEFIIKNGGITSETNYPYKAADGSCNTATTAPVAKITGYEKVPVNSEISLLKAVANQPISVSIDASDSSFMFYSSGIYTGECGTELDHGVTAVGYGSANGTDYWIVKNSWGTVWGEKGYIRMQRGIADKEGLCGIAMDSSYPTA >RHN49721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:594558:595111:1 gene:gene33928 transcript:rna33928 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSVTACVCVGLIHMSNIGYMDNMKFLLTTVNVWELIEAIMVQSGAAMSLWRRRWICTFASL >RHN57903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42506732:42507016:-1 gene:gene33494 transcript:rna33494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lyase MVHGEDILEEALVFTTTHLESITKQLNHPHPQALQVKHCLRQTLHKNLPRLEARNYISIYEQDPSHNKNLLILAKLDFNMLQSLHQKEFSNFYK >RHN66622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15184724:15192831:-1 gene:gene14655 transcript:rna14655 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting protein 26 related protein MNIIAGAFKPPCKISISLTHPTTRKQVRVKKENDQTVMVPLFQSQENIVGEVSIEPARGKKVEHNGVKIELLGQIELYFDRGSFYDFTSLVRELEVPGNLYERKTYPFEFSTVEMPHESYNGINVRLRYVLKVTISRNFVNNIVEHMDFVVHNYIPAPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVVIGKIYFLLVRIKLKNMELEIRRRESTGSGTNTYVETETLAKFELMDGVPVRGESIPVRLFLNPYELTPTYHNINNKFSVKYFLNLVFVDEEDRRYFKQQEIIVYRLLEDS >RHN62332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42678687:42681256:1 gene:gene24894 transcript:rna24894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription elongation factor 1 MGKRKAKTKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEASCGICQESFSTTITALSEAIDIYSEWIDECERVNTVEDDGA >RHN51532.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19491313:19492568:1 gene:gene35988 transcript:rna35988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MNKLVLKVDLYDDRIKQKAMKMVSGLSGVEGVSMNMKDKKITLIGDMDPVRVVSKLRKLCHAEIIMIGPAKEEKEEEKKEEPKKKVTKEELDDLVKAYETYYNEMKQPYPYQYYRSVEESPSGCVIC >RHN58999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:6502394:6502801:1 gene:gene20977 transcript:rna20977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MRRPTATKAKREQQAVDDQINNHHNRNNKEDENNLAECKHKKKLGSKKPKMRVTKPSEKFRFTFDWENTEDLYEDLNILYHNPDEARRLFGRGFRGRMDRCEQEKLAAKNFKEMHDQIKNKDDSIGGEAFNDLLI >RHN81119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43436375:43440770:-1 gene:gene5117 transcript:rna5117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative complex 1 LYR protein MTKGQVLSAYRAVLKATRKSFVGDHHMLKGSAAEVRKQFEESRNVTSEAEIQKLLAQANEAAEFVSTMLVQAPLNNDAGSYVVKPEKEHAGATLEIPSEEIIRKSG >RHN68349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34784532:34785046:-1 gene:gene16690 transcript:rna16690 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYKLSNNSVPKPTKGIFHHHSTNNVHTIYPLNASHSLLLYLLKHQRACMYSQTLLFYF >RHN38757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1815704:1816111:1 gene:gene44723 transcript:rna44723 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWRALRDQPRYGSQVGGNVDSGSSGSKRSYEDSVGSSARPMGRDAAKKKGKKKSKGETLEKVEKEWVQFKELKEQEIEQLKELTLVKQQKNKLLQEKTQAKKMKMYLKLRDEEHLDDRKNELLGKLERELFEN >RHN39713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9861276:9861575:-1 gene:gene45766 transcript:rna45766 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMDIYKEKLEVGSARNMYYSTSTLDLYIFLRIRKRRNSKPTLEEGVHHENPSIKIQKQQPSCLGSSGKELLPRTYPGEYRVRFPGGTTLGQCACLYT >RHN47934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43674689:43676713:1 gene:gene42591 transcript:rna42591 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MNLKSNNFLSLLVPIAAIFASLHLINPNPQFLTNFNYLNFTTLSPSSLYLGRDHHHRPHKKHPDSGSDGSICDDFPPGIPPPNTNTTSYLCVDRKGCCNFTTVQQAVNAVPDFSLKRNIIWINSGFYYEKVTVPKTKPNITFQGQSYTSTAIAWNDTAKSANGTFYSGSVQVFASNFIAKNISFMNLAPIPTPGAEGAQAVAMRISGDQAEFRGCGFFGAQDTLHDDKGRHYFKDCYIQGSIDFIFGNARSLYENCQLVSIANPVPPGQKNINGAVTAHGRVSMDENTGYVFLNSTIGGNGRIWLGRAWRPYSRVIFAFSIMSDIIAPEGWNDFNDPTRDQTIFYGEYNCSGPGANVNMRVPYVQRLNDTQAFPFLNTSFIDGDQWLET >RHN66305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10573611:10578163:1 gene:gene14258 transcript:rna14258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAAAMIGGAFLTATVQTLVEKLASTEFLDYIKNTKLNVSLLRQLKTTLLTLQVVLDDAEEKQINNPAVKLWLDDLKDAVFDAEDLLNEISYDSLRCKVENAKAQSKIYQVMNFFSSSFKNYGEINSQMKIMCESLQLFEKRKDILRLQTKSTRVSRRTPSSSVVNESVMVGRKDDKETIMNMLLSQRDTTHNNIGVVAILGMGGLGKTTLAQLVYNDKEVQQHFDMKAWACVSEDFDIMRLTKSLLESVTSTTWDSNNLDVLRVELKKISREKRFLFVLDDLWNDNCNDWDELVSPFINGKPGSMVIITTRQQKVTKMAHMFAVHNLEPLSNEDCWSLLSNYALGSDEFHHSTNTALEEIGRKIARRCGGLPIAAKTLGGLLRSKVDITEWTSIFSILNSSIWNLRNDNILPALHLSYQYLPSHLKRCFAYCSIFPKDCPLDKKQLVLLWMAEGFLDCSQGGKKLEELGDDCFAELLSRSLIQQLSDDDRGEKFVMHDLINDLATFVSGKSCCRLECGDILENVRHFSYNQEYYDIFMKFEKLHNFKCLRSFLCICLTTWWHNYLSFKVIDDFLPSQKRLRVLSLSGYKNITKLPDSIGNSVQLRYLDISFTGIESLPDTICNLYNLQTLNLSNCWSLTELPIHIGNLVSLRHLDISGTNINELPVEIAGLENLQTLTFFLVGKRHVGLSIKELRKFPNLQGKLTINNLDNVVDAREAHDANLKSKEKIEELELIWGKQSEDSQKVKVVLDMLQPPINLKSLNICLYGGTSFPSWLGSSSFYNMVSLSISNCENCVTLPSLGQLPSLKDIEICGMEMLETIGPEFYYAQIEKGSNSSFQPFPSLECIKFDNMLNWNEWIPFEGIKFAFPQLKAIELRDCPELRGHLPTNLPSIEEIVISGCSHLLETPSTLHWLSSIKIMNINGLGESSQLSLLESDSPCMMQDVVIHNCSKLLAVPKLILKSTCLTHLKLHSLSSLTAFPSSGLPTSLQSLHIENCENLSFLPPETWSNYTSLVSLNISYSCDSLTSFPLDGFPALQTLDISRCRSLVSICISESPSPRSSSLESLIIESCYSIELFEVKLKMEMLSALENLHMKCQKLSFSEGVCLPLKLQSIGDDIFNTLMKESLLPISLVHLRICDLSEMKSFDGNGLRHLSSLQSLCFLFCQQLETLPENCLPSSLKSLEFYDCKKLESLPEDSLPESLKELYIDGCPLLEERYKRKEHWSKIAHIPVISINYKVTI >RHN59179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8215728:8220104:-1 gene:gene21174 transcript:rna21174 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKTPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >RHN64892.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62720778:62725160:-1 gene:gene27764 transcript:rna27764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-3-methyladenine glycosylase I MSASGGPRLRSMNVADSEARPVFGPAGNKTGSYSSRKDASKPLRKAEKLGKEVDLAKEKKEASPQSHSASVSSVLRRHEQLLHSNLSMNASCSSDASTDSFHSRASTGRLTRSNSYGLTRKRSVSKPRSVVSDGVLESPPPDGAQPKKRCAWITPNTEPYYATFHDEEWGVPVHDDKKLFEVLVLSSALSELTWPAILSKRHIFREVFADFDPVAVSKLNEKKVITPGTTASSLLSDQKLRGIIENARQISKVIVEFGSFDNYIWSFVNHKPILSKFRYPRQVPVKTPKAEVISKDLVRRGFRGVGPTVIYSFMQVVGLTNDHLISCFRFQECVAAAEGKEENSIKNEDAQPNACDSVMESDLSIAIDNLSLSSE >RHN61872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39014869:39021353:1 gene:gene24380 transcript:rna24380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L1 MCFLVRFLKKPEPTKCFLFSITLTRLSKPPKTLSLSLSLSHYTLTVTAMASLKLLLSQARRHCFTNHPSHFHSPLSHPFRSFSSSSESNPLFQQPIPIQPVSYPVKPQDPPPPEPESQPSSDTQPPPPPPQASNTPIPEQPRAWTREDIRYVKDAPSITPVSYPVRVAPLPDDKAPVDVDAMETERRKIEAEDQLRKKMMKSVEDEKMKVPFPLFIKPKQKEKPPLFDLSEAIRQVKANAKAKFDETVEAHVRLGIDSKRTELAVRGTVILPHGAPKAVTVAVFAEGAEAEEAKAAGADIVGGKELIEEIASGNNKLKVDKCFSTPGMAPHLGKIAQYLRKRRLMPDKKLGTLTSDIAGQLKELRQGRIEFKMESKSILHVGVGKVSYKEENLRENIGAFMNAVLQAKPAGLKKTSKYAGYVLSVHICSTIGPGIPVSIQSLSKAADNYKKAHMV >RHN66855.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20277396:20278367:-1 gene:gene14964 transcript:rna14964 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVTTIKINTNKSHVLKERTIFVSMDKLDVQIESPVDFDSLERNEVDIKGYFVTQQMMEYFKMLNRPSYMNLVKDFWVKAEVYDRQDAEKEEAKLVKKNPGLKGKSRTEMGLKPFNGTEIRSAVMGMEITITVETIAKACNCPNSGLFQVDVVKNQWENKITTVLFKGNAKAKTSKMSSAHMMLLKILSDCIFQKGGGTDYPSLDHKVVLYCLATFDRINLPKYILHHMCWEIRESQRIGRRQIPFGRLLSEIFVQGKLLKYLRETGVSSDDELGNVTGKIINGKTLYSMSIIEKFNPHSKDMNEGNVQSDLMTDFPPI >RHN73936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:21798818:21799419:-1 gene:gene9836 transcript:rna9836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQTRESMVEILKCFYVMITFLFLFFILTDELLVCESDEECPKSTCLPPQIPKCLRMICECV >RHN51955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29097750:29098679:-1 gene:gene36536 transcript:rna36536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MAYFKIIFFSILIRFLCFATIKAQDSICSSNGTTTNSTYQINTRTLLSSLSSKAVGNTEFYNTTVTTGNPSDSVYGLFMCRGDVTFQICDECIVNATQKLSLDCPLSKQAVIYYENCMVRYSNESFFSTVSTSPWYAQCTYANVSNTKSFMPLLFSTWYETADEAARPLIGDIKKKFATKEARVSKNQTLYCLAQCTPDLSPNNCRTCLYTAIDLLPLCGYGKVGGRFLLPSCNVRYELYPFYRSINAPSPNELVPQTNHSNQDSRFSQDPIYLSYNCSRNNSTITNNNFKLLLSYLSSNATMAEISKK >RHN39673.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9496708:9498260:1 gene:gene45719 transcript:rna45719 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MLSLGPIPKKWRGVCAGGANFTCNKKIIGARSYGGDQSARDYGGHGTHTASTASGREVMGVSFYGLAKGTARGGVPSSRIAVYKVCNTDGTCNGNDILAAFDDAIADGVDIITISIGNPIAVEFLKDPIAIGSFHAMEKGILTVQAAGNSGPKPSSVSSIAPWLFSIAATTIDRQIIDKLILGNGKTFINERNLTQIPQLPSSVLVQSYTNSTKYPIAEILMREIFHDKNSPTVASFSSRGPNQLVLEIMKPDISAPGVDILAAYSPIAPLSGNINDKRHVKYNIKSGTSMACPHVAGVAAYVKSFHPDWSPASIKSAIMTTTKPVNCTYNDLAGEFAYGSGNVNPKQAIDPGLVYDITKEDYVQMLCNYGYDANKIKLISGENSSCHRASNRSFVKDINYPALVIPVESQKSFNVKIHRTVTNVGSPNSRYMANVIPIENISISVEPKILSFRSLNEKQSFVVTAVGGADSKRMVSSSSLVWSDGTHRVKSPIIVQRLS >RHN60563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:28238205:28242135:1 gene:gene22889 transcript:rna22889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MDRPMEFWGVEVKAGQSVKVDPNDQCTGYIHISQVALGEGKKGEASESVVLYLKVADMKFVIGTLTKDSFPQTTLNIVLDGESELSHNSKGASVHFSGYKLICGGDDDDSDSSDSEPELAPLVDRAQALKAANSGKPAEPEEESESDFSGSDISINEESDTEESESEEETPASESEEETPAKKVDEGKNKKRTNETGSQTPVPTKKAKDATPEKTDGKKSVHIATPHPMKKGGKTPQNAAKDQSPISKKPATTKSGQQNKKSKQDRR >RHN70202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49620718:49622282:-1 gene:gene18758 transcript:rna18758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MLTHNHRHHMASSPSSFFNIFTLFLLLLQHSPIVVVAFTETSPPPTPIPEDATTIAQSQPQHTVTPFKPSVAIVIGVFTILFSLTFLLLLYIKHINNSNTTGETINIDSSSFVGSGGGVSSFAGGRKNSGIDRSVVESLPIFRFGSLTGQKEGLDCAVCLSKFESSEVLRLLPKCKHAFHVECVDTWLDAHSTCPLCRYRVDPEDILLVMEDSSSSQQIQNQKEENDECVVEIEIEKGKMMRNEIEEYYRKRHSSVGEKESERKKTASFRWSLDSSRKKNENSAGLGLGLGLGCFVGPGPRKDGMLLTKEETETERRRRSSVERRRLEHRIIVSPSITNIRSGLHKNQRWSDVEACDLLYLTSEMMIMSESRRVKHRNNDSSWNGRGVINERSVSEITGFTRFQTSNTNKQ >RHN66380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11616097:11618164:-1 gene:gene14350 transcript:rna14350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller, F-box associated interaction MEKKTGLYLPHELIIQIMLRLPVKSLIRFKCVCKSLLALISDHNFAKSHFELSTATHTNRIVFMSTLALETRSIDFEASLNDDSASTSLNLNFMPPESYSSLEIKSSCRGFIVLTCSSNIYLWNPSTGHHKQIPFPASNLDAKYSCCLYGFGYDHLRDDYLVVSVSYNTSIDPVDDNISSHLKFFSLRANTWNEIECPGFVKYNHFPYYMNANDDPKVGMLFNGTIHWFSFRHDLSMDVIVGFDLVERKLLEMHFPDGFDYEPIDCDLWIFGEFLSLWAMEDGTVEIWVMKEYKVHSSWVKTLVLSIDDISIEYYPPICSTKSGGIIGTNGGGELVKYDGNGQLLENRSYFNDPCALVVMYTESLLSLPGDSGQF >RHN63902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54923928:54924778:1 gene:gene26658 transcript:rna26658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein DnaJ, cysteine-rich MNPIRRRFPTWITGAFVVLGGIATLNVASTLTLKGLHFASENKRKKTALPCKSCRGKGFYICKLCSGNSTIAWSPMYDPVAINPCVCPTCDGNRVQRCLNCLGKGYD >RHN39352.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6297976:6303123:-1 gene:gene45365 transcript:rna45365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 9S-lipoxygenase MSFLFGNDKIKGTLVLMQKNVLDINSLTDPTKIIDGALDGFGSIFDTLTSFLGRSICLQLISSTKIGLTGEGKLGKEAYLKEAINNLPTLGDKQTAFSIEFEYDSNFGIPGAFKIKNFMSTEFLLVSLTLDDIPNVGTIHFVCNSWVYNAKNYLTDRIFFANNTFLPSETPAPLVYYRQLELKTLRGDGTGERKEWDRVYDYDVYNDLGDPDKGQSYARPVLGGSSSLPYPRRGRTGRKPTATDPNSESRSSSVYIPRDEAFGHLKSSDFLVYGLKSVSQDVIPLIQSVFDTNFTPNEFDSFDDVLDLYEGGIKLPTDILSQISPLPVLSEIFRTDGEQFLKFPTPKVIQVSKSAWMTDDEFGREILAGVNPGLIRSLQEFPPKSKLDSAVYGDHTSTITREHIQLNLDGLTVDEAIQNKKLFLLEHHDTIIPYLRLINSTSTKAYASRTVLFLKSDGTLKPLAIELSLPHPQGDQFGVVSNVYLPAIEGVEATVWLLAKAYVIVNDSCFHQLVSHWLNTHAVVEPFVIATNRQLSVLHPIYKLLHPHYRDTMNINALARSSLVNADGIIEKTFLWGGYAMEISSKVYKDWVFTDQALPADLIKRGIAVADSSSPHGLRLLIEDYPYAVDGLDIWDAIKTWVQDYVSIYFTSDEKIQQDSELQSWWKEVVEVGHGDKKDEPWWPKMQTREELIQVCSIIIWTASALHAAVNFGQYPYGGFILNRPTLSRRLMPEKGTTEYNELATNPQKAYLRTITPKFQTLIDLSVIEILSRHASDEYYLGQRDSAEYWTSDTNAIAAFKKFGKTLAEIEGQLILRNNNESLRNRVGPVSMPYTLLLPSSEEGLTFRGIPNSISI >RHN80739.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40499533:40501931:-1 gene:gene4699 transcript:rna4699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Acid phosphatase MTTLFPPNSLSPLLNLKSSPSLQPKSTSLISYKPIVSNLKKTQTLSLKPPTLPSCTTLLTHAQQGLAALAITLALNFSPLLLSGNAFASEFDVINERPPKEAYVVDDAGVLSRVTKSDLKRLLADLESRKNFHINFITLRKLTSKADAFEFADQILERWYPSVEEGNDKGVVVLVTSQKEGAVTGGPAFVQAVGENILDATVSENLPVLATDEKYNEAIYSTAKRLVAAIDGLPDPGGPSVKDDKRESNFKTKEETEEKRGQFSLVVGGLLVIAFVVPMVQYYAYVAKK >RHN54035.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6399860:6404821:1 gene:gene29011 transcript:rna29011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MVMDSIDSSSSPPSQSQYQYQIGHPRHFYLAVDRLQFKMQTLVDLLDLVGRRPCLPIVVCCSTRDDLDSLCSSLSPLPFISSSALYSDLAEDERAFVLDKFSQVVTRWNQVNHTGEGNEDDLRKDDTSHMIIVTDACLPLLTSGESPMNAHLLINYELPAKKETYGRRLAACLTADGIVINMVVGGEVVTLKSIEESTSIVMQEMPMQILDIL >RHN40745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20177346:20179479:1 gene:gene46942 transcript:rna46942 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MDRCCNRICSAHTMSTPFMPSKRKAGKSNDLADSIESYDVKDLEADFKGHDIKVFNFTSILEATMDFSPENKLGQGGYGPVYKGILAIGQEVAVKRLSKTSGQGIMEFKNELVLICELQHTNLVQLLGCCIHEEERILIYEYMPNKSLDFYLFGENMLKSIFACDIVQKKKLLDWKKRFNIIEGISQGLLYLHKYSKLKIIHRDLKASNIVLDENMHPKIADFGMARMFTQQESTINTNRIVGTYGYMSPEYAMEGVCSTKSDVYSFGVLLLEIVCGRKNNSFYDDHRPLNLIRHAWELWNDGEYLKLMDPTLNDTFVPDEVKRCIHVGLLCVEQYANDRPTMSDVISMLTNKYELTTIPRRPAFYVRRDILDGETTSKVPDTDTYSTTISTSCEVEGKI >RHN39834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10932323:10933728:1 gene:gene45908 transcript:rna45908 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MEPASSKCVEKAVDFVLYLTIRHVGYIFYYKENVSELNSLVEKLILERESLEHRVDKAEDNLGITESNVATWLQKVDKTRTETEKFQDDKGHAKTRFSSGLFHYLRNRHRLGRKAKKMAVDVKLLIDEKFDGVSYQQKPTSMHVALFNDGYVEFASRKDTIKSIMEKLEDSTVRMIGVHGPGGVGKSTLIKEIVKKAQVKKLFSMVVIVEITNNPNLRKIQEEIAYVLGLNLEGEGETVRADRLRRRLKKERKNTLVVLDDLWDRIDLNKIGIPFDDDSSRLAKGKSPGDYNRDDDSSRLKIQDMKGSNFTMVKKGKSPGDYNGCKILLTSRDKKVLSDKMDVESVFYVGELNGAESLMLFKEEAGIHDEMFNFKQDIVKYCAGIPMAIVTVGRALRKKSESMWEATLEKLKKEELSGVQKSMEIYVKMSYDHLEILLWIGYT >RHN66902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21201917:21204108:-1 gene:gene15019 transcript:rna15019 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPFCLIYANKVQWNARGQPIKHKNKDFASFVGVTVRHLVPIANIGVTFGMTFK >RHN71288.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57952015:57958096:-1 gene:gene19949 transcript:rna19949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XIV family MVDPRGIFWFALLLLFLRFRGTLEQTQPLSSPLERESLLQLRTSLGLRSKEWPRKPDPCLIWIGITCQNGRVVGINISGFRRTRIGRRNPQFSVDALANFTLLQSFNASGFYLPGSIPDLFGVSLRSLRVLDLRSCSIFDVIPNTIGNLTSLTGLYLSDNNLTGNAPDSLGQLSALSVLDLSGNSLTGNIPESFGSLANLSSLDLSGNFFSGSIPLGIGTLSRLQHLNLSGNGLNSLPAQLGGLTSLVDLDLSENSFSGGVLPDLRGLRNLRRMLLGNSMLNGPLPADFFTVSLQLQTVVLRKNNFTGSLPVEMWSLPRLTFVDVSSNSFTGMLPSSSSSAGSTVAVLNISHNLFYGNLTPVLRRFSFVDLANNYYEGKVLDFMHNVSIDSNCLQNATNQKSTVECASFYAERGLSFDNFGQPNTTKATEGSGKSNKTKIILAAVLGGLGLLAILALLLVLLILCTRKRGNSSQRGNGVGPAPAGGSPPPPGVSVNLASVGDSFTYHQLLQATGDFSDANLIKHGHTGDLFNGVLENGIPVVIKRIDMRSTKKDAFLSELDFFNKVSHQRFVPLLGHCLENENEKFLVYKLMPKGDLSNCLFFKNTTAEDGTLQSLDWITRLKIATGAAEAVSYLHHECIPPIVHRDIQASSILLDDRYEVRLGSLSEASGQEGDIHQSKITRFLRLPQSSEQGASGSSTSVCAYDVYCFGKVLLELVTGKLGISASSEGVLREWLDQILPCINMYDKELVTKIVDPSLVVDEDFLEEVWAIAIVARSCLNPKPSRRPPMRYVLKALENPLKVVREESSSSARLKATSSRGSWNATLFGSWRQSSSDVAVIPAASGTKLEGASSLKLSATSSSSSRRRHSNEICPEPSFGLPDVERVDP >RHN72663.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9539298:9546812:-1 gene:gene8408 transcript:rna8408 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHPERVELRRLQREQERERRRIRDRQRRQAMTEEQRERHLARRRRNYQLRRQRAANANNVHAPFIPLPPSTLAFESSAGEASTSDEFQGVNPSTLLDYRAISHGICIPHYGLNQGQETLNLGTQVSHEGSSLNLESLAYKLDNPPRRVRLTQLRHLARNIVGSMVDSAGIHQVAAELKTNGDGEVCNFGSTQKSLRLNSVKRIARSTNPPAKETEIQKDKDLPPGEIQLLDNQSFMATS >RHN62204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41694463:41696129:1 gene:gene24755 transcript:rna24755 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MNYYSPAALTSDQELSAIVAALTNVVSGSTSTAYSIPEFSPTDSTVGSSSSSLERIVPAVNIVSCRECNIAGCLGCNFFPEEKKQKQKQKRAKKKYRGVRQRPWGKWAAEIRDPRRAVRVWLGTFTTAEEAARAYDNAAIEFRGPRAKLNFPVVDESLKNVVDPEVVVPLEDIKDENMNQEIQIETTMGFESNKNCDFWDSIGEADFQQLMRFMDFDRDSSDSRTWNTFSS >RHN44380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4040488:4043581:1 gene:gene38497 transcript:rna38497 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UDP-glucuronosyl/UDP-glucosyltransferase MSILPHFLVIPFPVQGHVNPLMQFSQVLAKHGCKVTFLHTEFNHKRSKTGVFEQDKIQVMTLPDGLESEDDRSDIKKVILSIKSTMPSKLPKLIEEVNALNVESKINCIVVTFNMGWALEVGHNLGIKGALLFPASATTLACGVCVHKLIEDGIIDSQGNPTKKQEIQISPDIPMMDTTNIPWRGVDKILFDNMVQEMQTLNNFGEWWLCNTTCDLEPGVFSISPKFLPIGPLMESNNNKSSLWQEDSTCLDWLDKQAPQSVIYVSFGSLVVMDQNQFNELALGLDLLDKPFLWVVRPSNDNKVNYTYPNDFHGSKGKIVGWAPQSKILNHPAIACFISHCGWNSTIEGVHAGVPFLCWPFSVDQFLNKSYICDVWKTGLELEKDDDGYISRKEIKKKVYQVVGDDDIKAMCLKMKKMTITNIEEGGQSSHNLQKFITWANY >RHN50749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10097880:10113951:-1 gene:gene35074 transcript:rna35074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative valine--tRNA ligase MSTTLLSLSSSSYPFSLCKSSFNPLLFYTRRSLSSHSHSHSHSRSRNRFPTRLLTTVAAASENNGVFTSPEIAKTFDFAAEERIYNWWESQGYFKPNFDRGSDPFVISMPPPNVTGSLHMGHAMFVTLEDIMVRYNRMKGRPTLWLPGTDHAGIATQLVVERMLASEGIKRVEIGRDEFTRKVWQWKEKYGGTITNQIKRLGASCDWSREHFTLDEQLSQAVVEAFVRLHEKGLIYQGSYMVNWSPTLQTAVSDLEVEYSEESGYMYHIRYRVAGGSRDDWLTVATTRPETLFGDVALAVNPKDERYSKYIGQMAIVPLTFGRHVPIIADKYVDKEFGTGVLKISPGHDHNDYLLARKLGLPILNVMNKDGTLNEVAGLYSGLDRFEVRKKMWAELEETGLGVKKEPHTLRVPRSQRGGEVIEPLVSKQWFVSMEPLAEKALQAVEKGELTIIPERFEKIYNHWLSNIKDWCISRQLWWGHRIPVWYIEGKDKEEDYIVARNTDEALEKAHKKYGKDVEIYQDPDVLDTWFSSALWPFSTLGWPDLSAEDFKTFYPTTMLETGHDILFFWVARMVMMGIEFTGKVPFSYIYLHGLIRDSQGRKMSKTLGNVIDPLDTIKDFGTDALRFTVALGTAGQDLNLSTERLTSNKAFTNKLWNAGKFVLQNLPKENDVSAWENILSYKFDTEDSVLNLPLPECWVVSKLHLLIESATANYDKFFFGEVGRETYDFFWADFADWYIEASKGRLYNSGNGGNSAALMAQAVLLYTFENILKVLHPFMPFVTEELWQALPNRKHALIVTPWPETKLPRCTSSVKKFENLQTLVRAIRNIRAEYSVEPAKRISASVVASNEVIEYIAEEKEVLALLSRLDLQNLHFMNSSPGNADQSVHLVAGEGLEAYLPLADMVDISAEVQRLSKRLVKMQKEYDGMIAKLNSPKFVEKAPEEVVRAVQEKATEAEEKITLTKNRLEFLSSNVLVSK >RHN71557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1026428:1029177:-1 gene:gene7170 transcript:rna7170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MQRQKRRRRSSKASLAVADKISRLPDDVLHYILSLVSTKEAVATSILSKRWNNLWLSLPNIDFNNIKIDSIESNSKFIDSVYSVLVSPDTAIGGSHFINRFCLDVQFRNGNPLYGYDNPHLLYKRSCHNVVKWVNLVVQRRLKYLRLNLRLGYDLHLDVDDYDNSYLPKLPITIFTCRTLVSLDLHHFSVKGVCYRFLLKALSTSNSLRLDTFKLYRSIYQPQPPYDDIPIFQNLIYLELCNRWRLVVQVLHHCPKLQNLKLYTGSYAAKGNEDD >RHN53794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4194406:4195379:-1 gene:gene28740 transcript:rna28740 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTRATLMLAMSLSLVVMSIAQAPSPGPIMLHTPSPASSPESLPPESPSQSPSMSPSMNMSPSMSPPFPTDASPSPASSPSPSTGESMSDNPVASSPSNAVVRRSSFFMLPFFAGAALLLA >RHN58389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1354068:1354818:-1 gene:gene20296 transcript:rna20296 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMLLSTFLLIISTALANISNEQDFNTKQAHELNGNGNIQVSTRLNRGVVMMESNEHKHELSVTIRKGGGIGRGIGRGVGGGVIGAGVIGGSTTYDRSHHSNSSASSLSAGPYVCVSTFILCLSFWL >RHN60342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25600915:25602842:-1 gene:gene22645 transcript:rna22645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative premnaspirodiene oxygenase MELHNIFSNITFMASFLFLLVLLKIVKRWSCNNPTINSPPAPWTLPFIGNIHQIITSSLPHHRFKILADKYGPLMLLKLGEVPHLIVSSPTMAKEIMKTHDLTFCDRPNLLLSTIFTYNASDIVFSTYGEHWKQLRKICVEQLLSVKRVQSFRSVREEEVLDLVKSIFSSEGCVVNLTQKISSLTYGIVARAAFGKRNKHQQVFKSAIEEIVSLLGGFCIVDLYPSIKILKRVSQVKTKMEKLHREIDMILQDIIDDHRNGQNKARKDEDLVDALIKIQQESDYPQNSLTDDNIKSIIQDMFAAGSETSSGIVLWGMSEIIKNPKVMEAAQAEVRSVFDRKGYVDETELDQLIYLNSVIKETLRLHPIVPLLIPRQSREKCRINGYDIPAKTRVAVNVWAIGRDQRYWVEAESFKPERFFNSPIDFKGMNFEYIPFGAGRRICPGIAFGIPNIELPLAQLLYHFDWKLPNEMKNEDLDMTESFGITIRRKNDLCLIPATRRP >RHN71675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1857210:1862506:1 gene:gene7299 transcript:rna7299 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP cyclohydrolase II, 3,4-dihydroxy-2-butanone-4-phosphate synthase MASTLNLSSCSSSPLLSCPRPSNHFKLFNDFPLVKLNYKSSSTHSAAFRVKSALASGGGDLLSYPKNTNDIIIGKDLVVGTQSILVQEQSNGTPTSDSGIPSTFFSADYNDYDLDIPAEGFSSIPEAIEDIRQGKMVVVVDDEDRENEGDLIMAAELATPEAMAFIVKHGTGIVCVSMNEEDLDRLELPLMVDSKANADKLRTAFTVTVDAKHGTTTGVSAQDRATTVLALASRDSTPADFNRPGHIFPLKYRDGGVLKRAGHTEASADLTILAGLEPVAVLCEIVDDDGSMARLPKLREFAKRENLKIVSIADLIRYRRKRDQLVERSSAARIPTMWGPFTSYCYKSLLDGIEHIAMVKGDIGDGEDVLVRVHSECLTGDIFGSARCDCGNQLALAMEQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVQSMKLMTNNPTKYVGLKGYGLTVSGRIPLLTLITSENKRYLETKRTKMGHVYGMELNNGNGKTNTVEESNVVAGF >RHN69876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47038499:47042118:1 gene:gene18405 transcript:rna18405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator IWS1 family MDLDDFRSILHTAGVDVWMFIDTAISVAAQDNAGELKRRRDGIVERLYAASTEGIPMCQNCDGGQRLVTNDNQIKKENSPSLSPERQPRRGGASSPPTPQSEGNEDGEDEEIDPYGGLFDDEQKKILEIKELLEDPHQSEDTLMELLQNLVDIDITFQELKETDIGRNVNQLRKHPSSDVRRLVKLLVKKWKEIVDDWVKQNPQRGKSTLMADGDSPLQKTTPNGHNHQIPDFAYSPNPHNGSSGSDRNTSEAEPKPKPKSVPRKDPPPKPRPSPPVTAPTSAPQNRQREQKESNFDAERLAAARKRLQANYKEADNAKKQRTIQVMDIHELPKSKAKSGYFAKHKGGGSSQGRQHW >RHN42539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39155166:39160377:1 gene:gene48989 transcript:rna48989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MMEINSGNKRCARKVQLLVTSALLLLQSFLIIPEIFAEESSSVHIVYMGDKIYHNPETAKKYHHKMLSSLLGSKEDAKNSLLYSYKHGFSGFAARMTKSQAEDIAKFPEVVSVIPNGIHKLHTTRSWDFIGVHHPSSKTVFTESNLGQGTIIGVIDTGIWPESASFNDEAMGKIPSKWKGVCQVGEKFNSTNCNKKIIGARWFLKGITDHTKNLVLGNNDTTEYLSARDAIGHGTHTASTAAGYFVENANYRGLASGLARGGAPLAHLAIYKACWDVPVGHCTDADILKAFDMAIHDGVDVLTVSLGIGIPLFSYADQRDTIAIGSFHATSKGITVVSSAGNSGPISQTVSNTAPWLITVAATTIDRTFPTAITLGNNLTLWGQSIDNGKHALGFVGLTYSERIARDPSDDLAKDCQSGSLNETMAAGKIVLCFSVSDQQDIVSAALSVKEAGGVGLIYAQRHEDGLNECGILPCIKVDYEAGTELLTYIRRARFPTARLSFPKTVIGKWISPRVASFSSRGPSTLSPTVLKPDIAAPGVDILAAFPPKGSKKSSGFIFLSGTSMSCPHVAGIAALIKSKHPTWSPAAIRSALVTTASQSGTDGGLISEGSTNKAADPFDMGGGHVDPNKAINAGLIYNITTEDYIHFLCSMGHNTASIRKVTKTTTSCNKQKRQALLNLNLPSISIPNLKRDTTVMRTLTNVGNINVVYKAIVKSPYGIKVRVEPQILKFNSENKVLTFNVSFISTQKLHGDYRFGSLTWTDGNHFVRIPIAVRTIQFEY >RHN49947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2540992:2550241:1 gene:gene34184 transcript:rna34184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MNTFWLWWQMCGEKNVSINDKKKKNGSLKSIFMHADVLDWFFMVFGLIGAIGDGLMTPLLLLFLSRLMNSIGSNSGPSKNYFVRSINENAVVLLYLACASCVACFLEGYCWTRTGERQAARMRVRYLKAVLRQEVAYFDLHVTSTSEVITSVSNDILVIQDVLSEKVPNFVMNTSIFFGGYIVAFALLWRLAIVGFPFVVLLVIPGFMYGRTMMGLARKMREEYNKAGTIAEQAISSIRTVYSFAGESKTIAAFSNALEGSVKLGLKQGLAKGLGIGSNGLLFAVWSLMAYYGSRMVMYHGAKGGTVFAVGYSIALGGSALGAGLSNVKYFSEASVAGERIMEMINRVPKIDSKNMEGEILEKVSGKVEFNHVEFVYPSRPESVVLNDFCLKVPSGKTVALVGGSGSGKSTVVSLLQRFYDPIGGEILLDGVAIHKLQLKWLRSQMGLVSQEPALFATSIKENILFGREDATYEEIVDAAKASNAHNFISLLPQGYDTQVGERGVQMSGGQKQRISIARAIIKMPKILLLDEATSALDSESERVVQEALDKATVGRTTIIIAHRLSTIQNADIIAVVQNGMIAETGSHESLMQNDNSLYASLVRLQQTKKDQTDDTPSIMNRDHMQNMSGCRLVSPSNSFNSTTRGSDDVFNYNNVVEDVVTKFVVDDDNSKNKKVEVPSFQRLLAMNGPEWKQTCLGCINAILVGAIQPVFSFGLGSVISVYFLENHDEIKKQIRIYALCFLGLAVISMVVNVLQHYSFAYMGEYLTKRIREKMFSKILTFEVGWFDEDQNSTGSVCSRLAKEANVVRSLVGDRLSLVIQTISAVVIAFTMGLLIAWRLAIVMIAVQPIIIYCFYTRFVLLKNMSNKAVKAQDECSKIAAEAVSNLRTINAFSSQEIILKMLEKSQQGPSHESIRQSWYAGIGLACAQSIKLCSYALSFWYGGKLVLQGYISAKALFKTFLILVSTGKVIADAGSMTNDLAKGSDAIASVFTILDRYTKIKPDEIEGHKAIKLIGKIEFCDVYFAYPSRPNVMIFQGFSIKFDAGKSTALVGKSGSGKSTIIGLIERFYDPLEGIVTIDGRDIKTYNLRSLRKHIALVSQEPTLFGGTIKENIAYGSYGDQVDESEIIEASKAANAHDFISSLKDGYDTLCGDRGVQLSGGQKQRIAIARAILKNPDVLLLDEATSALDSQSEKLVQDTLEKVMVGRTSVVVAHRLSTIQNCDLIAVLDKGSVVENGTHSSLLSKGPSGAYYSLISLQKRPTNIIVDSPHEIK >RHN80370.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37491864:37493374:-1 gene:gene4285 transcript:rna4285 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLLDQIKKQTTNFLQEKYKSARMTFTDVTGAELLAEEATNKDDCSPDAKTMTKIAEASFNIDEYWRIVDVLHRRLYNVDWEQWRQSYKALVLLEFMLTHGPIDFAQEFQCDAEIIEELGNLTHIDDRGFNWGCRMQNLSNEILRLLQGGEGLKEARLKALKITTEIQGFGGSLSSPSSRTTSPSSLSSDQLASPGSSSFSSFSSSGTPNNMPQKNNVDEKHLWDGPAIEEILIDSDDDKDGEMGKPKGFVSEMCSKIIGGNDREKIEFRCISDVGRKVTKKRYDRQYSLWF >RHN46449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31811806:31812648:-1 gene:gene40935 transcript:rna40935 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSPTEIHNTMLSVSIERPPSEPPPHVQQISCVGSLDLLPPSIQKLILVPRPSLMLRLQRHPRKPPNEVRITLLPPISSLSRPPPKPPWVILKWVWLMLLSFCSIFCGYHTSFVAIYEDESRFNPFAFYRLIPVQSDKVKKSETKYSRNVYDEAKKMIRNWIGKNTLDSHVSFVFFRRWKMLMKGLLGCKLWLNLSYLSTKFMCIANHDLVGYFSCIESLRFLGNVPPMSTLAGCNILGFMKC >RHN53676.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3268243:3273996:-1 gene:gene28608 transcript:rna28608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TPX2 central domain-containing protein MENNVGMWKQEIMMMEIENEVFDSSETDLDYEFDAPRFFDFTAQQQQSLTQLPQPERWFETAGTYPPSPFAANLVMNEEVAVANSNTVHHHPKPKVFQHFGSNVVNASEKMSGLLSGVHQNVVQLGMTFNSRTIGEGLNSKAKSAVVKRSSTLMKPTASQLAKQNRPPQIVGSRFQKVQQAHKKDISVANSLEMESQSTKRQKLEGGLLRKVGDVKQQANFVHKAPKKIVAADQNAGYSKPKITLPRVPELETFHRAQRTRPKNAAEAEHLTVAVPKFKARPVNRKILNAPSLPLHKRTPARLPEFQEFHLKTSERAMHHTSATSSSTLPCNDFDKDLDKNATFSAPQNSISNLRRPSVMGAPKHDGLDYRHGFKARPFNKKILSSKGDIGVFRNIKQETTVPMEFNFSTEKRLQHNPPIDDLFNKLSLTSGAQSNNGSKVKPGPHFRVFNKDLKENMGTSFHLDLKEKPFIFGEKQIHRGSEGCINEAGTLLSPRRNLGIR >RHN60936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31686430:31687729:-1 gene:gene23333 transcript:rna23333 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIALLHDTSVALHSLKANMFFISANWHQVINIPPLFRPKSFKSYTSALLLINRYGSHFSYA >RHN41067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26583677:26588951:1 gene:gene47343 transcript:rna47343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, FBD domain, leucine-rich repeat domain, L domain-containing protein MKAKRRLKAEEKNEDDDIDDRISTLPDALLRHILSFLPTDTCVRTSLISRRWRYLWKTLQVFDFHDYSQHNAELFMSFTVFVNAVLALRSSPHIRKFCLLCYDSEPKTFYTHSVNAWIRTAVGPHLQEFHLRIEGDDFTLPITLFSCSNLLSLSLDGCIEFELQDSSEICLPSLKVMKLGYNYSLDMNSLNILLSGCPILETLEILSLGLGYDELVSLRVPSSLKSLKITVEVGYGICLEIDAPALKYLSLAAVTFLNAATIGENLHNVEEADLDLFSHPDSESVEPLLKLLRALSGLKHLELHSPTTQWLFSSPILDIPEFHYLVYLKVSFPSFNLSFLFDVLQKCPVLQTLITYDDQEDPTSVTDDPSPSHGWAVKPKNVPNCLISHLTFIDFQDYEGNSHELEFIAYVLLNGLVLKTMLIGGCWMDQPEELEKKISDLPRGSAICQVKFDYDASP >RHN61046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32486647:32490591:-1 gene:gene23457 transcript:rna23457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sodium/sulfate symporter MASIPLTTTTTATNLPFLRLRSSPPLRQHSQSTSTFNFKPLKSIHTPPISIFSPLISKRHTLTVRASSASITPASSPSPAPPPAQPWQGAAIKPLIASILTGVILWFVPTPEGVSRNAWQLLAIFLGTIVGIITQPLPLGAVAILGLGVSVLTKTLPFVAAFSGFGDPIPWLICLAFFFAKGFIKTGLGNRVAYQFVKLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNVGDGTEEKLGSWLMLTCFQTSCISSAMFLTAMAANPLCATLTLNSIGQTIGWVDWAKAAIVPGLVSLVLVPLILYIIYPPKLKSSPDAPKLAREKLEKMGPMTTNEKIMTATLFLTVGLWVFGGLLNIDAVTAAILGLAVLLISGVVTWKECLAEGVAWDTLTWFAALIAMAGYLNKYGLISWFSQTVVKFVGGLGLSWQLSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVATALGTPPFFGAMVLSFLSNLMGGLTHYGIGSAPVFFGANYVPLAKWWGYGFVISIVNIVIWLGLGSIWWKAIGLW >RHN60036.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17363091:17363824:-1 gene:gene22231 transcript:rna22231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription repressor PLATZ family MDMMLVPWLAKLLAITTFFTTCEVHPRESKNECNKFCLDCNDNPLCGSCIKYCHKDHRVIQIRRSSYNEAVKTTEIYKHVDILGIQTYVINSSTVVFLNKRARAQPKRYKIGKIGHTSDSLCKTCDRNLVDYTYFCSLACKVNSWPQSHLFFSFILSL >RHN39041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3685568:3686042:-1 gene:gene45032 transcript:rna45032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroquinone glucosyltransferase MAKGTHIAVVSIPLFSHQSSIIEFCKRLIHLHHHIHITCIFSTIDAPIPATLKLLESLPSSINCTFLPPINKQDLPRDFVLEIELTTAQSMPSFRKSLLSLCSSSTSSPVVALVVDPYASQALEIAKD >RHN76411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50028723:50033015:1 gene:gene12733 transcript:rna12733 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNQSKIENEESILRCKERKRYMKEAVSSRNAFAAAHTAYSTSLKNTGAALGDFSHGEVANPQSTTIGDNSYIPVLQPPQKPFDIPLPPPPLPEDFSPALQRAASMPEIKINKPDPRPMPNPILEEEDDEELENEGSLRKRRSNRNVGVGVVVGGVNSNRRLEDEEIEAPPPMPPPLVKQPPISSDHLGNNNQSHHHHTMSNPQQNSAAWEYFFPSMENIAGTSLNEEGEHGGGVTFNRMQHTAMPSRVGIVEEPVTARMGVGVEIPGHIREPDHIPEHEEVMESPMESPLPSGLKMKQMPVTPPSMEAKRIVKHNNSVNLVQIFTDLDDHFLKASESAHEVSKMLEATRLHYHSNFADNRGHIDHSARVMRVITWNRSFKGIPNLDDGKDDFDSDEHETHATILDKLLAWEKKLYDEVKAGELMKFDYQRKVASLNRLKKRGNNSEALEKAKAAVSQLHTRYIVDMQSLDSTVSEINRLRDEQLYPRLVKLVEEMAAMWKKMLSEHEKQSETVTLLRSLDPSQSPKQTSEHHHERTYQLLVVVQQWHLQFEMLVNNQKGYIKSLSNWLKLNLIPIESSLKEKVSSPPRVRSPPVQGLLHAWHDRLEKIPDDLARTAIGNFAAVIDTIFNQQEDEMVLKRKCEESRKELSRKTRQFEDWYHKYMQRKMPEEVDPEAEDANAPDEVVTEKQFLVEQVRKRLEHEEAEYEKQCIQVRQKTLGSLKNRMPELFRAMCDFSLECSKMYMELGSISQHLGQNSS >RHN45523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22109250:22109882:1 gene:gene39880 transcript:rna39880 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDKKNLKVLVECSLDFESIKRNSLVKDSWVREEFYDEEAPKMEKWVKIAENPSLKGKTRKQTGLEDFKKTEIRSAVMGIRTTITREVIVKAAKCSNTSKFQLNVKKNNPWIKKINETLHKVRPTDKTLDLLDEHRVLHKLILECFMPRDGGSEYRSVEYNLFLHFIINHQKVNLLKYMFNYM >RHN75686.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44290040:44291388:-1 gene:gene11923 transcript:rna11923 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSNFETNPFAEEEVNPFADGSTKGKASGQSNYGGGAFYTTNPGSVSSVTSSRLTPLPHEPHDRGVTVDIPLELPKDLKAKEKELQAREAELKRREQVIPLDPLFDCFEPQFTLC >RHN74919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37778545:37784370:1 gene:gene11053 transcript:rna11053 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSAVTPSKKLARNFAKVLHLRAFLKNVTISDTNPKDETNMEKTTITWSESFKKVDEDEEIEEQRVATEALLSKIFASISTVKGAYAELQHFQTPFDPDGIEASDKLLVSELKHLSELKQCYLKKQFDPSPEKAILAAESKEIKGVIKTYEITAKKLESQVRLKDSEIMFLKEKLVEANGHNKLIEKRLNQSGTLSVLDNVVHLSGLSPSHFATVLRHAVRSIRNFVRLIVDEMRSAKWDIDAAVDAIEHNVVYMIEDHKCFTIESFVCKEMFDAFHFPNFNLPNESLPDDRKNQQNWFFEKFNELKSTKAKDFLAEKPKSSFAKFCRNKYLRLVHPKMESSFFGNMIHRNLLSGGEFPKSDFFASFAEMAKRVYLLHCLAFSFEVQAEIFQVGKGCRFSDVYMESVNDEMFVFSDKTVVESEEEPVVGFTVVPGFRIGKTVLQCQVYLMQKNQIKVKGSRLRASPISRRS >RHN73808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20068888:20075815:1 gene:gene9676 transcript:rna9676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SPX domain-containing protein MKFGKEFVSQIVPEWQEVYMNYNSLKSILKDMLKFKEQNESKAPVASTPKGSLKRRLTLYRAFSGLNGKQRGSSSTNEDEVILVRSEGSEDSKVLYQTMFLNPYEDGAERDLIFFRKLDVEFNKVNGFYKKMMKEVVEEAEELSKQINFLIALRIKVDKVVVRNLDSNENSSSTSILDHVNDAKHGHSNLHMDVIHEVEMSQSHLSDEDGNRVAQTNSNTNSIEGFRPAPLEILDHVKINVITPETPVSTIKGLLLNSKPDIEFNKKELRKADEQLSAALKEFYHKLRLLKRYSFLNLLAFSKIMKKYDKVTSRNASKDYLKMVDSSYVGSSDEVNRLLERVEHAFIKHFANGNHRKGMNILRPTAKRERHRKTFLLGLLTGCSIALFVALLILIHVRDIGKNKEETDRYMKTIFPLYSLFGYIVLHMIMYSANTYFWRRFKINYPFIFGFKEGTELGYREVFLLSTGLAVLSLAAVLSNLNMQIDERTKSFKAITESVPLGLVMVVVVITICPFNIVYKSSRFFLIKCAFRAICSPLYKVIFPDNFLADQLTSQVQTFRSLQFYVYYYFYGDFKKRSNKFTQEDNYKIFYIIVAIIPFWIRFLQCLRRLLLEERNKMHGLNGLKYISTMVALSMRTIDQFYSDVAANKTTTEFNVSNTKGLIVWKILAASSSGIATVVNTYWDIVIDWGLLRRNSKNPWLRDKLSVPYKSVYFLAMVLNVILRLAWMQSVLGIKEAPFLHTSALTAVVACLEILRRGIWNFFRLENEHLNNVGNYRAFKSVPLPFNYQIDDDEDSSDT >RHN71841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2968261:2975379:1 gene:gene7481 transcript:rna7481 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sphingosine kinase MDRPLFSDRVTVNGTVTPLALLTDGRLWWSEGIQRCLSVEKEVLGFVSSGSYIKLKTLVEARDGCCTTGEAGRLVRNDVVFKPSSEENLRLWCLKLHEFIDSLGRPKKLLVFVNPFGGKKCAVKIFAEQVKPLFDDAQIQLTIQETKHQLHAKEVARSLDISKHDGIVCISGDGILVEVVNGLLQREDWDTAIKTPLGIVPAGTGNGMAKSLLDSVGDPCAIANAVLAIIRGHKRQLDVATITQGETRFFSILMLAWGLIADIDIESEKYRWMGSARLDFYAVCRLFNLRLYNGSVSFVPAPGFESYGESTSYPGKSTIRGNSSDPSEGEHVNLQTLCYQGPEIDLENMNWRVINGPFISVWVHNVPWGAEDTMAAPDAKFSDGYLDLIITMNCPKLHLLSMMSELNKGGHVKSPYVTYLKVKAFSLEPGTRTKDLEKEGIIDSDGEVLARGRGTYKCEQKALMAYDKLQITVDKGLATLFTPM >RHN40417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16072110:16076100:-1 gene:gene46565 transcript:rna46565 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP72A65 MEVFVFPTGTTIIICVLSVLLAVLPWHLFNNFWLKPKRLEKLLKGQGLQGEPYNLSVLKDKSKQNYMLKLQQEDKSKSIGLSKEVAPSIFSTLHEAVHKYGKNSFLWDGATPSVIITDPDQIKEIFNRMEDFPKSKFRSISKYFGVGIAHQEGEKWAKHRKIVNPAFHIEKLKGMLPAFSHSCNEMISKWKGLLSSDGTCELDVWPFLQNLTCDVISRTAFGSSYAEGAKIFQLLKKQGFILMTAPRTNIPLWRLLPTTAERRMKEIERDIRDSLEGIIEKREEALKNGEATNDDLLGILLQSNHAEKQGHGNSKNIGMTTHDVIDECKLFYLAGQETTSSLLVWTMVLLGRYPEWQERARQEVLQVFGNQNPNSEGLSQLKTVTMILYEVLRLYPPVIYFNRAVQKDLKLGKLLLPTGTNVALPIVLIHHDQDLWGDDAKEFKPERFAEGIAKATKGQVSYFPFGWGPRICLGQNFTLLEAKIAISLLLQNFSFELSPNYAHLPTMVLTLMPKNGAIIILHKL >RHN82502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54307669:54313862:-1 gene:gene6672 transcript:rna6672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Longin-like domain, coatomer delta subunit, Mu domain-containing protein MVVLAASIVGKSGKVLVSRQFVDMSRIRIEGLLAAFPKLIGTGKQHTYIETENIRYVYQPIEALYLLLVTNKQSNIMDDLDTLRLLSKLVPEYSYSLDEEGICKHAFELIFAFDEVISLGHKENVTVAQVKQYCEMESHEEKLYKLVQQSKVNETKDLMKRKASEIDKSKIEKNRGDKGGFGPLTSMGSGRIENSFSDMSISSTGTGFGSGSGFGSSTDVDSFSTKPKGRPTASATAPPKGLGMKLGKSQKTNQFLESLKAEGEVILEVDQPKLGPSRSAAPPLIDPITLTVEEKLNVTLKRDGGLSNFDVQGTLSLQILNKEDGHVQVQVQTGENQAVSFKTHPNMNKELFANENILGLKDPNRPFPTGQASDAGGVGLLRWRMQSTDESMVPLTINCWPSSSGNETYVNIEYEASSMFDLRNVVISVPLPALREAPSVSQIDGEWRYDSRNSILEWSVLLIDNSNRSGSLEFVVPQAASTFFPISVRFIATDTFSDLKVTNIIPLKGGNPPKFAQRTQLITENYQVV >RHN39148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4484707:4490246:-1 gene:gene45147 transcript:rna45147 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polynucleotide adenylyltransferase MGSSEGLGASSKPANSSSPAVPEKQYGVTKAISMAGPTSADRKRTQQLEKFLVESGLYESKEEADKREEVLHRLGQIVKSWVKHLTRLKGYTDQMVEDANAVILTFGSYRLGVHGPGADLDTLCIGPSYVNREEDFFYTFHDILASMDEVTELQPVPDAHVPVMKFKFDGISIDLLYASISCLIVPEELDISDVSVLHNIDEPTVRSLNGCRVADQILKLVPNIEYFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCSIEENELGFSVWHPLRNPRDRSHLMPIITPAYPCMNSSYNVSASTLRVMMEQFQYGNKICEDIELNKVGWSALFVPYVFFEGYKNFLQIDVVAADVDDLRAWKGWVESRLRQLTLMIERDTIGKLQCHPYPREYIDDTRKCSHSAFFMGLQRKQGEVVQEGQQFDIRGTVEAFRHSINMYMFWKPGMDIHVSHIRRRQIPCYVFPDGFKRSRPSRSTVPVENRPKSLQENEVSGTELRGRNIKRKYDDKGVKQDVVLKKHCANPFVESLTPGNYAVSDCQELKSGESTSLTNSEQDELDRRMSPEAGTECGSNSSGVTSVSSEDGSPEYVASVSVAGYVDDSARAVEAMNNSRFDTTYMADSVKLGIGTLASANNEVLQDTLQTHLEPIAMAGMFLDSTKESFDSEAVPKTVIRRLSLTSTA >RHN65956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6995829:6996572:1 gene:gene13862 transcript:rna13862 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLPAPPTHYFLHHLHFQPHFNPKIHFFYNQFLIQNHSSIKHRRYQHTIDVKKQVLCILFESFFGCFRGIYVSYVEPCHGNPRQLTAEGNFGCLVPKKTSVARYRGTLGENFHHEKMAATAKFSKKIFFLMVKNAKDFSGETKENFVENSGDVKPPNFEAKVNIDEASVHVEASKYVGGSGVLPLQPHEVDTAKFFSDDVKSKNRDELLEWVRR >RHN60064.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17993252:17997725:1 gene:gene22264 transcript:rna22264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain-containing protein MSTPFFYLMAATDDNNNNKPKDYYKVLEIEYDATDEDIRLNYRRLALKWHPDKHKDDSAVTAKFQEINEAYNVLSDPAKRLDYDLTGACEIEKYSLQEYLARFKGMILTCNGLGINNEDRWSQQLIEDFQPLDK >RHN71963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3983457:3986312:1 gene:gene7625 transcript:rna7625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor SBP family MDGSWGEGKRIYEYREENEYEVEVEIEEEEDVSYGDDEKRKRVVTDHLYNKKGSKAGGSVTPSCQVDNCNADLSAAKQYHKRHKVCENHSKAHSVLISELQQRFCQQCSRFHEVSEFDDLKRSCRRRLAGHNERRRKSASEYH >RHN45284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14349589:14350479:1 gene:gene39529 transcript:rna39529 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKVRADLVRILAALWLCVCSVVLHVFCCAMCLLLAVSVARLRVCVCCCRAGLCFSVVLRFFFVMQVMYL >RHN61112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33043898:33044601:-1 gene:gene23541 transcript:rna23541 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKKVNTLFLVFVILTLVQCSSTRIYHSNMERGSRNIAKSIEVAFARFKNEGVTVGTVEDSAREVPTGPDPLHHNNNPFEP >RHN73214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14454997:14458187:-1 gene:gene9006 transcript:rna9006 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRRASGRIRAASETDTSSLSKPKIAVDHRPPPPKVATDKAADSSKTVKGVEDSLNDDDRPSANLDNVLEEKDPKFDAMLGQMLGRITSKPGGKREMGEASVVEKYNRPMPKLRNTKPNSGHYEERPVPAGTLNVAQLRHIILLHEGKADDHNGPMDVHQIAEKFRVDVGQIQKILQFLSHPPEGRSEDKNKTLR >RHN75603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43659598:43660638:-1 gene:gene11833 transcript:rna11833 gene_biotype:protein_coding transcript_biotype:protein_coding MCYVGKATKIFIFIVTALVITGLILGFGVLRRHHNNKCSDQSCGSFPPPNFNSPTPPFTLNNPVSSNPPNPPAESQSPPVITNPTPPPPPPPPSPESSSNPTSPPPPPPPPLVQSPPSVAEPPSTPTTPGSALVTPGPVHSIS >RHN48439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47478929:47480089:1 gene:gene43155 transcript:rna43155 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTTKSISSPARTDKFPPPLMRFLRTNAGSRSSRRSRSSPMFVLRNKKTIETTQEPSSPKVTCMGQVRAKRSSSKSSTTPTHHWWIKKPNPCRCRLVWPKWAFFRRKKPTKPKQDSVKSESNRNSNFTLEDEQRVSVSVESVDNAIVSNSNCSTPPKNALLLTRCRSAPYRSSSLASRFWSSPLRNEEETESTSIDDNEESSSQSQKRESVSDKEDSIGERIGLTKDLENVQELLLKGRVKKEEEDSAVARPVVLTRCKSEPARVSYRIDPEVNSNLWKKTRLGFPLHILSD >RHN60661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29218455:29222159:1 gene:gene23011 transcript:rna23011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase C MFSECYKNIPIASLFSQTRTNNMGFSKTSLPLFLFFILFSVSYATSRIINHARFPSTTFSPNQQAEKLIRSFNLFPKQSVNVIHGDHSLDHFLPGKIVEKKFSFFGDSGGPSIEDLGHHAGYYSLPRSNSSRLFYFFFESRKNNKDAPVVIWLTGGPGCSSELALFYENGPFKIANDLSLAWNDFGWDQASNILFVDQPIGTGFSYTSNENDIPHDETGVSNDLYDFLQEFFKQHSEFVKNEFYITGESYAGHYVPALASRVHQGNKANQGIKINLKGFAIGNGLTNPEIQYPAYTQFALDNKLITKEEQAVINKLIPQCVETTKSCESKGGESCLSALSQCQEIFTDILSYADDNINYYDIRKKCEGPLCYDFSNVERLMNQKTVKDALGVGDIEFVSCSLDVHNAMAKDFMQNFEVDIPALLEDGIKVLIYAGEFDLICNWLGNSNWVHAMGWSGQKQFAASKTVQFVVDGKQAGLLNSYGPLSFLKVNGAGHLVPMDQPKAALQMLANWMHGNL >RHN52053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31049448:31055924:1 gene:gene36670 transcript:rna36670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MASSVEKEGSAAVRDAGASEEPVWLDLPAPSGWTKKYFFPKSGTPTKYEVVFTAPSGEKIHTRRHMEEYLKKNGGPNVSEFDWGNGETPRRSARIIEKAKAAPLVEHESEPPKKRGKKSASNLKASKGKIDDKAAEGSEVVQTNDEERIRKPAKETKKRWWNKEWKIDQSSE >RHN72302.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6609704:6610057:1 gene:gene8000 transcript:rna8000 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSSCKDRSNQGSQDRVPACRIARSYVLSPPKRATTLIWVVFAIFMIRIEFLQQKRKGKTYWALRIGSGQNKRRLRRRKTLYKGRCNQGFATLVFCFCGGAPWERRGLSQIRLNL >RHN43943.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49644479:49650816:-1 gene:gene50583 transcript:rna50583 gene_biotype:protein_coding transcript_biotype:protein_coding MRWCGNATSSIAIAISTSGVGVPSSSSSSSSSWFCCCWKWKLCHNNSTYPVTATTTTELVWPYRNNRNVMMKKKKVRCLKRADVSEGRRRQQGFEFDPELRSVLELATDSELSEIQTILFGPSYLSPLLKSITSASSNMDPSMIEHDIQLRQHFIETLESRFFFLAADARSTLRGWRPSYRNVLLHLTSKLNIPCSTQLHTHHLELEIFLHLLHFNSHVSASSHTQWKHFQSILFKGGGIFTLAKIYQLLASKLSGKMLVEAANYQVKKELLKKGGQVALINLESRAALLAAKQGFLGAASRYLGFRSMLTLLGPVLWGTLLADLVIQMLGTDYARILRTIYALAQAIFLCSVLSLICLPDLI >RHN63583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52404363:52411727:-1 gene:gene26301 transcript:rna26301 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WW domain binding protein MTKTTKGGKVMNPTDAYRKELRKKELKRNKKERKKVRDVGILKKDPDQLKKQIENLEMMKADGALDKARKHKKRQLEDTLNRVIKKQKEYDEKKREKGEAPVMFSHLGLPQRRTSAEEEDRVNHPQPEDSVYYHPQLNPTGAPPPGKPPMYHSPIGPRIPLFGASSSTAASSSATESDDCVLAVPPPPPPPPLPEASSADGAVLPASLPLPPPPPMPPNPTTVVPASLPPPPLPPPPPGPPPKEQAVNRPLPPPPPPLKHSQPPPPGTSEGEERNQYALPDNLSSKEPGQVQLPPPPPPPSHMPQNSGAIQSDGAVVSTDNRNSLSNQEIQKMVPGPPPPRQQPPGPGLTLIPSVQPDVLPPGISRFPPPPPSDMRPPLPVIGLPGQAPPPGMMVPLIPRPPYGPPPGPPPMTRPPLPPGPPPNFQEEDHMATRPLPPQKPSYVKSAASTVVKRPLAQHTPELTSMVPASVRVRRETAMTKTKPKPSLSTVSVSTSRAVSVTPGPTILKAESVSSSSAPKAPSIDDSYTAFLEDMKALGALDG >RHN42640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39906567:39908530:1 gene:gene49105 transcript:rna49105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CAMKL-CHK1 family MAVVAAPKKNNSMNKKDNPNLLLGRFELGKLLGHGTFAKVHLAKNLKTGESVAIKIISKDKILKSGLVSHIKREISILRRVRHPNIVQLFEVMATKTKIYFVMEYVRGGELFNKVAKGRLKEEVARKYFQQLICAVGFCHARGVFHRDLKPENLLLDEKGNLKVSDFGLSAVSDEIKQDGLFHTFCGTPAYVAPEVLSRKGYDGAKVDIWSCGVVLFVLMAGYLPFHDPNNVMAMYKKIYKGEFRCPRWFSPELVSLLTRLLDIKPQTRISIPEIMENRWFKIGFKHIKFYVEDDVVCDLDSLDLDGEDNNNKVVKVDDHHDEVLESVSENESDSEVVNRRIRNRGSLPRPASLNAFDIISFSQGFDLSGLFEEKGDEARFVSSASVPKIISKLEEVGQMVRFNVRKKDCKVSLEGSREGAKGRLTIAAEVFELTPSLVVVEVKKKGGDKVEYDKFLNTELKPALHSLTKEESAGSSSQTTPDESLQQRAFSDSAIDKHSDSIESLNLDT >RHN50294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5870035:5886053:1 gene:gene34566 transcript:rna34566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cell morphogenesis protein MKAGSAAKLIVDALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMVARHTPVPLLEALLRWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTSAARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRAPHKRKSELHHALCNMLSNILAPLADGGKGQWPPSGVEPALTLWYEAVGRIRVQLMHWMDKQSKHLAVGYPLVTLLLCLGDPQIFHHNLSPHMEQLYKLLRDKNHRFMALDCLHRVLRFYLSVHAANQAQNRIWDYLDSVTLQLLAVLRKGLLTQDVQHDKLVEFCVTIAEHNLDFTMNHMILELLKQDSPSEAKVIGLRALLAIVMLPTSQYFGLDIFKGHDIGHYIPKVKAAIESILRSCHRTYSQALLTSSRTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRNDKITEIIPQHGISIDPGVREEAVQVLNRIVKYLPHRRFAVMKGMANFILRLPDEFPLLIQTSLGRLLELMRFWRSCLIDDRMQLDAKSLGHETERFRKSSFQQPGEAIEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRDLRIHEQPNHFWKYEAEPIFIIDVLEEHGDEIVQNCYWDSGRPFDLKREPDAIPPDVTLQSIIFESPDKNRWARCLSELLKYAAELCPSSVQEAKVEVMQRLAHITPVELGGKAHQSQDVDNKLDQWLMYAMFVCSCPPVARESSGMAATKDLYHLIFPSLKSGSDAHVNAATMALGRSHLEACESMFSELSSFIDEVSSETEGKPKWKSQKARREELRVHIANIYRTVAENVWPGMLARKPVFRLHYLKFIEETNRLITTSLESFSDMQPFRYALACVIRSLAPEFVDSKSEKFDVRTRKRLFELLLSWCDDTGSTWGQDGFSDYRREVDRYKSSQHARSKDSVDKISFDKELNEQVEAIQWASMNAISSLLYGPCFDDNARKMSGRVISWINALFLEPTARAPFGFSPADPRTPSYTKYQGEGGRGTGRDRGRGGHHRVSLAKLALKNLLLTNLDLFPACIDQCYYSNSSVADGYFSVLAEVYMRQEIPNCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSVREWAEDGIDGSGGYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKEGWSERLLKSLYYVTWRHGDQFPDEIEKLWSTIASKNRNISPVLDFLITKGIEDCDSNASTEISGAFATYFSVAKRVSLYLARICPQRTIDHLVFQLSQRLLEDSIEPLGPGASKGDVSANFVLEFSQGPAVAQMASVMDNQPHMSPLLVRGSLEGPLRNVSGSLSWRTAGMTGRSVSGPLSPMPPELNIVPVSTGRSGQLLPSLVNMSGPLIGVRSSTGSIRSRHVSRDSGDYLVDTPNSGEDGLHAGGAVHGVSAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFRQYLPLLFHVIFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLEQYEVENNDRENKQQVVSLIKYVQSKRGSMMWENEDPTVVRTELPSAALLSALVQSMVDAIFFQGDLRETWGEEALRWAMECTSRHLACRSHQIYRALRPSVTSDACVSLLRCLHRCLGNPVPQVLGFVMEILMTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYRQVLELFSQVIDRLSFRDRTTENVLLSSMPRDELDANYIGEFQRTESKSGYEPLQEGSLPAFEGVQPLVSKGLLSSVSHSVSIDVLSRITVHSCDSIFGDAETRLLMHIIGLLPWLCLQLSKDPVIGPASPLQHQYQKACSVASNIANWCRAKSLDELATVFMIYSRGEIKSIDNFLACVSPLLCNEWFPKHSTLAFGHLLKLLEKGPVEYQRVILLMLKALLQHTPMDAAQSPHIYAIVSQLVESTLCWEALSVLEALLQSCSSMTGSHPHDIGSFENGIGAIEEKLLAPQTSFKARSGPLQYGMGSGLVSVSTPGHSVSNESQREVSLQNTRLFLGRVLDRSALGKRKDQKKLVPFVANIGNP >RHN42740.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40577656:40582530:-1 gene:gene49223 transcript:rna49223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative processing peptidase MNVWLIPSSLSTTPTALSTPHHHLHLPIIPTKLSLHNTLLATTLSFGFFLSPFPSSASVLQPTPLPIPLSTSSETCRDVELRNITVPTAPEVVTNEGLVQEAWQIVDDDFLDTGRNRWSQDTWQLKKNDILSNTIQTRSKAHNIIKRMLASLGDPYTRFLSPEEFSKMARYDMTGIGINLREVTDENGDHRLKVLGLILDGPAHSAGVRQGDEILAVNDTEVKGKSAFEVSSLLQGPNGTSVTIQLKHGNCGPVESIEVQRQFVARTPVSYRMEQTESDAAQIGYIRLKEFNALARKDLVIAMKRLQDMGASYFVLDLRDNLGGLVQAGIEIAKLFLNEGDTVIYTAGRDPQFQQAVVSDTSPLIRAPLVVLVNDKTASASEIVASALHDNCRAVLVGKRTYGKGLIQSVFELQDGSGVVITVGKYVTPKHKDINGNGIEPDFQKLPAWDDVSQHLSKCSMLQQG >RHN38517.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:494244:494987:-1 gene:gene50633 transcript:rna50633 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGGLLISQKCGFMAPQRVILCYQIFDNQFNMKIVDDDGADIPYYGFHHPENQHAMSLADPTYVPPQEFVSFADEDENGEFGVNIPYEMFKHLLISDNAADGRDADPVNYDPIHIPAREGQTEEYIWPVKVTRAIAEGRNVLHFPTYVVDNFECAVANGEDIDVHNDHTDETVRCKFVTSTRPSGRVDKFISRGWYQYVRSKALIPGDRIVFGV >RHN66130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8864852:8865361:-1 gene:gene14050 transcript:rna14050 gene_biotype:protein_coding transcript_biotype:protein_coding MALILPFFNCFGLSSNSSSQVSDYAQNSSQMKSSTSEKPKSKEKSMGAPIVVPYFPVNNNYSSLL >RHN79810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32416272:32419234:-1 gene:gene3651 transcript:rna3651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MQMIPGDPFSLSTSLGGFTTQEQNTNPNPKPNAPSVPKKKRNLPGTPDPDAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLRQRSNKDVIKKKVYICPEKTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHTKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARVTSVTTTNLNFKNEEGSAMMNPHSHSQHGLSHGILQNIGGIPHPQFGSHGFHHVDFNGIGNKNTMIMEQQRPSLSLWLNQGNHEMGQSSNSLFGSSGLSEIVQMGNAATNNNNNNALICSSSSSMFGIPASNSTSAANLSLSKPASSGSVTVSATPMSATALLQKAAQMGSTRSNTSNDNNNPSIFSSSFGVMTSPSSSTSSAMLGNSSAGAAANANYSSNSSFDQFFMHGPQSDQQGKLNIMHHGGSGSGSNSMDQVQHSNLTRDFLGVSGGGRTGPPQFLPQEIAKFASSIQFTGNQ >RHN77576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7824152:7831781:1 gene:gene1043 transcript:rna1043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MGSDIPQDGLKKLEYLSLVSKVCTELESHTGTGDKVLAEFITDLGHSSNSVEEFDAKLKENGAEMPDYFVRTLLTIIHAILPPKPKKQRENLKENANSNTKFKALAISDDRGRAEKLREEIEIEAREKQKPPMEDDDGYRDRDRRDRHRDRYDRRGNDRDRDRRERDDKRGSDRDRGDRRDRDDTRGNARDRDRYEKDDKRRDDRDRDRYERDDKRGNDRDRDRDRDWYERRRRDEYEEDEGGREENGGDEKGRGGRDTRRGGGSGEPELYMVYKGRISRVMDTGCFVSLDEFRGKEGLVHVSQMATRKIANAKEVVKRDQQVYVKVISVSGSKLSLSMRDVDQHSGKDLLPLKKSSEEEAFRTNPQDSKDGPVARTGLSGIRIVEEDDMGSSRRPLKRMSSPERWEAKQLIASGVLSVSEYPTYDDEGDGVMYQEEGAEEELEIEMNEDEPAFLQGQSRYSMDMSPVKIFKNPEGSLGRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPESGERHLAQELRGVGLSAYDMPEWKKDAYGKTITFGQRSKLSIQEQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILVDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLVKRRPELRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDASLITVLQIHLTEPEGDILLFLTGQEEIDFACQSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFDPAPPGKRKVVVATNIAEASLTIDGIFYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTSIPEIQRINLGMTTLSMKAMGINDLLSFDFMDPPSPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRARFFQPEGDHLTLLAVYESWKNKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDKYKLDVVSAGKNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFFKVADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >RHN67622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28736841:28738223:-1 gene:gene15829 transcript:rna15829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ammonium transporter, ammonium/urea transporter MEVTWEQSVTYSINTIYLLFSTYLVFVMQLGFAMICAGSVRAKNAMNIMLTNVVDAVVGSISFYLFGFAFAYGNSNPFIGTNLFALANIPNENYDYGFFLYQWAFAIAVAGITSGSIAERTQFSAYLVFSFFLTGFVYPIVAHWVWSSSGWLSPNSSDLFLGSGAIDFAGSGVVHLVGAIAGLWGSVIEGPRVGRFDAYGKPIPFRGHNATLVVLGTFLLWFGWFGFNPGSFDRILVAYPGTSNEGHWTSVGRTAVTTTLAGSTAGIVTLFGRRLLIGHWNATDVCNGLLGGFVAITSGCSVVEPWAAILCGFVAAWVLIGLNILALKLNYDDPLEAAQLHGGCGLWGLLFTGLFAKEQFVIQTYNAGVAVKRPYGLLLGGGWGLIGAQVIEILVIFVFVSITMGPMFYGLHKLRLLRIPVDDELAGLDISCHGGYAYAPQEENHPRSYGDYLRMQDDHS >RHN44738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7966433:7967597:1 gene:gene38897 transcript:rna38897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative separase MASPLTESTLISKLETSDSPGIYALVSDYLRPFSDINSPSSNNDQTLIRQLAKHFISFINTSLSILPKRLPGISKSNEVLILELFKTYFLCLDCLEVVFPHLDSKPSAIHFRRLRLVRCFELCDRFHEAEAEGFRLLKRLLPGVKRKKILPDIGKIGGDDKDSFSLLVVEIVVTLVRCASKASSHKEDDYFKRVLQLMDEVKPWLMGLDCNSYEKFHKLLVYHLGKCALNLLEKKTSFSDKDLLITFCRTALIKYAKSSIKDQLFKIAQNMCSLFFKWEENKFLYVMDILDCVAPKSKVRLYMMKILRLELGDSCSVLLAILLLCIGIWG >RHN57436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39152549:39161699:1 gene:gene32981 transcript:rna32981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative T-complex protein 1, eta subunit MSSMLQPQIILLKEGTDTSQGKPQLVSNINACTAVADVVRTTLGPRGMDKLIHDDKGSVTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAAEFLREAKPFIEDGVHSQNLIRSYRTAGSLAIEKVKELAVSIEGKSLEEKKSLLGKCAATTLSSKLIGGEKEFFASMVVDAVIAIGTDDRLNMIGIKKVPGGNMRDSFLVNGVAFKKTFSYAGFEQQPKKFLDPKILLLNVELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYDKLDKCVQSGAKVVLSRLAIGDLATQYFADRDIFCAGRVAEEDLKRVAAATGGTVQTSVNNIIDEVLGTCEIFEEKQVGNERFNIFNGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRAMKNSTVVAGGGAIDMEISRYLRQHARTIAGKSQLFINSYAKALEVIPRQLCDNAGFDATDVLNKLRQKHALPSGEGAPFGVDIATGGIADSFANFVWEPAVVKINAINAATEAACLVLSVDETVKNPKSESAQGEAAASAMGGRGRGGGFRGRGRGMRR >RHN52531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36431713:36432883:1 gene:gene37220 transcript:rna37220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MELPIMIKTFFILLLFISSTHVGATRLSSSTNLIFREYIGAESNNIKFSDVPINPNVEFHFILSFGIDYDTSSSPSPTNGKFNIFWDTKNLNPSQVSSIKSQNPNVKVALSLGGDSVEGGYAYFDPSSVESWLSNAVSSLTKIIKEYNLDGIDIDYEHFKGNPNTFAECIGRLIKTLKANGVITFASIAPFDDDQVQSHYLALWKSYGHLIDYVNFQFYAYDKGTSVSQFIDYFNKQSSNYNGGKVLVSFLSDGSGGLSPSDGFFKACQRLKSQQKLHGIFVWSADDSMGNGFRFEKQSQALLAIH >RHN56354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30200022:30202631:-1 gene:gene31739 transcript:rna31739 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MRFIISCSFLFAIIIILILCYETIAQTDFTPSCADDNSNYTKNSTYQQNLNTLLSTLTSNTEITYGFYNFSFGEDNNKVYAIGLCRGDVNPNDCRSCLNNSRVLLTQNCPNKKEAIGRINKCMLRYSNRLIFGLMETFPDFSIPNPFNATDVGKYNQVVGKLLYDLKTEAATGDSMKKYATRNVTGPNFQDIYSLMQCTPDLSYLDCNQCLDQAIASLPSCCVNKVGGRAIRPSCNLRYENYRFYERNAQPPPPTSPSTNHTSSQEYGNISVPSENDEIRNFESLQFNFDTVKVATNDFSDSNKLGEGGFGAVYQGTLSNGQVIAVKRLSMNSGQGDLEFKNELILMAKLQHQNLVRLLGFTIEGRERLLVYEFVPNKSLDYFIFDPTKKAQLDWEKRYKIIKGIARGVLYLHEDSRLRIIHRDLKAGNILLDENMNAKISDFGMARLILVDQTQENTSSVVGTYGYMAPEYVMHGEFSVKSDVFSFGVLVLEIISGLKNSGTHHGEITEDLLSFVSFICSLLFLILIKKVEKIIHY >RHN69851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46761742:46762670:1 gene:gene18375 transcript:rna18375 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAESSSKVDNCNTKSGSSRVSVSTVALFTLAMAAATGLGAVPFFFVELDPQWAGLCNGMAAGVMLAASFNLIQEGQEYGSGSWVVTGILAGRVFIWLCKKVMMILHVLCLPFFLLIVFLEQYGEVSMLDLKGADATKVVLVIGIYKSSIEMIENVQSLQNPNECYNMGIIFFT >RHN48904.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51044941:51045454:1 gene:gene43670 transcript:rna43670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MDTVTSMVGEKPVVIFSKSTCCLSHSVTSLIRSFGANPIVYELDKITNGSQIESELLQMGCKPSVPAVFIGQQFRGGSKKIMSLHVRNQLVPMLKDAGAIWI >RHN56486.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31247166:31249647:-1 gene:gene31894 transcript:rna31894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alternative oxidase 3 MKNSLLRSTARALFHSSRNYHCSFSTAVIVQPRHQNGGGTRGSFYWQKMSTLPEKKDQHSEENKNSNDSNTVVSSYWGITRPKVKREDGTEWPWNCFMPWESYSSDVSIDVTKHHVPKTFGDKFAFRSVKFLRVLSDLYFKERYGCHAMMLETIAAVPPMVGGMLLHLKSLRKFQHTGGWIKALLEEAENERMHLMTMVELVKPSWHERLLVITAQGVFFNAFFVFYILSPKTAHRFVGYLEEEAVISYTQHLNAIESGKVENVPAPAIAIDYWRLPKDATLKDVITVIRADEAHHRDVNHFASDIHHQGKELKEAPAPIGYH >RHN78502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15726578:15732044:-1 gene:gene2076 transcript:rna2076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MTGKNLSMPLLKYDDILRRYLASKHNKFGLCASTITFCLKTCLSLGTLEFGIGVHVDSIKLNFNSDCFVGSSLIRLYSQYGKIKDAHKVFDEITNKDIFAYTSMITAYGHSGGSCVYGAFNTAFIMQQQGMLPNRVTLVSLMHAAAKLRALREGQAVHGYAVRREIGLGDDVFETTLLDMYHKCGGVGLAASVFAKMDARKMTKVGSWNALIAGYLRNGQALEAFELFRRMMCRNVLPDLLTLANAIFCCVELNYLRRGMSIHGYMITMGVELDLVASTALVDLYCKIDITKARKLFERLGNKDAVVYNVMMTGYLENGLPVEAVNVFREMVKTNASTNVALFLNLISALSKLRDIRLVRSIHGYVLRHMHITHVEIANQIIHAYAKFGYVVDAREVFNRMRTRDLVSWTSMIKGYVYHGHIDKAIILFRLLQREHLSIDSVTLIGLLQALSQLGCLSFIKEVHCFSYRFFHGKDLSVNNSLITTYAKCGKLCTARYIFQQMTERCLTSWNAMIGAYAMHGNYTEVLELFDHMKAGKVTPDEVTFTSILTACSHSGLVEEGLQIFGIMMKEYAIVPNEVHYSCIVDLLSRAGRLREAYNLVKSMPSTHSSAAMSALLSACRLYGDTEIGEAIGKQILKLEPHSSGPYALVSNICAQGGRWDEVAQIRAMTKNTEFKSTPGYSACLD >RHN39559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8201544:8202282:1 gene:gene45590 transcript:rna45590 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDMCLRDSMGFFLLAVSSYVNYIISSTQTEAIALLEAIQLAISRTM >RHN80651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39786003:39788611:1 gene:gene4600 transcript:rna4600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MISYIILIFHALFVGFNSAIDNGDTNCKERERHALLGFKQGLQDEYGMLSTWNDSPNADCCKWKGVQCNNQTGYIQSLDLHGSKTRYLRGKINPLITELQHLTYLDLGFLNTSGQIPKFIGSFSNLRYIDLSNSGFDGKIPAQLRNLSLLQYLDLSRNQLIGSIPDDFGTMMLSLVDLYLDGNSLEGKIPTFIGNICTLKSFWANDNRLSGDISYFTVHNNYSNCIGNVSSLQELSLSNNQITGMLPNLSILSSLRMLYLAGNKLFGEIPTSIGSIMELKYLDLSVNAFEGVISESHFTNLSKLEDLYLSYNFLTVKVSYDWVPPFKLINLNLASCNLNYRFPNWLQTQNSLSYLILSNVSNLDPIPTWFWGKLKTLVILLDLSNNELKGELSDCWNNLSSLQYIDLRNNKLSGKIPFSMGALSNLEALSLTNNNLGGQLPSSLKNCSNLALLDLGENIFHGPLPLWIGDSLHQLIILSLRFNKFNGSLPSNLCYLRNLHVLDLSLNSLSGGIPTCVKNLTLMAQEFINSTSSFLPVISLNNWSFNLPYGFDLFLMWKGVDQLYINPYRFLKTIDLSSNHLTGEIPVEMEYLFGLISLNLSRNNLSGEIIPNIGNFKSLEFLDLSRNHLSGRIPSSLAHIDRLTWLDLSNNKLYVKIPIGTQLQTFNASCFEENSNLCGEPLDIKCPGEEPPKYQVQTTDAGDDNLIFLEALYMSGGIGFFIGFVGLAGSIFLLPSWRETCSKFLNTLILKIFLWRKQ >RHN47541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40463762:40466334:-1 gene:gene42154 transcript:rna42154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sodium/calcium exchanger membrane region MSQMSQSKRMFFTLFLNTSFLLLFCVFLVLHFQSYEHVFLRNKTNNNNRNFVSGVTDDEDCKSFHSLSDYKAKCFYLKSNNPCVTQGYVDYLYIFYCKIGNFPLLGHTLLFLWLLVLFYLLANTASEYFCPSLDNLSKVLRLSPTIAGVTLLSLGNGANDVFATLVSFKDSVFINGEINVVVAVGFCLMYVVYVAIVYVTSSKRKGVCDEDDVDYGDSRIHGNGNDLDVPLLGFMEKGMVQVHSNSNGLQECEFKIEKNISCCEKSSIFRMLLYVLDMPLYLPRRLTIPVVCEEKWSKAYAVSSAILSPLLLAFLWIPYKENSFSNSSSLIVYGIGLLVGIILGVTAIFTTEASNPPRKFLLPWLAAGFMMSVTWSYISAQELVGLLVSLGFICGVNPSILGLTVLAWGNSIGDLVTNLTMALNGGPEGAQIAISGCYAGPIFNTVVGLGLSLVTSTWSEYPSSIVIPRDPYLWETLAFLVVGLIWALVVLIRRDMKLDGMLGGGLLAIYFISLILRLTQTLGSLQFKDILV >RHN42974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42298030:42303176:1 gene:gene49487 transcript:rna49487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator SAP family MLSTYPILNDQPIDQLNVTDVNDEVKSTKLVTKGLKEDLINSLDEAVTIESVDAEVSKKDEVDGFIGNTVELKDADRETVVDAVVDTGVMKSSESVESDEEGNSAVVHPTDTENDEKIPDVVNNDSGKSGKQYGITKPVDNDNSAMVEQEVEQMDLSAGADSANVAGDLIHSSTRGTPVTVTESVLTEVVVSGQEDSCSAKPRNGHGQDFVTKQEDKESNVRLSNEESKPELECDLKPPCEHLMPNSLLLENQVSEVNPSLGSQVRFDSVSSNFVSINQKNEIKDTIIANNVKLEQEIVRSEMVEEPSSKIDVPVSDESHSMDVEELDEKKASAEENVSDNRSPDMNKTNISEDVGYPEKLNLDGSSGDDSMEEDFPEEGKQFDSNFNVDELREKGESVELPVVNEERDAIAVGDGLSSEEGGTQHNNNIPSVSLVKKQKFQDQTSVENNEPPKRQKRWKSETVKGSDSQMSTVRPATAPKGEPIALKRNLSWSDSSAIDDAPKERIVPPSQRPPTNSLRIDRFIRPFTLKAVQELLGKSGNIISFWMDQIKTHCYVSYSTVEEATETRDAVYNLQWPPKGGRLLVAEYVEPQEVRMKLEPPPPVAFANNDSTVPPVATTSLPEPLPYQHKEQPPIPVTLPPPPPLSKLPPATREQLPPPPPLSKLPPAAREHPPPPPPSAKLPPAARERLPLPPPLSKLPPAARERLPPPSPLPEKVDRPILTIDDIFRKTISTPQIYYSPLSEEQVAAKLAALCRSIKQ >RHN72791.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10708172:10711001:1 gene:gene8547 transcript:rna8547 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MSELEGEKLVTLDGVDSQQRQGEEEEEEEGGGLKVDDISVCYDQLPDKECQVIELDCQNDISEGRKEFPAPALAMEFESYDDAYSYYICYAKEVGFCVRVKNSWFKRNSKEKYGAVLCCSSQGFKRTKDVNNLRKETRTGCPAMIRMKLVESQRWRICEVTLEHNHVLGAKIHKSIKKNSLPSSDAEGKTIKVYHALVIDTEGNDNLNSNARDDRAFSKYSNKLNLRKGDTQAIYNFLCRMQLTNPNFFYLMDFNDEGHLRNALWVDAKSRAACGYFSDVIYFDNTYLVNKYEIPLVALVGINHHGQSVLLGCGLLAGEIIESYKWLFRTWIKCIPGCSPQTIITDRCKVLQSVIAEVFPRSHHCFGLSLVMKKVPEKLGGLHNYDAIKKALIKAVYETLKVIEFDAAWGFMIQHFRVNDNEWLCSLYEDRVHWAPVYLKDKFFAGMFATRSGESISPFFDKYVHKQTPLKEFLDKYELALYKKYKEESLADIESRSSSPLLKTKCSFELQLSSMYTRELFTKFQLEVEEMFSCFGTMQLHVDGPIIVFLVKERVLIEGNKREIKDFEVVYSKTSGEIRCICCCFNFYGYLCRHALCVLNFIGVEEIPPKYILSRWNKDYKRFCIPDHNCCSSDDTDTDPIQWSNRLFRSALQVVEEGVISLDHYKVALQALEESLHQVRDVEHKQE >RHN76827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1600158:1609409:-1 gene:gene206 transcript:rna206 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cadmium-transporting ATPase MESFCVNSLYTVSPQLTLSPRIEQRKVRRVRISNLASTTGNGAVVRPLQLPSNSKSNNSALEQLDIERGVCIPFRKYSPEIVRNKVLGSRGSILSLISRGVEIVLSLGLYWSALVYDFLVGRDEEVVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMSELCILQDDVPSFPNQIAFGIIEEELGQPLETVFSSISSETIAAASLGQVYRATLRATGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISIQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKNDPTVKIPLVYKQLSGPRVLVMEWIDGIRCTNPQAIKEGGIDLDGFLTIGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILTDAVVHAVNEDYAEMANDFTRLGFLAAGTDVSPIVPALEAIWQDSAGKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLVQVLFKDGLFQWKRLENLIVLAKENVAKMSSNPALQVKNTQTQRNWKVNKKLDLTDTIKDGARLFFVDEGIRRQLLLALTEDSKLHIEELVDVYRLVEDQIDIPSVAVEVARDFPTVVRDLLLSWSESVLSDR >RHN55046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14692181:14692729:1 gene:gene30172 transcript:rna30172 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKFGFSAFLFLHIRINRTAKPCFDQPVTRRNRTIKWTEMGSNL >RHN51077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13691147:13692603:-1 gene:gene35451 transcript:rna35451 gene_biotype:protein_coding transcript_biotype:protein_coding MENVNDEGASPRLVEHVPNLDYNNCVQVEAAAMCFDVSTCAKNTDENTDVPVEDNLDEVCSCSFDDSDGTALQVLPTDPTNEVETSDLGHHKMVVTGTDTTYADTSRVKFECSQKVNVSPVSEKVIGSSANLQNISVTPIDIGFSDGEENMQIGVNEEEVDHSQVKNTDENTDVAFEDILHEVLLSNFEDSDGTPAQFLPTEVRTTDLDVQEMVVTGTATKIAFTSGRGVKFESLQKNTSPASEKMNGSSTRLLNNPVTPRDIDFCDGEESMSIGVDEEQVDPSEVKKIDENIMTVDSGIEKFFEVDPSEVKEFFVPKKFDAFIYWWNMAGVNLLAICECDCFKNAMTDQHLCHVYIHS >RHN73273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15056027:15059201:1 gene:gene9070 transcript:rna9070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MSASVSVLHSSFLEKQVKGVEQFYKSTDVQQNDCKLKGKEKSRRASEDMHEEIMRNFNKILNEASASTSAITIIREMLTSVVAASAVIVITQDKWAWPFLEPVDVKGLRLDDYYQIIEKPMDFSTIRTRMKAKDGSGYKNVREIYADVRLIFHNAMKYNHESHDVHVMAKTLLEKVEKKWLHLLPKVAKAESELSKEEAQAQEAAYANMTRELGTELSKIDMALTSLKTKAIAKCRKLTLPEKSMLANAFNNLSLENRVKALAVVRETNPDFDDSVDTVMLNFDSQSDYTMLRLYMFVKDTLEVQEGTSAIDHEDNIEAEETKNNDKKRKIM >RHN63253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49695847:49699328:1 gene:gene25932 transcript:rna25932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative autophagy protein Atg8 ubiquitin MAKSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAERSDIPSIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSAIYDEKKDEDGFLYVTYSGENTFGDLISH >RHN82600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55089761:55091220:-1 gene:gene6775 transcript:rna6775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MTESLTPALPFDLVAEILCLLPVKHLLQLRCVCKSWNYLISHDSNFAKKQLRLSTSNHDRRHLILTPTSFSSEFLLCYFPVSSVFSNSVSTQLIRYPLIINYGDSFGEVSTCHGMLCFGIDESLALLCNPSIRKFKILPPLQNQNPYRSYLQTFYTLVYDRFTDNYKVITVSFCKKVEVNTHTLGTDYWKRIQDIPYQCFIQGLGVFVSDTVNWLAYDICSSLWHIVSLDLNKESYRKLLQPLYNERLNTSITLEVLRDCLCILSHGDKFSDIWIMKEYGNEESWTKLFSIPYMEGRGFLGYCQSVYISEDDQVLMQFLKMGKFSLLVYDSKNDTLKIPEIQNVNGRMTPNTYIESLISPF >RHN41484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30355542:30357297:-1 gene:gene47815 transcript:rna47815 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSRPDYPTTWIPYINSKDCSQGFCSVYCPQWCYIVYTPPPPPPFQYPDDDSKPYFSPLVIAILGVLATAFLLLTYYTLISKYCGHRESSRRNTNDPVDEIQENRRENCQVSTFGLDDAQIKSIAVFSYKKGDAFFSTTVTDCSVCLSEFQDDESVRLLPMCNHVFHLPCIDTWLKSNSSCPLCRSSVFTSNASTHHVQVPVTIIELPSRNETFLEEQQIVVEEAMMQHSRVESKAPSLRAFSDLCNLQGRERIIEIRDEVCESIGRSVSMDHSFQNGFSIGDVLNMNEDEDDSCEEGCSMDVSSSKISQGESSKSRYKRRVLHCVMSPIAMKRSFSNGRFSLGKIDRGKRQGILPV >RHN82179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51753056:51753657:1 gene:gene6318 transcript:rna6318 gene_biotype:protein_coding transcript_biotype:protein_coding MNVACGCLIEMNAGSLEHMSIVCWCWLKNMLVYEEYCESEWYISK >RHN70505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51857375:51860608:-1 gene:gene19089 transcript:rna19089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative COG complex component, COG2 MVQVLSSCSPNVLESVRQSILESGQSLKSLEPLVIKAVVESLVEKSVEDLRQMKGIAATYMMTNKPLPVGHSPYVAGVLRPLKAFLGGEKISYLASETKNEILLYAATEITDRYYELAADLVIVSRRKEYSLQKIRQSAETSRGKFRHL >RHN50304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5963015:5963624:-1 gene:gene34576 transcript:rna34576 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAIRVGLLREEVFFTTHLLLLLSRFELDTCLRFELDTCLCGEIRFGFECFVFDLACWKKLVHRVFMLFLRLCECS >RHN41568.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31103823:31104059:-1 gene:gene47902 transcript:rna47902 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative caffeate O-methyltransferase MLDRILAVLASHDVLKCLVIQDEQKLGTFHRFYSMTPVARFFAPNSDGVSLGPLLALIQDNVFLASWLVTFMSFTFFK >RHN61596.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36697851:36702441:-1 gene:gene24075 transcript:rna24075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein arginine methyltransferase NDUFAF7 MLRRVVQKSLRTFHRPITAASCHYSSSTISIDRSSLHNPPEHSHQPISDSELVKHLKGIIKFRGGPISLGEYMSEVLTNPKAGYYINRDVFGAQGDFITSPEVSQMFGEMVGVWVMCLWEQMGRPERVNLVELGPGRGTLMADLLRGASKFKNFTESLHVHLVECSPALKTLQHKNLKCVDEENADGDTDKRTVSSFVGTPVSWHATLEQVPSGSPTIIIAHEFFDALPVHQFQKGSRGWCEKMVDVAEDSSLHFVLSPHPTPATLYLLKRAKWAGVEEIAKFNQIEICPKAMDLTQTIVERISSDGGGALIIDYGSDGVVSDSLQAIRKHKFVDLLDDPGSADLSAYVDFASIRHSAEEASGEVSVHGPMTQSQFLGALGINFRAESLLQNCTEEQAESLRTGYWRLVGDGEAPFWEGADDSAPIGMGTRYKAMAIVNKNQGVPVPFQ >RHN51465.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18104509:18104854:-1 gene:gene35901 transcript:rna35901 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIFTSTPNLDFTIKAYIFLSLPSSIRTSILSSPLLVPPNNIILHKAISAFLTKSLPPSSTLTPSFSVTTPSTSFLSTTTTHTSSWDSLQIIIFSPLFPILFPSFSLIYNPF >RHN58062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43453804:43455051:1 gene:gene33668 transcript:rna33668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDNSLAIAVIDEKVWINLPHDLVLFILSKLPLKSLKRFICVCKSWSLLFENPNFIKMYCNNILCNDHSDYDDTFLILHKLPFNYYHGQHCEFYLLSSERLENRVKLDWPPQFQEIDTNIYVVGCVSINGILCLKQGFKYTRQVVLWNPTTRESKVIPPSPVENIRPNRTPFFFLHGFGYDHVSDDYKVVQMIDYFPDNDPDDEEDLIWEDRSYDPLWEIYSLKSNSWKKLEFDMRNCYYYTPLRGIGLYTDGMFHWWAKSESKNIEECLLSFDFSNEELFKTPIPSNMDGNFNVEFVERHLALLNGTITLISSYREEATFRLSILGKLGVRESWINLFIVGPFHEQFGSSFPFFVSPIGLGKKNNIVFLNKRDNKIVWVDLKTQMIEELGVEGDKFRCHIGKYKKSFLPIEGINT >RHN39333.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6165591:6169459:1 gene:gene45343 transcript:rna45343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleolar GTP-binding protein MVQYNFKKITVVPNGKDFVDIILSRTQRQTPTVVHKGYAISRLRQFYMRKVKYTQTNFHEKLSTIIDEFPRLGDIHPFYGDLLHVLYNKDHYKLALGQINTARNLIGKIAKDYVKLLKYGDSLYRCKCLKVAALGRMCTVLKRIGPSLAYLEQVRQHMARLPSIDPNTRTILICGYPNVGKSSFINKITRADVEVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAILFFLDISGSCGYTIAQQAALFQSIKSLFLNKPLIVVCNKTDLQPLDGLSEEDMKLVNEMKAEALKTSIGHGGEGTDADVLLTMSALTEEGVIAVKNAACERLLNQRVEIKMKSKKINDCLNRFHVAVPKPRDQKERPLCIPQAVLEAKAKQAAAEEKRKTEKDLEEENGGAGVYSMNLRKHYILADDEWKEDNLPEILDGHNVYDFIDPDILHRVEELEREEGLRQAEADDDDFEIDGTELTPEQQEALAEIRRKKSILIQQHRIKKSTAESRPTVSRKFDKDRQFTTERMGRQLSSLGLDPSMAINRMRSRSASRKGRKRERSPEGRNDGMDIDDDTPSKKQRLSRSLSRSRSVSRPPHEVVPGEGLRDSAQKIKAIKLAKGSSKKRNKNAKRGEADRVIVNLKPKHLYSGKRSKGKTDRR >RHN73285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15120220:15123969:-1 gene:gene9083 transcript:rna9083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MAQRGVTPDVWSYSIMINGFCKIKMVDEAMKLFEEMHCKQIFPNVVTYNSLVDGLCKSGRTSCALELVDEMHDRGQPSNIITYNSILDAICKNNHVDKAIVLLTKIKEKGIQPDIFTYTVLINGLCKVGRLDDAQKVFEDLLVKGYSPNIYTYTSLINGFCNKGFFDEGLAMLSKMKDNGCIPNAITYEILIHSLFEKDENDKAEKLLREMIARGLL >RHN64152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56965562:56974505:-1 gene:gene26938 transcript:rna26938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase I specific transcription initiation factor RRN3 MGQLLPIVNEYLSVMEEDVEINDLQLNYYYRDTLLAGSDGGENYAELVKCLQPKKHLKSHDAAQLLTTLKSLSEVVSYIDPIQHESLLSSLSRLSLWDYAPTDRKYDAAADIMDALLELIVSLAASKGIYVDFCLELLVKHFVPPKYAFEFLKNENGVGMKNKVLSRVHAALKHIADLVPLAPLRLSPIVVHSMPKRFAKEPEIVMYVENMLMLESGEIGEIVGGTMLPALVDKLIELDVEIGWDGKLQEDAKCIFAMEIQDFADFEDDDEKYYSMCTTELLNRNNLQGNQVAEKLDSLIVLTFLHLESCQSKGRLAEVFDILLTSFKRTVLNTYKSKFAQFVMFYACALDSEGCGVNFAMVLTEMFDSRVNPPITRMSAVAYLASYLSRAKFLSSELVANIIQRLVDWCFAYCKLHDFDMNPQAHQVFYSACQAIMYILCFRMKSLMEVPRLKVKLIKMPMELIWKHKLNPLKVCLPSVVEEFLKQAKAARLFMSAELFIFDDLLEADLSKAFGGINRLDMFFPFDPCLLKKSESYLRPHFVRWSKVRTTYDTYANEELSDSGSEASDDDFVDMNAKDMNDYDMAGSVEAGLDFDPDLNKMSITPKSMKYGFQAQTEGRMPSNIRLSTTTESFV >RHN49170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52999956:53000858:-1 gene:gene43972 transcript:rna43972 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTEEIKSKSEVYHGDEMCQVKSKELLKEISLPNGLLPLKDITEVGYNRETGFVWLKQKKSITHKFEKIGKPVSYATEVTAYVENGKIKKLNGVKTKELLIWVTLCDIYIDDPPTGKITFKTPSGLFRSFPVSAFEIEEEKSSGVAVKEVAANKVNETVAAAAGVKEV >RHN72630.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9268634:9268885:-1 gene:gene8373 transcript:rna8373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSAEQKKVLLFFWTSVKHLPVEGFRGLSSTLLISKSSKPDNHLPSSHTCFYKLCFPPYSSMAIMQDRLGIITQEHISCSFGTA >RHN47940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43724368:43725492:1 gene:gene42598 transcript:rna42598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MIISFLHGGFTLNSYGLTLLSLLTHWTFVPPLINSSWKASDSDPCSWFGVQCDRKQNLISLNLNSHEIFGQLGPEIGNLYHLENLLLFGNNFSGKVPSELSNCSLLEKLDLSENRFNGKIPHSLKRLRNLKSMRLSSNLLTGEIPDSLFEIPSLEEVSLHNNLLSGNIPTNIGNLTHLLRLYYLYGNMFSGTIPSSLGNCSKLEDLELSFNRLRGKIQASIWRISSLVHILVHHNSLSGELPFEMTNLRYLKNISSISSQESFLKAWESTIP >RHN49548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55577901:55579518:1 gene:gene44390 transcript:rna44390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonol 7-O-beta-glucosyltransferase MVLPANINDVPHFVLFPLIAQGHIIPMIDIAKLLAQRGVIVTIFTTPKNASRFTSVLSRAVSSGLQIKIVTLNFPTKQAGLPEGCENFDMVDSIDMRMNLFHAITLLQKPAEELFDALTPKPSCIISDFFIPWTIQIAENHKIPRISFHGFSCFCLHCMLKIQTSKILERVDSESEYFTVPGIPDQIQVTKEQIPGILKGELKEFGEKMHDAEMKSYGEIINTFEELEKAYVKDYKKEKNGKVWFVGPVSLCNKDGLDKAQRGIIASISEHHCLKWLDLHQPKSVVYACLGSLCNLIPSQLMELALALEATNRPFIWVIREGNKSSEELEKWISEERNKGRGLIIRGWAPQVLILSHPSIGGFLTHCGWNSTLEGISAGLPLVTWPLFADQFLNEKLVTQVLRIGVSLGVEVPMRLGVEESLGVLVKKEGIKEAICMVMDEGEESKERRERASKLSEMAKRAVEKGGSSHLNINLLIQDIMEQ >RHN61461.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35607243:35608585:1 gene:gene23932 transcript:rna23932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MGALDHISDLFDCSYRRSTYKKKRKQFQTVEVKVKMDCEGCERKVKKSVEGMKGVTQVEVDRKASKVTVTGYVEPSKVVARMSHRTGKRVELWPYVPYDVVAHPYAPGVYDKKAPSGYVRNANYDPNVSNLARASSAEVRYTTAFSDDNPTACAIM >RHN46736.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34468842:34469580:-1 gene:gene41258 transcript:rna41258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stigma-specific protein Stig1 MTTFLHFFNMSTLAIHLVTIVALLLIFQIKIEANPISLIPKDEVKENPSTLMLLLSAGENPPRSVCCRNRCVDVTSDADNCGFCGIRCPFIGNWQCCNRFCANINFSPFNCGACGIRCLGCLFGRCPSTNPAQPPFLPLGLQKQNAQMIPGH >RHN46503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32335710:32339505:-1 gene:gene40996 transcript:rna40996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosome biogenesis protein BRX1 MGKRKRSEKAEAEAVPKKEDVAPERPVRTLLGWKDKNEIEAKDNAASPVFRNKEKVLVTCSRRIVYRYRHLMLNLVSLLPHCKKDNKVESKETKGATLNELVELKNCSSCLFFECRKAKDLYLWMAKCPNGPSVKFLVSAVHTMEELKLTGNHLKGSRPLLTFSSNFEKDAYWKLLKEMLLQIFETPKDHRKAKPFHDHVFVFSIVDDHIWFRNYQISVPHNESDKLPRGSLDKMTLIEVGPRFCLNPIKIFGGSFGGPTLYENPLYVSPNQIRALQKKKKAGTFAKKVKAKTRRKMHEMANPLEPDEFADMWKD >RHN53738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3719367:3719891:1 gene:gene28678 transcript:rna28678 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bifunctional inhibitor/plant lipid transfer protein/seed storage helical MTPKNCSYAIFLTLNILFFAIVSANGNCPTPPKPKPTPPKPKPCPTPSNGKCPTPPKPKPCPTPPNGKCPTPPKPKPTPKPTPSRKSCPRDTIKLGGCSSVLNGMFNFSMGVPNGQCCGFLDGLVDYDFAICICTALKANIMGIIVNIPISFTQLINFCSRQAPSGFECLPDIH >RHN61369.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35214595:35218540:1 gene:gene23829 transcript:rna23829 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MEFILQYVEPSTLIFLLISLATYVVFSLGLHRKKLPYPPGPKGLPIIGNMLMMDQLSHHGLARLAEKYGGFCHLQMGAIHIVAVSTPEMAREVLQAQDNVFANRPANIAITYLTYDRADMAFANYGPFWRQMRKICVMKLFSRKRAESWASVREEVKATTKIIASKSGSPINLGELVFSLTRSITFKAAFGSNLKKGQEEFMGILQEFSKLFGAFNIAEFIPWLGWFNGQDFNKRLASARKALDVFIDEIIDDHVTKRKKNMENKDIENEADVDMVDELMAFLDESNGDGAATEISQSALKLTRDNIKALIMDVMFGGTETVASVIEWTMAELMKSPKDLQKIQQELTDVIGLGRKFNETDLEKLTYLKCIIKETLRLHPPIPLLLHETAEDTTVSGYFIPKSTRVWINVWAIGRDKSAWDEPEKFNPSRFLNEGMPDFKGSNFEFIPFGSGRRSCPGMQLGLYAVEMTVANLLHCFKWELPNGMKPSELDMNDVFGLTAPRAVQLVAVPSYRLNCPL >RHN76613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:51660946:51664478:1 gene:gene12964 transcript:rna12964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MLINVKESMVVRPAEETPRKALWNSNVDLVVPNFHTPSVYFYRPNGTSNFFDAKIMKEALSKVLVLFYPMAARLRRDEDGRIELYCDGQGVLFVDADTTASVDDFGDFAPTLRLRQLIPAVDYSAGIETYPLLVLQVTHFKCGGVSLGVGMQHHVADGASGLHFINSWSDVARGLDVSIPPFIGRTLLHARDPPRPVFDHIEYKPPPSMQHAKQGSDTSASVAVSIFKLTRQQLNILKGKSKEDGNTINYSSYEMLAGHVWRSVSKARALPVDQETKLYIATDGRSRLQPSLPQGYFGNVIFTTTPIAVAVDLMSKPTWYAASRIHNALLQMDNDYLRSALDYLELQPDLKALVRGAHTFKCPNLGITSWARLPIYEADFGWGRPIFMGPGGIAYEGLSFIIPSSTNDGSLSLAIALPPDQMKLFQELFYDI >RHN53841.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4630534:4634969:1 gene:gene28794 transcript:rna28794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inner nuclear membrane protein MAN1 MNRRSGKSSREVKLKSSIIDKEPPPNLLPSKHEFPKLLLVLTVASLVAWSSNLLFTSFLHPSTKPFCDTNSLHNHFPDSCEPCPSNGECNDGKLECLRGYQKHGNLCVEDGDINDSARKIADTVERHLCGEYAQFLCSGTGSIWVHDDDLWNYIEPVENVKEGNALYNYTKQKAFDMMDKLLEMRLTTHGMKEFKCPDSLVEQYKPYACRLRQWITQHILVVLPICAMLVGCMILFWNVRRKLRVSRRVEELYNKVCEILEENALTSKSVNGECEPWVVASRLRDHLLLPRERKDPLLWKKVEELVQEDSRVDRYPKLVKGESKVVWEWQVEGSLSATKMLTKRDASKTMVNRNTELNSQQRPTMKAEPMEPHF >RHN39196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4867997:4870490:-1 gene:gene45200 transcript:rna45200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MRNFFQILAYHHSIFFITAVVTSSNSKKRGSMISKHERFSYTEILNITDNFKTILGEGGFGKVYFGILQDQTQVAVKRLSLSSMQGYKEFQSEAQLLMIVHHRNLVSLIGYCDEGEIKALVYEYMANGNLQQHLQGLDYLHNGCQPPIMHRDLKPSNILLDDNMHAKIADFGLSRAFDNDIDSQILTRPAGTLGYADPEYQRTGNTNKKNDIYSFGIILFVLITGRQAIVRAAGENIHILEWVIPIVEGGDIQKVVDPKLEGKFSINSSRKFLEIAKSCISPTLAERPDISQILAELKECLSLEMVQRHEESENDVIEMSSSSFQSVTAPFPR >RHN69048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40587849:40589467:-1 gene:gene17475 transcript:rna17475 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQKIVIKVSMNNQKLRSKAMTIAAGVSGVEGTAIQGENKDQIEVTGEQIDSVRLTSLLRKKFCHAELVSVGPVGKTEEKKVEAIVAWNYGAPNYPVYEIRNSYQYEDPSCSIM >RHN56625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32340978:32341151:-1 gene:gene32057 transcript:rna32057 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATIDLVLSNLLCSFDWEMPEGAKREDIDTHGQAGLIQHKKNPLCLVAKKRIECV >RHN78635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16951628:16954608:1 gene:gene2264 transcript:rna2264 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAWIVKMGNQVSSNLKHALLLETLTKKKHNQKRTENKETIGILSFEVANVMSKIVHLHKSLSESEISRLKNEILNTEGVKNLVSSDEGYLLELAMVEKLEELNRVASVVSRLGKKCSEPALQGFEHVYSDIVGGVIDVKELGFLVKHMEGMVRKMDRYVNVTMSLYSELEVLNELEQAVKKFQNNQHVESKKAFEQKLLWQRQDVRHLKDVSLWNQTFDKVVELLARTVCTIYARISVIFGETALKNNSFGVGVGGGSPVMQNECGFFSGNINVQTNSGNLKRNPSKRNGSHPGSVARMPAVQRRGGATSKPRIDMRSGELASLRPEDFGFPCGTSPGRLFMECLSLSSSVARFDDADDGYVINHEDQYSHVSSSRSGAMVNSSMKREHLFHSGVLSHVQSGVSFTGELRQAKSGVQSCSTFSPKSRLAVYAPPSTLGGSALALHYANVIIVIEKLLRYPHLVGEEARDDLYQMLPTSLRLSLKAKLKLYVKNLAIYDAPLAHDWKENLDGMLRWLAPLAHNMMRWQSERNFEQHQIVSRTNVLLLQTLYFADREKTEESICDLLVGLNYICRYEQQQNALLDCASSFDFEDCMEWQLQCGSSFLN >RHN50972.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12494346:12494847:-1 gene:gene35331 transcript:rna35331 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKVLHSDCFSALGYFAFETAKIAILNEYVKRKELEHVVVSSSIEFGNGFVFLAILTIDEVLLVEY >RHN73205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14370647:14373261:1 gene:gene8994 transcript:rna8994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S21e MQNEEGQITELYIPRKCSATNRLITAKDHASVQLNIGHLDESGVYNGHFSTFALCGFTRAQGDADSGIDRLWQKKKTELKQ >RHN48396.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47212017:47214711:1 gene:gene43108 transcript:rna43108 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQHLQNSRVSRLFLFSFIFFFTYFPGLVLSAVVTLSSIEIFTTHEWLRPTPSVYFRCSGDNKTILPDVKKKHVLYAFKGEESWQPLTEFTARKCKRCGFYEKDSVLSDDEFDEWELCPSDFTAPDGKYVHFKEKELNASFICPECLSFSNDTISASDGNHKGKGMNVGVIVLLTVLVSTVLIAGAVGTYKYWQKRKREQDQARFLKLFDEGDDIEDELGLGTII >RHN58372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1252575:1253288:-1 gene:gene20279 transcript:rna20279 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ternary complex factor MIP1, leucine-zipper MFLMILRAEVERLEAVKTELHRQIAEEVKPWFSQFYLVCVQESIVHFHFLLQVKINVKLQSYVETRKEALYERRVVLERNVDKLQEQLLMEKSLRATLEAGLEFPPGTSSELSGIDEKTKTNVEEIVLIEADLADLERKVNELGLRLNAQLEWNSSSISQQISSHERNLYVNHYMRASLS >RHN42253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36739115:36739378:-1 gene:gene48670 transcript:rna48670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MKVELFYRMIKDFGLTLVKEHFSWVIDMLGRAGRLEDAFHFINEMPLKPDKYIWGALLAACQKHENVNVGNLAAEKLIDLEPHVASP >RHN80868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41424118:41425648:1 gene:gene4843 transcript:rna4843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MDSFEPNSDSTHSNTSQESNHKKRRKIDNLTTDQNSINLMPWRSQSDQNTYSKKLIQALLRINSPETTKPAAGQVRQTADRVLAATAKGRTRWSRAILGKWKKLRRHHKKVKKASTNGLKRERIQRLPAVQKKTRVLGQLVPGCRKVPLPNLLEEATDYISALEMQVRAMTALAELLAGGTPAGIAGQVLS >RHN71757.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2466972:2472207:1 gene:gene7391 transcript:rna7391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase, RNA-dependent DNA polymerase MSSFSLICVLGLFIIIGHVAHAQNSQADYVNSHNEARRQVGVANVVWDNNLATVAQNYANSRRGDCRLTHSGGRYGENLAGSTGDLSGTDAVRLWVNEKNDYNYNSNTCASGKVCGHYTQVVWRNTKRIGCAKVRCNNGGTFIICNYDPPGNYRNSDGSTLYYTTRLVAKGFHQRSGIDYKDQFSLVVKQNGVMHFYFFSMKLLMKKYICLNHLFLCIKYTYSNYICMLQKSLYGLNEAPNAYTNIYVAIKTILIVAYFVVYVDDLLFNEITKMSSFSLLCVLGLSLIMVHVAHAQNSQSDYVNAHNDARRQVGVANIVWDNTVASFAQDYANQRKGDCQLIHSGGGGRYGENLAWSSGDMSGSDAVKLWVNEKADYDYNSNTCASGKVCGHYTQVVWRNSQRVGCAKVRCDNNRGTFITCNYDPPGNYVGEKPY >RHN70328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50682142:50682401:-1 gene:gene18898 transcript:rna18898 gene_biotype:protein_coding transcript_biotype:protein_coding MKCILFTQQHVLILVLMILFCLSSWCVEGSRHSPATSFSFNHFIMAQAYSGPSRRGRGHSLIS >RHN64267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57788678:57790571:1 gene:gene27069 transcript:rna27069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MSDIEVAEKSNRRSSVFLGHCHIILLWYPSWLVSFVFLAFSSSHFHFDFKFPIPSHSPNHFSLMDATSQPLKYQTWFLKVSIHCEGCRRKVKKVLQSIDGVFTTTIDPQQNKVTVTGNVALETLIRKLAKAGKHAEVLPENLPGKVKDSNKAKNNNKSEQKNQETQQKKDHCSANTESNLNSAKDKGIENAEKCNGKSKSTNTKTSAGSPEKSPAGGKGQETKNKSGQSGGGDSGKKKMKKTQSGTGSNGLSSASTGAPAHTGAEFQCPGQVVGQVNLSPTRQQSYMLYPETCYPPLVQYATYNRLCPMGTMGCPSYYVPPLPYMCAGLDRDPYQFQSTPLIPFEFFSDENANGCSIM >RHN73807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20054189:20056384:-1 gene:gene9675 transcript:rna9675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MVRNNTAVNGAANGGAPLVTDPSQQPGSVYYVHSSDGPSSVAITPVLTHSNYHSWARSMRRALGAKNKFDFVDGSIPVPTEFDPSFKAWNRCNMLIHSWIMNSVETPIAQSIVFLDNAIDVWNELKECFSQGDYIRISELQCEIFGLKQDSRSVSEFFTALKVLWEELEAYLPVPICSCPHRCVCATGLSNARHQHEITRSIRFLTGLNDSFDLVRSQILLMNPLPNMNKIFSMVMQHERQFKSSSSFNDESKILLNSVDSRKFQGKGRGNGRTCSFCGRSNHTIDTCYKKHGFPPNFGKTQAMANNSSLEMHEGREELDDSKSCRGTESYGFTKEQYEHLVNLLATPSGSTSKVVSHVTSHTNSGKEELEDDWFG >RHN75175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:39977164:39980712:1 gene:gene11343 transcript:rna11343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MVMMVKLVLVVVTILIAFLVNVSFALYTPHDNYLIACGSSKSINFQDRVFVPDSQHSTLVLKTGNSVVVGSSNSSVVPSPIYQSARIFTERGSYRFDVQQGRHWIRLYFYPLPNSLHNLTSASVTVVSDDFVLLSNFTFRNYSDSYMFREYAINVTSDTLTVTFIPSNGSVAFVNAIEVVSMPDDLFVDQALALRPLAPFNGLSELAFETVYRLNIGGALLTAENDTLGRTWENDQKYLHVNSSVTNVSVSPTSIKYRPGVTAETAPNWVYATAEAMGDANVANSNFNITWVFPVDPNFSYFVRLHFCDIISMAMNTLVFNVFVNTDIAIGSLDLSSLTNDLALPYYKDFVSNATSDNTLTVSVGPDTMADITNATMNGLEIMKISNALKSLDGLSSVKSLLPSSKSKKNKIGIIIGSAAGAVVAFAFVGLCVCLLARRKSKSSPQEGGHSWLPLPLYGNSQTITKMSTTSQKSGGTASFISSTNLGRFFTFQEILDATNKFDEKLLLGVGGFGRVYKGILDDGTNVAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGTDLPPLSWKQRLDICIGAARGLHYLHTGASQSIIHRDVKTTNILLDDNFVAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPREQVNIAEWAMNWQKKGMLDQIMDQQLVGKVNPASLKKFGETAEKCLAEYGVDRPSMGDVLWNLEYALQLQETSSALMEPEDNSTNHITGIQLTRLENFENSVSMVDGGNSVTDDDAEDAATSAVFSQLVNPRGR >RHN64067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56336359:56338913:1 gene:gene26847 transcript:rna26847 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S17/S11 MAEQTEKAFLKQPKVFLCSKKSGKGKRPGKGGNRFFKSVGLGFKTPREAIDGTYIDKKCPFTGNVSIRGRILAGTCHSAKMNRTIVVRRNYLHFIKKYQRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSSGAGKKAFTGM >RHN71087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56411630:56412327:-1 gene:gene19730 transcript:rna19730 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MAIRKSTKLPQTALIKQILKRCSSLGKKQQGYVDHHGLRLDVPKGHFVVYVGENRSRYIVPIAFLSRPEFQNLLHQAEEEFGFDHDMGLTIPCNQDVFESLTSMLR >RHN68490.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35926305:35926781:-1 gene:gene16852 transcript:rna16852 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCFPTGLLSLSPSPVWISDSFPLLLRFDLCDRCLSPPSTMADSRLELQKQRFNDDDYARISENREKIQEKTVEFG >RHN62126.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40966001:40966547:1 gene:gene24661 transcript:rna24661 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLLLQNQCFTKPLNRIQKPKYPQHFLSLLLNNPLPHYHSLQQLHSQIITTSLFHHYPFRNNSTSLLFFNNLIRSYSLSYFPHQALQFFTHTLNNLTLKHPFYLDSFTLTFVSHACANLNTTRFGFHLHCIVFKMGFKNHVFVQTGLLQIYSNWGFFC >RHN70359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50862211:50863729:-1 gene:gene18929 transcript:rna18929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RST domain of plant protein MFSNNYTMHNQEAAVNEQPSSQINRNKQVPIGLLLPIIIPQLAEDKAIELQTLMEKLKRDEISKDQCVRLLKGIVEDQMIRKALTEVQQQIKSNTGSSGQQHPISMPTVTSIPAQFNDPHAIVKLHPRSMNAAADHSHNNSSVIQVKTGPTYSTMDISTKKSQEHDVQAVEPTQLLPSSSNTICQETENTPVHTQGFYNQQQQHIHFPSPYEISGGNFNPFLGKITGSLSSLRPQPQPLNSHKRRIPHQSIGLNHLGVEQQSLFNDPKRMRGGSVSTVVNNTASQQTLNSWQSSAEPNDLSTEQQHKHHLSKLHGLPSVNSGQNEQGGDVNQGTIKDPAGEAEGEWEQQVPPPEQQANQQEPAALEAMGQAALHQILDVFWEIRTDFRRLESRLGAVEARLKDLEEYVIQNPSVSVMSPRSRQLD >RHN39902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:11564415:11573792:1 gene:gene45985 transcript:rna45985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiolester hydrolase MEHKEEVFEFLGSVPLLQRLPSSSIRKISELVIVKHYERGDYVAREGEPGDGTYFIWDGEAKVVGSVSDNDESRSEFQLNKYDYFGCGLSNTVHLTDVVALSKLTCLLLPRKHLALLWPKFIWTAEKCLERPSSVENILQLEPLEVDIFQGITLPDAPRFGKVFGGQMVGQALAAASKSVDSLKLLHSLHSYFLRIGDLNLPIIYQVHRISDGRSFATRKVDAMQNGKVIFTLLASFHRQESGFDHQEVDMPLVPAPEMLLPLEELRERRLTDPRLPITYRNKVATSEFIPWPIEIRFCEPKIATNQTKSPPSLRYWFRAKDKLSDDQALHRCVVAYASDLIFLQVSLNPHRGKGFTARGVSLDHAMWFHRPLKADEWVLFAIFTPSAYEARGFVIGQMFNQKGELLATLIQEGLTRNANLQIKSIKPKL >RHN38708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1408797:1410171:1 gene:gene44669 transcript:rna44669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MEDLNGFGFVEEEDHWKWRLEEDGAFSVKSMYSKLETRMVTVANSQGVERRVFLQIWMSKVPSKVVVFSWKLLHDRIPTKTNLAIRNALPADSSQVCVLCNSTAEDSKHLFLHCEGTSVIWRKLMDWLDFNFLIPPNLFIMWECWIGAVVNKKIRKGVRLIWHATIWNIWKARNDIVFNNATLDLEALLESIKVLSWRWCLGRLSLPACLFYEWNWNPKNCLLR >RHN80569.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39128471:39130872:-1 gene:gene4509 transcript:rna4509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MIFREGVLRRWHIVEDEDWILFYKDVLLSDRMGAFDELPEECIAAILSRTTPVDAVRLSLVSKTLRSAADSDAVWNHFIPSYPDFIETIVSKSPTFANIRTKKAVYLALCDRYIIISDYEDDNARKSFQLDKKSGKDCYTFSARFLDFTDSMLGFSCSRNIWTLTPDSRFTEVAARADVGWTDFLINICGRINTIDLSPNTQYAAYLVFKVIDVIGCSRPHVEFSVGVGNDHDDVTNPCYHTSKIVCLYRNYQGGANNTVVGLQSSIVRSDGWFEIEMGEFFNLGLENEVKMNVFMEMKDGNQNTRLLFEGIEVRPK >RHN40850.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:23068865:23069167:-1 gene:gene47084 transcript:rna47084 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLSDISIKHYETHDFAHGMPVWRVSITNNCGCPQSQVKLNCTGFQSYIGIDQALLAVSDTECLVKQGAPIPAAQSVFFRYAWLPKFKFEPISSKIGCT >RHN58349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:1016522:1019967:-1 gene:gene20254 transcript:rna20254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative IQ motif, EF-hand binding, potassium channel, voltage-dependent, EAG/ELK/ERG MFDSGYKSQYIEGQKEKFVRLDDLDSNSSMPSSSSGMKKLRFNLDCLPFLIPGRGKKNSSKSFRVGVKKGSDGLMNIGRSLKSGVTWGVFPEDLKVSQKKVFDPQDKNLLYWNKFFEILCILSVACDPFFFYLPYFNHKSYCLAIDNNLAKFTVILRTICDFIYLIRISFQFRTAFIAPSSRVFGRGELVIDPGLIAKRYLQRYFIIDFWSVLPLPQMVVWKYLYSARRWKVLDTKTSLLRHVIMQYFPRMVRFIPLASEVKKTAGVFSENALLGAMYYLIWYMLASHITGSVWYLLAIERNDTCWKNACKEVEGCNSNFLYCGSSSKHIPGYESWRNVSQSVLKSKCFIEDDNSAFNYGIFSQAIESHIVASIEVFPKFCYCLWWGLQNLSTLGQGLLTSTYPGEVLFSIVIAIMGLVLFALLIGNMQTYLQSMSVRLEEMRIKRRDSEQWMHHRLLPPDLRERVRRYDQYKWLNTRGVDEENLVQSLPKDLRRDIKRHLCLNLVRRVPLFANMDERLLDAICERLKPSLYTERTFIVREGDPVDEMFFIIRGRLESVTTDGGRSGFFNRGVLKEGDFCGEELLTWALDPKSAASLPSSTRTVKAMSEVEAFALEAEELKFVASQFRHIHSRQVQHTFRFYSQQWRTWAAIYIQAAWRKHLRRRRRKEEEEYYEDYAGSDDSARALVPGPESSSKFGLNTTVYASRFAANLRGHRLRVPSSRDLVNIPKPSEPDFSALNDKEL >RHN57655.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40823238:40826452:-1 gene:gene33233 transcript:rna33233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 4-hydroxyphenylpyruvate dioxygenase MANETHNQTGFKLVGCKNFIRTNPKTDRFKVKHFHHVEFWCTDATNTAHRFSHGLGMPIVAKSDLSTGNLTHASYLLRSGDLNFLFTAAYSPSISLSSPSSTASIPTFSPSTCFSFSNSHGLNVRALAVEVEDAELAYTVSVSYGALPSSPPVVLENGVKLAEVRLFGDVVLRYVSYNNPNQNQNLLFLPGFETLSGESSNSSLDFGIRQLDHANGNVPELSSALKYIKQFTGFHDFAEFTAEDVESGLNAVALANNDETVLLPLCEPVYGTKRKSTIETYLEHNEGAGFQHLALASEDIFKTLREMRKRSGVGGFEFMPSPPVTYYRNLKNRVGDVLSDEQIKECEELGILVDRDDQGTLLQIFTKPIGDRPTIFLEIIQRVGCMLKDEEGKEYQKGGCGGFGKGNFSELFKSIEEYEKTLETRRTA >RHN49419.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54682386:54689549:1 gene:gene44246 transcript:rna44246 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K domain-containing protein MIYYVPVLCHHCYPSSVRSHNSKLLTPLSLCPFSQNQNPRFHLHHSLTQTSNLHLYPAIKCVFGSGNSMAEVDQNAGEFEEQQKIVETEQNESEKVVEVPIVEPEKKWPGWPGESVFRMLVPAQKVGGIIGRKGEFIKKIVEETRARVKILDGPPGTSERAVMVSAKDEPGSSLPPAVDGLLKAHKRLIDGLDAPSGLAGKVSTKFLVPASQAGSLIGKQGGTVKSIQEASNCIVRVLGAEDLPVFALQDDRVVEVVGDPIGVHKAVEMIASHLKKFLVDRSIIPIFEMSMQMANNHPVEHMPVPPPPHQSWGPPQGLPPNAGGGPGFGLAPQFMPPRRQVDNYYPPAEMPPPLDKQPHHGISAYGRDASIGVHASSNTQSAPSMVTQITQQMQIPLSYADAVIGTAGTNISYIRRASGATVTIQETRGVPGEMTVEISGTASQVQTAQQLIQNFMAEAAAPAQQQTGGPPADQGYNSYPAHSSVYASPPSNPGHAGAYGAVYGANYGYQ >RHN56467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31059546:31060222:-1 gene:gene31874 transcript:rna31874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dimethylallylcistransferase MYHIICLYIKALRSSLLPSIHVIKISVIGDSSKLPKSLQKMIAIIEEKTKDNSRLHLIVAINYGGRYDVVQACKSVTKKVQDRIIHLEDIDENIIKNDLETKCIEFPYPDLLIRTGGELRVSNFLLWQLAYTELFFNRKLWPDFEKNDFVEALSSFQQRERRYVGAQH >RHN43006.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42519043:42520638:-1 gene:gene49524 transcript:rna49524 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDARKEKNGGWLSVPQFGDWDQKGQVPDYSLDFSKIRETRKQNKTNISRASLGNDEEFNIDSTSSSTSNTVSSIEQQQQQHTPRYHHQTHSPTTRKSFLSYFNCCVKS >RHN38964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3117729:3119085:1 gene:gene44944 transcript:rna44944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitinyl hydrolase 1 MENISPWSPWTIEQFTWTIKNFSKCDSQMYSDSFFLNGYPWRIVMNPKGNENNSGYLSLSILSVVADITDFSKDWKRYVNLELALTNQANALLTIVKVVFNRTRQSETEQELNASNYCWSVDKFIHLDELHNPWNAFIVNDTCIIKARIISVSEHEYERLINQEVSKMDSPAQPISVKEISLVPSCVNLCVNLVDFRGLGKVDKKFVPLLEEACSWHPSLLGKVDNKFVPLIEEACSWHRSRVENQRKRKHTLRFTEWAFTALGRVLHFLNTKRVKDMNEEACNHLQILWEELETVGFDLSWLKPYFESAIDKKSYAQRYASVNRLRKTIEALESDHAKAREELIEAEKEFVERDLDAKLGYGAA >RHN51010.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12881554:12881850:1 gene:gene35371 transcript:rna35371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative squalene monooxygenase MNYQYILGGEFLACSFAFVYVLYTLVEKKKPKEIASSSTYLKTNGPKNGICSSLLQEAAGGTDIIIVGAGVAGSALAYTLGKVEYNKTFISFHSHVQL >RHN39981.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12048755:12049990:-1 gene:gene46068 transcript:rna46068 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLDVGLFLMALFLLSGSLTQCRGPRCSYLMFEMSQIGLTRHLRKTKKIYIYIDINKGVKMIVCCVVWRIWKGKLEFSCVVE >RHN44539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5884198:5884741:-1 gene:gene38668 transcript:rna38668 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem II MIPTLLTATSVFIIAFIAAPPVDIDDIREPVFGSLLHKNNIIYGATIPTFAAIGFHYSHNSNPYELIVIHFLLGVACSIGREWELFSSGAVFLIYPIGQGSFSDGMPLGIYGTFNFTIVFQVEHNILMHSFHMLGVAGVFDGSLFSNMHSSLLTSNNQGNHKK >RHN45462.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21141992:21145655:1 gene:gene39807 transcript:rna39807 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid-binding protein MNNIVKFGYVMIIFLSLFFVVADAYAEMATPFEVKTRPFVFIKDINVKKDFWKMVVKVRDKWTVVKDGREHSEMVIVDAKGTDAQVVIPTAYKAETDKMIEENTTYTLSNFLVLTNDLFFKPSDNKYKLIWTGGTTTVDPNVHDIPDNDLKFKPFAEIVVGKWRSDLLYHVIGYVHEIGYCQVNQGVSKKLQVNLTLKDISDISLNCTLWEDYAAKFIKFNNDNKESGPVILMLKYGKIMEEACFLFYIFLLYCYI >RHN47306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:38686286:38690321:1 gene:gene41885 transcript:rna41885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BRO1 domain-containing protein MGCTYSVYKKKKSSFPEVVVLTPSIRIPVQSDLQRALKGLVPKDLADKLSSLRNQIVLVAEDTDGSAIAELRRALNEYLSVLIGLTKKEYGLEGLIEFKWKNFEVGKQDSSISNVWFEVLSCVHFMAMLTLSEADSLMIPKDHSDSGFRVVSADSKREAIDLLLKASGYLEFCVRKILPQIPAETKKILPHDLQEGVLEAIAIQALGQGTEIQLGLAVDSQKATLSVKRRMACEQLIYFSQAYHSLSECDFNQGHGKKHLRFIKWKFLESKATAYYYHGLILDKGNEPSSHILAVSCFLAAEELLQESKKACLSFCLAAPVTRAPPIWGVMKLLNQKIPEVASKKYQMYGYLLEQEKGLHQALPDLPEFQLSLHPDEYELPEIDPAWDSKNWETLGQPLKEHLRDSDENPTD >RHN60114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20706247:20706779:-1 gene:gene22339 transcript:rna22339 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQSVENMVEVIKFVNVIIIFISLFPFAMTVDANIVSCTQDFDCQTKICPFHLQPKCIVLEILPHSLSGGICGCD >RHN56032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27017041:27019915:1 gene:gene31351 transcript:rna31351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSSKKNNSVSVIVSNPKVLNCSNCFELLTIPLYKCDNGHIVCSTCCDKLEKKCPKCYISSKRCKAIENILQSMEEISCPNEKHGCRETISYCRNRKHEKECIYEPCYCPFSGCDFVASSEVLSSHFSQKHGDSQNKFSYDHSFIVSLNSNDETVVLQEKNDGQLFILKNITMFLGNAVNVCCIGPKSSESKYSYNILACSKMSELKFHTFAKNVQRVTLKTLSSKFLVIPFGFSEPLEIEICIRSFPCDSMMQIFIKKLDGKVLPLRVKSSDTIYSVKEKIFNKEKYAIIDQRLIFSGNQLPDHQTIANCNIEEKSAIFLVFRLMGD >RHN68205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33657552:33658098:1 gene:gene16522 transcript:rna16522 gene_biotype:protein_coding transcript_biotype:protein_coding MSVADLFSVDLEQQGVFWRWRRRLWQWEEEMLAECRTLLLDVLLFAECFRYLGLASCSFRWIHCSWCLSGANLAGLF >RHN51708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23262362:23263321:-1 gene:gene36213 transcript:rna36213 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIDGKRRKDQIIQLLNESGFGGMVHICKWTKIHTFFVEWVVRHFEKENMWIRLSKTDVLPLKEEDVHRVYHLPMAGEQINIKLCSEAAIKRLRVELGLDGDYSPFVKATELEIRLKKMEKPKAWVKGAICLIIHNMLCPNNSSLVSLHYAQVLKEASSYNWCSHVLQYMKDGLQNPEVANPLADFHFLMINYMEKMGKRSPFLTGKYKQPSLRD >RHN65133.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64423724:64425643:1 gene:gene28036 transcript:rna28036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MICFVDLNFEQGKRNLYSYWRSSCSFRVRIALNLKYDYKAVNLLKGEQSHPDFLQLNPVGFVPVLVDGPAVIFDSFAIIMYLEDKFPQQHPLLPTDIHKRAINFQAVSIVSSSIQPLQNHSFLMYIQKKVGLDEKLPWA >RHN53055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41553486:41557425:1 gene:gene37804 transcript:rna37804 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MARGFCFIFVVSSVLLMLLDCCYGSFVGICYGRNADDLPPPDKVSQLVQDHKIKYVRIYDSNIQVLKSFANTGVELMIGIPNLDLLPFSQFQTNADTWLRNSILPYYPATKITYITVGAEVTESPENISALVVPAMTNVLAALKKAGLHKKIKVSSTHSLGVLSRSFPPSAGAFNSKHAHFLKPLLEFLAENQSPFMIDLYPYYAYRDSPTKVSLDYALFESSSEVIDPNTGLLYTNMFDAQIDAIYFALTALNFRTIKVMVTETGWPSKGSPKETAATPDNAQTYNTNLIRHVINETGTPAKPGEELDIYIFSLFNENRKPGLESERNWGIVYPDLTNVYSLDFTGRGPVDMTADANATSPTSNGTKWCIASSNATQLDLQNAINWACGTSGNVDCTAIQPSQPCFEPDNLVSHASYAFNSYYQQNGASDVACSFGGTGVLVDKDPTYDNCIYMKTGNNQTLASNNTTATSISSPPSSSSKEVYTSISTSALLLIFLLFLLDIGQA >RHN64330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58207865:58213264:1 gene:gene27137 transcript:rna27137 gene_biotype:protein_coding transcript_biotype:protein_coding MSATGGAAIAGGSRNRNRHLGENRFYSPPPLRKHREKQEQQRSSLSRTSSENRPGSSSDCSISSRATSDISNLDRFLEYITPHVPAQYLPKTSSRRWKTKEAELVPYYVLGDLWESFKEWSAYGAGVPLVMNGNESVTQYYNVSLSAIQLYIDPTKPSTRLRKSSQESDSESTRETSSDSSSGYCNERGPKTNHGSGNHLNALDACNHAVERVSLSKPSTGSPSEETESSNPPGQLIFQYFEHETPYNREPLADKISSLASQFPELKTYWSCDLSPASWVSLAWYPIYRIPTGPTLQSLSACFLTFHSLSTALQSSNTDPLNIHYSRGRDISSKLSLPIFGLAHHKFKISIWDPDGVSESQKANSLARAAENWLRLLQVNHPDYNFFTTHPIYSR >RHN62309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42533507:42535669:-1 gene:gene24870 transcript:rna24870 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNSNVEKAEAYMKELENICNMLKKRHEEAKELLVRAVVNDNNLLMLNHPIYEEKISFHSSFQSYPPTLFVGITAGYFLNIFKHPEGSEICFSNDV >RHN72822.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11025828:11034263:-1 gene:gene8583 transcript:rna8583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAD-like domain-containing protein MATITQSLATSCSTIQRIRIQRTFNFNNNTRFFPNVTVSLQFPPFVFPGKSPRHTAAVFSADDDDSHITSNDFAVLLEVDGVLVDAYRVGNRLAFNKAFEKLGLDCASWNEPVYTDLLRRSAGDEEKMLFLYFNRIGWPSSLPTNEKGLFTKSVLQEKEKALGEFVTSKSLSLRPGVEQFIDDAYNEGIPVVILTAYSKSGDNIARTIIEKLGDDRSKKVMIVGIEEAGQSLYGQLVSGKVIASGLDEELAKEATRAASAERQRIAKEVASVLKLSVEIDTSLSESLDKIVAALHAGAEYAGLPLCNCVLVAGSQSGVAGAERAGMPCVVLRSSLTSRAEFPLALATVDGFGGADLTISKLRSLCQKKQPKD >RHN56538.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31745147:31748865:1 gene:gene31954 transcript:rna31954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MHDLIRDMGREIVRQNSEKDVRQISEKDPGERSRLWFQKDVHDVLTNNTGTKTVEGLVLNLETTSRASFNTSAFQEMKKLRLLQLDCVDLTGDFGFLSKQLRWVNWRQSTFNHVPNNFYQGNLVVFELKYSMVKQVWKETPFLDKLKILNLSHSKYLKNTPNFSLLPSLEKLIMKDCPSLSEVHPSIGDLNNLLLINFKDCTSLGNLPREISQLMSVTTLILDGCSNITELEEDVVQMKSLKTLMAARTGIEKAPFSIVSSKSIVYISLCGFEGFARDVFPCLIRSWMSPTINSLPHIPHMSLGVESNDLRLGNQSSTLRSCSTPRSVWVQCCSDIQLTEELKRLLNDLNSVDFTESETSHALQISDLSLKSFVITLGKSLSQGLTTTTTGSNDCFVLVNNYPSGLSYTCTGPSVRFRVPEDSDCHMKGITLCVVYSSTFENMETECLVGVLIINYTKFTINLYKRDTVMSFNDEDWQGVKSNLGAGDNMEIFVALGNGMTVKETGVHLVHGQSSTMEVESSSMTMEVEQSIMVKMEPLPEVEVQTQPNVKIDPSPEEEVQSSLDVKNEASLIIQNEPSLEPSLTVQDESSPKPNENIFAKLGKRVGKCVCLKL >RHN48441.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47489146:47491290:1 gene:gene43157 transcript:rna43157 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMDLRPSIFTTNCFFLFLILTLPYFSRALDTEIYEIDYRGPETHSFVPPPDHSHGKPHSAHDKSSAAATKATGFTGIDHATMKQKVKKFHG >RHN41524.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30762724:30764907:1 gene:gene47857 transcript:rna47857 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MSSSFYTLPLVFLSLNFLIFPSNSIHFQISHFNPSDANIVYQGSAAPIDGEVDFNINDAYYTSQVGRVIFSKKVLLWDSKIGQLTDFTTHYTFIIDTQNSSRYGHGIAFFLVPFGFEIPPNSAGAFFGLFNTTTMNSTSNLLHVEFDSYANRPWGETTEHVGINNNSIISSVSTPWNASLHSGETTEVLPEWVTIGISASTGSIGEKHKLLSWEFSSTLEQSDNDNNTKRTRLVVILAVTCGIVVMGVGALAIYALLWRKIKRSKKKKEEAMHLRTMNDDLQRGAGPRRFTYQELDLATNKFTDDRKLGQGGFGAVYKGYFFDQDLAVAVKKISRGSRQGKKEYVTEVKVISQLRHRNLVKLLGWCHDKGEFLLVYEFMPNGSLDSHLFGKRTPLSWSVRHKIALGLAFGVLYLHEEWERCVVHRDIKSSNVMLDSSFNVKLGDFGLAKLMDHEIGPQTTVVAGTLGYLAPEYISTGKASKESDVYSFGVVALEITTGRKAVEVMRDKDGDKGLIEWVWDHHGRGELLVTMDENLRKDFDEKQVECLLIVGLWCAHPDVSLRPSIRQAIQVLNFEIALPNLPLNRPIATYHAPTPTLSSVEASITTSLQHGR >RHN57158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36970132:36971148:-1 gene:gene32662 transcript:rna32662 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSNPNIKTFDWTFNPEPQDEPLQVKGHKLLFFIAIFTIIILFTALFLCARWLFQTHHTPHAPSHTSTFSSSQSEGLNADAIKKLPIILHQSNTSNHALEETECCICLSTFRDGEKVKVLPSCDHYFHCECVDAWLVNHSSCPLCRASLKIDLEFPKILIQEPPIRYNLAL >RHN53329.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1009453:1016973:-1 gene:gene28225 transcript:rna28225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein RDM1 MDEQQYLKKCSLEARSKRRLILNQKRPSRSKISTEGENMPRHTNDGNHLSGPAMYVETSTHSMSTPLSYYSKEQETSFINRNISTARLFGRTLVSGGHTIGENHHVSPGESEIRSITHLEGVKGRKECSSIAMKMSFPPALNSSLPYFTSNGLLASSIPNLPTTQSPISIPSIDINSSDVLIRRAAMYQDYMEQIPIPSSRGSVIPFTSWMGLGQSIKKLYGQPLHYLTNIILKQWDQMRIGSEDEYRRLDDIIHPCKAEATIWLMEQVHRQASSHFHLANLWKKDPMYNGFLDSIFPTLQATS >RHN49810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1326808:1327981:1 gene:gene34031 transcript:rna34031 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQQQNEETSSTMDSFLCPSFSTYSSNNINDVVQKVTNENDTSNTQNDNDDFEFVAFHNHRRNDVFPIFNHDGNERRNSDAAEISNSLKKLLIGDEKQRNHDGGGRRRNSDVAEISNSLKKLFIGNEKEERNRVPSSELEDDLDSIPAETYCLWTPNSSPMNSPKSPITSPVASPMNSLCKCKKSNSTGSSSSSSSSSRWKFLSLLRRSKSDGKESLNLLTPVKKENLKKLNSGEKNVAGKKIPVTEKKTPATVSAMEVFYRRKKESRVKSYLPYKKELIGFSVGFNANIGRGFPLHV >RHN61665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37290029:37292429:1 gene:gene24153 transcript:rna24153 gene_biotype:protein_coding transcript_biotype:protein_coding MANTFLSKLQNLWPFSALHFDELKPSKQLVNKLNIPDHTKQFVFAVRDPETQSLVYILSSFTLSEQSCSDARELINEVKPDAVIVQADWFSTFDDDDEEDEDEVPTSFFGVIKRCFVDKIGRDEYENVAGDFVLREIFGTGYNGHILAAKKAAKDVGSEFIVLLSPLETFCDNSNDDNDSNDDNDNNDSGLIIDAVHRFRDFVTGYMDPLLIGNSENESVSEAGSVEIQPTTSYDAPAFAQSIYPLLEQLHEIFSYLPSMGNALAHSQKMLMDVNRGEVLDAKTVSEVHTFRFAVEGLRIALHDEGMQPVGEKGISKSNKIEFSELPDDVKSQVKFTQAIRSQTDKFKTIVAVVDASVLADIRKHWDTPLPGEVKEVLGELMTYSEGKGASLNHGDSKRLFTDSPVVAVGAGATAVLGASSLTKLVPMSTLTKAVTFNTPASLKIVFSQMQKLLSVALGSSKVMAPGFATSGAKTSVFMKTAVSAEKIRAVTQVAIASAEKTSFSTLRLAFYDIMRKRKIQRVGFLPWASFAGSIATCTGLILYEDGIECAIQSLPAAPSIASLGRGIQNLREASKAVMQTEGTRIQKSIESFVNSIRTAGDQ >RHN46985.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36279992:36283522:-1 gene:gene41529 transcript:rna41529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MGGCCCSARKHHLQGTPVYYYCPPTFEERESLTSNDATTASISAGFLVGLNLEASIPDTFRSPPVPLPYDIVFGGSASPDSESCKETVSFSSFETSITHDDIEESDCKTQEKSASLSPRKVELSKSNGIQVLATEEEDGCPICLEEYDDDNPKNLTKCEHHFHLSCILEWMERSDSCPICDQEMIF >RHN46179.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29411860:29413338:-1 gene:gene40623 transcript:rna40623 gene_biotype:protein_coding transcript_biotype:protein_coding MARCRGSNLCLPFFHVEEPSSLDTLGILSFDAVKTMCRLISLYKSLTDIEIHKLRRHIIKSKGVSHLNSHDECFLLNLACAERLEDLNLAAIAVSRLGSRCSDKSLTHFEAVYAQIKNGAVDLKKLEFGTRNVEKIIERMEKLVYATRNLHNAMESLSEVEVSEKKIQKWKTMKANNGLKVKVEDFNDRIVFHRRQVQYYKQISLWNISFDKVVGLMAQIICLVYARISFVFGSLITGCNNNNNNNNDAKVKGVFRMKMENRCCRIQHSELYKINLCIFDKDEKKKNHFGHVLKSNRMGVIRFHNHSPVLAMASCGVGEVAAKNNSVFRLAPPTTVGGVGLSQRYANVILFTERIVHASAAIGDDARKLLYEMLPERLKVKLRGKLRKMRLKWEETSDEEEEGEEEWTTSERRGAAEEVMNWLAPLAHDTLKWQAERNLEKQKFETKPTVLLMQTLHYSNLEKVDEAIVDVLVGLSCAYWNQKQW >RHN42626.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39798062:39800867:1 gene:gene49090 transcript:rna49090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like protein MLILQTALMPRTFSPFSHSRFLSYSTLSTHHIVKPISISCSVSTNSSSKPEPEPNHPTSKQRPKNKGKIFQSGDGVSINKDDRNSEDEFPTTIPRKPRRGRKSEAMKVEDFVRDRLENTFAAIRQQNASALENHKDIMKDSVGDDCESKPKRGNDDSYEEEVEEEEDGGGEKAVIEEESESWPLDTDVGWGIRASEYFEKHPIKNVVGDDGAEIDWEGETEDNWVQEINCLEWESFAFHPSPLIVLVFERYNRANDNWKNLKELEKAIKVYWSAKDRLPPRAIKIDINIEKDLAYALKVRECPQILFLRGNKMVYREKELRTADELVQMIAFFYYNAKKPAWVDDKALYLRH >RHN52654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37438372:37438980:-1 gene:gene37348 transcript:rna37348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MINGFCKIKRVGEAINLFEEMQCKKIIPDVVTYSSLIDGFCKSEKISHALNLVNEMHDRGQPPDIIIYNSILDALCKNHQVDKAIALLTKFKNQGIQPSVYTYTILIDGLCKGGRLEDARNIFKDLLVKGYNITVNTYTAMIHGLCNMGLFDEALALLLEMKDNSCIPNVVTYEIIIRSLFDKDENDKAEKIIREMITRGLL >RHN41117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27152031:27155597:1 gene:gene47406 transcript:rna47406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Porin domain-containing protein MVKGPGLYSDIGKRARDLLFKDYQNDHKFTITTQTLAGVEITSAGVRKGEAFLADVSTKVKNKNVTTDIKVDTNSNLRTTITVDETVPGLKTIFSFIYPEQKSGKVELQYLHDYAGISTSIGLTATPTVNISAVLGNNLVSVGSDVSFETSSGSLNKCNFGLNVTHADLIASLTVNDRGDSLNASYYHVVSPLTNTAVGAELSHSFSSNENVLTIGTQHALDPVTLLKAKVNNYGKASALIQHDWSRQARFSLVGEVDTAAIQKTAKVGLACALKP >RHN49529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55425413:55429831:1 gene:gene44370 transcript:rna44370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc-ribbon domain, plant protein MTDSSKLRLVRCPKCENLLPELADYSVYQCGGCGAVLRAKHKGYVSGSLSDEGKVVGEGSGKLEKGLVDFSDTSNVESKSGPSRCDNERGSDKTNEIIPNQSDEKGFFENDIDVNRNNDDEVDKAMERQQEEPKAQIDQENGSKFSGRVSNLQNGEKTYMEGFRRKQRVDMESVRFPSSNYPDEGPSNGYSGFSNSYKELWRNDKERDGANRVQHLEQDRAELLRKLDELKHQLSKSSEVVNNPKEKFLPDERMIPPDPHPFGGSDPWFPNGPSGLNRTSRQFYGPDKHMAGPPHVNFHHDPYPYIGGHETAMPNFHPSMQNPNQYGDPFASQLLRRGPHQFSQQPLHPYFPGRYVDPNPDSYELYAHNNNAMLHQPSCSCFHCYDNKRRGSVPMPPPSFPNDPSMLYHHEIPGGYGSHVHNSKASIPPARLRENQLHTRWPSDFNSEMGGFTRNRHRKVMVASSSRRCHPVAGGSPFITCNNCFELLQLPKKALVLARNHQQKVRCGACSSEISVSLINKKLVISHSEMKGAPSRVDDSSNEVLSSRVSHTRGLANRNGANFSSDDYSGYDFLSVDKEPLSAVALNSNKSQEMQSFHSSSPSTSEDENSSEAMIAPREALKSIHRPTTDSLSPPSGSSPLQEYVDHSNSNRAVNRFGKGNRSSRSEQEKAKLEKIASRQNSLKETAVATEMDVHDYSNTGVSQDSRDASREHDHPRSNKGGESFFANIIKKSFRDFSRSNQNDDCGKINVTVNGKPLSDRVVKKTEKLAGPIQPGNYWYDFRAGFWGVIGGPCLGIIPPFIEEFNHCLPEKCSGGNTGVFVNGRELHQKDLDLLSGRGLPPDRDRSYIIEISGRVLDEDTGEELDCLGKLAPTVEKVKHGFGMKPPRAAQ >RHN78230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12977077:12979037:1 gene:gene1764 transcript:rna1764 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MSFQYIPDFHRIILQDKKLRVPKKYVEKYWKGISNPIFLKLPNGVQQKIFWVENNGDIWFQKNWDNFAKSLKYGNLLTFKYIGGSYFKVKIFGVNALEIDYSNIKSVDEVVEATKEDKEIVDLTDESEIPKEAQMMTNGKRKMSIDIDTLKQKFPGRNIEDTVKKVKKSSRIEVVNETTNNVNPFFEVLMTQTYAHGHILVIPCNFSRSYLNKFEGIASLRVGEDTAMKVDIVFNNKRSSKSMSTGWKLFNQKYNLQVGDKCKFVMTQREPLLFTITITKAIKGPNPKKLQGYKEGISSVDRDFLKRKDTEETCRTLPKVHALQGYKEGISSGDRDILKRNGTGETYRTLPKVHALQGYKEWTSSCDKNILQRKDIGETSSWSCPKEHASHGIIF >RHN78418.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14927783:14930646:-1 gene:gene1970 transcript:rna1970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MEDLYFVYKYLPWHYNNKSLSLIRNFLCVQISKMFQKMKPLPLLCVRLFFYVFVIATSPHATTKIQGSEVDVLLKWKASFDNHSRALLSSWIGNDPCSSWEGITCCDDSKSICKLNLTNIGLKGMLQSLNFSSLPKIRILVLKNNSFYGVVPHHIGVMSNLETLDLSLNRLSGNIPSEVGKLNSLTTIQLSGNNLSGPIPSSIGNLIKLTSILLDDNKLCGHIPSTIGNLTKLTKLSLISNALTGNIPTEMNRLTNFEILQLCNNNFTGHLPHNICVSGKLTRFSTSNNQFIGLVPKSLKNCSSLKRVRLQQNQLTANITDSFGVYPNLEYMELSDNNFYGHLSPNWGKCKNLTSLKVFNNNISGSIPPELAEATNLTILDLSSNQLTGEIPKELGNLSSLIQLLISSNHLVGEVPEQIALLHKITILELATNNFSGFIPEQLGRLPNLLDLNLSQNKFEGDIPAEFGQLKIIENLDLSENVLNGTIPTMLGELNRLETLNLSHNNFSGTIPLTYGEMSSLTTIDISYNQFEGPIPNIPAFKNAPIEALRNNKGLCGNSGLEPCSTLGGNFHSHKTKHILVVVLPITLGTLLSALFLYGLSCLLCRTSSTKEYKTAGEFQTENLFAIWSFDGKLVYENIVEATEEFDNKHLIGIGGHGSVYKAEFPTGQVVAVKKLHSLQNGETSNLKAFASEIQALTEIRHRNIVKLYGYCSHPLHSFLVYEFLEKGSVDKILKDNDQAIKLNWNRRVNAIKGVANALCYMHHNCSPSIVHRDISSKNVVLDLEYVAHVSDFGTAKFLNPDSSNWTCFVGTFGYAAPELAYTMEVNEKCDVYSFGILTLEILFGKHPGDIVSTALHSSGIYVTVDAMSLIDKLDQRLPHPTKDIKNEVLSILRIAIHCLSERTHDRPTMGQVCKEIVMSKSS >RHN70176.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49368784:49369076:1 gene:gene18731 transcript:rna18731 gene_biotype:protein_coding transcript_biotype:protein_coding MSPADVCTRCNSANKDVFHCLQDWAFPRKLWLAIGFNSINFFLKHQPSLVLAFRMSFMLSF >RHN61283.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34457557:34461654:-1 gene:gene23727 transcript:rna23727 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-V family MSESTTTSSTSLMSFKVLILAGIFLIIVIIIVLLIYLCFRGSRKKRKMLPKLHSSGSTPLVSKEISMVKEIDLTRSSEKQTRIEIIEDHDEVGPKKEAEIMVEIGGVRKGDGGGHMMEDPNIGWGRWYSLKEVEMATRGFEEGNVIGEGGYGVVYRGVLQDGCVVAVKNLHNNKGQAEKEFKVEVEAIGKVRHKNLVRLVGYCAEGARRMLVYEYVENGNLEQWLHGNVGPTSPLTWDIRMKIAIGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKNWNAKVSDFGLAKLLGSEKTHVTTRVMGTFGYVSPEYASTGMLNERSDVYSFGVLLMEIITGRSPIDYSRPPGEMNLVDWFKAMVSSRRSDELVDPLIETPPSPRALKRVLLICLRCIDLDVIKRPKMGQIVHMLESDDFPFRSELRTIRDKDYVPSQADVSIKVPYQRKHTEPAEKSTCR >RHN66072.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8283114:8283500:1 gene:gene13984 transcript:rna13984 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTTTHSALSFTLLIALLIISSDMCMDYVEGRMNSEIVSSLIHTNDNGNCSSCKSDSDCINCILPDCNRAPGGVTTCFQHECVCQVFPPKQY >RHN77921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10515352:10520324:-1 gene:gene1426 transcript:rna1426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyllide a oxygenase MNAIATASALSLPIFCRTSKLDTKRGLKGKFGVFAVFGEADKKSAWGAIFDVQDPRSKAPLSKGKVLDVFQAIEVARHDIEYLDWRARQDVLTIMLLHEKVVEVLNPLAREFKSIGTMKKDLAGLQDELAEAHRQVHISEARVSTALDKLAYMEELVNDRLLQDRSTAEVAETSSPPSTSNKSVHTERRRKPKKSLNVSGPVQSYHPNLKNFWYPVAFSADLKDDSMVPMECFEEPWVIFRGKDGKPGCVQNTCAHRACPLHLGSVNEGRIACPYHGWEYTTDGKCEKMPSTRMLNVKIKSIPCFEKEGMIWIWPGSDPPAATLPSLLPPSGFIVHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSFVNFLTPASGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGQSTKECSTHLHQLHVCLPSSKDKTRLLYRMSLDFAPLLKHMPFMEHLWRHFAEQVLNEDLRLVVGQQERMNKGANVWNVPVTYDKLGVRYRLWRDALEKGDKQLPFNTHNN >RHN64404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58792976:58793647:1 gene:gene27220 transcript:rna27220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MDDISPNFEFFSVRDNTWKQIGMEDTHFAYMISTNDHRKIGVLFNEAIYWLAFRYDLKVFVIVAFDLMERKLLDMPTLPDDFIHQTSDCGLWVFGEFLSLWTLEDHNKFQMWVMKDSKLHSSWTKTLILQIDAVIPKFSPICSTKNGDIIGTDGGSGLVKYNNDKVQLLDYHSYYNNSVGSKVAMYTESLLSLPAVHEQVQKDDTNKKNKVLKYSPSPFYLYL >RHN57604.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40482061:40485187:1 gene:gene33177 transcript:rna33177 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVKLLNFSNSKSMKFNPLTTTILTHTPRLISHTPSFSSLPLSPSSLPNALLIDKLLFRLKQNDFSSLRTHLLHSNSTLTLTLIPQLLQKCQNYPLLLPNLIQTIASTSPNPSIIATLIHFLVQSKKLPEAQSLLLRIIRKSGVSHVEVLWIGKNHQLRIELKKTAVCESRRTWQCRRRRH >RHN41476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30313015:30316172:1 gene:gene47805 transcript:rna47805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MYIHVFNCSLKNSAEISHAATIGGSFHSDRSSIGHALADEPRSVVKPRHVSLIRLYSMIGPYWSYGVFGTLAAFTTGALMPLFALGISHALVSYYMDWDSTCHEVKKIAFLFCGAAIVAITAYSIEHLSFGIMGERLTLRVRGIMLSAILKNEIGWFDDTRNTSSMLSSRLETDATLLKTIVVDRSTILLQNVGLVVTALVIAFILNWRITLVVLATYPLIISGHIGEKLFMQGFGGNLSKAYLKANMLAGEAVSNIRTVAAFCAEEKVIDLYADELVEPSKRSFKRGQIAGIFYGISQFFIFSSYGLALWYGSVLLEKELASFKSIMKSFMVLIVTALAMGETLALAPDLLKGNQMVSSIFDMIDRKSGIIHDVGEELMTVEGMIELKRINFIYPSRPNVVIFKDFNLIVPSGKSLALVGHSGSGKSSIISLILRFYDPTSGKVMIDGKDIKKMNLKSLRKQIGLVQQEPALFATSIYKNILYGKEEASESEVIEAAKLADAHNFISALPEGYSTKAGDRGVLLSGGQKQRVAIARAILRNPKILLLDEATSALDVESERVVQQALDKLMQNRTTIIVAHRLSTIRNADQIAVLQDGKIIEQGNHSSLFENTDGAYFKLASLQQETATCT >RHN58519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2428476:2429561:-1 gene:gene20439 transcript:rna20439 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding domain-containing protein MQFFPKKKGTPRKPEIVFVAPTGEEISSKAQLKKYLKNHSGDPDISEFHWGTGETPRRSTRIRETAKTTPPPAQAEPPKKRSRKKEDKETETESPSEEVKEKGKSSAEELKADPSDADKNVNAEEIKQSNVEAETVIAEKPTLMAEPAKRIIVHALSTVAAAKPHLEKPQVEDTSMTEPVKQVSEEALNAVVAEKPQLENPQVEDTLMAEPTEKLLEEASDAAVAEKPQLEKPQVEEISMAEPAEQLLEKSLDPVVAEKLSGEAPVELEKENRTAELEKPQVEEPSLAEPVEQLSVEALDAVVAEKPKEEAPVELEKENGTADSSYKQEKSGAEESEGAEKVSLNVEDIINGKNEISASDE >RHN38833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2352776:2360024:-1 gene:gene44802 transcript:rna44802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein SCAI MAQQQQQQLNSNIPVSEVFWTLVDKADKKFSKIRDLPYYQRSRHDTYFCKVFKVYTQLWKFQQENRQKLIEAGLKRWEIGEIASRIGQLYFGQYMKTSDYNYLSESYIFYEAIFTRDYFREGLFSDVNIANKQLRFLARFLTVCLLLNRREMLQQLVNQLKVLVDECKRVFVDSDFKEWKVVVVEIGRFLKVDTGFMNVRPVRYSLVLDSHPDTLPRVPVAITKRNLKLRDAMLSSFHYNEVKFSEFTIDTFRMLQCLEWDPSGSFYQSGGSKLSQNGATGTGRISYIQDIADPTLPANPRKAILYRPSLTHFIAVLATICEELPLDGILLVYLSASGAGSSGAGNNESGCINLGSRGDRGSNSIYPSDFLPFTRRPLLLIIDNENSKAFKVITEAEKGESVAMLLSPSRLPPFVSDCSHSSNGSLFTMFLTAPLQAFCLLLGFSATDIDLDLYNKAETLLSSSLNNWGLALASTDTLDPVWGQVLGDPFIRRLLIRFIFCQTVLTMYAPVYDKNEFLPTCVPSLPTPVLAPSCSYQSVILQLAGIFGATKHFIFSEDLSPKNTIPNMDQL >RHN80764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40707230:40713689:1 gene:gene4729 transcript:rna4729 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEEDDESFGDFKFASFPNQQFSSTSVDWGGFVNGGTSSKPFDPFTVSSDRIQKHVNETNGVAVEANKARGAIPLSIFGEEDDEPVVSHSNDFFSSKSNGGGAVKNGSDLNGVVGISDLISNLYYQKPKVDSQNGSVLNSVSNVDATNPKVDGPVNSNSNGNDLNQHEDEEDDDGWEFKSAEWEAGNNNLNVKVESPKQGNSVVGVGALLDSSPGISDKVGEWHLGFEFSPISASHSLQPGPKSESNETGAGFNMFNQTLGMLANAHSWPGSNQTLEAPKKDNIFPTDIEAFNGNGGASHSSIDPSLASQSHQSNGWGFGFDFNSSSKGEDSLFSESYFKTENNHDEINKSNASPTNTNIDSVVNLFESKDADMEIGIKHEKPLITSEDRREALPLSIFGDDIPDTNEHSNSQDLSPYAPVSPMQNNFNSPGSNLSINDLIWSLYSQTEKKTSPDVTPKASENHIRVSPELSGSNLDNSDDFDDDFGDFKDASPETRFAQESTQNTSFNHPTEFNENGLQTSLEVLNSDLINDNDDFEDDSWEFKDAISGTSTQDQASTIDHRDLLTQFSTKLERSDCLEFFSKLKEELCNNVLFHLQNLKKAQDVAALSGEDAKVKALEVEIQESSEILHQHHMSVPVEYLSENYSPRNVNFDELLKVLKEPKFLPLESEYQLASRLSMAETDIKSAMELLKDAVSTLRILKLGSGEEQSNYLTIWSKIAFVCSQELKHGAYIWKEAVQKNVHDQLLSIPKGVQYIHALGEIYRVAEIVGASAKLHKPWMLSGSIDCTSLFTLLNECNSLWLASGLEEALSSISNHNNFDADGISRELVESIKYIHEFDEHAFQSYVFSGEETVCQLSALPAGCIPGLNLATWNGKHYFVNLANLWGNLISSDPPK >RHN63412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51042644:51044258:1 gene:gene26109 transcript:rna26109 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RIN4, pathogenic type III effector avirulence factor Avr cleavage MASQDKGRPLPKFGEWDVNNPASAEGFTVIFNKARDEKKTNTSINMATPRTADPVFKNDNHPKYPRKSKWFCCG >RHN63720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53516895:53521568:1 gene:gene26457 transcript:rna26457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonoid 3'-monooxygenase MSPLIIVFATIAAAILIYRLLNLITKPSLPLPPGPSPWPIVGNLPHMGPVPHHALAVLALKHGPLMHLRLGYVDVIVAASASVAEQFLKVHDTNFSSRPTNSGAKYLAYNYQDLVFAPYGPRWRLLRKISYVHMFSSKALDEFRHIRQEEVARLIRNLASSGSKAVNLGQMLNVCTTNALARVMIGRRVFNEGNGGCECDPRADEFKSMVVELMVLAGVFNIGDFLPAFEWLDLQGVQGKMKKLHKRFDTFLTSIIEDHRISKSEKHNDLLSTLLSLKEKLPEDEDTLNDTEIKALLLNLFTAGTDTTASTTEWAISELIKNPKLMACIQNELDTVVGRDRLVTEQDLTNLPYLEAVVKETLRLHPSTPLSLPRVATQSCEIFNYHIPKGATLLVNVWAISRDPKEWSNPLEFKPERFLPGGEKFDVDVRGNDFEVIPFGAGRRICAGMSLGLRMVQLLTATLAHAYDWELENGVSPEKLNMDEAYGLTLQRAVPILAHPRPRLSPHLYL >RHN44683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7382436:7382846:1 gene:gene38831 transcript:rna38831 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpE MTLNLCVLTPNRTVWDSEVKEIILSTNSGQIGVLKNHAPIATALDIGILKIRLNNNNRQWVTMALMGGFARIGNNEITILVNDAEKSIDIDPQEAQQTLKIAEANLNKAEGKRQKIEANLALRRARTRVEAINRIS >RHN39190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4850796:4853430:1 gene:gene45193 transcript:rna45193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MEVRKEAVEFFSRQVEASAWERPNLDGVSFANLSVGDNEDLVAPFLMEELESVVKESDGNKSPGLDGFNFAFVKNFWYLIKDEVRIMFDQFHANEVLPKSMLAYFVALIPKISSPLAFKDFRPISLLGCLYKILSKVLARRLAKVMGQLISSSQSAFLKGWNLVDGVLVVNEVVDFAKKSKKESLVLKVDFEKAYDSVDWGFLVYMMRRMGFCSKWVGWMKSCVCGGSMSILVNGSPTEEINIQRGLKQGDPLAPFLFLLVAEGFGGLMRNVVRLEMYEGFKFRSEGMVVSHLQYADDTICIGKATVENLWILKALLRGFEMTSGLKVNFFKSCLVGINVTAEFMNMACDFLNCSQGCIPFNYLGLPVGANPGRVATWEPLLNLLTNRLFSWGNKFISLGGRVVLLNSVLNSIPIYYLSFMKMPSKVWRKEVRIQREFLWGGVEGGRGINWVKWKVVCQPKGDGGLGVRDIRVVNLSLLAKWRWRLLHEGSSFWKEVLREKYGQGVGEPLLLGGANCPNYASRWWKDVVNLGDGDGLNWFNSEVLRRVGNGRTTSFWDGFWTGNSSVRVKYPRLFSLSNQKEAFIEDLWERDGLGGWWAFSWRRDLFVWEDLLLHDLLEDLNGFVLGEEGDDWIWRLDEEGAFSVKSMYSKLETLMIREEDLDGLPRKVFSHIWKSPAPSKVVVFTWKLLHDRIPTKINLAIRNSLPPDSSLQCVWCNNSIEDSTHIFLHCEGVGKVWCKLMEWLEFNFLIPPNLFIMWQCWNGEFLNKKLRRGGRLIWHAAIWSIWKARNDRIFNNVVTDVEELLESVKVLSWRWFLGLFVAVMLASLAVLLRCWFSVLV >RHN59201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8402450:8411970:-1 gene:gene21199 transcript:rna21199 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MSSSPSSSYEVPHPHHLWMFDVFLSYHDKYIGKSFALDLSSALTQAGYAVYINNHDLTSGEQRNSAAIKACRTSIIIFSSKFDGSTWFLEEMEKILECRRTIKQVFVPVFYDVDPSDVLKQKGVFGEAFVDCIARGILTEDSSIRYRDALFEAANISGFRMMDTRSQYNEINDIVQGFCHLIEDQKSLFIAEHPVGVEARVKDVIQLLNSEQAENTMIVGIWGMAGVGKTIIAKATYNQMSFTFDCKSILKNVNETCKSGDDGLVSFQRQLLLDICKTTKIHIDTVESGKKILQRSLCHKKVFLVLDGVNKLEQLNALCGDRDWFGHGSRIVITTSDKHILRNLQLDHVYRMKYMDNTESLKLFSWHAFRTPSPKESYADLCRDVVEYCGGLPVALEILGSYLFDRSVQEWKIALQKFKTILPYQIEKKLRKNLDVLDHDNQDVFLKIATLFIGMHKDDVIQTLNYSGHFPEIAISILEDKSLLTIDGNNRIGMHTLLRAMGREIIRQQSMDMAATKMYDVFLSFRGEDCRAKFISHLYISLQNSGLYVFKDDDGIQRGDQISVALIQAVGQSKISIVVLSKNFANSKWCMTELERIVEISRTKGMVLVPVFYEVDPSEVRHQTGEFGKAFECLLSTKSVDEYTKRNWKAALHEVGSIAGVVILKSSDESEDIKKIVDLVTHLLDKTELFVADHPVGLESRVRDVIQLLSRQKSKDPQLLGIWGMGGIGKTTLAKAVYNKIRHDFDAKSFLFNVRDVWKVDDDKVSLQQRLLFDICKTTKIKIDSVESGKKILQERLCSKKIFLVIDDVNKLDQLNALCGDRKWFGKGSRILITTRDDDLLSRLEVDHVYRMKEMDSSESLELFNWHAFKQSTSREGFTNISRDVVKYSGGLPLALQVIGSFLSTKKIKAEWKDVLEKLKLIPNNEVLEKLRISFDGLSDDDVKDIFLDIAFFFIGMDREDVTKILQDCGHFSVIGISVLVQQSLVTVDRKNKIGMHDLLRDMGREIVRKISKDADKEPSRLWHYEDVHKLPIDTSSLAVKGLSLKMSRMDSTTYLETKAFEKMDKLRFLQLVGIQLNGDYKYLSRHLRWLSWHGFPLKYIPADFHQDTLVAVVLKYSNLERVWRKSQFLVKLKILNLSHSHNLRHTPDFSKLPNLEKLILKDCPSLSSVSSNIGHLKKILLINLKDCTGLRELPRSIYKLDSLKTLILSGCTKIDKLEEDIEQMKSLTTLVADDTAITRVPFAVVRSKSIAFISLCGYKGSARRVFPSIIQSWLSPTNNILSLVQTSAGTLCRDFIDEQNNSFYCLSSILEDLQNTQRLWVKCDSQAQLNQTVASILYSFNTQNCEGFSNIETSASNFRRTQVCISSSKNSVTSLLIEMGVSCDVANILRENILQKMPPTGSGLLPGDNYPDWLTFNSNSSSVTFEVPQVDGRSLKTIMCIAYSSSLDNTTTVGFKVVLVINCTKNTIHVYKIGALLSSFDEEEWQRVISNIEPGNEIKVVVVFTNEFIVKKTTIYLVYDEPIEEKTNHCHEPDENGIVSSGDENIFGRLFFTIPSLARAVLISRPFWFCLAGIMVWSSSCRSDKRTRNNPILRDVASTSSQGFNNLESLDKNPLDGVQDVKRRG >RHN41529.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30790876:30791658:1 gene:gene47862 transcript:rna47862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain, legume lectin MPLYNTKPVFLILLPLLMLHHNWNLSFEFSNFFGPYRNEIITYQGDAIASNGTIQLTKVENGINKPFSVGRASYAIPIHLYDPKIGLVSFTTTFSFIVRSNGQIVGDGIAFFIVGPNHSKIPESSSGGYLGLFSPETAFNSLQNQIVAVEFDTFANEWDPPYAHVGININSIRSLQTERWGIESGDNVLTTVVATINYDALSQRLSVVVNSVNRTTISLSEVIDLRAFLPEWVIVGFSGATGGFVETHKILSWNFNSSKN >RHN80646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39746473:39749578:1 gene:gene4593 transcript:rna4593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MDQKPEHDGNDELSFPEQNHELVLSENNDLTVSESQGFNESMDLAVVQNPEMSIESANDMVVYQSQYMLSSTPHVVQARTVDVIPTYELSVGQEFPNVKSCRRALRDTAIVLHFEMQTIKSDKTRFTAKCASEGCPRRIHAAKLPGVPTFTIRTIRESHTCGGISHLGHQQASVQWVASSVEQRLNENPNCKPKEILEEIHRVHGITLSYKQAWRGKEHIMAAMRGSFEEGYRLLPQYCAHVKRTNPGSIASVYGNPSDNCFQRLFISFQASIYGLLNACRPLLGLDRIYLKSKYLGTLLLATGFDGDGALFPLAFGVVDEENDDNWMWFLSKLHNLLEINTENMPRLTILSDRQQGIVDGVEANFPTAFHGFCMRHLSDNFRKEFNNTMLVNLLWEAANALTIIEFEGKVMEIEEISQDAAYWIRRIPPRLWATAYFEGQRFGQTTANIVEDLNSWILEASGLPIIQMLECIRRQLMTWLYERRETSMQWASVLVPSAERRVTEAIEHARTYQVLRANDAEFEVISHEGTNIIDIRNRCCLCRGWQLYGLPCAHAVAALLSCRQNFHRFTESCFTVATYRKTYSETIHPIPDKSLWKELSEGDASVSQALEVVIYPPKSLRPPGRPRKKRVCAEDRGRVKRVVHCSRCNQTGHFRTTCAAPI >RHN79522.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29847565:29848722:1 gene:gene3323 transcript:rna3323 gene_biotype:protein_coding transcript_biotype:protein_coding MENNDLFPAKKQEAEETKFISEEKPPPPVTTKVAKMEYTDWSPLLKENCEKYLAKQKEEDRKYFSEFLRNKLKRPAVKTNVADNHTPAVTSGTLFVISDPFLAESKFMPFFFLGLMMCFVIAIRRHLKFNTLNRWVHNSGVRLI >RHN80701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40190830:40220432:1 gene:gene4658 transcript:rna4658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mon2, dimerization and cyclophilin-binding domain, guanine nucleotide exchange factor MAFMAVLESDLRALSAEARRRYPAVKDGAEHAILKLRTLSSPSEIAHNDDILRIFLMACEVRTVKLSIIGLSCLQKLISHDAISPSATREILSTLKDHAEMADEGVQLKTLQTISIIFQSRLHPENEDTMSQALGICLRLLENSRSSDSVRNTAAATFRQAVALVFDQVVLAESLPAGKFGYGGQLSRTNSVTGDVNRSINLSDSLDHESTFGGPHVMRETLTETGKLGLRLLEDLTSLAAGGSAIWLRVNIVQRTFALDILEFILSNYVAVFRTLIPYEQALRRQICSILMTSLRTNAELEGEAGEPSFRRLVLRSVAHIIRLYSSSLITECEVFLSMLLKATFLDLPLWHRILVLEILRGFCVEARTLRILFHNFDMHPKNTNVVEGMVKALARVVSNVQFQESSEESLAAVAGMFSSKAKGVEWSLDNDASNSAVLVASEAHAITLAVEGLLGVVFTVATLTDEAIDVGELESPRCDNNPPVKWAGKTAVLCLSMVDSLWLTILDALSLILSRSQGEAIVLEILKGYQAFTQACGILRTVEPLNSFLASLCKFTINFPVETEKKSALPSPVSKRSELSVDQRDSIVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLAALDRAIHSPHATTQEVSTPVPKFARELSTQYSDFNILSSLNSQLFESSALMHISAVKSLLSALCQLSHQCMSGTSSGVGPTTSQKLGSISFSVERMISILVNNVHRVEPFWDQVVGHFLELADNPNPHLKNMALDALDQSISAVLGSDQFQNYKQFKSLKTSQEMEASLDRMMSLECSVISPLKVLYFSTQSVDVRLGSLKILLHVLERYGEKLHYSWPNILEMLRYVADVPEKDLVTLGFQNLRVIMNDGLSALPRDCLQVCVDVTGAYSAQKTELNISLTAVGLLWTMTDFIAKGLLNESFEEKETGVGSIVKQIDSEKMEDQAHSVPNNARDRPYVDGVDYEKLLFSVFSLLQNLGADERPEVRNSAVRTLFQTLGTHGQKLSKRMWEDCLWNYVFPTLDRASYMVATSSKDEWQGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVLGGIARILRLFFPFFTSLSNFWSGWESLIQFVENSILNGSKEVALAAISCLQTNVNSHSLKGNMPMRYLISVIDVYEHVLKKPPSYSDKVKQEILHGLGEIYVQAQGSFNDVIYTQLVAIIDLVVKQAMITNDNFEMEFGNIPPVLRTILEILPLLGPAEHISSMWPVLLREFLQYLPRQNTHFKNEDGKIDQARDSPVNYETPNGTIPISHDKVSASPGSESTAAINAGIPSYIFAEKLVPVIVDLFLQAPTAEKYIVYPEVIQSLGRCMTTRRDNPDSALWRLAVEAFDRVLVDHVTKSTDGAQHLGITKPVRTRIWKEIADVYEIFLVGYCGRALSSNSLSVVALEADESLEMTILNTLGDIVLKLPIDTPLDVVERLISTLDRCASRTCSLPVETVELMPPHCSRFSLTCLQKLFSLSSYSNEVNWNMTRSEVSKISIMVLMNRCEYILSRFLTDENILGEYPLPKARLEEIIYVLQELAQLLIHPDVASILPLHSCLKTDLGEDKAKRGNRFHLFVLLPSFCELVTSRELRIRELVQVLLRFVSKELCLEKLSLACGK >RHN72621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9201281:9201776:-1 gene:gene8364 transcript:rna8364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNLQLKSSCCGCGVTTGLYGSNCKHMTLCSTCGKTMAKNRSKCSTCGTTLTRLIREYNVHASSATDKKYFLGRFMNGLPDFSKKKSAKNKWSLKKDGLKGRQVTDSTRVLNFDLGLLLCLCGFPELYLEEPIIMKA >RHN76065.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47380757:47386861:-1 gene:gene12340 transcript:rna12340 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSSYIISLYKPKSMQEYSSASKNPKNIKTKMERSPLNLMVSFFNIPPLWRECLSSAFRTALACTIVAGATLYGPISITSLITFPAFSYVVVILIIINDATLGDSLRGCWLGLYATIQSLGPAMLSLWAIGPNHFSKGTASIAVALAAFVVVLPSQSTHLIAKRISLGQIVLVYVLAYSNGAHIDPIMHPIHLAASTALGVIACVLALLLPYPRFACYQVNKNYKLLTNNVLKRLKLLVKVISEEENTSAFGLISRAKSLATKRTKLLFTIMRYLDGMKWERLPINFFKPHYNKLGEKLQEVDTNLIGMELALSCYKSFPINILDQDLKHGLNTLEEHVSLTIKNAKHTFLGSGSLTVPESNAKNITHFLQSLHTIPTTHQELPIFFFLFCAKLLHMKPSTEGPTNVQAQPIHKKEISHEDKDKWANWATKLKSSNLLPAIKYSFALGLSVFMGLLYSKESGFWSGLPVAVSYVSGREATFRAANVKAQGTVIGTVYGVLGCFVFNRLLSIRFLSLLPWFIFTSFLQRSRMYGPAGGISAVIGAVLILGRKNIGPPSEFAIERIIETFIGLSCSIFVDLLFWPKRASTCAKYELSQCLFTLVETIGTLSLVGKTDSQLEENQRKLKAQVNELRKFVVEAEAEPNFWFLPFHSGCYNRLLGSLSKLVDVLHFGERALKSLQQEFQRSDNFVNMLQSELLHVKEIICSSIKGLEEISKMKSFKFVEKEIEKKNMSSDVEMGKSREDDTWLSGLGEDGTREIIETFLQRSRDVVEKLYSDEGEKEVKSEVVLNLSVVGFCLNVCMHGTIEIEKAMRELVQWENPSSSI >RHN75613.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43747500:43749907:1 gene:gene11845 transcript:rna11845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan:xyloglucosyl transferase MTRMSCLLGLSLCFLFVGLVASSKFEELFQPGWAMDHFVHEGDLLKLKLDNYSGAGFQSKSKYMFGKVTVHLKLVEGDSAGTVTAFYMSSEGPNHNEFDFEFLGNTTGEPYSVQTNVYVNGVGNREQRLNLWFDPSKDFHTYSIFWNQRQVVFLVDETPIRVHTNLEHKGIPFPKDQAMGVYSSIWNADDWATQGGRVKTDWTHAPFIATYKDFEINACEVAVPVTSTENAKKCASSEDKKYWWDEPMLNELTIHQSHQLIWVRANHMVYDYCADTARFPAIPLECVRHHH >RHN69539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44207606:44209535:-1 gene:gene18020 transcript:rna18020 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MDDSSSAAEDTKSCPRGHWRPAEDEKLRQLVEQYGAQNWNSIAEKLQGRSGKSCRLRWFNQLDPRINRRPFTEEEEERLLAAHRIHGNKWALIARLFPGRTDNAVKNHWHVIMARKQREQSKLCGKRSFQEVFSNSSILNFPYTTRIGYENGRFYDNTPSSSLASWNFASMSTKPNTTTASTILPDNCITRKGSNVYKGSDRSFLYRICPNQAFSSLPNYKRVAPNAFGFLNSSDDGRIKKDLVSSLCDNSSTLITNLKSSMEKEQDRDEVEIEHHKEVPFIDFLGVGVSSS >RHN66436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12262454:12265040:-1 gene:gene14423 transcript:rna14423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PMR5 domain, PC-Esterase MDVEMRVYGWIAIAISMVICLSLEETNGESCNLYEGSWVYDESYPLYDSSTCPHVREELNCLKYGRLDKQYLKYRWQPSNCNLPRFDGKSFLTKFKGKQIMFIGDSISRNQRQSLVCLLHSAVPQARVIKQGSDPIINFTYLDYGVSIIVHHTTYLVDIEVEKIGRVLKLDSLKSGNLWKQMDVLVFNTWLWWYRTGPFQPWDYIQIGNKIVKEMDHMEAFRTGLTTWANWVNAEVDTSKTKVLFQGISPVHYNGSEWHEPGVTGCGKEITPINGSSSSLGLPPASYVLQNVLQKITKPVQLLNITALSELRKDGHPSIHNNAHGMDCTHWCIAGVPDTWNEILYASITN >RHN40349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15527694:15528836:1 gene:gene46496 transcript:rna46496 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein MIZU-KUSSEI 1-like, plant MAPPHAAQTMTLPTAQRPPPAITSPKVPMQISLQPANSKSKRSSTNKFFGKFRSMFRSFPIIVPSCKLPTMNGNHRTSETIIHGGTRITGTLFGYRKARVNLAFQEDSKCHPFLLLELAIPTGKLLQDMGMGLNRIALECEKHSSNDKTKIVDEPIWTLFCNGKKMGYGVKRDPTDDDLYVIQMLHAVSVAVGVLPSDMSDPQDGELSYMRAHFERVIGSKDSETYYMMMPDGNSNGPELSVFFVRV >RHN38914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2780622:2783961:-1 gene:gene44891 transcript:rna44891 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKDGMALVAIPWLSWFLILIYRCIRPINVQFDEHHTNNYGNTGAIWTPKSPQTAATAVRSPRGTKSPSHSPVGGGGGERRVQFGTVVEVGDEFGGGSEEEHHHNDDFVEHHESKKEQDIPQTLITVEH >RHN64807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62054007:62054625:-1 gene:gene27666 transcript:rna27666 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSVGLVVSVAEERFGWEAIKTGSDLMEGNRVCGFVLSGLFVWVSSLIRWRIEKSMDGEDSSMGFEEKVYVIVCYGFVVLLSYVIMTVYYSECRKRHPIKEFHQVDDEDQETQLSVL >RHN45545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22739167:22740257:1 gene:gene39909 transcript:rna39909 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XI-1 family MAWHPKTMITDATIEVLRNNTGLCGNVSGLESCIKPSRGSHNHKIKKVILLIVFPLAPGTLMLAFVCFKFSCHLCQMSNTRNNQVGGNIIAPKNVFTIWSFDGKMVYENIIEATEEFDDKHLIGLGAQGSVYKAELPSGQVVAVKKLHSITNAENSDLKCFANEIKALTEIRHCNIVKLYGYCSHSHLSFLVYEFMEKGSLEKILKDDEEAIAFGWKKRVNVIKDIANALCYMHHDCTPPIVHRDISSKNILLDLEYVARVSDFGTAKLLDANSDNWTSFAGTYGYAAPKFAYTMEVNEKCDVYSFGVLAWKYYMEKTLEILYLTLHNGLS >RHN68531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36269866:36273758:-1 gene:gene16897 transcript:rna16897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fluoride ion transporter CrcB MERMSSDGGSSIKRRSLSMSSHRSHHTDNGIDCESVSEAGDIGDRALPSRRFSQSNSFHSENGGGGGGVVSIPQELHTLHPNSSVRPLPHQLSSTPPLSTDARVGSQDSKFEPSKGLPEFLDYVSCTAQLAVFGILGVITRYLLQKLFGPGVANVTSNHTILYLDLPSNMIGSFLMGWFGVVYKKDISNVSEHLAVAITTGYLGSLTTFSGWNQKMLELSASGHWVISSLGFLIGLALVAVSIAFGIETAKGFRLLLNRQNITKPENGTFMINFKVDSYRRQLTIMMIFLVILGVLWGVSGALVKAKFKRGGSAAELWFACMVGPIGVWIRWFLARLNGHGLGKDGLRFKWIPFGTLIANVSAACAMAALSTIKNAVNTRNCDTVVAGIQFGVMGCLSTVSTFAAEFNAMRKSSDPWRAYAYAAITICVSFSFGILIYCVPYWTKGFDIDT >RHN74873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37340278:37340764:-1 gene:gene11002 transcript:rna11002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative malectin MVDAELYTNERVSLTYYGFCLANGSYTVNLHFAEIMFTDDQTYSSLGRRVFDIYIQGMQVQKDFNIAEAAGGVGKKVIKQFKDVVVTSNTLEIRLHWAGKRTLSPK >RHN67344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:26263088:26264828:-1 gene:gene15524 transcript:rna15524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MLHSILSMALIVLYLPYFFSFFLLTLIVQKIRKKKSYSIYNMPPGPIKLPIIGNIHNLLSSQPHRKLRDLAKKYGPVMHLQLGEVSNMVISSPECASEVMKTHDIHFSSRPQILVTEIISYNSTSIAFAPYGNYWRQIRKICTLELLSLKRVNSYQPIREEVLSNLVKWIASQKGTPINISEAVLSTMYTIVSRSAFGNECKDQEKFISLVRQTIKVAGGFNLGDLFPSAKWLQRITGLRPKLERIHRQTDQILENIINEHKEEKYTKAKDDQGRVEEDLVDVLLNYEDGRNQDLSLTKNNIKAIIMDIFGAGGETSASTIDWAMAEMVRNPRIMKKAQAEVREVFKMKGRVEENCINELIYLKSVVKETLRLHPPTPLLLPRECVQACVINGYHIPIKSKVTINAWAIARDPNYWTEPERFYPERFIDSAIDYKGSNFEYIPFGAGRRICPGSTFGLRNIDMALAMLLYHFDWKLPSGIKSEELDMTDEFGATMRRKDELLLFSSVYHPLHVI >RHN62471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43663579:43668870:-1 gene:gene25051 transcript:rna25051 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLLVVVFVFDLIAFALAVAAEQRRSSANLAKNIEGRKYCQYDSDIATGLGVGSLFILVASQVIIMVVTRCLCCGRAMRPSGSRSWAICLFITTWLTFLIAASCLLAGSVKNAYHTKYRDLLGERAPSCQTLRKGVFGAGAAFIVLTGIVSELYYVSFSKANNGGVPPYARDTGVTMSNL >RHN79362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28094554:28095049:-1 gene:gene3143 transcript:rna3143 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQNQITYNPQNESKLVSVFIFKLRYSTFFLRQPKIIFSVQLFRILIVTHGAGLRSCSDCPMVGANCYEFG >RHN72224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5909062:5913108:1 gene:gene7911 transcript:rna7911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase S10, serine carboxypeptidase, alpha/Beta hydrolase MTKFSSTYGKILLSVFLLLSNISFQVATCGSIVKFLPGFQGPLPFVLETGYVGVGEKEDVQVFYYFIESEKNPKDDPLILWLTGGPGCSALSGLMLEIGPLEFKKEEYNGSLPNLILKPHSWTKVSSIIFVDLPVSTGFTYATTEESGAKRSDWILVHQTYQFLRKWLVDHPKFQSNEVYIAGDSYSGIPIPVVVQEIAQGNEKGVQPWINLQGYILGNGVTTRKERNYAIPFAHGMGLISDELYESLQKNCNGDYVNAETRNVLCSKDINSFSELTSGLNTAHILDPLCEWRDDNEKSPRRSLIKNYFSKFLNINLKLPPLSCRSYSYFLMGFWANDDNVRKALHIRKGSIGKWHRCTYNIRHNADIPNSYDYHVNLSRKGIRSLIYNGDHDMTVPFLATQAWIRSLNYSIVDDWRQWYTDDQVAGYTRTYSNQMTFATVKGGGHTAPEFRPKECFDMFSRWISKRAL >RHN78332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:14199631:14199960:-1 gene:gene1874 transcript:rna1874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alkane 1-monooxygenase MGRMENIWGKDCLEFKPERWLTEDGHFMSESAYKFTAFNGGPRLCLGKDFAYYQMKYVAANIIFRYHIKVVENHLVVPKLALTLYMKHGLKVNLHRRCDEEIHKYLKVS >RHN57983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42918783:42920283:1 gene:gene33580 transcript:rna33580 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVMWRSYDDHRDVMPFQDICWYSGWIMVDTTTKFHHLPEWAMRLYGRVHNILRLPTDVEPFELDEIAQTYIDFIIHVIMLADLWRTTWRYIFFVLSCLSFVDEPSN >RHN75317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41205248:41211483:-1 gene:gene11498 transcript:rna11498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MNSISNLSDTLLSWSSYLLQPVRAMLDCLPFSNLRQGAEASNSSMDFNNDQSYRYDVFISFRGPDTRNTFVDHLYAHLKRKGIFAFKDDQRLEKGESLSPQLLQAIQNSRVSIVVFSERYAESTWCLEEMATVAECRKRLKQTVFPVFYDVDPSHVRKHIGVFKANNSHTKTYDRNKVVRWQEAMTELGNLVGFDVRYKPEFTEIEKIVQAVIKTLNHKFSGFTNDLVGMQPRIEQLEKLLKLSSENDDFRVLGIWGMGGVGKTTHATVLYDRISYQFDARCFIHNTSKIYMDGGIVSVQKQILGQTLDERNLDSYDTCEIAGIMINRLQSGIKVLLVLDNIDHLEQLQELAINPKLLCRGSRIIITTRDEHILRVYGADTVHEVPLLNSNDAYELFCRKAFKGEDQTSDCVELIPEVLKYAQHLPLAIKVVGSFLCTRDATQWKDALASLKNSPDSKIMDVLQMSIDGLQHEEKEIFMHIACFFKGEREVYVKRILDACGLHPHIGIQRILEKSLITIKNQEIHMHDMLQELGKKIVRHRFPEEPGSWSRLWRYNDFYHVLMTETGTNNVKAIVLDQKENFSKCRTEGFSNMRNLGLLILYHNNFSGNLNFLSNNLRYLLWHGYPFTSLPSNFEPYYLVELNMPHSNIQRLWEGRKDLPYLKRMDLSNSKFLTETPKFFWTPILERLDFTGCTNLIQVHPSIGHLTELVFLSLQNCSSLVNLDFGIVSNLYSLRVLRLSGCTKLEKTPDFTGASNLEYLDMDGCTSLSTVHESIGAIAKLRFLSLRDCIILAGIPNSINTITSLVTLDLRGCLKLTTLPLGQNLSSSHMESLIFLDVSFCNLNKVPDAIGELHCLERLNLQGNNFDALPYTFLNLGRLSYLNLAHCHKLRAFPHIPTLKDLSLVGSYFKLVSGSRDHRSGLYVFDCPKVKLFLSNTEDYFSKYICQWLHKLLKDPRTFRCGFDFIVPCQRKYNDDPFIHPIPEWFHHQFGGDSIIRIVQSNVDDNWIGFSFCAAFEVNNRPTNSGSSRGSLSSALPHPFYLSFESEHTEERFEMPLSLELEKIDGSKHLWIIYISREHCHFVKTGAHITFKACPGLVIKKWGLRMLIKKAGAKKLSSYSHSIMFFNNEFEESDVHHLMFDYVEESISRSGPKIQLPYNWLITEEEEVENSEAKSKEIDLSNLGL >RHN52237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32933477:32939167:1 gene:gene36886 transcript:rna36886 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSPSFLRLIRYGSLSFPSTPNLFLLCRHSRLYYTQPLPSFIDNVDDAVSSFNHILHMRNPVQPIFEFNKILSSLVKLNHFHTAISFSKQMELKQIQPDLFTFNILINCFCHLGHLNFAFSVLAKIFKLGFHPDTVTITTLIKGLCLNGKVREALHFHDDVIAKGFHLDQVSYGTLINGLCKTGETRAALQVLRKIDGLLVQPNVVMYNTIIDSLCKDKLVIHASDLCSEMIVKRIFPDVVTYTTLIYGCLIVGRLKEAVGLFNQMLLKNIKPDVYTFNILVDGLCKEGEMKKARNVLAVMIKQGVDSNIVTYNSLMDGYFLVKQENKATFVFNTMARRGVTPDVQSYSIMINGLCKTKMVDEAVNLFKEMHSKSMAPNTVTYNSLIDGLLKYGRISDAWDLVNEMHNRGQPADVITYSSLLDALCKNHQVDKAITLITKIKDQGIQPNIYTYTILVDGLCKNGRLKDAQAVYQDLLIKGYHLDVKMYNVMVNGLCKEGLFDEALSLVSKMEDNGCIPDAVTYETLVRALFENDKNDKAVKLLREMIARGIL >RHN58918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5917888:5918734:-1 gene:gene20874 transcript:rna20874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MQCLVPITCVTTLRVLFNETSLVCNFISTPFFTCLLSFLLCCVVENQSNPTTMRSITLVSMFSFLLYFIYMSFQISVASAKCLEDQQSLLLQIKNNLTFEADCFNKLEQWNQSIPCCNWHGVTCDNERQVIGLDQTRQIYGGFDNSTGLFSLQKLTKIRMLYLDGISIPAQGYEWSSLLLPLRDLQELGMSDCGFSGPLDSSLARLENLSVILLDGNNFSSPVPETFANFKNLTTLNLNDCGLTGTFPQNIFQIRTLSDIDLSDNPNLHGFFSRLLT >RHN69104.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41075752:41077929:1 gene:gene17533 transcript:rna17533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant organelle RNA recognition domain-containing protein MALCHPFLVTNNGPVTSLNSTFLRGTTPSSFYVNRKLKTCNLKNVSVSISCSSVKTVRDRTLDRHVVMRNRIRFVQKLKTLLLSKPKHFLPIKILSKCRAYLSLHKPRSLLSMIHRYPSIFELFTIPWPPTPLNATKLYPQLCVRLTPAAAALAAEELNLKSSISTVLANKLQKLLMLSSHRRLLLAKLVHLAPDLGLPPNFRSRLCNDHPDKFKIVDTSYGRALELVSWDTNLAKPLPPRESHSLDLIVDRPLKFKQLRLRKGLNLKRPHRDYLLKFEEMPEVCPYNNPAESSTKESIEAEKRCCAVIREVLGMTIEKRTLIDHLTHFRKEFGLPNKLRGMIVRHPELFYTSLKGQRDSVFLVERFDEKGNLLEKDEVLALQDKWMDLARESKRMRRERRKARIDKEFGRLSDVNQNPDDSDIDYDDNIEIDNFKDGYDDGFEDIFEDLDFEAEDYDLGNDLFDNKIGEFWTAGPFPIQNGSDTEEKQPW >RHN60090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:19846126:19851766:-1 gene:gene22310 transcript:rna22310 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLPKALLAKFIPKPSNVSPFQFNQQRGLHSRNKKAMEFIAKGWNALKEVDRVIDYCELNDRRLIPLLNTAKENFELALEADNTNTHARYWLSRLHMKYHVPGANKAVASALLVEAANMGDPNAQYALGCHLRNEDIHSDQQAFYYLEKAVDQLHPDALYLLGAVYLTGDCVKKDIASALWCFHRASEKGHAGAAISYGSLLLKGVKIPESLIKFSLKRGSAAQMRGKNKESIAIDPVEMAKEKFQIAAKGGCDLGFKWLAGLEEEKKRLLTQDY >RHN70393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51142189:51143215:1 gene:gene18969 transcript:rna18969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MLFQTEEAVQLPVLETMLSESEIQYLLSLINQSEDPASPSSGSIGSNRADYSVKERKLRRMQSNRESAQRSRYKKKKHLETVANRLNGLRIENQELKNQLAFTMHHHLLLSLENDQLLSESVALLATLSNLCAILSNSISS >RHN64136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56859902:56860123:-1 gene:gene26922 transcript:rna26922 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIAKNQTIIQYLPYVTRWDYLATMFTEVITVNVRTTLEFLKSQNNPTFSGESSNFVKRFVKKMKIYVCKLF >RHN54028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6337875:6338395:-1 gene:gene29003 transcript:rna29003 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKKKRRKDHSSTRNDDDGSDIIEPENMPISFSTKDYAFKGTSALLPMVIKHQINNFSVPRVFINTGSEANILYMSAFLKMRLSESMLQPCDAFLKDVLGKGGNAKMIK >RHN66060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8160108:8160548:1 gene:gene13972 transcript:rna13972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonoid 3'-monooxygenase MLGRRVFNDGNGGCDPKADEFKEMVLELMVLAGVFNISDFIPSLEWLDLQEVQAKMKKLHKQFDAFLTNIIEEHEASNYKNEKHKDLLLLKEETDDDGNKLTYIEIKALLLISYLLLMHFKFFFFFLLCYLFCLKYYISCHNFILY >RHN57412.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38837387:38840587:-1 gene:gene32953 transcript:rna32953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MGSFFIPLPYFTFHFLLLLLITHFTSYTFSLCNQHDTSALLQFKNSFSVNTSSKPDDILSSCPSFSFKTESWKNNTDCCEWDGVTCDTMSDHVIGLDLSCNNLKGELHPNSTIFQLKHLHQLNLAFNNFSLSSMPIGVGDLVKLTHLNLSKCYLNGNIPSTISHLSKLVSLDLSSYWSEQVGLKLNSFIWKKLIHNATNLRELHLNSVDMSSITESSLSMLKNLSSSLVSLSLRKTELQGNLSSDILSLPNLQRLDLSFNQNLSGQLPKSNWSTPLRYLNLRLSAFSGEIPYSIGQLKSLTQLVLSDCNLDGMVPLSLWNLTQLTYLDLSFNKLNGEISPLLSNLKHLIHCDLGFNNFSGSIPIVYGNLIKLEYLSLYFNNLTGQVPSSLFHLPHLSHLYLAYNKLVGPIPIEIAKRSKLRYVGLDDNMLNGTIPHWCYSLPSLLELYLSDNNLTGFIGEFSTYSLQSLYLFNNNLQGHFPNSIFQLQNLTYLDLSSTNLSGVVDFHQFSKLNKLSSLDLSHNSFLSINIDSSADSILPNLESLYLSSANIKSFPKFLARVHNLQWLDLSNNNIHGKIPKWFHKKLLNTWKDIRYIDLSFNMLQGHLPIPPDGIVYFLLSNNNFTGNISSTFRNASSLYTLNLAHNNFQGDLPIPPSGIKYFSLSNNNFTGYISSTFCNASSLYMLDLAHNNLTGMIPQCLGTLTSLTVLDMQMNNLYGSIPRTFSKGNAFETIKLNGNQLEGPLPQSLANCSYLEVLDLGDNNVEDTFPDWLETLPELQVISLRSNNLHGAITCSSTKHTFPKLRIFDVSNNNFSGPLPTSCIKNFQGMMNVNDNNTGLQYMGDSYYYNDSVVVTMKGFFMELTKILTTFTTIDLSNNMFEGEIPQVIGELNSLKGLNLSNNGIIGSIPQSLSHLRNLEWLDLSCNQLKGEIPVALTNLNFLSVLNLSQNHLEGIIPKGQQFNTFGNDSFEGNTMLCGFQLSKSCKNEEDLPPHSTSEDEEESGFGWKAVAIGYACGAIFGLLLGYNVFFFTGKPEWLARHVEHMFDIRLKRTNNRAIANRRRMN >RHN82689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55708631:55716671:-1 gene:gene6868 transcript:rna6868 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methionine--tRNA ligase MAVRMNCSLQNTLSILSLRSNSSVSHFKSSKPYFHFPSSRRAAFFCKCATSSNDDQPYVITTPLYYVNAPPHMGSAYSTIAADAIARFQRLLGKKVIFITGTDEHGEKIATAALAQGSTPTDHCNLISQAYKTLWNDLDISYDKFIRTTDSKHEAVVKEFYSRVLANGDIYRADYEGIYCVSCEEYKDEKELLENNCCPIHLKPCVSRKEDNYFFALSKYQKSLEEILNKNPNFVQPSFRLHEVQTWINSGLRDFSISRASVDWGIPVPSDKTQTIYVWFDALLGYISALADNQEQPDLLNTVSSGWPATLHLIGKDILRFHAVYWPAMLMSAGLSLPKMVYGHGFLTKDGMKMGKSLGNTLEPNDLVSKFGTDAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCQSTLPVDSTMAAEGNAFKDNVARLVDKALMHYENLSLTSACEAVMEIGNAGNSYMDERAPWSLFKQGDTASEAAAKDLVIILETVRIIAIALSPVTPSLSWRIYAQLGYSRDQFDAATWRDTKWGGLEGGQVMAQAQPIFARIENQAEVEDKGVAVGKKTLKSKGKSKQAQEVVGA >RHN42953.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42155981:42158431:-1 gene:gene49464 transcript:rna49464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MILIYYYQLTERETSPGIEGLNLCNFERPMAPENEIQSFRVFVGTWNVGGKSPSYDLNLQDFLLVEGSADIYVLGFQEIVPLSAGNVLVIEDNEPAAKWLALISQALNTPKSDLSDSSDSGAGSKTKESKSPASLHFFQKPSLKAVRRSFRAEGSSLLKACNCPVESPSRERRRVRKFSDPMNKLDSEIHGESSMEELLSIAEIPASPGQSKYSLVSSKQMVGIFLTIWTKKELVPHIGHLRVDSVGRGIMGCLGNKGCISMSMTLHQTSFCFVCSHLASGEKEGDEVRRNSDVAEILKGIQFPRICKNPYRRAPEKIVDHDRIIWLGDLNYRVALSYEETRVLLEDNDWDTLLEKDQLNIERDAGRVFSGFKEGKIVFAPTYKYSHNSDSYAGETVKSKKKRRTPAWCDRILWRGRRIEQLSYIRGESRFSDHRPVCAVFSVGVEVRSRNNRFRKGYSYTSPRVEYEDFIPQRHSFYDY >RHN67964.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31842711:31845196:1 gene:gene16229 transcript:rna16229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MKMEENRAKTFKFVYGMVIFLYLYHVAKRVEAAIPCITDANCPCVFPLKPRCNFGYCICEEMIP >RHN39212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5004041:5011006:-1 gene:gene45216 transcript:rna45216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting protein 26 related protein MNYLLGAFKPACNVLISFNDGKNRKQVPFKKENGQTVTVPLFHSQENIAGKITIEPMQGKKIDHNGIKVELLGQIEMYFDRGNFYDFTSLVRELDVPGEIYERKTYPFEFSTVEMPYETYNGVNVRLRYVLKVTINRGYAGSIIEYQDFVVRNYSPLPQINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGTNTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTHHNINNKFSVKYFLNLVLVDEEDRRYFKQQEITMYRLQETS >RHN80792.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40894528:40898212:1 gene:gene4758 transcript:rna4758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSQTSQTLMPLDSEESPSSTPIPTSPNPPLNSTSNVLHLSFNQDSGCFAAGTDHGFRIYNCDPFREIFRRDFGPNGGIGLVHMLFRCNILAFVGGGSDPRYPANKVMIWDDHQSRCIGELSFRSEVKGVRLRRDRIVVVLAHKIFVYNFADLKVLHQIETIANPTGLCEVSHVSGTMVLACPGLQKGQIRVEHYASKRTKFIMAHDSRIACFAITQDGRLLATASSKGTLVRVFNTLDGSLLQEVRRGADRAEIYSLAFSSSAQWLAVSSDKGTVHVFNLKVDSGLLGHDRSHTTSESSPTSPSAASSLSFIRGVLPRYFSSEWSVAQFRLQEGLQYHVAFGHQKNTIVILGMDGSFYRCQFDTVTGGEMTQLEYYNFLKPEETF >RHN74540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34518345:34523046:1 gene:gene10628 transcript:rna10628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-SD-2b family MVKPMSFLFFVTFHLSITSVVFAAEFTIINNCNYTVWPASYTTGGNSENATLPTTGFMLKPGDNLTITTPAKWTGRFWGRTLCTTDSVSKNFSCVTGDCGSGKVACDGRSGSPPVTLAEISLDSANNQDFYDVSLVDGYNVPMELVPLGGSGKCNSTGCPTDLNAVCPTELKVTQNGTVVACQGPCAAFNLQFFCCAGNHSTPSTCEPSVYAQIFKTTCPQAYSYTYDDKTSTFTCPATDYHVVFCPASNNASLESLDQGVIVGESLIAGSGTTRWFSPSGDFSFGFYQLPNELFLLAIWYDKIQDDAIIWYANGNNPAPKGSRLILNDSHGLVLTNPQGLELWSSDFTSGTISNGLMNDDGNFQLRDKNNVTIWDSFSHPTDTLVPNQVMELNGNLSSRQGSFNFSQGRFKLHLQEDGNLVLNLVNLPSNYSYKPYYSARTSDSKNQTNIGQRLIFDESGLLYIEKKGGDNFSISNPNGTFSTDDFYYKATINFDGVFTIAFYPKDPKRGQSWVIAKTIPENICLYSTYTNGEGVCGFNSICTLTNDQRPNCTCPVGYSPIDSNNMYLGCIPNFQVICQAGGNWDSQKDLYTMKELPNTDWPESDYEIISPSSLQECKESCLRDCLCVLVSFNQSSCWKKKLPLSYGRNDQGVKGISIMKLMNNDPLSSLSALSNGKKDHDTLIIVISVLLGSSVFVILTLLGAIFFGFPYNLKKIKSSRTNKSVVDNNLRSFSFKEIVDATHNFREELGRGSCSIVYKGTIEMMINVAVKKLDKLIQDSDKEFKTEMSVIGQTHHRNLVRLLGYCNEGQHRILAYELMSNGTLASFLFTPLKPDWNQRVHIILGIARGLVYLHEGCCTQIIHCDIKPQNILLDDQYNARISDFGLAKLLLINQSHTETGIRGTKGYVAPDWFRSAPITAKVDTYSFGVLLLEIICCRKNVEKEFFTEEKGILTDWAYDCYKTKRLDILLEKDNEASNDMMCLEKFVMIAIWCIQEDPSLRPTMKKVLLMLEGIVEVAAPPSPYLYGSVSSN >RHN68723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38039065:38040346:-1 gene:gene17109 transcript:rna17109 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVPRSYKFQTHSLNHSTPALIPYLPNSRCFLRRNHQLSWVGISINFLGWRQPLNYTIRNSVLAYRTYPPFFMEAHTLLRFKYLIH >RHN72589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8935340:8936599:1 gene:gene8317 transcript:rna8317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSQLGLLLQEPVQSQTESTTILGLLREQMDGVDGVPRRRRSFKERLGFIGCCGANWGFRSSSSSDSQQNQQRILDTDPSEDPNMCVAPVIQTGSSSSSMNLAAALAAERQLRGPAAETSGGGGRMPGTPWRVSLMRLLEETENGDTVVVKAEEKVGTMAGNDSVCCVCMGRNKGAAFIPCGHTFCRVCSRELWLNRGSCPLCNRSIIEILDIF >RHN70317.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50599004:50599363:-1 gene:gene18887 transcript:rna18887 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNVGSGSSGSKRSHEDSVGSSARPMGREAAKKKGKMKSKGETLEKVEKEWVQFKELKEQEIEQLKELNLVKQQKNKLLQEKTQAKKMKMYLKLRDEEHLDDRKKELLEKLERELFEN >RHN82067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50998752:51001017:1 gene:gene6185 transcript:rna6185 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-hydroxyisoflavanone dehydratase MASSTKEIDRELPPLLRVYKDGTVERFLGSKIVPPIPLDPETGVSSKDITFSQNPLISARIHLPKLTNQTQKLPILVYYHGGAFCLESAFSFLHQRYLNIIASQANVLVVSVEYRLAPEHPLPAAYDDGWFSLKWITSHSINNINNAEPWLIKYGDFDRFYIGGDTSGANIAHNALLRVGNGVETLPGDVKIRGALLAFPLFWSSKPVLSESVEGHEQSSPMKVWNFVYPDAPGGIDNPLINPLAIDAPSLDIIGCPKILIFVAGNDDLRDRGIWYYDAVKKSGWKGDVELVHVEGEEHCFQIYHPETQSSIDMVKRIASFLV >RHN55878.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25053197:25053729:1 gene:gene31157 transcript:rna31157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TFIIH subunit TTDA/Tfb5 protein MVNATKGVFISCDIPMAQFIIKMNASFPTSDKFIIHILDSTHMFVQPHVEQMIRSQIAKFREDNTYVKPS >RHN58167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44238266:44245818:-1 gene:gene33783 transcript:rna33783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyl-CoA C-acetyltransferase MAPAAASSDSSIQPRDVCIVGVARTPMGAFLGTLSSVPATKLGSIAIEAALKRANVDPAIVEEVFFGNVLSANLGQAPARQAALGAGLSKSVVCTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMENMSSVPKYLAEARKGSRLGHDSLVDGMLKDGLWDVYKDVGMGVCAELCADNHSITREDQDNYAVQSFERGISAQENGYFGWEITPVEVSGGRGRPSTIVDKDEGLGKFDAAKLRKLRPSFKETGGSVTAGNASSISDGAAALVLVSGEKALKLGLQVIAKITGYADAAQDPELFTTAPAIAIPKAIANAGLETSKIDFYEINEAFAVVALANQKLLGLDSAKVNVHGGAVSLGHPLGCSGARILVTLLGVLKQKNGKYGVGGVCNGGGGASALVVELL >RHN43075.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43002160:43003178:-1 gene:gene49598 transcript:rna49598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MYASISFTTQIFNELLVESHIRRLLIQNPVDHQSLTNSPTLTNNHNSTDSNFGDREFDSKVVMILAVILCVFICSLALNSIIRCALRFSNVAINNDSSSSNSNSSLQSVNKGIKKKALKAFPTVSYSTDLKLPSLDAECMICLSEFTKGEKVRILPKCNHGFHVRCIDKWLKEHSSCPKCRQCLLETCRKIGGSQVQPIVLPVPEIIIRIEPLEHEALERNYREI >RHN46415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31506675:31508771:-1 gene:gene40897 transcript:rna40897 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQFKEWCHSFAASLGSLEFYSHHQSRSIFLVPERLKKPNVDAYMPRVVSIGPRFKGSNEDLLQMEDIKIRCMKHLFQRGILRDRSAYETLESCCKALWEIDDVIRANYGPDINNIETQELTQIMLVDGCFLLELLIEKGLYEVPESVVDSPFFPGPAIELLRDEDVLSDLTLLENQIPIRIIYLLLRTLFPELTGYSTSEGETKINNLILFVLGYRQGRILDILDIRGYHPGPVLSIHGDHILDIVNLFVNWDFDQYGNFLDLFQFRDAPQQHQLKLNRCALRLLTAGVIIKARFPEHHEEYSNFNLSLVWRSLCGLLNSIFLVNERGRHLDLDYIHQEVELKCLNFHFKFEKGKLEIEQLHITKTTKAKWCNLIAWEHLQSNLRSTTRRGSGNCKFTSAALIFNGLICSEDDVQLLKNKKIVVDHLKMSNRELVEYFRKVALGVDHKVVAYSIYTEMVRCINNSEAFFIKQMWIMVWNSFTCRQEWVVRFLNRNYNFVATVVSVLAVVQTVYTVLPYYFPK >RHN41724.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:32420564:32426794:-1 gene:gene48076 transcript:rna48076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, Actin family MAMLLRKLCDSASSSIKRSTNTTNSSSSSRSHQHHHHHAPSPLGLFDSLPPDILLKITRLLGPKHAAKLCLVCKSWRSLVSDNELWAHFLQTHQPIHFHSILFSETNLTSGYPLPIFDTQTTPHVSFKHVFGHREQLPPAIIIDGGSGYCKFGWSKEDRPLGRVATFLEFGNVETPIYTRLRHFFATVYGRMKVKPSSQVVVVSLPLCHYDDTESAKASRQQLKEAICAALFDMNVPAVCALNQATLALYAANQTSGIAVNIGFQVTSVVPILNGKVMRKVGVEVVGLGALKLTGFLREKMQQNNLYFESLYTVRTLKEKLCYVAYDYEAELSKDTHASFEAAEGKFTLSKERFQTGEILFQPRLAGVRAMSLHHAIALCMDHCHSAELAGGSDWFKTVVLSGGSACLPGLAERLEKELHSLLPPYMSNGIRVIPPPHGADTAWFGAKLIGSLSTFPAPWCITKKQFRQKSKLNRIW >RHN59074.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7305832:7307402:1 gene:gene21061 transcript:rna21061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MFELEKIMEIGRTGGMVVVPVFYEVDPSEVRHQEGQFGKALEDLMSTISIDESTKSNWKRELNIGGIAGNESDDVKNIVEHVTRLLDRTELFVAEHPVGVESRVETVTKLLNIENSEDVLLLGIWGMGGMGKTTLAKAIYNQIGSKFEGRSFLLNIRELWETDTNQVSLQQQILCDVCKTTAFKIHDIESGKNILKERLSQKKVLLVLDDVNELEQLKALCGSHEWFGLGSRIIITTRNMRLLRLYEIYQVYAIEEMDESESLKLFSWHAFKQPSPIEDFAKHLTDVISYSGGLPLALEVLGSYLSDCETTDWHKVLEKLKCIPHDQVQEKLKVSFDGLKDFTEKQIFLDIACFFIGRDRNDVIRILNGCGYFADIGIKVLVERALVTVDNRNKLRMHDL >RHN44680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7379713:7380197:-1 gene:gene38828 transcript:rna38828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase large chain MSPQTETKATVGFKADTDILAAFRVSPQPGVPAEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVAGEESQFIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPLLMLKLSKVLLTESKLREIN >RHN67696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29419949:29432902:-1 gene:gene15913 transcript:rna15913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MRQHFKVATEKGKDIQSNAEFWEKQADKLIQEKIETKQRYCFGFFPDCIWRYKRGEELATKTKEIKNLMEKGEKFENIEITRCLPGAERYSSQYYISFKSRELKYVELLDAIKDDSNYMVGLHGMGGAGKTTLAKEVGKQLRTLEHFKYVIDTTVSFNLEIKKIQNDIAGSLGLEWGDINESDRPKRLWSRLTDGDKILVILDDVWENLNFDDIGLPNSDNHKGCKVLVTTRELRVCEQMGCGKTIQLELLTEEEALIMFKMYADLSNISSKSILGKVHEITTLCKRLPVAIAIIARSLKGKKSREEWDVALNCLKKPVSMGNVEEDLVDVLKCLKFSYDNLKDEKAKRLFLLCSAFPEDEEISVELLTRLGIGVNLFGEGYDKYNDARSQAIAAKNKLLHSCLLLETKKGDVKMHDLVREVAHWIANEEILVVNSFEKNQKSLVDRSKNIKYLFFQGNLMDLYSSGFDGSKLEILIANTSMDDSEDVPTSLFENMVGLRILKLSNTHLSLPKSIQLLINIRSLSVENVCLGDISVLGTLQSLESLELLYCKIDEFSPEIAMLKKLRLLDLTDCGIISNNPFEVIQRCPSLEELYFCHSFNDFCQEITLPTLERYFLMDNYDNWNWPKTKGVALKRNHLSEATYKYVMKTSDYLHLIRIQGWINLMPEIVPIDEGMNDLIELCLGEISQLQCLVDTKHINSQVPIVFSKLVVLKLKRMEALEELCNGPISFDSMNNLEELYVNECKNLQSLFKCSLNLSNLKTVTLISCSRLVSVFELSTSQSLPLLEELEIIDCEKLENIITIEELADGYNDNKSCYSLFPKLKVLYIERCHQLQFILPILSAQDLLSLEFIEICCCHKLKHIFCQHQDVNLPSLKTVKIEDLPNFIDIFPPKASSNSKDGSKPQTQLDPIKSNTFSMCCYRYKARSTKIPLVFEDQPQECSISLESNSYCLDILNSAQYLEEIKISNVPKMKSVFIVSSALRMLETFTIEKCDELKQIIIDTGHINSTGGNKLANVFPQLKCLFIQNCLQLEYIFGCPQVASSGDFIKELSRNEENGQQMNLSLEDIKLVNLPMMRCLFVGFKYSFVLKNLKKMKIFRCEKLESVFSTSVLRCLPQLVKLEVEECKELKHIIEDDLESKSFQSSNTCFPKLETLIVTECDKLKYVFPVSICKELPELKVMIIREANELEEIFKSDKKDEIGEISKSDVEIPNLERIAFVNLPSLCHAQRVHFQTIKYGFVLRCEKFSLTSETSHDTFHDDSFSIGNNGGDENPSAETTKDFAAGSEIQEASEHQLTSPQEGSTSEITEAATVPTITETKNKPPTQVVVLKQKGIHINAEEGNISANAKKITSSTHFDAVGSSSSQLVTSECKTSSQDDGDSQIEMTSFSICTSETNDQGSLNHDSFKKVSLNIEEQLPKEQFPYITFPVLYQFFPVPCEEELFRGHNAGDENPSAETTKDFAAGSEDQEASEHKLTCPQELMTEQLMDRQCLMNQQQTLAETDITIKPSQEENVI >RHN42514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38966853:38970838:-1 gene:gene48962 transcript:rna48962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative asparaginyl-tRNA synthase (glutamine-hydrolyzing) MASACASSFFQFLSITLLLVTLSSMLSTTLASGISIKEATVQDLQLAFQRKQLTSRQLVEFYLKQINIQNPVLKGVLEVNPDALAQADRADKERREKAAGSLSRLHGIPILVKDNIATKDKLNTTAGSFALLGSVVPRDAGVVNKLRKAGAIILGKATLSEWSHYRSNGAPSGWSARGGQGKNPYTLDDPCGSSSGPAISVAANLVAVSLGTETDGSILCPSCMNSVVGIKPTVGLTSRAGVVPISPRQDTVGPICRTVSDAAYVLETIAGIDPFDKATIEASKYIPKGGYAQFLKKDGLRGKRLGVVRLYYEFGNDTLLDKTFKLHLNTLRRRGAVLIDNLKIDNIVEIFTDQSEQIALNFEFKLSLNKYLKELVASPVKSLADVIAFNKKHPKSEKLEYGQDLMVQAEKTNGIGKAEKQALLNMTRSSQNGFEKLMKRNKLDAVVIPLASFSNILAIGGYPGVIVPAGYEKDQPFGICFGGLKGSDPKLIEIAYSFEQATLIRKPPPLRKLEV >RHN58066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43484729:43487012:1 gene:gene33672 transcript:rna33672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MNDYAYAYKVETMNRLVQWKIHNLSTCTYIKADPFKIAMWNWHLSVEKNCGLRIELYPEMSKTIAHNPPIASFIVRILSYAGNSKILTQSEIKDKPLSNKDSFVWEIGVPLPGKFIIDIEFLDLKTTCPKGGEAGSIWPSGFMQQRQNAAALESLGRMIREDIYTDITINVNSEGNIRAHRAVLSSQSSVFRSMFSHNVKETDLSTINITDMSIQSFQTFINFLYGNVNDEEFLMHRLDLLHAADKYDIYELREACQKSLQEDIDPKNVLERLQIASLYQLTTLKFSCMQYLVKFGKIYDIQGDLSSFLQTADRDLICEVFNEILDAWKK >RHN68576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36689551:36701191:1 gene:gene16945 transcript:rna16945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EEIG1/EHBP1 domain-containing protein MSRVTKWKIEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPADSGKVTSKTTKANVRNGTCKWSDPIYETTRLLQDIKTRQYEEKVYKLVVGMGSSRSSILGEANIDLADFVDALKPTAVALPLNGSDPGVTLHVAVQLLTSKTGFREFEQQRELREKGLQTTSDQGSHDESADSKDSSPDQNVNNHINKVNSRGKLKRESKYLPRTSSLEGESRLNEDYADSAAGFDGSSTTSESVYTEKHDTHEVESLKSTMSGDLGVLSLGQSPQREKGEAPDNQFPPQDNAWAHGWSIDYSASNNLAPASEDCSSSSLKGNLEAVESSILDLKLKVSSLQNHSDEIGVETKLFSEQIAAEISSGEELAKEVAVLKSECSKFKGEFEQLKSSKLSLAFARNEPTETERDRLFHNLQFKWHKGLLLMEDKLRDIQKVSMGLPERDFRFFNLELERVVEILQDLKQESSNPISGTKVVNGRENAKMELQMDGQLLTDIGSDAALFQPESMARYLTASGLVAHEFDSVDPTLAMKEKIFELLRELEESKTEREGFVRKMDQMECYYEALIQELEQNQRQMMVELQNLRNEHSTCIYAISAGKNEMEKMHQNMNEQIMKFSEDRRILESLNSEFEKRATSAEAALKRARLNYSIAVGQLQKDLELLSCQVLSMHETNENLISQTLSDSSLSNTDGFPELVNCTKSSEGHASNQLLRQNHSSSFHRQHLGEDVLLSDLKRSLRLQEGLYKQVEEEICQMHFVNIYSDVFSKALQETLLEASLNIQATEDEKFQLSRQLELSNQSNESLVLRLQNAMSDILSLKEYKEICIAKSNDLTHRNQILEANLKDLGHENNLLTHKINEVEVLLTDYRSKYVACSAENSELNNLLKKESLENDHLHDEISTLQKEIISFRTKFDVLDSMNNDLQNKVMFLSNKLQKLVASYDDRCTELSLCSSSACLDSECKDLEILLLQLGEQQRNAFDRILVLVEEKKTVVHEKQMAQVSLSTAESDALVMKQKFERDLQQMAINISVSSIQLQKLESDLEVLVEKISAGFKSEERYSQQQYELLSSFDHLEAELQQLNSRNQDLSQEIIKLGTSASDLEMCKLTLAAITEEKKALELSLQDKTEESAKISSEINFLKNNLSSLQDELRDEKNFREKSEKTVLDLTTELNEKQHQLQDKTEESAKISSEINFLKNNLCSLQNELFDEKIFREKLETTVMDLTTELNEKQHQLQDKTEESAKISSELIFLKNNLCSLQNELRDEKIFREKSEKAVIDLTTELNVKQHKLQDSDTNRQELVHLKQLVTDLESERSRVLDLLQISEKRLEDALKESSYIGHLETHLSEMHECSVATDVVMTFTRAQFEGHVEELTEKLNSACRQVDVLCEKNLDLESELNACLSRELNCMEENMTLSTSLDYLKSELEVYTAQCRALIDQNSVATSELKEHKSRTENVSNSSYLRERECELEVVRLEQLLESVSRDGEGLFLSNEEAKVKCIVLQGKLDELKTAITSLQQSDNELIRLQNQCNELTRRLSEQVLKTEEFKNLSTHLKELKDKAETECLNARDKRGHEGPPVAMQESLRIAFIKEQYETKLQEMKQQLSLSKKHSEEMLWKLQHASEETENRKKSEDAQIKVNEELGMKILELEAELQAVISDKRNLLNAYDLLKAEKECSVISLECCKQEKQELEASLQKCSEEKSKIEVEVTVLKESIETLKSNVNVLNEGNSTFSLLNSQEKSTSAVCSSRELESANSIINMQPEDPLAFRVTNGYQTLGTEDDLQQNEENKHLALAQSLKSSIEHLNKELERMKNDNMLPTEDCQSHETSFPGLQRELTQLHEANQELGSMFPVFNKISVSGNALERVLALEIELAEALQAKKKSSIQFQSSFSKQHSDEEAVFRSFRDINELIKDMLELKTRHSSMETELREMHDRYSQLSLQFAEVEGERQKLMMTLKNTRASKMAPNSPNYFRDHSLSPH >RHN81219.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44165347:44165674:-1 gene:gene5231 transcript:rna5231 gene_biotype:protein_coding transcript_biotype:protein_coding MLNASTYIKELCVITHHIGSVKKWRSYLLGCKLVIHTDQHSLRTHDPSNPNSGAINLIDQVIGVLS >RHN49306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53954189:53955735:1 gene:gene44121 transcript:rna44121 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MCLPEENRERERSMALEPTLLYPQDPFTYGFNKDHYNYNLLTDSEANFDYTNFNLQNEEQGFVSTFLKNQQTENYPYENWSNNCPSLDSSISTRSRSKKRRVKTSKNKEEIENQRMTHIAVERNRRKQMNEYLSVLRSLMPESYVQRGDQASIIGGAINFVKKLEQKLQFLGVQKQKEGKFDTIVENKNKPFSEFFTFPQYSTSDGVCESSETKMGGEVQSRNIADIEVTMVESHANLKIRTKKRPKQLLKMVSSLHGLCLTILHLNVTTADEFVFYSLSVKVEDDCKLGSVDEIAAAIYQILESIQQESSINILN >RHN81241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44291468:44297259:-1 gene:gene5254 transcript:rna5254 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEFTECYKQTGPSSFSPNARFLAVAVDYRLVIRDTLSFKVVQLFSCLDKISYIEWALDSEYILCGLYKKPMIQAWSLTQPEWTCKIDEGPAGIAYARWSPDSRHILTTSDFQLRLTVWSLVNTACVHLQLPKHASKGVSFTRDGKFAAICTRRDCKDHINLLSCHSWEIMGLFPVDTLDLADVEWSPDDSSIVIWDSPLDYKVLIYSPDGRCLFKYQAYESGLGVKSVSWSPCGQFLAVGSYDQMLRVLNHLTWKTFAEFLHPYAVRGPCYAAVFKEVDEPLQLDMSELCLSDDFSQGNIDSPERPFRVRYEVMEVPINLPFQKPPAEKPNPKQGIGFLSWSNDSQYICTRNDSMPTVLWVWNIRQLELTAILVQKDPIRVAAWDPTSTRLVFCTGSTHLYMWTPSGAFCVHVPLPQFSITDLKWNSDGSCLLLKDKETFCCAAVPLLPESSEYSSDE >RHN60644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29052657:29053303:-1 gene:gene22993 transcript:rna22993 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRRSLATCAFFENKMGSMTKNATKLIIEGQFCCVLKEYDHFRE >RHN53405.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1495362:1497202:1 gene:gene28308 transcript:rna28308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone synthase 4 MVSVSEIRKAQRAEGPATILAIGTANPANCVEQSTYPDFYFKITNSEHKVELKEKFQRMCDKSMIKRRYMYLTEEILKENPSVCEYMAPSLDARQDMVVVEVPRLGKEAAVKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTKLLGLRPYVKRYMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEVTAVTFRGPSDTHLDSLVGQALFGDGAAALIVGSDPIPEIEKPIFEMVWTAQTIAPDSEGAIDGHLREAGLTFHLLKDVPGIVSKNIDKALIDAFQPLNISDYNSIFWIAHPGGPAILDQVEQKLGLKPEKMKATREVLSEYGNMSSACVLFILDEMRKKSSQEGLKTTGEGLDWGVLFGFGPGLTIETVVLHSVAI >RHN63379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50800509:50801696:-1 gene:gene26073 transcript:rna26073 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MKREMEFERVLSYFDEDGDGKISPNELRSRMAKIGGEFQLKEVEIAIEALDSDGDGLLSLGDLITLMESGGEEEKLKDLREAFEMYDNEGCGFITPKSLKRMLKKLGDSKSIEECKLMIKRFDLDGDGVLSFEEFRIMMD >RHN52647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37362152:37366667:1 gene:gene37341 transcript:rna37341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MLRYSSLFSVPSTRNLFLLLHRRLHIQPLPSFIDNVNDAISSFNSILHMKNPTPPIFEFNKILSSLAKLNHFNIAISFSQQMELKPIQPDIFTLSILINCFCHLNQLNYAFSILGKILKLGYEPNTVTLTTLIKGLCLNGEVRKALYFHNDVIAKGFYLNEVSYGTLINGLCKSGETRAALQLLRKIEGLLLVRPDVIMYTAIIDSFCKDKLVIDAYDLYSEMIVKKIYPNVVTFNSLIYGFCIVGQFEEAVGLFNEMILKNTNPNAYTFNILVDGLCKEGEVKRAKSVLTVMIKQHVEPDVVTYNSLMDGYFLVKEVNKATYVFDTLARRGVTPNVRSYSVMINGLCKVKMVDEAVILFKEMHSKSLTPNTITYNSLIDGLCKSGRISDVWYLIDEMQIKDLGVKPDVYTYSILIDRLCKSGRIADAWYLIDEMHDRGQPANVVTYTSLIDGLCKNHNLDKAIALFTKMKDLGVEPNVYTYNILIDRLCKSGRIADAWYLIDVMHDRGQPANVITYTSLIDGLCRNHNFDKAIALFTKMKDLGVEPNVYTYNILIDGLCNCGRLMEA >RHN67810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30416426:30417649:-1 gene:gene16046 transcript:rna16046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative taxadien-5-alpha-ol O-acetyltransferase MKSNTTSYNSISIFFPQATKTINKSLRLAGRMVKHADDGKLRVNCNPNAENYGVPFLEASANCTLSSINYLDNTDTEIAKQLVLNPEDKTYPLVFKVTKFRCGGFTIGMGVLHAICDGVGASQLFKAIIELARGRNEPSTIPVWERERLIGSITKQPFPESPMNKESVAFSPFLNQDNTTVMKKYCFNVEGEMLTKLKLSLMKESGGIRFTTFESIAGYVWRSRARALKLNNNGETVLTIVAGIRRKLKDFEPLPNGYYGNSCVDANTVLKVSELDERPLYEIINLIRETKNIASTTDFIKNSINTLETNYKEESRMLSTGAVTVLTEWKHLGFLDGNVDFGGHEAVNLVPAPCNLFASMEMSIFTPPNKFDNDDPSMKGGIKIFTTLPVAAMPKFKEEIEALRFLS >RHN71050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56086468:56089670:-1 gene:gene19693 transcript:rna19693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monodehydroascorbate reductase (NADH) MAAEEGHVIGVHTVEAWKEHLEKGNGSKKLIVVDFTASWCGPCRFIAPILAEIAKKLPNVTFLKVDVDELKTVAEEWAVDAMPTFLFLKEGKLVDKVVGAQKEQLQAAITKHATTDA >RHN73019.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12771534:12774100:1 gene:gene8790 transcript:rna8790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MDKSGDGIFPDEVVMQILARLPVKSLFRSKTVCKLWYRLTLDKYFIQLYNDVSRKNPMILVEISDSLLESKSSLICVDNLRGVFEFSLNFLNDRVKVRASCNGLLCCSSIPDMGVYYVCNPVTREFRLLPKSRERPVTRFYPDGEATLVGLACDSAFQKFNVVLAGSHRTFGHRPDGKFICLVFDSELNKWRKLISFQDEHFTHMNKNQVVFVNNALHWLTVSSSYILVLDLSCDIWRKMSLPYDPIYGAGNRTYLLELDGCLSVIQISEAWMVIWVLKDYWKDEWCIVDKVSLRCIRGMVPGIFPISQTTEYVFLATHKQVLVYQRRSQVWKEMYSVKYSSTLPLWFSAHAYRSTMFSCN >RHN55409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18034851:18037171:-1 gene:gene30584 transcript:rna30584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MVENQFNKKIKVLQCDGGGEFKALHKIIHDSGIQLRVSCPYTSAQNGRAERKHRHIVELGLTLLAQAKMPLYFWWEAFSTAVFLINKLPTPVINNENPHFLMFNKDPDYGILKPFGCACYPCLKPYNRNKLQFHTSKCVFIGYSNNHKGYKCINSTGRIFVSRHVVFNEECFPFHDGFINTKQPVELTTQPAAFFYPDIPTGNHEEQVETTQEQAASNTTTNIGESISLGNRINTQNFSSQEDNASVTSQTDQQVEEQHGESSQKETNQDTPTIADTEAIEENTHEMCTRSKVGVFKPKKPYVGAAQNEVDEKEPESIIEALQREEWREAMQLEFQALMSNKIWTLVPYEGQKNIIDSKWVFKTKYKADGTLERRKAILVAKGFQQYAGIDYEKLSVQ >RHN74470.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33803253:33807179:-1 gene:gene10553 transcript:rna10553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA N-acyltransferase, N-acetyltransferase ESCO, zinc-finger MMQLKISNFFKCPSNSTPASTSTSLASEVDRDDDLSQWENKQHHIFNTYHRTRKNPKPVISPSSIIRKPVVKNKKRSYAQFHLEFGQSDFLLRACSTCGVEFTPGNVDDEKNHTQFHKCYMQGIQFRGWTSERVISSHKDGRIIMVLGTDPSSHKNKAEEVVKMMEIELGSGWIGHQHCKVYLFVSLQRIVGCVVAEPIKEAFRVVSCSVDEHSDSKRKREKKPCPTTLQFGNIVFQREVEKKAVNVNDSEVMDGRAIFCESNPVAAVCGIRAIWVTPSNRRKHIASQLLDTVRKSFCTDLELQRAELAFSAPTSVGKALACNYTGTGSFLVYKSVQSESRNGCGIEESRVIEAGNQE >RHN45519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:21976403:21978241:-1 gene:gene39874 transcript:rna39874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVKILKFIYVMIIFLSLFLVATNVNAINKCSQDSHCPKDMCKKPSKPRCVVSPKLPLSSKSGVCTCV >RHN80727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40460728:40463474:-1 gene:gene4686 transcript:rna4686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VI family MAIEGDFCPINQGLWKHKRSFASKSKKIGSLFACSASAQDLRNMDLEKEKDDEASPRGVLEACVKGFDAQQLPEPETSCSNSRARSHWGKFFKLWKRKSVKRLTSIHSIGVPKIPKWKSRSTRENFVTSNLYNFRSSLVTFSLSDLRNATNHFSHENLIGRGGFSEVYKGCLQDGQLIAVKKMTTGSTDEKTAGFLSELGVIAHVDHPNTAKLVGCCVEGEMHLVFELSTLGSLGYVLHGSDKTKLDWSKRYKVALGIADGLLYLHENCQRRIIHRDIKAENILLTENFDPQICDFGLAKWLPEQLTHHNMSTFEGTFGYLSPEYCMHGIVDEKTDVYSFGVLLLEIITGRKALDRMQTSVVTWAKDIIHTCKLFNRMKLVLNFFLT >RHN76049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47303480:47307541:1 gene:gene12323 transcript:rna12323 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived nuclease domain-containing protein MGPVRGIKKRRKTEKSYDNNNDSASGSSEKEGVVDWWDEFSKKINGLQKSPPMISRKTFEYICSLVKDDMTTKSAHLTFTNGKPMSLFDQVAVALRRLGSGDSLVTVGDSFGLTYSTVSQVTWRFVESMEDRGLHHLQWPSTQEEMNAIKSKFEKIQGFPNCCGAVDATHITMCLPATDHSSDVWLDHRNNHSMVLQAIVDPDMKFRDIVTGWPGKLEDWSIFESSNFNKLCDKGERLNGNKLKLSEGSEIREYIIGDSGYPLLPYLVVPYKEKELLESEAKTHFNKLHLATRMVAQRALTRLKEMWRIIRGNMWRPDKHRLPRIILVCCLLHNIVIDMQDEVKDELLYLYHNNHDSGYHQLICEGVDEMGVALRESLSHYLNGRLYP >RHN60823.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30653965:30659019:-1 gene:gene23208 transcript:rna23208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetraspanin/Peripherin MRTSNHLIGVLNFLTFLLSIPILGGGIWLSSRANNTDCLKFLQWPLIIIGVSIMVVSLAGFAGACYRNTFLLRFYLVVMFFVIGVLIGFIIFAYVVTDKGSGRRVMNRGYLDYYLEDYSGWLEERVASDEYWGKISSCIRDSKACRKLARNFNDVPETADMFFERKLNPIQSGCCKPPTDCGYLYQNETVWIQGAGLVGTNPDCNKWNNDQQQLCYDCDSCKAGVLGSLKKSWRKVSVINIVVMIILVIVYIVAYYAYRNNKKMDNDEPYGEARMTKSQPSAFHL >RHN59372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9962512:9962760:1 gene:gene21398 transcript:rna21398 gene_biotype:protein_coding transcript_biotype:protein_coding MPWNVNHSTVEGLCPGMPCHSTVGGLCPGMRSHSTRWKWCYLDVVVVVVINGEVVIVNLLSIDVVVVVVIDGEVVIVNVVVD >RHN44373.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3987427:3988065:1 gene:gene38489 transcript:rna38489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MVFNYAEGACGYGDLFKQGYGLATAALSTALFNNGLTCGACFQIFCVNDPKWCIKGAHPITITATNFCPPDYSKTHDVWCNPPQKHFDLSYKMFTSIAYYKAGIVPVKYRRVPCIKSGGVRFELKGNPNFFLVLVHNVANAGDVSRVSIKGSKTSWISMSRNWGQNWHPGSNFVGQALSFQVSTSDGKTLTFDSVVPSNWQFGQTYQAKGNF >RHN64677.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60930634:60932277:-1 gene:gene27518 transcript:rna27518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-acylglycerol O-acyltransferase MATQQESEIPPNFWGHTPEEEYYTSQGVRNTKSHFETPNGKIFTQSFLPLNAEIKATVYMTHGYGSDTGWLFQKICITYATWGYAVFTADLLGHGRSDGLRCYLGDMDKIAATSLSFFLHVRRSPPYNHLPAFLFGESMGGLATLLMYFQSEPDTWTGLIFSAPLFVIPEDMKPSKIHLFVYGLLFGLADTWAAMPDNKMVGKAIRDPNKLKIIASNPRRYTGPPRVGTMRELLRVTQYVQDNFCNVTVPFLTAHGTADGVTCPSSSKLLYEKAESKDKTLKLYEGMYHSLIQGEPDESANLVLRDMREWIDERVRRYGPNNDNSQ >RHN48755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49987269:49991431:1 gene:gene43505 transcript:rna43505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxiredoxin MACCSAPSASLLSSNPNILFSPKLSSPPHLSSLSIPNASNSLPKLRTSLPLSLNRFTSSRRSFVVRASSELPLVGNAAPDFEAEAVFDQEFIKVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRHAEFAELNTEILGVSVDSVFSHLAWVQTDRKSGGLGDLNYPLVSDVTKSISKSYGVLIPDQGIALRGLFIIDKEGVIQHSTINNLGIGRSVDETKRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKLSKEYFSAV >RHN38973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3147306:3157086:-1 gene:gene44953 transcript:rna44953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MCGAEDSKILMTTRSKIVSERLETSKLYVLNGLTLDVSWSMLKKITFGNEISVVDQNLESIGKKIAEKCMGVPLAIKTLGGLLQSKSKEREWINVLQGEFWESCEDEKSIMLILTLGYQSLSLRLRQCFAYCSLFPKDWEIEKDMLIQLWMAQGYLECSDGKQLLEDVGNEFVKILLIKSFFQDAKEGEDGELVSFKMHNLMHDLATQVAGNDCFYIESEAKRRVQRPVHVSLEPNAVHLLGSLDANRLRTLILWSSNEEEELNGDEMSVISKFKCLRVLMLSYCSLSKLSNSIGKLKHLRYLNLSHCRGLGSLYKSFSSLVLLQSLILTPNEKVKFSTKVVSKLINLKHLHISDWEVSRDKTSFGFVKLSIWQHKGMVLSNWISSLTNIVEISLFLCGSLQYLPPLEHLPFLKSLHISFLEELEYIYYEQDFASAFFPSLECLSLQFCYMLKGWWRMGDDFNNTSCSQNLSLPPFPRLSQLSIIGCLMLTSTPTFPNLENGLELFDSSVETLVATLNIALECLNDIPPLSMLKSLHIDGVSLNVKRIPENWMQNLTSLQLLQINWFSRQAFQEIETWFKDDLKCLPSLQTIAFHNCEDLEALPDWICNLSSLQHLRVYDCINLASLPEGMPRLTNLQTIEIIGCPILVEECQTQTGETWPKIGHVPKIILPSLH >RHN62806.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45962203:45962714:1 gene:gene25417 transcript:rna25417 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKSRSIVPWNLRNSWLIYITITSSTQFIISHNFREVNQCVDRLANLGLQMDIYHRWDSIPPTILNAFIRNRLSLPEYRFC >RHN51879.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27520345:27521694:1 gene:gene36437 transcript:rna36437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MLSKPIHLSETAVSGITCVGVKSADLHLQFATEKKTMVSTNKKVRNFIPDDISLSILSKLPLKSLKRFECVCKSWSLLIDNSYFMNKFRNNFLSNLRCCSYYDGSSILLQIGKPNFKDDFYSLSGERFENKVKLDFSNPYANQFNFQIFGVDSVNGILCLHEYDALGEIILLNPATQAFKVLPPSQVESVEVSIPDDARDFVDFYIVSNLHGFGYHRVINDYKIIRYVRFDIESSVEGDEHFEEIIYSNSLGKISLEPLWEIYSLTSNSWRKLDVDMPFSSKCNEGTQVYIDEICHWLCEKDEEDNPAGACLVSFYLSNEVFLITPIPSNLDDCFDVEASWINLARLNECIALISYHEPRTTFHISILGEIGKKESWINLFTIGPLSCVRCPIGVGTKGELFFQRKDEELAWFDLNTQMIEELGYKAGDCCARIIIYKVNILTDGGIVN >RHN48989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51594103:51596575:-1 gene:gene43767 transcript:rna43767 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MKKKKKLSSRFMMFPLTINTLEIRSSSHKGLSVPDASLVSVSSTFDVIDLMNLGHRNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGATTRGCMHLVDLAGSERVDKSEATGDRLKEAQHINKSLSALGDVIASLAHKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDAIGETISTLKFAERVATVELGAARVNKDGANVKELKEQIASLKAALARKEGEPEHSFSGSSEKYRTKASEPSPYHINQRVADTGDQLGCRRPMVEVGNIELQSKTVLRQKTQSFDFDEISANSPPWPPVNSLGQDNGEDEKETGSGEWVDKVMVKKLEANKTENLLGCWQESNGNLSESFYQTYLQDSSKMYSEQSYNMFMGGNQFNSINMGSDDNTDEVDAITSDSSEPDLLWQFNHSKLSSLTNGIGTKAMRSVSKATKSPELSKSFVHSSLGPSPSLKQSKVVSHRTGRSPAPVDMKRKIGRK >RHN63229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49527591:49541240:1 gene:gene25905 transcript:rna25905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator C3H-WRC/GRF family MDYDDNDFQSQNLHLAGEGSNKFPPVLRQYPKFDFDESLQGHLRFDSLVDTEVFLGIESNEDNQWIDAYSRVSSGTEFNSTAAETCSTSRHNNVWSEATSSESVEMLLKSVGQEDIIPKEAIVIEESDACDELAKQMDPNQKPDEKIEFKDNITDTQPPSCIHESLSRSKEDVEMEQSLAGVSQGREGESSIDGSLNNMKPPDMHRNIDLPESGGILFDTNQRKVEVPADGSVHEKTNDNSSASVAMTNTNEASTENISTCEVLKIQNVQNQIVGLGDDDQSSLQTQISKQDLESSVNIKDFNAGTQTLDVNTVEGEANHSDNPPRLIHTEQALEGESVVEGLATGINTLEKSLNTVSNGISNLQKTERGSEDACFRDLSQGNANIDSLLVKDPAVDNQSTPNTSNMPMIAINDNSSSEGKDACFRDLSQGNANNDALLIKGPLTDDQSALNTSGIPKIAILDDSSSEVHKVEVSNSDCGTCPNYQPNTVMIEKTFGESSVCKEKELVNIGNQMDTEDLLGKSEASMLAVVDKNTSIASEGNSDNRASFFTFNTMVSTESHILGETTQVCENNKSDEHKDFCQDIFVIDQGREKAPFDSSTIPCDVDQFHLADKRVCSSSLGAGSVETSTVSVDVTPVNSSDHHELERMKHVEPASVDEKEDFEAKVDEEAGDSFPVGSSELEVDPCPVAGTKSKKNSDNLDNLHITATKKIGEPQERQSSEVDHECTKDSSMATDLCESLEKQGDEVTVCFIKDDKEAVQEHHDKPCSKLSGSISSSSPDFHNELHETGGCPANPSYDNRGPSVTFGSPLETKKGGNKVKPTADLNPPVFEFMKKDATNTPSSNHDHKGNDVSKDGRSLAPEVDLVANSSEKDITNLTPIGANAGERVPLPVIAANKESVVLAESPLASELGTPKPSVSGHVSHGSPQIPDGDLARSVSKATPERKTRRAPNKTAGKESSRKGSKEKTPARRSEKGGRSTSVSLSPSSGLQLMQSNEAHQYYGKIDSISTKPFPDLNTSAASASVLFQQPFMDVQQVQLRAQIFVYGALIQGVVPEEAHMLSAFGGPDGGRNFWEKAWSSCLERQQGHKPHPINSETPLQSRSGTRSPGLAVKQSEQQGKGISSPLGPASSKGTPTTVNPFIPLSSPLWSLPTPSCDSLQSSALARGPALDYSQALTSLHPNQTPPLRNFLGHNTSRISQPPLRGPWTASSTPAFDSSSYPSASPVADTVKSSSTKGTSVPSSSIKNVPPGLPASDLGLQSVFLPTTPLFNTNNAVVSHAQRSSDPKSKKRKKVTTESEDLGQKAMHLQSHLVLSTPSVSSHISTAVATATSAVNVPVTTVEKSVEPLFLPSTPLFSTNNAMVSRAQHSSDPKSKKRKKVTTESEDLGQKAIHLQSHLVLSTPVISSHISTAFATETPVLNVPVTAVEKSVQSVSPLSFADRLKSGWNVEKKVMSDESLTKIEEARINAEEASALSAAAVNHSMEIWKQLDKQKNSGLASDVEAKLASAAVAVAAAAAVAKAAAAAASVASNAALQAKLMADEALIFSGHESSCQIYLSEGMGNLGKATPASILKGASGANSSSYIIGAAKEASRRRVEAASFARKRAENMDAIVKAAELAAEAVSQAGKIVTMGDPLPLSDLVEAGPEGCWNTFQESSQQVGLLKGMSRGPVSIGNVGDRPETSQMSNRDISSEEMRKQIAVGEESPFHKVHNEMSLDHMRSIDDISSIISINENSSNGSRGHKVSNLVNPIDVLPESGTETQASLTDGIEFENREKNNIKEGSPVEVFKDGKEFKAAWFTANILSLKDGKAYVCYNALVADEGAGPLKEWVSLEGEGDKPPRIRAARSLTSFHNEGTRKRPRADMVDYWSIGDRVDAWIQESWQEGIIKDKKNKKAKTFTVYFPASGETSVFDAWLLRPSLIWNDGKWIESPKVGANNSPTNEGDTPHEKRPKLGNPAQELAKGKDKASKGTDAAESANPTELRLVNLTEDDKVFNVGKSNKNEKKPDVHRLARSGLQKEGPRVIFGVPKPGKNTKFMEVSKHYVADGTSRINDGGNGSVKFANSSIPNASGSRSWKDSSIHDAKEKPRADFKPTSKPGRPQNVLGRVIPSKQKPSSNFHTNDLTSRRERTKDTSSHFNSVSQRESQMERASYSETTGAGPTSYSSRASSTESYPTKKPPTARVSKGKPAPAGGRLGKGEVEKALNGNPLKSTSEEVLEPRRSNRKIQPTSRLLEGIQSSLIITKTPSASHEKSQKNHNRNTSRGNNHD >RHN43037.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42743433:42744653:1 gene:gene49557 transcript:rna49557 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPSKRLLIAFLLICFILTTTQARNLRDTNDGDEKGQSSLFKLNHEGTQTQEKTQTQEKNDDLLDTMDYTPASKNPPIHN >RHN63471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51518485:51522739:1 gene:gene26170 transcript:rna26170 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSPNPSPKLEEEKSLEEELLIPILMGEQVIKLAEEAKSSKVECRELAKKVEILCKNLRGVVRVVTGSHQSLNDRPIRRMVRELSKNLEKTLALVRRCKSQGVNVLRNVLTMSSTGDFRKVWNLLESSNGDMMWLLSIFESKGTNLSISPIASNDPILAWVWTSIYTLQLGNPKDRVEAANELGSLAKDNDRNKFIIVEEGGVLPLLKVLKDSAFPDSQVAAANALTSIISGDHDQQRFVQFLLSNLAVPVIVQVLSDAVFRVRVSVANLVSAMADQEPLAREEFIRANVTKPLVLFMSLDTVLNKPIAARSGLHSVVQNLSEVDSDGSNCRGREADQSPELRNDVKVSCAKALWKLSKGCLLACKRITETKGLICLAKMIESESGELRLNCLMAVMEITAVAESNADLRRGAFKPTAPVAKAVLDQLFKVVREERDSTLLIPAIKSIGSLARNFPGKVPHVLGPLVAHLGNKDINVASEVIVALIKFVCTDNYNRVDHSKAILELDGIPKLMSLLKIKDGHQVYGLKLLCYLALNVGNSKVLEQERVLSTIEKLARPVLAQNPDLKELFANAIHHLSLYQSGVQLHRHPLGLGL >RHN76187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48374907:48376262:1 gene:gene12473 transcript:rna12473 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYMKFTLLPEYAELVDAEQQLSLAEKAIMSAYDICLYLDASKNDPNIEGWPISKVAVLLVDNEKENCFLHFNSIPHGVWSLIEKDVDTLDQISEVTSEIKSPCKRRRVIMKRSKVILNEDQLLQVGYSAVKEIAGVNSIDMMLLGSYTVHSQSKERTASRFYIMKGSQSIDEGFTQVPIKDLIESFRGPLVKRSSCSWKATAVVNYFHMLPYSEIISEWISREAFSNSLQDLMLAEKQFSKLEVTESHVSSKGLFINLDSKLCKCFIQYFLY >RHN44584.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6473477:6477879:-1 gene:gene38721 transcript:rna38721 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MGDYYWDQVIKEENGRWKCKLCNGSFSGVASRIKAHLDHIKGGGIEPCPYGGVHSHTASTSSNLFEDHQGVSERLSKDTISQTTKASSVKQKLNYKHQTKTGIKPPKLIIKIKGCNTLKKIPPLGELQALSRLVITFCAIEEVPQGLENLINLKWLDLSRNNNLTMKSGFVLSNLSKMQYLDLRCPGVVITVEDVQGMNKLEYFGGALLVDYYSQKVLDISFMLKKYHLILGKMYDEEGNWWDSYNLKSIVPCDPKTKTIHFGYCEQLSHFSHILPKDLIDLYICQNVHWGCLCDTLSYNDSSSLKKLNISTCRQLKYVFCLYHSCSFCTKIHNLEVLELQSLESLTVLCKDVVDVRQYLSPSGIFSCLKEFSVYNCNLLENLFTPRLVQQLQNLETIIIRYCGSMKEILVASNTDDDDSLSIAFPKLTSLELGDLPQLKIVCKGSTSMSLPKLTNLELGDLPQLKIFCKGSISCESLPTLNIYGCQNLDMYSTIIIQDVEIPYYRFCC >RHN74868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:37305770:37307157:-1 gene:gene10997 transcript:rna10997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MNTSSQFLFLSLIVIWYISVSGANTTIHPEEKKALESIAKSLGKKDWNFDIDPCSNKPKWFTNAANKVACNCSVAGDNFCHVVEISLKDQNLLGTLPTELNRLRYLQIIDLTRNYLGGTILKEWGGSMMNINKISLIGNQLTGSIPVEIANITTLQDLQLWNNQLSGILSLELGYLTQIRRL >RHN57754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41492712:41494215:1 gene:gene33338 transcript:rna33338 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKEFTLTYELYVVLVRIFFLSTCNTNEVSVYVWRNHSPVNFFEVYRSLNNVFHNQEISGVLDDSSNTSLKVITISALEVLAEKFLSNGYMFCVCLGSITGCMASHNLDVTFLPAFKQLLHNAFIKVLGAKSLTELPLILNNMKSYGIAFDMLAELIVTMDRSSIVPFHGNI >RHN65898.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:6312745:6313656:-1 gene:gene13791 transcript:rna13791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MALTTSTLTEKKKVLFILGSTGTGKTKLSINLGTQFPSEIINSDKIQVYKGLDIVTNKVQESERCSIPHHILGIIDDPEYDFTVDDFCKHVLEALDLIIENGHLPIIVGGSNSYLKKLVDDPVIAFHSKYDCCFIWVDVSLPILFPYLDKRVDEMVEAGMVDEIREFFVPGADNTKGIRRAIGVPELDSYFEIEMKKGIDDAEKEKILKEAIRKTKQNTFKLAENQHSKIQNMADKLGSMIKKINSTEVFEVILRGEDYQHLYQDIVIKPSMKIVKRFLEETNPRFKNEKYSNEIGKHTPNGV >RHN81755.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48682325:48682572:-1 gene:gene5840 transcript:rna5840 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKTIYIYTQQYPQGRNVKFENFITTQNNLYNHNQDNKLKSSLYVVIPLKNSVI >RHN51061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13483827:13484159:1 gene:gene35432 transcript:rna35432 gene_biotype:protein_coding transcript_biotype:protein_coding MERPFQLISHINDKKDFWKLVVKVKDKWTVVKDGKEHLELVTVGGAFSELIYRIRILPEFNRHHSHHSTGITLFHFCRNPKQQPHRRCFSSERKRFHTQRVKRREKLKEK >RHN38512.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:420280:423535:-1 gene:gene50627 transcript:rna50627 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRPLSLYKKSEEALSLPPPEGPNSGVLVIQDNDLKPTSCFGLGEYHEVKGLPFPQNMNLELFYRSGISLNRTTHKHNVAFIPVLNQPLSSNQYYVIHLHGKKRGEAYNNSKEEDVDTFCFYNSVSEVPLHPLDISDKYQEFEIYPRRSKVTLRSGFSAKSVAEDGYPPRFLSRRWKLSASTSSDSSLGEASGVDDSLRAGKPEFNFTIANRCSESVVVGKWYCPFMFIKEGTHRTLKEEMRKSMFYEITLEQKWEQVFSCDNNDGKKGNTVNVDAVVQKEVVVIAGWEAMMDNKMDVAESFLWFNSMNNVGEKNSVGLSMAIVERMKWEEERVGWLGGKEKGFQVKKVEEFEGTNKGWKKFGCYVLVETFVIKRLDGSIVLTYAFKHHHQLRSKWE >RHN57770.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41620697:41622013:1 gene:gene33355 transcript:rna33355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MTKAEVTEAQEKERQLMQQDITMELTKDKRNSLESYVYDVRNKLLNEYRKFASEQEKDGISRSLLETEEWLYSERDDETVHAYFAKLEDLKQVIFLFSDLHLVDPIENRYKDEEERVQATRDLLGCIVEHRMSAGSLPQENKELIIDECNKAEQWLRQKTQQQDALPRSSDPVFWSRDINSKTQDLNLYDFTPLFFLFINA >RHN72915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11809656:11810841:-1 gene:gene8681 transcript:rna8681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative germin, rmlC-like cupin domain-containing protein MANLSFLLPVLALSLLAVFAVDAATTLQDFCVTNPIGQGNSLCKDPKLVEANDFLFSGLHIAGNTTNPAGSRVTPVFASQLPGLNTLGISMARVDIAPWGVNPPHSHPRATEIFTVLEGTLEVGFITSNPENRHFKKVLQKGDVFVFPIGLIHYQRNIGNCNVVAIAALSSQNPGAITIGNAVFGATPEIASEVLIKAFKLDKNAINYLQSKF >RHN73606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18114485:18119125:1 gene:gene9437 transcript:rna9437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Snf7 family protein MSFIFGKRKTPAELLRENKRMLDKSIREIERERQGLQTQEKKLIAEIKKSAKQGQMGAVRVMAKDLVRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPNLQKIMQDFERQNEKMELTMEVMGDAIDDALEGDEEEEETDELVNQVLDEIGIDINQELVNAPSSAVAAPAAKTKVPQAETAATDDSGIDSDLQARLDNLRKM >RHN51519.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19280878:19287415:1 gene:gene35972 transcript:rna35972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant AUGMIN subunit 7 protein MASKQMEAIQKKLGILNYPRSNASAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQNLQGDALDRDEETARIQYLAEMAKFLGITTTVDTDAIQGHGSYEDRTEMLRLIVDLVEATIYADNPEWSVDEQVAKDIHLIDSIAEKQAQIFSEECKLFPADVQIQSIYPLPEVAELESKLTEQSKILLNLQQKVDDLASKHAYNPDEEYTEVESQLRAHLESFLETARTFNMIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLQNLRDSHAALAFGSSETSGGPSSVSRIISECESEMTVINRDLGILSASIAREHGEKMSI >RHN40040.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12534278:12535174:-1 gene:gene46132 transcript:rna46132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSHLIIGDNAVDPFIFREYIGVKPYPASLNNFPYEIIIAKHFHFILGFANDSYNEEGKGTGNFNANWNSDFFGPQNVMALKRKYPHVKVVISIGGRDANFPFFPAAREEWCGNAVDSLKEIIRSYNDCSVEDNILIDGIDIFYDYINTNENDFSNYVGDVINRLKKEVRIDVVSIAPSHETHKHYKELYLACTDDINWVNYQFYMQPIPSKNDFLNLFLNLAKEYDSNKLLVGGSSDPIDADNFNPDEFVEACNDLHKTKSLRGIFIWNANDSANNVPPFYLEKKLQGEYKRLTN >RHN78531.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:15982460:15987247:1 gene:gene2111 transcript:rna2111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKNFKTQIFTLSRSHKTQQHHPHVSLLLLSLSHKQYHTLFFTPILKIKPLFFSLTMSTRRSGAAVIDGATTKPTTTTRSITPLTTSRKLPSLNTSSSSSLKENNNPRRSTSRGPIHPTQKPLTRSIPRTDRRSSSSFSSLPRGRSPSPSDLNQTRRVSVDRKDSKSIINPIRKSTERPKSAEKVRTLSNSARVRVRVEENSNSNSNGNSDGSSSNKYQSKLHEKLAFLEGKVKRIASDIKKTKEMLDLNNPDESKFILSDIQDKISGIEKAMVRVVVSEDDNHKVGVVSVNGVEDSKQIKPMNASGLVKGLNSDELEDRLFPHHKLIRDRIMIKDSTVKEKVEIEENVLKSVDENSIALEFLATLGEGSGKVSNHGDPCDGIERGKSGSCSNQKCDIDMVLEADEKLEEFDDQGKENNNNTQEEEGFIADETDEAFNFKLNGIGNKIAAAGWFVSEGEAVLLAHDDATCSYYDIANSEEKSVYIPPPGASPSIWRDCWLIRAQGSDGCSGRYVVAASAGNTIESGFCSWDFYTKEVKAFQFDNGTASSRTALRPLPNNIRRNSTSSTLLSADARQWWYKPCGPLIISTCSSQRGMKVFDVRDGEQVMSWEVQKPVVAMEYSSPLQWRNRGKVVVAEAESITLWDVNSLSPQALVSVPFGGKKISALHVSNTDAELGGGVRKRVSSSEAEGNDGVFCTTDSINILDFRQPSGVGLKIPKHGGVNVQSIFSRGDSVFFGCTASTTMGKRQSSSLIQQFSLRKQELFNTYTFPESNAHSHYAAVSQVWGNSDFVMGVCGLGLYVFDAMKDDDASRVLNMNYDNNNNNNNNGQNLREVVGPDDLYWPSFDYMGSRSLLISRDRPAMWRHLIV >RHN41332.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29211780:29217984:-1 gene:gene47642 transcript:rna47642 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha,alpha-trehalose-phosphate synthase (UDP-forming), Trehalose-phosphatase MTSRSYANLLDLAGGDLLDIPHTPRSLPRVMTVPGIISDLDGESDVSSSGCRERKIIVANMLPLQAKRDIDTAKWCFSWDEDSILLQLKDGFSSDTEVIYVGSLKVEIDASEQDEIAQRLLDDFNCVATFLPHDLQKKFYLGFCKQQLWPLFHYMLPICSDHGDRFDRVLWQAYVSANKIFADKVMEVIDPDDDFVWVHDYHLMILPTFLRKRFHRVKLGFFLHSPFPSSEIYRTLPVRDEILKGLLNSDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTIFIKILPVGIHMGRLDSVLNLPSTSSKLKEIQEEFKDKKVILGVDDMDIFKGINLKFLAVEQLLQQNPNLQGEVVLVQIINPARGSGKDVQEAKKEAYLIAKRINDIYGSKHYQPVIIIDRSVPRFEKSAYYALADCCIVNAVRDGMNLVPYKYIVCRQGTAKLDEAVGRQSDSPRTSMLVVSEFIGCSPSLSGAIRVNPWDIDAVADAMNLALNMGVSEKKLRHEKHYRYVSTHDVAYWARSFMQDLERACKDHYTKRCWGIGLGLGFRVISLSHGFRKLSIDHIVSAYKRTSRRAIFLDYDGTLVPQSYINKTPSPEVVSVLNSLCNDTKNIVFIVSGRARDSLNEWFTSCKLLGLAAEHGYFLRWNSDSEWETSHLFADLDWKQVVLPVMQSYTEATDGSNIEVKESALVWHHQDADPDFGSCQAKELLDHLESVLANEPAIVKRGQHIVEVKPQGVTKGLVAEKVLLNMVNAGNPPDFVMCIGDDRSDEDMFESILSTVSCPSLPSAPEIFACTVGRKPSKAKYFLDDTTDVVKLLDGLATSTNQKPKHLAQFQVSFESTVS >RHN55388.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17849649:17850257:1 gene:gene30561 transcript:rna30561 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSMKVNDYIWEYLEFGVVMKAYSVFTDARFTKISPGK >RHN75744.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44711594:44712759:-1 gene:gene11986 transcript:rna11986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MQFLMGLNDSYSTVRSNILMMSPLPNVRQAYSLVIQEETQRQMTSETTENFSIAAAIHTHQNNFSNKLVKDKRCEHCNREGHTIDNCRTLKFHCSFCNKKGHTEDRCRFKNGTWGSSNMGNQSSNANQSQQRQHGPRSAASRSSPAANAAESSQSALELSAEQIQQLARALSMISSNNNAGNNNTHANAAGLGYGEDDWLG >RHN68365.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34882361:34885406:1 gene:gene16706 transcript:rna16706 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sedoheptulose-bisphosphatase METSIACYSSGAFLRSVSAKHSLPSITPSSAFRSLKSSSLFGESLRVSSKSTLKFSRAKSTSVITKCEIGDSLEEFLSKATPDKGLIRLMVCMGEALRTISFKVKTASCGGTQCVNSFGDEQLAVDMLANNLLFEALKHSHFCKYACSEEIPELQDMGGPVDGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLIGVTGRDQVAAAMGVLGPRTTYVLALKDFPGTHEFLLLDEGKWQHVKETHEIGEGKLFSPGNLRATFDNKDYAKLVDYYVREKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPTAKAKLRLLFEVAPLGLLIENAGGYSSDGHKSVLDKVIENIDDRTQVAYGSKNEVIRFEETLYGSSRLKGGVPVGASA >RHN57725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41328760:41331817:-1 gene:gene33308 transcript:rna33308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HSP20-like chaperone MENEFVKRRLDTIAAHFASNEDISSTHLLPMNCSGSLNSVLRRCDNKVYFARQSSASFGYFMRQTSAEEGDSTSSVAPKTNMAKYEGPNYAREPCFARPARKESIVSNSVVQPMTKMQGYDFDSLEPPSFARPSKQIRQGEQLNNEKRIHHSEIGIEWSPRMDVAESESKYVIMVEVPGVSVNDIRVEVDDQKLSIKGRRSTGSWRVAGCPNASVSSYHKREILYGPYEVVWPLPHGVNKDNVSAEFLDGFLQIIIPKV >RHN48111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45120000:45121500:-1 gene:gene42787 transcript:rna42787 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKGDIESGGSTLYPTLLESPELRWPFILKVYSILTFQLLLTIAVASVVVFVHPVANFFVNSKLGYALYIVLLFVPFITSCPLYAYHHKHPLNYFLLLIFTVALASSIGLTWAFFSGKAILVSVISTIAVVFSLTLYTYWAAKRGHDFGSLDRFLLGALLVLILFALILVLFPLDKLSHLIYGCLGAIIFYGYIFIDTDNLNKRFSNDEYILASISLYLNVIPFYFCILLIFTYICSSTNE >RHN53206.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:51372:54912:1 gene:gene28090 transcript:rna28090 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEGGGDMDSLFEGMVLFNPAGQIEEPAGKEKEEENQQLNQSDDAPAAASSSSALCSQPLDENIFSDLTLVVVDPIQNDHDLLQSQSSSSHQHQRRRKRSGLRIGYGRDRDTTVDVDVDVDLDVDPSPSPPPPPPPPHIPDSLVSTVTQQPSSDVAPAVSVSATELKNESRDASNDEEETFRKIRETIHEKLSHARQLLNSASSARKDAIRSRRKAFQNANLASLKYMELENQLEQACEAEDFETAEMVSQHLSASEKDKQICTESLRQADAFIDALDLKLQHALDSHIAAEEESATLLHHYATNAVNNADSAMKKATSLHSKEIEQWFSSSEALEVKKMELEIESHFISEAHTELNNNIEHSIEDDKKEKEILCKRKSVLMDELEKLLALVKQKEKEIADNDSDLKAVEHKINNVVSGFEEIQSTIEVKYGKLQSVLAQVKLETETLSLKKDKIDNLLVQEERMGAKLREFARVSEEEAKGYREIVKLRRSLMSSILKSGEDKLRLTNNEEKLSGEVKLFQQEVSAARGSLQELSSRKSSIQQDIASFKQRIIFIDKRVPELEAEKKVATAARNFKEAARIASEAKSLCVEKENIQMGMDTATSNLQKLEEEIKGTLDKLQETEGMILLKEKELAMARYQKLLLTAATARAEKAAAQEMGDVEEANLLLAEAEAADCEAERIRSTYNFKAEDISNLRKDLISMDLVSILDKKQLEKLVVTSSI >RHN51986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:29548363:29552084:1 gene:gene36582 transcript:rna36582 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIPGVLLKLLQTMNSNVKVRGEHRSVLLQVISIVPALSGSELWPNHGFFIKVSDSSHSTYVSLSKEDNELILNNKLQLGQFFYIDKMEAGTPVPVLVSVRPVPGRHPFEGNPKDLMQMMESSESRMHPENDGVDGSKSTDKIEAKENTSSRQKIVIKEEKVSVASRYMKGVLNPNSKVNASDTNIGSKGNDFENGVDGRKMGSTKGKQLEIKGQVPPTTSTRTRFEALSTKQDISQSNIQETVIKPSKSTSAKHSSTKQENLNLNFLSHSQEKSNYPETISWSALPANLLKPGKGILRRKQLASQVAIEAQKEASEAAKIIKCLSTFANICSSAASENPHVILDKFFALLQLMDRPNGTTQLKAESLESHNIQSPAEKHKYGKKAGLAHAKNTSKTAKSLPELSGNEKQEWAKENGIKQINELKEVFLNETRSWFIKYLEKTLDAGFSRVFQEKGKESKVIAGREMAHANHIAVTLSHLKNANEWLENLRRALNSESDGLVETIDRLKQKIYSSLLVHIDSAAVALENRA >RHN45248.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13654580:13657467:1 gene:gene39486 transcript:rna39486 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVLTILHVLRSDSMEANFRGDPVHLPIVASPGVINPLLCTFSLKLLNI >RHN70598.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52643300:52645845:-1 gene:gene19197 transcript:rna19197 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FLOT4 MYKVAKASQYLVITGIGIKDIKLAKKAWILPGQSYSVFDLSPVNYTFEVQAMSAEKLPFVLPAVFTIGPRVDDKESLLKYAKLISPHDKLSNHVKELVQGIIEGETRVLAASMTMEEVFRGTKEFKQEVFGKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQARVDVSEAKMKGEIGSKLREGQTLQNAAKIDAETKIIAMQRAGEGDKEGIKVRTEVKVFENQREAEVAEANSELAKKKAAWTKAAQVAEVEAAKAVALRDAELQGEVERMNALTTTEKLKAEFLSKASVQYETKVQEANWELYKKQKEAEAILYEKKAEAEAQKALADATFYARTQAAEAELYAKKKEAEGIVTLGNAQGVYLSALLNALGNNYTAVRDFLMINGGMFQEIAKINAEAVRGLEPKISIWTNGGDNSGGEGAMKEVAGVYKMLPPLFKTVHEQTGMLPPAWMGVLPDKNLN >RHN74683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35824047:35825989:1 gene:gene10790 transcript:rna10790 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRAPSSASHVSGDTVGTKIPIIPDGEGQVNHIYIQKKMDLSTQNLPIYILELQMRHQMQRQEKEMSKTNKKLAVIMKHLGFVGSSSHVSNPNSESNEHIDEEDNNDFFEDDHDFSEDVDEDNNSYHIDN >RHN63905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54957010:54958169:1 gene:gene26661 transcript:rna26661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-93 MAKRNVAANSPLERTSLAYLDQRLAMAKRCSHEGVMAGAKAAVVATIATAIPTLASVRMLPWARANLNPTAQALIISTVAGAAYFVVADKTVLATARKNSINRPSNA >RHN67934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31624640:31626056:1 gene:gene16195 transcript:rna16195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MGGTGKTTLAKEVGKELKQSKQFTQIIDTTVSFSPDIKNIQDDIAGPLGLKFDDCNESDRPKKLWSRLTNGEKILLILDDVWGDIDFNEIGIPYSDNHKGCRILVTTRNLLVCNRLGCSKTMQLDLLSEEDAWIMFKRHAGLSEISTKNLLEKGRKIANECKRLPIAIAAIASSLKGIQRPEEWEWALKSLQKNMQMHNVDDELVKIYKCLKFSYDNMKNEKAKRLFLLCSVFREDEKIPTERLTRLSIGGGLFGEDYVSYEDARSQVVISKNKLLDSCLLLEAKKSRVQMHDMVRDAAQWIASKEIQTMKLYDKNQKAMVEREKNIKYLLCEGKLEDVFSCMLDGSKLEILIVTGHKKEGFHCHDLKIDVPNSFFENSTGLRVFYLIYDKYSSLSLSLPHSIQSLKNIRSLLFANVILELQGIILLK >RHN71218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57306661:57314925:-1 gene:gene19871 transcript:rna19871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosome biogenesis protein BMS1/TSR1 MGGGKHQINKAHKSRFSSKSSRNIHKTSVKDRLAIVKNDRNIGKGARAARLQRNKMIREQKKAAVLKEKRELTGSKCPPRVIVLFALCATVDLEPLADDLLTLLSKEFSAARSETVASSEYRTRATVLKAPHGDLLSCMEMAKVADLLVFVASARSSCEDTDSYFTDSFGSQCLSVFRSLGLPSTAVFIRDLPTELKQRNELKKICTSSLATEFPEDCKFYPADTKEDLHKFLSLFKEQRLKTPHWRTQRSYLMANKVDTVYDGNSEKCTLVLSGYLRARNLSVNQLVHVSGAGDFQLCKIEVLKDPFPLNSRKNQDLMDSDEVHDVEVIRTLVPDPDNQESLVAENTPDPLAGEQTWPTEAEIAEADEDQKQKKIRKRKLPAGTSEYQAAWIVDDSDDEESDCDNEDVDGMVLDEVDGFPGQEGNEYLDHDGDGASLRLGDSEDETDNDSVMMEVDNMTREKIQDELKELKEAHAADEEYPDEVDTPLDVPARKRFAKYRGLKSFRTSSWDPKESLPQDYAKIYEFDNFKRLQKHVLAKALELEKENREDCVSVGSYARLHIKEVPSAVASKLCIIAKTTPITTCGLLKHESKLSVLHFSVKKHETYETPIKSKEELIFHVGFRQFVGRPTFSSEFINTDKNKMERFLHAGRFSVASIYAPISFPPLPTIVLKRVREDATPVVAAVGSLKTVDPDRIILKRVILTGYPQRVSKRKASVRHMFYNPEDVKWFKPVELYTKRGLRGRIKEPVGTHGAIKCLLNGVLEQRDTVCLNLFKRAYPKWPTRHFPILDF >RHN73415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16196946:16197181:-1 gene:gene9224 transcript:rna9224 gene_biotype:protein_coding transcript_biotype:protein_coding MANHESSSVHGYPSEKNVNGSILCWLQHQSGLGSTSTLPALSSVL >RHN70077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48519379:48520491:1 gene:gene18620 transcript:rna18620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MESVGGSGSNEVENDLEMKLLRDRFRLSAISIAESEANKSGMEVSKVVVACVADLAFKYTERLAKDLQLFAQHANRKSVNMEDVILCAHRNENLSSLLRTFSNDLKVKDRQNEKKRKKESKKNDKTTV >RHN56764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33528831:33530044:1 gene:gene32207 transcript:rna32207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MYQSSYQHMNDPNNDGKSKEWTWDENKIFETILFEYLEEVQEGRWENIGLVCGRSSTEVKEHYETLLHDLALIEEGLVDFSTNSDDFIISKASTDENKAPPTKNKTKKVVRVKHWTEEEHRLFLEGIEIHGKGKWKLISQHVRTRTASQVASHAQKHFLHQLDGTSKKTYKKRSNFYITSLKGNSKPLLNKDNIPSPSTSWDGNFHPLLYKDNYVPALPSPHLN >RHN64982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63445920:63448792:-1 gene:gene27870 transcript:rna27870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lactoylglutathione lyase MMAEIDLEWPKKDNRRLLHVVYRVGDLERTIKFYTEALGMKLLRQRDVPEEKYANAFLGFGDEQSHFVVELTYNYGVTSYDVGDGFGHFAIATQDVYKLVEHIRAKGGNITREAGPVQGGTTVIAFVKDPDGYTFALVQRPIVHDPFCQISLRVGDLERAIKFYEKALGLKVVRKVDNPENKYTIAILGYKEEDDATVLELTYNYGVTEYSKGTAYAQIAVGTDDVYKSADVVNLVTQELGGKITRQPGPIPGLNTKVVSFLDPDGWKTVLVDNEDFLKELE >RHN55618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20102682:20105861:1 gene:gene30822 transcript:rna30822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4-glucan-protein synthase [UDP-forming] MASSSKPTPLLKDELDIVIPTIRNLDFLEMWRPFFEQYHLIIVQDGDPSKVIKVPQGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPTGHEINALEQHIKNLLSPSTPFFFNTLYDPYRDGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPHERNTRFVDAVMTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCIKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEEIIPFFQAATLSKDCTSVQKCYIELSKQVKEKLGTIDPYFVKLADAMVTWIEAWDEINNSAEVKTSDKASEAGPK >RHN55775.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:23555544:23564911:1 gene:gene31025 transcript:rna31025 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MMKQKHITNPRCVCGRRIWSQPAHCVCSKLSPNPTKSSRNAGEGQSSCISKCKCCNHGLDTKIYAAIEIIKQKHKMAQNARMAAEAYRTANPHFISKVTRGNNAFIDSTFASRHLNENVSILLRNSDGQEWEDSAEMFRKDSHQMKFKKFHIFKNDNYLCQEDYCAFELIQINPVVLNVIMFRVNDYEN >RHN76654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:156319:157836:1 gene:gene16 transcript:rna16 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-GATA family MEGTTNNIFQTTFSSDNKTNNTTDNFIVEDLLNLNNDIDDDTTIISDTNLDSATGNSTASSSTLTVVNSVSPSSLSGCDPNVVPDIGCQNFSDSHFSGDLCIPEDDLAGDLEWLSNIVEESFSSEDLQKMQLISGMKVRNQDEEPRELSQPNRNNPIFNKEVLVPAKARSKRTRGPPCDWSSRLLVLSQTTPSSSESEFLIPTPTLPTVTVPRKQAKTAPRRKDNDGGSGGDGRRCLHCMTDKTPQWRTGPNGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTKHSNSHRKVLELRRQKEMLRAHQHQQNQILQHQSMMFDMSNNDDYLIHQHMGPDFRQMM >RHN76752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:984417:985996:1 gene:gene125 transcript:rna125 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MINYVAWSGYWSKEKARDASNGGFDVGIRKGYEGSGTYLNDEKFIPLIFFYTLLNESQIQLHDKQNVTLFFLKKDLHHGTKLNLQFQETTSNNNGTKFLPREVANSIPFSSNKLENILNKFSIKEGSKEAEIVKRTISECEANGIKGEEKLCVTSLESMVDFTISKLGNNVEAISTEVDKNSNGLQQYVIAKGVNKLGEKNKTIVCHKENYPYTVFYCHKTDSTEVYSVPLEGVDGNMVKTIVVCHTDTSEWNPKHLAFQVLKVQPGTVPICHILPQDHVVWVSK >RHN70430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51399337:51400385:-1 gene:gene19009 transcript:rna19009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MQDAHLATLGFNFIGAQANINVWNPNVEQPEDFTTAQMWLKANNGENFASVEAGWMQDSYHTTGCFDLTCQGFVQIASEIALGSTVGPYSSQFNQQYEINVGIFWDRNGNWWLRMKDKIIVGYWPAAIVGNLQHSATLVQWGGQVFSYNVKTTPPHTGTQMGSGEAASGRFGFACYMTNVRIKDYSETLKYPQFVSTYAAEPYCYSALNDVQYGKDPVFFFGGPGRRPPYCP >RHN55466.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18645543:18650248:1 gene:gene30648 transcript:rna30648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative post-transcriptional gene silencing PAZ-Argonaute family protein MEQQENSTNNTNQNKTTTFITKNGTNSHQHHHYYNHYQQQQEQQQYQNHLQQYQTQLGFYNNYYQNKYQRYYPALLPLPSLQQLPFIPSFPQNLKTHLHKLPCKLNTSPSSEYNLSQLSLDPAPKELQKQTRPSFKEVDGKKLISTRKPHEVIVARRPDSGGQEGPVISLLANHFLVKFDSSHKIYHYNVEITPHPSKDVAREIKHKLVNNNAEILSGALPAYDGRKNLYSPIEFQNDKLEFYIGLPIPTSKSTSPYEKREQHKLFRINIKLVSKIDGKGLTNYLSKEGDEGIPLPQDYLHALDVVLRESPTEKCIPVGRSFYSSSMGRSKDIGGGAVGLRGFFQSLRPTQQGLALNVDFSVTAFHESIGVIPYLQKRLEFLRDLSQRQTTQLTCEERKEVEKTLKNIRVFVCHRETVQRYRVYGLTEEATENLWFPDRDGKNLRLMSYFKDHYNYDIQFRKWPCLQISRSKPCYLPMELCVICEGQKFLGKLSDDQTAKILKMGCQRPGERKAIIEGVMRGNVGPTSGDQEKEFKLQVSREMTKLTGRILYPPKLKLGDGGHVRNLTPSRHDRQWNFLDGHVFEGTTIERWALISFGGTPEQKSHIPRFINQLTQRCEQLGIFLNKNTIISPQFESIQVLNNVTVLESKLKRIQSIASNNLQLLICIMEKKHKGYADLKRIAETSVGVVSQCCLYPNLIKLSSQFLANLALKINAKVGGCTVALYNSLPSQLPRLFNIDEPVMFMGADVTHPHPLDDSSPSVAAVVGSMNWPTANKYISRIRSQTHRQEIIADLGAMVGELLEDFYQEVEKLPNRIIFFRDGVSETQFYKVLQEELQSIKQACSSRFHGYKPFITFVVVQKRHHTRLFPADTDQSSMHNNFHFQYENIPPGTVVDSVITHPKEFDFYLCSHWGVKGTSRPTHYHVLLDENKFTSDELQKLVYNLCFTFVRCTKPISLVPPAYYAHLAAYRGRLYLERSESLGLFRSASTLSRAATPKTPPLPKLSENIKKLMFYC >RHN57936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42635715:42642690:-1 gene:gene33528 transcript:rna33528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MMFVLSSVSFMNLGTDFVLKPIFLHGISSLIHILLIIAILVSSVWRKFTVVNESKEKPNNTLFKVTKFSSFGFSSFNFVLFLLNYFYWYTSGWSEEKVVTLFDLALKTVAWCVVCVCFYKGFLFFFSSGQRKRRFPFFFRAWCVFFLFVSCYCFVVDIVVLYENHIELTVQCLVSDVGSFCVGLFFCYVGYCVKNESEESDSTFQEPLLNGDTHIGNGNVNPLELKETKGSDTVTPFSTAGILSLLTFTWVGPLIAFGYKKNLDLEDVPQLDSGDSVVGAFPIFREKLEADCGAVNRVTTLKLVKSLIISGWKEILFTAFLALLNTFASYVGPYLIDSFVQYLDGKRLYENQGYVLVSAFFFAKLVECLTQRHWFFRLQQLGLRTRALLVTMIYSKALTLSGQSRQCHTSGEIINFMTVDAERVGSFSWYMHDLWLVALQVTLALLILYKNLGLASIAAFVATIIVMLANVPLGSLQEKFQNKLMESKDTRMKTTSEILRNMRILKLQGWEMKFLSKITALRDAEQGWLKKFLYTNAVTTFVFWGAPTFVSVVTFGTCMLIGIPLESGKILSALATFRILQEPIYNLPDVISMIAQTKVSLDRIASFLRLDDLQSDVVEKLPPGSSDTAIEVVDGNFSWELSLPSPTLQNINLKVSHGMKVAVCGTVGSGKSTLLSCVLGEVPKISGVLKVCGTKAYVAQSPWIQSGKIEDNILFGENMVRERYEKVLEACSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGVLSSKTVVYVTHQVEFLPTADLILVMKDGKVTQSGKYADLLNIGTDFMELVGAHREALSTLESLDGGKACNEISTSEQEVKEANKDEQNGKADDKGEPQGQLVQEEEREKGKVGFSVYWKYITTAYGGSLVPFILFAQILFQALQIGSNYWMAWATPISAEVEPPVEGTTLIEVYVGFAIGSSLCILVRALLLVTVGYKTATILFNKMHLCIFRAPMSFFDSTPSGRILNRASTDQSAVDTDIPYQIGSFAFSIIQLLGIIAVMSQVAWQVFIVFIPVIAVSIWYQRYYLPSARELSRLGGVCKAPIIQHFAETISGTSTIRSFDQQSRFHETNMKLTDGYSRPKFNIAAAMEWLCFRLDMLSSITFAFSLIFLISIPPGIINPGLAGLAVTYGLNLNMIQAWVIWNLCNLENKIISVERILQYTTIPSEPPLVLEEENRPDSSWPAYGEVDIQNLQVRYAPHLPLVLRGLTCTFNGGLKTGIVGRTGSGKSTLIQTLFRLVEPTAGEVIIDRINISTIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEALDKCQLGDEVRKKEGKLDSSVSENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNLIQQTLRQHFTDSTVITIAHRITSVLDSDMVLLLDQGLIEEYDSPTTLLEDKSSSFAKLVAEYTMRSNSNF >RHN70777.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53947648:53948696:1 gene:gene19394 transcript:rna19394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MDPPKKPNKIREIVRLQQILKKWRRVANTSKTYRSSSINNNSTTSKSIKFLKRTLSMSEREGGGSNNAVPKGYLAVCVGVDLNRFVIPTEYLAHQAFHILLREAEEEFGFEQTGVLRIPCEVSVFESILKMVEGKDRFSTQKCRFGIEKMMGYCSSNQLGYYHQPHSPMCR >RHN64658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60792048:60794406:-1 gene:gene27493 transcript:rna27493 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDHAFSISDEDMMMETSYTANNKPPIKEIALAVALLVFGTLGIIIGSLMAYNHVGGDTAHGLFFAILGSILFIPGFYYTRIAYYAYKGYKGFSFSNIPPQVKCNIMSLN >RHN54282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8287959:8292595:-1 gene:gene29291 transcript:rna29291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Coiled-coil domain-containing protein MAAVAACRRLGRLRTNSGVGLTGFAGYGIGASKFTPSILGSMYFSGFGKSNGQRLFLVDTLALVKRLEGQGVPLKQAEAITGAITEVLNDSLENVSRSLVSKEEMQRTEMQQEANLSKFKTEVQSSQGHHFSLLQHETEKLKNDIEKMRSELRYEIDKVTAGQRLDLNLERGRIREELTNQNAETNNLTNKLDREIHALRAQLEAAKYDVIKYCIGTLVSISAVGLAVLRILM >RHN62528.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44162724:44162927:-1 gene:gene25117 transcript:rna25117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MPCGETVGLDLMVDKVWHSLEDDNVGIIGLYGMGVAGKTTLMKRIHNELGKRGHSFDIVMWAVFSED >RHN51247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15365892:15368291:1 gene:gene35654 transcript:rna35654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyadenylate binding protein MFSHRDPSIRKTGFANVFVKNLDTSIDNKVLHDTFATFGTVLSCKVALDSNGKSLGYGFVQYDNHESAKCAIEKLDGMLIKDKKAFVGYFIRRQERSENGSPKFTNVYVKNLLETDTDEDLKKLFSTYGVITSAVIMKDENGNSKCFGFVNFQSPDSAAAAVEKLNGTTTNDGKVLYVGRAQRKCEREAELRAKFEQEKIKRYEKLQGANLYLKNLDESINDEKLKEMFSEFGTITSCKVMFDAHGHSKGFGFVAFSTSEEASKAINGMSGKIIGQKPLYVVVAQRKEDRKTRLQAQFSQINVSGGITSFPARIAGYHQSTPRLAPQQLYYGQGTPRLMLPQPAGYGYQQQFIPGMQSGVAPNYIMPYHPQRHGHTGHRMPPVGNFQQVQQNQVLPRNSDQGFRYNGRNSVDPSAVSEGQMIDPSIQNHGALSNNSLPSTLASVTLENQNRMLGEQLYPLVGCLTPNNQTAKVTGMLLELDKSEVIHLIKSPEELKMKVSEAMEALRFVSSGPACRS >RHN60162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:22177980:22181134:1 gene:gene22403 transcript:rna22403 gene_biotype:protein_coding transcript_biotype:protein_coding MTWEKEVTFSLKNTKNVLHIPERVSIGATLDKKIFVQLVGFDNDKSFLCPLVKKSSTPSKISLYKGWIDYLDQAKANMRVKDKLRFQFRYPHYSFSVEIIRKKPK >RHN66731.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17778763:17779264:-1 gene:gene14798 transcript:rna14798 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFREKLHSARDYNHRSPSRTMILASRVMKFIARHVSHFPSPRELQVVARHNEQPYSP >RHN70644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52971544:52972027:1 gene:gene19253 transcript:rna19253 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSLVYSGNGSNEDAEHDASQEMEVTFHSGLECLNKKLTEKKDKRSETVWEEFRRKRREKKKAKKNKLKYSSDDDSDQQANEEADEFLIEEPCVKKRKKAQMSKNDKDHNNLQHMDMVAVDKA >RHN62367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42973435:42973811:1 gene:gene24935 transcript:rna24935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MIGFSPYGSYWRTVRKIATVHVLSAQRIDMLKHVMESEVEEAMKESYSFWLKMKSEQGNSERVFTEMKEWFADIAINVVFRTVMGKRFDGDEEENQRIRNMDVLLSTIDEDLDVRDQGQYSEMV >RHN61884.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39141087:39143010:-1 gene:gene24395 transcript:rna24395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MVSTSKNQYILALFLLLAVAGITNVMSRKLYESLSLQERHEQWMTEHGKVYEDAIEKEKRFMIFKDNVEFIESFNAADNQPYKLSVNHLADLTLDEFKASRNGYKKIDREFTTTSFKYENVTAIPAAVDWRVKGAVTPIKDQGQCGSCWAFSTVAATEGINQITTGKLVSLSEQELVDCDTKGEDQGCEGGLMEDGFEFIIKNGGITSETNYPYKAADGSCNTATTTPVAKITGYEKVPVNSEKSLLKAVANQPISVSIDASDSSFMFYSSGIYTGECGTELDHGVTAVGYGSANGTDYWIVKNSWGTVWGEKGYIRMQRGIAAKEGLCGIAMDSSYPTA >RHN50819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10793894:10796558:-1 gene:gene35154 transcript:rna35154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MEEQEPIVVNKSDEPLDLPAGFRFHPNDDEIITFYLLKKVLNSNFSAIAIGEADLNRCEPWDLPKKAKMREKEWYFFCQRDRKYPTGTRTNRATESGYWKATGKDKEIYKGKSNQLVGMKKTLVFYKGRAPRGEKTNWVMHEFRLDGQLSTCNLPSDAKDDWVVSKIFIKNNIEVKKTTSFSNLLRMNSIGDDLLDFSSLPPLVDPPFSNNTIDDHDFKGINSSPSSSNGYCFPSYLMNNEINLTNNYHATNQGNFNGPLMQSSSSSSHQLQPQIQIPNTPLNENMLSNYSYMNQGNNNNGYIYGLENKECKMEQFSTNNSMVSISQDTCLSNDINNETSSVVSKQEIGRNATLYEELEGPSSIGPLSNLESWEWLLKS >RHN47066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36883817:36884762:-1 gene:gene41621 transcript:rna41621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase/kelch, beta-propeller, F-box associated interaction MYYVTGAFANHGCLSSLISHPNFATLHFHLAASPTHNLLSIGNDCFPETLSIDFDASLSGDSAYVPPIIDFMDHQQHPQIGGSCRGFVFLHSDKGFYLWNPSTGVHKQIPRSPMTIGIKLNILNRNILRFLYGFAYEPSTDDYLVVLGSYKCYNDYDRVSGLITLEIFSLRTNKWKQVEVGFHLPYMVINTNRPSNKVGLFLNGAIHWVVHNHETNMNVIIAFDLKETTISEIALPKDFCVTYSIQYDLLVLDGLISAWIVDMDVVEIWMVMMNW >RHN52151.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32055527:32057053:1 gene:gene36780 transcript:rna36780 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MHDLSSTQQSTNTHILTFIRVIATMALETKPRLPWKVRFSTSILSAISDITGRSNGTINRRLLSFIDWKMPPNPNSKSINGVSSSDVVVDPTCNLWFRLFLPSSSTTATTKSLPVIIFFHGGGYAYMSPSSIPYHMLCRLFCRSFPAIVVSVNYGLSPEHRFPSQYEDGLKILKFLDQNVDVLGKYADISKCFLAGDSAGGNLAHHVAARVSLEDFRVLKVIGLVSIQPFFGGEERTESEIRLKRVPICSMDKTDWYWKMFLPDGSNRDHEASNVCGPNAMDISNVDYPNTLVCVGGCDPLVDWQKRYYEWLRKSGKEVQLIEYPNMVHAFFYFPDLPETLDLISKVKDFMIKQMVAMN >RHN66727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:17717031:17717458:-1 gene:gene14793 transcript:rna14793 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S19 MIGHTIAIHNEKEHLPIYITDRMVGHKLGEFSPTLNFRGYAKNDNRSHR >RHN53232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:265209:268322:-1 gene:gene28120 transcript:rna28120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I MDDAKSNPKLTLLPLIALIFYEVSGGPFGVEDSVSTGGGPLLSLLGFFLFPLIWSVPEALVTAELATAFPHNGGYVLWISSSFGPFWGFQQGFWKWFSGVMDNALYPVLFLDYLKHSFPIFNLMLARIPALLGITFSLTYLNYRGLHIVGFSAVVLTIFSLLPFLAIFVLSVPKIRLSRWFVVDFNKVNWRGYFNNMFWNLNYWDKASTLAGEVDNPSKTFPKALFWGLLLVVCSYLIPLLAGTGALSSPPTEWADGYFSEVGMLIGGFWLKLWIQAAAAMSNLGLFEAEMSSDAFQLLGMSKMGLLPAVFSSRSKYGTPTISILFSATGVIFLSWMSFQQILEFLNFLYAIGMLLEFAAFITLRLKKPNLYRPFRVPFQTFGAAMLCLPPALLLILVMCLASLRTFFVSGAVVIVGFILYPILVQAKNKNWLLFEAEQLSSPSNGWHSIDSELVGHENKDTELPMSSPLPMVEEELSLMESDSNPK >RHN65719.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4701368:4702332:-1 gene:gene13594 transcript:rna13594 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTLKSLPKMASFFLYSLGLVFLSALTLVPLGLADKSPSHNMPPNPIYTTPIHKNPTNTPVYNPPIYKPPPTYKPPIKKQPINKSPNKKPLLLKPPLPKPPHKEPPSPTN >RHN38886.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2634505:2636267:-1 gene:gene44861 transcript:rna44861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MTGGKEEKKDEKGKDSKNEEKKKDDIELITAIYKLNLHCQECGNKIKKHLLTTQGVQAVEMNIEKGEIKAKGKLDPLKILKLIEKKSNNKVELISPKVKPKEIIITDKKPKETKDPIVRTITVKVHMHCDKCEADLKRRLIKHKGIFNVKTDKKAQSLIVEGTIEVEKLTSFLKKRVHKNAEVISIKEHKREEKKEKGKEEEEKKEKGKEEEKKDKGKVIEIHHGGGDTRDEIKIKDNNNVPYIIHYVYAPQLFSDENPNSCSIS >RHN75496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42733690:42733983:-1 gene:gene11714 transcript:rna11714 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMTLFFVEDFPKPPLLFVYTRRRRKRSSIEVDCERTALKRRGIWSNELEGLGIDLNLIGKIDDGSGLRKCRNQIGNFSDNCDFVAKNSKLVTEWF >RHN72500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8134411:8136701:1 gene:gene8219 transcript:rna8219 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative senescence regulator S40 MAKGRKLTTSRSERFLGTYGYSHNQSSAAAADPSELREEDIWSTDVGSGEVDLNFSDEWESPNAETVEIIGGGSGSSYRNRHRITQNSDEHRQLGGLSLAFEDPVNSSTVATARIVHQFRAHDGVASSPSARGRHMATSLPVNVPDWSKILRVDSVESLHDDSFDDDDESEMVPPHEYLARSRKNAAKSVFEGVGRTLKGRDLSRVRDAVWSQTGFDG >RHN49371.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54339547:54349762:1 gene:gene44193 transcript:rna44193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MGVPAFYRWLADRYPRSIVDVVEEAPSPSVAGGPPFPVDVSRPNPNGMEFDNLYLDMNGIIHPCFHPDGKPAPATYDDVFKSMFDYIDHLFSLVRPRKLLYLAIDGVAPRAKMNQQRSRRFRAAKDAAEAEAEEERLRNEFADQIELLTSKEKTETHDSNVITPGTPFMAVLSVALQYYIQTRLNYNPGWRNTKVMLSDSNVPGEGEHKIMDYIRLQRNLPGFDPNTRHCLYGLDADLIMLSLATHEIHFSILREVITFPGQQEKCYMCGQVGHLAAECRGKPGDNALDWPVDDTPIHKKKYQFLHIWVLREYLQYELLIPDPPFEIDFERIVDDFVFLCFFVGNDFLPHMPTLEIREGAVNLLMHIYRKEFTAMGGYLTDAGEVFLERVENFIQCVAVHEEQIFQKRVRIQQSQEFNEGMRARARGEMPEEPRASVVDKVKLGQPGYTERYYAEKFGASDPEIERIKKDIVLKYVEGLCWVCRYYYQGVCSWQWYYPYHYAPFASDLKDLADLEITFFMGEPFRPFDQLMGTLPSSSSSALPERYRDLMINPSSPILQFYPSDFEIDMNGKKYSWQGVAKLPFIDEKKLLSATRTLEGTLTEEEQLRNSKMLDLLYVSRAHKLVPHILFYYQNYSHLPHQQRPVLPIDPSASDGMNGFLWSYERNVFRTVVSSPIKGLQDIACNQVLNITYLNPRTHRHIPKPPDGVVMPQKILRALDIKPLPVLWHEDNSRRHQARERQQVPGAIAGPQLGEAAHRLVRNSLQFNNNTSYPDQFPSQHTMNRVRPTGASGSGKYYGEESSGYYGQNYNPQGMMTRPRFPIASNGWQNDRQNIRIQDRSQHHEQFHNMKTGFQALTIDEGVRPRSSAVPSPKTPAMMLMRPQNSGHTTNLQPQFVQNIGPPIPPPNWISKAPDTNGMYARHQEAAVGGAYDKPIKKVYQIKTRNPQDMPEQGNQW >RHN47885.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43298088:43301702:-1 gene:gene42536 transcript:rna42536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-L-LEC family MLQLECFLRLVLLLYVSFGFLLHPVVTTVIPLGSKLSVVDNNCWVSTNGDFAFGFFNISDEPNQYSVGIRFNSKSIPYSLQELVWIAGAHDKVSNLSYFQLTPQGELILFDSLHGVTVWTSHTGNRSVVSAALHDNGNLILIDAKQNIIWQSFNNPSDTLLPGQSLAVYDTLRASTTHPETSYYSLYMNASGRLQLRWRSSIVYWTSESLSSTGNLTAFLTTDGSLQLRDQNSKAVWSVFGEDHNDSVSYRFLRLDLDGNLRLYSWMEASQSWRSVWQAVENQCKVFATCGQRGVCVFTASGSAECRCPFKVTETDNCLVPYEQGCTSGTNMQQYKNVHLYGIYSSDDSVVTTSLQQCKQLCLNDSRCTVATFSNNGGPQCSLKKTKYITGYEDPSLSSISFVKSCSDPFAVNPGIMKSPPSKPSPPRICVPCLIGAASGTFVIFAFVQLGIVFFICRRKKSAMRRVTLAFTFPNSKGLMVLSFSEIKSITSDFKNRVGPNMFKGVLPSNRLMAIKDLNATIEERKFRSAVLKIGSIHHKNLVKLEGYCCEFNHRYLVYEYAKNGSLEKHLDDSSLCKRLTWRKRVEICSSVAKAICYLHSGCREFLSHGNLKCENVMLDEDSIAKLSEYGFAIVDGEATYCGFSAGKDVGDFGKLVLTLLTGRRDDEQVCEWAYKEWMEGRVANVVDKRIVGGADLEELERSLRIAFWCVQVNEHKRPPMEEVVRVLDGTLNVDPPPPPFHLPFDPQENGSETESVVY >RHN64685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60992147:60993224:-1 gene:gene27527 transcript:rna27527 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative intramolecular oxidoreductase MPTLNLFTNVPVDPVIASNILRDATKAIANIIGRPESYMCILVNGGVAIAFGGTEEPAAYGELISIGGLDPTVNAKLSSTIAQIIQTNLHIHSSRFYIKFSDVQPSFVGFNGSTF >RHN73349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15584768:15586147:-1 gene:gene9151 transcript:rna9151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain-containing protein MVRDKKELAFARDENEKTALHLLAKNQMPLDSSCHCPEHDHNHIITNPGLKNHMVFQLVKFLWTTILERYYSSKKELNEIINKPSQLIFDAAEIGNFGFLSELISAHPSLIWEVDCENRTILHIAVLHRHASIFNVIHQIGHIKDIIVTFEDDEGNSLLHLAGKLAPQGQLELVSGAAFQMCVELLWFENVKKIMLPAQIKSKNSKGVTAEELFSNEHEKLREDAESWMKKTAESCMLISTVIATGVFAAAVTLPGGIDNTGKPNFLKKPSFLGFAISDASAFISSSTAILIFLSILVSRYGERDFYKSLPLKLIFGLITLFISITSMMVALSSSFFITFYHGSMWIPSCISIFSFLPILLYIGLQFSLFSDIIYSTYYWRTLSKPGKNMIYVIEE >RHN79190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25415936:25416949:1 gene:gene2925 transcript:rna2925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 3,9-dihydroxypterocarpan 6A-monooxygenase MIYPASKIQTRKMEIIEQYIPIFLILLASTILLKAIFTKLEGPNFHLPPSPLSLPIIGHFHLILKQPLHRATHNLSNRFGPIIHIYLGSTLMVFVSSSEIAKEIFKTHESSFSNRPANIAISYLTYNASDLGFAPYGTYWKFMKKLCMSELLNGKMLDQLLPIREEEINRFLQMMIKKGEANESVNVTDELLKLTNSIVMRMAIGKSCFKEDDEAHKVTERVRESAMLSGMFNLADYFSLCKRFDVQGMKKRLKDVRDRFDAMMESIIKEHEEARSKLQRKDAEKDVLDALLSIYEDHSSEVKITRDNIKAFLVVIIFSLCTDINCNRGHDSWFDRE >RHN72799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10800073:10800753:-1 gene:gene8557 transcript:rna8557 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKYIRHYKITCCMLYLKTCDKLFWVSWFYKISHPMLLAPA >RHN79054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21992952:21994320:-1 gene:gene2749 transcript:rna2749 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative harbinger transposase-derived nuclease domain-containing protein MNVWKEQLEHNTQEEEEDDDTFEESYILAALLGEYATKYLCKEPCRTSELTGHAWVQEILQGNPTRCYEMFRMEKHIFHKLCHELVEHDLKSSKHMGVEEMVAMFLVVVGHGVGNRMIQERFQHSGETVSRHFHRVLHACLKLSFKYIKPEDPMFCECHAKIKNDQRYWPFFKNAIGAIDGTHVSCVVSASEQPRFIGRKGYPTQNIMAVCDWNMCFTFVLAGWEGTAHDARVFDKALTTANLNFPHPPQGKYYLVDSGYPTPIGYIGPYRCERYHLPEFRRSSGFENHNEVFNYYHSSLRCTIERTFGVWKNRFAILRSMPKFKYETQVHIVVATMAIHNFIRRSAEMDVDFNLYEDENTVIHHDDDHRSTNLNQSQSFNVASSSEMDHARNSIRDQIIAYKLNN >RHN73077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13230866:13233683:1 gene:gene8855 transcript:rna8855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase MSIQCLVGQILLSYSFLVYTLFCIIPSFFAPTMRFSLASPLFLLGLFTINLIHTADAQIGICYGMMGNNLPPANEVINLYKANNIKRMRLYDPNQAALNALRNSGIELILGVPNSDLQTLATNSDNARQWVQRNVLNFWPSVKIKYIAVGNEVSPVGGSSWLAQYVLPATQNIYQAIRAQGLHDQIKVSTAIDMTLIGNSFPPSKGSFRNDVRSYLDPFIGYLVYAGAPLLVNVYPYFSHVGNPRDISLPYALFTSPGVMVQDGPNGYQNLFDAMLDSVHAALDNTGIGWVNVVVSESGWPSDGGAATSYDNARIYLDNLIRHVGKGTPRRPWATETYIFAMFDENQKSPELEKHFGVFYPNKQKKYPFGFGGERNGEIVNGDFNATISLKSDM >RHN73184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14173035:14174833:-1 gene:gene8972 transcript:rna8972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MMFQTHNKSWNSPMLKSLSFKPFFHSLNPITTVPISTPKSISSLSTLSLNHNSSTTSQQTQFTVSYLITNFGFSSETASKASKLVQFDTSRKPDSVIAVFRNYGFSDSQIKNIIRQAPDILKCDPYKRVLPKFEFLTSKGASNIDIVEIVTRSPRILGSSLENSIIPTFESVRMFLPSNEKVIERILHCKHFFGHFHFIRNVKMLLDDGVIHSNITFLLLRRPSILLTYDMRNALDLVKEMGFDDPTNVNFCQALLAKRAMSKSRWDAKVVVFKKWGWSDEMVLEAFRKRPLCMLASTEKINKVMRFWVNELGWDSSALVKRPEVFSYSLENRIVPRACVVSYLISKGLIEKNIELSTPFGVNENVFLEKYVQCFKEESDDLLKLYLEKMGV >RHN43197.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43933298:43938659:-1 gene:gene49737 transcript:rna49737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol-4-phosphate 5-kinase MQETLPHQHHKPPSNTLLLRSHRITPSTLPNGDTYTGALSNNNTPHGTGKYIWSDGCVYGGDWKNGKPNGKGRFTWPSGATYEGDFISGRMEGFGSFIGVDGDMYRGTWLADRKNGFGEKRYSNGDVYEGWWRCNLQDGEGRYVWKNGNEYVGEWKNGAIWGKGVLVWANGNRYEGFWENGVLKGNGVFTWCGEDFEGNEVKQKRCEMDGSKGVVGFPRICIWELDGEAGDITCEIVDNVDASVFYKDGSSESENSSGDSSCGLLYKSPSWSLDGGEVKKPGQIVSKGHKNYDLMLNLQLGIRYTVGKYAPVVRELRGGDFDPKEKFWTRLPMEGSKFAPQHQSVDFRWKDYCPMVFRHLRELFAIDPADYMHAICGNDSLREMSSPGKSGSIFYLTQDDRFIIKTVKKSEVKVLIRMLPRYYQHVCQYKNSLVTAFLGAHCIKPVGGQKIRFIVMGNVFCSEYRIHKRFDLKGSSHGRITDKPREEINETTTLKDLDLDFVFRLEQSWFQELKRQLDRDCEFLEAEGIMDYSLLIGLHFRDDYSVDEMESSPRDMQSGKRDMHDDEMHMPRGPLIRLGMNMPARAVRVCSGSSNNSTPCSESSSEISDVILYFGIIDILQDYDISKRIEHAYKSLQVDSTSISAVGPKLYSKRFRDFIHRIFVEDK >RHN49023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51852156:51854038:-1 gene:gene43805 transcript:rna43805 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRAS family MEIDHFSSTHDFVEEVVFQDKQHSFSGIISNPLPKENDQLPNMFNNNQNHHHHQQQQEFEVCNFMSLLEDININLETDQQKNLHHKVPIPKPLENNIQKMPQYLSSLEILRNHGSRFNRNNTINTKASSNLEQHQKMSTEGIIRVAGARYTQYSSSHWSDNFCIQTHPYGFDLNGLSEEENRDIELAQFLYVAAERVSLQQYERAKKLLLYCQWNSSITGNCVQRIVFHFAQALQERIVKETGRVVKGSDKNEESELIEKMGSKKALMCHQKLPFNQVMQFTGIQAIVEHVKFETKIHLIDFDIKSGVQCIALMQALSERQDCIVEIFKLSAIGLNTCKNKIEETGKNLASFAESLNLPFLYKPVLVEDMLEIKEDDFEIEKDEAVAVYSPYFLRTLISKQDCMENLMRVLRDIKPCIMIVLETEASLNSQSFVNRFVEALFFYSAFFDMAETCMSEEDECRMITEGILSVGLRNIVASEGRERTVRNVKIDVWRRFFARYRMVETRFSEACVYQAELVSKEFDDGKFCDVEKNGKCLILGWKGTPMYSISAWRFL >RHN64073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56372085:56373353:-1 gene:gene26853 transcript:rna26853 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGVECARRRRLYKSKVESDSTKRSFCLYTRNLKSTTSSPTSFLRQNQYSYPDEKLGGSAREAKRRLDEKFTASMKSENYKRRKSLFSWRLNLFH >RHN46109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28617104:28631377:-1 gene:gene40549 transcript:rna40549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase U transcription factor interactor and regulator Znf-B family MAENMEIDSPSESQPLRPRDRIIRRLVQYGVPEEQLTPSGLVAFVKEKKEVIDYIVSVVLPADAELAVSQDSKMGLKKRFQESLVWLQWLMFEDDPGNALRRLSSMVGQGGVCGAVWGRTDIAYRCRTCEHDPTCAICVPCFQNGDHTGHDYSVIYTGGGCCDCGDVTAWKREGFCSMHKGVEHVQPLPDEVENTVSPVLRSLFKCWEVRLTTASDSVPKRKKAANDLTFAMADMLLEFCKHSESLLSFIARLMFSSTDLLSVLVRAERFSTNDVVKKLHELFLKLLGEPTFKYEFAKVFLTYYPSVIKEAIKEGSDLPLKRYPLVSMFSVQILTVPTLTPRLVKEVNLLTMLFGCLEDIFISCAENGCLQVSRWVHLYEMTIRVVEDIRFVMSHAEVSKYVTNNHQDFSRTWLKLLSYVQGMNPQKRETGQHIEEENENVHLPFALGHFIANIHSLFVDGAFSDASKGEVDDEIVWSSNTNESDDGEDQRHAKVGRLSQESSACSVTSRSSVFASPSVLEIKSDGSSHLLPFSVTWLIYECLRAVENWLGVESAREVPPSSTDNFSAFKRTISNFRRGKLKTNDEGSENTSFHSNSDNVRISEKYLLTSSDDCAMEEDFPVESDGLRFLSSPDWPQIAYDVSSQNISVHIPFHRFLSMLLQKALRRYFCESEVLDKTDICAANSSSTIYSDFFGHALRGSHPYGFSAFIMENPLRIRVFCAEVHAGMWRKNGDAALLSCEWYRSVRWSEQGLELDLFLLQCCAALAPEDLFVRRVLERFGLANYLSLNLEQSSEYEPVLVQEMLTLIIQIVKERRFCGLNTAESLKRELIYKLSIGDATHSQLVKSLPRDLSKFDKLQDVLDTVAEYSNPSGFNQGMYSLRWLLWKELDLYHPRWNSKDLQVAEERYLRFCSVSALTTQLPKWTPIYPPLKGISRIATCKVVLEIIRAVLFYAVVTFKSAESRAPDNVLLPALHLLSLSLDICFQQKENSDNAFNNIAQIPIIALSGEIIDESSFYGVGEQSLLSLLVLLMEMNRKENDDSNVEAGGLSALVESLLKKFAELDESCMIKLQKLAPKVVNHIPECVPAGDSSVSLSASDTEKRKAKARERQAAIMEKMRAQQTKFMASVESNVDDGSQLGHEGDLDTEQDLNTEHDSEDSKQVVCCLCHDHSSRHPISFLILLQKSRLVSSVDRGPPSWTQLRRSDKEHMPVANTKEIDTRENSGSSESTSSSDSTQLVQNAASELGSSAQPGEVNTFLQYIKNHFPALENFQLPDMSCDEKEKSPYTFDTLEQVMHVSIRDEMHDLSSSNTMNEDEKVSTAEGNSNVRITECALLGKYAADVVKEMSEISSASGNASNENASVESTSPHLSNDGFGPTDCDGVHLSSCGHAVHQGCLNRYLSSLKERSVRRIVFEGGHIVDPDQGEILCPVCRRLVNGVLPTLPGELHTPLVLSASSIHSTSPFADSNGATYSLRIQEALNLLKSAANAVGKDQFLKAIPLHHIDETRPNVEKFSLGLSKMYFPGKQDKLSRFSKVNHSLLMWDTLKYSLTSMEIVARCGKTSLTPNFALSAMYKELESSSGFILYMLLKLVQKTRSKNSIHVLQRFRGVQLFAESICSGVSLSHADNVISGRGDMLSVLKHIEMDQSNTDICFWNEASDPVLAHDPFSTLMWVLFCLPHPFLSCEESLLSLVHAFYMVAVTQAIILYHEKSLDKSSSESTLSDCMITDINKIMGESGCASQYFVSNYFDANVDIKDAIRRFSLPYLRRCALLWKILYSSIPAPFCDGENTSNRSWHLPRDTMCSSVDINKFEVTKIQELENMFKIPPLDVVLKDELSRSSVSIWCRHFCKEFESKRIQRNIHVTPAVPFELMRLPNVYQDLLQRCVKQRCPECKGRLDDPALCLLCGRLCSPSWKSCCRESGCQTHSVTCGAGTGVFLLTRRTTILLQRSARQAPWPSPYLDAFGEEDFEMNRGKPLFLNMERYAALTYMVASHGLDRSSKVLGQTTIGSFFLV >RHN60651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29104878:29106659:-1 gene:gene23000 transcript:rna23000 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQQRSPLLSWAYYYQGKSMDELKQTLMYTTMELEQTRVTVQEELRKRDEQLHNLKELFNKVIRERDEAQEKCQRLFLEKLLFQQQKQQNQDPLSGISSIEDEQIQKRGIESSNNGISLSDCEESIVSSPQQTRTEQPMMMMIDSIAKDKPLPEKGKLLQAVMKAGPLLQTLLLAGPLPQWRHPPPPLESFEIPPVTIHSPPPQPPQHQHQLLPQESFVNSNCGRFNSRKRVFCEGSDSPSAENKYQRIVLH >RHN72060.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4693055:4696264:-1 gene:gene7731 transcript:rna7731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylic ester hydrolase MKVKASLVPYIKKPSRVAIMIKRWRNNLKKKKPNNSSSIANKWQQLSGENHWIGLLDPLDIDLRRYIIHYGEMAQATYDAFNTEKASKFAGSCRYAKNDFFSKVFLENGNPFKYSVTKFIYATSEINVPEAFIIKSLSREAWSKESNWIGFVAVANDEGKDVLGRRDIVIAWRGTIQTLEWVNDLQFLLVSAPKVFGNSNNINDPKVHQGWYSIYTSEDPRSPFSKTSARNQVLSEVRRLVEKYKNEEISITITGHSLGAAIATLNAVDIVTNGFNKPSDPSLKASPVTAIVFASPRVGDTNFQKLFSSYKDLSTLRIRNELDIVPNYPFIGYSDVGEELKIDTRKSMYLKSPGNILSWHNLEAYLHGVAGTQGSKRVFKLEVNRDIALVNKTLDGLKDEYLVPVSWRVVENKGMVQQLDGSWKLIDHEDDEF >RHN64398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58758894:58759767:-1 gene:gene27214 transcript:rna27214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase inhibitor domain, Cell wall/vacuolar inhibitor of fructosidase MTKMNPLALAIILCTMVSSHCIIIHPKSNANLIQQTCKQTPNYANCIHYLKSDPRSSDADVTGLALIMVDIIKSKANTALNKINQLIKGSHDQKEALNSCAGRYRAILVADVPKSVAALKQGDPKFAEDGANDVAIEATTCENGFKGKSPISDENIDMHDVAVITAAIVKQLL >RHN64678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60935750:60935902:-1 gene:gene27519 transcript:rna27519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SKP1 component, dimerization MLFELIRAANYLNVKSLLDLLTCQVVANMIKGKTPEEICKAFNIENDFTS >RHN82160.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51607645:51608050:-1 gene:gene6286 transcript:rna6286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MAVQSSLSIDSVKYDDDGHIKRTGTWVTASAHIVTAVIGSGVLSLAWAVAQLGWIAGSFILILFSLITLLTSFLLADCYRYPDPIHGTRNHTYMEMVKNILGN >RHN70810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54223457:54228706:1 gene:gene19432 transcript:rna19432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transmembrane protein TauE MVDMKMRSILWMMLLLFGSFLIVSGERKLVKIQLPSFNVTTQPQKHEQSFLTKAVNFLWKSDGSGYQHVWPEMEFGWQIVLGSFIGFCGAAFGSVGGVGGGGIFVPMLSLIIGFDPKSSTAISKCMIMGAALSTVYYNLRLRHPTLDMPIIDYDLALLIQPMLMLGISIGVVFNVVFPDWLVTILLIVLFLGTSTKAFFKGLETWNKETIMKKEAARRQESNGSGEYKALPTGPNVAIEKENKVSIIENVYWKEFGLLAFVWISFLALQIAKQNYTTTCSAAYWILNLLQIPIAVGVTAYEATALFTGRRVIASTGDQGKAFTVGQLVIYCVFGVLAGIVGGLLGLGGGFVMGPLFLELGVPPQVSSATATFAMTFSSSMSVVEYYLLKRFPVPYALYLSLVATIAALVGQHIVRRLIILFGRASLIIFILAGTIFISAISLGGVGISNMVHKIANHEYMGFENICKYGS >RHN75331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41340354:41345785:-1 gene:gene11515 transcript:rna11515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine kinase CMGC-GSK family MATMPLGPHHHHQPPQQAPLPLPPPLQLQQPQTVNETVKTTAANGGSGMDTDKEMSASVVDSNDAVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGEAVAIKKVLQDKRYKNRELQLMRVMDHPNVISLKHCFFSTTSRDELFLNLVMEYVPETMYRVLKHYNNINQRMPLIYVKLYTYQIFRGLAYIHTVPGVCHRDVKPQNLLVDPLTHQVKLCDFGSAKVLVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENQVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCSALEACAHPFFDELREPNARLPNGRPLPPLFNFKQELSGASPELINKLIPEHVRRQTGLGFPYPG >RHN50713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:9691514:9693288:1 gene:gene35035 transcript:rna35035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTQFLFFIFVLMIFLSPFLVEMEKTHVRCITADDCPKVERPLKMKCIGNYCHYFLNNF >RHN81209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44120667:44121652:-1 gene:gene5221 transcript:rna5221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 Ubiquitin ligase, GIDE-type MKQFVLLWFLIMVGILHFSEQLLDVERLPLLVAISGNVVSETPIKCEITGLRGVIVEEREERHYLQRDISIGQCKDAATQTQNGKIDIYWKNHSSLLSSNRKEVPWYLDDGTGRVLVVGARGGTDFVLPAGTSTFEKLEQTQINETSDHIQLIKVGVLDPISTTHLSCKQYKKLKH >RHN76240.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48795029:48796592:1 gene:gene12533 transcript:rna12533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MAFHSPDHESNSDSNPPKRLYNPYQDLEVPIRNLYQLPTSPEHLFIEEAQRKHRSWGETLTFYTGCGYLGGAIGGAGVGFVEAVKSFEKGDTNKLRLNKILNSSGHAGRTWGNRLGVIGLLFAGIESGITAARDTDDVFNSVAAGLGTGALYRAARGVRSAAVAGAVGGVVAGVAIAGKQALKRYVPI >RHN61478.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35746863:35757775:-1 gene:gene23949 transcript:rna23949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme METRSRKRAEASSAAPSSSSSSPKSRSTKRPRLSSSSSSTAAAATTTVTATATPTPTTNTRTRASRTKNTLPPPMDSNNESSSGSRRRGKNPERDNSDKGKEKEHDVRARNERDAEKGLVLNVEAGAGDGDDDDSDSGVGTLHQNLTSASSALQGLLRKLGAGLDDLLPSSGMGSSSHQSGRLKKILFGLRAEGEEGRQVEALTQLCEILSIGTEDSLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYGAVSIFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDASDFVMEAVPLLTNLLQYHDAKVLEHASVCLTRIAEAFASSSDKLDELCNHGLVTQAASLISNSSSGGGQASLSTPTYTGLIRLLSTCASGSPLGSKTLLLLGISRILKDILSGSGDSSNDSVSPALSRPPEQIFEIVNLTNELLPPLPQGTISLPVSTNFVKGPVVKKSPAGSSVQQEDTNGNVPEISAREKLLNEQPELLGQFGMDLLPVLIQIYGSSVNIPVRHKCLSVIGKLMYFSPSEMIQSLLSVTNISSFLAGVLASKDSHVLTPALQIAEILMEKLPETFSKMFIREGVVHAVDQLILPGNSTNVSTQASSAEKDTDSMPGASSRPRRNRRRSGNSNPDGDDLKSPVSVNVGSPPSSANIPTAGSSIRLSVSAAAKTFKDQYFPSEPGAVEVGVTDDLLHLKNLCMKLNGGVDDQRTNGKGKSKTFGLGLEEGLIGVISEMLKELGKGDGVSTFEFIGSGVVAALLNYFSCGYFSKDRPSETHLPKLRKQALTRFKLFITVALPTAIDNRDAAPMTVLVQKLQNALSSMERFPVLLSQSPRSSSGSARLSSGLSALSHPFKLRLCRAQGEKSLKDYSNNVVLIDPLASLAAIEEFLWPRIQRSESVQKSTAPAGNSESGTSPVGAGVPPPSTSTPSTTRRHSTRSRSSASLGDTSKKESTQDKTSSSSKGKGKAVLKPAQEEARGPQTRNASRRRAALDKDVQMKPANGDSTSEDEDLDISPVEIDEALVIEDDDDISDDEDDDHDDMLRDDSLPVCLPEKVHDVKLGDSAEESTATPATNDGQTNAASGSSSKVGSVRGSDPTDFRSGYSSSSRGAMSFAAAAMAGLGSANSRGIRGGRDRHGRPLFGSSNDPPKLIFTAGGKQLNRQLTIYQAVQRQLVQDDDDDERFAGSDFVSNDGSRMWGDIFTITYQKADGQTDRASPGGASSNTSKSSKSGSASNSSSEVKLHQTSVVDSILQGELPCELEKSNPTYDILALLRVLEGLNQLAPRLRAQVATDGFAEGKLLDLDELVVATGSKVPPEEFISSKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFEIRRQYFYSTAFGLSRALYRLQQQQGADGHGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMELYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVGLQMWRSGSDHMEIDGDEKKKKSSEGNIARDGELVQAPLGLFPRPWPANADASEGSQLFKVIEYFRLLGRVVAKALQDGRLLDLPLSVAFYKLVLGQDLDLHDILYVDAELGKTLQELNALVCRKHNIESIGGGNTGTVSNLHYRGAPIADLCLDFTLPGYPEYTLKPGDEIVDLNNLEDYISMVVDATVKTGITRQLEAFRAGFNQVFDISSLQIFTPHELDYLLCGRRELWKTETLADHIKFDHGYTAKSPAIVNLLEIMGEFTPEQQRAFCQFVTGAPKLPPGGLAVLNPKLTIVRKLSSTAANTTSNGNGPSETADDDLPSVMTCANYLKLPPYSTKEIMHKKLMYAINEGQGSFDLS >RHN76214.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48609821:48610996:-1 gene:gene12505 transcript:rna12505 gene_biotype:protein_coding transcript_biotype:protein_coding MADGYAGMRNYSWGAMTLAYLYGELADACRPGHRALGGSVTLLTVRKLKFYIYGADRADFDQEESPEAEEEAPSSGSGPVVLFSFFRTYFLVLVF >RHN68022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32206722:32208072:-1 gene:gene16303 transcript:rna16303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVQTVKFVCVIIIFISLFLAAMIVEGRVKYKEDYGGQNKWNEFPLKPHIRTYRIKCKDDSGCEGNNLCEGRWIPKCLKPYFLFLTTKEGFCACV >RHN56694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32946231:32948544:-1 gene:gene32132 transcript:rna32132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative wound-induced protein, Wun1 MPIEQEDHNKKLVTDLYKALISKDTNTMQHLLASDLEWWFHGPPCHRHYLVPILTGSSSSSSISQESLVPNLIIGFGSVIVAEGYDEKNMVWWVHAWSISDGIITEVREYVNTSVYVTKLGLHSEDVVVGSSCRCIWQSKLCDGSVPGLILTI >RHN75815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45317672:45318196:-1 gene:gene12068 transcript:rna12068 gene_biotype:protein_coding transcript_biotype:protein_coding MHDQSSRAFGGTSLQLGTSEKTDGVQSLYNGILVNLQESADPSKLVLEMILNPITPLCQKGDNVVIIADYQIYLLEQLMRISPVIEPCVREKALKLAFDLKAKMKENTENSMAVLGFLLLLSIYKLLDSFDKDEVFEILAFVALHKIAVELLGSFRYFIMIIKQSFWYVNFDTL >RHN39334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6172092:6183298:-1 gene:gene45344 transcript:rna45344 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MRISHKKYDVFISFRGEDTRTNFTAQLHRALTDRSIESYIDYSLVKGDEVGPALAEAIKDSHMSIVVFSKDYATSKWCLDELLQILHCRELFGQVVIPVFYNIDPSHVRHQKESYEMAFARYERDLVNSISYVDRVSEWRAALKMAANISGWDSRKYRDDSQVIDNIVEDVLQKLSLMYPNELRDLVKVDKNIEHIELLLKTIPRVGIWGMSGIGKTTIAKQMFAKNFPYYDNVCFLEKINEESEKFGQIYVRNKLLSELLKQKITASDVHGLHTFIKTRLFRKKVFIVLDDVDNATQLDDLCRVLGDLGPDSRIIITTRDRHTLSGKVDEIYEVKTWKLKDSLNLFSLRAFKKAHPLKGYERLSERAVKCAGGVPLALQVLGSHFHSREPEFWESELNDYVKKGGAFHEIQKVLRASYNGLSWREKEMFLDIAFFFKGENKDIVTRILDAYGYNATSGIKILEDKALITISNNDRIQMHDLLQKMALDIVREEYNDRGKCSRLRDATDICDVLGNNKGSDAIEGIIFDLSQKVDIHVQADTFKLMTKLRFLKFHIPNGKKKLGTVHLPENIMPFFDKLKYLEWNGYPLKSLPEPFHAEQLIQICLPHSNIEHLWHGMQEVVNLEVIDLSECKKFRSLPDLSGALKLKQLRLSGCEELCELQPSAFSKDTLDTLLLDRCIKLESLMGEKHLTSLKYFSVKGCKSLKEFSLSSDSINRLDLSKTGIKILHPSLGDMNNLIWLNLEDLNLTNLPIELSHLRSLTELRVSKCNVVTKSKLEALFDGLTLLRLLHLKDCCNLIELPANISSLESLHELRLDGSSVEELPASIKYLSELEIQSLDNCSKLRCLPELPLSIKEFQADNCTSLITVSTLKTFSINMIGQKKYISFKNSIMLELDGPSLDCITEDAVLTMKSAAFHNVLVRKYRFQTHSFNYNRAEVCLPGRRVPREFKHRSTTSSSITVNISKSLGCIFAVVVSPSKRTQQHGYFVGMRCQCYTEDGSREVGYKSKWDHKPITNLNMDHIFVWYDPYHYDSILSSIGRKISFKFCIKTYTSSGRELDGLLSIKECGVCPIYYSESRRVLGTGNLDKELELELYEEIQFESRSGEGYDDGDDEKECTDIQNQQLDLNENCHSSYECLIVSNDTQSHENPQEKEKLDDDDNSKEMMKLKIVHESSTKSGEETETSSFKQEQFEKVEDYTGGGSDVESSRSKEIKRDESSGDDELHPSISPTRLNSANKSKTDEETKHLQQSPPPLVKNLQIPSYSYTNKLNPNTSMAAESSSSKPSSSKDSEYSPIDYSEYKRILEEDPLAIMDKLLSGELGHSSQTPQSTEQAEATETESIKTLLDELRELAFSRNLLKHLPNDVTLGEEVKALLVKLNYRANELSEKQSSGITDFTRIFTEATVNIDEGKLSNVTLQQLNVDHKDSISKLQASKDKIMKFDESISAAEDKIKARDVEIEDIKAQIRLLEEKARKVLQEKSQLEDACSKCKEKRSEILEEAKNVASMTIQTREKIDNVNKKKRKLDSNYDTLEGNHAIMRLAPPF >RHN73328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15441977:15442561:1 gene:gene9129 transcript:rna9129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Prolamin-like domain-containing protein MARSSIYIVLVASLFTTTLAILTAQQNYLHNCAANVGEQCGKQFYSKLFTHNKTTITRDCCYKILQTGYSCHNKMTIFILETDPKFKNVDRNDYLTKSDHIFQKCNRFTEPENEEFLAKCIEKVGIDCGEEIFSKLINDKKISKHCCKKLVKTGEKCHTSMVQALIRTPTMRNIDAFQFLKKHKKIFNDCKYTG >RHN54474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9883389:9886027:1 gene:gene29524 transcript:rna29524 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane transport protein MGFIQLLEVASMPVIQVLLISALGAFMATQYFNNLLSPDFRKSLNKVVFIVFTPSLVFASLAKSVSLQDMISWPNLKVEGLIIASCSSGNMGNLPIVIIPAICNEKGGPFGARDVCHSNALSYASFSMALGGIFIWTYTFQTIRSRSLKFKALEAAEILKAPNKDRVEYADTPLLKGKDDENTAIEVSPSSYIEDSESQIVRPLDLDCFFGFLFGAVAWLRNLIIGDNAPFSVIQDTLELLGNGTIPCITLLLGGKLTAGLKSSSVKPLTLISIIITRLFVLPVIGLFIVKAAANFGILPVDPLFQYTLVMQYAMPPAMNISTIAQLFDVGNEECSVILLRTYSAAAIALTAWSTFLSWLLSY >RHN51480.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18611727:18612477:-1 gene:gene35921 transcript:rna35921 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKNVMHTPEMRKNLVSVYILLCVSLQNQIKKFLLRSTILLFLPFYIYVYLCIRNSSLYEKRAALREILNWRNLMICEFSLFKIKSCLAN >RHN46048.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28067781:28069409:1 gene:gene40488 transcript:rna40488 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTIKEKFVELKNSKQTPRNARPKIQRVADHLRNKKDFGKYYSPKFVSIGPIHHGSTNLNIGEKYKLVWASKYIENIGLIPEELHKKIADNIDELKGRFSDDVLNLTGNSLQGFGCLEEKLSWMLFVDGCSLLYILEKVTLFNDPRPMDIKLDQLVLVMMDVLLLENQLPYEVLKLLWKDNNENELIKCMTSFPNYLEILPDEDESQSEKEKEGEGEHSVLIPDELQSEMEEEGEGEHSVSIPDELQSEMEEEGEGEHSVLIPDELQSEIEEEGEGEHSVSIPDELQSEMEEEGEGEHSVLVPDELQSEMEEEGEGEHSVSIPDELQSEMEEEGEGEHSVSIPDELQSEMEEEGEGEHSVSIPDELQSEMEEEGEGEHSVSIPDELQSEMEEEGEGEHSVLIPDELQSEMEEEGEGEHSVSIPDELQSEMEEEGEGEHSVSIPDELQSEMEEEGEGEHSVSIPDELQSEMEEEGEGEHSVSIPDELQSEMEEEGEGEHSVLIPDELQSEIEEEGEGEHSVSIPDELQSEIEEEGEGEHSA >RHN75847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45503020:45504286:-1 gene:gene12101 transcript:rna12101 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVEASSDTPKVCGVEASSDTPKVCGGGDDYVKKEPVSCQDFDSCFRTCSTKLDTATLPVKRLFDDSDSFDISVKKSKAPPDDDHCKDEDGIPSASMSKRQGKSTNESFSSLMNELALAQKSFKKCKRKSRVEKERLLSIKKDIEECCKELEDKNKQVSCVNKIHDEMQRKVEVKEEELKALSQKIVECTVELQAKEKDLDATNKLIGEEAEKLESTKKKSINIISEMKNSCALMKDFESKQKQFKGRVKELESKEKQCEGRVEELQSKEKHFEERVKELESREKDLEVRVKEFESKEKELEGRAMELETKKMQHEGRVKEFECQLTQQVLKQKHCESQMKELESKEKQLEGQLKEHELKEKEFEGRVESKKKHFEGMMEELKSRMR >RHN69464.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43618715:43624009:1 gene:gene17940 transcript:rna17940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin MFTRSRRFASSSSSEKKPSVSENSTSNKNVAAVKTRLTKKRPALADVTNNRNASLVKTSLAAPYKPMVPCASKTAKTKKDSIASSQKNVMSENILQPSSRIRSSGFLVSKEACSTKASQIKTGVKAFIAPSNDGAVCPDFKSVVCSPRWMDIYPSNSFSGSASLDESMSTSDSLMTPEFEYIRNDDVVSIKSIENKTCNILNISDSSKMGGRIHDIDTILKSRANEFVDIDRNTKDPQFCASFAHEIYENLRVSEKFKRPSMDYMEKIQKKINASMRAMLIDWLVEVADEYRLLPDTLFLAVNYLDRYLSGKAMNTQQLQLLGVTCMMIAAKYEEICAPKVEEFCYVTDNTYSKEQVLEMESSVLNFLKFEMTAPTIRCFLRRFITVAQQTCEIPLMQLEYLADYVADLSLLEYDMLKYTPSLIAASATFLAKYILLSTKNPWNSMLRHYTGYQASELRECVEGLHLLYRNGYHSSPSITAIREKYSQHKFKFAAKKCCPPSIPVEVFHN >RHN59911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14660098:14660824:1 gene:gene22080 transcript:rna22080 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKCSLILVVLFICLLSFSSKALARNIPNASKLYLFSDEIGLNKKRLLASVKPKPDNARGHNYADLNKKRLLAEKCGSRIYHPDADRARCHNYL >RHN60109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:20452887:20453501:-1 gene:gene22331 transcript:rna22331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRVENIVTKKKRVENMAEVIKFVNVMLIFISLFPFAMNVDANIISCTQDFDCQTKICPFHLKPKCIVLEILPHSLSGGICGCD >RHN41633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31647993:31648320:-1 gene:gene47975 transcript:rna47975 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNWVAKLEGCFQSCEQELFPCFGFLTLKVALLHLSYILAFVECKCQQES >RHN43830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48819230:48819847:1 gene:gene50460 transcript:rna50460 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKVWSMVCCWLGINFITPQTLFQHFECWSGETGRLKLRKGYWMIWHAVLWTIWKTRNDRIFNSIVKDHGEIVDDIKVLSWNWAITKLKSPPCLFYEWCWNPKECLLRQVG >RHN78988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20592678:20592988:1 gene:gene2664 transcript:rna2664 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fructokinase MAINRGCSKGYDEHMESSRCHEISKDEITHLIDAGDTCDGDDVIKRLFHPTLKLLIVTNGSEG >RHN67687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29323036:29323580:-1 gene:gene15902 transcript:rna15902 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPYHPIYVAFTLLMKVVSGVRHILCLTLASTCNYNQSHSFFFIFLSYNRCLRRCRVRCLCLYR >RHN40042.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12585190:12586077:-1 gene:gene46134 transcript:rna46134 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chitinase MSHLIIGDNAVDPFIFREYIGVKPYPASLNNFPYEIIIAKHFHFILGFANDSYNEEGKGTGNFNANWNSDFFGPQNVMALKRKYPHVKVVISIGGRDANFPFFPAAREEWCGNAVDSLKEIIRSYNDCSVEDNILIDGIDIFYDYINTNENDFSNYVGDVINRLKKEVRIDVVSIAPSHETHKHYKELYLACTDDINWVNYQFYMQPIPSKNDFLNLFLNLAKEYDSNKLLVGGSSDPIDADNFNPDEFVEACNDLHKTKSLRGIFIWNANDSANNVPPFYLEKKLQGEYKRLTN >RHN53722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3575004:3576434:-1 gene:gene28661 transcript:rna28661 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIFTHHSFIAQVNMTLYKFTLTSKAITSRIHRFTGLKA >RHN78662.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17265503:17265872:1 gene:gene2296 transcript:rna2296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TLDc domain-containing protein MSQFYMVNSILGVNRYYYLCSNDSFAFGGGGGFALYLDGDLLTGTSGPCDTFENHCLAHTPEFEIKNVEVMFTCFILITLII >RHN66623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:15195229:15199852:-1 gene:gene14656 transcript:rna14656 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNPSLHISSFPSSLSSSMITTLPTNSFRSINRIRPNSADGGHVQQPSSSSESSKNNSLTLVLDIPRAIWTRTIRPLTDFGFGGRTIWEGGVGLFLVSGALLFALSLAWLKGFQIRSKFRKYTATVEFSQACGISTGTPVRIRGVTVGDVIRVNPSLRSIEAVVEIEDDKTIIPRNSLIEVNQSGLLMETIIDITPRDPIPTPSAGPLDQECHKEGLIVCDREKIKGYQGVSLDALVGIFTRLGRDVEKIGVANSYSLAERAASVIEEAKPLLTKINAMAEDLQPLLADVCDSGLFKEVENLTRSLTQASDDLRRVNSSIMTPENTELIQKSIYSLIFTLKNIENVSSDILGFTGDEATRKNLKLLIKSLSSVVLLGDCYSFDKTST >RHN73055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13065666:13065830:1 gene:gene8832 transcript:rna8832 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEIGKRKIDVVVYVDENRRVRVKGRECSFWFCDVDRLRVWYVCTAASNLYII >RHN79640.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:30814382:30815011:-1 gene:gene3457 transcript:rna3457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MACMWRKNACSGKKLPSDVPRGHLAVTVGETNRRFVIRADYLNHPVLQELLDQAYEGYGFNKSGPLSIPCDEFLFEDILLSLGGGTVARRSSSPVLTKKLDLSFLKDAVPLLEAFDSKRSNNYKN >RHN68202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33644639:33645055:-1 gene:gene16519 transcript:rna16519 gene_biotype:protein_coding transcript_biotype:protein_coding MHACGRTTEVNYNNAMRVRESRSGGVVRATFRQPRRSFSPAVRHSGGVGVQPGWQKTGGKGEIGLWCGLGSGKRRNRNIQDRTDQGFQTGIEERFQVIGRIDNRF >RHN52287.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33499062:33499634:-1 gene:gene36945 transcript:rna36945 gene_biotype:protein_coding transcript_biotype:protein_coding MHILSSLFKEQHFHVEVGGPTSFPNLDSVMRSWNYLAFWLFMISLILTYTTGALRIFKG >RHN65023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63703430:63704682:1 gene:gene27914 transcript:rna27914 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative OTU domain, FHY3/FAR1 family protein MRPFVEDILNVKGDGNCGFRVVAMHMGLNEDSHVLVLHALINELKNHKSDYLPFYTTERRYKEIFDGLHPPTSKNGDAPPEKWLTTPDMGHIIASCYNRPVVLLNLPKMGGECETYFPIRSAPPLNPHSNIMCLCLIPEHFLHVKLKENCPLPPPSKEWMTHKIGVAEQWLFQFLDRQAAFDELMSKEPMPPNKPTNEHNPINLDTPEKPKQEIEVMDEDEEYALSLV >RHN46818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35121634:35122391:1 gene:gene41349 transcript:rna41349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphopantothenoylcysteine decarboxylase MASTSAIGKSVTHEFDAKRKPRIVIGACGSVAAMKFGLVLRAFMEWAEVHAIVTKPSCHFISEASIPKGVIVFSDEHEWQAWKQLGDTAAHIKLANWADILLIAPLSAHTLAKIGGGLCDNLLTSIVRSWNYKKPMFVAPSMNDAMWRNPLTEKHLKRINELGITLIPPHESSDGEYTNTGSMADPSKIYSTVRVFYDYNILKKKPGVVYC >RHN44523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5690412:5691608:1 gene:gene38652 transcript:rna38652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein METEKSVAAKIKKVRNDIPNDLVFSILSKLPVKSLNRFGCVRKSWSILFKNRYFMSMFRKNLLCKNHSYYKDTSLLQLETVTIDSELKFVLYSLSGERYQNKTKLDWPNLFEEADPEFDVVGSGSINGILCLVSKSQPNNRVVFWNPTTDEFKIVPISLRESVRHVDVEITRHGFGYVSIADEYKLIRQVMYNPKSDTDDSSLEDVSYDLFWEIYSLRSNSWRELHSDVPYDYREDGICLDGMCHWLGEDGYDIDRVDEVYLLSFDLSKEAFLITPIPSECDSRIFQMAWKDLVVLNGFIALISHYKQNDTFHISILGEIGVKESWTKLYIVCPLPCIERPITSGNKRYIISNVVDGTLVCIDLSTLMVEQLNFEGYRFWGKTIIYKQSSLPIGGINQ >RHN47045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36762172:36764058:1 gene:gene41596 transcript:rna41596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MEEDWDLHAVVRGCSTISTTTPTSSVSSSSVFPLQPEPSCGYSSIFSSEQKPQILSLSCSTLPFEARSSIEELHELCKPFFSRSSQPLSLQTSPLFSSLSYSSASPRLAQTQDKQQLQRSKHSHQGGSLTNPRSKRRKNQLKKVCQVPVESLSSDIWAWRKYGQKPIKGSPYPRGYYRCSSSKGCLARKQVERNRTDPTMFIVTYTCEHNHPAPTHKNSLAGSTRQKPLTPPQGETNKDLTKPSSPTTSGGEEEVQTQGDKSESREEKEDSLMDDEEGDEFGLSDVVLSDDFFESLDEFGFPDPFSSAISIPNWVANTAAATTAAGGS >RHN45997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:27639822:27640247:1 gene:gene40430 transcript:rna40430 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYCQKMKLNIDDTEPMQHYICGDWDCGRKESGNLLSLFRNQMCSCGRVMNKVLSPPQQPESLSLGSGFVKETAAFIISDDLYVMPNVFGTVIQLLHKLEISDIGTSRNKLLISARKRHAFLCNSLRNYIIYAVDYLFSH >RHN70354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50820929:50823893:-1 gene:gene18924 transcript:rna18924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tripeptidyl-peptidase II MNMLIFKCLQMALLLVLSSRFTIAEKKTQHLKRTYIIHMDKFNMPASFDDHLQWYDSSLKSVSDTAETMYTYKHVAHGFSTRLTTQEADLLTKQPGILSVIPDVRYELHTTRTPEFLGLEKTITLLPSSGKQSEVIVGVIDTGVWPELKSFDDTGLGPVPKSWKGECETGKTFNSSNCNKKLVGARFFAKGYEAAFGPIDENTESKSPRDDDGHGSHTSTTAAGSAVAGASLFGFASGTAKGMATQARVAAYKVCWLGLTDYYKDTVAMGTFAAIEHGILVSSSAGNGGPSKASLANVAPWITTVGAGTIDRDFPAYITLGNGNRYNGVSLYNGKLPPNSPLPLVYAANVSQDSSDNLCSTDSLIPSKVSGKIVICDRGGNPRAEKSLVVKRAGGIGMILANNQDYGEELVADSFLLPAAALGEKASNEIKKYASSAPNPTAKIAFGGTRFGVQPSPVVAAFSSRGPNILTPKILKPDLIAPGVNILAGWSGKVGPTGLSVDTRHVSFNIISGTSMSCPHVSGLAALLKGAHPEWSPAAIRSALMTTSYGTYKNGQTIKDVATGIPATPLDYGSGHVDPVAALDPGLVYDATTDDYLNFLCALNYNSFQIKLVARREFTCDKRIKYRVEDLNYPSFSVPFDTASGRGSSHNPSIVQYKRILTNVGAPSTYKVSVSSQSPLDKIVVEPQTLSFKELNEKKSYTVTFTSHSMPSGTTSFAHLEWSDGKHKVTSPIAFSWT >RHN52066.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31241361:31254798:1 gene:gene36683 transcript:rna36683 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNFIKVLLKKVHQSDKSFTSSFKKPKIHKNSISHFPFPQMESASELVEFPLLLTPIDSHYRACTIPYRFPSDNPRKATPTEISWINLFLNSIPSFKKRAESDTSVPNAAEKAEKFAQRYSDILEDFKKDPESHGGPPDCIVLCRLREIILRELGFQDIFKKVKDEENAKAISLFENVVRVNDAIEDEVKRVENLVKGIFAGNIFDLGSAQLAEVFSKDGMSFLASCQNLVTRPWVIDDLEAFKVKWIKNSWKKVIIFVDNSGADIILGILPFARELLRRGSQVVLAANDLPSINDVTYSELVEIISKLKDEEGNLVGVSTSNLLIANSGNDLPVIDLARVSQELAYLASDADLVVLEGMGRGIETNLYAQFKCDSLKIGMVKHPEVAQFLGGRLYDCVLKYNEVSS >RHN66834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19827951:19828609:1 gene:gene14936 transcript:rna14936 gene_biotype:protein_coding transcript_biotype:protein_coding MRKERFPSQRKSKLQPRGDGPFQVLSRINDNAYKIELPGEYGVSTTFNVADLSPFDVGLNSRSNSFQEGGNDEDIVQDISDAIQSLGGPMTRARARRVNDALVHFIIKSIEGSAQVEEGVAQVEEKEPKFIIIIQECDSGTIKA >RHN64367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58542792:58543502:1 gene:gene27179 transcript:rna27179 gene_biotype:protein_coding transcript_biotype:protein_coding MTIINYTPLFFLCFITPLALSNVEIHSGPSTQILHSFNEDRNGSITLSVKAEHAHSTSTKFSWHGSCTNKDISISQSRETLTGIPKYVVQIVNTCVSSECAPYNIHLHCGWFASARIINPKLFKRLSFDDCLVNGGKPLTSSQIIRFTYTNSFVYPLALKSAKFC >RHN74634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35362536:35363600:1 gene:gene10737 transcript:rna10737 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-16S rRNA nuclease MWTQQQLVQPVPPLKLFHSFQPSNHAKIQSLSKLNSTQSLKTALTLEELPPNALRRKKDAEWRGGFSLGVDLGMARTGIALNK >RHN60971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31967582:31968027:-1 gene:gene23377 transcript:rna23377 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIISTSSTRTILIAAIYILNLQLLQIRNNLIHVWSQLGFY >RHN38603.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:646354:649859:-1 gene:gene44560 transcript:rna44560 gene_biotype:protein_coding transcript_biotype:protein_coding MFISLLVFQFLFYFGKNNIMAPANTSAAALSETEYEMVKNIIDIEVLEELSLADCSIYKVPHNLRKVKQEAYTPQLISIGPIHLGNEELQPMQQHKKRLMLICWSRRK >RHN70899.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54873152:54875735:-1 gene:gene19532 transcript:rna19532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycoside hydrolase family 18, catalytic domain, glycoside hydrolase superfamily MAYSKKHSFLLISTLLMILQLQLSFTNAMIKGGYWYSDSGLAASDIDPSYFTHLFCAFADLDTATNQVTISPANAARFSTFTQTVQAKSSSVKTLLSIGGGGGPTLAQKYANMASTPGGRKSFIDSSIRVARNNNFHGLDLDWEYPSSDTEKANFGSLIREWRAAVTTESRSSGKPALLLSAAVGGSDQITPLKYYPGQDVANNLDWVNVMTYDLYISDTNPTQTQPPAPLKNPTGLFSADEGINKWVGLGVPKNKLALGLPYYGYKWRLADPNNRRIYSKATQGLGAVKYKDIKNTGGQIVYNSTYTQNYCFKGTDWYGYDDTQSIANKVIYAKQNGLFGYFAWHIEQDSNWALSEAASRNWGT >RHN61660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37255111:37258420:1 gene:gene24148 transcript:rna24148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGNGIGKLCLCSTGAGNTSRRHQNNNPFGNSIFYIRPPDTFSDDDFTTFRSLSGASVSANSSTPPSTVTTITTTEMDSSASFESSTSFTSSPMPLQQHAGSSAGSCSAVWCSPTKKFPRRNLLKKILKRVVSVSKKKKNAIVNVCDVNLQEVDGEKYMDNDDDDDEGGGLFFMGSENLQWAQGRAGEDRLHIVISEKYKWVFVGIYDGFNGPDATDYLLENLFFSVYDQLKEILLELDEKYPNLDSVLFSLSEALRKTEEAFMKSVDEMINNNSVLAMMGSCVLVMLMKGEDVYLMNVGDSRAVLATHHHSLKSLQLTMEHSTLIKEEVCRIRKEHADDPSAISKGRVKGYLNVTRAFGAGFLKQPKQNNAILEAFKVNYIGDSPYITCSPSLHHHRLNPSDKFLILCSDGLHQYFTNEEAVAKVESFITLSPEIDPAQLLIKEALCRAAKKAGMNFHKLLDIPQGERRLYHDDISIVIISFEGKIWRSSI >RHN73632.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18369499:18375310:1 gene:gene9468 transcript:rna9468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSPPTKTTPPSKPIHPLTPTQTTESPSLSSSSAPPRRRSLRLANSEPALTTPEPTTARVSEPKKQKMDKSNLTASDSEPNPIPNGFVNLRSGKKILKREIVIDDNDSQNNTPKRNKIEVKNDEVESSGRRKLTREEKGKEPVVVADEKENENNEFGVESEGVVENIVEERNEVPNVVEEARNAINNVREERGVRRNMERFRDIARVNASRFAHFVNDESADEDLVQENGVEEERNEVEDWPGPFSTAMKIIRDREKKWIPFGSDTVQENLIDKIKWKPKTGLEKLGGKLTVPSLQELCIRILAKNVDAIVSLEGVPDGLRHRLSQLLCDSRRMNDHFFELLVGGSPTEIRVRDCSWLSEEHFTKCFEESDTSNLVVLQLDQCGRCLPDYVVVDTLARSPKQLPNLTSLSLGGACRLSDGGLRALVSSTPTLRSINLSQCSLLTSASLHILAESLKTLLKELYLDHCLGIDAALIVPALMELENLEVLSVAGIPTVCDTFVKDYIVARGHNMKELILKDCVNLTDASIKVVAEHCPGICRLDLMNVCKLTDLSMGYLTNGCRALHTLKLCRNTFSDEAIAAFVETNGESLIDLSLNNIKKVGYHTTLSLASHAKKLHSLDVSWCRTLTDNALGLIVDSCLSLRLLKLFGCTQLTDVFLKGHSNSQIQLIGLKMTSVLQHVKVPDPHQSALNYSSVSVDLAP >RHN47650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41266616:41269945:1 gene:gene42272 transcript:rna42272 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEERQQEQQRQVITVGTLNSLLRSENDDDDGACVYSRAVLVVDMVWNLAFVVVAAAVILSTFNERPSTPLRLWLCGYAFECLLHVAFVFSEFRVTTRDSFSHTPYSIAKKLEPMNTLASSVWWVFGFYWIVVGGQPLLEDSPRLYWLTVVFLAFDVFFIIFCIGMACIVFFALFCIIPIIALAYALRIREGASEDDISSLPMYRFSQSNVMVMVDDNKKQHVKAKIGSYNPSHISELSLHPDDSECCICLCPYVDGTELYRLPCTHHFHCACISRWLRTKATCPLCKYNIRRADTLV >RHN66860.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20421336:20425956:1 gene:gene14970 transcript:rna14970 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-V family MSSNGSLKMELSKKTSFLGLRLWVLIGIGVFAFIVLILCLLSIWITFWRKTRRSVDKYSLSQIPHVSKDIKVDRVEVQPSNDQVDSVSIHVHDNMTSDKNSDKLFVHLSKSSDPDNISQCSSIYHHERGLSLISAEDGNFGTVKKQYMVTASPLVGLSEGSHLGWGHWFTLRDLEFSTNRFSAENVIGEGGYGVVYKGRLINGSEVAVKRLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGFCVEGVHRLLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKVILGTAKALAYFHEAIEPKVVHRDIKSSNILIDSAFNAKVSDFGLAKLLDSGESHITTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVLLLEAITGRDPVDYTRPANEVNLVEWLKMMVGSRRTEEVVDSSLEVKPPTRALKRALLVAFRCVDPDSEKRPKMSQVVRMLEADEYPFREDRRYRKSRTASIDIVESLKDISSPSDTADKTKGSEECAHETTQV >RHN81106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43376364:43377512:-1 gene:gene5104 transcript:rna5104 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGESKDGILGWNKGRREEREREREASEGGGSGGSGEGVWLRFSFSGGVLLLGSILFGLRMLCYLLPTTTGFGLLTVKKKEEKKKLSFSMCVWFGLFCCRVIITFFRVFCIFNCNVAVVFMLTQCGIHQPFFFSSSHSFSNLVFSLNTLLTASFPFSNIFFLFNWRCSMNLFINSM >RHN74832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36981688:36982079:1 gene:gene10956 transcript:rna10956 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTNNTCLSKNELKNASLNVREADLEHHQQKVKHIYRIFEVLLDCNFWLLSRMQQLLHQ >RHN57122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36670580:36671161:1 gene:gene32622 transcript:rna32622 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MATILFFVIIFTIFFLIHRSTHRRRYKLPPGSLGLPFVGETMQLISAYKTDNPEPFIDQRVNRYGSIFTTHVFGEPTVFSADPETNRFILMNEGKLFECSYPGSISNLLGKHSLLLMKGSLHKRMHSLTMSFANSSIIKDHLLLDIDRLIRLNLDSWSDRVLLMEEAKKVSYFILFYHGFLKIFFYYNLVLLH >RHN49796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1184117:1185211:1 gene:gene34013 transcript:rna34013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MRINMTAAILKFVYTIIIYLFLLRVVAKDLPFNICEKDEDCLEFCAHDKVAKCMLNICFCF >RHN71734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2311587:2313197:1 gene:gene7366 transcript:rna7366 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MICLYKCSSFSFFVSQIYPSPQKSKVAPNFYTMETWFIVLITLCIIFLIGVILASFTTTVPFPPGPPHIPILTNIQLLRKPSSQLEPFLKTLHAKHGPIITLHIGSRPSIFIDDHALAHHVLVQNSDIYSDRPKAFPTSKMLSSNQHNISSGFYGPTWRTLRRNLASEILHPSKTKSFSEIRKWVLHTLINRLKTASESADSVTVVSPFRYAMFSLLVFMCFGERVNDENISDIERVQMTIMLNIGRFNILNFWPKVTRILLRNRWKEFLKLLKDQEDVLLPLIRARKQVKESKLNNVNTVVSYADTLLELELPEEKRKLSENEMVNLCSEFLNGGTDTTSTTLQWIMANLVKYPEVQRRLVEEIREVMGGDENGEKEEVKEEELQKLRYLKCVVLEGLRRHPPGHFLLPHAVKEDVVLNGYLVPKNGTVNFMLAEMGWDPRVWEDPMEFKPERFWKDENFDITGSKEIKMMPFEAERRICPALNLALLHLEYFVANLVWNFDWKLPEGGYVDLSEKQEFNMVMKNPLQVHISPRI >RHN57652.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40816162:40819910:1 gene:gene33230 transcript:rna33230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor NAM family MEVAKLDNFDDKKEDEVVLPGFRFHPTDDELVGFYLRRKVEKKPLRIELIKEIDIYKYDPWDLPKASTVGEKECYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDKPIYCVKESHECIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPKGKTSNNQVLNHTNDVQEAEVWTLCRIFKRIPSYKKYTPNVKKDSEAAAFTINSSSSKTCSLDSDNTKPQYLTFTNSQHVVQQNHETKPFINGHVVDQRFNNSFLNQLGNAAQVPTTTLSHSSFWNDQNVLDDAFANENWDDLRSIVQFTFDPSKVYDCKDFN >RHN44734.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7916238:7917104:-1 gene:gene38893 transcript:rna38893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MASKSLSITATSQDEGDSFHTKEGVSMKANEDQPSKSNSSESVDSVKLSKHDVVSPIQVGSTSSFHNNSNERKDEKKNEEKISDVKYFSCSYCKGQYSTLQGLRGHQNAHKAERAMEKQRKEMYNVGALGLGQSHLKPYIIDSSASFIPYNNYRGLGVRMESTIQKPPYTNPRITPNGSKYGYGALRLNDVLHPSLFNLRNNIEASNTGVGTLGFGGASTSRIKDGTNNKIGAILKLGDSSTATSSSSNTNKKNVVATTSAMDDDIKSNIEEEPSNFDSSELDLTLKL >RHN68834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38935659:38939237:1 gene:gene17245 transcript:rna17245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MASSRGGSKIKELSAERIDKSFAASLKAHKTPEKLYLIEKNNKSNPPEVIISFPASGVFNDWYSKTTFGETEIDLKLFRSLKSIGNNEAAKVNQFFLQRFKDILAKSTLEEEVERAMKKKKQIVFAGHSSGGPVAILAALWALVNFPTTKFPDRIPPICITFGSPLVGNHIFSHATRRENWTDHFFHFVMRYDIVPRILLAPLSSFDQKFETVAQLLDPKSKSFMNESSLGRIASTSDFYFEVMSNATTVTRHAACRLMGTTEATLETIANFVPLSPYRPFGTYIFCTTSGNEGKQIVMKNPDAILQVMYFCAQISSEEETEEVPFKSLRQHLTYLAELVKNFGKQNVVYLDQLENLPLSEHTTSGGDIATINIALNDLGLSTRARLCIQAAAALEERKTNNEKSMLQKIAAVEDRMKALDSYRETRGHQKKGYYDAFKDQLDPEDFQANVQRLELAGVWDEIIEKLLNYELPEELEGNEDWKNIGTKFRRLVEPLDIANYYRHSRNRDGRVYMAKGGRPKRYRYTQRWLEHFEKRDEGGYSESCFWAEVEDLCHDPDKPFDDVKEKVEALEGFISKWHEKGEVGKDVFLGDSTFVKWWKTLPTHHKEQSCIRSLVEV >RHN56356.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30206953:30207905:-1 gene:gene31741 transcript:rna31741 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MRFKICCSFLLLIITTFLCYETIAQPDFTPRCADDNGNYTKNSTYQQNLNTLLSTLTSNTEITYGFYNFSFGEDNNKVYAIGLCRGDVNPNDCRSCLNNSRVLLTQKCPNQKEAIGWIDKCMLRYASTSIFGQMETEPGLSLWNPFNATDVDNYNKVLNKLLNDLKNQAATGDSLKKYATANVTGPNFQDIYSLMQCTPDVSYLDCNQCLVQAIASLPSCCENKIGGRVIGPSCILRYERYLFYELPVHPLPPPPTSPSTNHKGNYACNPSIFIIII >RHN60825.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30684719:30687450:1 gene:gene23210 transcript:rna23210 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosine kinase MGLEGVLLGMGNPLLDISAVVDEDFLKKFDIQLNNAILAEDKHKSMYDEMTAKYNVEYIAGGATQNSIRVAQVLVASSSRATSYIGCIGKDKYGEEMTKNSKQAGVNVHYYEDENTPTGTCAVCVVGGERSLIANLSAANCYKSEHLKKPENWALVEKAKYFYIAGFFLTVSPESIQLVAEHAAANNKVFMMNLSAPFICEFFKDPQEKALPYMDYVFGNETEARTFSKVHGWETDNVEEIAIKISQLPKASGTHKRITVITQGADPVCVAQDGKVTLYPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKPIEECVRAGCYAANVIIQRSGCTYPEKPDFQ >RHN56873.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34399398:34401720:1 gene:gene32337 transcript:rna32337 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl-P-Glc:Glc(1)Man(9)GlcNAc(2)-PP-dolichol alpha-1,3-glucosyltransferase MSDKGLAFILRKLGFNIQTPAASFTAGLVGDSSPFSVLPRITPSVTFIMVLLALSPCLFKAWKNPQPQKITRWISYAYTCGFLFGWHVHEKASLHFVIPLAFVAAQTPEDAKHYFLLSIVSCYSLFPLLFEAQEYPIKILLLLLHSILMWSGFSAQFYDSAETGVSTAHTKKKAGQFESKGSLSADVRNNGFVIGLIEKIYLVGLVVVEIWGQVLHPLLLGDKLAFLPLMLISVYCALGIMYSWIWQLRSIVKSH >RHN47646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41235458:41239270:1 gene:gene42268 transcript:rna42268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-reducing end alpha-L-arabinofuranosidase MVISDEILFQNIVKGKKYKVVFYYSSTGPLDLTVSFRDGQGGGAILGSSHIIKPNKKGAKWKKIESIITASASSPNAGITLRTTKKGTIWLDQVSAMPQDTYKGHGFRTDLVEMVQKLKPAFLRFPGGCFVEGVTLRNAFRWKESVGPWEERAGHFNDIWKYWTDDGLGFYEGLLLAEDVNATPIWVFNNGISHTDEIDTKDIQPFVQEALDGIEFARGPSSSKWGSLRASMGHPEPFVLKYVAIGNEDCGLKNYLGNYLVFHKEIRKYYPDILMISNCDASRKPLDHPADLYDYHIYPVDDVHMMFTNAEVFDHTPRNGPKAFVSEYALIGANIARHGTLIGGLSEAGFLIGLERNSDHVAMAAYAPLFVNANDRVWNPDAIVFSSNQVYGTPSYWVTYLFKESNGATFLNSTLQTTDPGTLAASAILVKDPQNKNTYLKIKIANMRKTQVDFKISIQGFASKNLKGSTKTVLTGNELDENSFAEPKKIAPQTSPLQNPGNEMNVIVQPTSLTILDMF >RHN40452.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16425672:16426013:-1 gene:gene46604 transcript:rna46604 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDITSQARMEEMKWVDKINEEINNSCSSIPDEIEKWKKRSIYKVPSRLKELNKNVYKPQKISFGPYHHGEEHLMAMEEYKHRALIHFLKECKKPIELFVQRLDQVVHEPAT >RHN64112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56669139:56670089:1 gene:gene26894 transcript:rna26894 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MAATLEAINQFRQSLEIRRQLILTKPLVMVDNIPCEIDEVDSALNKVGLKNVNVTHILTVACKLPPAHLADFIYKVLYGMWYCIHTKVTLLLKFEEVADRETTNLKQHFNECFDFIDEAKRNGGHVLVHRKMGISRR >RHN54983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14212922:14213203:-1 gene:gene30098 transcript:rna30098 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVILFVCWFLGAPLLLLRDRESVSSCKLVWRDLVFLWCIEGEGCPSVLVLKSGGLITFGSRLGVSSLEQVWFWAFYGFFRWIGGREVVEAA >RHN58864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5525321:5526029:1 gene:gene20818 transcript:rna20818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SLE2 MASKQQNRQELEEKAKQGETVVPGGTGGKSLEAQEHLAEGRSKGGQTRKEQLGTEGYQEMGRKGGLSTMEKSGGERAEEEGIDIDESKFKTGGGGGRSQNK >RHN59497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11352238:11353229:1 gene:gene21533 transcript:rna21533 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQKRKNMAQIIFYVYALIILFSPFLAARLVFVNPEKPCVTDADCDRYRHESAIYSDMFCKDGYCFIDYHHDPYP >RHN46497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32290198:32290999:1 gene:gene40989 transcript:rna40989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MARQSAFSATTRPTVFTCNAIIGAMLHAKRHNQALDLYEFFFNLFKIVPNIVSHNFVINAYCDEGRIDAALDVFRKMKADASIKPSPVTYQIITKALISAGRIEDARDILFKMLSTGHVDLTANELIDDLKRWCPNYYNGVVSATYMQWCFVQRREKEAMECYNSLLNRKCTMMRPETCNILLTVLLSSGKKTEAWDLFHDMLDNHTPPTFHGVDSNTFIVMVNECFKLGKVDEALATLKKVGTWNQA >RHN49815.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1377280:1383798:-1 gene:gene34037 transcript:rna34037 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase Do MAIASSSSSLISTFFFNSSSFPRSLTTKPKSLHLHHTPTHLLSHPTLFLLHPPSSTKPPLSLPKLTIPKTCFDSVLILCTSLALSLTLFISNVDSASAFVVTAPRKLQTDELATVRLFQENTPSVVYITNLAVKQDAFTLDVLEVPQGSGSGFVWDKDGHIVTNYHVIRGASDLRVTLADQSTYDAKVVGFDQDKDVAVLRVDAPKDKLRPIPVGVSADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGINTAIYSPSGASSGVGFSIPVDTVNGIVDQLVKFGKVTRPILGIKFAPDQSVEQLGVSGVLVLDAPVTGPAGKAGLQSTKRDSYGRLILGDIITSVNGNKVANGSDLYRILDQCKVGDKVIVEVLRGDHKEKIPVILEPKADET >RHN51545.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19568520:19568948:1 gene:gene36002 transcript:rna36002 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEENHHIVTYIVKIKVTLTVPYPEDDRETQPTLNVGAQTVPSEGDDLAHAIDVSSESASLNDGEGDDVAAAAVESVPGREKQTMDVGANTVVVLSSDDEGSVEADDMEAKDSDENNVAQEGEENVMKEKKSAGRKRKKEL >RHN65363.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1491415:1496752:1 gene:gene13192 transcript:rna13192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLAC8 motif-containing protein MDERSRYVKLTKDQKPVEQDIQPGELNQPIHVPQLAVPKCMECGQPLPESYAPPADEPWMTGIFGCAEDRESCLTGLFCPCVLFGRNVESLNEDTPWTGPCICHAIFIEGGIALATATAILNGVIDPGTSFLIFEGLFFTWWMCGIYTGQVRQNLQKNYHLQNSPGDPCCVHCCLHWCALCQEHREMKGRLSESISSETTIVNPPPIQEMKSADVKETPESSSANNSDHTNLEMQAL >RHN57583.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40311344:40312614:1 gene:gene33154 transcript:rna33154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GPN-loop GTPase, P-loop containing nucleoside triphosphate hydrolase MVFGQVVIGPPGSGKTTYCNGISHFLNLIGRKVAVINLDPANDSLPYECAVNIEDLVKLSDVMMQHSLGPNGGLVYCMDYLEKNIDWLEAKLKPLLKGYCIIILYLLDLQPKSSLNTLTNY >RHN58110.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43808269:43809359:1 gene:gene33719 transcript:rna33719 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQDNDPTMVNSSIALLQERFRQLEKVKERREGKQLLRLLSTENNTSASTQRLVVPNNRQTHHDSLSLGLNLTSKQGDKSTMKSNTSLSPWSQGASSTSRNFDTSDVDTSLHL >RHN77150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4460891:4461808:1 gene:gene575 transcript:rna575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyketide cyclase/dehydrase, START-like domain-containing protein MIFAFDTPQSNKKNTHKMASVHNIQGLTEEEYKELEPIIKKYHILEPTSNTCTSIITYKIEAPSSIVWPYVRSFENPQKYKHFIKGCNMKGDGNVGSIREVTVVSGLPASTSTERLEILDDEKHVISFRVVGGEHRLQNYRSVTSVNEFVNNEGKVYTIVLESYIVDIPHGNTEEDTKMFVDTVVKLNLQKLGVVAMSSCSSMHGQ >RHN62117.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40872720:40878259:-1 gene:gene24651 transcript:rna24651 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phytanoyl-CoA dioxygenase MGLLTPDQLESFNSQGYLVIESFSSKDEIDSMVKRMEQLVDQFDPSSTASIFSTKNQQQLTDDYFFESAENISFFFEEKAFGDDGNLKQPKQLSLNKVGHALHEIEPAFKKFSSSEKASGLMSSLGYKRPVIMQSMYIFKQPGIGGEVVPHQDNSFIYTEPQTCTGLWLALEDATILNGCLWAIPGSHKNGLVRKFFRDEDGVKFDRPSPSYDQKDFVPIEVKAGSLVVIHGNLIHQSFENQSTKSRHAYSLHVVDTVGCKWAAENWIRRKVEPEPLYVN >RHN77565.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7741852:7743118:1 gene:gene1032 transcript:rna1032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fruit bromelain MIIILAYTTFITLISGSSSESLPCALNDDVSAEFLASKTVYFNTSLDQIPKFVDWRTRGAVTSVKQQRGCGSCWAFATMAALEGLWKIRTGNLISLSAQHLVDCDPGSNGCEGGHILSAINFETEQHSGGVPSDFDYPYKGVQEQCRNDIIPSAGFTGFHSIRPGDEHQLLQAVAQQPVAASVAADTDFQNFKGSGIFEGSCANETNHSIAIVGYGVSNDGRKYWIIKNSWGEGWGDLGYAKLIRGNGPVGNCGITRHPSYYPSLTV >RHN80305.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37019359:37020185:-1 gene:gene4211 transcript:rna4211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKTLKFVYAMILFIYIFLVIKNTEAYPPCETVADCPESYFRIYRCENNFCRYREAVRRLRPPLRKK >RHN81572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47133667:47151782:1 gene:gene5640 transcript:rna5640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin repeat-like-containing domain, exocyst complex component EXOC2/Sec5 MSSDSDEDELLQMALKEQSQRDLNYGKSSSNPRKPVANYVQPPSSQPKRSAPPATAKPQSKGRVVDDDDDSEVEMLSISSGDEDNVKDQVTSSKNRGSGRTPAREEDRTWDGEEPSRWKHVDEAELARRVREMRETRTAPVAQKFIAPKFEKKSSALAKKGLTYLQSFPRGMECVDPLGLGIIDNRTLKLITESSDSSPKTDKDADSNLREKLLYFSENFDAKLFLSRIHCNTSAADLEAGALALKTDYKSRTEQRKQLVKDNFDCFVSCKTTIDDIESKLRRIEDDPEGSGTSHLFNIIQGVSSQANRALKPLFERQAQAEKIRTVQGMLQRFRTLFNLPSTIRGSISKGEYDLAVREYKKAKSIALPSHIQVGILKRVLEEVEKVMNEFKSMLFMSMEDPNIDITNLENTVRLLLDLEPESDPVWHYLNIQNQRIRGLLEQCTLDHEARMENLRNELHEKALSDARWKQIQEELSESSDINNSYPAVQSHSVDLTGEEVDGLRGRYIRRLTAVIIHHIPAFWKVALSVFSGKFAKSSQVPTDSNSNSSANKVEEKAGDVKYSSHSLDEVSAMICSTISLYGVKVTNIFHDLEESNVLRSYMSDAIEDISKACAALEMKEAAPPVAVAALRTLQPEIIRIYVLRLCSWMRASVEEVSKDVSWVIVSILERNKSPYAISYLPLTFRSAVSSAMDQINLMLRSLRSEATKSEDTFIQLQEIQESVRLAFLNCFLDFAGNLERIGIELGQHSSHREGSHFPNGYTLEVEENAPSDLGGGVTDPHQQLLIVLSNIGYCKDELSYELYDKYRHIWQHSRGKDEGNSDVQDLVICFSGLEEKVLEQYTFAKATLIRSAATSYLLNSGIQWGAAPAVKGVRDAAVELLHTLVAVHAEVFAGAKPLLDKTLGILVEGLIDTFISIFHENESTDLRSLDTNGFCQLMLELEYFETVLNPYFTSDARDSLKSLQGLLLEKATESVIEAVDNPGHNRRATRGSEDALADDKQGTTVSPDELISLAQQHSSEFLQSELERTRINTACFAESIPLDSVPEPAKSAYSPYKNSMDSPSRSSSRGTYNTGSSNFSRHRY >RHN45802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25762882:25769123:-1 gene:gene40208 transcript:rna40208 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMFFLSLSIFLLLLSQPAFAFRYDAHRPQYYQAHSSSLSSSP >RHN50003.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3087537:3091155:1 gene:gene34249 transcript:rna34249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNAse P, Rpr2/Rpp21 subunit protein MGKKGNTKNLPKSESGFRNPITLREEATGKIKTKPITNTKSHLRIEHLKKLAVWATTDPHILSLAAFYGHHLATISEAAGVPPDPSLITCQRCETVLHPGFNSTVRIEKNRSKVRHRHKKFGSIAQNNVVYKCHFCSHQNLKRGTAKGHLKKICPAKDKSSLESTPATKPFRHESPKLEKHVVNKDETGEKDVSASKAVVKEVASLNGSETPPNKSTPPLLEGKKRRRNSSTSKNAIETPSMSARVEVAKTSTSSKRRKKSWTSLKEIAQSKERDNSRIASLAIPFFL >RHN77534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7523407:7524353:-1 gene:gene999 transcript:rna999 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRFCTVLCLIILTVEIVGLKGDELKNDTAQSQGNVASSTLSNHQGNNKEHVKGKHNNEVVFNTSKGSWYKRGGSGGFKWGWGGGGGGGGGGGGGGGGGGGGWGWGGGGGGGGWWKWGCRGEPRSHVKGKKGTIRGMKHHHQHASKEEYRLGEFAQCMTRTRCKGMRLDCPLHCGGPCFYDCYHMCKAHCRRP >RHN62726.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:45459484:45464954:-1 gene:gene25327 transcript:rna25327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoribosyl 1,2-cyclic phosphate 1,2-diphosphodiesterase MGDGIAIQSTNNSIKSNKDKKKKKKKNKNRGGSKKKMTHEQVLAFKFVTEWVSLDNPSSFATSSLVDDFGVQKPVGKNGDRVVFDLHCHSKCSDGFLSPSKVVERAHINGVKVLALTDHDTMAGIPEAVESARKYGIKIIPGVEVSTIFYPSGGDTEAEEPVHILAYYSSIGPSKFEELDKFLSNIRDGRYLRAKNMVLKLNKLKMALKWEHVCRIAGSGTAPGRLHVARAMVEAGYVENLKQAFARYLFDGGPAYSKGSEPVVEEAIKMICDTGGVAVLAHPWALKNPVAIIRRLKEAGLHGMEVYKSDGRLAAYSDLADAYGLLKIGGSDYHGRGGHHESELGSVNLPVLVLHDFLKVARPIWCNAIRELLECYAEEPSNTNLETITRFGRTRIFKGGSPLYCGQDLIDHCLPLWLSSQEMENEEFEATKLKLSNVSTSQGGTPVLIET >RHN54950.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13857075:13858291:-1 gene:gene30060 transcript:rna30060 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTKLFSVLCFCALLMFVVAIEHSKEGKQFGETRKSKTNIIADGYGVWPSGFGIWYKPGGNTNGGGGGNIINFPGSGKRGGGANINRERKSSLEKVCIEN >RHN78080.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11739423:11743680:-1 gene:gene1595 transcript:rna1595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSASVDHTDQRAEAIPCSTATPNWTVHVSDIRTVKVSNISLITSKQDIEEFFSFSGDIQYIEMQRESDRTQVAYVTFKDSQGAETAVLLTGSKIGDLYVTISPVEQYQLPPEALPSSPTNQSADAVKKTEDVISTMLAKGFILGKDAINKTKSIDERLQLTSNASSAVASIDNKIGLSDKLSIGTTIVNEKVKEMDERYQLSEMTKSAIAVAEQKASSAGSAIMSNSYVLTGALWLSSAFSVVAKAAEDVSTMTMEKVEQAEVENKEIIYSERKGTVDEYAQMNFEDSLNGGPAVVPVSSENDGKASNFLT >RHN38983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3281942:3283545:-1 gene:gene44966 transcript:rna44966 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPMTDGDWEETWKDGYHSSLWEIYSLKRNSWRKVDIDMPTQYNSGVGVQVYMDGVCHWWSESDEVYLVSFELINEVFVKTPIPSNMDDNDIDSRILFRHLNVLNGSIVWISNYAETGTFHISILGEVGVKESWTKLFIVGPMRDIEHPIGIGKKGDIFFRKKDNEQICLNLST >RHN39398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6809991:6811481:1 gene:gene45419 transcript:rna45419 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVCGTNSSAFTHTNATSSLLILPVAAQPCNIKHNHISNRRGYLIVNS >RHN62930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46804821:46816774:1 gene:gene25568 transcript:rna25568 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MRVGRRESCDGRVIAHVDMDCFYVQVEQRKQPSLRGLPTAVVQYNSYKGGGLIAVSYEARKSGVKRSMRGDEAKEACPQIQLVQVPVARGKANLNTYRNAGSEVVSILSRKGRCERASIDEVYLDLTDAAQTMLMETPPECVEHVEEEVLKSHVLGLQIKDGDDAKEEVRKWICRSDASYQEKLLACGAFIVAELRMQVLKETEFTCSAGIAHNKMLAKLASAMNKPAQQTVVPHSSVEGLLESFPIKKMKQLGGKLGTSLQSDLGINTVGDLLQFSEEKLQQRYGINTGTWLWNIARGISGEEVEGRLLPKSHGSGKTFPGPQALKTIASVQHWLNELGEELSERLDSDMDQNKRIAHTLTLHARAYKKGDSDSHKKFPSKSCPLRYGTTKIQEDALTLFQSGLRDFLGLYNSKTNGSENKWGITALSVSASKIVPIPSGTHSITKYFSETFPHGSSSNQSVDNVIDEVAPSSPSGSENCMGVIPNELQLECPEEDTGVNHPKACLDQQDLLCNLSGKVDGLTEESSPLGNEDRMAQNKPYRDLPAKESKLASNTSSLKAVEKKNAAGKKPQGNCSITKFFNNSQSSFEQKNVTNTQGSQSASASYLTSNQVEIPAEGVGTNNSGCSVDNMPQGSQAWSYNIDEIDPSIIDELPPEIQDEFRTWLRPRKRPNVVKRGSSITQYFRPDRS >RHN79811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:32429881:32434593:-1 gene:gene3652 transcript:rna3652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target SNARE coiled-coil domain-containing protein MSVIDLLTRVDSICKKYDKYDLDKQNNANVSGDDAFVRLYASVDSDIEALLQKAEKASNERGKASAVAINAEIRRTKARLLEEVPKLQRLALKKVKGLESQEFAARNDLVLALPERIQAIPDGTPVAPKQTGGWASSASRPEIKFDSDGRFDDEYFQSTEQSNQFKQEYEMRRIKQDQGLDMIAEGLDTLKDMAHDMNEELDRQVPLMDEIDAKVDKASSDLKNTNVRLKDTVNQLRSSRNFCIDIVLLIIILGIAAYLYNVLKK >RHN54689.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11324949:11326409:-1 gene:gene29763 transcript:rna29763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hexosyltransferase MSQEICILPFFGQGHLLPCFQLCNHLTSTNFHVTLLISSTLATSIPSSLHQHPLFQVTLIPSQPPPPSPEHHHDELTKGLQNIFSNYPRPTRPVCAIVDVMMSWSNNVFKKFEIPTVAFFTSGACSAAMELAAWKAQPLDLKYGEICFLPGLPYDMALTYSDLKQHLHDPPPPPPPQHGIPPPPHECGPSMMGPPKLGGQPPWLDEIQETIALMINTCDDLEHPFINYIANHVKKPVCGVGPLLPGQYWKSSGSIIHDRDFRSNRLSNITEEEVIQWLDLKPRSSVLYVSFGTEVSPTMEEYTELAQAMESCEQPFIWVVQTGKGRPSPPRLRGEPGLGIPKAEGYFPHGLDKRVGNRGLIIRGWAPQLLILSHTSTGGFLSHCGWNSTIEAIGRGIPLLAWPIRGDQYHNAKLVVSRLRVGYMVSNDLSEKVAKDEIVMGIERLMGDEEMKKTAEVLSAKFRSGFPRSSLAALDAFKDFIKQRFV >RHN79089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22362167:22363606:-1 gene:gene2790 transcript:rna2790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative trans-zeatin O-beta-D-glucosyltransferase MASNGQNNSKNQVVIVVVPFPAQGHLNQLLHFSRLILSHNIPVHYVGTSIHNRQATTRVQGWDPNSISNIHFHHFKAPPFVSPPPNPNTENKFPSHMVPSFVASSHLREPVAELMHSLSSVFEKVVVIHDSLMASVVQDAKNIANVENYSFLSTCAFSIFTSIWEKVGKPPFESSHIIDVPSMEGCFTTEIYDFINAQSEFYEFSDGSIYNTSRVIESPYLELIERITCSKKHWAVGPFNPLTIEKKKDSKGRHLCMEWLDKQEPNSVIYVSFGTTTTLTDEEIEQIATGLEQSKIKFIWVLRDADKGDIFDGNEGKRGELAKGFEERVEGMGFVVRDWAPQLEILSHPSTGGFMSHCGWNSTLESISMGVPIAAWPMHSDQPRNCVFITQVLKIGLVVMDWAKRHELVTASDIENVVRTLMDTMEGDEMRERAMKLKNAIGMSMDEGGVSRMEMDSFIAHITR >RHN55701.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:21356975:21359583:1 gene:gene30927 transcript:rna30927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MVSKTRTYMINVAIIVALFMVNVALSDDTIPVPANRAQVDSWFNTNVGPLEQRKSTLDPALVTAEAGAKVIKVMQDGSGDFKTITDAINSIPTGNTVRVIVNIGGGSYNEKIKIDRTKPFVTLYGAPGNMPNLTYGGTAKQYGTVDSATLIAESDYFVAANILVSNSAPRPDGKTAGGQAVALRISGDKAAFYNCKFFGFQDTICDDRHNHIFKDCVIQGTVDFIFGSGTSLYLKSELRALDDTGPTVIVAQARKTASDADLYSFVHCDITGTGSSTFLARAWMTYPKIIYAYSTMTNVVNPKGWDNAMHPEFDKTSYFGEYQNTGPKSDPKGRASVAKQLTEAEVKPFITLGMIQASKWLLPPPKV >RHN69318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42567695:42569765:1 gene:gene17768 transcript:rna17768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative D-cysteine desulfhydrase MKFVVDAGTGTTAVGIGLAALCLGLPWEVYAVMLADKIDGYRKQEKRLISEFNKHFNVEFIDHDVNKDDGIVHWVERDHPRKFGNILDGEMVVCQQIAQQTGILVDPVYTLAAWEAAMLLSSEENEGRAEVVMLHTGGTLGLFGLAQRYKNYFGMLKNDSIIVRK >RHN71922.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3624219:3626344:1 gene:gene7575 transcript:rna7575 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rubber elongation factor MAAEPQQQQLVDENNRDHTEEEQELKYLEFVQFATIQAVMRCAILYSYAKERSGPLKSGVDTVEEAVKTVVGPVYDKFHQVPVELLKYVDRKVDESVSEIDRHVPTNVKKVSNQAASVVSEVRRTGVVESASGLAKTVYSKYEPKAEQCAVSAWKRLNQLPLFPTVANVVLPKAAYCSEKYNEAVVSSAEKGYRVSAYLPLVPTERIAKVFAA >RHN47776.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42449935:42452207:1 gene:gene42416 transcript:rna42416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MVAFCPPQFSYSNMGWLLEELEPESLISHKEKNYASLEYSLPYHQFSSPKEHVEIERPPSPKLMAKKLNHNASERDRRKKINSLISSLRSLLPGEDQTKKMSIPVTISRVLKYIPDLQKQVQGLTKKKEELLSRISHRQEYAVNKESQRKKIPNYNSAFVVSTSRLNDTELVIHISSYEANKIPLSEILMCLENNGLLLLNSSSSKTFGGRLFYNLHFQVDKTQRYECDDLIQKLSSIYEKQQNNHLGTMDQTINSVLIY >RHN74167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:29741234:29741866:-1 gene:gene10173 transcript:rna10173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) MATFTLHKETVQPWLPLKRAYIIFQSICVLFLFYYRISNLFISYPWILMTLAESIISFMWIFKQSFRWRPVNRSVMTEKLPPDEKLPGLDIFVCTIDPEKEPTVDVMNTVISAIAMDYPSNKLSIYLSDDGGSPITLFGIKEAFEFAKVWVPFCKKYDVKSRCPKFFFSALGEDEQLLRSREFEEKRDHVKVFIYLLLLSKVSPINKSIL >RHN77398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6578582:6580030:1 gene:gene845 transcript:rna845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MSSFLCSLLLIFIFTSQLTIATTDTANKFQYFCDQNNDGGNYPTNSTYHTNLNTLLSTLTSNKDINYGFYNSSYGNNTDKVNAIGLCRGDVKLNDCQNCLKNSTVLLTQHCQNRKEAIGWYNDEECMLRYSNRSIFGLNEIGPAYFVWNTNNATNEVEFNKVVNFFLDSLRNRAASGDSDLKYAVGSDEVGPSNNQTIYGLVQCTPDLSKTLCDDCLVQSIKEISNCCNNRLGARIVRPSCNLRYETNSFFYQPTPSDSPSPSPVPVPVPSFSTPPPFAQNNTSSQDKGNTSRNVVPVILLMLILLCSLLSSF >RHN39210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4990252:4991118:1 gene:gene45214 transcript:rna45214 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLFEKERRKYLEALKEKNASGEHIASDPAGVILRKASRKRDHVSSSDPATGEVDIVAEKKAEGEDAVDEVNDLTVSPQAKKKKTTRKGGGRALSVEAETAFETSLWHRDFNYRQYMEEHVPFSAVDKDAAFHGKFDELVQDAGTSALRTLCYIQSMEKKYEALEKEYQNSVKDVEKFKHKATAFEERVEGLLKDKAVLEKNIADAEKEKTVREEERKNLETQNAKLQGDLVKSQDEVENGKMALVSYFEDGFERAKSQTLHFYPDLDLSGLNSLMIVQDGELVEEP >RHN55882.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25088592:25094090:-1 gene:gene31161 transcript:rna31161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbon--nitrogen ligase with glutamine as amido-N-donor MSYTIFRTFQLHPFLLYPTSILKLQNRFFHSTTIKATQSQQTQTQTKHSTSTQSKKLNNLPKDYEPIIGIETHVQLSTNTKAFCNCPYNYGSFPNTSICPVCMGLPGALPVLNSKVIEFAVKLGLALNCELAFDSKFDRKQYFYPDLPKGYQISQFDVPIASSGFLDVDIPLEYGGGHKRFGITRVHMEEDAGKLLHTENGNYSQVDLNRAGVPLLEIVSEPDMRNGIEAAEYAAELQRLVRYLGVSNGNMQEGSLRCDVNVSVRPIGQSKFGTKVEVKNLNSFASMSRAIDFEIARQVQLHSQGQADQIVQETRSWEEGSQRTITMRKKEGLADYRYFPEPDLPSVILYQEYVDGIKNSLPELPEGKRRRYEKMGLGMQDVLFLANDKNIAEFFDATLAKGADAKLVANWIMSDIAGFMKNEKLTINDIKLTPEELAELIASIKDGTISGKIGKEILFELLAEGGSVKEIIEKKDLVQITDPVEIEKMVDKAIADNPKQVEQYRGGKTKLQGFFAGQVMKASKGKANPGLLNKILLEKLNS >RHN69728.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45872546:45876854:1 gene:gene18240 transcript:rna18240 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative response regulator and transcription factor RR-A-type family MGEVVMSGEKIVRVEEEEEKVREEEGSGGTESRGAGGGGEMKGLMRWEKFLPKMVLRVLLVEADDCTRQIITALLRKCNYKVAAVADGLKAWEILKGRPRSIDLILTEVDLPAISGYALLTLIMEHDICKSIPVIMMSSQDSVSTVYKCMLRGAADYLVKPIRINELRNLWQHVWRRQTSAATAGINGPQDESDTQQKFEATAENNAASNRSGGDAACIQRNKDLIEKGSDAQSSCTRPNMEAESGLVDNMHEFSQLKCAEAYPSEIKTRELDIHLGQAVIAQDSHAGGLSVANCNNGVASTNNCKNGETGANNCKDGDDQEHFRNASISGEVHDNHYVQIYSTTKEAIDLIGAFRTDPNCSLKNSSIDCTGKFDHSPQLDLSLRSSHPSNFEKDLTEERHTLMHSNASAFKRYTNRQLQASPAVVINFSDQPREQKTNNENHNSDSSIPSMQKESELATSHSQQRHSLPIPVKGVRFNDLCMAYGSTLPPGFRTQSGPPSMPGSVVFLEQNFQADAFYQSNVKQNNSEQLYEPRGPNGNSTPNQIMYTQEHKSEHPEDQRLISPTTDQSVSSSFCNNGNASNFNSIGYGSNCGSSGNVEQVATFRTAAVSEGKNEELTNSGYSHRAMLREAALNKFRLKRKERCYEKKVRYESRKKLAEQRPRVKGQFVRQPNPDSLSGEKDC >RHN81132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43524835:43531628:-1 gene:gene5132 transcript:rna5132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA mismatch repair protein family MTIESQIIKPIAKGIVHRICSGQVILDLSSAVKELVENSLDAGATSIEISLKDFGEEWFQVIDNGCGISPNSFKVLGLKHHTSKLSEFHDLQSLTTFGFRGEALSSLCALGNLTIETRTVNEPVATHLTFNHSGVLVAEKKIARQIGTTVTVKKLFSSLPVRSKEFKRNIRKEYGKLASLLNAYALIAKGVRFGCTNTTGKNVKSVVLKTQGNDSLKDNIITVLGMNTFNCLEPMSLCISESCKVDGFLSKPGLGNGRNLGDRQYFFVNGRPVDMPKIGKLVNELYRSANSKQYPIAIMNFTVPTKAYDVNVTPDKRKIFFSEETSLLQALREGLQQIYSPDNASYAVNEFMRPAAKEDCFELRSSQKKSPIVTKPASLNVAIPQEEHYTEFNTASISRDKNNSDRNGGSISLNEHKEKHTTDSNNASESDDGDLFSHVEEGLIRESGGGLMGKEFTLRAHKTLKGDKSGRQMASTHIALRNQATLVSRTVESGGSSDKYSSDSSRHVQSTLNNFVAVSKRKRDDIITALSEVPVLRNQAPQCKLKTVNTETNDLITRSYLHLDQINETSTPSEIENLQQRNPDGINHSSVNSLSFREDSTDREPNMKPHQENKTHLADTASVTPSSNNLIDTTDDVLDSPKSSGQKIFSNMQFSFQDLKSRREKRLSLVQSSKYRYGKANGKSHYTAATLELSQPDIEQQKERVLAAAATELERLFKKEYFSRMKVIGQFNLGFIIGKLDQDLFIVDQHAADEKYNFECLSQSTILNQQPLLRPIRLELSPEEEIVASIHMDIIRKNGFTLEEDLNAPPGCRYKLKSVPYSKNTMFGVEDVKDLISTLSDGDGHGECSIIGSYRQDSSDSICPPRVRAMLASRACRSSIMIGDALGRNEMQKILEHLAELKSPWNCPHGRPTMRHLVDLTKIHKRSELIMQE >RHN71454.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:286685:288083:-1 gene:gene7058 transcript:rna7058 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYSKIDTHKSRSIDFFSFPQTPKPVPVRKTVPSTKHEQEESNDHNCCPPQSQSQSQSEGERFGVILGKSCSVSSSSSSSTASGFQTTMKRAFSIRRSSSVSERYCRIHDQSMAIASDHDLDIIINDGGEGKTTEKRRQKGGKKILKACKRLLGL >RHN53106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42005622:42009091:1 gene:gene37870 transcript:rna37870 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleosome assembly protein (NAP) MVLKVLTDKRGKVLKTVPKFWLHAFTAHPIIVNLLNNKDHEIFDEYLSSIEVEDNQDVSTAYSITFNFNDNAYFDNQSIAKSIIFI >RHN68167.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33324290:33324844:1 gene:gene16480 transcript:rna16480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNLKCSLLIIMTGRVYVTTKIDNNYISASICNRASHLRRLQILGNTGISDKGLSEFLKRFSQLEELEISHCCNSFSKDILEVIGQCCPLLKSLNLERLSVDGMPTVYSYCKGDEAFCIAKTMSGLRHLKLLGLWLNYDELLAILDGCPLLESLDLRFCIYYSSNQSLLKRCSEQIKDFHHPLIY >RHN51571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20116730:20117955:1 gene:gene36035 transcript:rna36035 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MDIDDWDLFSFVSSNKATTFTNQASFFENPSPSQTPTTVTTASTTNNTISPQNIPPFYFDGFSFAQDNNPVPFFPHKKNDFIDLDKLKINSNPTTTVPILITTITSSPITIIPTPSTTTIPDHITFGTITNTSVHGLNKNSTFFDCPTLIEQQQMQPNGHELDKFIVNKNSVNPTLTNNFNTPTLTTPPTPTRITPMITTNAFANPTTTFFTSTTTVPVSPSTTTNLTNIITSAHGTSQYPTISDYEILIKQQQIQSNNSNQVFDQAYNYSLVPQQPPRKLNQSSIQLPNIGSRVLPNSDTQKEKHNSRIRKINNKVTLECHVRTNKLSEDWWSWTKYGQKNISGSPHPRSFHIQKSNFYIFLFYFIIKTIIM >RHN38564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:279750:283795:1 gene:gene44518 transcript:rna44518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Lung seven transmembrane receptor MIIIKFQILSFFIYLLFLLLFLPNNVHASIHYYSKQPFTHRSNAFFFHAGAEALFSSSFIRFESISFTRPKHSAIIHGQMQQNTGLIESIILEVKDRNRIGGFYFNPKSDLICCDPFLSKTQNCNLGEVIIHNNPQNPNFPKRLKTFFQGTNQIAHMDPQTVQINATGIYYLYFMFCDPNLEGTTFTGRTVWRNPNGYLPGKMQPFITLYAFMSLAYLLLGLAWFLRFLHFWKEKDVVHVHLHYHITAVIALGMVEMAFWYFEYANFNATGSRPMGITIWAVTFTSVKKTLSRLLLLVVSMGYGVVRPTLFEGFVSRVTVLGGFYFVACEVFELFEHLGNINDFSGKSKLLLVLPVTRRNLAKLEIYRKFTNTLAVSVLLSIAWIGFELYFNATDPLSELWQIAWIIPTFWCLLSYTLLLVICVLWAPSRNPTRYAYLEGTNDFDEEGISLTSSVAKMTGDVASKLERKERIGSSATDLTFGDDIEEDKRE >RHN68204.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33651729:33652495:1 gene:gene16521 transcript:rna16521 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNKINIQIQTTVFNVKKTPFFYQYFNLSINYYTQLRVFLQLY >RHN57000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35617088:35619663:-1 gene:gene32481 transcript:rna32481 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTEKQEFLVNSSWESFKQNLPGYSVLFYTIILEKAPAAKGMFSFLKNTPEVKDSPQLQAHAEKVFQMVRDAAVQLRATGEVVLGYTKVGAIHIQRGVVDPHFVVVKEALLKTIKEASGDNWSEELNTAWEIAYDELAISIKKAVKLGMIYC >RHN68747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38237211:38238694:1 gene:gene17136 transcript:rna17136 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative very-long-chain 3-oxoacyl-CoA reductase MKATLRYLAGIAGPSGFGSNSTAEQVTQNCSSFLPSGLTALITGGTSGIGAETARVLAKRGVRIVIGARDLKKAMKVRDNIQKESPNAEVILLEIDLSSFCSVQRFCSDFLALDLPLNILINNAGVFSQDLEFSAEKIEMTFATNYLGTFLILNS >RHN61678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37437223:37447188:1 gene:gene24166 transcript:rna24166 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MLLSVHTQDIKLSHLFSLFIIFPCNCLIRYSINASNLHNNMEIEAASGSNNKGYNMDESDEEAYCGLNRGSFLAWEDLRVMLPNFGKGPTKRLLNGLNGFAEPGRIMAIMGPSGSGKSTLLDTLAGRLAKNVVMTGNVFLNGKKKTPGYGFVAYVTQEDVLLGTLTVKETITYSAHLRLPSTMSKEEINGLVDATIIEMGLHDCADRLIGNWHLRGISGGERKRTSIALEILTRPRLLFLDEPTSGLDSASAFFVVQTLRNVARDGRTVISSIHQPSSEVFALFDDLFLLSGGETVYFGEAKMAIEFFAEAGFPCPRKRNPSDHFLRCINSDFDVVTATLKGSQRIPDVPNSADPFMNLATAQIKSMLVERFKRSTYARRVKDKIQEQSTNEGLETEINLGSQASWWKQLKTLTRRSFVNMCRDVGYYWLRIMIYIIVSICVGTIYFDVGYGYTSILARGACGAFISGFMTFMSIGGFPSFIEEMKVFYRERMNGYYGVAAFILSNFLSSFPFLVAIALTSCTITYNMVKFRPGFIHYAFFTINIYGCISVIESLMMVVAALVPNFLMGIITGAGIIGIMMMTSGFFRLLSDLPKPVWRYPISYISYGAWAIQGSYKNDLLGLEFDPLLPGNPKLTGEYVITHMLGIELSHSKWWDLAALFLLLLGYRILFFVVLKFKERASPLYRKLAAKRTIQQLEKRPSFRKMPSVTTSRRHQPLHSLSSQEGLDSPLHT >RHN46216.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29729745:29730158:1 gene:gene40669 transcript:rna40669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MAFTASPALIRNPISKQNVVVCDMLDNTCRDKFSFRNKGIYKGQRNLQDHLTVANAVSPSCVIVPPQPPEKRSETTGDHQHHVAWTSIHQERWEGELLVQGHIPLWLVSGFFFFLSFPNNNSFNQIKPILYFYCRKL >RHN61257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34224152:34225828:-1 gene:gene23698 transcript:rna23698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MIERREREGPLMKNSPQSLLISRIITTVIIGVLAGCIIVFYFPNDFFVSQSITSIRHLPVAVPKTQENSAESESSDRVNMLKSEFVALLSDKNAELKKQVRELTEGKDQAEKKLLALSKQEKAGPFGTVKALRTNPTVAPDEFLNPRLANLLEKIAVKREIIVTLANSNVKAMLEVWFTNIKRVGIPNYLVVALDDEIAKFCESNKVPFYQRDPDNDIDTVGKIPNGGAVSGLKFRILREFLQLGYSVLLSDIDIVYLQNPFDHLYRDSDVESMSDGHNNMTAYGYNDVFKDHGMGWSTNVYTTRIFVYNSGFFYIRPTIPSIELLDRVAARLSKGNAWDQAVFNEELFHPSHPGYDGLHAAKRTMDIYLFMNSRVLFRTVRNDANLSKLKPVIIHVNYHRDKLPRMKAVVEYYVNGNQDALKPFPDGTPR >RHN38936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2895546:2901646:-1 gene:gene44913 transcript:rna44913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase C MNKTRSTVCLVLLQIYLSIVLTISAPETAIVTQVPGFNGTIPSKHYAGYVTVDESHGRNLYYYFVESEGKPSVDPVVLWLNGGPGCSSFDGFIYEHGPFNFEAAKTKGSLPTLHLNPYSWTKVSSIIYLDSPAGVGFSYSKNETDYITGDIKTASDTHAFLLKWFELYPEFLSNPFFIAGESYAGVYVPTLAYEAMKGIDAGVKPKLNFKGYIVGNGVTDEQIDGNALVPFVHGMGLISDELFEEVNRECNGNFYNSLSDNCTNKLAKIDEDIDGLNVYNILEPCYHGTEADKIITSYIRLPSSFRELGETEKPHPVRKRMFGRAWPLRAPVRDGNVPTWPQLINSNNVPCTDGSVANAWLNNEEVRKAIHTAEKSVVSSWDLCTDKISFDHDAGSMIKYHKNLTSRGYRALIFSGDHDMCVPFTGSQAWTRSIGYKIVDEWRPWLSNGQVVGYTQGYDHNLTFLTIKGAGHTVPEYKPQEALDFYKRFLAGSPI >RHN78123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12081428:12085540:-1 gene:gene1644 transcript:rna1644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease Z MLVRFKQVALSAVKLTISISGHRILPCKKATPPPQQYHSIALMELRTEKSSETESKKKSKGLNIEGYQVEGLSIGGHETCVIFSHFRVAFDIGRCPPRAVSMDFLLISHAHMDHIGGLPMYVATRGLYRMKPPTIIVPISVKEDVEKLFEIHRKMDQSELKHNLVGLDVGEEFSLRHDLKVKAFRTYHVIPSQGYILYSVKNKLKPEYIGLSSDEIKKLKFSGVEITNTLKEPEIAFTGDTMSDFIIDENNTDVLRAKVLVLESTFVNNEMTVEHARDYGHTHLSEIISYADRLQNKAILLIHFSARYTVEEIQQAVGALPPPLAGRTFALTEGF >RHN79517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29803143:29807206:1 gene:gene3317 transcript:rna3317 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bZIP family MAYHAECFGFSYLHCRAHTYLEAPPQAAKKFKTFLETEYNFPAANCNVTTDDEGETPSSAEICGRIIGMIRRSEAKDHMVVYFCGHGNRVETSDSNSTGFVEYLCCGGVAGERETRLEGNQFLNCYMLFVFGNLLLFVLTEFCILSIDYTLRHIVDSVPNDRHITIIIDSCHSGGILEGSREIIGSSNKQNQTRNEGPKSPFMGIGESHGHALGVCMTACQSDEEAFGRVDPNTSEAQACFTESIMQITREDGKNLSYEMVISEARKILSVEPSIPNGAKQQPGLYCEDWQKVFKFLTNEPTDIPTFDSMDIDHSSVSTGSKSFGYKQPELLKLKEEMSRISTKIKKGQKELGKKREEQRGNAKDIADLKSGIEDLTGKMKDLKEKGRNVGNQIQLDDNDLQEYFRINEEAGMKIAKLREEKELLDRQQHADSEVENNLEENLQQLKNQETELDSQEKQMRERLEKILDSSAKNKDYIEKLNTELHNMKEERSASKRKYDNLKIKNGEIENKLRELKADRYENERDAKLSQAVATLKRLFQGVHGRMTDLCWPTQKKFNLAVTVAMGKLMDAVVVEDEKTRKECIKYLKEQRLPPQTFIPLQSICVKQRMERLRSLGGTAKLVFDVIQYPYLTSFYFKEPGCEGVYKGSRSSLFFFTLHFFHYQSFGHINAMM >RHN38594.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:537561:541383:1 gene:gene44549 transcript:rna44549 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP51G1 MNVFDGNKFLNTLLLLITTLIAAKLISSFIIPKSKKRLPPILQGWPIIGGLLRFLKGPIILLREEYPKLGGVFTLKIFHKNITFLIGPEVSAHFFKAPESDLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRVNKLKSYVNQMVSEAEDYFSKWGSSGEVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMLPISVLFPYLPIPAHRRRDNARKKLAEIFANIISSRKIADKSEDDMLQCFIDSKYKDGRPTTESEVTGLLIAALFAGQHTSSITSTWTGAYLLCNKQYLSAVEEEQKNLMEKHGDRVDHDVLAEMDVLYRCIKEALRLHPPLIMLLRSSHSDFSVTTREGKEYDIPKGHIVATSPAFANRLPHIFNDPDKYDPDRFAVGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFELELVSPFPEIDWNAMVVGVKGKVMVRYKRRELSVNQ >RHN51863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:27222916:27223802:-1 gene:gene36414 transcript:rna36414 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFDLLCDYLCLPASKNVFFSFFNIQRGTDWVSFHQTQKMFEVFTGKVRSFKERFFLVRPRSAVALDTLVEAVKDGVQERRPFFHLCWSKDHFGYELKDFGRTVTNLTDKMKDLGAFVSAATKNISAKKWRKNLQSLEHLIAGFGVGSSFGPVADLEGEDPPEELVQESAKKQKVGTPSKQPVTPIKAVHVRSERGDFLQLPRV >RHN79526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:29865302:29890097:-1 gene:gene3327 transcript:rna3327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein O-GlcNAc transferase MAWMEENDGNGKEKELGGENGFLKVKEPSVSAGGDDTVSGKKRSEVKDDISFANILRSRNKFTDSLALYERVLESDGGNVEALIGKGICLQMQNMGRLAFDSFSEAVKLDPQNACALTHCGILYKEEGRLMEAAESYQKALQVDPTYKAAAECLAIVLTDIGTNIKLAGNTQEGIQKYFEALKIDQHYAPAYYNLGVVYSEMMQYDMALSFYEKAASERPMYAEAYCNMGVIYKNRGDLEAAITCYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINRGVAFYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIVANPTYAEAYNNLGVLYRDAGDIALAINAYEQCLKIDPDSRNAGQNRLLAMNYIDEGNDDKLFEAHRDWGLRFRRLYQQFTSWDNSKDPERPLVIGYVSPDYFTHSVSYFIEAPLIYHDYAKYRVIVYSAVVKADAKTIRFREKVIKKGGIWKDIYGTDEKKVADMVREDQVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDSLADPPETKQKHVEELVRLPDCFLCYTPSPEAGPVCPTPALSNGFITFGSFNNLAKITPTVLKVWARILCAIPNSRLVVKCKPFCCDSVRQRFLSTLEQLGLEPLRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLSNVGLENLVAKNEDEYVKLAMKLASDIPALQNLRMSLRELMSKSPVCDGSNFILGLESTYRNMWRRYCKGDVPSLKRMELLEQSGSADKNSEQTRVVNVSEGSPGSVMANGFNSSQPPKLNINGCEENGGSLKFSSKQGVVGP >RHN60122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:21056182:21057539:1 gene:gene22352 transcript:rna22352 gene_biotype:protein_coding transcript_biotype:protein_coding MALNNFVLYEFWGMKFYFCILGNLYVGQKVVLWFGEGQKYQFLSCPLPPVFPIPETVLQIKYWTTGVVLSSPYFLAYQTHPKRGE >RHN81107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43376639:43381745:1 gene:gene5105 transcript:rna5105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (6-4)DNA photolyase MEPNNKTPPEKENLNQTPSPEPPLPPPSLASLSLSLSSLLPLFQPKIPSLLSPPPPIKLKVPTQASSLTHLSLSTKTHSSPSNKTFKKSSFSSNPLKSPLSLIPHRPNDPSSAAALRRTAIVWFRNDLRVHDNEALNTANNESISVLPVYCFDPADYGKSSSGFDKTGPYRASFLIESVSDLRKNLKARGSDLVVRVGKPETVLVELAKEIGADAVYCHREVSHDEVKMEEKIEGKMKEENVEVKYFWGSTLYHVEDLPFGLEDMPSNYGGFRDRVQKLEIRKTIEALDQLKGLPSRGDVQLGDIPTLMDLGLNPSATMSQDGKQVPNSSMTGGETEALQRLKRFAAECEAQSNKGFKDGAQDSIYGANFSCKISPWLAMGCLSPRAMFDELKKTASSAVSASSSKNDGGSSKTGTNWLMFELLWRDFFRFITKKYSSTKKQLEAAPATACTGALA >RHN73747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19573543:19574046:1 gene:gene9608 transcript:rna9608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cucumisin MAKHNVVFSFLVSLFLASLIPLVCDATKSGDESNKLHIVYMGSLPKEASYSPSSHHLSLLQHVVDGSDIENRLVQSYKRSFNGFAVVLNDQQREKLVGMKGVVSVFPSQEFHLQTTRSWDFLGLPQYHKVVGLPWTSSIIQKRSNY >RHN64115.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56695692:56701669:1 gene:gene26898 transcript:rna26898 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoric monoester hydrolase MASTSEAMNEFDESMKKQIALILKVVLLNKSLKEDNIPCEIDQGLFLGSVGSATNKVGLKNVNVTHILTVAGKLAPAHPADFVYKVIDVADKEDTNLKQHFEECFDFIDEAKSNGGSVLVHCYAGRSRSVTIIVAYLMKSRGMSLSEALQHVKCKRPQATPNRGFIRQLEDFEKSLQDIEVSG >RHN45725.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25022505:25022893:1 gene:gene40113 transcript:rna40113 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVDVEGNDIQVMIPTAYKVQYKKLIQEKSIYTLSNFQVQTNDLVFKASDHMCKLKWVGGTTDDQVNVHEIPDVVLKFKPFAEIVVGRWHHDLLIRKLFFTLL >RHN61218.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33935615:33938392:1 gene:gene23658 transcript:rna23658 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCHTFITPSTPYSLHINRSSHLPHRVSFTKASLSSSSSDSKAPSPPQSVPSRPTEPAFNYAFNNTNGNPLVRMVQNTESSIERVIFDFRFLALLAVGGSLAGSLLCFLNGCVYIVEAYKVYWTSCVKGVHTGKMVLLLVEAIDVYLAGTVMLIFGMGLYGLFISNTPHDVQPAVDRALKGSSLFGMFALKERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKLVVIATGLDLLSYSVCIFLSSASLYILHNLHKQD >RHN77146.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:4424275:4430607:-1 gene:gene571 transcript:rna571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative guanylate cyclase activating protein MPTHSPDVSTGIGECFYAALMPLIAVFEVLVFAVTGCFNSHLPNFHTQKSAYTAKDFIRLAQETRFTVNEVEALHELFKKISSSVIDDGLIHKVELQLALFQTPNGENLFLDRVFDIFDEKRNGVIEFDEFVHALSVFHPYAPMDEKIDFAFKLYDLRQTGFIEPEEVKQMVIAILMESEMNLSDDLLEAIVDKTIADVDQDNDGKISKEDWKFFVSRNPSLLKNMTLPYLKDITTAFPSFIFKSEAGN >RHN53449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1725635:1726699:1 gene:gene28357 transcript:rna28357 gene_biotype:protein_coding transcript_biotype:protein_coding MFILKHLLFFFVYVSFLLRLLRSNFNHNQINPTNNSIIVTTNMKNQVGYVPPAYIPLGQSDSEAMSLSPLHHGGSSSNVSNQWSSGICACFDDMQSCMLLPYLV >RHN40749.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:20254862:20257089:-1 gene:gene46946 transcript:rna46946 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKRARPTNKVSTFRSAAPAANVPAPPPPDLVDIVARLDRIEARQQRIEASQQRIEASQERIETAVHQRFDELFRIMDGQIPQPPTQ >RHN53349.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1136904:1143820:1 gene:gene28249 transcript:rna28249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MSTVGYRSEDECSVIGEKAEIGFLDFEEERSVCSYVADDGAPVIVSVPFAFKNGKPQSVSVGDTAAELITINNTTEEPVDLWSVHIFASNPPDSFTLSLTEPPPANSNAESFIESFRVEDRMLQPGEILKIWLSCKTKDMGMYSSVVYFDVGDEKIERVVFLLVEDKISKSLASNRPYSRTRKKDKFVVDNFVPGSRPLAKSNRKYVNRLPRYEVPKDIRLLLEGNQVPQVVEDGLTRRSYASYFKTLIIMEEIQLEDDMSTYDMECITMRRRGNNFLSLEVPGLAERRPSLVHGDSIFARLASEQDEGATRVYQGFVHRVEADEVYLKFDHEFHLYHRDEDLYNVYFTYNRINMRRLYQAVEAAENLGAEFLFPSTSSKRRSIKTNDLVPISGSLNEEQMCSIKMILGCRGAPPYVIHGPPGTGKTRTIVEAILQLYQHHKNTRILVCAPSNSAADHILEKLLAEKDIEFRENEVFRLNATARPYEDVKPEFLRFCFFDEMIFKCPPVNALNHYRIVVSTYMSASLLFAEDVARGHFSHIFLDEAGQASEPETMIPIAHLCRRDTVVVLAGDPLQLGPVIFSKKADEYKLGVSFLERLFKCELYGSGDVNYITKLVRNYRCHPEILYLPSKLFYFGELMACRDPSTFMVTAEFLPNKEFPVLFFGIQGCDEREGNNPSWFNRIEVSKVVETVGKLINGGKIREEDIGIITPYRQQVLKIKQTLENLEMPDVKVGSVEQFQGQEKEVIIVSTVRSTIKHNEFDRIHCLGFLSNHRRFNVAITRAISLLVIIGNPHIICKDDHWSQMLWHCVDHSSYLGCSLPERIEHYDEGVGENSGFGYDEGNTWPSNNIEGGQDSYQSKFPKPGTDETGWSDGWNTFPSNNVESGHDGWNTLPSNNGESGQYDWNILPSNNVEAGQDSFQSDLPKPVTDEAEWSDGWK >RHN54707.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11477470:11480566:-1 gene:gene29785 transcript:rna29785 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transposase, Ptta/En/Spm, plant MSLDEYFKRSREQLEAEESENEELDREQEVEGEINYENDDGDNSMEVARMSDLCPLTYTNWKAIPKKKKTIWAYVNKRYIVPEKGQKDVYAIINDAWRRYKWLIKKNHFTKYRNLRERLKNRPDGILEAHFKKLMTYWRYEAIREISHQNAKNIDKQKWRHRAGSISFAVIRERLSK >RHN58505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2333545:2338230:-1 gene:gene20424 transcript:rna20424 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor/ chromatin remodeling BED-type(Zn) family MEFETRIVTPINNNEKPDSGTQPSQKKKRSIVWEYFTVEAVEAGKSRAYCKHCNKSFHYISGSKTVGTTNLKKHISLGLCQGMQQKSQPNSHPENGGLQDNAIRPKKRQRETPAYAGNGISFDQERCINDIAKMIILHDYPLDIVKHQGFIAFVRTLHPMFNPSCLNSVEGCVSSMYLREKQNLLDLINGIPGRLNLTLDLWTSNQETGYVFIRGHFIDSDCNVHHPILNVVKVPFPDSGDSLNQTIMTCISDWHLEGRVLTLALDKSFSSETVKVNLRGNLSVNNPVILSGQLLNQNCYARVLSRLAVDVLRAMSKTISKVRECVKFVKYSESHEEKFIELKQQLQVPGLVNLLIDDQYNWDTTYHMLVAACELKEVFACFDATCPDFSMTLTMEDWKQVETLCTYLKYMYDAAHILTAQQLPTANLFFLEVSKLHMELTNAAFSQDPFLSSLIFPLLKNFDQYWRDSCLILAVAVAMDPRHKMKLVESTFTTIFGENAEPWIRIVEDGLHELFIDYNTEMLHFTATNGDDVDEIMLNTEPYEGPVDGSLFVDEGGLSDFEFNISDFTSMQQFKSEMDEYLEEPLLSESKEFDILSWWRENRSKYPTLSRAASDILSMSISTVSADSVFDTEVRKMDDYRSSLDSQTLEALICAKDWFQHNSITKNVSNTPRK >RHN42489.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38774882:38780873:-1 gene:gene48936 transcript:rna48936 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MTRGIKHRQKAKSKNKKRSESSSAAAPPQVPVKVWQPGVDKLEEDEELQCDPSAYNSLHAFHIGWPCLSFDILRDTMGLVRTEFPHTVYFITGTQAEKPSWNSIGIFKVSNISGKRREPVPKRETDDSEMDGEDSDSEDDSEDEEEGGAGGPTLQLRKVTHEGCINRIRSMAQNPHICASWAESGHVQIWDMSSHLNALAETETDGVQGVDAVQAPLQKFKHKDEGYAIDWSPLVPGRLVSGDCNNSIYLWEPTSAATWNIEKTPFTGHTDSVEDLQWSPTEPHVFASCSVDKSIAIWDTRLGRSPAASFQAHKADVNVLSWNRLASCMLASGSDDGTISIRDLRLLKEGDSVVAHFEYHKHPITSIEWSPHEASSLAVSSADNQLTIWDLSLEKDEEEEAEFRAKTQEQVNAPEDLPPQLLFIHQGQKDPKELHWHTQIPGMIVCTAADGFNVLMPSNIQSTLPSEAA >RHN43479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46190910:46193669:-1 gene:gene50063 transcript:rna50063 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-phosphatidylinositol 4-kinase MSVALSSNCNERVYWEGQSEHCSVDPILIYLTVDSVITPMRVLESDSIASVKLRIQQSKGFVVKKQKLVFGGRELARNHTLIKEYGVADGNVLHLVLRLSDLLFIVVRTVSGKEFEFHIDRRRNVGYLKQRIKIKGEGFIDLEEQELFCNDEELNDQILFHDICKGDDDVVHLIIKKSVKVRTTAIHNDLKLSLEASIPEERIDQRALQLQVEDDNNNNNNSNNNNNNNNNRNKQIQIAKLPPGVDFWLEPIVVNPRINFLPFHWDLINSTYEGLKKGNRPIRSSEGTGGTYFMQDSTGQRHVSVFKPLDEEPMAVNNPRGLPSSTNGEGLKRGTRVGEGALREVAAYVLDHPRSGPRLVTGEEIGFSGVPPTVMVQCLHEEFHHPNGYACSSKHVKIGSLQKFMNNDGSCEDIGPGAFPAEDVHKITVLDIRIANADRHAGNILIRKEAGGQIKLIPIDHGYCLPEKFEDCTFDWLYWPQARQPYSLETVDYINALDAEKDIELLKLYGWEIPLQSARTLRISTMLLKKGVERGLTPYAIGSIMCRENLNKESVIEEIISEAQDSLLHGMEESAFLESVSRIMDFRLDKLTK >RHN69794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46308299:46312992:1 gene:gene18308 transcript:rna18308 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc-ribbon domain, plant protein MSGKVVTKIRIVKCPKCRQLLPEPQGYSVYKCGGCGTDLQAKKQSSVANSDSSVPEINAAPGKASDLVFAGKQHSDRKRQVPLRKDSLKAKATASSRECYLDGNGEFDGGQLVPFKFTDEEELESELDIPKLSLRRHRVSNKGGPNNITHCEIEEISNNGDFSLERPKEGLICSSDEDGNYDKSALIGDKPEMEITETNFGNLSLEGADAVLISGSDEEDANKEKSVTEGANPEVEIIGSDLQGVEDLNNGNLPLEDFNSGIDGEDANSDKSALVGKKLEGEITGNNIAGEKLNNGKWPQEGEGEDLNIEDPNNDQSAIEDTKSEVDTTEGTTTKNASNEIVDTLDTTELRDHSSEFSGVLGKEKLSKSSTTRSLYTAGSLMNAYTVAEGMTRKGKGLANSSSYGDLGTQHQSHVPHEKNHVMKDSTRNKNKVLDNTEHGYSRWIETKRDHKFPSRMPYRSGYESGRPSNGVHDEGSRFLSHDSREDTDQEKMKLMRMVLKLQDQLNKTRYMSEETNGRVSAGASYKGIRSSAYQYHSHDLHEGRSSNALDHSRCIGRCNHGIASRQRHKYLRIPYSAEATSCAHHVDHSCYHCCSRVLADSSPRVHFQHEDLYRSYPAQNCCPSSPQCFSETNSEFQRHRASVVRKYLRDKHNLAKRHHKPVAGGAPFVTCHKCSNLLQLPADFLLYKRVCHKLKCGKCSEVLKFSLKNGSHVVPFSSNTIGLPSRELHRRSEVISGSNIPSVSHVNYYQYSPAKPISYYDDYGLSVSRSFSSEVDLVSLTQQIHPLNGGKYVNPNVSPSSTFKANIVASRYFNAIAAPTDTDESAGFSSSMSENRKLSAEKEVKPPQKGTLHKLMGYSTPSKVIRGASC >RHN82505.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54334050:54337646:1 gene:gene6676 transcript:rna6676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H MGSEAAMAPEWASEACIMGIDEAGRGPVLGPMVYGCLYCPRSYQKTLSTLSFADSKTLKEEKREELFEILKANDSIGWAVDVIDPKELSSKMLKKNKINLNEISHDSAMGLVDRVLKMGVLLTEVYIDTVGDPGKYEAKLSKNFPSIKFVVAKKADSLYPVVSGASIVAKVTRDRAIRDWVLDESADNIHRKFGSGYPGDPATKSWLEDHKHSIFGFPTLVRFSWGTCSSYFKGGTEVLWESDLDEDGGSNNNNGKRQLKLNNLGFTTSKRRTEEIESSGKGRSRFFQARKLEHLTHF >RHN75228.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40350286:40350699:1 gene:gene11401 transcript:rna11401 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVSSVESELETKVIKKSKISVAITAEATSSLFKVLLLASIAIIQDLLVSSSMKSSQAFEKTIGATPDIIFTSSLEFFIIFFILANGNECGPVLPMPPFSIPEYAPACLILFLYFSLFLSWIMSLNFSVIYKQINK >RHN80108.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:35163319:35165713:1 gene:gene3991 transcript:rna3991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S19/S15 MADVEPEVAAQGVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFSSRARRRFQRGLTRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >RHN76975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:2864774:2870284:-1 gene:gene376 transcript:rna376 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFMLDESVIDLSEKLVDPPLKLEDPPPLVNPGENVTKKEINKKRFDNCINS >RHN67023.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:22952300:22960810:1 gene:gene15154 transcript:rna15154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed DNA polymerase MEMHDLDKRSLYPSNTNTIASSIAQKPAITQNEGHNLMLHDLCEPASSSVQHSMLNNSMLLIDTERVGTESNVSSLKTGEEKIDGVDGTESNVSSLKTSEEKIDNVDGNHSLAAAAKDATKAKITVTMTRSDEQSKLRERLCSIYEDILVVNNISHAEQVAKMLTVNYRHLIHACDTEVSKIDVKQETPIDHGEIICFSIYSGPEADFGGGKSCIWVDVLDGGGKEILNKFADFFQDPSIKKVWHNYSFDCHVIQNYGFNVSGFHADTMHMARLWDSSRRLVGGYSLEALSGDKEVMSRGNLNHETDLIGKVSMTTLFGQRKEKKDGSMGKIVTIEPVEVLQREERIPWICYSALDARSTLKLYESLKSHLSDLPWKLDGELLRENMFDFYEIYLQPFGELLVKMECEGMLVDRLYLQEIEKVAKAEQQAALNRFRKWASRYCPDAKYMNVGSDTQLRVLLFGGTVNRKNHNEAIPTERIFKVPNVDKVIEKGKKIPSKLRDIKLNSIGCNLNVDIYTPSGWPSCSGPALKALAGKVSAEYDFDLEDEDGNPSQREDEPLEIDNSAYGTAYFAFPTEEEGREACHAIAALCEICSIDSLISNFILPLQGHNISGKDQRVHCSININTETGRLSARRPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLTDCKSMLEAFEAGGDFHSRTAMNMYPYIREAVEKKEVLLEWHPQPGEDKPPVPLLKDAFASERRKAKMLNFSIAYGKTPQGLSKDWKVSVKEAKNTVDLWYNDRKEVLKWQQKRKKEAFEFGCVYTLLGRARRFPEIFLGRRNFYKGHIERAAINTPVQGSAADVAMLAMLEISKNKQLKELGWKLLLQVHDEVILEGPTESAEVAKNIVIDCMSKPFNGQNILKVGLTVDAKCAQNWYAAK >RHN64210.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57284728:57289409:1 gene:gene26999 transcript:rna26999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-deoxy-D-xylulose-5-phosphate synthase MDLCSLACPSFVTPCDPRRTLPLPSSSSSHSQWGLHFFSHSQQHNKLKKKFGVVHASLSEMGEYYSQRPPTPLLDTINYPIHMKNLSTKELKQLADELRSDVIFSVSRTGGHLGSSLGVVELTIALHYVFNTPQDKILWDVGHQSYPHKILTGRRDKMHTMRQTNGLSGFTKRSESEYDSFGTGHSSTTISAGLGMAVGRDLKGRKNDVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPIPPVGALSSALSRLQSNKPLRELREVAKGVTKRIGGPMHELAAKVDEYARGMISGTGSTLFEELGLYYIGPVDGHNIDDLVAILKEVKTTNSTGPVLIHVITEKGRGYPYAEKAADKYHGVAKFDPPTGKQFKVAAKTQSYTTYFAEALIAEAKADKDIIAIHAAMGGGTGMNIFHRRFPTRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRAYDQVVHDVDLQKLPVRFAMDRAGLVGSDGPTHSGSFDVTFMACLPNMVVMAPSDEAELCHMVATAAAIDDRPSCFRYPRGNGIGVELPTEYKGIPLEIGKGRILIEGERVALLGYGSAVQNCLAAASLVEQHGLRLTVADARFCKPLDRSLIRSLAKSHEVLITVEEGSIGGFGSHVAQFMALDGLLDGNLKWRPVVLPDRYIDHGSPADQLCMAGLTPSHIAATVFNILGQTREALEVMS >RHN61992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39862002:39862474:-1 gene:gene24512 transcript:rna24512 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MTGMPRYRSSTPYPPNPSLVQRMLNDTCFKCKQQGHWSMYCPNNNNNHSPVLSSNKQIHCRCGHGFCDVKISNSERNKGRLYFACPIKRGAPCSTFVKWCDDERDLEPSLVKYPKCKCVIIPVILGETIRV >RHN73044.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12985358:12986861:-1 gene:gene8821 transcript:rna8821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative myc-type, basic helix-loop-helix (bHLH) domain-containing protein MSSPMISNPTSTTDRSHRIRKKKKAQSKTQQAQEQQQRENSRAKWRSQAQQQIYSSKLHQALARVNIGGTTGGPRRGKAVREAADRALAVAAKGRTRWSRAILKNKLRLKFRKQKRHQQNRAVGPARSKKARFGVLRLKGKTLPAVQRKVKILGRLVPGCRKEPLPVILEEVIDYIPALEMQVRAMSALADLLYGASSSAAAASGGSGFPPAS >RHN75606.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43676216:43677727:-1 gene:gene11837 transcript:rna11837 gene_biotype:protein_coding transcript_biotype:protein_coding MAREVGIFLCLLIVIMDVTAGILGIEAEIAQNKVKHLRLWIFECRDPSHKAFMFGLAASILLSLAHVIANLLGGCGCFCFQQDIQKSSPNRQLSMAILILTWIVLAVGLSMLVIGTKTNNRSSGTCGFTDHHFLSIGGILCFVHGLFCVSYYVSATAS >RHN57500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39572906:39576151:-1 gene:gene33049 transcript:rna33049 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation/H+ exchanger MSINSNYTLFNEIKRLNGPIYNVCSEAPPHIVSDGLWGGYENGRRPMKSFLILFELQLVIIFALTQICSFLLKPLRLPQFLPEMIAGLILGVSFELKPLDTYMGKIFPYGTHDVISTISSLGMVIFVFINSVQMDFSLITRTGKRAWIISIIGLSVPLCVGFIPLLTLPGIVQAIQKLHGGNGVMVAMLSHSLSQFSTISSLLSELQIQNSELGRLTLSSALVCDILTTVISTNFVALMTSPDLTTLLRNIFLLYILFVLIPLVCRPIMFWIIKHTPEGRPVKDSYIYVIISMVFVLGILSVKINQEFVLGVFVLGLSVPEGPPLGSALVKKLQFFSTTFFLPIFVTTCVLKADFSMDFSSSMMVYTGLAVLVTHLVKMAALFITVLCCKIPVIDALCISLILNTKGVMEVGIYNSAFDDQVINRKIFGVMMISIMIIATIVHWSVKLLYDPSRKYAGYQKRNMMRLKRNSELRILVTLQKQNHISAATDFLDLCCPTQEKPITVDVLHVIELVGRALPLFIHHRLQRQALGSTSSKSYSDDVILAFDIYEHDNQNAVSTNTYTAISPPNLMYEDVCNLALDKDAAIIILPFHIRWSRDGGVESDDKKVLRALNRRVLEIAPCSVGILVTRANSMPKPTLTTSITLEYSTTRLAIIYLGGNDDDEEVLCLAKRMMNNPRINLVVYCLVSRKNIAEVEELMVIGDEMLEELKHAENVRYQEVFTENGSQTASFLREIVNEHDFFIVGRRHETQYPQTDGLAEWSEFPELGAIGDFLASPDLNSNASVLVVQQQLSRANDLKRWIL >RHN70548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:52220165:52224002:-1 gene:gene19138 transcript:rna19138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MGYLNSVLSSTSQVRAIDDGPVSGGGLSHNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGVRAAEYVKQNLFSNLISHPKFISDTKSAITDAYNHTDNEYLKSENNHHKDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKVDSSLEFLILASDGLWDVVSNEEAVGMIKPIEDAEEAAKRLMQEAYQRGSADNITCVVVRFLMNQGTSSHSSSNQFAGHS >RHN65580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3407419:3416458:-1 gene:gene13434 transcript:rna13434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MGVEKLRSCTIYLENSKPYIAMILLQFGYAGMIIISKLSLNGGMSHYVLVVYRHAFATVAIAPFAIIFERKSQPKITFPIFIQIFLLALLGPVIDQNLYYAGLKLTSPTFSCAMTNILPAMTFAMAVLCRMETVNMKKLRCQAKVIGTILTVAGAMLMTLYKGPIVELFWTGHIFHSKSNATNITRSSSKNNWFLGSILLIISTIAWASLFVLQAKAIETYKNHQLTLTSLICFFGTILSFVTTLIMEHNASVWTIGWDMNLFAAAYAGIVASSISYYIQGLVIKNKGPVFASSFSPLMMIIVAIMGSFILAEQIFLGSVIGSILVVLGLYSVLWGKHKELLENKVAPDDIPLPMKGAQVNGNIGTFCESIDQLCEAKSDQKVESNISIVIINYNITL >RHN52062.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:31169321:31170368:1 gene:gene36679 transcript:rna36679 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKYAIATRKSYIYILQFAVSLGYEIFLHHYIWNIHSYSYILCHCTCTCSVLYFDSIIYKESNLKDMVKEILKYFQFLREFFANLFIVCWHHLFTYLCSLMKICFKILSVIFVSLVINIAAAGVATTAAVNKQYEHGSQRDGDTDMSSYETKYGDRENNALGSNSEGEPKFDRSENNDSTKSGSALDAVEYDNLWEKITMDKLDFVFSYTLGSIGGGIRISVGISQIWVFNRAHRR >RHN58636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3489323:3491946:-1 gene:gene20563 transcript:rna20563 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vacuolar protein sorting-associated protein Ist1 MIQMFRWLLKPKFYSKCISYIKCIKTRLETMEKKRRAIQKFTKNDIAELLRSGHDYDAYKRTEGLLFEEKILSCYEFIEKFIGCISDHLEELIKQSECPEECKEAIPSLMYAAARISDLPELRDLRTLFTEKYGNSLEPYINKEFVEKLRHSPTREMKIRLLYDIAQEFSIEWDGKALKKMLYTHPSLYEGTQLGGSGGGEHKNESDLNTFKENETMHQYNSSDDETTSTDTSPSHHHGRKASSSSFGSISENEEEVEEIKKPNSFLLIPPPYFKPQKTNNKGEINSNKTSDSEPLEKNIDSSGLKPTTSVETSSSKGYAQMWGGAWHVPSTLPDYDDFIARLKAFTGRS >RHN80973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42353205:42354806:-1 gene:gene4960 transcript:rna4960 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTHTTTSGEACTTRTQTTKKQPHDTPEHHPRRKHLTTTKQHHHLTKRPLNRNRDRPTTTQASHHRNHLKPWLPHSHNQDLKPDRNGQAGRNKGTRTERSQGMRTVVRSWRLNTPPRRRSTFWPAGFG >RHN48923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51165930:51168148:-1 gene:gene43692 transcript:rna43692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MYNPLFYKLRAYHCHVYAKALLLMDDVFYEIDPTAEVVALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTSAEVKKKVYVCPEPSCVHHNPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKRYAVQSDWKAHQKTCGTREYKCDCGTIFSRRDSFITHRAFCDALTEENNRVNQGLTSGMPPNLQSQMHDPISTMPLKPVSNTSSELNNDYDPKNSLRSPSQEHAPTSFRSTNNACGGMFSTSAGALFGGPKTLPPSSSTLQLSSNSNSFNYFNDSKNGGLIAGMAQMSATALLQKAAQMGATASNSGNSSMMQKSFVGSMVSPNHVSGSIMMHHNQNQPSYEHFNPLQHELSNMAGVSGGGAFTNQLFQKEQQEISLLFDNNTNVSTMNNDIGMFSHHGLMKNVGQEVSNCSNLIHGNDVATVHDFLGIGGSSSSLHEPQQQRLEALSQQRLEIMNNFHHHLPHEDSAMEKSIWDV >RHN70292.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50305395:50314356:1 gene:gene18858 transcript:rna18858 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSNTKTLLHTHSFKNSLSFRSSRLILSIPFYSKNKPQLLHSRFSSSLKVASSSSSEAQNNLQGKPVLSQGKGGIVEEQSSYSYQQEEEEDSTDEEDKWVDWEDQILEDTVPLVGFVRTILHSGQYENGDRLSPEHEKTIVEKLLPFHPESQKKIGCGIDYITIVGYHPNFDRSRCMFIVRQDGELVDFSYWKCIKGLIRKNYPLYADSFILRHFRNRSRNL >RHN70864.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54578091:54583016:-1 gene:gene19493 transcript:rna19493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 9-cis-epoxycarotenoid dioxygenase MYKAMAISYNNNAFIVNCGIQKGSRHYNNIPLFSIFKSNTRPTLPEVSLQIDIPKTMKNTVAKLIDVFVDSFFEFIDKPLLPSKSNFAPVEELEEAIVVTCIHGRIPNDFPEGVYIRNGPNPLFGGLKSTNSIFGRSSHIWVEGEGMLHALYFKKSNHGSWTVLYNNKHVETETYKLEKQRNKPLFLPAIEGNSLAILSAYLFNWLRSGKVNKYISNTNVFEHSGKFYSVAESHMPQEIDIFSLKTLNNWELSAAWNRPFTSHPKKAPITGELVTLGVEPIKPFAVVGIISADGKKLVHKVDIKLNRCSLCHDIGVTQRYNVIMDFPLTIDLNRLLRGGQLIKYDRKEYARIGVMPRYGNANSIKWFEVEPNCTFHIINSFEDGDEVVVRGCRSLDSLIPEPDPSSKEFEWLSRCHEWRLNMLTGEVKEKDLCGNKLVYMDFPMINENFVGVKHRYAYTQVVDPTSSTTQDVPKYRGIAKLYLEETCAEFSMSKREKEEPIRMEYHMFEKNTFCNGAAFVPRDGGVEEDDGLIITFVHNEDTNTSQVHIIDTKNFSGETVAKIEIPFRVPYGFHGAFMPISFQDSY >RHN77578.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7838831:7843782:1 gene:gene1045 transcript:rna1045 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSVGYDGSRYKFLGLVEIENHGTHVVVYTVGADDVGADDEAWRPKQLIPWHLRTDEWIRSAGAYISVW >RHN42067.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35175360:35175609:-1 gene:gene48458 transcript:rna48458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MELAKVLHMNGGAGDASYADNSLLQIEEKDVKDSTPISSLLEIQAT >RHN49341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54099294:54100062:-1 gene:gene44159 transcript:rna44159 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSVYGSTISIFIFRGTYLINLNKLNKFWSFSTCTLLNHGCTPK >RHN80870.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:41446668:41453070:1 gene:gene4845 transcript:rna4845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rhodanese-like domain, rhodanase, alpha/Beta hydrolase MGIQSFYFVKIRVEVLYYSVNYTRRHRLTLLSRRTERSINPELQNPPETMSDDKTTDQYGVLLYYKYVDIPNLNDLLTFYRSNCSSLSLLGRVRLSSNGVNVTVGGNLSSLERHIEDLKANSNLFDGTDFKLATCHQPLNDDVAKECGFTSLSIRIVKELVTLSSHPLLKSPEISNAGRHLSALEFHSTIHNANKESPENSLVLLDARNLYETRIGKFHAPNVETLDPQVRQYSDFSSWIDDRGEQLKGKKILMYCTGGIRCEMASAYIRSKGAGFENVFQLFGGIQRYLEQFPDGGYFKGKNFVFDHRISVGGSDASTVGSCLICQCSFDDYSSRCRCTYCRMLVLVCHSCQNESALYVCELCQKQGKAVGSKQLIENDDSKKSLEGDEFPNFSSDTMFLPQMLRGDDVRTTRKLRILCLHGFRQNASSFKGRTASLAKKLKKIAEFVFVDAPHEVPFIYQTPVPVHHVNGASFSLPASPPPPMEKCKKKFAWFLAPNFDGSNGVDWKLADGPFDPLQYQQQTAGYDISLSHLENVFSQEGPFDGVLGFSQGAAMTAVISAQQEKLKGKIDFKFVVLCSGFALHMKEMECSPIKCPSLHIFGNEHGQDRQIANQVSKELASLYDGSCSVIVEHDCGHIIPTRSPYIDEIKAFLGQFLQEL >RHN51417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17458591:17458929:1 gene:gene35848 transcript:rna35848 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKKFTSLDIKQNHIQFHICIVVVACNGNKICKELDLDEDNSPGCKLFPGAVVASSGVVVTGEGCLFLIFLNGFCREDGGDKK >RHN40969.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25184141:25185897:1 gene:gene47225 transcript:rna47225 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFTNLIRSTKNLKYFDKTLDKREYRQLEAYTHIYTQCSAC >RHN75460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42469028:42475191:1 gene:gene11675 transcript:rna11675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MAISSFCFRVIMLFLTFSDLFMQSYGLDFGINYGQIANNLPSHSRVAVLIKSLNVSRIKLYDADPNVLTTFSNSNVEFMIGLNDLQSMKDPIKAQSWVQQNVQPYLPQTKITSINVGNEVLGNNDINSYNNLLPAMKSVYNALVNLGLSQQVTVTTSHSFIIMSNSFPPSSGAFREDLIQYIQPLLSFQAQIKSPFLINAYPFFAYKGDPQHVSLNYVLFQPNAGSIDPATNLHYDNMLYAQIDAVYAAIKAVGHSDIEVKISETGWPSKGDPDEVGASLQNAEIYHSNLLKRIAMKQGTPAKPSIPIDIYVFALFNEDLKTGPASERNYGLYYPDGTPVYNLGLKNQVPQGGYFPGMIIEGKSNALSINFVLYILTFLVFVWELSIL >RHN82828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56635960:56637033:-1 gene:gene7018 transcript:rna7018 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDAAPEGPHSDLFVYYCKHCGSHVLITDTQLQKMPRRNTDRAYVLDKTKHLARFNIHEAGNVLLKRGQGKLEKQFRMNCIGCGLFVCYRSQQDFDSSSFIYVLDQALSTVAAETNPQDAPVPPCISTLEGGLVQLAIEVEDRAHRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLVVEDLTARQVYEKLLEAVQP >RHN72847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11222426:11225318:1 gene:gene8609 transcript:rna8609 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLRENRVVLTKFLKEHMLYLVWNNLKFGGRTIFDTHGVNHRLYSLKVIFDRKHALIQ >RHN66220.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9848712:9850964:1 gene:gene14163 transcript:rna14163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MATLGGISSSLLSHSKPCTSVFRHLFLRRITSKIFVKGLAFSTTEEKLAEAFSQYGNVVKADIVLNKAKKRCKGFGYVTFAEEEEARKAQIGMNGKILHGRVLYVDMDPPNEQKNKIKQATKNTEVDNEDVHME >RHN73819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20338872:20342742:-1 gene:gene9691 transcript:rna9691 gene_biotype:protein_coding transcript_biotype:protein_coding MFKIRCQRKVHGCENGIGLGSSCTVETISAPRCGGHSLTCRIVNNAPQTPPALHL >RHN54960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14006735:14007151:-1 gene:gene30072 transcript:rna30072 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGNENLSVSTEEHCKKAIHDTFGSNSSDSTNNEQQREHYPDMRFVGSWSDEVTNLSDSATKSNEIVTNDNLNPSVAHDLEILRQYVWKGNEATYIGPRVYTDEEERAAAINYPRNRAATTEEPFTEVVSKTGKRI >RHN62915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46743346:46743726:1 gene:gene25551 transcript:rna25551 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGVRYNVDLRSKFTSVVGLAGEGVVGESLYWSFKKSTVEDASRKSITFAEDVDQRSKNSVENTLRKKDSGCNCCDEEFKSLAIAIAMGAPTVVAKDVSNATTATVEATTAAANTTTTAASLLLF >RHN41929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34142062:34144788:1 gene:gene48304 transcript:rna48304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MVDGKNASAQHMLVGFIVGISTVMALAILFYLFWKRIIPFLKQPKALKGKEYKSSKYDKISLRCFTIEELERATKNFSQDCLLGSGAFCNVYKGIFELEGILAIKRPHSESFLSVEEFRNEVRLLSAVKHKNLIGLVGYCEEPERDGAKILVYEYVPNGSLLEYMMGNRRRSLTWKQRINIAIGAAKGIAYLHEKVKPSIIHRDIKPSNILLGESFEAKVSDFGLVKSGPTGDQSHVSSQIKGTPGYLDPAYCSSCHLTKFSDVYSFGVILLQLISARPAVDNAENPSNQHIIDWARPSIEKGIIAEIMDANLFCQSEPCNMEVMLKVGQLGIRCVAQEPKHRPTMTQVCRELEHALYSDDSFTNKDSETLGSVQHCDFSQGFVSIEGVRLEKFHIDMDSFSFKSINLRCLENNSISIDIDKNNLT >RHN68289.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34321618:34323524:1 gene:gene16623 transcript:rna16623 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MAGMCCGVVGEGDSPASSRPSRRRNLDLLPFKYIADMASGTSRKRRQPDLKKDFESCEDSSDEKSDRSKKNKKEDREKPSTEGNFEVEECPKFGVTSVCGRRRDMEDSVSVKPCFSSQEPFHYFGVFDGHGCSHVATMCKERLHEIVKEEMNEGQENLEWNNTMQQGFARMDDEVQRWNSSSQSVTCRCELQTPHCDAVGSTAVVAVVTPNKIIVSNCGDSRAVLCRNGVAIPLSSDHKPDRPDELLRVEAAGGRVIYWDGPRVLGVLAMSRAIGDNYLKPYVISEPEVTVTERKEEDECLILASDGLWDVVSNDTACGVVRMCLKAQKLPGTPENNEVTTDGSDRACSDASILLTKLALARHSSDNVSVVVIDLRRDQRQASNSNNN >RHN51483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:18700085:18708391:1 gene:gene35924 transcript:rna35924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 protease family catalytic domain, putative transposase, Ptta/En/Spm, plant MADSTNFPPSSSELPKRNVRGATKMKKMTKVLKSGVKPLVNFNPETGRCYGPNSAEFKSYAAYLARSKCSILIDEWKQVDASTKDAIWVDLQKHFVMPESDDPKNDPLKKNWMVYLGVRWNGFKAQLTSEYIAYPNPERPPPYVRYPFIKEHIWKRFVESRNTDEFKAKSQKGRDCVAKNIYRHTLSRGGYELLQEKMMKEKRKVLEESGDVDDILNDDLSLSPPSRHDTWKRARQKKGGEYTSEAAKVVAEKIDALVEETAKGTFVPQGRDDILTRAIGTKEHGGRVRGVGPGYTLSNYFGRSSRLSQTIDVNQHLSQLQTNLERRIKEKFDAEFEQKMAVERELMQQAFLDKLKTMGFTQTLQINEEIEHSSPQKVDVHGSTKGSCTAAQENYKEDLTIDNVQKLLCMVLRSEEDIRIPLEHEPNTARFFIPAKCIRELCMHRLCISRNRSKVFGILDPVCLDFNPTDPSTKSKVQGHIQTRLRDLNKVCYLAPYLFKGHWQLIIICPKDNSLVVLCSMHRDLNEGMIKIVSKALEVHQLCQGNRKKAKWFRPKPRKQPNGNDCGYYVMKNMLDIISANITKSWMEVFNDPTALTEDDLYDLRNQWATCFLDLYNA >RHN63611.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52686078:52689799:-1 gene:gene26335 transcript:rna26335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, phosphoribosyltransferase MNMATTPFQQGPPQTVRRLAVEVVDARNLLPKDGQGSSSPYVVADFDGQRKRTTTRFKELNPVWNELLEFIVSDPDNMEFEELEVEVYNDKKFGNGSGRKNHFLGRVKLYGTQFSGRGEEALVYYTLEKKSVFSWIRGEIGLKIYYYDELLQQDEQQQQQQDQPSQPPPEEERHGGGAEQERNNHSHRHPMMVEEGRVFQVEQMEHCVPLPDGPPSPRVVVMEESPSPVVRVQQDPPLPEMYAQPEPEMQYHHHHPEVRKMQTMRNDRVKIMKRPNGNGNGDYAPKDISGKKPNGESERIHPYDLVEPMQYLFVRIVKVRGLNPPTESPFVKVRTSSHYVRSKPASFRPNEPNDSPEWNQVFALGYSKTDATGATLEISVWDSPTEQFLGGVCFDLSDVPIRDSPDSPLAPQWYRLEGGAAEQNAVRVSGDIQLSVWIGTQSDDAFPEAWSSDAPYVAHTRSKVYQSPKLWYLRVTVMEAQDLNLTPNLPPLTAPEIRVKVQLGFQSQRTRRGSMNHHSMSFHWHEDLLFVAGEPLEDSMVLLVEDRTTKEAALLGHVVIPLTSIEQRIDDRHVPAKWFPLEGGSYCGRVHLRLCLEGGYHVLDEAAHVCSDFRPTAKSLWKPPVGILELGILGARGLLPMKSKGPGKGSTDSYCVAKYGKKWVRTRTVTDSFDPRWNEQYTWQVYDPCTVLTVGVFDNWRMFADVAEEKPDCRIGKIRIRVSTLESNKIYTSSYPLLVLTRNGLKKMGEIELAVRFACHGFFPDTCAVYQQPLLPKMHYIRPLGVAQQEALRGAATKMVAQWLARSEPPMGHEVVRYMLDADSHAWSMRKSKANWFRIVAVLAWAVGLAKWLDDIRRWKNPVTTVLLHILYLVLVWYPDLIVPTGFLYVVLIGIWYYRFRPKIPAGMDTRLSQAEAVDPDELDEEFDTMPSSKPPDLVRVRYDRLRMLAARVQTVLGDFATQGERVQALVSWRDPRATKLFIGVCLVIAVILYSVPPKMVAVALGFYYLRHPMFRNPMPPASLNFFRRLPSLSDRLM >RHN57671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40922826:40924424:-1 gene:gene33250 transcript:rna33250 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSSMATITSSLHPSPSFLTRKYNNIKFHHASSLTKTCAQSQGTEPGVSEDASSIGSLSSSRAQLDLLEQFTSTSSSVIGYESDGSSQRLTIREQLAQLVGERDDDFSLPLGKNLKKVSAKFLTTSQRRNIKRQSYLNEVSQRNDSTFFATIGAFVLLPPLIILGIAILTGYVQLLP >RHN49043.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:52028839:52036198:-1 gene:gene43827 transcript:rna43827 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MDAKDILGLHKNSFPSSLDNKKSRPPKESQRKPDGISREVYALTGGMPPLMPSIDASQLKKKPPTHEKVTWQWLPFTNSARKDDLHLYHWVRVVNGVLPTGDYSFAKYNKSVDIIKYTDEEYEKYLTDPMWTKEETNELFDLCERFDLRFVVIADRFPSSRTVEELKDRYYSVLRAILLARAASSGDIATHPIIKEPYNVSQEMERKRALSMVLSQTRQQEKRDEEVLIEAKRIAELRMASKVTEQSQLAVASNADAELTERAVPGETVSPSNVQLPQMVVPSADNASTLASLRMLRVYLRTYALEQMVQASNATAGVRTIKRVEQTLQDLGVNLKPRVPTKAVCAEHLELRREILTWLNLQKQVQYKEAEGSSFRDGSYGETPGTPKDRTFIPDSLNFGGERVGKKDQKRKGHGAPPTAAHKRPRKQKASDL >RHN64516.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59697939:59698431:-1 gene:gene27338 transcript:rna27338 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVSPRGDISSMPYNTRNSNMGLHQEINGWIVSEPDYSNSSPHTFGIDGNIIEASPNARVVSSTPIANVEPNSNFVNEPLPRNFSFPDPSLLASQFFGKDSSPCLSGVSDDYHGSPS >RHN59833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13816135:13817731:-1 gene:gene21994 transcript:rna21994 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLSSWIKIPLNFINDFITIVLIPHRLINHLLYLIHIYFFLFHVILFELM >RHN57389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38611888:38612466:1 gene:gene32928 transcript:rna32928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLNGTNPHWCYYLFSLLELYLSDNHLRGSIGEFSTYSLQKLLLSNNKLHGHFPNSIFKFQNLTYLGLSSTNLNGDVDFHQFSNFEKLTFLDLSRNNFLSVNIGSSVDSISPNLESLYLSSSNINSFPNFFAQLQNLQELDLSNNIIQGKVPKWFHEKLLHTWREIRHIDLSFNKLQGDLPIPLIQYFSRTTI >RHN66390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:11743259:11744672:1 gene:gene14360 transcript:rna14360 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWNVDSIEVYLNYTMSLLEVLNFISSSLSHLGHSRLSLAHGLTLVEKKKSLSLARNHLKAIQPAGCFSTNFGKSFHTQDDKANISTGFWVCGVLLSCFYGDAKPYMELRKIVGGFENSIVSTLDHKISEKIVKKMPCFSEIKEMNKFVARLVAGDEVKDDATKEFQRNLCGDISAKVDHLFDDIMNQRTELVDGF >RHN56905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34618156:34622086:1 gene:gene32370 transcript:rna32370 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MTTMVSQESVVASVSRTGRDLQRYRKGRRQVVGCIPYRYIIGDQTSLGANEELEVLVITSKKGKRMLFPKGGWEMDESKKEAALRETIEEAGVRGIVEGKLGKWRFKGKNYGYEGYMFPLLVQEQFEIWPEQSVRQRTWMNVSEAREVCQQRWMKEALERLVDRLKGHKLDDVKELVVVGSVQCTGDANSD >RHN74427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:33136328:33141345:-1 gene:gene10500 transcript:rna10500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation efflux protein MADGDSIRSEPLLEDEVSNGSWRLNVKEFHLPSQNVVDLENKKSKFSFNGLLRKPRKQRKVAEYYKKQERLLEGFTEMETMHETGFFPGGLSEDEMKQLAKSERTAVLVSNACNLVLFAAKVFASIESRSLAVIASTLDSLLDLLSGFILWFTSNAMSKPNRYHYPIGKKRMQPVGIIVFASVMATLGLQILIESGRQIFAKAKPEVDPVKVNWMIGIMVFVTVVKFILMIYCRRFKNEIVRAYAQDHFFDVITNSVGLAAAVLAVKFFWWMDPTGAILIALYTINTWTKTVMENVWSLIGRTAPPEFLAKLTYLIWNHHEQIKHIDTVRAYTFGADYFVEVDIVLPEDMFLNQAHNIGETLQEKLEQLPEVERAFVHIDFEFTHRPEHKTSV >RHN64647.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60724009:60724507:-1 gene:gene27481 transcript:rna27481 gene_biotype:protein_coding transcript_biotype:protein_coding MDWWHKLRRTLTVLSTRIKLRKSGAGGVAGCRGSDVVEFGDECGGGGGVGGGLMKLRGDVEMCGYRDVELMWNMLGLNLKPEAVETPRSTKPKLTRRSCKQRFNSKLFFWTNQ >RHN71209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57252170:57252790:1 gene:gene19862 transcript:rna19862 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSQKEQKLGEGPQISTMEPVTHGAYGGGMYGTEKGQPEKQTKQPASETQSADGPVDKDTIKPKHNPPPSSGDRDIDITGQSYIQ >RHN42891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41730944:41737371:1 gene:gene49391 transcript:rna49391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MDEEEHEVYGGEIPDVAEMEGDVDMSAADDEAGGMKELDEMRRRLKEMEEEAAALREMHAKVEKEIGSVQDPASVAASQENKEEADARSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVETEAVQEALLLSESELHGRQLKVLPKRTNVPGMKQYRPRRFNPYMAYGFRRPYAPPYMYSPYGYGKAPRYRRPNRYMPYY >RHN53809.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:4316325:4317929:1 gene:gene28758 transcript:rna28758 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor TIFY family MNHHNITPMNFQQFPHLFLQEIPILGNSSVMKANIKKEEPSCAQMTIFYDGKVIVFDDVPADKAKDIMDFSTKGIASTSQNHNNNYAYSSFLSRNSLQDYPQVPSIPVIYDLPMTRKASLHRFLEKRKDRIAAKAPYQTSNPAAFLNKPIDESMSWLSLAPQSECSSTSVLFL >RHN61122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33153607:33158536:-1 gene:gene23553 transcript:rna23553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MAIYGLLCLLYLGVLTVTISTCVPTMAAALTPTTLVNHTSLKRSSFPKGFIFGTGSASYKYEGAANEGGRGQSGWDKYTHEHPENILDGSNGDVAVDQYHRYKEDVAIMKYMNTDAYRFSISWPRILPKGKVSAGINQEGIKYYNNLINELLDNGLVPFVTLFHWDLPQALQDEYSGFLSPYIINDFQDYAELCFKEFGDRVKHWITFNDPHVYSLGSEPYMATHNQLLAHAAAVKIYRTNHQSSQNGSIGISLSSHWFLPFSNHTLDHQAAERALDYMFGWFMQPLTTGEYPLSMVSFAGNRLPKFTKEQSKMLIGSFDFIGINYYTSKYAANIPQSNSDTSDPSYLKDTHVKFTTERNGIPIGPMAASPWLFVYPRGIQELLLHTKTKYNNPLIYITENGMDELNDPTLSLEEALMDTYRIDYFYRHLYYVSSAIKHGVKVQGYFAWSLLDNFEWLAGYTRRFGMNYVNYNDNLKRHQKLSAHWFRNFLKKH >RHN45318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:16543881:16544564:-1 gene:gene39587 transcript:rna39587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MNSIEFPLLDQKTKNSVISTTLNDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILIAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDAITKLRKKISREIYEDLICYQRENRCFTTNHKFHLGRSTHTGNYNQGLFYQPSSISEITSDTFFKYKKVKYPPTNEKVN >RHN60903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31492417:31493291:-1 gene:gene23298 transcript:rna23298 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIICTFFVYFWPNLFLSLSTIYTCLYSLVHYCNNLICKHSLKPFLSLFLQTFLIKHFFI >RHN65389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1679058:1681967:-1 gene:gene13221 transcript:rna13221 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MAIIGKTLFMLFFLSSIFSTASSRKGPSSSNIDWWCNLTPHPKPCKHYTTQMNNHFKIKHRVEFREMLVQLALKQALTMQKEAQANSQQQQNSLVHKTVHGDCLKLFENTIFHLNRTLEGLNNASKNCSPNDAQTWLTTSLTNIETCKSGALELNAQDFDFIMQTNVTEMIRNILAINMHFLKHSKETEEGSFSNWFSVHERKLLQSKSPVKYNLVVAKDGSGQYKTVQAALNAAAKRKYKTRFVIHVKKGVYRENIEVAVHNDNIMLVGDGMQNTIITSSRSVQGGYTTYSSATAGIDGLHFIARDITFQNTAGPHKGQAVALRSASDLSVFYRCAISGYQDTLMAHAQRQFYRQCFIYGTVDFIFGNAAVVFQNCNIFARKPLDGQANMITAQGRGDPFQNTGISFHNCQIRAASDLKPVVDKYKTFLGRPWQQYSRVMVMKTFMDTLVSPLGWSPWGDTDFAQDTLYYGEYENYGPGSSTANRVKWPGYHVISNPKEASKFTVAGLLAGPTWLATTTVPFTSGL >RHN42720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40453032:40455723:1 gene:gene49203 transcript:rna49203 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha,alpha-trehalose-phosphate synthase (UDP-forming) MQGNNHSLPLTPRSRLERLLRERELRKSSRYTQSAEDGRDGGKEGEQLYSDSFINENDNEEEVAETLFADDRPRKQRLLVVANRLPVSAVREGVESYHLEISVGGLEFDTRWIGWAGLNVPDEVGQKALTKALSEMRCIPVFLDEEVVNEYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQTQFDAYKKANQMFADVVNQHYEDGDVVWCHDYHLMFLPRCLKEYNDKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGIEGTPEGVEDQGKLTRVAAFPIGIDSERFIRALALPEVQTHMKDLKERFAGRKVIMGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRTDVPECMSISSVKLSVTRQRTHPLFSATSPISLNLYQATGSATNNVFLHVLLSHQAKTFVSCN >RHN63986.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55701018:55704510:1 gene:gene26756 transcript:rna26756 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFLAFYIDHKMVCQINAKPFGSFPRLTNGSTPRAHTRMIHCLHTCMLLF >RHN58495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2245607:2245973:1 gene:gene20414 transcript:rna20414 gene_biotype:protein_coding transcript_biotype:protein_coding MYKILLSDGKKMQLLYLLQLDTSIRRIILGNELQTPNINGKNNTYHSHKLVSTSSSIIHELAYK >RHN57028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35857760:35858008:-1 gene:gene32512 transcript:rna32512 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPSLSPRQCPDRYAFRAGRNLPDKEFRYLRTVIVTAAVHWGFGRRLPCHRVTNFLNLPALGRRQPPYMVLRLCGDLCFW >RHN45638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24009277:24010536:1 gene:gene40019 transcript:rna40019 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MMPRKFVEKYGKSLPKETCLKTPNGGKWKLNLVKSDGKIWFEKGWKQFAEHHSLAHGHLLLFRYEKTSNFEVHIFEKSALETNYPSKRVEAKTISNDQGNESLNGENCRAAQKRKANSFEFGSSSCVKVGKSQKKSKGKQVITAAEKVTTLERAKSFKIRNPSFVVVMRASYVEHKFLMNIPRKFGNRHFDLDKKRGDIHFQVLNEGVWPTRFSIRKSRTGLQFEITGGWKAFAEDNKLKVGDVCKFELISCTILTFIVHIFRETDNDNTNCSASQSMIN >RHN77988.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11087861:11088667:1 gene:gene1502 transcript:rna1502 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHYVMALFLFMVYFVVRTTTAMVNDSPDLRCGNQVIIKFPFHIKNQNPNPSLYGYPDFELFCSSNNETMIELPYKVKLNVKNIDYKHQTIELFDPQSCLYKHIHNLNLSESHFNYVKYDYEDFVDHHFFNCSLLNRDDINSYLVPCLSTSTSQIYVILSSESIDYLPLSFCTKMFNVSLISISRSVPNQKFLRLMWSEPNCKHCESKGNRCGWKNTTSTTNKEVDCFAKNHKGNFYLANCLYSELLFRFFSLLNLAYIMHCPYKLR >RHN81600.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47486217:47486643:-1 gene:gene5670 transcript:rna5670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MENIPPLIFKNPIRRTSRRSTMYLGVRKRPWGRYAAEIRNPYTKERHWLGTFDTAEEAAVAYDISSIKICGIDNARTNFHYPFVSHPQQPPRLLLPAPPPPTPELDASIPEMNVAFDGDDESMVIASILQSFSNSGSCSL >RHN60310.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25091269:25093497:-1 gene:gene22607 transcript:rna22607 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MAIIQGKIERKKMENCRLKMMYDELRTDYNYMQMRFEKMMQDHNVKEVTGKEVFDGNFKEKKRTENGGVMGPMKFMDLGLASNKVKEVKGKEVFDGKFGDKKRMKNDGELVKRKYVDAGLDTNKVKEVFNGKCEKKKRTENGGELVQRQCRDFVLNTNAETTMDREASSSLMRKPRRKDQLGSTMKSIEVASKELVLSKNEIVNVDNAEATLTKTRVTIRARSEETMITDGCEWRKFGQKLSKGNPCPKACYRCSTSRGCSIQKQVQRCALDRTVAITTYEENRNLPLPAAAKEMVQTTSAAAKMLLSASTSSNDGQLNANLLTRTPLPCSSSIATISASAPFPTITIDYTQSPNTPQRNPYQFQTPLITHSSANSSTSLIPQIPNQNQSKFSGLQMSNDAAGASQLLAIPNIVQIVNAAIAANPNFPADLLAALTSIIGYNRLNNIAADNHDDNHVTEGNNNGSNNNVAEDNRNGNITGSSNNDGKK >RHN55262.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16535986:16541289:-1 gene:gene30413 transcript:rna30413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MSAEDNRTNLSDFPSSTIEKEPNYEKHGKKKPGGWKAMPFILGNESFERLAAFGLLANFMVYLTREFHLEQVHASNILNIWGGISNFAPLLGAFISDTYTGRFKTIAFASFFSLLGMTAVTLTAWLPKLQPPSCTPQQQALNQCVTANSSQVGFLFMGLIFLSIGSSGIRPCSIPFGVDQFDPTTEEGKKGINSFFNWYYTSFTVVLLFTQTVIVYIQDSVSWKFGFAIPTLCMLFSIILFFIGTKIYVHVKPEGSIFSSIAQVLVASFKKRKVKLPNEKHVDGIFYDPPLIGKAILSKLPPTNQFRVLDKAALVMEGDLNPDGTIVNQWNLVSIQQVEEVKCLARTLPIWAAGILGFTAMAQQGTFIVSQAMKMDRHLGPKFQIPAGSLGVISLIVIGLWVPFYDRICVPSLRKITKNEGGITLLQRIGIGMVFSIISMIVAGLVEKVRRDVANSNPTPQGIAPMSVMWLFPQLVLMGFCEAFNIIGLIEFFNRQFPDHMRSIANALFSCSFALANYVSSILVITVHSVTKTHNHPDWLTNNINEGRLDYFYYLLAGVGVLNLVYFLYVSQRYHYKGSVDIQEKPMDVELGSKGELDYHPKKYEDSK >RHN45589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23386834:23388837:-1 gene:gene39965 transcript:rna39965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MALNFHILVLYMTQIDRFPHEGYRKRASFDDSVNASLFDGSKFNVSDGESETNFSHNEGTVWDLIPDDVKETSYPHQKEGFEFIWKNLTGNIDLHKLSKTDPRREGGCIISHAPGTGKTRLTIMFLMSYLKVFPKCLPVIVAPASLLLTWEDEFKKWDVGVPFHNLNNLELSGKEHGDAVNLHNWSNARPSKDTTRMVKLISWYKESSILGISYSLYEKLAGGGGECDDEDEKKRKQARGVRSKNKREKKYASTEKRNGYMRKVLLEAPGLLVFDEGHTPRSEKSLLWNMLLEIQTNKRIILSGTPFQNNFMELYNTLSLMKPSFPNTISLKLKNFCQKPKRKKTSKKSSWELVSGNSSDNEIKQLKLLMDPFVHVHKGAILQKKLPGLRNCILTLKPDSFQKQTLESIKSSHNIFISEPKVTMASVHPSLLLECKLLEEEESVLDKDRLEKLRLNPNGGVKTKFLVEFVRLCDAVNEKVLVFSELLGPLRLIKDQLSSSLNWTDKEILYMDGVVRLKEKQELIHSFNDANCQAKILLASTRACSEGISLVGASRVVLLDVVWNPSVERQAVSRAYRIGQKRVVYTYHLLTEGTTEHLKYFKQSEKDRLSELVFSDKHIDNDESKSCAVDFDDKVLDLMLQHEKLKGMFVKCLVQPKERDFVESFGY >RHN41837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33456883:33457371:1 gene:gene48199 transcript:rna48199 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAKFLPLFRSGSALSPSGPVRFVLHSSGSCCSSQIRFVLLLRFCLVLIRFAWN >RHN53720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3563427:3565174:-1 gene:gene28658 transcript:rna28658 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTKSFTNYVDLKKAAMKDEVDLEAGLHQKQGGVELTRSSLTHLETDMNLFLEEAEKVRTEMGEIRDILTKLEQANEESKSLHKTEALKALRERINTDIVTVLKKAKCIRTQLEEMDRANAANRRLSGLKDGSPAIYRTRIAVTNGLRKKLKELMMEFQGLRQKMMSEYKETVGRRYYTVTGEHADEEVIEKIISNGDDESFLGKAIQEHGRGKVLETVVEIQDRHDAAKEIEKSLLELHQIFLDMAVMVEAQGEKMDDIEHHVLHASHYVKDGTKNLHGAKQYQKSSRKWMCIGIILLLILVLIIVIPIVTSFSSS >RHN54387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:9170840:9178806:1 gene:gene29411 transcript:rna29411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase D MAETGGEVNGDGFTYLHGDLDLTIISARRLPNMDMFSERFCRCITACDTIKFHSPPSDSTTTAADGGTTTHREHHHRRKIIRSDPYVTVSVPQATVARTRVLKNSLNPEWKERFHIPLAHPVIDLEIRVKDDDVFGAEVMGMVKIPAKRIATGELISGWFPIVASSGKPPKPDTALNIEMKFTPVDENPLYLRGIAADPEHGGVRHTYFPVRKGSSVRLYQDAHCPEGTVPEIQLDNGKVYRAENCWEDICYAITEAHHMVYLAGWSIYDKVKLVREPSRPLPKGGDLTLGDLLKYKSEEGVRVLLLVWDDKTSHDKLFFKTTGVMETHDEETRKFFKHSSVMCVLAPRYASSKMSFIKQQVVGTVFTHHQKCVIVDAQAAGNNRRVATFIGGLDLCDGRYDTPEHRLFRDLDTVFAGDFHNPTFPSGTRAPRQPWHDLHCRIDGPAAYDVLINFEQRWRKATKWKEFAILFKKASQWNDDALIRVDRISWILSPSLPTLPTPKESKEGYTIVPGDDPLLWVSSENDPENWHVQIFRSIDSGSLKGFPKRADQALSQNLICAKNLTIEKSIQTGYIQAIRSAQHFIYIENQYFIGSSYAWPSYNNAGADNLIPMELALKIASKIKAKERFAIYIVLPMWPEGDPKSGAVQEILFWQAQTMQMMYNVVAKELKSMQLSDVHPQDYLNFYCLGNREHFNEESSGSNSAPVSGAFKYRRNMIYVHAKGMIVDDEYVILGSANINQRSMAGTKDTEIAMGSYQPHYTWSARKKHPHGQIYGYRMSLWAEHLGMLDETFKEPERLECVRKVNEIADDNWRKYASEEMSLLQGHLLKYPVQIDSDGQVSSLPDCDSFPDAGGKILGAHSATIPDILTT >RHN60942.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31720528:31723272:1 gene:gene23341 transcript:rna23341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MTEVLKLVYIMIIFLYIFLVVADTDPFAFCIKDSNCGQDLCTSPNEVPECRLLKCQCIKS >RHN81424.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45815339:45815797:-1 gene:gene5463 transcript:rna5463 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSYNFRVSKTAMTNVEVHFQSHINQQQATENDEEVEVELNLRYISLRDADLVSNFIASHGSEITLPTFKSPEVKGLAYTTLAELLLLLKNHSILDLLDNQRFLLVKLLEDLHHTFRFRGPWLDSLKILMFENATNDSCTLKKLRGLEKKF >RHN60425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26622344:26625348:-1 gene:gene22736 transcript:rna22736 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MCITSTRELPFSFQNLHLSLLACKMLRFPKHNEKMYSIMFSNVETLHLDAYYLPYECLQIVLKSCVNVKFLSLSRCNFKILPEYLNECHLMRTLVLNFNDHLEEIRGFPPNLKYLEAIGCKSLSSSSRRMLLSQQLHEAGCTNICFPNGTEGIRIPDWFEHQSRGQSISFWFRKKIPSITSIIILPDVQYISFRFNFFVNGYECTVPKHEFKLPYFLGHTYLFDMNLEENIELCNIVHIALLKNEWIYVEFKVQFSRMPADVVQRLRSTEMGIHVLKEKNNTNEDVIFINPYSRKRKLDEYLNASLSQFHPSLKKHRFVEVGVSETEILQQQHLALVSGMRNLVLTETIEKEHHG >RHN77863.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10021178:10021618:-1 gene:gene1365 transcript:rna1365 gene_biotype:protein_coding transcript_biotype:protein_coding MCIKYKLSNPLLLPKNHIHKLSYGNLPSIITTLFSHGNLSWLSPSRLSFFLSCDSFSMYLYSTHFKLSGECLLTQLDIV >RHN61636.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37058876:37060392:-1 gene:gene24120 transcript:rna24120 gene_biotype:protein_coding transcript_biotype:protein_coding MLENSMSKDVVYQNIHDKLQLDSITKLNMTAMEEECNKYHPQKVH >RHN41313.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29046709:29048184:-1 gene:gene47621 transcript:rna47621 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MASTENYQPLITAVEDEEWSNPNVVMRDLSSERVEEILGRRISVVGWLKLIAWESRLLWYLSGASIVVSAFCFMFSTVTLMFTGHLGSLPLAGASIACIGIQGLAFGIMIGMASAVQTYCGQTYGAKRYNAMGIIFQKALILHLGAAMILSFLYWYCGPILKAMGQAEEIAQEGQIFAHGLIPQLYALALSYPMQRFLQAQNIVNPLAYMVFGVFCLHILLNWVVIYVLGYGVFEAALTLSFSWWVFALMNGFYILLSPSCKESWTGFSRRAFIGIWPYFKITIASAVMLCLEIWCSRAMILLSGLLPADPTISLDSISICLLVKTHT >RHN56350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30176524:30177426:-1 gene:gene31735 transcript:rna31735 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Gnk2-like domain-containing protein MRFKICCSFLLLIITTFLCYETIAQPELYPSCSNDNYTKNSTYQQNLNTLLSTLTSNTEITYGFYNFSYGKGNNKVYAIGLCRGDVNPNDCRRYLNNSRVLLTQNCPNQKEAIIWTDNCMLRYSNRSIFGLMESKPELFAWNALNVTKVGKYNKVLLGNLLYDLKTEAASGDYMKKYAVGNVTGNITGSNFRDIYSLMQCTPDMSSLECNECLEQAIDSLPSEFESSIGARVMKPSCNIRYESYLFYALPVHPPPPHTPASTNHSSSQGIYVLTNSIFIIIIIIFSESTMIDHGVRLLYF >RHN42303.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37252193:37256734:1 gene:gene48728 transcript:rna48728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MDGHPSSNDVVLNLPDHHAQGKVKKKDTLVLAYKTLGVVFGGLVTSPLYVYPSMPLKSPTEEDYLGIYSIMFWTLTLIGLVKYANIAIKADDHGEGGTFALYSLLCRHFNIGILPSKQTGLNFDRTTETHTWLAKLFEKSIVARRLLLFIAMLGTCMLIGDGILTPAISVLSAMDGVRAPFPSINKTTVEALSAVILIFLFLLQKFGTSRVSFLFSPIMGAWTLCTPLVGIYSIIHYYPSIFKALSPHYIFHFFMRNGKSGWLLLGGTVLCITGSEAMFADLGHFNPRSIQIAFIFTIYPSLVLTYAGQTAYLIKNPNDYNDGFYKFIPTPVYWPIFIISTLAAIVASQSLISATFSVIKQSVVLDYFPRVKIVHTSHNNEGEVYSPEVNYILMVLCVAVILIFGDGKDIGNAFGVVVSLVMLITTILLTLVMIMIWRTPVILVSLYFGVFFVIEGVYVSAVFTKFAEGGWIPFAISLILVFIMFGWFYGRQRKIDYEITHKITFERLEERLADCSVQRVPGLCFFYSNIQDGLTPILGHYIKNMKSLHKVTIFTTLRYLLVPKVAPHERIVIKNSNLKGVYCCVIQYGYADALNIEGDDFVDQVINSLKIHVQNCPDNLSSDSQVIVEEASSLEEARCAGVVHVRGKTRFYIGPNCSWFDKIMLGFYEILHSNCRSGLPAVGVSLQQRIEVGMLYEA >RHN41211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28210026:28211404:-1 gene:gene47508 transcript:rna47508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MIAVKVIDLQSEAKAKSFDVECNATRNLRHRNLVKIICSCSNLDFKSLVMEFMSNGSVDKWLYLNNCCLSFLQRLNIMIDVASALEYLHHGSSIPVVHCDLKPSNVMLDKNMVAHVSDFGIAKLIDEGRSECHTQTFPTIGYIAPGIVSVKGDVYSYGIMLMEIFTRKKPTDDMFVAELSLKTWISGSLPNSIMEVLDSNLVQQIGEQIDDILTHISSIFGLALSCCEDLPKARINMADVIKSLIKIKTLVLRANRV >RHN47901.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43417370:43424206:1 gene:gene42555 transcript:rna42555 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1-acylglycerol-3-phosphate O-acyltransferase MAIAAAAVVVPLGLLFFASGLIVNFFQAICYVLVRPLSKNLYRRINRVVAELLWLELVWLIDWWAGVKVEIYTDRETSRLMGKEHALVICNHRSDIDWLVGWVLAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFSEYLFLERSWAKDENTLKSGIRRLNDFPLPFWLALFVEGTRFTNVKLLAAQEYATSTGLPVPRNVLIPRTKGFVSAVSHMRSFVPAVYDITVAIPKSSPAPTMLRLLQGKRSVVQVHIKRHLMNELPETDEAVAQWCRDIFVAKDALLDKHVAEDTFSDQELQDSRRPIKPLAVALSWGFVVVAGSVKFLQWSSLLSSWKGVAFSTFGLAVVTGLMQVLILFSQAERSNPAKVAPAKPKNKEEQPEAINDKQQ >RHN66484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:12967076:12975084:1 gene:gene14484 transcript:rna14484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipid-translocating ATPase MKMKKLNLSKIYSFKCGKKPNFKREHSQIGRQGYSRVVLCNEQQDRFESGFKDYADNSVRSTKYTFATFLPKSLFEQFRRVANFFFLVAGILAFTKLAPYTAVSAILPLAVIVGATMVKEGIEDFRRKKQDIEVNNRRVICHKGGGNFESTEWKNLKVGNIVKIKKDEFFPADLLLISSSYEDAVCYVETMNLDGETNLKLKQGLEVTSSLNEEVKFQDFKAAVKCEDPNANLYSFVGTLDFEGQKYPLSPQQLLLRDSKLRNTDFIFGAVIFTGHDTKVIQNSTPPPSKRSKIEKKMDKIIYFLFGVLFLIAFIGSILFGIATKRDLNNGIMKRWYLRPDDSTIFFDPKRVAAASVFHFLTALMLYNFFIPISLYFSIELVKVLQSIFINQDINMYYEELDKPALARTSNLNEELGQIDTILSDKTGTLTCNSMEFIKCSVAGVAYGRSVTEVEQAIGGRSELESIDIREANDRKEPIKGFNFIDERIMNGNWINEPRADVIQNFFRLLAVCHTAMPEVDEETGRVSYEAESPDEAAFVIAAREVGFKFYKRTQNSLSMIELDPVSGNEVERTYKILNVLEFNSSRKRMSVIVKDEHGRILLLCKGADSVMFERLAINGREFEEKTLEHVSEYADAGLRTLILAYRELDEEEYNEFDKKFSEAKISITVDHESLIEEISEKIERNLIVLGATAVEDKLQNGVPECIEKLAQARIKIWVLTGDKMETAINIGFSCRLLRQGMKQIIIHLEMPEIQALEKDGGDKMAIMKASRESVYLQISEGSKLLSASKGNSQQAFALIIDGKSLVYALEDNIKSSFLDLATRCASVICCRSSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMLQEADVGIGISGVEGMQAVMASDIAIAQFRYLERLLLVHGHWCYRRMSTMICYFFYKNITFGFTLFLYEVYASFSGQPAYNDWFLSFYSVLFSSLPAIALGVFDQDVSARYCVKFPILYQEGVQNVLFRWRRILSWMLNGFISAIIIFFFCTKAIGLQAFDENGKTAGKDILGATMYTCVVWVVNLQMALAVRYFTLVQHVAIWGSIGIWYLFAFAYGSLPSSFSTTAFKVFAETLAPSPSFWILTLFVAVSSLIPYFSCSTIKMWLFPMHHERVQWMRYKGKKTTDTE >RHN52923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40389395:40390318:-1 gene:gene37660 transcript:rna37660 gene_biotype:protein_coding transcript_biotype:protein_coding MYILLGDKFENKRQLNFSNPFEDDENFQIFGFGSIIGTLMLHQCCQNEHILWDPTTEKFGLIPPSTVDSYVPDVAKASSDFVSYLHGFGYDYQNNRCCKYLGNQYFDPLWEIYSVRSNSWRKLDVDMPPSLKWSEGTHLYMDGVCHWLCKKSYGKHNSPFQPCLVSFYLSNEVFLVTPIHSDEDDDCIKFKASWINLAVLNGSIALFSHIEETTTFHVSILDEIGMKESWIKLFIVRPLPCVERPMGVGKKGEIFFIRKDEELVLFDLGTQMIVKLGFKRDIYPDCRIIIYK >RHN71958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3954307:3954779:1 gene:gene7620 transcript:rna7620 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNCLRWFRKKEGFEGAGVQSLEVEEEREDMLFRSSTKTRYRRDCSGQAD >RHN72386.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7231858:7232315:-1 gene:gene8091 transcript:rna8091 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWVQYLELQQSPQAGTIKIICIEVVTNNLNLTGVVSQKKSMYPTFSRELAC >RHN57200.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37260470:37261489:-1 gene:gene32709 transcript:rna32709 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLEASHASEDSNVRVIAFILVEFLGRSRPLLVTHQPHDILRYTSLKSTQINNAFHQYAQQNKGYAYIEIFTSISNFETINDDICRIATDKMANIWILPFHKQWEIDGSVQITNKEIQYMNIKVLKMAPCSVRILVDRRTVNTTQLFSKQISSSASHVGVFFIGGADDIEALAYSSRMCRHEYLNVTVVRFLQFGHENSIERKHDSDIIDEYAQLNKRKRRFNLMDEVMKDGTEMSNSIRKWIDCFDLIIVGREHSSESALLQGYEKWSECPELGIIGDMLASQDFETKTTVLIVQQQRIMPRKFAQDKVSHMPIERDHVLHSAPIDIQIRIKEKIII >RHN73171.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14042694:14042948:1 gene:gene8958 transcript:rna8958 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFKFETQVQIVVATMAIHNFIRRKAEIDIDFNVYEDESTIIHHDDSSSNLDQSHVLNVVSSSEMDRVRNIIRNKIIEHRQNN >RHN42491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:38795068:38798582:-1 gene:gene48938 transcript:rna48938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative stress-associated endoplasmic reticulum protein MTTSKRLAVRKVEKFEKNITKRGSVPETTVKKGNDYPVGPVLLGFFVFVVIGSSLFQIIRTATSGGMA >RHN44127.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:1893416:1895246:-1 gene:gene38192 transcript:rna38192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQRAKKMVETLKFIYVMILFVSIFLVMIVCDFSNLHIHVPCLTDKDCPWAKNYVLKCRKGYCVF >RHN41230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28447074:28452541:-1 gene:gene47529 transcript:rna47529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MVVSEFAVGINERVEKVINLLQLQSNNVKVLGLYGMGGVGKTTLAKALFNSFVGRFERRCFISNVRQFASKDDGLVSIQNNIIKDLSSQEGTRSFISDVKVGISTIKRIVRENRVLLVLDDVDHVNQLDALIGKREWFHEGSCIIITTRDTTVLPEKHVNELYEVTELYAEEALELFSYHALRKKDPPPDFLSFSKQIVSLTGRMPLALEVFGCFLFGKRRVDEWEDVVKKLKTIRPGNLHDVLKISYDGLDEQEKCIFLDIACFFVQMGMKRDDVIDVLRGCGFRGEIATTVLVEKCLIKVREDNTLWMHDQIRDMGRQIVLDENHVDPGMRSRLWDRAEIMSVLKSKKGTRCIQGIVLDFKERSNQWSKNYPPQPQAEKYNQVMLDTKSFEPMVSLRLLQINNLSLEGKFLPDELKWLQWRGCPLECISLDTLPRELAVLDLSNGQKIKSLWGLKSQKVPENLMVMNLSNCYQLAAIPDLSWCLGLEKINLANCINLTRIHESIGSLTTLRNLNLTRCENLIELPSDVSGLKHLESLILSECSKLKALPENIGMLKSLKTLAADKTAIVKLPESIFRLTKLERLVLDRCSHLRRLPDCIGKLCALQELSLYETGLQELPNTVGFLKNLEKLSLMGCEGLTLMPDSIGNLESLTELLASNSGIKELPSTIGSLSYLRTLLVRKCKLSKLPDSFKTLASIIELDLDGTYIRYLPDQIGELKQLRKLEIGNCSNLESLPESIGYLTSLNTLNIINGNIRELPVSIGLLENLVNLTLSRCRMLKQLPASIGNLKSLCHLKMEETAMVDLPESFGMLSSLRTLRMAKRPHLVPISVKNTGSFVLPPSFCNLTLLHELDARAWRLSGKIPDDFEKLSLLETLKLDQNNFHSLPSSLKGLSILKELSLPNCTELISLPLLPSSLIKLNASNCYALETIHDMSSLESLEELELTNCEKVADIPGLECLKSLKRLYLSGCNACSSKVCKRLSKVALRNFENLSMPGTKLPEWFSGETVSFSNRKNLELTSVVVGVVVSINHNIHIPIKREEMPGIIDVEGKVFKHGKQKFGTTLNIRGVPRTNVDHIHLCRFQNYHQLVAFLKDADTFCVTTRSPPFDKGLRLKKCGVYLIFEGDDDYDGDEESLDKGLQSVSERLARFFNTCNEGVDATCATESEDECQHELEHENGEPGTRSLRVEGNYIILCLFSLLFLLFSWFWLRCMPSAERKD >RHN80444.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38184134:38184631:-1 gene:gene4372 transcript:rna4372 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MRLQQLNMLLVLFFLIVLAGSFPTKAKGPVPPSMDSPNPLLADHFPDQYCVMEEIPFGVEKDQSVDWKETSDEHVIMMDVPGLRKGEIKIGVAENGMLRIIGERKKEAEKKGDRWHKVERVYGKFWRQLRLPENADLDSIKANKENGVLTLTFNKLSHGKIKGSC >RHN46562.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32793944:32797086:1 gene:gene41060 transcript:rna41060 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CRAL-TRIO lipid binding domain, GOLD domain, CRAL/TRIO domain-containing protein MQKFHLGLSQIPHSPSMEEEHSSSSMSILSTPFLETPRKTPSKPNKRTIVTTLMEAATFTSSSFKEDTYFISNLKSSEKKALKQLKEKLLASDEITNNGSMWGVCLIKGDDVADVLLLKFLRARDFRVNDAYTMLVKCLSWRKEFGAENVVDEDLGFKELEGVVAFTHGFDREGHPVCYNHYGVFKDKEMYERDMPKSELRVVSNQIMSLFQDNYPEMVARKIFINVPWYFSMLYSMFSPFLTQRTKSKFVISKEGNAAETLYKFIRPENIPIQYGGLSRPSDFQNGPPKLASEFTVKGGEKVNIQIEGVESGATIKWEIVVGGWDLEYSAEFVPNAEASYTIEVEKARKVNASEEAIQNSYTSKEAGIMVLSVDNSASRKKKVAAYRYFVRKYNSNTPSDMQLSLKIK >RHN81555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46982716:46987377:1 gene:gene5620 transcript:rna5620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydrolipoyllysine-residue acetyltransferase MSHLLQTSFLSSSTVVRRTPTSLYRTTNRIIVRAKIREIFMPALSSTMTEGKIVSWIKSEGDKLSKGDSVVVVESDKADMDVETFYDGILAAIVVEEGDVAAVGSPIAFLAETEEEIELAKAKALSSSSSSSTSPSPAPSPAPAPTPAPVESQPKKVVTAAVVSKHPASEGGKRVVASPYAKKLAKELKVELGQIIGTGPSGRVVAKDVEAFAAIGSVAATATEPVNTAVSGVELGTVVPFTTMQNAVSRNMVESLGVPAFRVGYTITTDALDALYKKIKSKGVTMTALLAKATALALAKHPVINSSCRDGNSFTYNSSINIAVAVAIDGGLITPVLQDADKVDVYSLSRKWKELVDKARAKQLQPHEYTTGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGTSQPTVVATKDGRIGMKNQMQVNVTADHRVIYGSDLALFLQTLSQIIEDPKDLTF >RHN73336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15483433:15488088:-1 gene:gene9137 transcript:rna9137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 1,4-beta-D-xylan synthase MNLFGGKPPQGGAKFTRRTSSGRVVSLSRDDDMDMSGEFAGQNDYINYTVMMPPTPDNQPGTSDSKQGTTRFASDTQQGRGAGGGGASKMDRRMSVLSSENNKSMLLRSQTQDFDHNRWLFETKGTYGIGNAFWQDDPNSFGDECEMSMSDFMDKPWKPLTRKVQIPGAILSPYRLLIVLRMVVLIFFLAWRVRNPNFDALWLWGISIVCEIWFAFSWLLDILPKLNPINRSADLVALHDKFDQPSPSNPTGRSDLPGMDVFVSTADPEKEPPLVTANTILSILGVDYPIEKVSCYISDDGGAILTFEAMAEAVKFAELWVPFCRKHNIEPRNPDAYFNIKKDPTKNKKRHDFVKDRRWMKREYDEFKVRINGLPEVIKKRCKMYNSREEKKEKQLVKEKNGGVLPPDTTIDVPKATWMADGTHWPGTWQNSTPDHAKGDHAGILQVMSKVPEHDPIMGHPDEKSLDFTGVDIRVPMFAYVSREKRPGFDHNKKAGAMNSMVRASAILSNGPFILNLDCDHYIFNSRALKEGMCFMLDRGGDRVCYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCMFRRYALYGFEPPRFIEHTGVFGRVKTKVNHNPNQARLHLDDDQEPLPGDSELGLPQKFGNSSMFTDSIPIAEFQARPLADHKSVKNGRPPGALLTPRLPLDAPTVAEAIAVISCWYEDKTEWGDRIGWIYGSVTEDVVTGYRMHNRGWRSIYCITKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNAIFASRRLKFLQRIAYLNVGIYPFTSFFLVIYCFLPAMSLFSGQFIVQGLDPAFLTYLLLITVSLCLISLLEVRWSGIGLEEWWRNEQFWAIGGTSAHLVAVIQGLLKVVAGIEISFTLTTKSAGEDEEDVYADLYLVKWTSLFIMPLTIIIVNIVALIMGFLRTVYSVIPQWNKLMGGTFFSFWVLSHMYPFAKGLMGRRGRVPTIVYVWSGLLSITIALLWISIDPPTDTTASGGNFQI >RHN39187.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4846061:4847353:-1 gene:gene45190 transcript:rna45190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MRIYLHAENYTNVLKWNERLKIAVDAAHGNFHFLVRLEYLHNGCNPPIMHRDSKPTNILLDQNMHAKIADFGLSRAFGNDIDSHISTQPAGTLGYVDPAFGIILFVLITGRQAIVKAAGENIHILQRVIPIIEGGDIQKHFDPKLEGKFRFSSARKTVEIAMSCISPNAAERPDMIQILGELRECLSLEMVQRNNGNTKPRDELVYVATVSETIPLAR >RHN61840.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38766257:38771809:1 gene:gene24341 transcript:rna24341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeler Bromodomain family MASRHIDGVREIQRYSEGKVYRRKVFKGIKINPNIEETVLKDENIPTTIVTSDTDNNNGTTLENIDEAPEFAVLGDGNLAKAEGSSRLEDGSSIQQQLGDQNLVEEHASSRTGDRNSPQQQLEELNSAQPHASFQLADGNSPQQKVENQDSAHPQASLRIGDGNSPQQQLVEPNLAQPQASLRAGDGNSPQRQLEEENSAQPQASSRTGDGNLPHQQLEDQYSAQPPASLRIGDGNSLRQKVEGHNLVQPQASSIIEDGNSPRQKTEDQSLAQPPVSSRTDDGDSAQQQVEDQNLAQTHVSSRTEDVNSPRPQNSTQKDGDSPQILENSRPEDASLTQPEVNSRLEDRSFPQPELNSKLEDMTSQQQDNSILEDGNSSQPQLNLRLEGSSLQPVANSTSEDLNLAQPLSQSVSDDLHINQQAEPSNLNVRQEDDRPSSPIHRQGAISDNLHSHQQVEPSNPNVLLEDDGPSSPIHRHKAVPSTEYRHSENVTEEPNLEDRIKINLASTSKQEKQEMCLKLESELDAVRSLVKRIEVKQGYVGGYGNSNVVLGGGITNGGGAQRAHSEAGSVGVSRQPTRPLHQLSFPMFQNSRRASEGVEKEKRMPKANQFYHNSEFLLANDKFPPAESNKKSKLNWKKQGGGDMGLGLQMGSKFFKSCSSLLEKLMKHQYAWVFNTPVDVDGLGLHDYFTIITNPMDLGTVKTRLNKNWYKSPKEFAEDVRLTFHNAMTYNPKGQDVHAMAEQLSKIFEDRWAIIESDYNREMRYGMDYGAPSPLSRRVPAFTPPPPLDMRRILDRQEPFARTPRSMNNTPSSRTPAPKKPKAKDPNKRDMTYDEKQKLSTSLQSLPVEKLDAVVQMMRKKNLELNQQDDEIEVDFDAVDAEILWELDRFVLNYKKSLSKNKRKAEQARERAEALQNSVQSSQPPATAQIPREKQADERNVPPSLPMQGGSQADNKSRSSSSSSDSGSSSSDSDSDSSSSSGSDAGSRGT >RHN77938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10654133:10658241:-1 gene:gene1448 transcript:rna1448 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHNAVEMAKTVLEVADVAWTAVEYHHHHHRHHDPVVTHDDKYDDKDNNCPSDQDLEALKLENRRLRNLLDQNLKLLNNLSESNSFLSNCPPDLHVRLAATVRSDEYLTRLKVLQEETASGGNQFPFKEATEVDYQSADILINVDSKEPSWWVWVADETDPINFEECSGIDDESYLIISEEHVVDGVANFMARCIMSNPKARNMSPEELQNNLSKAFAGTSKLEKVLDIWAAGKLFYALSTWGLALAGLYQTRSLLKVAAKGVHSGGKLALKMKSLKMAAP >RHN80217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36181936:36193680:1 gene:gene4116 transcript:rna4116 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucosylceramidase MRDFERMVSGNLFHSRKNSWPTEEYINKTTLQLFDIDNAAPPEQAWRRRLNSHANLLKEFRVTFMEAIKMVRLGIRMWSYVREEASHGRKAPIDPFTRESCKPSASQGVPLGGMGSGSISRGFRGEFRQWQIIPGLCEPSPVMANQFSIFVSREGGNKSFASVLAPGQHEGVGACRKADDQGISSWGWNLNGQHSTYHALFPRAWTVYDGEPDPELKISCRQISPFIPHNYRESSLPAAVFVYTLVNTGKERAKVSLLFTWANSIGGNSHLSGDHVNEPFIAEDGVSGVLLYHKTAKDNPPVTFSIAACETQNVSVSVLPCFGLSDRSSVTAKGMWTKMVKDGQFDRENFSSGPSMPSSPGETLCAAVAASAWVEPHGKCTVAFSLAWSSPKVKFVKGSTFNRRYTKFYGTSERAAVHLAHDALTHYTRWEEEIAKWQDPILKDEKLPEWYKFTLFNELYFLVAGGTIWIDSTLLSSNKRNNSQDQLEESENAVVRITEAKVDCRKREVVECTTDNSYDSTAHRGHNHLDEKHNRDISRENGTVNTLGKGNSANTPHHSTMKNLQHDDDNDDGGRFLYLEGVEYVMWCTYDVHFYASFALLMLFPRIELNIQRDFAQAVLCEDGRKVKFLAEGNWGTRKVYGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVLQVYRDFSATGDLQFGVDVWPAVRAAMEYMEQFDRDADGLIENDGFPDQTYDTWTVHGVSAYCGGLWLAALQAAAAMALQLGDRDFAETCKRKFLKAKPVYEQKLWNGSYFNYDSGSSSNSKSIQADQLAGQWYTASSGLPSLFDDFKIKSSLRKVFDFNVMKVKGGRMGAVNGMHPNGKVDETCMQSREIWAGVTYGVAATMILAGMEEEAFTTAEGIFLAGWSEEGSGYWFQTPEAFTIDGHYRSLIYMRPLSIWGMQYALTMPKAVLEAPKINFMDRIHLSPVSGGLHKETGNLFTLLLTQHS >RHN82119.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51382812:51383867:-1 gene:gene6245 transcript:rna6245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MTDCHHIIHRDLKASNILLDEKMNPKISDFGMARLILVDQTQVNTDKVVGTYGYMALEYAMFGQFSVKSDVFSFGVLVLEIISGQKISGILHGQSQEDLLSFAWRNWREGTITNIIDPSLNNGSQNEIMRCIHIALLCVQENLVERPNMATIVLMLSSYSMSLSVPLEPASFMGGRTKTRSLSVPDMQFGEENSEAIGSNESKRQE >RHN41436.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29998702:29999016:1 gene:gene47754 transcript:rna47754 gene_biotype:protein_coding transcript_biotype:protein_coding MTYCLLSVTKTLTERQKLTVLQQDEEEKARQNASSITLSNSKSSQMQLLAGTLAETRAATANWGHQPSKQEVGKMIRESTLDRLSSLIRNHESKLALVSYKRPN >RHN47747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:42220271:42224001:1 gene:gene42382 transcript:rna42382 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HRT family MVVNRLKREQCDRTKHDSSFSRWKILIGPSDWEDYSKEKEGSTRYRIHNLPQKLGPGVYELGVAVSRSNLGREIYKLSTDPRRIVVVYLGKADNVRARLQRYGRNGAHLSNTCMSDDSSLRTGRSLFQEIFSQGFPIVYRWAPMQNEGDALRTESQLLSTFDYAWNTISNGTRRPDDIHQMLNKLASGTRTFSDVAKLILPFTQKKVGIPIKSSKLHLTDDKLDEADSGSYNVLSRVFKFNRSRPRIVQDTTVGSATNENAKICGVMLTDGSICKRPPVEKRVRCPEHKGMRINASTTKAIRSPKSELESIVRNAYRYQNASHDVEDPPQRTVKCHVEEGITKTIICGIILDDGSTCRRQPVKGRKRCQEHKGRRIRAN >RHN77781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9381505:9382621:-1 gene:gene1271 transcript:rna1271 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDEQPRSQRLHDCDAVNRAPQSHRSCVCDEMFRSFRETVNRVANLQFWFKNLHVTVFFGSNKHRIVKIDDVLARINEYA >RHN71284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57904259:57909805:-1 gene:gene19944 transcript:rna19944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MSPPTPSFLLFFSLFAIALSFADSQSFVGVNYGQTADNLPPPEATAKLLQSTTIGKVRIYGADPAIIKSLANSGIGIVIGAANNDIPSLASDPNAATQWINTNVLPYYPASNITLITVGNEVLNSGDEGLVSQLMPAIRNVQTALSSVKLGGKVKVTTVHSMAVLAQSDPPSSGSFNPALRNTLNQLLAFLKDNKSPFTVNPYPFFAYQSDPRPETLTFCLFQPNSGRVDSGNGKLYTNMFDAQVDAVHSALSAMSYEDIEIVVAETGWPSSGDNNEVGPSVENAKAYNGNLITHLRSLVGTPLIPGKSVDTYIFALYDEDLKPGPGSERAFGLFKTDLSMSYDIGLAKSSQQNPPSTSPVTPAPITSAGWCVPKAGVSDAQLQNNIDYACSQGIDCGPILPGGACFEPNTVASHAAFVMNLYYQTFGRNQWNCDFTQTAKLTSQNPSYNACNYAGGST >RHN38978.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3196715:3198270:1 gene:gene44959 transcript:rna44959 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQTLFKPLSLCWFKHKHVPILFANPVKILLLDFGCGSITMIGDDHTFTSCDGRLVLTSVDDSTFTLDEGCMSTSGDSCAFTSANGSISMLVDESTSTVIDASTSTLGDSCKFTSGDGGMLTSAHGSNFMLVDGSTSIINDCSNSLVVDWP >RHN46754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34569129:34577678:-1 gene:gene41277 transcript:rna41277 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ethanolamine-phosphate cytidylyltransferase MNKMGGITSSVESYAAVKWVVGVIIVGVSVLGFYSSSGPFGLWGKNGRRRNKKPIRVYMDGCFDMMHYGHCNALRQARALGDQLIVGVVSDDEIIANKGPPVTPLHERLIMVNAVKWVDEVIPEAPYAITEEFMKKLFDEYNIDYIIHGDDPCVLPDGTDAYAHAKKAGRYKQIKRTEGVSSTDIVGRMLLCVRERNIADTHNHSSLQRQFSNGRGQQKFEDGGVVASGTRVSHFLPTSRRIVQFSNGRSPGPDARIVYIDGAFDLFHAGHVEILRLARDRGDFLLVGIHTDQTVSATRGLHRPIMSLHERSLSVLACRYVDEVIIGAPWEVSKDMITTFNISLVVHGTTAENTDFQKEQSNPYAVPISLGIFQILDSPLDITTTTIIRRIVSNHEAYQKRNEKKGESEKKYYESKTHVSGD >RHN69680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45491623:45491825:-1 gene:gene18183 transcript:rna18183 gene_biotype:protein_coding transcript_biotype:protein_coding MYICTIQHFVFHKNRSSKSIQFVFPITFYALVYLKLYHLVLKYWECPRLPSFLLEECFMGHCL >RHN59787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13094554:13098382:1 gene:gene21935 transcript:rna21935 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKIIKFLYNTILFVCLFLHVTYGDRECVTSADCQKKYHGNQHLSKCRYGHCVSYTK >RHN69696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45617818:45622987:1 gene:gene18202 transcript:rna18202 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLRVRLASFFAGAATASFAGLYILHRDYKLAHQSHTQQVNGLYESLDSRISSLEKLKQTETSQ >RHN60517.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27523035:27532489:1 gene:gene22838 transcript:rna22838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol-forming fatty acyl-CoA reductase MELGSVLHFLQDKTILITGATGFLAKILLEKILRVQPNVKKLYLLLRASDAKSASHRFHNEIIGKDLFKCLKEKLGANFTTFLSEKLTLVPGDISLEDLGLEDSILKEEIHNQIDVIVNLAANTNFDERYDISLGLNTFGIKYIINFAKKCNKLKVLVHVSTAYVCGEGEGLILEKPYHLGHSLNGVNGLDVDIEEKVVRDKLCELQQLGATEDEIKMAMKNLGISRAKLYGWPNTYVFTKAMGEMLVGQLKGNLSVVIIRPAIVTSTFKEPFPGWSEGVRTIDSLAVAYGKGKLTCFLGDLNAIVDVIPADMVVNSILVAIVAHANHPNNDAIYHVGSSIRRPLMYSDLQEFGFRHFKAKPYINKDGKPVKVGKVTVFSNMDSFSRFMFIRYLLMLKGLEIANTALCQYFKGTYLDLKRKIQIVMRLVDLYKPYLFFKGVFDDMNTEKLRMAARQGGVETDLFYFDPKVIKWDDYFLNIHLPGVVKYILK >RHN55931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:25669379:25670278:-1 gene:gene31225 transcript:rna31225 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MGPPSHKSHVSKRTRRLRIIYDDPDATDSSSDEENHYVQEQGKRKRCVLELAIPNSSINAVCPVETVTDKNKSRTKPKPIVRQPSCKYKGVRMRKWGKFAAEIRNPFKGTREWLGTFDTAEAASHAYQTKRHEFQVIANRRLAYEKSRKSFKNVVSKKSKIGMLHKSSSSSSPGLVNRVPKLVERAKISCNEPIVESLSLLVQKPNVVEDSDMTLLESDWLIFDGLGQGLDDLGCLDDLQLFDFDMNEAIDFSNFNFYEFESNNFLDDVGADYVDVDAESVDEDEIASWIEEPYNTPSP >RHN38802.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2133678:2145376:-1 gene:gene44770 transcript:rna44770 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde oxygenase (deformylating) MDYGYNVRKLSINKVICMYSKTHTHTQKENTCASTIMASRPGILTDWPWKPLGSFKYVVLAPWVIHSTYSLIVKDKSERDVSTFLIFPFLLWRVLHNQIWISLSRYRTSKGNNRILDKGIEFDQVDREKDWDDQILFNGLLYYLACYTLEGASRLPLWRTDGVIIAILLHAGAVEFLYYWLHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHISYFLLFAIPKLTLVFTNRASIGAMVGYVTYIDFMNNLGHCNFEIVPKWLFDIFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPLYDYIYGTMDKASDELHESTLKRKEETPNVVHLTHLTTPESIYHLRFGFAALASKPYTSKWYLWLMWPFTAWSMFLTWVYGRTFIVERNRFDDKLNLQTWAIPKYSVQYSRQWQKVSINKMIEEAILDADKKGIKVVSLGLMNQEEELNIYGGLYVSRHPKLNVKVVDGSSLAVAAVINSIPKGTTQVLLRGKLTKVAYALAYTLCQQGVQIATMNDDDYVKLKKALMHSSHSNIVNAKSFTQMIWLVGDGLTEEEQLKAPKGTLFIPYSQFPPKKHRKDCLYHYTPAMLTPTSIENVHSCEDWLPRRVMSAWRVAGIVHCLEEWNEHECGYNMINMDKVWPSALKHGFKPLTVPLKK >RHN52224.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32806976:32807787:-1 gene:gene36872 transcript:rna36872 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREVREYTNLTDPKDKKLGKGKDKIDDEDVTFQRMVAKVSALIHLLFFFYFYVPICYCS >RHN76646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:71788:76701:1 gene:gene7 transcript:rna7 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CK1-CK1 family MEKVVGGKYRIGRKIGSGSFGEIYIGAHVVTSELVAIKKEKKKTQQPQLLYEAKLYNILKGGSGIPRMKWFGTDGDYNVLVLELMGPSLDDLLYYCSGKFSLKSVLMLADQMLTRIEYLHSKGLLHRDIKPDNFLMGLGKKANQVCMIDFGLSKGYRDPISYKHIPYRENKNLTGTARYASSNTHKGIEQSRRDDLESLGYVLLYFLRGSLPWQGLQAATRMQKYEKICETKLNTPIEVLCKSCPVEFASYFHYCRSLTFDQRPDYGYLKRLFRELFTSKGYAADYLYDWTILKYQEIQQIKEQNQSIAPVAVPTSLEPGDVDEHREYNDCTQNVVPKPKIYTDRPRVCMKLRVANVDNLDDEIQTDKQKVNTDLPISTVMPTEDVPKPETTVETSNPNDVLGSKCGASDDLVPSIRRVSSIN >RHN73683.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18831838:18835382:-1 gene:gene9530 transcript:rna9530 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFIAKNAPGDFLNYAGAVNKLMESEKNIEKNFDTALSFEEKGEFSHEDSFYLRSVNMLQESGKNIEKHFDNALGFEEKRESGNEGNLYLRSVNMLPDSVMNIEKNFDTALEFEEKGESNNEDRNFESLVLMSEVESNLVSHDNGSTVKENERGHPANNDIKEQHLSSIKNMYDSDSILELERVKREIKMMEAALLGAARQAQAKADEIEKLMNENEEFKHLIEDLMRKSNEAEVESLRQKVSTLERKVDALTKERDTLRREQSKKSDSDALLKEKDEIINQVMDEDKLAVRIEDEVMGKKGSVGLVEILHPVSME >RHN59515.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11596083:11598044:1 gene:gene21556 transcript:rna21556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MGGSSVLSVDDWEFASSPNDVKTLVLVGRTGNGKSATGNSILGKKVFNSRASSSGITTSCEMQTSEMNDGQTVNVIDSPGLFDFSVGIELLGKEIIKCIDLAKDGIHAVIVVFSVRTRFTEEEENALRNVQKLFGSKIVDHMIVVFTGGDELEENDETLDDYLGRDCPEPLKAILALCGNRCVLFDNKTKDEKKQTEQVQQLLSFVNMVVSQNGGQPYRDELFKELKKKGQMELEKQQREADSMKGYSIEYILELKKQREQEYNDQLTRITDMVESKLREATTRLELQLAKEQAARLEAEKYANAAQMKSKYEIEELRRHLEQAHQELRKRDAETSCAIL >RHN64467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59333373:59340504:1 gene:gene27286 transcript:rna27286 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MLAKASLDGDIASTEMTGSTSHHPPVPAGAENVQEMADMQHDSKNNKVKDQSNKTVPFYKLFTFADSWDYLLMFVGTISGVGNGISMPLMTIIIGDAINAFGGNVSTKQVVHQVSKVSVKFAIMGACAFFAAFLQVSCWMITGERQAARIRALYLKAILRQDISFFDKETNSGEVVGRMSGDTVLIQEAMGDKVGKFIQYVSCFLGGLVVAFILGWLLTLVLLSSIPLLVLSGSIMSFAFAMMASRGQTAYSEAATIVEQIIGSIRTVASFTGEKQAISQYNQSLAKAYKVGVQEGLAIGLGLGSVRLFVYCSYALAVWFGGKMVLEKGYTGGEVISVFFAVLTGSLSLGQATSSLTAFSAGQAAAFKMFETIKRKPEIDAYDKIGLKLNDIQGDIELREVCFSYPTRPNELIFNAFSLSISSGTTVALVGQSGSGKSTVINLIERFYDPQDGQIIIDGIDLREFQLKWIRQKIGLVSQEPVLFTCSIKENIAYGKDAATDEEIRAAAELANAANFIDKFPLGLETMVGEHGAQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERVVQETLDRIMINRTTIIVAHRLSTIRNADIIAVIHEGKVVEKGTHAELTKNPDGAYSQLIRLQEIKKDSSEQFGDNDSDKLENFVDSGRESSQRSLSRGSSGIGNSSHNSFIASNSMPDTLVGGSEVVPSAKASSTKTRDAPFFLLAYLNKPEIPVLLMGALAATVNGAMLPILGLLISKMINTFFEPADELRKDSKFWALIFVSLSVASFIFHPLRSYSFAVAGSKLIKRIRLMCFEKIIHMEVGWFDKAENSSGALGARLSTDAASIRTLVGDALGLLVQDISTVITALVISFQANWQLSLIILVLLPLLLVNGYFQIKAMQGFSTDAKKLYEEASQVANDAVGNIRTVSAFCAEEKVMELYQKKCVVPFQTGKRQGLVSGTGFGLAIFFLFCVYAISFYAGAQLIENGKTSMSGVFQVFFSLTTAAVALSQSGFMAPGASKAKSSAASVFAILDQKSKIDTSDESGMILEDVKGEIEFHHVTFKYPTRPDVHIFKNLSLTIHSGQTVALVGESGSGKSTVISLLQRFYDPDSGQIKLDGTEIQKLQLKWFRQQMGLVSQEPVLFNDTIRANIAYGKGGNATEAEVIAAAELANAHNFISSLQQGYDTIVGERGIQLSGGQKQRVAIARAIVNRPRILLLDEATSALDAESEKVVQDALDRVRVDRTTIVVAHRLSTIKGANSIAVVKNGVIEEKGKHDILINKGGTYASLVALHTTSTASS >RHN82723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55900974:55907430:1 gene:gene6905 transcript:rna6905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cobalamin (vitamin B12) biosynthesis CobW-like protein MAFCFTELASSLFGAAYRKPKPFTTLLSTNTKIKRTIHFQPLNSSPISTIFLNNNHHKLTRLSSSTSSPPQTTDDSDDVSTVIPPDNRIPATIITGFLGSGKTTLLNHILTAEHGKRIAVIENEFGEIDIDGSLVAAKTAGAEDIMLLNNGCLCCTVRGDLVRMISELVTSKKGKFDHIVIETTGLANPAPIIQTFYAEDTIFNEVKLDGVVTLVDAKHAGLHLDEVKPKGVVNEAVEQIAYADRIIVNKTDLVGESDITSLVQRIRKINSLANLKRTEYGKVNLDYVLGIGGFDLERIESAVNDEGSKDEDHAHSHDHDHEHHHHDHHHHHHEDSHDHKHDHHAHDHSHDPGVSSVSIVCEGNLDLEKANMWLGTLLMERSDDIYRMKGLLSVQGMNERFVFQGVHDIFQGSPERLWQPDEPRTNKIVFIGKNLNAEELEKGFKTCLL >RHN70315.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50558951:50564469:1 gene:gene18885 transcript:rna18885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative actinidain MGSNSNRSPMLVILIVFTLFTATFALDMSIISYDKTHSDKSSRRSDKEVKNIYEEWRVKHGKLNNNIDGSEKDKRFEIFKDNLKFIDEHNAENRTYKVGLNRFADLSNEEYRSRYLGTKIDPIGMMMARTKTRSNRYAPSVGDKLPKSVDWRSQGAVVQVKDQGSCGSCWAFSTIAAVEGINKIVTGELVSLSEQELVDCDRTVNAGCDGGLMEYAFEFIINNGGIDSDEDYPYRGVDGKCDQYKKNARVVSIDDYEQVPAYDELALKKAVANQPISVAIEAGGREFQLYVSGIFTGKCGTALDHGVTAVGYGTENGVDYWIVRNSWGKSWGESGYVRMERNLAASVAGKCGIVMQSSYPIKKGQNPPNPGPSPPSPVNPPNVCSRYHSCASSTTCCCVFGIGKLCFSWGCCPLEAAVCCKDHSSCCPHNYPICNTRQGTCLRSKDNPFGVKAMKRTPAKLHWPFGDQNKIDVA >RHN59801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13405975:13406898:-1 gene:gene21957 transcript:rna21957 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDISLIMYHGGRFVRNGRGNREYTGKGRRVWDVDTYLVCIPDLKKMVVECGNYGNVEGMQWMRKEFGEDYDLGLRPLSVDSDVNASFEYV >RHN47089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37051336:37056424:1 gene:gene41644 transcript:rna41644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rmlC-like jelly roll protein MNKLIKNKFLKIIQIIMAIKAPFQLLMLLGIFFLASVCVSSRSDHDQENPFFFNANRFQTLFENENGHIRLLQRFDKRSKIFENLQNYRLLEYHSKPHTLFLPQHNDADFILAVLSGKAILTVLNPNDRNSFNLERGDTIKLPAGSIAYLANRADNEDLRVLDLAIPVNRPGQFQSFSLSGNQNQQSFFSGFSKNILEAAFNSNYEEIERVLIEEQEQEPRHRRGLRDRRHKQSQEANVIVKVSREQIEELSRHAKSSSRRSASSESASRRSASSESAPFNLRSREPIYSNEFGNFFEITPEKNPQLQDLDILVNYAEIREGSLLLPHFNSRATVIVAVEEGKGEFELVGQRNENQQEQREYEEDEQEEERSQQVQRYRARLTPGDVYVIPAGYPNVVKASSDLSLLGFGINAENNQRSFLAGEEDNVISQIQRPVKELAFPGSAQDVESLLKNQRQSYFANAQPQQREREEGRSQRKREPISSISGTF >RHN38405.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000027.1:14120:17837:1 gene:gene50779 transcript:rna50779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MHQEMEQPVRGYRGGPYDLSLLTRYEGHVARRLWYGQERGVKKELKVASHGKKLEGWVPPQLPPLIEGWLEGSGLSALQMTSMKMVDANLLSAFVERWHPETSSFHMPFGEMTITLYDVACLLHIPIKGDFYDQPPSVTEEGAAALAEELLGVTYDEARAETARNRGGYYRQEWLYRLFDMHHQTGMLDCAARAYMLLLVGCTIFTDKSFTLVEAKYLPLFRNLSNCGKYCWGAAALVTLYEHLGDASMFTCKQLGGYVTLLQCWIHEYFPSLGNRAESRICCDKPEKGAARAMRWKYKQGTLKVDQIRRLIDDLTPASVIWRPFESHRQIIPFDDICLYNGCLRWCNTLVLYLPQRCLRQFGYMQYIPPPPPDPRTFDVDVEWIDYHSSVHRVIEGALPVTYTFEVTETYMEWYYNVSHPRLICSGEEPHRPVPLPVYSVPNDARPSDPRLALIASELQGYLDEIGATPEKPMHRHLYHALNLARGGPLY >RHN48574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:48548961:48552922:-1 gene:gene43305 transcript:rna43305 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavanone 3-dioxygenase MSPAMAMNDERSEDCHAIESEYHKGVKHLYEKGYLHKVPKKYMFPASERPTKSMDDDSNVAKENLQLPIIDFTDLIGPNRLQALESLANACEQYGFFQLVNHNISDDITRSSIDVAGRFFDLPLEERAKYMTTDMRAAVRYGTSFSQTKDSVFCWRDFLKLICNPLPDFVPHWPASPLDFQEVVASYAEKTKHLFLTIMEAILESLGIMEEEAKENDNNNNNNNIMKELDNGSQMLVTNFYPPCPEPDLTLGMHPHSDYGFLTLLLQDEVEGLQIQYQDKWLTVQPIPNAFVVNIGDHLEIFSNGKYKSVLHRVLVNKAKSRVSVASLHSLPFDCTVRPSPKLIDEENPKRYMDTDFASFLAYVSTRETKKKDFLESRKLPYT >RHN61114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33065726:33074026:-1 gene:gene23543 transcript:rna23543 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AMP-dependent synthetase/ligase, amine oxidase, L-2-aminoadipate reductase MDTERSIDDQFSKLHPSLPENTRVGIVGAGPSGLSAAYALTRLGYKNVTVLEKHHTVGGMCESVEIEGKVYDLGGQVLAASSAPVIFHLAKETGSALEELDSHKLAVVDPTSGEYQDIKVADDYVSVMSLTLEIQEKVKNRGRIGVHAVSDIASDLTPEYLECHGLKSIPKSVAYGYTASGYGFVQDMPYAYLHEFTRTSMAGKIRRFQGGYTSLWQKIAESLPLKLLCNTEVLAIKRNSDGVKVHIKNSNVVETMEFDKIIISGSFPLKYGSIYRSPSTCIELEKEVMDASELEKDLFSKVQTNDYYTTVFKIKGLEHFPIGFYYFDKYMEDPNTIGNPVAMQKFYDDSNIFLFWSYGNSVDIKGPAVKELARKTVEAMGGEVESFILQRNFKYFPHVSSQDMKDGFYEKLESELQGSRNTYYVGGLMAFELTERNSSYAMALICKNFANSNDLPTFPYTKDLFPLQTESQKKNPKELGELPEVRFPNLPSLNSYLKHWGTHPITQNRTLYTWINEEGNPVCRRTYAEQHFYSSCVAHKLSTSQKPVIKPGDRVLLVYVPGLDFIDAFFGCLKAKVIPVPIIPPDPMQRSGQALMKIENIAKSCGIVAILSTIAYHSAVRAGSLKNLISITRKKGKSSARWPNLPWLHTDTWVKNSKTIVLEDLDDQCEPQPDDICFLQFTSGSTGDAKGVMISHGGLIHNVKLMQRRYKSTSRTKLVSWLPQYHDMGLIGGLFTSLVSGGSAFLFSPMTFIKKPMLWLEIISKYQATHSAGPNFAFELLIRRLESNKDKIQNLDLSSLVFLMVAAEPVRHKTLKRFIELTTPFGLSQKVMAPGYGLAENCVFVSCAFGEGMPIIVDWQGRVCCGYVHPADTDIDIRIVDSETCKELHEDGKEGEVWISSPSAGVGYWGREELSQKTFRNELMNRPGRNYTRTGDLGRIIDQKLFITGRIKDLIIVAGRNIYSSDVEKTIETSSEFLRPGCCAVIGVPEEILSAKGISIPDGSDQVALVVIAEVRDGKQVSKDVIEIIKMRVAEEHGVVLASVKLIKPRTISKTTSGKIKRFECIKQFTDETLNLVPLGTKPMLTKKSMIWSFSTVTCREEKPPRLQLTKSAPVHSKRINKNDIVEFLKVLISEQTGTPINKISVTDNLTSYGIDSIGVVKATQKLSDFLGTPVAAIDVFTASCIQELASFSEDLLSKTRPQTERSIPIPSKRISKNDILEFLKRLISEQTGVAVDKISVTDNLTSYGIDSIGVVKATQKLSDFLGTPVAAIDVFTASCIQELASFSEDLLSKTQPQLSNNPSDVPEVDIDCTEPVVEVSKSRKLGIRSLQFLALIYISIMLASPAYLSITAFLNSSLSASKSVAGVPWLNYIFSLIFAPLAWILCIASTCVCISLFGSSLVGLNYEHASDISIYSTDFVKWWALYKTQEISSKVLATHLRGTVFLKYWFEMLGARIGSSVLLDTVDITDPTLVSIGDEAVVAEGVLVQSHEVKNGILSLHPIKIGKCSSIGPYAVVQNGSVIGESVEVHALQKVAEGEHVLKSDKLKSIDKNADLPAINSETQYDTIYHFMGIYLVGFLSSLAAAIAYFLYINFSNQPPSLQHFSFVCICGAFHWIPFTVIAYATMFSEVPSNPITFAITFTIAYLLHGLILIALTAVFTRLLIHNQKQTKFKTWLQCRLNISCHLRCAKLLSGTEAFCVYLRLLGAKIGKHCSIRAINPVSNPELMSIGAGVHLGDFSKIITGFHSSNGYTSGKIEVQDNSVTGSQSLILPGSLIQKNVILGALSLAPMNSTLQEGGLYIGSQSNAANLATTLHKQDSQKVTFTLTRKWYQTFSSLFIQPFLQTALPHFLLAISIYAPLNLIFHLKNTQKVPIFWLFPLFWILSGVLAALLCVIAKWVIIGRNKKGEKVPIWSKRIIFDSTWQAIRTLIGDYFMEMTCGSFMFVTWMKMMGVDVNNDVYVDSMGALLNPEMVKIEKGGCVEKDALFFGHLYEGDEGGLVKFGEIKVGENGFVGSRAMVMPGVMLENEANVGALSLAMKDEIVRSSKKE >RHN72297.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6573211:6579185:1 gene:gene7995 transcript:rna7995 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C3H family MDHFPRSTQPDPSSQWTGPDSQTGLEEPMWQLGLGSGGSGEDSYPQRPDEVDCTYYLRTGFCGFGSRCRFNHPRDRAAVIGAASRTVGEYPERVGQPVCQYYMRTRSCKFGASCKYHHPKQTGATDASPVSLNYYGYPLRPGEKECSYFVKTGQCKFGATCKFDHPVPASVQIPAPSPVPPVSSLHVPVPSPLYPTVQTPSGPSSQQIGVLVARPPLLHGSFVQSPYGPMVLSPTMVPFSGWGPYQATATSPVLPSGSPANVGSTQLYGITQLPSPGNAYTGPYQLSGSSVGPSSRNQNEQSFPASPNQPEYHYYSKPEELPFAPSYRYHKPPDMSAPKVNAVLSPAGLPLRPGAALCTHYAQRGICKFGPACKFDHPIAPLSYSPSASSLTDVPVAPYFVGSSIGTLVPSSSSPELQPELTAGSSRESVPSRISSSVSTSTGSVGLTLPTGGPVSQSSTRSSSPLAPANTTTSSNVSHPSN >RHN81784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48864023:48868937:-1 gene:gene5872 transcript:rna5872 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRENPKFFNLVCQLPFYPFGGKHWPVHTAQEWTVHTAELTNCSKASSFLLSVTT >RHN57712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41229982:41231835:-1 gene:gene33294 transcript:rna33294 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative taxadiene 5-alpha-hydroxylase MSKDIIYILSCVLFPLFALFSFNFLRHKQQNNKDKRKLPPGEMGFPLIGETMEFFNAQRRNKLYEDFVHPRITKHGKIFKTRIIGSPTVIVNGAEANKFILSNEFKLVKSSWPSSSVHLMGKDSIMEKDGERHRFLRGVIGTSFGYAGLETLVPKLCNFVKLYLSKNWQGQEEISLYRSTKVLTFSIVFECLLGINVEPGMVDTFERVLEGVFSPAIKFPGSKFWRAMKARKEIEKMIVKVVREKRKEIEEGKLKREEDRMLMSKLVYGMIQGEITEKEIIDNVVLLVFAAHDTTSFAVAMTFKMLAQHPHCYGKVLQEHVDIMNDKRRGESLNVEDIKKMKYTWQVARESMRLFPPIFGSFRKAITDIEYEGFTIPKGWKVLWTTYGTHYNEEYFKDPTSFKPSRFEEGIAQYAFVPFGGGPRVCAGYQLAKLNILILVHYVVTQYEWSLLHPDETVTMDPLPFPSLGMPIRISPKHI >RHN61281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34449972:34455746:-1 gene:gene23725 transcript:rna23725 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenylate cyclase-associated CAP, C-CAP/cofactor C-like domain-containing protein MDETLIKRLESAVTRLEALSTGIHHSSSASDASDAASDPSVVAFVDLIDQYVSRLSKAADIIGGQVLDVTNRVKEAFSVQKELLIKLKQTQKPDPAGLAEFLKPLNEVIMKASSLTEGRRSDFFNHLKAAVDSLSALAWIAFTGKDCGMSMPIAHVEESWQMAEFYSNKVLVEYRNKDPNHVEWVKALKELYLPGLRDYVKSFHPLGPVWSQTGKVFAPSKVNASAAPAAPSAPPPPPASLFSSESSQASSSKPKVGMSAVFQEIGTGNVTAGLRKVTDDMKTKNRADRSGVVGNSVKESQAAPRAFSKVGPPKLELQMGRKWVVENQIDQKSLVIEDCDSKQSVYVYGCKNSVLQIQGKVNNITIDNCKKTGVVFKDVVAAFEVVNSNGVEVQCQGSAPTISVDNTSGCQIYLSKDSLETSISTAKSSEINVLVPNVESDGDWVEHSLPQQYIHLFKEGRFETTPASHSGG >RHN60350.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:25707157:25712185:1 gene:gene22654 transcript:rna22654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-ascorbate oxidase MGYSKQCYVLLLVVLLVACANGEDPYRFYNWNVTYGDIYPLGVKQQGILINGQFPGPQIESVTNDNLIINVFNSLDEPFLISWNGVLQRRNSWQDGVYGTNCPISPGQNFTYILQVKDQIGSYFYFPSLAFHKAAGGYGGFTIASRSVIPVPFDPPSGDYTILAGDWYKRNHTDLRAILDSGSDLPFPDGLVINGRGSNAYTFAVDQGKTYRFRISNVGITTSINFRIQGHKIKLVEVEGSHTLQNTYDSLDIHLGQTYSVLVTADQPPHDYYIVVTTRFTSQVLNASSILHYSNSAGSVSGPLPGGPTTEIDWSVEQARSLRRNLTASGPRPNPQGSYHYGMINTTRTIRLQNSAPIINGKQRYAVNGVSFIPADTPLKLADHFNIQGVFSLGSIPDNPTGGGGYLQTSVMAADFRGFVEVVFENPEDTLQSWHIDGHSFFVVGMDGGQWSAASRLNYNLRDTIARSTVQVYPKSWTALYMPLDNVGMWNVRSENWARQYLGHQFYLRVYSSTNSLRDEYPIPSNALQCGKAVGHHN >RHN77712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8884979:8888340:-1 gene:gene1195 transcript:rna1195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminodeoxychorismate lyase MACIRLIPHSQNLNSLVSHHHHHHVSYPQQHHFINFHRHVTKINALNNNSNKPDGVPLLSCSEAYERMKIFREKIKGKQQYLAMYSSIFGGITIDPEAMVIPMDDHMVHRGHGVFDTAAIMDGYLYELDQHLDRFLNSASRSKIDPPFDRESIRKILIQTVSASKCRKGALRYWLSAGPGDFQLSPSGCHQSALYAIVIQDMSPAVASVKSRGVKVITSSIPIKHPKFAITKSVNYLPNVLSKMEAEEAGAFAGIWLDDEGFVAEGPNMNVAFVTKEKELIMPYFDKILSGCTAKRVFTLAEGLLKQGKLQGIKMKNVTVEEGKKADEMMLLGSGVLVCPIVQWDEQVIGDGKEGPITHALSNLIVEDMKSSPPTVRTPVPY >RHN50940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12018525:12019121:-1 gene:gene35296 transcript:rna35296 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKVLNCFYHMIISVFTFIIVVDCVVICDLEKDCRQYLCIPPEFPRCIGGICRCK >RHN62186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:41524593:41528246:-1 gene:gene24734 transcript:rna24734 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative meiosis arrest female protein MANPSRNSTQTMSLDSMEQKGKNITESNASISQCPLSQQHRNSLDGPVAILWDIENCPVPSDVRPDDVAGNIRMALQVHPVIQGAVTTFSAYGDFNSFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFAPALHILGQRGYTIILVIPAGVGVSSALCNAGKFVWDWPIVARGEGFVPPSKALVAPRGGSVELAGYLMGCHINNDNTEGQNEEEAIVYRGMSQSYYNSREFSMVSQSLSEYNSPYMPCLPTSMRSYSLPSGVNDVAGGPIPYSDNTECQMWVQPGDLNGLKGQLVRLLELSGGCVPLVRIPAEYQKIYGKTLFISDYGAFKLVDLFKKMDDAISVEGKGARRFVYLKNRKGGPSAPQVSLAKKDKKGKRELEENANVVNGSCSSDELSDEERVVVEEHDERSFTGKGNKGRAVRCEIDGSVEQFKCELQEILVSYSCRILLSCFEAVYQQRYKKQLEYQRYGVDKLEDLLEKVSDVVTLHEEPVSKRKFLAAVDA >RHN80959.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42217179:42217517:1 gene:gene4945 transcript:rna4945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MPSSSDTNKRLKKGTLWSKEEDEILKAYVEKHGTGNWKEVSKNTGLAHCGNSCRFRWYNTLRPDLRKGPFSKEEEEKFFELFSKFGEFKWSKMALEVYFCSCFTSSFSFSFI >RHN43403.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45519369:45521372:1 gene:gene49972 transcript:rna49972 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTENQQSSFLGRISIRRNQVMSMDGSNDQEQEDLELFQKHVGDRFSDLLSSTTEDPSSDALLSIGWIRKLLDELLCCEAEFKAVVMMGRDPAQICKPPLDRLLPDLLDRVVKALDVCNAVTLGIDAVRNLQRLAEIAVAALEPRPMGDGQVRRAKKALNSLVTAMMHEDKECGSSKGTERSRSFGRRGNAGKDRGGGSFRSLSWTMARNWSAAKQIHAMSSNLAAPRGAEATGLASPLYIMSTILVFVMWTLAAAIPCQERNGLGSHLPFPRQLAWAQPMIGLQDKIAEEWKKKEKKGTVGLLEEMQKMEKVGQWLIDFAESFQFPGETERLDEVKVHVEELADICRKMDEGLEPLQLQIREVFHRLVRTRTEFLHVLDQAGKLSAPTV >RHN69334.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42701308:42705579:1 gene:gene17786 transcript:rna17786 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLLEYGRTAMFYIRVLSGYEERRIRNYRMQLEQRVREAQARKAAINKVPEQIILTEVRRMVEEMQALNKKLEETEVAIEEYFKPLDKEAEILMTMQLQGEEKTSEMMMKALQEQAMRQQVETEKSASMHQTDNIETNQKESESVVKTCIGEEEKTLKDSAH >RHN72232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5957224:5959672:-1 gene:gene7921 transcript:rna7921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L38e MPKQINEIKDFLLTARRKDARSVRIKRSKDVVKFKVRCSKYLYTLSVFDVEKADKLKQSLPPGLSVQDL >RHN72721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10173717:10174580:-1 gene:gene8470 transcript:rna8470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MKQKIVMRVHMRCQKCRTKALEVVAGANGVNFVGLEGDEKDKIVVIGDGVDAVTLTKCLRKKVGQTEIVSLGEVKAS >RHN43376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45271682:45275871:-1 gene:gene49939 transcript:rna49939 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSSPTKRRDMDLMKLMMTDYKVEMINDCMQEFFVQFHGPKDSPYQEGVWKIRVELPDAYPYKSPSIGFVNKIYHPNVDEISGSVCLDVINQTWSPMFDLVNVFEVFLPQLLIYPNASDPLNDEAASLMMRDRAAYEQKVKEYCEKYAKPEDIGASHEESSDDELSEDDYASSDDGIAGKPDP >RHN73166.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14004013:14006042:-1 gene:gene8953 transcript:rna8953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEVKYDEPIPKFPRDQNPPNDFDPKGYNLNGKIMLCAIILLFFIIVLMLCLHIYARWYLLQSRRRRNRIRRRTQLVFFTDDPTNAAVTTVTRGLDATVIASLPLFFYDAKNYPENAPECAVCLSEFESGETGRVLPKCKHSFHTECIDMWFHSHDTCPLCRAPVEPMQNRPDVVINVCEPETGSSSGSTEDVNNENRAGKEVGSSSVGLRRKPSFAGVTVEVPTRNESFRDESTQSSSFRSPMSRMSSFKRILSMNWKGNVSPSCDGCGGGGCSSIAEASNYSQNKAV >RHN55559.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:19511735:19512289:1 gene:gene30760 transcript:rna30760 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPHKSPPPMQPSANSPALPLQPPQQAPQPQPQESEPKSFDEIEDSQAQSWFQDIFPGKDFPPCLQPQQENYQDSSKLPQLEEGRKGKPRPWHESPERLIFLQLYPNFAYVLAPLDSPPHSPSKQENIISPPCQQPPPQLENVLAPPSLPHHSTSEPSPVSSGSPPDLLESTATNNPSIPPSP >RHN75639.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44001367:44001968:1 gene:gene11873 transcript:rna11873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MMKVIEHSQVAPPPNSLPSPTTLPLTFFDISWFYCQPTVKRIFFYHFPHPTHHFLQTTLPILKHSLSLTLQHFFPFTSNLIIPPNSHNTPPFIRYLDEDSISFTVAESSADFNILVSDSQDAQNWHHLVPNLPPPRTEQDNIRVIPIMSIPVTVLPNSGFSICLSYNHVAAYGKSYFIIS >RHN61915.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39332766:39338884:-1 gene:gene24428 transcript:rna24428 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acryloyl-CoA reductase (NADH) MATRMILRGALKKRTFFFPPSFSTSRNGSTLVLAEHENGAIKPPSLSALHAATCLPNNDDSSVSLLLAGSGPSLHQAASHAASSHPSISKVLVADSDTFKNQLAEPWAKLVHLVQQRDGYSHIIAASNSFGKNVMPRAAALLDVSPVTDVTGISDSHTFIRPIYAGNALCTVRYTGASPCILTIRSTSFPVSHKSVDSKSDKASISQVDLSTFDEDLDKSRYISQTSRDDEGPDLGNARIVVTGGRALKSAENFKLIENLAKKLGAAVGATRAAVDAGFVANDLQVGQTGKIVAPELYMAFGVSGAIQHIAGMRDSKVIVAVNNDADAPIFQVADYGLVGDLFEVLPELLEKLPEKK >RHN79888.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:33234832:33236056:-1 gene:gene3741 transcript:rna3741 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIQDQPLFTKMKPFLAVLFMQITFAIMNVVTKTAMDNGLSSYVFVVYRHATAFTVIIPFSLYFEWNQTPKMTISIFWKILAITIDAYPKPLSLTSLICLFGTIDGAAVAIVMERDGPYIWNIFRWDTKLLSAVYTGIFCSGLGYYYLQGVVMKTRDAVFVTSFMPCCMVIVAVSEYFLLDSNMFLGSLVGACVICTGLYLVIWGKGKEHKNKPTEGGGGELDDLPEAA >RHN49614.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55924347:55926743:-1 gene:gene44463 transcript:rna44463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anthranilate N-methyltransferase MAPPSTESNQPLANGKEKHVVKQEEEKEDNDALEFCTQLTGSIVVPLALRSAIDLGIFDILSKAGNGAQLSADDIAVKIGTKNPEAATMLDRLLRLLASHSILNSYVPQHPQTLERFYSLSNHSKYFVTDADGVSLGPTLALLLDNVFYQSWSELKGAIMEGGIAFNRVYGMHAFEYPRVDPRFNDVFNKAMVNSTTINMKRIIDCYQGFDHITKLVDVGGGLGINLKLITSKYSHIQGINFDLPHVLQHAPVYPGVEHVGGDMFESVPAGDAIFMKWILHDWSDEHCLKLLKNCYKAIPEDGKVIVVDTILPVMPETTANAKTACMSDVLMMTQNPGGKERTEHEFKELAKGSGFSAIKPICCVSGLWVMEFFK >RHN74320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31808668:31811569:1 gene:gene10360 transcript:rna10360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Transposase-associated domain-containing protein MSIDKSWICKRLGTPEYENGIIHFLNFAFEHQSADLLTIKCPCSKCGFKKGGTWDEVYIHLKNRPFPKNYKIWTWHGERPNVIASEAVQRTCVPEDTFQPQNPMENMVNDAFGIGRNNLDDAGPSSVHNNEGRNSTHDVDDVEIYELLKEANEELYEGCTKYSKLSFIVKLYHIKCLCRMSDKAMTMILELLKDVFGDAKIPNSFYEAKKIINKLGLGYIKIPACPNDCMLYWGEENQELEEYKICKTSKWKDNKKKQPAKILRYFPLKPRLQRLFMGSKIAESMSWHALDGNQDGLMRHPKDSEAWKTFDLLHPEFAADPRNVRLGLSTDGFNPFGVMSSNYSIWPVVLIPYNRPPWECMKQTSMILSMIIPGKLAPGNNIDVYLQPLIKELNEMWYDGVRTLDSSKNEMFTLKAAVMWTISDFPGLGTLSGWNTYTGLACPTCKFETDSCRLKNGRK >RHN74810.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36821494:36821745:-1 gene:gene10930 transcript:rna10930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative codeine 3-O-demethylase MTNGIYRSIEHRATVNSEKERLSIAIFHGLKQDSIVGPMESLITEKTPPRFKKVGVEEYLKKFFARKLDGKSFIDDMRLDHHD >RHN54501.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10106348:10107467:-1 gene:gene29556 transcript:rna29556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin 3-beta-dioxygenase MDNYGSFVSVAPFHGALLANLGDIARAWSNGRFCNVKHRVLCKEPTTRYSIATFMLGPRKGNVEAPKELVDHDHPLLYRPFTYEEYRTLRVSDNNDRDKFLQACEVLELLRLV >RHN45230.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:13330023:13338631:1 gene:gene39464 transcript:rna39464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MPPKKKPNNSSSSFSSSKKPNPQPSKYGIQHFFDRHTTQQQNSQKLQSNNSNATSQVADAASDSRPPPEKSTDAVNEADTLSEVSPEMSKTMSRKRFKFSPGMFIKQSQDDGADEVTWKISPVNERLQAVSKRMPKIIQALAESSRANMLQIRRCSEDKTSLDKGDKADELLITSTPNASTKAPLSLSKLGLKKLNPDRGVDCNGNPTTLSNSSVAVGRNPFRTPPSLSCRELAKDDEHNGPSDQPFLRQHKKALLELLDQVEDAIGVDNDTVCDKTTHSCNSQDGIADGLPVRANHLVERTKSHIPKEVVSVFSDSSYLVLEVSEKSQPAAKGPYKVLRLLNEQSGEERAVNLWDEWSYSVVAPGNTVNIIGEFDEGGSCDINHDNNFLIVHPDILVSGTRVASSFTCPRRTVLDERLKCNEYSTAALCGTLLHQIFQAGLTNDNPTIDFLESYTEVVLQRNVESLFACGVNEKDVHKTMIDAIPRIYNWIMLFRNMEEREDPNVNFGSVNGMKNIGISEASSNLLSCV >RHN42272.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36933980:36934655:-1 gene:gene48692 transcript:rna48692 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNSASKRANSGSERPGWTCIPELRRLVMILSAIGENERRPLRAVVVAVEMEAARAESLLRPAK >RHN54555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10461328:10465029:1 gene:gene29619 transcript:rna29619 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FDF domain-containing protein MPYSVSVTTDASNTPSFCSPLQDINSVEGRITGKIRPYPSPISPQYSVHNRGSSIVDSTLGPFLTPQSLLTSDRFAHPREWLLAQNLNPNWKDMGSLPLTSSVPMPSPAFQSPLEHLPTSVHKAQYPSPQFTEEFDFVAMNEKFKKDEVWNSIAKATTKIEGLEDIEFLNLGERECHKLKSAYKKDDFFDTISSNSMTRGSRNRLSARTKQDTERFGNFHQRPNAGYGDYGAGRGENFRGYLGRGYGYGGRGHGPNFPF >RHN72671.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9591745:9594964:-1 gene:gene8417 transcript:rna8417 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFSKKPSSRYSSYDSRSSTTSSHFSDPSSSYELNNMNLKHPKSSSSSSSRAIVKAKPSNVAPTTKVDPTLTKMVKNFMQNKPKSVNTTTTTTKLFIPSDVIAKDLKKDAKRVTGFSTLQKKLFGKSGSSEKKEKVKALTEVKGNTRTLAMVLRSERELLSVNKEQEEEILRLKLMIENKNKEVEKLKDLCLNQREEIKSLKSSILFPDVTNSQLQELVEKQGTELKEAKQVIPSLQQQVSSLTGQLQSLAEDLAEVKADKYSAKTGFLGYGSSPRTPPAHGREDASNFWEFSSEDMSDDLLLKDLNPCLTPYKANKSSSRDSLLDESLSEDDLKVYPELDDFNSYNQKFSKSSDCYHQNTGKIDKIGITTKASRRSDDMKTTWR >RHN56602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32180328:32181249:1 gene:gene32032 transcript:rna32032 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-fatty-acyl-CoA reductase MNSGTIHSFLKGKSILVIGTTGFLAKVFVEKILRIQPEIQQLYLLVRASNNDLASQRLQNEVFQTDLFGLLRDKLGQEFDSFISKKVTAIAGDVSVQNLGLKDENLNLFQEIDLIVNFAATTKFDERL >RHN56092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27600501:27600920:1 gene:gene31426 transcript:rna31426 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MDVPEDAFRYVVADIFKCARDMVNGVYKNQRDLSIRVVLSVTRASEDETDDDDDDNHEEEDGDEENNGLIPAAKSCIEELEVVKVEKVEECAICLNDVIIGVAMPCLSHTFHMKCIRRWLNRGNSCPLCRIQLPTRTSK >RHN39665.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:9427284:9430367:-1 gene:gene45711 transcript:rna45711 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSIQSLMQFTSFHFNFCTLKFMQFSFSKSFTLLHFYFHLNLHFKVICISCTLRFCQIT >RHN62619.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:44743086:44745738:-1 gene:gene25214 transcript:rna25214 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-methionine (R)-S-oxide reductase MMVNILRITPLSSFNVTKPISSIRSNPTFLFNSLPTISIRQPKRGFRGGIVAMSAAPTPGSVQKSEEEWQAILSPEQFRILRQKGTEYPGTGEYDKFFGEGVYSCAGCGTPLYKSTTKFNSGCGWPAFYEGVPGAINRHADPDGMRIEITCAACGGHLGHVFKGEGFPTPTNERHCVNSISLKFAPANS >RHN75848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:45507828:45512615:-1 gene:gene12102 transcript:rna12102 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEASNKRNFCVGDDDDGNLNVNNSCEKKTSHSDVMREPVSCQDFESEHDVDEVPVSPKFSPISSLGTCSSKRDLSVQTRDIGITTMPVKRLFDNSNSLHISVKKLKMSPYYDQNEDKDDIPSSNRTGRLGKSADKSFSSLKKEVKLVEKLFKKCKRKRKVEEKRLQSIKRDIEDCWKELGKKKQQVICVRRLNEIHNNMLGKLERKEEELKALAQKVAECNMELESKEKELDAMKILVSGQAEILESERKKLLKVMSIRQNDPRAQMEDFESMKKCFEGQVKELESKEKQVEGRAMELNSKEMQLEVRENEFKSKLEKFEGQEKELVSKQKHFEIRLKELESKEKHLQGRVKASESREKQLEGHVKQFESKKVELEYCIKEMESKKKLFKNWVNELESKKKEVEGRAMELESKEMQLEGRKKEFESKEEKFEGQMKEREFKIQHFESQLKELESKENQLVGRVKEFKFKEKELVSKQKHIVSRMKKLDSNEKQHEARVKEHELKEKELEGRVKELELQNKHFESQVEDFKSKDKQIEERWKKLESKENQFKVKVQELELKEKQVAGRVKELESRLDKFDGQLKEPELTGKQYEALKKYINEEKESVASYMDDRLSPTIDGTSLQLDMSDKTDGVESLRNDIYVYLLESSDPSRLVLDIIQNPIIPLCKKGDNGVVIEDSHIYVLEELMRISPTIRPCVREEALKLAHDLRAYIRENTENSLAVLGFLLLLSIYGLLTSFDEDEVLELFASVAQHKTAMELFETLGFANKVSDFVKNLIRRKQFVVAVRFSCAYNLADENQSIDMLREHVQNAKLICESSCKKTNSVEIKDKARDQEIACLGTVLQCISENSLESEDLLRKEIQYRILELNSNKGK >RHN73073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13209300:13210482:-1 gene:gene8851 transcript:rna8851 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAYSSRNSNLGINCPCFISAPTGTRALWVNSLEVSMSNWKDDQTETWGVRYKVGKKLEHGCCFQLEARHDNNGVESIYFGLRDDDADITSAFTLDIKRVGSDYLHGGIEGIANSGVYKSCISVKQDYIIETTIVSYDNSYTDNFFVLQMKKKKNHANAYMVTMAHYYVTNFAGLSSAAKIYRSRGKGFIVEVKGPYKHPSDDIRAVIAETIRTGIWSPGAKSNHTKKEDNSSSLKSNEIIAQFVKYASNKGLINSNGVTKGSLNNSIFLGCNFDKWK >RHN82710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55829651:55830719:1 gene:gene6892 transcript:rna6892 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNGDGDSGGSETSETGVNGCTCGGDHPNRPLETIISDPSQHRNSKIGKSFSTEL >RHN44654.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7315595:7319109:1 gene:gene38798 transcript:rna38798 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAQEELQFLNIPNILKESISIPKISPKTFYLITLTLIFPLSFAILAHSLFTHPLISQLQSPFTDPSQTSHDWTLLLIIQFFYLIFLFAFSLLSTAAVVFTVASLYTSKPVSFSNTISAIPKVFKRLFITFLWVTLLMICYNFLFVLCLVLMVVAIDTDNSVLLFFSVVFIFVLFLVVHVYITALWHLASVVSVLEPLYGFAAMKKSYELLKGRVRYAALLVCGYLLICAVISGMFSVVVVHGGDGYGVFSRIVIGGFLVGVLVIVNLVGLLVQSVFYYVCKSYHHQGIDKSALHDHLGGYLGEYVPLKSSIQMENLDV >RHN45836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26159072:26159759:1 gene:gene40257 transcript:rna40257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative eukaryotic translation initiation factor 3 subunit B MPFSIKLWIFIQLLWRPRPPSFLTPEKEEEIAKNLKKYSKKYEAEIKDVSLQQSEQEREKRRILKEDWEKWVNEWKLMHEEEKLESQNLRDREASDKEEEYEAKDIEVEEEVVEVNKEILHFEYERECGSEEANAILDYQLIAFNSSNQNLQISFQI >RHN75233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40429361:40431075:1 gene:gene11406 transcript:rna11406 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MEGFKPKSPQAWYSMDWSNGCVRNKALRCEEKSKDGFVKLSGMKGPDTTDSWLDETIGLKECRVKCLDNCSCMAYANLDVREGSGCALWFGNSEDGVEIAVKKLSTSSGQGLNEFKNEVKLIAKLQHRNLVKLLGCCLEGEEKMLVYEYMPNSSLDSFIFEWSKRFDIICGIARLRIIHRGLKASNVLLDQELNPKISDFGMARIFGGDQKEGNTRRIVGTYGYMASEYATDGLFIREEKHRILESKPNP >RHN79061.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:22020020:22024615:1 gene:gene2757 transcript:rna2757 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MASSSSSFGASHSNSKQHHVFLNFRGEDTRYNFTSHLYAALCGKKIHTFMDDEEIERGDNISPTLLSAIESSKICVIIFSQDYASSSWCLDELVKIIECSEKKKLVVIPVFYHIDASHVRHQRGTYGDAFAKHEDRFRDNLTKVHMWRTALHKAANLAGWVSEKNRSEAVVIKEIVEDILDKLKCMIPHVQKKGLVGISRHIAHVESMLCSGSADVHIIGIWGMGGIGKTTIADAVFTKVSYQYEGYYFAANVREKWGNRIKLRNEVLADVLGDQSINISTPTMSSAFAVERLKCKKVLVVLDDVSLSEQIEYLVGGRDWFGPGSRIIVTTRNKEVFNSGVDEVYQVTVFNSHEALKLFSLNAFQQDSPLIEYQHLSERAVGYAKGIPLALKVLGSHLRSKRPKEWVSALEKLKKYPKAEIYDVLRLSYEGLDPEEQSIFLDIACCLKGQTKSQITSILDACDFSTEIGMRSLEDKSLVTVSKNNTVQMHDLIQEMGRFVESEKKPGERKRLWDPKEIYDVFKHNKGIESIECVVLDMSQIKELTLSPQTFQRTHRLRVLIFYIPSSDTRRINVHISRGLNCMPLEISYFRWDCFPLKSLPPQFCAEKLVELDIKHSRIGKLWDGVQDLVNLKSLCLSGCKNLVELSDLSLASKLEKVHLDDCASLLNVPSYILSLDSLLALNLRDCKQLCYIESEKPSRSLRWLNLRGCSRLVRYSVFSEELEYLNLDFTAIEELPHNLNLLPKLKKLSLRGSDIEILPASIQHLSLLRALDVSNCRRFRSMPKLPLLLQDLNASNCISLETVSNSGITMLQDSFGKLNKRTLLTQIHKEEQMSIRHRDYLGRFEFHNCIKLDQIARMTVMEEALIRIQLAAYLSSKIQVFSDPYCQADDKVSKNFDHEDFLYVSRPFYSILLGNKVPDWFLHKETNSLFITIEFSERWNLLCRCRGFAFCLVLGASESNKNIRRTGLIAGCRYNFGGKYKGTSILKSSYSDAKSDQVWLWYDQILEVADFPRTLPWKVCFEFFVRSGCSGSIVKQCGIQPLYAPFDIMQSSHEEVNREGKMPYHELEYKKDPGNYWLLRHYAHPPDGLGFPSKQQRISGCHDGHIMEPIPSTYCARKVDHIHMEHNHAEVFVNFGLIRSGYHQPRDLQCLAQMLLDNYCYYGKLVDNIDIFSSLGRLSLSDCNVESFPSRIANLSRLKDLRIRNCKSLRSLSKLPLSLQFLYVKGCTSLKTVGFAEEYFMRVDVIVRGERITRVIEEVSRIQVTDPRANVRSWTMRKFGSSMVIWFQVASILDLLNVERRDCTIEVTVKELV >RHN78801.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18566959:18570519:1 gene:gene2455 transcript:rna2455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MALELVAGPLMGAVFNVLFERIASSEVANFFKKKKSENLLKRLKIMLLSVHAVLNDAEEKQMKNEAVKEWLEELKDVAFDADDLLDEIFTGEKIKSREVNSFHSPHTNFYDKQLEQKIEDVYERLEFVIKLKEVLDLKVGKEVKVTHKTPTSSVVEACDVYGRDNDKDILVNLLLSHDSDDEKLGVIPIVGMGGIGKTTLAQLVYNDDRVQKEFDLKAWIYVSEEFDICKITKNLLEVITLCSCDVEDLNSIQRNLKMYIQKKKFLFVLDDVWDENYENWDKFRSPFKHGGANGSKIIVTTRSRNVASIMQTFPPYNLTELANEDCWELFSNHAFGYGHKDSNVHQQSVYKVGREIVRKCKGLPLALKTLAGLLRSKSDIQEWHKVLNSEIWDLQHHESNILPALRLSYHYLPSHLKRCFAYCAIFPKDYEFEKENIILLWMAEGLLHQSKRHGRIEEVGNEYFCELVSRSFFYQSRSGKSYFLMHHLINDLAQFVSGTFSVRIEDNNSDQVMERTHYLSHIISHCSSYVNLKDVSKANRLRTFMQIRTVGTSIDMFNDMPNDLLTKLRYLRVLTLVGAYFYSLPDSIGELKHLRSLEVSDTEITRLPESICSLYNLQTLKLVGCYNLIELPKDIHKLVNLRYLDIRSTCLKWMPLQISELKNLQKLSDFFVGEDHGSSISELGELCNLHGSLFIHDIEHVVNYKDCEKAKLNEKHGLEKLSLDWGGSGDTENSQHEKTKLCSLEPHTNLKELDINDYPGTEFPDWLGDYYFCNLVSLKLKGCKYCYKLPPLGQLPMLKELQIIKFEGLMSLGPEFYGNTTSASTDSFPALEILRIESMSAWEKWCFDAENVGSRAFSHLREFYIENCPKLTGNLPSSLPSLTLLVIRDCKRLLCPLPKSPSLRVLNIQNCQKLEFHVHEPWYHQSLTSLYLIDSCDSLMFLPLDLFPNLKSLDIWGCKNLEAITVLSESDAAPPNFKSLNSMCIRHCPSFTSFPKGGFAAPKLNLLTINYCQKLISLPENMHEFMPSLKELQLRGCPQIESSTTRPLRIRISNKFMEGKQNHSDPIFARLEGLASVNSPSSS >RHN78808.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18620787:18621879:1 gene:gene2462 transcript:rna2462 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing, plant-type, leucine-rich repeat domain, L MATKLFLLLILLSHVASSLSEECHPQDKRTLLQIKKELNNPTLLSSWKPHTNCCDSSWYGVICAPSNNRVYSLLIEMNEDLASPFPPSIGNLPYLESLLLYQLPNLTGSIPRSITKLTDLKSLTIKKTGISGPIPNFMAKLKSLTFLDLSENHLSGTLPFNLFHLPNIEAVLLQNNKLTGSIPPSMARLNSLVVDLSHNRFEGDASVFFGFAKKTETIDLSWNMLAFDMGKLEFPESLKHLDVSQNRIYGKLPDGVKNLEWLNVSYNRLCGEIPTGGIMQALDRNSFSHNKCLCGSPLPSCK >RHN51767.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24705905:24714052:-1 gene:gene36285 transcript:rna36285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MANGTSTSPKPFKPSKYNSFFNCKKTNLYSFIALLCIISYFLGSYQNNTSTSTSKNIQNKKVTPKCLQKNPTNTKTKTKTTNLDFFSHHNLTNPTTTSTTKSSQYPPCTPSLTDYTPCEDHTRSLKYTRDKMIYRERHCPKKHEILKCRVPAPNGYKNPFPWPTSRDMAWYANVPYRHLTVEKAGQNWIRFDGDKFRFPGGGTMFPNGADKYIDDIGKLIDLEDGSVRTAVDTGCGVASWGAYLLSRDILTVSIAPRDTHEAQVQFALERGVPAVIGVFASKRLPFPSRAFDMAHCSRCLIPWPEYDGLYLNEVDRILRPGGYWILSGPPIHWKRYWKGWERTKEDLNEEQTKIENVAKSLCWNKIVEKGDIAIWQKPKNHLDCKFTQNRPFCQEQNNPDKAWYTNMQTCLNPLPKVSNKEEISGGELNNWPQRLKSTPPRISKGTIKGVTPQTFSKDNQLWNKRVSYYKKVNNQLGKAGRYRNLLDMNAYLGGFAASLVKYPIWVMNVVPIQAKVDTLGAIYERGLIGMYHNWCEAMSTYPRTYDLIHADSVFSLYNDRCELEDILLEMDRILRPEGSVIIRDDVDILVKVKSIINGLEWESQIVDHEDGPLEREKLLFAVKKYWTSPASNDNTI >RHN77787.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9429123:9430380:-1 gene:gene1278 transcript:rna1278 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGKSFTCYEESISLLSFLQIVTVVTVLLLHHHHQTCDAITNTNNQTYCPPSSCGKITNISHPFRLMNDPTSCVNDDPEYVDTAPCINSDSESYLYAFAADFYVEDYEDEDYGYEEPYYRTHHFSVGRLKDYCQVKLVAMSSSDFPNVRVREGVPDRSLSYQEIHGMLLYGFQVSWLSGACKDSCGDTQECYFNHTIGNVECYHPNDDYCIYPLGPDVSKPCDQVPKQFILMEGKYLSLNSLCSFIKSLHVLFSSAFVNKIYY >RHN39550.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8136597:8146893:1 gene:gene45581 transcript:rna45581 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MLQFQPQGTSKQTCTLLAVTSETRSVEQKQLQNQQKYPFPELVSSGRLEVQTLCNPEKEQFRKVLESCKPNFVYFQGEQLLDEEVGSLVWQGGEFSNPEEISELFDTTLPTAVYLEIPNGESFAEALHLKGIPYVVFWKNAFSQYAACHFRQALFSVVQSSSTHTWDAFHLARASFELYCVQNNQVLPTDSNDADSDMGPHLLGECLKINVDPPEMDEEDDDEESSSGSLPSIQIHDDEVNLRFLICGAPSTVDESLLRSLEDGLRALLTIEMRSCKLHGKYSAPPPPLQAASFSRGVVTMRCDISTCSSAHISLLVSGSPQACFNDQLLENHIKNEIIEKSQIVHARLNGEANTQIISEPRRSASIACGATIFEVSMKLPQWALQILRQLAPDVSYRSLVALGIASIQGLPVASFEKDDAERLLFFYQSSAKDGCDNGNIVFSRPPVWLKPPPPTRKRCESSQGASPDIHNDEEEKDRKMVNGISTPLTPARQRLKVSAMRPIPHVRRHRMTPFSGPSGVNGFGGPHVEAYVPLVPVKRSSIGSSSATQRKSFSSSSQPKQVISLNPLPLKKHGCSRGSVQTCSEEEFIKDVMEFLILRGHSRLIPQGGLAEFPDAILNGKRLDLYNLYKEVVTRGGFHVGNGINWKGQIFSKMGNYTSTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSAAGDWVNCGICGEWAHFGCDRRQGLGAFKDYAKTDGLEYICPHCSVTNFKKKQSVANGYSQRSMSSRLL >RHN81479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:46282072:46287532:1 gene:gene5528 transcript:rna5528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, synaptotagmin-like mitochondrial-lipid-binding domain-containing protein MGFFESFLGVFGFAIGIPLGLLLGFFLFVYSESKQVKDPVVRPISELGPLALQELMPEIPLWVKTPDYERVDWLNKFLFDMWPFLEKAICGIIRTTAQPIFDEYIGKYQIKAIEFDQLSLGTLPPTICGMKVLQTNEKELVMEQVIKWAGNPNIVLTLHVLSMKIKVQLVDLQVFGTPRISLRPLVSTLPCFAKIVVSLMEKPHVDFGLAISGGDIMSIPGLYRFVQETIKKQVASLYLWPQILEIPILDESTVAIKKPVGILHVNVVRAVKLLKMDLLGTSDPYVKLSLTGDKLPAKKTTIKRRNLNPQWNEKFKIVVKDPQSQVLQLQVYDWDKVGAHDKLGMQLVPLKLLKPYENKEFTLDLLKDTNVNETPNKKFRGKIVVDMTFVPFREDSMKFGGSSEGYVRKDSGIDSVSDDEVQEGAGLLSVVVQEADEVEGHHNNPFAVITFRGEKKRTKMMKKTRQPRWNEEFQFMLEEPPLHEKIHIEVMSKRKNFSFLSKESLGHVEINLSDVVHNGRINDKYHLINSRNGVIHVEIKWKVV >RHN73646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18517921:18521023:-1 gene:gene9484 transcript:rna9484 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative G-protein gamma MVTTPTRDPSSNVMSLPLPSPKAPPEYPDLYGKRREMAKVQMLEREISFLEEELKSSEGFQPASKCCKEIADFVMANSDPLLPTTKKNRKTCRLWKWLCRMRCLNLSWICCRCCDCFSGCCNCKQNCKCSSCLSSTKCSLSNWCCCFDKKSHCCKEFCGCNNCCCILSGCNFRWPFPSCCDCKCSCSCTCPSFPKVRPCGSCTKC >RHN44263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:2998856:2999780:1 gene:gene38361 transcript:rna38361 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWEELVKPLWQQSYVRMIEQVMGKFREKFLSPFHKRNS >RHN46269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30280400:30282304:1 gene:gene40737 transcript:rna40737 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNKPYVFILLIIMLVSVSNKPSFIEARTLSLNSNQGYSKIFPTLGVVCKCCDGIGGTCTSTWTQSCKNLKCSPWKSH >RHN43021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42600575:42602480:1 gene:gene49541 transcript:rna49541 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MYVIRISSTMFRTEFLLCTFCMMIVLAHCQQQGGQGAQTVPGVGVNWGLLATNPIDPNIVVNMIKDNGIKMVKIFDTDPWILGAFSGTDIEVMVGIPNDQLKKLSKSMDEAEDWVKHNVSKHMHDGGVNIRYVSVGNEAFLKSYNGSYVGTTFPAMENVQKAINKAGFGDKIKVTTALNADVYDTNSEKPSGGNFRADIFDVMKQIVKFLDENNSPFLVNIYPFLSLYQNDDFPEDYAFFDSSSRTISDNDIHYSNVFDANFDTLVWSLKKAGHPKVSIMIGEVGWPTDGNRHANPNTAKRFYQGFLKKMANKKGSPLRPGPMKVYLFSLVDENLKSVAPGDFERHWGIFRYDGKPKFPIDFSGKGQDKLPIGAKGVRYLDHKWCVLSKEVKNLSSVYGPLGYACAVGDCTSLCIGCSCGNLDVRGNTSYAYNQYFQMNEQSVEACSFDGTATIVTQDPSNGTCLFPIEIYSGGTMLQGGIHTVRILLIGLVMIFLTFI >RHN57277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37775131:37777478:1 gene:gene32799 transcript:rna32799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase MGNICIVWLILITQMIMVTCNNENYVPAVIVFGDSSVDSGNNNMISTFLKSNFRPYGRDIDGGRPTGRFSNGRIPPDFISEAFGIKSLIPAYLDPAYTIDDFVTGVCFASAGTGYDNATSAILNVIPLWKEVEFYKEYQDKLKAHIGEEKSIEIISEALYIISLGTNDFLGNYYGFTTLRFRYTISQYQDYLIGIAENFIRQLYSLGARKLAITGLIPMGCLPLERAINIFGGFHRCYEKYNIVALEFNVKLENMISKLNKELPQLKALSANVYDLFNDIITRPSFYGIEEVEKACCSTGTIEMSYLCNKMNLMTCKDASKYMFWDAFHPTEKTNRIISNYLIPKLLAAFG >RHN61939.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:39494648:39498762:-1 gene:gene24454 transcript:rna24454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MVSRENTNWINDYGFYDDIPVPDSTFALPSSAFTWPTQPPFNLSSNVSAEVDGSLGDSDGLKESGSKKRVRSESCAATSSKACREKLRRDRLNDKFIELGSILEPGRPAKTDKAAILIDAVRMVTQLRGEAQKLKDANSGLQEKIKELKVEKNELRDEKQRLKAEKEKLEQQLKSMNAPPSFLPTPTALPAAFAAQGQAHGNKLVPFISYPGVAMWQFMPPAAVDTSQDHVLRPPVA >RHN49764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:931556:934552:-1 gene:gene33972 transcript:rna33972 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MGVVDTESMEPFGVETGLAENVKEVVKSSVFETEVLILKENDSQVVADSEVNNGVSSLLKAGENDGSVVCEKINCEGKVKEGDEKIQTVEISENTDIEVNGKENEEGKKDEKIATVEVPIVETSENIDVEMNEESEEVKKDQNCDGKIESVDVPAVEASESIDVEMFEKESAEGNKDENSDGKIETIEDPIVEASESMDVEVEDLIDERCDFSVGDFVWGKIKSHLWWPGRVYDPSDAPELALKLKQKNRLLVAYFDGTFAWCHPSQLKPFKDNFDNMVRQGSSKPFTYVVQEAVNEVGRVLVTKLSRSFAVVGETKSEFAPMLAKNSGIKEGVFVPDSGIENILAVTLEPAELLSQVKQIAEVIDIASVLELEILKARLSAFYLSKGGYKLPCYEHPKRVLGLEDIDDFNDAVEAPSQGPFEEDYSTLPLTPKSSSGSRPNGRRKQKSIADIMGEHKDVDARDKEWDASDDEVLVAIKSRGRKKKKDNDDAGTSEPVQKRKELLVGTDTQTVRGGKESCEDKENSYGGKSQHSDEEKEAFGNENISGRSMEENDEGKPKEPNEKGFLSRERKKSKYLSPPFTTSIRDFVKGRGSGSLSPRVSKYNIEVFQELELLVSLNHQTPDDEKETLDDLIDERREISVGEFVWGKIKSRLWWPGWVYDPSDASELALKLKQE >RHN78132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12162257:12163347:-1 gene:gene1653 transcript:rna1653 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MTKKAKRCSIAEANNKKSNNKNPSFELKLTQFYAQGNLFRIPSKFSREYLNEFEGIARIRVGDDRTWKVNVKFDYANRSSIVSAGWNLFTKENNLRVGDVCKFMMTQSEPLSFYISISRAREEPSPRKLQGYFLFFIICFLYLMIFSSRHIECRGNFVELKVMYYARGSKLYASWRKIKKECKLEIKDICYFELIDEEKFVFKVSFEERRFHELFEMLF >RHN43203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43994677:44000992:1 gene:gene49743 transcript:rna49743 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target of Myb protein MAAELVNAATSEKLSEIDWMKNIEISELVARDQRKAKDVVKAIKKRLGNKNPNAQLYAVMLLEMLMNNIGDHINEQVVRAEVIPILVKIVKKKSDLPVREQIFLLLDATQTSLGGASGKFPQYYKAYYDLVSAGVQFPQRAQVVQSNRPSLQPNTTNNVPKREPSPLRRGRVAQKAESNTVPESRIIQKASNVLEVLKEVLDAVDAKHPQGARDEFTLDLVEQCSFQKQRVMHLVMASRDERIVSRAIEVNEQLQKVLERHDDLLSSKDTTTVNHFDHEEAEEEEEPEQLFRRLRKGKACVRPEDEETKPQFPQLSLLEERLNRPLIRPLSLEPSQEANGCLVPAIVPPPSAKHNGELPPVAIPPPPLKHVEREKYFQHNKDSGTLASHIRGLSLHSRNGSSSEWKL >RHN57544.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39946122:39951329:-1 gene:gene33106 transcript:rna33106 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALPLGFNEVPEFEDDSKSPSSSNSRKLVPWLNWNEWLFVRDSLFSDSPNSFSDALKRISVWRTRGCLPELIDITAIFLEIQHMDPFFRQDLSNDGSVSEQILINLYSVASMRIVNAVAKNAEKSRKKEYTTIAAAADEKGLPRMLVDIRHEVSHRGLPSLKLARISSIKALDWLKSNYWEPQSKAIPFHGEDNANIKKEIKTMIRELAICLKVGGSPESSTLLLKGKPPKKPIKRILKSLLRLYSSFSSEVVSVLLDYLLKTSSSSEFKKKTGDASAGPTIDNVLADWKPVILRLYNKEPELFLNLLEEVLHRIEAREDMKCEEDNPSIGIAYSKKEFHRSSYLSSLFAWLVRILGKTSSNAANMPKRVLHELVRKCLLISHLCDKQVMDSALHLAQLIYDRSLLQKVQLLSGLVLSNVFDNADDQSSLLSPMNVSQFEESMREAYKKLDFVKQQIMRNKKSSEMNCETEETEVWALAKSWNPCPIGMLPRVVGSSGCLPVLDVIDNEEHNQASERKENWKLIKHSAKRDAPSDIQLLDNSTVKKMRETEEFGELNDESSMEEDESPTDEGKGYLMVGGIWKKVTEEELLSIQSSVRILI >RHN73020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:12802492:12809659:1 gene:gene8791 transcript:rna8791 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DnaJ domain, major facilitator superfamily domain-containing protein MARKGNQQKNGINHRKGGSGGVLPGMKGHEGGQVKVFPAEELANGGHGVSQKACEGCSAGDDNNNERKSERFSRKDKHDLEESSSFGSNSENGNENVEVPKQGHRNFRRRNQTQQSIKSRLSHLVEGLQLRVLVENSELADHPAIRRLRLSVLSIFTAVTEWLIRQKPLFASIRTTVLEAYANFRTKFKQAYPIVLTWLMHFGSIILLLSVFWLDCAVRGFDSFVRMGTTSFFSVIWCSIFSVISMIGMLKFLVVLGLAALIGFFVGFVIAALVVAIIGVVMLWFYGSFWTTAFIIILGGLAFMLRHERVALLITTVYSVYCAWLYVGWLRLFLAFNLAFISSDVLIYFLKKNIDQQSRSNPFEQRAGMNSQPGFGNDESIPSSSSENGPGPSADRNAGVPSTSGADSDVTSEDEVVRLLHCFDHYSALGLTRYQDIDVSVLKREYRKKAMLVHPDKNMGNEKAVEAFKKLQNAYEILNDSLKRKAYDDELRREEILNVFNRFQNAPRRNSRHGFFSSGFGHSDADGEDPFGESRRIACKRCGGFHLWIHTKKQKSRARWCQDCQDFHQAKDGDGWVEQSSQPFLFGLIQKVDTPSAYVCADSKIFDATEWYICQGMRCPANTHKPSFHVNTSIMSKQNPGKGTSSSTQRGGRVPTPPNMPTPNFEETMTEEEFVEWLQNAVQSGAFDNFNGGTATESPSPKSGNGMKNPGTSVGSGSKRKKKGKKH >RHN46120.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28891314:28891799:-1 gene:gene40562 transcript:rna40562 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMWFCFEVNNYMIENIFTIYFNMVVCKEWSRVQKNYWRIFMVSQSRLLVQTTSYANKFCSFYSIPEKRAYRAKMSYFLGLSYLSSCSGYLIMKGANKLQLMNPFIRKQMIIDTSAIEEYLFHYGSRVLLTFVKGSNEFFIEDYCISSSSLHVYQSRYSS >RHN60913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31561517:31562239:1 gene:gene23308 transcript:rna23308 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSESTMEKEIETFLRKLSLVAIAIASLTLLILFLQIPNTRVPSEAPSKPHLRFPKSTCDFTSTHLHLPSHKKNNRLWSSRDWNNKLHSFSRLFLHIRNLGHEVSALQKLGVEEVTGVELLDSPPLALFPARFVAKMERVVRAGGVCFVLVGECGANEVREVVGLFRNSRFVSSSNVSLVGIRMTSILLRIRKFS >RHN70096.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:48725596:48726351:-1 gene:gene18644 transcript:rna18644 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNNSRSVNPNGEDVLPARLRPLLRQRIEEFRKRRNARRDGAVSKKELLKDDAGNNDDPSSNVNEVLEETQQHEEEKTTVHAVSVEKLSQVAPLPVSECGIEEEEHKGSKDHDLEKCKEIERNVAEVKIAASLQENKYEESNEKHDDEDKKEEEEDEEEDENEIGRLIGPGSPSFRIYYIEATERKEQALRDTRVNDKQQGEKEDEPIVVHYKSHSCESDESVTSESENTDNSNEVIKSFSVHLIDFPTL >RHN43722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48000835:48002861:1 gene:gene50342 transcript:rna50342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-CO-like family MIIDMKGDADAGALGAKTARACDSCLRRRARWFCAADDAFLCHGCDNLVHSANLLASRHERVRLQTASAKVTTTAQAWHSGFTRKARTPRHNKNSSIQQQQQRLKEKVLFNTSFLPLVPELGGEEEQGQELLVDIDEADEEQLLCRVPVFDANPFDLETCTVKNDAVDFEEMCDLDSFCEFDVDLAEFAANVESLLGVGSSEIQENSSGQVFDYKQENEMDASKSEMLKVKDEELDDLESVFDMTSDDVFHWNIDNNDVSLAQQEKEYMPLSNSSVGYSESVITKEETKRERFLRLNYEEVITEWSRQGSPSPWTTANPPKFNCDDDSWQNLLGSSGVEGEVRSLRGQLMGSGGDGGREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRTACFAGGATSFPTNYH >RHN76435.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50225159:50230659:1 gene:gene12761 transcript:rna12761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BRCT domain-containing protein MSSKRNLPSWMTSNDDDGHGNFGKRPTLDEGGEKSSEIGTSNKKTKVENENAFNKLMEGVVFVLSGFVNPERGILRSRAMEMGAEFKQDWNSNCTLLVCAFPNTPKFRQVEADCGTIVSEDWIQECYRQRKLVEIDSYLMHAGKPWRNGGRSHEVDEEQKPSVPHKPQKRVERETSEATTSIKSKGKDTDDARKCFEPSEVKKWALDDLNKTIQWLESQEEKPDPNEITKIAAEGILTCLQDAICSIEEKQDIGRGTEDWKFLPRVVEELARLYVVGNNKASMSKEDIHKQALDCKRIYEEELNRLDHELTKKSKINEEHRSKRGRTNDASSSGAVEYDSDDTIEMTEQEIDLAYKTLSSKTCNL >RHN40905.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24147212:24148776:1 gene:gene47150 transcript:rna47150 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb/SANT-like domain-containing protein MNNALIDAFVHQVSAGNKLGGTFTSIAYTNITKEMSEKFQRSFDKEKVKDRWKLVKRNFTKCHDIFNGMSGFAWKSDTHMWDALPEVWKKLIEAKPEAAQWVNKPFANYDKLLIACGDGDERATGGKVMNDEDIRQNHPLNRESESIGTSDQVTLESLQEGGNEQDVTSPEVQIPPEPRAKRSRKSRDEDEVEGIKAALLNVADAFRESTASHDKYFKDSIAAYEKANLKPPISEEEVFKLIEELQVDSHMIIRAYSYLLEFPEKVRALLGLPKHAEEFSIRIDGWSRLLVKVISTYCFGL >RHN80211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:36152520:36154908:-1 gene:gene4110 transcript:rna4110 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 11-S seed storage protein, plant MRKFSLSLLSLSLLLFTCLATRSEYERFNQCQLNNINALEPDHRVEHEAGLTETWNPNHPELQCAGVSLIRRTIDPNGLHLPSYSPSPQLIFIIQGKGVLGLSVPGCPETFEQPQSSRSRQGSRHQEQQQQQPDSHQKIRRFYRGDVIAIPAGTPYWTYNHGQEPIVAISLLDTSSFVNQLDSTPRVFYLGGNPEVEFPETQERQQGRQQQRPSFPGRRGGRQQQEKGSEEQNEGSSVLSGFSSEFLAQALNTDQDTAKRLQSPRDQRSQIVRVEGGLSIISPEWQQEDEEYERSHEEEEDERRPRHIRRPGHQKPSEEEQWETRYPRHSQEERERDPRRPGHSQKEREWDPRHPGHSQEERERDPRRPGHSQEEREREDDPYGRGRPWWEKESREKQRTRGQNGLEETICSARLVENIARPAHADLYNPRAGRISDVNSLTLPILRNLRLSAEYVLLYRNGIYAPHWNINANSLLYVIRGQGRVRIVNCQGNAVFDDNVRRGQLLVVPQNFVVAEQAGNEEALEYVVFKTNDLAAVNHVKQVFRATPREVLENAFGLRPRDVTQIKFSGNRGPLVHPQSQSQ >RHN69781.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46224195:46229610:1 gene:gene18295 transcript:rna18295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MLADTPSSHMNSPVSTSQGIFPNSMIGNCEYPNSGASSSLSRPDADINDSDESNLFSVSWNQDYGCLAAGTSHGFRIYNCEPFKETFRRDLKSGGFKIVEMLFRSNILALVGAVANSNYPPNKVLIWDDHQSRCIGEFTFRSEVRGVKLRRDRIVVVLEHKIYVYNFMDLKLLHQIDTMANPRGLCCLSHHSNTFVLACPGRHKGQVRVEHFGLNVTKLINAHDSQIACFTLTMDGLLLATASEKGTLIRIFNTMDGSRLQEVRRGVDRAEINSIALSPNVQWLAASSDKGTVHIFNLRVRVFGEDSLIRPTAVQGPVLLHQNSSTALDPLISPNTGANPNSSLSFMRGVLPKYFSSEWSFAQFHLPENTKFIVAFGSQNTVLISGMDGSFYKCSFDQVNGGEMLQKEYVRFLKC >RHN70286.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50261803:50263730:-1 gene:gene18851 transcript:rna18851 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chlorophyll A-B binding protein MVALAAIARSFHFQQHSLINSNVIGKPSTAGHHWLRPAAAGTGVTPRCKQICAAAQQRPTWLPGLDPPTYLDGTLPGDFGFDPLGLGEDPESLKWYVQAELVHSRFAMLGVLGILVTDLLRVAGVNSIPIWFEAGAVKYEFANTGTLVVVQLLLMGYAETRRYMDFVSPGSQAKEGSFFGLEASLGGLEPGYPGGPLLNPLGLAKDIKSAREWKLKEIKNGRLAMVAILGIFVQASVTHVGPIDNLVEHLSNPWHKTIIQILASSSS >RHN47555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40572574:40573442:-1 gene:gene42169 transcript:rna42169 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLLRWLLMIRGRRERDEGERRRGYFCPFPSHFANLMTGQRLTGSMDEILND >RHN65102.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64212358:64216857:1 gene:gene28000 transcript:rna28000 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptide transporter, OPT superfamily MAPMNPTPDTEKASNGVPPDDRCPIEEVALVVPETDDPSLPVMTFRSWFLGITSCIILIFLNTFFTFRTQPLTISAILMQIAVLPIGKFMAATLPTKEYNFFGRWRFTLNPGPFNMKEHVIITIFANCGVSQGGGDAYSIGAITIMKAYYKQSLSFLLALFIVLTTQILGYGWAGILRRYLVDPVEMWWPSNLAQVSLFRALHEKENKASKGFTRMQFFLIAMGVSFLYYALPGYLFTVLTFFSWICYAWPHNITAQQIGSGYHGLGIGAFTLDWAGISAYHGSPLVAPWTSIVNVGVGFIMFIYIILPVCYWRFNTFDARKFPIFSNQLFTSSGHKYDTTKILTKEYDLNIDAYNKYGKLYLSPLFALSIGSGFARFTATLTHVALFHGRDILRQSKSAMGNVKVDVHGRLMKAYKQVPEWWFLILLFGSMALSLVMAFVWKTDVQLPWWGMLFAFGLAFVVTLPIGVIQATTNQQPGYDIIAQFMIGYLLPGKPIANLLFKIYGRISTVHALSFLQDLKLGHYMKIPPRCMYTAQLVGTLVAGVVNLSVAWWMLDSIKDICMDDKAHHDSPWTCPKYRVTFDASVIWGLIGPKRLFGPGGLYRNLVWLFLVGAVLPVPVWVFSKIYPNKKWIPLINIPVISYGFAGMPPATPTNIASWLLTGMIFNYFVFRFHKRWWQKYNYVLSAALDAGTAFMGVLIFFALQNAGHTLKWWGTELDHCPLATCPTAPGIVVDGCPVF >RHN39608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8642320:8648060:1 gene:gene45647 transcript:rna45647 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative anticodon-binding, Brix domain-containing protein MAIGGKRKRNNDSDGEDNSSKRETRTETKEPFRPSMIKNKEKRSEIHAKLKHQKKLEKRAKSNARDAAVKRAIELGEEPPEKKVPKTIENTREVDETVCKPDDEELFAGNDADEFSSILKRQQSPKILITTCRFNSTRGPALISELLQVIPNAHYFKRGTYDLKKIVEYANKKDFTSLVVVHTNRREPNALLIIGLPEGPTAHFKLTNLVLRKDIKNHGVPTSHQPELVLNNFTTRLGHRVGRMIQSLFPQDPEFKGRRVVTFHNQRDFIFFRHHRYIFETKEIKKIESKGKNDEDGKSEKVPDHKTIARLQECGPRFTLKLKSLQHGTFDTKGGEYEWVHKPEMDTSRRRFFL >RHN52760.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:38530980:38542169:-1 gene:gene37464 transcript:rna37464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MSMQLFLLDKVLIFCVLALASAQSANNVKATYQSYNPHKIKWNLNTSGVFCAAQDGNKSLSWRSEFGWAAFCGHAVGKGVCGKCLNVTNKENGIIVSKIVRIVDTCTNGGLKLDIDVFQKLDSFGTGNAQGYLMVDYEFVDCDCNTKGGNCGYISTETRRQAIPRNACSPQSRGASACLKVNGSTTMRPTQTPPPTQAPTPTRTSSLLLPSPISPRLKVVCSNGTHCPNATADNSSITLSSAPAPTPTPIQHIHRATTGSDSNWKRTVVIGFGSATISALLVCIIICYFRGNLINVCIVWR >RHN56492.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31266756:31267547:1 gene:gene31900 transcript:rna31900 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEIQASIEYFDKVWREKMLEDIKRRSSHSSPLKELQRNRREKMMAIIDRGMADISKILEENLFQIQNHYIEPKIDIEEKESLIVKETHEEECEKEREETKESDEKQVEEKGEEKENEKEKEEKNVRKFWPTITLVPSSKLVCVFKCWDSSSNIIQLPNISLCHEGNKENEETFSQQVEENYEIWNDDHVHKSKVDEKKTNSSNKSEELSKVKVTCDGFHRFIFDPGGIQAINSRSNSLEEGEYDVILKIISLDKNNKVIVE >RHN46693.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:34106888:34108739:1 gene:gene41212 transcript:rna41212 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVHYKKPSHFIQGYQPNQPLRPKFKCFFLASSLRKMGEGIYLDTILVPLSLFITIVYHAFLCYTIKNKPSRTTYGIDKLRRTTWGLNVNQGDDKKAMLCVQTMRNTLMTTILTATITILVNMALAALNNNAFNASHLFSSGFFGSKSDTIFLLKYASASICLLISFLCSSMAIGFLIDANFLMNAYGDFLSGGYTQSVLEKGFTLAFVGNRVFCVAIPLMLWMLGPVLVFLASIALVCLLHEFDYVPKFPQGQKRCTNVK >RHN65209.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:241199:242292:-1 gene:gene13027 transcript:rna13027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MCFLESLFIIRIPDGFIKRFGNELKNVATITVPDGCDWEMELKKCGNDVYFCNKWQQFAEYYSIGYGSFLRFKYEGDSKFNVVIFDVTSVEICYPFKTQSINGETNTKCHSPRKRSKVETSDNHASKRSEEAAKEFNPKNPYFCSKILKRKYVYVNADFASKYLKPNVPIKIQTSHGEQWEVFGILHDANSSSAMKITRGFSIFQRDNNLSHGDYCVFELIKNNPLVLKVTMFRAADYGD >RHN77774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9331131:9331834:-1 gene:gene1262 transcript:rna1262 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MINNAEGDGKEFINEVGTMGKIHHLNAVRLLGFCADGFHRALVYDFFPNGSLQKFISPPSNEDSFLGWDKLQQIALGIANGIEYLHQSCDQRILHFDINPHNVLIDDNLTPKITNFGLAKMCSKNQSTISMTAARGTLGYMAPESDIYSYGILLLEIVGGRKNTNPTTNEENFQVLYPDWIHGLLKGEEIHIAIDEEGDFRIAKKLAIVGLWCILCIIGL >RHN76868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1951655:1965880:1 gene:gene253 transcript:rna253 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translocation and assembly module TamB MILKNHHSLFFGTNLHPFQFQRKKLQNKGFCTCKCCNYSKRCRLVSQALNFPNFSAQNVVFSRKDLILRNGSSLKCANELGRDFSGKKVGNFRKDLILRNGSRLKCANEKEPYFVNENLENYLRPLLKEGLLLIRASVYTAVLGGVCMLVWYGQNKAKVFVENNLLPSVCLAISEYIQRDVEFGKVRRISPLSITLEACSIGPHKEEFSCGEVPTVKIRVRPFASLRRGKVVVDAVLTQPSVLVVQKKDFTWLGIPFTEGGRERRFSSEDGIDYRTRTRRLAREEGGARWEIERDEAAREAAEVGYFVSERRCGASEGDGAKEIPSSSIELSEATPFFCVDGGKHDHRFMDTGVDYDTKHADLEKAFGVKFLGSGFRFWSRVLSGHRKNRFKRKANGSKIYASGVATKKRMFERSASAARAYFRDQSQGKDGEPASSSECFQFMNHDDAHAAVSEVDGNAKSVTIGDENISDDSQSAARSRDLGIWSPSVDGNVGGQSDYLKFVREPNLQTGEKNFENLQSCEDVAVPANANSRTDKIEVLVPPVEDDDFGNDNSSGCQPDFTSENLVGSKPNSQWATYFQTPFESLLVKFGLTSCMRNMEESISCFLSGPIEKLKSDASVKVEDIIAEPVDGLDFVQSEGINKALPVILDSVHFKGATVMLLAYGDVEVREMENVNGHVKLQNHYSRIHVHLSGNCNTWRSDILSEDGGWLSVNVFVDIIEQNWHVNLKIDNLFVPLFERLLEIPIMWSKGRASGEVHLCMSKGETFPNLHGQLDVTGLDFQLLDAPSGFSNISTSLCFRGQRIFLHNANGRFGSVPLEASGDFGIHPEEGEFHLMCQVPAVEVNALMRTFKMKPLLFPLAGSVTALFNCQGPLDSPVFVGTGMVSRTFSNSHIDTPASVASEALAASKEAGALAAFDRIPLSYASANFTFNTDNCVADLYGIRACLIDGGEIRGAGNAWICPEGEVDETAIDVNLSGSLSFDNIVLRYMPSYYHQMPLKLGVLNGETKLSGALLKPRFDIKWTAPTAEGSFGDARGDIIISHEFITVNSSSAAFDLCTRIQTSYLDDLSLNKGDPYAPRAIPFTIDGVEMDLRMRGFEFFNLVSAYTMDSPRPLHLKASGRVKFQGKVLKPSGSISEQNSDTNRHHADTLEKGISDSLVGEVSISGLKLNQLMLAPQLSGLLTVSPECIKLDASGRPDESLAVEFVGPLQPNNEDGLQSGKLLSVSLQKGQLRANVCFQPFHSANLEVRHFPLDELELASLRGTIQRAELQLNLQKRRGHGVLSVLQPKFSGVLGEALDVAARWSGDVITIEKTVLQQNHSYYELQGEYVLPGTRDRNPIDGGGGLFKKLMSGHRVGSVISSMGRWRMKLEVPRAEVAEMLPLARLLSRSMDPAVHSRSKDFFLQSLQSVGVFSESLQQLLEKMRGLHAPSNDVVLEDLTLPGLSEFKGHWHGSLDASGGGNGDTLAEFDFHGEDWEWGDYKTQRVVAVGAYSHDDGMHLEKIFIQKDNATIHADGTLLGPKTNLHFAVLNFPVSLVPTVVQVFESTATDVVHSLRQLLAPIRGILHMEGDLRGSLAKPECDVQVRLLDGAIGGIDLERAELVASLTSTSRFLFNSKLEPVTQSGHVLIQGSIPVAFVQNNTSQEDVESDKSRANWVPDWVKEKNKGGIDDVSDKKVSRDKNEDGWNTQLAESLKGLNWQILDAGEVRIDADIKDGGMTLVTALSPHANWLHGNADVMLEVRGTVDQPVLNGHASFHRASISSPVFRKPLTNFGGTVNVKSNRLCITSLESRVSRKGKLLVKGNLPLRTSEAAPDDKIELKCEVLEVRAPKTLSGQVDSQLQITGSILQPNISGNIKLSHGEAYLPHDRGGAPASNRFPSNESMLPSGGVSQVFASRYVSRFFSSESPASAKTSQSSGSVNKSSQVENEMEQVQIKPNVEICLNDLKLVLGPELKIVYPLILNFAVSGELELNGLAHPKWIKPRGILAFENGEIDLVATQVRLKREHLNIAKFEPEYGLDPMLDLVLVGSEWQFRIQGKASNWQDKLVVTSTRSVEQEALSPTEAARRFESQLAESILEGNGQLAFEKLATATLEKLMPRIEGKGEFGQARWRVVYAPQIPSLVSVDPTADPLKSLASNISFGTEVEVQLGKRLQATIVRQMKESEMAMQWTLSYLLTSRLRVLLQSSSTNRLLFEYSATSQD >RHN48377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47083647:47085237:-1 gene:gene43088 transcript:rna43088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MAMKRQRSNEGIDYANCLMLLSCPQQKSYENGEYECKTCNKKFSSFQALGGHRASHKRMKLAEGEELKEQAKSLSLWNKPKMHECSICGMGFSLGQALGGHMRKHRAVINEGVSSINQIIEKFPVLKRLNSKRIMGLDLNLTPLENDDLMFGIKSPPTPIPLSLF >RHN43919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49462408:49469223:1 gene:gene50556 transcript:rna50556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at the outer membrane of chloroplasts 64 MKSMASSQSSNNLWVLLGLGLAGIYVLTRKLKQTVKEDLGAFIQKLQLLPPPPPAPPKAPHPLTSLTFAISDLFDIEGHVSTFGHPEWARTHEPASSTSPAVSTLVQSGATCIATTVLDNLSYGISGENKHFGTPSNPAIPARVPGGSSSGAAVAVAANFVDFSLGVDTSGGVRVPAGFCGILGFRPSHGAVSHGGIIPVSTSLDTVGWFAKDPDILRRVGHILLQAPFVMQRSPRQIIIADDCFQHLNVPLDRSSQVVIKATEKLFGKQVLKHINLEGYIRSKVPSLKACSGQKANGELKSSSLILLANIMQFLQRHEFGHMHDEWMSIVKPDLHPAVSAQLHEKFDVSEVEMENSKSVRSEMRVAVNSLLKDEGILVIPTVADPPPKLGGKEILSQDYQSRAFSLLSIASISGCCQVTVPLGFYDKYPVSVSLIARYGGDRFLLDTLKTMYTSLQEQADIAATSKASRNVVSKEQSAEIAKEKGNQAYKDKQWQKAIGFYTEAIKLCGNNATYYSNRAQAYLELGSYLQAEADCTKAISLDKKSVKAYFRRGTAREMLGYYKEAIDDFKYALVLEPTNKRAASAAERLRKLF >RHN77765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9292231:9295262:-1 gene:gene1252 transcript:rna1252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-WAK family MKLNRNLTQLCTILVVTISMVTIVFASNESLSSSLPGCKNTCGNVKIPFPFGISDSSIPNQGPCYLEHKFELICENDTKLLWGNVQVHNINILQGELEVSFFVSGYCGGENSNVPTLDTAVFRISSTENKFITVGCDSYGYLNSIYNEETYSTGCLTRCYGNRNQIENGTCSGIGCCQVDIPHMMRNISVQVSDFPNSTDSLGCSYSFVVKDGSYNFTVSHLDNFPYKMLPLILDWSVGKTCKEDEYACKKNSDCIDVDIDFGYQCKCKEGYEGNPYHPDGCIDIDECKTLNNTCISESHCRNTDGFYECFCPNGQSGNGTLEGGCHRRDIVTKVAIGSSAGLIVLFVAVSSLYLTYQKRKLIKLKQKFFQQNGGSILLQQLSTREDTSQSAQIFTEEELKKATKNYDESLIIGRGGFGTVFKGILPDNKIVAVKKSKIIDANQIEQFINEVVVLSQINHRNVVKLLGCCLETEVPSLVYEFVSNGTLFDFIQNCKDKANNPAWKTRLRIAAETAGALSYLHSAASIPIIHRDVKSTNILLDDNYTAKVSDFGASRLVPLDQTEIATMVQGTLGYLDPEYMQTHQLTEKSDVYSFGVVLAELLTGAKPLSFNRPEETISLAMHFLSCLKQDKLFDAIQVGIFNDENKKEIKEVSILAARCLRLRGDERPSMREVAMELDGIRLMEKHPWNDTEQNFEESQRLLHEASCSIYNETDDSYNPGYSGYDSLKDQPLIVLDDGR >RHN56634.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32456533:32459734:-1 gene:gene32066 transcript:rna32066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative laccase MKTILCYLLGLLTIIVSFASAAENHYHQFVIQTATVKRLCKTRRILTVNGQFPGPTIEARDGDSMVIKVTNAGPYNISIHWHGFRMLRNPWADGPSYVTQCPIQPGGSYTYRFTIQNQEGTLWWHAHTGFLRATVYGAFIIYPKMGSPYPFSMPTREFPILLGEWFDRDPMALLRQTQFTGAPPNVSVAYTMNGQPGDLYRCSSQGTVRFQVYAGETILLRIINSALNQELFFSIANHRMTVVAMDAAYTKPSNTRVLMIGPGQTINVLVTADQPPGRYYMAARAYQTAMNAAFDNTTTTAILEYRNRPPSRPILPVLPFFNDTATSTAFTSRIRGLSKIKVFQNVDVSLLFTVGLGLINCTNPNSPRCQGPNGTRFAASINNNSFVLPRTTSLMQAYYNGVPGIFTTDFPPVPPIQFNYTGNVPRGLWTPRKGTKLFKLKYGSNVQIVLQDTSIVTVEDHPMHVHGFHFFVVGSGFGNFNPRTDPATFNLVDPPVRNTIGTPPGGWVAIRFKADNPGIWFLHCHIDSHLNWGLGTALLVENGVGPLQSVIPPPPDLPQC >RHN50514.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:7805160:7806641:-1 gene:gene34809 transcript:rna34809 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLRSKRFCRSISKLGFGNKVVASPIEKDCSENSEIEWELRPGGMLVQKREGNKSVGEIITIRVSTMSKWHDISIEETSTFGELKMVLSLVTSLEPREQRLLYKGKERDDNEFLHMIGVRDKDKVLLLEDPAIKEMKLLGLARGQSINNPCYTIRV >RHN62375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43014828:43015596:-1 gene:gene24944 transcript:rna24944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MSMIPGNNSPFENSPFSTSIQEFSRENPIFLNNQIDWKETPEAHVFKADVPGLNKEEVKVEVEDGRVLQITGERSMERQDTNDGCQRVERSSGKFMRSFTLPANCKLDQVKASIEDGVLTVTVPKEEVTNPDRLTNQSSQ >RHN57031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35863649:35864953:1 gene:gene32517 transcript:rna32517 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MNTLCFLTLSLFSLCFIASFSHALSNGFSVELIHRDSPKSPYYKPTENKYQHFVDAARRSINRANHFFKDSDTSTPESTVIPDRGGYLMTYSVGTPPTKIYGIADTGSDIVWLQCEPCEQCYNQTTPIFNPSKSSSYKNIPCLSKLCHSVRDTSCSDQNSCQYKISYGDSSHSQGDLSVDTLSLESTSGSPVSFPKTVIGCGTDNAGTFGGASSGIVGLGGGPVSLITQLGSSIGGKFSYCLVPLLNKESNASSILSFGDAAVVSGDGVVSTPLIKKDPVFYFLTLQAFSVGNKRVEFGGSSEGGDDEGNIIIDSGTTLTLIPSDVYTNLESAVVDLVKLDRVDDPNQQFSLCYSLKSNEYDFPIITAHFKGADIELHSISTFVPITDGIVCFAFQPSPQLGSIFGNLAQQNLLVGYDLQQKTVSFKPTDCTKV >RHN42271.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36931076:36931682:1 gene:gene48691 transcript:rna48691 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQVPVAIDPLIESSVRLLMSLVQLIEEDMVRKETCLSEGRKEMCFDEFCFILRVNVSFCVWLRFSGVFSSVQAKMC >RHN51073.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13651172:13652527:-1 gene:gene35446 transcript:rna35446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative concanavalin A-like lectin/glucanase domain-containing protein MYSSYIFVILSLFILVSIENTVLVHGDDPTDGFTSVPLTEANFEVQKPYNIPIEKRYSFIDGVHRFWVYAHDKPYSPDSPTQPRTEIRIKGLDYHSGVWQFEGYAYVPKITSGATIAQIHGAEHGKTTLLLRIYNGDMRYYSTDLVAKNLYNKWFRLNIIHDVDGGIVTVFIDGEKKFQTKDQGPGDLYFKCGVYAAPVDISNYMESRWRDIKIYKK >RHN82401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:53424973:53427613:-1 gene:gene6564 transcript:rna6564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MTEKMKGSNNQYQSIPISKIFKIQLHFINFLTYLLVLVFGLTLGIIISFYLKECTFSLQFTQLSLSSLPRTQHLSPPIAVKQERVGLKDFLKPPPIMHDMDDEELLWRASMTAKIAEYPFERVPKVAFMFLTRGAVFLAPLWEQFFKGHEVYYSIYVHSNPSYNGSHPESPVFHGRRIPSKEVEWGNVNMIEAERRLLSNALLDISNQRFVLISESCIPLFNFSTIYSYLINSTQNYVMSYDDPSSVGRGRYNIQMLPEVSLNEWRKGSQWFEIDRELALGVVSDRIYFPVFQEYCKGSCYADEHYLPTLVSIKFGEGNSNRSLTWVDWSRGGPHPAHFLRSDVNVKFLERLRSKKCKYNNGESTNACFLFARKFLPSTLSKLLKIAPKVMQFEHYDRPNKHKLLSFKQNI >RHN79046.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:21919310:21932826:1 gene:gene2738 transcript:rna2738 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative structural maintenance of chromosomes protein MFIKQVVIEGFKSYREQIATEDFSPKVNCVVGANGSGKTNFFHAIRFVLSDLFQNLRSEDRHALLHEGAGHPVLSAFVEIVFDNSDNRIPVDKEEVHLRRTIGLKKDEYFLDGKHITKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERRRESLKIMQDTGNKRKQIIQVVQYLDERLKELDEEKEELRKYQHLDKQRKSLEYAIFSKEVQDAQQKLAEIEQARNKVSEISAKKYNEVLDAQEKSKDLENNLKDITKEHQNLIKEKEVIEKKRTTALKKRTELELDVKDLQGKISGNRHAKEEAAEQLAILENKIQGSMDELNNISPLYDNLVQKEKDITKGIMEREKKLSILYQKQGRATQFSSKAARDKWLQKETDDLERVLSTNTTQEKKLMEEIQRLNDEMRGCDENIQSRKANITTLESLIAKSRERFSDYKVERDSLQDKKKSLWSKENELTAEIDKLRAEVEKAEKNLDHAIPGDVRRGLNSVRKICKTQNISGVHGPIIELLNCDEKFFTAVEVTAGNSLFHVVVENDDKSTEIIKHLNQQKGGRVTFIPLNRVNAPRVTYPQSSDVIPLLKKLNFKHDYTPAFSQVFARTVICKNLDVASKVARTNGLDCITLEGDQVSKKGSMTGGFYDHRRSRLKFMNTIKQNADSIHVKEEELEKVKFEIQDIL >RHN53032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41374077:41374550:1 gene:gene37778 transcript:rna37778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MLLLLFHLLWSKSSIGREDHYFLTLEAFSVGNNRIEYGEGTNVSMKNIVIDSGTPLTMLPPPFHSKLESYVAKEVKLPCVEPPDHRLSLCYNTTGNQSNFPVITAHFSGADVKLNSNSTFFPIEEGIMCFSFLLSNVKITLLLGFISSHFHLHVRRN >RHN42853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41455967:41460169:1 gene:gene49350 transcript:rna49350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ricin B, lectin domain, glycoside hydrolase, family 27, glycosyl hydrolase, all-beta MKCFTMCLVSFLLLFGFWFQSVSSRNLSRSNIQQIGLPPRGWNSYDAFSWIISEQEFLQNAQIVSQRLRVYGYEYVVVDYLWYRKNVPGANMNSLGFDVIDQWGRMVPDPVRWPSSNGGNGFSEVAKKVHSLGLKFGIHIMAGISTQAVNANTPILDTATGAAYQELGRVWYAKDIAIQQRRCSWMSNGFMSVNTRLGAGRAFLRSLHEQYAAWGVDLVKHDCVFGENFDLNEITYVSEVLSKINRPFVYSLSPGTSVTPAMAKHVSGLVNMYRITGDDWDTWQDVAAHFDISRDMAAANLIGAKGLRGSSWPDMDMLPFGWLTDPGSNEGPHRYSKLNLEEKRTQMTLWAFAKSPLMYGGDVRRIDPATYEIMTNPTLLEINHFSSNNIEFPYVTSSKNLKNEYQQRIGKMNSMKGEKQIHSLGLTGCAESKAIGWTTGNQSLNRDLERICWKGSAENLHQNPFCVHKRELPLRLDGESMYHEDYRGKHHLVATNQMRVCLDGSTKRKDTSKDLKRATFSPCRLDSNQIWELHSNGTMVNSYSGLCVTVKYLEANVVSGGIRSWIATGRNGEVYLAFFNLNEQKTPVYAKTSDLAKVFPGRPINFCQGKEVWSGKNVATTQGTIFMDLEVHGCSLFVLHCK >RHN58571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2888550:2894075:-1 gene:gene20493 transcript:rna20493 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative (6-4)DNA photolyase MRLTSSSSMTLGSGSMIWFRKGIRIHDNPALEYATRGCSNLYPLFVIDPHYMKPDPNAFSPGSSRAGLNRISFLLESLGDLDVNLKKIGSRLLVLKGDPSEVLIRCLKQWNIGKLCFEYDTDPYYQALDIKVKDYALKAGIEVFSPVSHTLFNPTDIIQKNGGKPPLSYQSFVNLAGEPPSPLATKYSSLPPVGPLGSCDISEVPTIKDLGYEDDQLEFSPFKGGESEALRRLEECMKDKTWVANFEKPKGNPSAFLKPATTILSPYLKFGCLSSRYFYQCIQDVYKSLPKHTSPPVSLLGQLLWRDFFYTAAFGTPNFDSMKDNRICKQIPWKDDDKLLEAWRDAKTGFPWIDAIMVQLRQWGWMHHLARHSVACFLTRGDLFVHWEKGRDVFERLLIDSDWAINNGNWMWLSCSSFFYQYNRIYSPTTFGKKYDPDGDYIRHFLPVLKDMPKAYIYEPWTAPPSIQTKANCIIGKDYPMPVVSHDSASKECKRKMGEAYALSKALNGLVSEDDLKNLRRKLDKGEEQGTKSKRSRQLLIG >RHN52304.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33672407:33673588:1 gene:gene36964 transcript:rna36964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MCCRPRWFWCFYCTIYVVIFFFMITAVIFWIVISPSSVKFHITDATLTEFNITNNNTLYYNLKVNVTVRNPNNNIIVYYRRITAIAWYKDNGFSYVSLTPFDQGHKNTTFLGPIEFKGNTKIKLGRQQLDEYFEERRLGIHNDLAVDFDVRIRAKFGSFYKSGRFNPPVVQCRRLSVPLVSSSNGNSSSSPSSSTFSFSDRRCSSGSFFTDRDADAGA >RHN43008.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42529051:42530698:-1 gene:gene49526 transcript:rna49526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAT dimerization domain, ribonuclease H-like domain-containing protein MAVIVAMACIWMCPVNGFLLIRCLRMQSNIAVPLKFEHMGLNDTAYSDCPSSEEWERGEKVYPRLKFESLKYLFEKLGHDTETVKDKVSHVRKVLYSLFNEYANKVASISIRLPVRDSRFTSRERKTDFLSEYREYVEKERLERYAGGVTQLDLYLKERDRGYYVNALQYWKDNEKEYYILARLARDVLSIPLIAVASESPFSPGNCILNKYRSCMFSENLQALVCSRNWLFGFSPIDQFGDEIEETRKIGKNLSRQVSKCHCVHENKTEDS >RHN57076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36277519:36285139:1 gene:gene32571 transcript:rna32571 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Fcf2 pre-rRNA processing MLKKKPVVGLSWQPQLPIPSLSKATGDPSHTTSQTKTPSSTIWKPNSELVNGLFVPPNDPRKLNKLLKQQVKDTAGKQWFDMPAPTITPELKKDLKLLQLRDAIDPKRHYKKSGSKSKALPKYFQVGTMVGSPLDYYSGRLTNRERKESIADELLSDQNFSAYRKRKVREIEEHRRPAGNEKWKIQGKSTRKRAKERRKY >RHN75923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46167732:46171162:1 gene:gene12184 transcript:rna12184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MEEPTLTEVPADHSLLQVTILMHRLMNMPKWGHLKDLHKAVKLCEEALIATEPKITSLGPNLEAAVYKTGSVCAAFLANVDTKSDKTVNFSGNSYHLPAWSVSILPDCKNVVLNTAKINSASAISNFVTKSSKEDISSLETSSSKWSWINEPVGISKDDIFSKTGLLEQINITADRSDYLWYSLSVDLKDDLGSQTVLHIESLGHALHAFVNGKLAGSHTGNKDKPKLNVDIPIKVIYGNNQIDLLSLTVGLQNYGAFFDRWGAGITGPVTLKGLKNGNNTLDLSSQKWTYQVGLKGEDLGLSSGSSEGWNSQSTFPKNQPLIWYKTNFDAPSGSNPVAIDFTGMGKGEAWVNGQSIGRYWPTYVASNADCTDSCNYRGPFTQTKCHMNCGKPSQTLYHVPRSFLKPNGNTLVLFEENGGDPTQIAFATKQLESLCAHVSDSHPPQIDLWNQDTTSWGKVGPALLLNCPNHNQVIFSIKFASYGTPLGTCGNFYRGRCSSNKALSIVKKACIGSRSCSIGVSTDTFGDPCRGVPKSLAVEATCAYSHTDL >RHN79316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27529801:27535022:1 gene:gene3091 transcript:rna3091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(A)-specific ribonuclease MVLNSDTQEDAKSGVEKFQVKILGDSSAQITEDVLSSSNVGITDSKLSGSASSASLTNGHAAPMYSAGIRNGNETWFEVGRSKTYTPTADDIGHVLKFEYSVVDAVTKSPVGQASTLQTNRVIPAPSPIPRRIIRVDGMGHLDLDRRMTSSGTFTALSYNVLSETCASNDLYSYCPPWALSWPYRRQNLLREIVDYAADIICLQEVQNDHYEEFFAPELDKHGYHSLFKRKTTEVSSGTTIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTEAMIPTAQKKAALNRLVKDNVALIVVLETKVNNQLVDNLGKRQLLCVANTHVHVQQDLKDVKLWQVHTLLKGLEKIATSADTPMLVCGDFNSVPGSAPHALLAMGKVDPSHPDLTVDPLNILRPHSKLVHQLPLVSAYSSFARTAGLAFEQHKRRLDGGTNEPLFTSVTGDFVGTLDYIFYTADSLVVESLLELLDEESLRKDTALPSPGWSSDHIALLAEFRCCKNKSKSRH >RHN73145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13782293:13789289:-1 gene:gene8931 transcript:rna8931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MSGAENMASLKKDLMLLVLQYLEEEGLKETLHKVEQESGLFFNLKYFEEKILAGDWDECEKYLNGFTNTNENEGSMKMLFEIRKQKYYEVLERENEELSRCGDARSSRIKLMAKLKKLIDNNPNINEKLKSPSLNSSRLQHLINQRLKFCQCSLSSLNWQYQHYRNPNQNSHINTLLTDNTCSPPRNGHCTPTLAVLPAEIAPFPPVPAAPSANAVAAWMRNGNPSSSSQSLAALAASSLPGPSQSVEEAVKVAYTFTSQPAPRLFDELPTTIVCKLHQGSTVTNMEFHPSIHSILAVGSENGEISLWEARLRERLISKPFKIWNISNCSVEFQALNLKEPWISINRVSWSPDACFIGVAFAKHLIHLYTYQVHNGLQEHLEIDAHDGGVNDLAFSFKKNQLCVVTCGDDKLIKVWNLDGHKIFSFDGHVAPVYSVLPHSKENIQVKLFYHHLFTFYIQLRLTGKSGLGSMIRRTSIWEFDTTEKCCTTLLYNADGTRLFSCETSKDGECFLVEWKETQGTIKRKYSGFRNKSAGIVKIDAAKNRFLAAGVDNQIKFWDFGGINVLTSTDAGGGLPSLPCLRFNKEGNILAVTTTDGGFKVLANADGIKYLWGFEASKEPVDTKIGVIVDSVQCRIVTMPDSMGPTNKVIRLRYTNHGDGLLAFGSKGIQKLWKWSPNELNPTGKATTRFVPQHWTPNNDILMTNDVLKNCDSAIPCIDITNNDCHVVAAFGEEISLFNMVMWHFMSPTSAATFLALHPENNNILAVGKEDSLIHIFNVHKDQHITSLQGHRKYITGIVFSPQLNIMVSSGADAQLSFWCMDKWYKKKSVSIQMPRGGNAPAGETKIQFHNDQVKLLVCHESQIAIYDVSKMELILQWLPRNGLSDAISSVAYSCNGQIVYAAFTNGNIGVFDAVRLQLRCHIASSAYLNQTPSNSQNVYPLGFTAHPKEPNQFAIALSDGTVKVLEPTESAGSWGNMPPVK >RHN62897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46606511:46606900:-1 gene:gene25530 transcript:rna25530 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMNLEARHLLQTTTQPNLPSIPNMPQGNLPPLPTTIPSLPKLTMSMPPLPSFPTNLPTLPSIPTTFP >RHN51217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15127744:15131661:1 gene:gene35613 transcript:rna35613 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRDKVVWMEFGMSCVPLTPLALYKRAVQRNDQLHTPGTHEPYGPQRVNGLEHPKRGPLVQESETYKARHLENNRGIKIIILNNVFSSKSYSKCSWNSTWDISLSISHVVINSCLQT >RHN81252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44358875:44359357:-1 gene:gene5268 transcript:rna5268 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNNTSNISDNPSENSNELVYYFIGFSFSITLMMMFMSLFSCYWQNRMQSSGINSNTDHTTEVNIEVCEEERDVNPNSYPILLYSQVKFHKPDDSTSLICSICLGDYKDSEWLRFLPDCGHFFHKDCIAAWFRSNLSCPLCRNLPIPTPLSEVTLLATTHN >RHN70661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53049667:53053062:-1 gene:gene19270 transcript:rna19270 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MAVANQCLSYTRFVTVRGTQSSPLQRKPLLFSFTLPSRSQNLYSSIVTASSKKKNNKKKLTHVTKGNEEEEEEEEEDAFELLFKKLEEDLKNDDDLSKDDSDEDDEISEEDFALLERELEGVLGDFDAELLNTDISETQGDNDVEKSSGDGNENSLKLRTWQLNMLARALKTGRRKLSIKALAAELCLDRALVLDLLRNPPPSLLMLSLSIPDEPKPSAVSPETTPGDSFYKETSADHAHAESGPKKSNLPIHDMQQNWSSRKRLKKAQLDTLERVYMRSKRPTNAMISNIVHVSNIPRRTVIKWFEDKRAEEGVPEHRLPYQQSANETS >RHN56112.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27884395:27885806:1 gene:gene31453 transcript:rna31453 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKFSMFVYALINFLSLFLVETAITNIRCVSDDDCPKVIKPLVMKCIGNYCYFFMIYEGP >RHN52400.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:34893081:34897467:-1 gene:gene37071 transcript:rna37071 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAMQSLSSSSSISSGFKYHVFLSFRDIDTLYGFTGNLYKALIDKGIKTFIDDNDLERGDESTPSLVKAIEESRILIPIFSANYASSSFCLDELVHIIHCYKTRGCSVLPVFYGADPTHVRHQTGSYGEHLTKHEDKFQNNKENMERLKKWKMALTQAANFSGHHFSQGYEYELIENIVEHISDRINRVFLHVAKYPVGLQSRVQQVKLLLDEESDEGVNMVGLYGTRGLGKSTLAKAIYNFIADQFEGVCFLHNVRENSARKNLKHLQKELLSKTVQLNIKLRDVSEGIPIIKERLCRKKILLILDDVDQLDQLEALAGGLDWFGPGSRVIITTRDKHLLTCHGIERTYAVRGLYGKEALELLRWTAFKNNKVPPSYEDVLNRAVSYGSGIPLVLEIVGSNLFGKNIEVWKNTLDGYDRIPNKEIQKILRGAKCIS >RHN50947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12136731:12137473:-1 gene:gene35303 transcript:rna35303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FBD domain-containing protein MGSIFNNLVTLCLDLDFTNIRNSISLSFAVKSCHRLKNLQINNQVNMDCNGGTSDRGTSDQNENDCLPYPGVLFWQLREPCWCVTYQLKSLCIRGYTGGEFEVEFVKYLILNGGVLEKISIWFLEDCSWVNVVATNCLLSYPKLSPGLSFDLKPGVEYMRKYGGSFEKWVTTLK >RHN49438.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54802143:54803931:1 gene:gene44267 transcript:rna44267 gene_biotype:protein_coding transcript_biotype:protein_coding MICRIWGDPWNYLQPTTDINGSLVASHRKMDRRWWRAQAVRYLMRFPTEYTCNLLNEERHAAFGKMAAKMVLKSLIGEWPKENGRKPKSDIDKFVWSNHKPWVPRPLLSMHVRMGDKACEMRVVEFEEYMQLADRIRSHFPNLNNIWLSTEMQEVIDRTEEYSSRWNFHYTKVRRQDRSNVSMAEYEASLGRERSTNYPLVNFLMATDSDFFVGALGSTWCFLIDGMRNTGGKVMSGYLSVNKDRFW >RHN73678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18784867:18786201:-1 gene:gene9524 transcript:rna9524 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLTTKFDFKVIAIEESNDIKTMKIEELQSSLEAHEILVIERGS >RHN63807.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54152658:54156394:1 gene:gene26559 transcript:rna26559 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MNNTHEVAQKLLKHHRHSQTLGLVIGGSARRTEAESLAKGINIVVATPGRLLDHLQNTKRFIYNNLKCLIIDEADRILEANFEDELKQIIKLLPKNRQTALFSATQTKKVEDLARLSFQTTPIYIDVDDGRKKVTNEGLLQGYVVVPCAKRFMVLYSFLKRHKSKKVMVFFSSCNSVKFHADIFNHIHLHCSSIYGKQKQQTRTTTFVDFCQAEKGILLCTDVAARGLDIPSVVYTSFISTYMKFFK >RHN59331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9647520:9649651:-1 gene:gene21343 transcript:rna21343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle-associated membrane-protein-associated protein MKTVELGKLISFTKKLLNKSDRYVAFKVNTPNPKTFCVTPNPLLVLPPRSTCDIKVTMQAQEEAPPDMQCKDTFVIQRVFARPGKIMKDVTPEMFEKDSGYEVKEVKVTIVYVVPPKPPSPVQEGSDENLSPQASVFSDKARELISKLTKERNSVIEQNQKLQEELVLHLFRRFSFMDDCAHFILNV >RHN78774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18204815:18205777:1 gene:gene2423 transcript:rna2423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, C2H2 MVHMHKHHGFFIPDVEYLKDPKGLLTYLGLKVKRDYLCLYCDDRCHPFSSLEAIRKHMAAKNHCKVHYGDDDDEEEVELEEFYDYTSSYVDEQGKQLVVSGDADNNIELSDGSELVLTKMSGDKKSTRTLGSREYLRYYRQKPRPSPANNIAIIAELAARHRSMGLASVQSRQQIVRMKVLKLMNRSGVEHMRSKMGMKSNVIWNLPNNVTY >RHN74409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:32898989:32901030:1 gene:gene10469 transcript:rna10469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAAIIKFIYTMFLFIFLFVVPTKVDALAGCITDADCVIKKCSSSCRIKCIDFRCLCPTGF >RHN59637.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12569512:12570249:1 gene:gene21703 transcript:rna21703 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpI MNVLLCYINTLIYDISAVEVGQHFYWQIGDFQVHAQVLITSWVVIAILLISTILVVRNPQTIPTSGQNFFEYVLEFIRDVSKTQIGEEYGPWVPFIGTLFLFIFVSNWSGALLPWKIIKLPHGELAAPTNDINTTVALALLTSVAYFYAGISKKGLAYFGKYIQPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >RHN70232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49916596:49919286:-1 gene:gene18793 transcript:rna18793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family, major facilitator superfamily MGICRSMATQPRIQRRLGGKRAALFVYAMEGLENMAFVANAVSLITYFTGSMNFSLTKSATTLTNLMGTAFLLPLLGGLISDTYLSRFKTCVLFASMELLGYGILTIQARFQQLRPIPCKDIAPIDMNQCEPANGSQAAILYTGLYLFALGTSGVKAALPALGADQFDDKDPKEAAQLSSFFNWFLLSLTTGAIFGVTFINWISSNQGWYWSFTVCTIAVFLSILSICMGKSFYRNNTPKGSPLIRIIQVFVAAFKNRKLEIPENAEEMHEIHEKERGDSYEILKRTDQFRFLDRAAVGGNSTGSWNLCTVTQVEETKILVRMLPIILSTIFMNTCLAQLQTFSIQQSTTLDTNILGFKMPGPSLPVIPLLFMFVLIPLYDRVFVPLIRRITGIPTGIRHLQRIGIGLVLSAISMLVAGFVETRRKSVAIEHNMVDSTEPLPMSVFWLGFQYAIFGAADMFTLIGLLEFFYAESSAGMKSLSTAISWCSVAFGYFTSSVVVEVVNKVSGGWLASNNLNRDKLNYFYWLLSIISVINFVFYLVCASWYRYKTVEDKQLGDSNDVDMVKV >RHN70784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53986850:53987194:-1 gene:gene19401 transcript:rna19401 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMCFSDVMQQHKYGMRLDCGREFLQRCCYRPNILHILIPLIYAGCRRHHNDVVVLMEGEGTTRCRKGICRVFELLSNLLEIRCNNGKQPVRRPIRVNNMVQCSTRPGGCKSF >RHN68979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40043702:40049814:-1 gene:gene17405 transcript:rna17405 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Lung seven transmembrane receptor MIVIPLQIVANIAQVVIDESGPYGHDWVTWKQIFLLVDVVCCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRHYYIVVIFYIYFTRVVVYALETITSYRYSWTSVVAAELATLAFYVFTGYKFKPEAHNPYFVIDDEEEEAAAEALKLEDEFEL >RHN71694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1973749:1984038:1 gene:gene7320 transcript:rna7320 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ulp1 peptidase MTQRSSCSSSSPINKFDVFDFSEDSKTEKMFRKFRTPMKSPSPPISKYEFLQAFADGSKPQSRIVSIDLDNDDQEDAKCSPVKVLNKPLEIDDDEDDTDDTGFNKLLEVDDDEDDAGLNEPVDVDEEDDDAGIDDDRGKNVNACSMDSPLQHFAEKESDRDAEFVDSDVDLENQVFDMRCDDDDEDDEDDSSEMSSSSNSTFDSSKFEDCFEDHLVEDDSTAFKIDDNEKVVDVFPDFIQYGELYSTSSRLIFSSSSLKLEGPTNNQTGKTFKIEWETEDIIKIESCWFEKIKTAWINLLLRSKDSEDIGSTNEKPGFRLLKFAVYDSYWSRAEEAIKFLDMRYTSIWSTVFDVDANNYGNNSILGQDSLFSQRHYFPIFDEAFEEVIYPEGEPDAVSISKRDVALLQPETFVNDTIIDFYIKYLKNKLPTDEQERFHFFNSFFFRKLADLDKDPESASDGRAAFQRVRKWTRKVNLFEKDYILIPVNYSLHWSLIVICHPGEVPSFRDEEIKESSKVPCILHMDSLKGSHKGLKNLFQSYLCEEWKERHPNMADDFSSKFLQLRFISLELPQQDNFYDCGLFLLYFVERFLEEAPIKFNPFKITKFSKFLNSNWFPSDEASLRRSHIQNLIYDIFENGSLKAPPIDCRGKGPLSELPGVIEHKVEADSSGASCYPGIWHGNLSNGSTETDIQFRPVSPVRAASCSRDPGIVFKDLQAAVVPPHFDCRQMSLCHQSGFLSPIEETEEFGNEVSKSMERANSQVGILASDFPSTSYIGKDHRASETTQQGFPMNFVESVEGIPYSNWIQDSLEDQLLEKIEESNFPNKTALEEIADSVVQDSQESNGRHEIYIVQDSQESNGGHEIYVVQDSQESNDVHEVDACVKSHSSFQDNINSMTHQILDLAQNTSVENDSLAGKREQPASESQEQNDKKRKLMSETGGPSRRLTRRMLKETCV >RHN39591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8523419:8524391:1 gene:gene45630 transcript:rna45630 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFQNLKYKQGEKVATKKKRNGISSKCASLVKEQRARLYIVRRCATMLLCWYIQGED >RHN54570.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10564479:10567238:1 gene:gene29635 transcript:rna29635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-galactosidase MFTMRSLSHSIILLLSLIVLYSCTALEVKYDSNALIINGERRLIFSGAIHYPRSTVDMWPDLVQKAKDGGLDAIETYIFWDRHEQVRGRYNFSGNLDFVKFFKTIQEAGLYGIIRIGPYSCAEWNYGGFPVWLHQIPGIEMRTDNAAYKNEMQIFVTKIINVAKEANLFASQGGPIILAQIENEYGDIMWNFKEPGKAYIKWAAQMALAQNIGVPWFMCQQNDAPQPIINTCNGYYCHNFKPNNPKSPKMFTENWIGWFQKWGERAPHRTAEDSAYAVARFFQNGGVFNNYYMYHGGTNFGRTSGGPYIITSYDYDAPINEYGNLNQPKYGHLKFLHEAIKLGEKVLTNYTSRNDKDLGNGITLTTYTNSVGARFCFLSNDKDNTDGNVDLQNDGKYFVPAWSVTILDGCNKEVFNTAKVNSQTSIMEKKIDNSSTNKLTWAWIMEPKKDTMNGRGSIKAHQLLEQKELTLDASDYLWYMTSVDINDTSNWSNANLHVETSGHTLHGYVNKRYIGYGHSQFGNNFTYEKQVSLKNGTNIITLLSATVGLANYGARFDEIKTGISDGPVKLVGQNSVTIDLSTGNWSFKVGLNGEKRRFYDLQPRSGVAWNTSSYPTGKPLTWYKTQFKSPLGPNPIVVDLQGLGKGHAWVNGKSIGRYWTSWITSTAGCSDTCDYRGNYKKEKCNTGCASPSQRWYHVPRSFLNDDMNTLILFEEIGGNPQNVSFLTETTKTICANVYEGGKLELSCQNGQVITSINFASFGNPQGQCGSFKKGSWESLNSQSMMETSCIGKTGCGFTVTRDMFGVNLDPLSASKASVKDGIPRLAVQATC >RHN53161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42443580:42445791:-1 gene:gene37933 transcript:rna37933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor HRT family MLKREQCDYTKHDSSFSHWKILIGPSDWEDYSKGKEGSTRYRIHNLPENSGPGVYELGVAASTSGLGREIYKLSTDSCRVVVVYLGKGDNVRTRLQCYGRNGAHLGNGCSSFESSHQKGHSLFHEIFLQGFPIVYRWASMQTKGDALQTESQLLSTFDYAWNTINNGPRRPGDILEMLNKISSDTRTFSDVAKSLLPFTQKKVGIPIKSSMLPVKDNKSNEVDSGNYNFLSRVFKFNRSRPKIVQDKADFSVEKKDKICGVILDDGSICTKTPVEKRVRCHEHKGMRIRTAKSESKRGNACRYQNIKHDVEDLPQTVVESLVDESITKTITCGIILEDGTTCRRQPVKGRKRCHDHKGKRIRAFVPIN >RHN78837.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18893649:18899105:1 gene:gene2494 transcript:rna2494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGNTCVGPSISKNGIIQSFSAAIWRSQPPDAEGSVSNRGSVNEGRTDNEPESPLPVQNKPPEQITMPKPEIKQEAKSEPESEKEKKKHRRPSVKRSSSAGLRVDSVLQRETGNFKEFYSLGKKLGQGQFGTTFLCIEKATGYQYACKSIAKRKLVTDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDAMAVHVVMELCAGGELFDRIIQRGHYTERKAAELIRTIVGVVEACHSLGVMHRDLKPENFLFVNQQEDSLLKTIDFGLSVFFMPGDTFIDVVGSPYYVAPEVLKKRYGPEADVWSAGVILYILLSGVPPFWAESEQGIFEQVLRGDLDFVSDPWPAISESAKDLVRKMLVRDPKRRMTAHQVLCHPWIQVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALIVIAESLSEEELAGLKEMFKMIDTDNSGQITFEELKVGLKKVGANLKESEIYDLMQAADVDNSGTIDYGEFIAATLHINKIEREDHLFAAFSYFDKDGSGYITQEELQQACDEFGIKDVRLEEIIKEIDEDNDGRIDYNEFAAMMQKGNLPMVGKKGLENNFSIRFKEALKL >RHN57082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36324438:36328398:-1 gene:gene32577 transcript:rna32577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal peptidase complex subunit 2 MNLMIYVKRDMGLFVFVSLLILLLHCCLILDSRTYVVFNLVLQLIIYTKEKNAILFTYPLTFFMVLVALNHNLDVASFFNSDLKRVSHDMYQLVSRVMMQTVRCRLSFRHTSVCSNLAFISFLQGSFTSTGLVVSSKLPRFSDMYTLTIESADPKSVSANEPVHLTKSVTQWFTKDGVLVEGLFWKEVEALIAQYTKEPKKSK >RHN70173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49341548:49345668:-1 gene:gene18728 transcript:rna18728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sulfate-transporting ATPase MLMFFYVCYFIDLQLVVQVGFPYNYIFSVTVRKLWSLFPPNPFAQGLRVLSHAVSTPEDNGVSWSKRGSCATNDNNCVMTINDIYKWLLATSFLWFILAIYFDNIIPNAMGVRKSMLYFLNPRYWTGNGGQKVKEGGVCSCIFSTRHEENSMPDDDDVLEEENVVKQRLTQGVVDANVAVQLHGIVKTYPGTYNIGLCCKCKRSAPYHALKGLWLNFTKDQLFCLLGPNGAGKTTVINCLTGITPVTDGDALIYGHSIRSSTGMSNIQKLIGVCPQFDILWDALSGEEHLELFASIKGLSPASVKSITQTSLAEVRLMDAAKVRSGSYSGGMKRRLSVAIALIGDPKLVILDEPTTGMDAITRRHVWDIIQSAKRGRAIVLTTHSMEEADILSDRIGIMAKGKLRCIGTSIRLKSRFGTGFIANINFYRNNNEKIPENGDAISTRQHEAVKQFFKNRLDLVPKEENKNFLTYVIPHEREALLTDFFTELQDREKEFGISDIQLGLTTLEEVFLNIAKQAKLESAAAEGSLVTLTLKSGESVQIPVGAMIVGIPGSESAEYPTGFMVQVHWEQDDTGALCIAGHSQKAPVPQKIQLPSSPTARQRRSALVHGVVIDPSQVSLVNFQ >RHN58819.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5186029:5186451:1 gene:gene20769 transcript:rna20769 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKIIVKVESSISRLQPSKLIKLNFFCLTIVNIPLYAEKFACFSIFI >RHN60526.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27706473:27707261:-1 gene:gene22847 transcript:rna22847 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVFVGGVFVFVIDVLLDALLPLCYRLCVVARRNGGFCCCDGCCCCEGSFVVDSLKRRCCISLMETVCVWFRRSLVVVQWLLLVVLWCKTSQLFGLFFDWFRSRLVGFRYALVEVYGYIGHLHRFGHRAFCECNFKEQFSCCMMLTGFLGGFCCFFGRCVFG >RHN63255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49708369:49710916:1 gene:gene25934 transcript:rna25934 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative L-lactate dehydrogenase MHKSGSGSTLGPDGLDLTQAFFKPISNAAPPSPTKRHNKISVIGAGNVGMAIAQTILTQDLTDELVIVDNKPDKLRGEMLDLQHAAAFLPRVKINSSVEYSVTAGSDLCIVTAGARQIGGESRLNLLQRNLSLFKAIIPPLARYSPETVLIIVSNPVDVLTYIAWKLSGFPSNRVIGSGTNLDSSRFCFLIADHLQVNAQDVQACIVGEHGDSSVALWSSISIGGIPVLSFLEKQQIAYEKETLENIHKTVINSAYEVISLKGYTSWAIGYSVASLARSIIRDQRKIHPVSVLAKGFYGIGDVEVFLSLPAQLGRGGVLGVTNVHMNKEEEQRLRDSAKTILEVQTQLGI >RHN67122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:24099367:24101097:1 gene:gene15269 transcript:rna15269 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVAAISDIVGTTEEFCCCCEIPLPKSLYLIIHGMVLGFGIDEYLEVVKVVKLVALIGLLLCFCQVWERWSDFVVGAIAEGKRLSIDAGSIIHAWCFRSNGYRFLSFLCCNCYGYVNIAEVLFFEDNGMELVSCTSIPFEDSVAFLFV >RHN55741.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:22436322:22436867:-1 gene:gene30981 transcript:rna30981 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNTNFSTFDLVCLCHLLNILILSYCFPYHQTRKRELLISLSFFAKYT >RHN46050.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28069783:28071306:1 gene:gene40490 transcript:rna40490 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGEGEHSVLIPDELQSEMEEEGEGEHSVSIPDELQSEMEEEGEGEHSVLIPDELQSEIEEEGEGEHSVSIPDELQSEIEEEGEGEHSASIPVPNESQLEKPTHLLDLQRKVILTTSNSKMIQSIGNKSNEAADMKWSQKLLQKIKIWSRKLLQKITNWKWKLYYKIMKWIGKKSKRYVVPFTYRNIQDLKAVGIRLKTSMTQRPRDIDFCGGWFAAELTLPMIIVTNGSAHMFLNLIAYEMCPDFENDYEICSFLAFMDSLIDHPEDVKELRSNGILLNKLGSDEEVAHLFNIISTDLVRNTYIYHDVKWKINDHYCNKYKTWIAQGFHTYFNSPWAIIAFIAAVVVLVLTFIQTWFTIHPTSK >RHN80338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37286928:37289301:-1 gene:gene4252 transcript:rna4252 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase (ATP-hydrolyzing) MSVFILLDLAWVGPWLHNLPPVSCSQHNKRFYAGLSSAQEVREYFQDLGKHRAYFVWDDKDETSIKLAFSKKAEEWEAWIGNSQPGTCNYERKVINYRNFVNKELLFSSMKNLQRSIPSVVDGLTLGQRKTLFCSFEMNLTKQTEVCRLTAYVTEHSYCHYGQQSIASTIIGMAQDFVGSNNINLLIPYGQFGTRDLVRRTGWIICSFQGGKDHASSRKLYIKLNCVTRLLFPVDDDKLLEYLNEDGRSIQPNWYIPIIPLVLVNGCHAMGTCFSSDIPKYHPYEIIKNVRRFLNNEEMVSMKPWYKGFGGTIEKSAKGIGYYTVDGLVERINEQTFRIKELPIRMWTEDYKKFLEKITARGLIESFRQKGDYEIVNFKVNVKQEEIATIEKDEELRKKFNLTCTISTSNMYLFDAEGKIKKYNTPEQSIPFFMYKYFESHYHFCTLRLKYYVRRKQYLVKNFTQLLRSLRIKQKFISNIVNEKFNPINRRAELLIEILKKGKSAEPHVAGAIDYSSKEQEAGEQESVSQSVSIEGATLGDYEYLSSLPFENFTLESLKKLEAELDEKENELKTLEHTSPDLMWLNDLELFEKEFDVM >RHN60483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:27257891:27260148:-1 gene:gene22798 transcript:rna22798 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NF-YB4 MAETEELPKTIVRRVVKEKLSTCSDDGDIAVHKDALLAFSESARIFIHYLSATANDICRESKRQIINAEDVFKALEETEFAEFVGPLKDSLEEFRKKNAGKKAAVPKGKGDEKGKGDEEKRKRKAEGEPSDKGDGVDDDQ >RHN81783.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:48853743:48859371:-1 gene:gene5871 transcript:rna5871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase TIC 32 MWPFSRKGVSGFSWKSTAEEVTHGIDATGLTAIVTGASSGIGTETTRVLALHGVHVIMAVRNKVNANNTREAILKEIPSAKIDVMELDLSSLESVKKFASEFNSSGLPLNILINNAGVMACPFMLSNDNIELQFATNHLGHFLLTNLLLDTMKKTASESKTEGRIVNVSSEAHKFAYSEGIRFDKINEQSSYSKWGAYGQSKLANILHANQLTKHFKDDGVNIIANSLHPGGIATNLYRHNSAINGIVNVVGKLVMKNVPQGAATTCYVALHPQVKGVSGEYFSDNNVAKSSSHGKDADLAKKLWDFSMNLIKDK >RHN39811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10751591:10752127:-1 gene:gene45879 transcript:rna45879 gene_biotype:protein_coding transcript_biotype:protein_coding MADHVVRPTRSNFNRSHEVTINVVSVNVYNIMGVVETNPFLSKQETNPLHCLMAFTFFVLLGFLQISYPDKPSAFQIHPKTMILSVASFLIYSFAFWIKLKFATRVDTFMEVFGSLSIVSLVMMFFPHNWGICGYIIIYTIWFIYHVLVMIKPYFIVPRRNIRRRLRPILPNNSIDLN >RHN56586.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32070653:32072272:-1 gene:gene32013 transcript:rna32013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MWKPWGKSTSKIHNKSSSSSFSCSSFKDIQTLILQEPPQQPLPSSQPTTKQVFQRVLLANTLLRAWSSPLKLPRSISQPENPPNNDTHALEKQTPPPSPPKPIYFPGTEQRVVIYFTSLRVVRPIFEDCKSALAILRAFHVHLDERDVSMDSSFLTELNRIMGRTGLSLPRVFIGGRYIGGGEEIRSMHEIGELKKMLEDLPVVDPIECHVCAGHRFVLCNVCNGSRKVYNDKAGFKVCNVCNENGLLRCPSCFPNNNEIKK >RHN53913.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5273454:5277325:-1 gene:gene28878 transcript:rna28878 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDNSNDDLLGGEECCEREGKGKRLWKKVKYQLVEYHSLPAFLRDNEYILGHYRSEWPMKQVLLSIFRIHNETLNVWTHLIGFFLFLALTIYTAMKVPQAVDLSSLPHLPDFLKNADLHKLQSELLTCLPSMPDLQRLRELLYNCLPGRFSSSNHTDVCVLHNVKEDLANIIAPLVIRPITRWPFYAFLGGAMFCLLASSVCHLLSCHSERVSYIMLRLDYAGIAALISTSFYPPVYYSFMCYPFFCNLYLGFITILGIATMLVSLLPVFQTPEYRTTRASLFLGMGLSGAGPILHKLFLFWGEPEVFYTTGLEILMGALYGIGALVYATRIPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYHAGLVYLRWRDLRGC >RHN75236.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40448944:40453774:-1 gene:gene11409 transcript:rna11409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MADDDQFHHSSGNWWDTASRNMRFNQSGGTSQSSSSTITNNIVDFAWQNSDMVEIKPRSSMENSSVVFHDNQKLQQPQDSSTSSDPNLHMMGLGLSSHTLDWNQPSLIRGEKGTENSFRSILEENLSSTRNNFEQENVMGMCQQVNRNNFSLDQNQFSPQYSSGDSNVITSQCLPTNFQMDSSTLYGTPSILQGSFENRSMSSTFPYPTNNYGLNSNNELNIMPASNNWSNNKVPQFFMRTSPPKQPTNNQLHFTNNTPFWNASEAPNSVKDVRSTFFPSLQPQFSPSNFDSHSKNISEVSVVKKSGSEPAPKRTRNETPSTLPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVTVLSTPYMKSGAPSEIQQNSGKLKKCEGTKQDLKSRGLCLVPVSSTFPVTHETTVDFWTPTFGGTSR >RHN53692.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3386115:3386652:1 gene:gene28625 transcript:rna28625 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PPC domain-containing protein MCFYFLSTMQIEFHGIYQIQSLSGSFMRRSSGMNVSFVDLDGNVVGGRVAGPLVVASPAAVMVVTFLASEQHEQKLNTQKNEVISTVTPTVAARMSSAGPMLNNLSSSSCFHGDNQYRIPPSSGRY >RHN72834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:11131324:11133793:1 gene:gene8595 transcript:rna8595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactolipase MAALSTSPMNNNNNLNLNTIDTNFEVDKLTYEIFSILENKFLFGYTDTEKAKNSLSIDVKQAKNAAGKVRILCIDGAGYTDGILAAKSLSHLESCLRRKSGNNNSHIADFFDAVAGSGVGGVLAALLFTRGKDGLPLFTADEALKFLINNRNKISRRSGILRRVFSPETKSEKLFRKTFGECTLKDTLKPILIPCYDLVTRAPFVFSRADALEIDGYDFKMRDVCAATSADPAAAIELKSIDGKTKILAVDGGVAMNNPTATAVTHVLNNKHEFPFCNGVSDLLVLSLGNGELDFNAVKSPSGFVRIAGEGASDMVDQAVSMAFGECRLNNYVRIQSNGAMAKVNKGKPAKTVSDLVAVSEEMLAQKNVESVLFKGRKVVENTNLDKLELFGGELIKEEERRKTSILPTVVLKNGSPSPRTSSPRTSSATTLSTSSSSS >RHN69474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43682054:43682538:1 gene:gene17950 transcript:rna17950 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQTSKNVKIPPKKKRMIFPNKLKQTAKRVHASAVESPLDDDATRPWQSDAGELDAAPSPALILHHQHMRRGIRRI >RHN48690.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49499987:49503158:1 gene:gene43432 transcript:rna43432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, galactose oxidase/kelch, beta-propeller MMSGKRKKKSKSSIKDNRRAAVKSDNLELQTWADLPAEVLELFLSRLDIGDNIRASAVCKRWCSVATSVRVLDQSPWLMYFPKKGNCYDFYDPVQRKTYSLELPELDGCRVCYTKDGWLLLNRQDWRRLDGNHIFSLFNPFTRDLITLPKFDRTYQIAAFSCAPTSTGCVILIFRRVGSSLVAISTCYPGEKEWTTVNYDAELSCSMCDKLVFSNGLFYCLSDRGWLGVFDPLERTWTVFKVPPPKCLAESSTAKNWSKGKFMIEHKGNIFVVHICCGEDPIIFKLDLTLMEWKEVRSLNGVTLFASFLSSHSRTYATGIMRNSVYFPKVRFYGKRCISFSLDDRRYYPSEQCRDKVEPNTFENFWIEPPKDFTGWM >RHN65660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4189222:4190735:-1 gene:gene13528 transcript:rna13528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAIGGLLRTKLSQDYWNDVLKSNIWELTTDELQPSLILSYRYLPAPLKRCFAYCSIFPKNSILEKNMVVQLWIAEGLVPQPQSEKSWEKAAEEYFDELVSRCLIHQRSGDDLVVNFEMHDLVNDLAMTVSSPYCIKLDEQKPNERVRHLSYNIGEYDSYDKFDKLQALKGLRTILALPSHLTRFSCNNFLSRKNITKLPNSIGNLIYLRYLNVSRTSIQRLPSETCKLCNLQTLLLSFSYILTELPKDLGKLVNLRHLDIRGTRLKEIPVQISKLENLQTLSGFLVNVHDVGLEIADMVKYSHGSLFIYELQNVIDPSDVFLANLVMKNQNKELVLKWHNDTPSNLQIQSVVFEQLHPSPNLKKLTIIGYGGNNFPNWLGGSLFGNMVYLKISHCGNCSWLPPLGQLGNLKKLFIHEMKSVKSIGIEFYGSSNYPLFQPFPLLETLEFCAMLVTPRFPNIKISFNNQSYSRKRNATLLFIKS >RHN40354.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15545393:15545971:-1 gene:gene46501 transcript:rna46501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MIPKSGTTWLRALTFTIVNRNQYSFENHSLLKSIIHKLVPSIERNLYAADIKDRIPLSKIIEPRLFGTHIPFPSLAKSIQESNCKIVVYISRNPFDTFVSYWSFVNKIRSKHPSLSVLSLEETFESFCNGVTPFGSFWEHNLGYLKESMTRSDKVLFLKYVKTSLLFFYELKIVFIFFKNYVHYHYFFLKLK >RHN73161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:13961461:13969304:1 gene:gene8948 transcript:rna8948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA dehydrogenase/oxidase, protein kinase MAGNSPDSHHFNHDSLLRYCSSNVSGFPLSPTHFNLSQFGHGQSNPTYLMEVGSNGSIVKKYVLRKKPAGKLLASAHAVEREFQVLQALGNNTNVPVPKVFCLCNDPAVIGTPFYIMEYLEGRIFIDPKLPGLAPQSRSTIYRETAKTLASLHSANVDSIGLGNYGRRNDYCKRQIERWAKQYVSSTSDGKPASNPKMFALIDWLRHHIPSEDSSGATAGLVHGDFRIDNLVFHPTEDRVIGILDWELSTLGNQMCDVAYICMCYVKDIGEKSVQEGMERSGLPQGIPSLPEFLAEYCSLAGRKWPVAEWKFYVAFSFFRGASIYAGVYNRWVKGNASGGERARYTEVLANGLIEAAWEFIERQVVLPQHPPSGVNAKELVQDLPNEGRFAPSQKVLVLRNKIIKFMEEHIYPMEEEFYKLSQSEARWTVHPAEEKLKELAKKEGLWNLWIPVDSAARAKKILFDGSNNNLSTDSNDLLLGAGLTNLEYGYLCEFMGHSLWAPQIFNCGAPDTGNMEVLLRNGNKEQMLEWLIPLLEGKIRSGFAMTEPQIASSDATNIECSIKRQGDSYIINGTKWWTSGAMDPRCRILILMGKTDFNAARHKQQSMILVDTQTPGVHIKRPLTVFGFDDAPHGHAEVVFENVRVPATNILMGEGRGFEIAQARLGPGRLHHCMRLIGAAERGMQLMAHRALSRKAFGKMIAQHGSFVSDLAKCRIELEKTRLLVLEAADQLDRYGNKKARGIIAMAKVAAPKMGLMVLDMAIQVHGAAGVSSDTVLAHLWAAARTLRIADGPDEVHLGTIGKLELEIQRAKL >RHN73543.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17512318:17515686:-1 gene:gene9367 transcript:rna9367 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWHLNPSCRILLRVSSCSSSNNCNKQNNKRINKKSTLVTMTKTTNSPSVKEARGPDLVERAKEEFEAILHPHKSSSHHHRETHGRNSDIDENTSLDDVKAPNVFERAKEEFEAIAQVFHHNNEASTHDIRDENQMAELKHKQNISSSSSETKTKKVNIFVKAKEEIKSIIHHDKSKHHHHDKETHGRSDDIDENTPSSEVKGPNVFERVKEEFEAVIEAIHPKKEK >RHN65159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:64599035:64599874:1 gene:gene28065 transcript:rna28065 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLQKEKQSVNNQIENENQKLDSLGERTDNTDIRNIDDLIL >RHN59513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11580862:11581428:1 gene:gene21554 transcript:rna21554 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MRHYSQQEIPQQSTFFFQVSLSFVLVTTMVRTKVKLAFIVNDAARKAAYKKRKKSLFKKVVELSTLCGIEACAIVYGPYEPHPEIWPSPEGVQSVLSKFMTMHEFQKCNKKMDHETFMTHRVLKAEEKLMKQRKDNREQEMTLLMTQCLNEGKVVHDNLPTDDLSDLSWLIDHNLKDIGRRLESSSLA >RHN61485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:35819430:35819995:1 gene:gene23956 transcript:rna23956 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLIPVNAVNDQIATPMSIFCSYKRRYSKYLEDFRQTVLRCAVTEIHNDGKTKISTYLKVPLFPAWLSSWGPMNPDSHVGIVWDYENVPLPKKFDVDEFEYAMIMVLEKNELAKSEDSLVCLRS >RHN82678.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55637498:55640176:-1 gene:gene6857 transcript:rna6857 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLPLSPSLISAIKHINFNLPPNSFSLYNQKPTNKLFNSRTLFSNPIFSTRVQALKSDGAGWKKRGKESYSDSDDSDYEDDTPKENDPYVMTLEERQEWRRKIRQVMDKKPQVQEVVDTEEKKKKMQKLINDYQLVVEEEDPNWPQDADGWGFSLGQFFDKITIQNKKKEDNDDAEDDDVDDDKPIVWQDDNYIRPIKDMKAEEWDETVFKDISPLIILVHNRYRRPKDNEKIREELEKAVHIIWNCRLPSPRCVALDAVVETELVAALKVSVFPEVIFTKAGKILFRDKAIRSADEWSKIMAFFYYRGAKPSCLTNIPDFQENIPSFTIDNPVS >RHN42364.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:37723788:37727302:-1 gene:gene48797 transcript:rna48797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mandelate racemase/muconate lactonizing enzyme, enolase domain-containing protein MDSSGLKLQLQMKSSLSHSFLCSPDTLFTNNSKNSNSITFTNRFTKKSTHFSTIMASYTTSTAKPIAYGFKTLLETFTVDVHRAENRPLNVPLIAPFTIASSKLDKVENVAIRVELSNGAVGWGETPILPFVTAEDQHTAMVKASEACEFLLKCPALTLGSMLTEIGDILPGHQFASVRAGVEMAVIDAVASSIRVPLWRLFGGASNTITTDITIPIVSSAEAAELASKYYKQGFKTLKLKVGKNLNADIEVLQAIRVAHPECQFILDANEGYNSNEAVEVLEKLHELGLTPVLFEQPVHRDDWDGLGYVSSIAREKYGVSVAADESCRSLVDVYRIVEGNLVDVINIKLAKVGVIGALDIIEKARAAGLDLMIGGMVETRLAMGFAGHLAAGLGCFKFIDLDTPLLLSEDPVLEGYQVSGATYTFTNARGHGGFLHWDNLA >RHN51430.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17710885:17712624:1 gene:gene35862 transcript:rna35862 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MEEINNTTMNVSSTNGWLSDLEMDEYNLFAEESNLNFLETDVVEFLSHDIGNVFLEQNKQQCFTSGSTPTSLCNSSSYETNLDSFDFDFDKPNMELKTIDHIHSNKINETFSPKLSPSNSSIQFQIPSFDNTPNSPTTNSSQLCGLDPTFNSKQNSEIKTSKSKRSRTLHGQDHIMAERKRREKLTQNFIALAALVPNLKKVDKYSVLVDTIKYLKELKKRLKVLEEQNEKTKIESLVVVLTKQAFATMTTPPHVMRNLRKIYVDWIQCQEHKGLLVKIMVEIQRFQLFVVNNSVLPFGDSILDITIIAQVYTISLSTFKLPLTLFFTIFYLFLVPSLLLIILTNFFILLL >RHN76056.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47340188:47341067:1 gene:gene12330 transcript:rna12330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKRGREDKSELNCLMLLSKVGETKEPNTLKECGFKCKTCNKEFSSFQALGGHRASHKRPKLMYKLPNMKPKMHPCPICGLEFSIGQALGGHMRKHNSSFSIFKKSKKDHCERLNFCLDLNLTPLENGLVWTCT >RHN41374.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29616233:29618961:1 gene:gene47692 transcript:rna47692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-alkenal reductase (NAD(P)(+)) MSLSTTTSHLTILPSLPSTSPLSLRFSFTFQPKTNQKTCLKTLLSSSTRHARFLVKSQSTTASSEAVKVTQVPSEMKAWVYGEYGGVDVLKFDSNVAVPDVKEDQVLVKVVAAALNPVDGKRRQGKFKATDSPLPTVPGYDVAGVVVKVGSEVKDFKVGDEVYGDVNEKALEGPNQFGSLAEYTAVEEKLLALKPTNLDFAQAASLPLAIETAYEGLERTGFSSGKSILVLNGSGGVGSLVIQLAKQVFGASRVAATSSTRHLELLKSLGADLAIDYTKENFEDLPEKFDVVYDAIGQCDRAVKAIKEGGSVVALTGAVTPPGFRFVVTSNGAVLKKLNPYLESGKVKPIIDPKGPFPFDQVAEAFSYIETNKATGKVVVFPIP >RHN80016.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34346625:34353219:1 gene:gene3889 transcript:rna3889 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MEEEQDRILLSTLGVQSANPEDIERHIFDTARNDSVNVTEDKGNNVENECERLPENVDPLSKAKAELHQKLRAIEFEIGAVSSTIERPRDVVKSEECEENENLEEGIDEGGGSELQRVLAADRLRSLKKTKAQLEKELKSLSKDCDLKSTESKKVIFSLVKEDRKPKKKLIDDKKVSKRPAKRFKTVSFDDDDDFDAVLDAASAGFVETERDELVRKGMFTPFHKLKGFERGIQQPEASTSRNAVEQENTNDLAYSSVERAARSFSQAAKVRPTSKLLRPEEVPKLDAPTIPFRRLKKPMQLPKPLDDNEGDLNTDSKRKKKRPGPGRKWTKRVSSEDRQLGESENANGCLDNSSCESLEEANDVELSEHESSYVTLEGGLKIPDNIFEALFDYQKVGVQWMWELHCQRAGGIIGDEMGLGKTIQVLSFLGALHFSGMYKPSIIVCPVTLLRQWKREAKKWYPKFHVELLHDSAQDLASKKKRAESDGTDSESNSSSDNDYEKSVPSKNTRKWETLINRVMRSESGLLITTYEQLRILGDQLLNIEWGYAVLDEGHKIRNPNAEVTLACKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFAVPIRVGGYSNASPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEHVLFCSLTSEQVSAYRAFLASTEVEEILDGGRNSLYGIDVMRKICNHPDLLEREQASSNPDYGNPERSGKMKVVAQVLNVWKEQGHRVLLFTQTQQMLDIFEKYLTTFGHIYRRMDGLTPVKQRMALMDEFNASSEIFVFILTTKVGGLGTNLTGADRVIIFDPDWNPSTDMQARERAWRIGQKRDVTIYRLITRGTIEEKVYHRQIYKHFLTNKILKNPQQKRFFKARDMKDLFVLNVDGETGSTETANIFSQISEDVNIIGAHQENQDNNEYSQTAELASEDDAVGDDGKSCRTSSRGKGKEKVDKSNGVDEEANVLKSLFDANGIHSAMNHDLIMDAHDEEKMRLDEEASKVAQRAAEALRQSRMIRNHESVSVPTWTGRSGAAGAPSSVRRKFGSTVKPQLLNNSKASDESPSRGSNKFNGYAAGASSGKALSSADILSKIRGNQEKAISAGLEHQFGQSRSVDVRTSKAPENSSGFQPEVMIRKICTFFQQKGGSCSSDSIVQHFKDRIPSKDLPLFKNMLKEIATLHKGSNGTHWVLKPDYQV >RHN73497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17100996:17105758:1 gene:gene9315 transcript:rna9315 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHRESISVASLPPKEHAMKNTWMGSGSISAENVSWKKVVSSSLISKSHRRTCTFELFQNRSLLLNYLNFYYFGVSLWTVIVNYLVFGMPFVLFILSDVNIGKNPFFVSL >RHN57658.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:40859119:40862074:1 gene:gene33236 transcript:rna33236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxymethylglutaryl-CoA reductase (NADPH) MEVQRQIYSDPSSKTKKNQKQQNSLSQTSSLYLTNTFFFGLFFSVAYFLLNRWREKIRTSTPLHVLTISEILALVSLIACLIYLTAFFGVAFILHYDEEEDEVADIAAKTTKVMPNLPEEILVQKVLSMEDEEVVGAVVSGSIPSYSLESKLGDCRRAAVIRNQAVERVTGRSLEGLPMEGFDYDSILGQCCEMPIGFVQIPVGVAGPLLLDGKEYTVPMATTEGCLVASTNRGCKAIYVSGGASAVVLRDGMTRAPVVRFNSAKRASQLKFFLEDPLNFDSISHTFNKSSRFARLQNIKATIAGKNLYTRFTCSTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVIGISGNFCSDKKAAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTSVESLVELNMLKNLTGSAMAGALGGFNAHASNIVSAVYLATGQDPAQNVESSHCMTMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKESPGANSRQLATIVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSNKDVTKVAS >RHN77375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6431534:6431839:1 gene:gene822 transcript:rna822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MAHLPKGNIQIILHNLKGERWTVNAVAIAKGRHKTSHILSAGWITSVRANSIKIGDVCIFELIDESELRVRIAEVGKDGLDYQVGKEGLDHQNEHMKLNSM >RHN56122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:27967802:27968934:1 gene:gene31469 transcript:rna31469 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLHKALAMSPKKESSTDFDGPQKKRKWEESPLSDQEFFKDLTHDLGKRKSIFDIELHLKTPLPSDKWQQYLTVQSGQIQLCNTKMNMKTQESKREYPLPEKSSLGHLSLDLELNLTCETLKKKEESYYEKKNNLVNESISKNKKDSSSWLSSSSSNGDDYKEMIATVCMKCHMLVMLCKSSPSCPNCKFMHPPPDQNPSKFLKRTRFSFLT >RHN63238.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49602339:49604049:-1 gene:gene25917 transcript:rna25917 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative asparagine--tRNA ligase MDDNVLEAICRIPKKLSEIFSTFSIQLFQDIKAFYMRQNDDGKTVAAMDMLVPKIGELIGGSQREERLEHLEARLDDLKLNKDAYWWYLDLRRYGSVPHAGFGLGFERLVQFATGMDNIRDVIPFPRTPGSAEF >RHN81851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49337970:49339043:-1 gene:gene5943 transcript:rna5943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MSTKHRHLITTSWTPPKELRVMSYPLDSVPIQSIFTSKATQLDYSPSIPSYWDARIASCDGLLFSAINKRQTVLWNPCIRKKNSWSRIKDLPAMTPYYGHMGIFISGTVNWLTYYDSNDLSTIVSLHLGKESYQEIPLPDYGNFDMLTLGVMRECLCIFSRKSSHSSLDVWLMKEYGYKEESCIKLICLSYFGDSGYFFTKILYTSEDDKHVLLVFKEKENLKWVVYDSKNDI >RHN38524.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:692774:693255:-1 gene:gene50641 transcript:rna50641 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MSPLIRIALAINSFSCSHTLRNLMKYSTLLHIFLNSIFNFFFYYLRNPLCKHKSSDHHLSVCQYVSQSQKEVDCAVCLCTMKEKEEIRVLRCEHVFHKDCFDTWIRYKYNNTTCPLCRVSVGSIREVDAQVIVHDHFHELPWLR >RHN78748.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18021310:18023083:1 gene:gene2396 transcript:rna2396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase MSSSTHSQGFGRPSSIQQRVIAPFCEGLGVILQDPYGTGMLETFCIGILKKLDYGLVHCQALVLAPTMELVLQIKEVMQDLGRFHGVKIHACVGGTSVPKEDRQRFLKVGYNSYIVEGTSNVYDIIDMDGQFIFKGGVHIIVGTPRCVYDMLQRGRTRLDCIKMFVMDEVDQMLSLGFKDKIDEIFKLLPCKIQVGVISATMPPEALEITRKFMKKPVTIQAKPDELTLEGIKQFYVDVEKEEWKLETLCDIFELTVKAKSITHCIVFVDTRCKVDWLTDKMQSRDHKVSVIQSDMDQNTRDIIVREFFSQSGSPHVLITTDPLVCGTDVHKVSLIVNYDLPAAPENYLYRIGRRSGQFGRCVAINFMTKDEATMIIDIQKFYNMVIEELPYNFDELL >RHN76201.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48485163:48489930:-1 gene:gene12491 transcript:rna12491 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIELDEAMKTIDSCLSQMNWSLKSSSKRRLQLDIIALITRMRPVVMIDYGGIMPQLQHQLSSLLQLAQNQSQIFQQLRLMVIQEMIYFIHVTELTHFVNSSLDSKLLFVDLEHESPQLITEIEKSQLAMQMVSIQKLFSTVFSSNGEEKLKDDANSSAHCSHCSSTECIDLSYCMENTDILVPTLNGWLLGYPVVYLFGKDHISDAVYNLSTKYLHIFQVFVCRNSNLNKGSQTEELLSFSVPYDLSTRGSKEQWAEAFLAHMQAKWERCPKVWKSLKMEVSECHPQAIVL >RHN82548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54681732:54683040:-1 gene:gene6719 transcript:rna6719 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLDLLPIHTTPQEESNQFTNEDGDNEFDEDINEDDDINTFVYTLVVHNKLCLGCVS >RHN48091.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44923156:44926363:-1 gene:gene42766 transcript:rna42766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zf-FLZ domain-containing protein MLLRNRSRAVTKPNLMADHSSQQSSNNQNCAKKTIPSLFGSPKFIRDFTTNNNNSILSEALKSPTSILDTRMLSPYGFGYPLFYDNKIQTVPNKTFSSKGIGLALIGNLKDDESIDENNSVEQNKGNVLFGTQLRVKVPTLPSPIYSPFESQTKTKDTKNSKLLSLSEMELCEEYTCVISHGPNPRTTHIFDNCVVEESYCSLPQNNSNSSYGNFLRVCYTCKKHLEQTKDIFIYRGDKAFCSRECRHREMVLDGVESLEEF >RHN65710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4661522:4663557:1 gene:gene13583 transcript:rna13583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MPPRRQNERSFHEIEMEEMRRQIQLLQETVKVQQALLEANQRRFDDDGFGRDSSSSRSTRLHQCQPRMKHIKVDIPDFEGELQPDEFVDWLQAIERVFEYKEIPEEHKVKIVAVKLKKHALIWWENLKRRRKCEGKSKIKTWEKMHHKLTRKYLPPRYYQENFTQLQLSKTSSYQPIPYTKNHIDSQKPLTHQPISSFKPQYNTFIERNTKIPKCFKCQEYGHIALDCVNRKVFTIVNEGINTIFEEERENIQESFEEETMREPIYDEEYVGVDFCEECEEEGKGDPIYDEYGPGNIHEAFEKEEHDEPIYDEKYIHAEYGESLEVERSLQTTITKDMVCNAIIDNKICENVESNYMVEKLNLPTKERLHPYKLQWLNKDNEVKVSQHSIISFSIGNNYKENSWCDVISMDTWRPCQYDRCALYDDYVNTCTFVKDVIKLAPLPLNDGKGEFKLLGLSLAKEPFKDKTKLCLLCPIPKPPWENVGTDFPSNTFTSVAKVF >RHN70459.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51575426:51575945:-1 gene:gene19039 transcript:rna19039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase M41 MVLKADDVDLVTIARCTTGFSSAHLEKLVNVAALRATKDGAKAVSMHDLEVARDKILLGSERKSTFISKESRKVTAFHESGHALVAIHIDGVLPVHKATIVPRGMALGMVSQLPDLDQTSSSRKQMLARLDVCMGGRVEVGLLKS >RHN75670.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44198724:44200043:1 gene:gene11905 transcript:rna11905 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLNRVWMAATVAVAQGHTDPGHKCKTAVGSIHQNRTRLFSAGSLSDLRPLSGVVVSDMSSEADEKLRRTDDSLRKVMYMNCWGQG >RHN77211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5099362:5102161:1 gene:gene639 transcript:rna639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein S17/S11 MAEQTEKAFLKQNKVFLCSKISGKGKRPGKGGNRFHKTVGLGFKTPREAIEGTYIDKKCPFTGNVSIRGRIISGTCHSAKMNKTIVVRRNYLHFIKKYQRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSSGAGAKKAFTGV >RHN48376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47078917:47079639:-1 gene:gene43087 transcript:rna43087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MKRQRDFEGLENIDLANCLMMLSYPQHQPQNNKPNQKSFAPVEYECKTCNKKFPSFQALGGHRASHKRSKLEGDELLTNSTSLSLGNKPKMHECSICGQNFSLGQALGGHMRRHKAIMNEEVSSMEQVVMKLPVLKRLNSARVMCLDLNLTPLENDLKLLFGKMAPNLDVLV >RHN74685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:35844910:35845548:-1 gene:gene10792 transcript:rna10792 gene_biotype:protein_coding transcript_biotype:protein_coding MMYFHYWSLQMILPTFADAQQELQWHKQDPPMGMGTRMSLPVEPWETKHSDHQLRSGQK >RHN57335.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38167437:38169437:1 gene:gene32861 transcript:rna32861 gene_biotype:protein_coding transcript_biotype:protein_coding MENWEFSPDMDVLSVAISKFMSFILLLMRCRNRVCLLNFYVCFLYVFRRCFVVYFSLLLCIVALSPTYNPTGCMFILLV >RHN41212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28211625:28213082:-1 gene:gene47509 transcript:rna47509 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MYLIPEEIGDLHQLKFVILGNNSFEGSIPSKLLNISSLTYLHLEQNYLSGIIPSKTGYSLPKLRQLSLYGNNFVGNIPNIIFNTSDLIQVDLNDNAFTGTVPNFFGNLRFFKYFLIGYNYLSIDDSHQFFNSLTSCRYLKYLDLSGIHIRSHLLSIFPNSIGNISSEFFRLDSCRIEGYIPIEIGNMSNMLFFSINDNNIKGPIPGTIKKLKNLQMLDLGNNGLQGSFIEEFCEMKSLGELYLNNNKLSGVLPTCLGNMSSIISLYIAHNSLNSEIPSSLWSVIDILESNYTIRPIKKSDFKNIPTTINSLQTLQMLSLADNKLYGSIPTSLGEMVSLVSLDLSQNMLTGVIPKSLESLLYLQNINFSYNRLQGEIPDGGPFKNFMAESFTHNGALCGNPRLHIPQCVEQVKKGQWESCYSNASFP >RHN51646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21577992:21578315:-1 gene:gene36128 transcript:rna36128 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLNNDYFTLPPLHPPFLHLPVPRLPTPPSTTANHHHHHHLFTPLSNLSLHLDPFPIESALSSFLSAVLPHQISVEENDLTVLNPDRDSSVAEFRNCEEVRYGF >RHN44903.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9689152:9689621:1 gene:gene39080 transcript:rna39080 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNTDGRDTLNLETYETMFPRTNNQLKNPNIRIEASRKSGDVIMNVLTLVEMLMDPNKWMELFPTIVTIAKTIEVISSRTKDGLSGSLQLVRLIFFFFRGHRLPSGCFIQNMPNGTSKVIKF >RHN58567.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2855263:2857065:-1 gene:gene20489 transcript:rna20489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MINPSIAYLNLSSLITLELSWNNFTSPLPNGFFNLTKHINYLDLSYSNIHGEIPSSLLKLRNLRQLYLSNNQLQGPIQDEIGQLAYIQYLDLSMNMLSGFIPSTLGNLSSLKSLLIGTNHFSGEISNLTFSKLSSLDSLDVSSSTCVFQFDLNWVPPFQLSELSLKNTTQGPNFPSWICTQKSLQFLDLSSSGISSVDRNKFSRLIEGIPFVCLSYNSITEDISNLTLMGDIIRMDHNNFTGGLPNISPMALEVDLSYNSFSGSIPHSWKNLEIVNLWSNKLSGEVPMHLSNWYGLQAMNLGENEFSGTIPIKMSQDLKVLILRANQFKGTIPTQLFNLSKLYHLDLAQNKLSGSIPECVYNLSYMVIDSFEPSQFLGNRRPIINLFTKGHDYVFEEDSDRRTIDLSANSLSGEVPLELFQLVQVQSLNLSHNNLTGTIPKMIGDMKNMESLDLSNNKFFGEIPQSMAIITYLEVLNLSCNNFNGKIPIGTQLQSFNASSYIGNPQLCGAPLKNCTAEEENHKKAMPSRGKEDDESIRESLYLGMGVGFAVGFWGICGPLFLIRKWRNTYYRFIDGVGDKLYVTLMIKLNNFHRNRASTS >RHN69082.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40858171:40863686:1 gene:gene17510 transcript:rna17510 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator ABTB family MSFIDDGGIDLDPSDFGTSVPLKKVPNGDVFQASRAGDLDRLRYLLECGVNVNARDQWDSTALYYACLAGHLDAARMLLENGAICSEHTFDGDRCHYSALNLKVRKLLKAFEARPPPLNPLQSSLRDTFFACPANSHSGGFNLSGFSSNYFPPDVVFTVQGRPIEAHRVILSARSPFFKKKFETDWKERGEVRFAREKLSYPALCSLIHFFYSDRLEIAVDDMENLVRICKVCKCESLQKILEKEVIHQKYADYKALTDIDNSQKRFILQGISLPEEDRLPAALRRILLTALSNSTYENGQDNGIDELVSRMDAMHMAKPLNDLADVCVRVDKKNFRCHKVILASRSEYFKARLSRMKDFHEGKDELYIDFLPCLEEHDMSVEAFEKMIEYMYTDRLQEINPDQAEEMFDIASRYLMFPLKRAVADVLLPHLETASPEELCHWLMLADMYGVLKIREFCLDTIACNFELFADTKEFRAMLLTLPPPSGDSSLRTTVPSMPGSSLNNDQGNLLDDLRDKWLEIEAAELDERDESALQFDKRLEMLMVVAEHEKSSGDAESEVVNDVTPLTFSPITAVISGEQKEP >RHN51949.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:28969194:28972677:-1 gene:gene36526 transcript:rna36526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MAYSKILFLSILIRFLCFATIEAQDSTFLHSYCSTNGTTSNSTYQINTKTLLSSLSSKATGNTEFYNTTVTTGNHSDSVYGLFMCRGDVSFQICDECIVNAIQKPSLDCPLSKEAIIWYDNCMVRYSNESFFSKVYAYPWVIQYRYANVSNTKSFMPLLFSTGYETADEAARPLIGDIKKKFATKEARVSKKQTLYCLAQCTPDLSPNNCRTCLYTAIDFLAQLFDGKQGGMVFFPSCNVRYELYPFYRSINTSPNELVPQTKHSKQDSRFSQDPIYLSYNCPRNHSTITKKNFKLLLSYLSSNATNGEKSHTVKVEEMLYGLFMCRGDLPVRLCGQCVKKATDQIYSKCLSSPKGIIWYSHCLVRYSDKIIFSNMETSPMYRDINITKHSSTEPNLFTSTLSNQLSQLANDTGDSDDRYKTNSLKLNDKQTLYSLAQCTRDLSSQDCSTCLNDVIVTAIPWSNLGSVGGRIIYPSCNLRFELFRFYMEGDEAQLPFQLQKNAKKIIIIVVPTIILVMLFCGYCCHKKRGRKSRRTILRENFGEESATLEPLQFDWVVIQAATNNFSADNYIGKGGFGEVYKGILVDGREVAIKRLSKSSNQGVEEFKNEVLLIAKLQHRNLVAFIGFCLEEQEKILIYEFVPNKSLDFFLFDSQQQKLLTWVERFNIIVGIVRGILYLHEYSRLKVIHRDLKPSNILLNENMIPKISDFGLARIVEISQDEGNTNRIAGTFGYMSPEYAMLGQFSEKSDIYSFGVMLLEIIAGKKNKSPFTPHHVAYDLLNHVWRQWMDQTPISILDPNIKEDYSTNEVIKCIQIGLLCVQNDPNARPSIVTVASYLSSYAIELPTPKEPAFFLHGRTYSDVLAQESSSTQSANSSALFSINQMSASTFIPR >RHN82173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51694798:51698985:1 gene:gene6300 transcript:rna6300 gene_biotype:protein_coding transcript_biotype:protein_coding MASDERVVRRQIGRKGSMARTWLLMGSEGQRQMVEASRQLIMQRTGLTARDFRILDPMLSYSSTIVGRDRAIVLNLEQIKAIITAHEVLLLNSRDPSVIPFVDELHAQILHHHTTVTDHPQPQGLDGKDKGEMRQDSSRKKILPFEFVVLEACLEAVISSLENEANILELEALPALDKLTSKISTLNLERVRHIKSRLVALTARVQRVRDELENLLDDDGDMAELYLTDKLAQKKFENSSTFSSMNNNGDDMMMNRVLQPNIVDRTGHEISLERGGDSTCDHGDYENVVGEEISGTQAGTVYSGVTNVSELEMLLGAYFVQIGGTVNKISALSDYVEDTEDYINITLDDNQNRILQTGVQIGTASVILNCFVAVTGVFGMNIHIQLFDDGGMKEFLGTIFGCTGACVILYFLAMLWYKKMRMLE >RHN77573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7815558:7815974:1 gene:gene1040 transcript:rna1040 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRRMHEDEEDERGGGGGNKRRRPSFIDGDAEEVDEDDEEDDYDDDDDEDYDEEEDEEEFEEGKYVLYRMHAGFIIGDVQDEDDNTNRGRAHHHHQPPHQEDHEDLEDRGGSKARRPRALARAPSKFFCNFLCKSL >RHN82109.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51301136:51302059:1 gene:gene6234 transcript:rna6234 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MSPEYAMLGKFSEKSDVFSFGVMIIEIITGKRNAKSYESNHDAKGLLSYVWRQWNDQTLLTAIDSNIKEKYSQIEVIKCIQIGLLCVQENPNARPTMATVVSYLTNHSLELPFPQEPAFVLHSTIDQKIVGQQESSSGLSASSSKPFSVNDMSISTFYPR >RHN59381.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10119496:10120980:-1 gene:gene21407 transcript:rna21407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MMEKHKCKFCMRSFSNGRALGGHMRSHMMNLPKQTESPTPPPPRAPTVQLSFEAESASSLSSYGLRENPKRSFRFTDPNQFIDAGSIILQEDRGSETESSKNPTGPRSKRVKKDNDPVKNSVQNESFSVLSSSSDITTEEEVAFFLISLSREDNNIWKTHTQRHDQQHQDDQYEQQQVEEDEDQEEDEDVEEEEEEEESEAESEEEEEELKPLKKVRGRYKCDTCNKVFRSYQALGGHRASHKKNKQVAESGGDYSISQHHEKINIEKNINVSEKKIHECPICFREFASGQALGGHRRTHNIGFGSASASTSAAAITTMVRRNVKVARVSANVGDSLLDLNFPAPMDEDEDEHEDNGDDDVSQVEGSAVSDAEFVKPH >RHN67427.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27117185:27117457:-1 gene:gene15616 transcript:rna15616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitogen-activated protein kinase MATLVEPEIRNRPRRKHCYTMWETLFDIHTKYRPIKPIGRATYGMVCSSINMDTNEKVAIKKISNVFDDCGDALRTLREMKLLRHVGMRM >RHN59930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14903971:14905388:1 gene:gene22100 transcript:rna22100 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSSPETLYFSSKTSGFEDFDFEIDGDSRSPSFKAMESFEESVF >RHN45178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:12645057:12645668:-1 gene:gene39403 transcript:rna39403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVETLKFVYVLNVFLSIFLAVIVSTSSFPCIPFPCITDKDCPKRNGFVGKCRKGYCVGV >RHN68132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33133907:33134347:1 gene:gene16434 transcript:rna16434 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Agenet-like domain-containing protein MRPPMRIVNYRVGDLVEVCIKDEGFWGSYFEAKIVACLENGEYVVRYKNLLENDESGPLEETLLSKDLRPIPPSVQNPSAFQLNQKVDVFCNDGWWLGKITSKKVFRRNHYYIWVYFPTISIRRLCRCDQIRVHHELSGGDWITRS >RHN58646.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3624092:3627297:1 gene:gene20574 transcript:rna20574 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MEEVNLCMRMSIVIEVLVMALGAVLVSLIHFLNVLILRPRSLRAKLHRQGIDGPSPHFYFGNIKEMKTLLLQQQSQVKQKKQEEHGFVSISHSWTTTLLPHIHKWTNQYGPTYLFSTGSIQWLMVTDMEMVKEIILNTSLNLGKPSHLSIDMGPLLGQGIISSSGPIWAHQRKIIAPELYLDKVKAMVDQIVDSTDIMLRSWESRIESDGVVSEIKIDEDLRSLSADIIARVCFGSNYVKGKEIFTKLRDLLKLLSKIYVGIPGFRYLPNKSNRQIWRLEEEINSNISKLVKQRQEEGREQDLLQMILEGAKNCEGSDGLLSNSVTRDRFIIDNCKTIFFAGHDTTSITASWCLMLLATYQDWQDRVRAEVLEVCGNDNLDANILRSMKTLTMVIQETLRLYPPAVFLTRTAFQDINIKGIKVPKGMNIQIPIPILQHDIDIWGADAHEFNPERFANGVLRACKIPQAYMPFGIGSRVCPGQHLSMIELKVFLSLILSKFHVSLSSSYCHSPAIRLLTEPGHGVVLKMTRV >RHN68063.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32447839:32451859:-1 gene:gene16353 transcript:rna16353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casparian strip membrane protein MMEKGNATVVSATRSPMQVMMAPAAAAAATGEELEGNTTSFLRTAETFLRLLPIGLCVAALVLMLKNSEENDYGSVSYNDLGAFRYLVHANGICAGYSLLSAVVVAAPRPTLLRAWTFFFLDQVLTYIILSAGASSMEVVYLAENGDSATVWNSACGSFGQFCHKVTASVAITFVALFCYVILSLISSFKLFSNYDVPASCPNTTGNDHNIAPAFNG >RHN78947.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20191319:20205594:1 gene:gene2616 transcript:rna2616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase TKL-CTR1-DRK-2 family MYGGGERECESERTMMIMQNDEDEEDEEGSSSSFKSWAKQTEESYQLQLALALRISSHSASSAQSNRFLDLESTSSPSPSSSSSDSPQSLSHRFWVNGCLQYSDKVLDGFYLIHGMDAYTWTISTDMQNVGMIPSFESLMSVKPCDNSSVVVVAIDKSRDPALRELQSGVLSLSSNWITIKDATDQLANLVCSRMGGGSSDEENLGARWKECSDILKSCLQCIILPIGSLPVGLCVHRALLFKVLADLINLPCRIAKGCKYCRKDTGASCIVQFGSDREYMIDLVGRPGDTCQPDSSVNSASSMLIPSPLCHPRFKPVETAEYTKTMAQLYFLDNQALHLVFDTTSGGTVDCRDNVDLQQTEMFGANYAGGNNHIGPGTEEHVSFNEANQSVVNYSSHEVDLEEEDLDIPWSELILKENIGTGSFGTVLRADWRGSDVAVKILKVQGFDSERFEEFLKEVTLMKRLRHPNIVLLMGAVIQPPKLSIVTEYLSRGSLYEFLQMPGVGSSISEKRRLSMAYDVASGMNYLHQMKPPIVHRDLKSPNLLVDDSYTVKVCDFGLSRTKANTYLSSKTAAGTPEWMAPEVIKGELSNEKCDVFSFGVILWELVTLQQPWRQLNPSQVVAAVAFMGKRLEIPRHVNPQVAALIELCWSTEPRRRPSFSYIMKCLQQIIANAKE >RHN44800.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8593874:8597466:-1 gene:gene38964 transcript:rna38964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoglycoside 6'-N-acetyltransferase transcription regulator GNAT family MAIDHESRITLRPFKESDADDVLLWASDERVMQYTRLKLCKSREQALNFIKKDCIYPFQQSICLDDHSIGIVFVLPISYAKFKADLGYAIGFNYWGQGVATKAVKILLSCVFQDFPDLVRLQANTRPDNIASQRLLEKVGFQREGLFRKGIYNKGNVEDFCIFSFLSTDEIPHAKG >RHN51836.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:26412733:26413707:1 gene:gene36376 transcript:rna36376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSSCCARFRSSTNPISLFPFLRIRLYSHSSFNSNNLDNVVSSFNHILHMNPTPSIIEFNKILGSLVKSNNNHYPTAISLFHQLEFHGITPSIVTFNILINCYCHLPEMDFAFSLFGKILKVGFQPDIITFNTLIKGLCVNGKVKEALHFHDHVISLGFHLDQVSYGTLINGLCKMGKTTEALQVLRKIDGKLVNTDVVMYSTIIDSLCKDKLVTEAYVLYSEMITKRISPDVVTFSALIYGFCIVGQLKEAFGLFHEMVLKNINPDVYTFNILVDAFCKEGNTKEAKNVIAMMMKEGVIPDVVTYGSLMDGYCLVNKVIKPNMC >RHN39555.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8179398:8183087:-1 gene:gene45586 transcript:rna45586 gene_biotype:protein_coding transcript_biotype:protein_coding METEAPSMENPKISAYYQTRLAHFGVVSTEWLAQAQSATNPNPPLPSSSTNSHTDKDTNFSVIDEFNRWRNHPDLAEAVAAIRALAAVISASKASTMMQLEIELKNASDTLKAWDTTSISLTAASDLFMRYVTRTSALEFEDFNSAKARLIERADKFGEISYKARKIIGMLSQDFIFDGCTILVHGFSRVVFEVLKLAAHNKKRFRVFCTEGRPDRTGLRLSNDLAKLDVPVKLVIDSAVAYTMDEVDMVLVGADGVVESGGIINMMGTYQIALVAKSMNKPVYVAAESYKFARHYPLDQKDLAPALRPVDFGVPIPSKVEVECSARDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >RHN52026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30586626:30587208:-1 gene:gene36640 transcript:rna36640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease T(2) MKFAFPIYFLLSFFTYAIGYEYDYIVVSFQWEPATCREPFTQCRQNPREDFSIHGVWPTKYQGPLWIPAPTYCAGGKSFDRSVCDLRYGDLRNAWPNMLGENFRFWKA >RHN61546.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:36293394:36297018:1 gene:gene24020 transcript:rna24020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(24)-sterol reductase MSDLEAPLRPKRKKIWVDYFVQFRWILVIFVVLPISFTLYFLTYLGDVRSEWKSFKTRQKEHDANVEKVVKRLKQRNPSKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNVLNIDKERMVARVEPLVNMGQITRVTDNDEKVPDFVETMIYSPTRAVCMTGRYASKEEAKKKGNKINSVGWWYKTWFYQHAETALKKGLFVEYIPTREYYHRHTRCLYWEGKLILPFADQWWFRFLFGWLMPPKVSLLKATQGEAIRNYYHEMHVIQDILVPLYKVGDALEWVDREMEIYPLWLCPHKLYKLPVKTMVYPEAGFELQRRQGDTQDAQMFTDVGVYYAPGPVLRGEVFDGADAVRRLENWMIENHCFQPQYAVSELNEKSFWRMFDAGLYEHCRKKYGAVGTFMSVYYKSKKGRKTEKEVREAEQAHLETAYAEVDQPAD >RHN80779.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:40807795:40808443:-1 gene:gene4745 transcript:rna4745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative immunoglobulin E-set MSGSLAAGRGGNGSSSDVPVPDPQPFSIPHLFVWPHGGESAFLCGSFTGWSTNLPMSRIEGRPTGFQVVCYLTPELHTVFGLYMNF >RHN52657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:37454148:37454522:1 gene:gene37351 transcript:rna37351 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MVMSYKVQFLSSNWIIIAKGFKLDHVSYRTLINELCKTGETRAALQVLRKIERILVKPNVLMYTTIIDSLCKDKLVIDAYDLYSEMIKKISPDVVTYNTLVHGFCIAGQLKEAIGFIDHTLLKT >RHN57940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42662041:42664740:1 gene:gene33532 transcript:rna33532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tubulin binding cofactor A MDTVRSLKIKTSTCKRLVKELHSYEKEVEREAAKTADMKNKGADPYDLKQQACLKIENVLGESRMMIPDCRKRLEAALEDLKGILGELLNETDKKESPEIDEARNIIVEVEKVFETIEA >RHN41263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:28698141:28717857:-1 gene:gene47567 transcript:rna47567 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator Others family MKRSRDDGYMSSQLKRPVLSSRGEPSGQAQVMTGGQKLTTNDALSYLKAVREMFQNDKEKYDEFLEVMKDFKAQRIDTAGVIERVKELFKGHKDLILGFNTFLPKGYAITLPSDDEQPLQKKPVEFEEAINFVGKIKNRFQGNDRVYKTFLDILNMYRKELKPITAVYQEVSALFQDHGDLLEEFTHFLPDTSGAAAAHFASARNPLLRDRSSAMTTGRQMHVDKREKTTTLHADRDLSVDHPDPELDRGVMRTDKEQRRREREKDRREERDRRERERDDRDYDNDGNLERLPHKKKSVHRATDPGTAEPLHDADEKLDLLPNSSTCEDKSSLKSLCSPVLAFLEKVKEKLKNPDDYQEFLKCLHIYSREIITRQELLALVGDLLGKYADIMEGFDDFVTQCEKNEGFLAGVMNKKSLWNEGHGQKPLKVEEKDRDRGRDDGVKERDRELRERDKSTGISNKDVSIPKVSLSKDKYVGKPINELDLSNCEQCTPSYRLLPKNYPIPLASQKTELGAKVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESINATSKKVEEIIEKVNDDIIPGDIPIRIEEHLSALNLRCIERLYGDHGLDVMEVLKKNASLALPVILTRLKQKQEEWARCREDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLGEIKEISEQKRKVDDVLLAIAAGNRRPILPNLEFEYPDPEIQEDLYQLIKYSCGEVCSTEQLDKVMKIWTTFLEPMLGVPSRLRVPEDTEDAVKAKKDSAKTGTASIAKGDSSPGVGATVMSPKNTFEQSNSCKEWQTNGVGGVKEDDCLKSDRSVPKTETLGSSTLQGNVHINASIPDEVSRVNKQDHSIEQLVNANVSMSSRVEQSNGRTNINNASGLAATLSRPGYVYREGGLDLPSSEGADSTRPDTSTNGAIIEDTKAHRCHKESVGHFKSEREEGELSPNGDFEEDNFAVYANAGLEAVHKGKDCNTSQHYQNRREEQICGVAGGENDDESDGSPHRSSDDSENASENGDVSGTESADGEECSREEHEEDGDHDNKVESEGEAEGMADANDVEGDGASLPYSERFLLTAKPLVKYVSPVFHGKEENVQIFYGNDSFYVLFRLHQTLYERIRSAKINSSSAEKKWRASNDTSSTDRYARFMNSLYSLLDGSSDNSKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQAVASGTDEMDNKLLQLYAYEQSRKSGSFFDVVYHENARVLLHDENIYRIECSPTRLSIQLMDYGHDKPEVTAVSIDPNFSTYLHNDFLSVVPDSKEKSGIFLKRNKLKCARSDELSSQVMDGIQVINGLECKIACGSSKVSYVLDTEDSLIRTKRKRGSLHQNNSYCGPTMSSNTCSSRVQRFYKLFSIK >RHN81847.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49311995:49313192:1 gene:gene5939 transcript:rna5939 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLKSSTILLLLTILLSVMQATTSRNSVTHVTLVDMERRSETKLSSMFVHSYSAILTSLNNKKGKFHAVSRHVVPSGPNPLHN >RHN60389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26093100:26094865:-1 gene:gene22696 transcript:rna22696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase MSKTIVDECRGVLHVYNDGSIVRTLNPAFNITVQDSGTVLWKDVVFSSTHNLHLRLYKPANSSPSKKLPIFYYIHGGGFCIGSRTWPNCQNYCFKLASELEAVVVAPDYRLAPENRLPCAIEDGFMAVKWLQEEALKSEMDPWLSHVADFNRVFISGDSAGGNIAHNLAVRLGFGSVELAPVRVRGYVLLAPFIGGTVRTKSEAEGPVDAFLNLEYIDRYWRLSIPIGEDTDHPLVNPFGPRSKSLEEIDLDPILVVVGENDLLKDRTEDYARRLKNWGKNIEYVEFEGQQHGFFTIDPNSEPSKELMLVIKQFIEKY >RHN68107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32910870:32912239:1 gene:gene16407 transcript:rna16407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MEGRRERPFYEEMSTTESKREKLAGLSLDDILANQQRHGSTPPSSTTPRQPPPKSRTLIDIIKDDESNKKDRRSWKAFKDKLRLKRAGSAWTSSIPIPTSDIPIQNPNSRSFSQFGRRNSVRVQTHYDSDMSTHQVDELDPSDESNAPASRPKFTRGSSTRFTADSSENSGGGNLRPQLSRKNSTNVSSADPFRKGRVVTFRDSFDDEEPDDDTKHPETGRALSAREAVAAQEAAEAAAEAEAEAEAATGPPTMSLMDLLGETDREMGLEGSRYILSDEEDFSDDDYEEGEDDEDGESALEHTCSICMVKHKGTALAACGHSFCRMCSRELLVSKGNCPLCNNFVLEILEIF >RHN39556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:8184241:8188605:-1 gene:gene45587 transcript:rna45587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MVGPKKHFLPIIFITLIAFIFYTFHNSSLPSSITESNPNFTVKSPIQINPNSTISPNFTFLIKVLAFNRLDSVSRCLRSLAAADYLGDRVHLHVYIDHFAPLNNSDVDLKLIESHRILDFVDVFEWKYGEKVVHYRTGNVGLQAQWLEAWWPGSDDEFAFVVEDDLEVSSLYYEYVKALIVNFYYNGSNYSPSIFGATLQRARFVPGKHGNKLQLDDQTRLFLYQLVGTWGQILFPKPWKEFRLWYDKNKAKGNKPFLEGMVTTGWYKKMGERIWTPWFIKFIQSHGYYNIYANFLHERALSVSHRDAGVNYGKTAGPDSQLLEERSLDFNILEMQPLSSLKWFDFCFREVLPGRFVRNLEELGALLHSMQKQDSVFLVNLLGVSDAVARNLLCHFERLNIRNYILMAPPSDSLFDLARRGHPVINVDQFVSSIGTNKLSSQGSSFETIKGIVAKAYVIKKCIENEYNTWVLDGSLLLTSDVLLESRNPNDDFCVANKLELFYAKSSPSSEKIWTNGFVSKIVAMADSLGRKDSSNQGSLSFVYIVTKLLEQNGASIRRVDGTSFGLKIGSGGVSKSSLEDKKLVYWSTEMELDSIQKRLEELNLWSIDNELSCTAVVCHKS >RHN69367.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42937912:42938229:-1 gene:gene17823 transcript:rna17823 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEALAMGGVDYKERAINHCESQGGLTPPQAKSQTDDLSLGTHRVINNNNSCVYSSKLFPYDEWVKLKMREWARAVASNNETKANLKVSEIFLIMDHHRHIRGN >RHN61703.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37652926:37653676:1 gene:gene24192 transcript:rna24192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MKKLRHCEEENKEGEDRLSDLSDCLLLRILSFLNAKHVVQTCILSKRYEHLWKHIPTLILQASTFATLKQFSKFVSKILTLRDTSTALLALDLHRPGISVCADSALIFPHVSSCQFLTSLKLLVYHRGLYYNNQKTVFPKALNLPVLTNLDLTSFAFSSDGNDYAEPFSAFGRLNSLAVRDCTVKDARILNISSETLVNLDTHSKSSDFAKIELSTPESLYPYFHW >RHN59475.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:11083228:11084013:1 gene:gene21506 transcript:rna21506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MSLLLKNPSTTIQYDPYFGLNEDYRRWRVIGSCNGLICLFDLYYKLTSDHSSSRHLCLWNPATRTQSEFVLASSHKYDEFSFGYDNLRGTYKVVVYHIEHDDVGDAISEMKIFSLSDNYLINIQCFPAVVPVSFLFSSRRRHLSGTINWLVVQDYYYNSIITMEKYVILSLNLSTETFTRLLLPRGFDDEVPNYQPRLVVLMDCLCFCYDFQNTHFVIWQMKDFGEESWIQLYKVGYKTLFSTLIPTNHLFSSLKFKGQGL >RHN72410.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7409563:7410396:-1 gene:gene8117 transcript:rna8117 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVEATMESPSTILPDELIIEILFRVESSNPFQLMCVCKLWNSLILDPQFMKKHVGRSLTEIKDLHNKAKEHYIAFQSQIVGNNPIMPQEQVDGDDHEDEDEEAAAEEEGDEGEEGNEQVDGDDHEDEDDYDKDEDEEAAEEEGDEGEEGNEQVDGDDHEDEDDYDKDEDEDEEAAEEEVDEAEKGNDNEEGKEEDAEEEDKEKKLLMNIVAQLDVVLGNVVGQLNILDTIKVSQLDDMLVKTIRANLLAQLDRVKCLKSFLEVYLESPTSSFSSSQL >RHN47644.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41222755:41229471:1 gene:gene42266 transcript:rna42266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-reducing end alpha-L-arabinofuranosidase MVFSKSCCSFFRLCLIIVSFVAFQCNADGNQTSTLVVNAGSAGRPIPNTLFGISLEEVNRAGTGGIWSELVSNRGFEAGGTQVPSNFDPWAIVGTEADIHVVTELSSSFERNKVALRIDVLCDNCPVDGVGISNPGFKGMNIVQGKQYKVALNYRSSGPQDMTISFRDAKSGGILGSSQIIKRNKKVAKWKKMETIITASASSSNASLTLRTTKKGTIWLDQVSAMPQDTYKGHGFRSDLVEMIMQLKPAFFRFPGGNFLRGGALMNAFRWKDTVGPQEQRPGHFNDVWNYWTDEGFGYYEGLQLAEDIGATPVWVINNGLSETDSVDTSAISPFVQEALDSIEFARGPSTSKWGAIRASMGHPEPFVLKYIALGSNNCGMKNYLGNYLAFHKAIRQSYPDIQIISNCDAHEKPLDHPADLYEYKNYPKDDARAMFNLGVNFDKSPRNGPKAFVSEYALIGDKQAKFGTILAGVAEAGFLIGLERNSDHVAMASYAPLLVNANDRNWSPDAIVFDSDQSYGTPSYWTQVLFKESNGATFINSQLQTPDPGMLAASAILCKNPQNNDTHLKIKIANLGNNQVNLKISLQGYVSKNLAGSTKTVLASQNILDENSFTEPKKIAPQQSPLQNPGNEMNVIIPPISLTVLDIF >RHN60249.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24245687:24249794:1 gene:gene22521 transcript:rna22521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative formin, FH2 domain-containing protein MSLFLNACLKYMMKHDSDSIKFQVNFGTYIDIEIGQRESWDILVHFFEEENVWKRCRKELIEGNNDVERSSDKLYSSRYLLTKHSSNDHHRHRRHHGTNSIAESPQDYLSNISPMSPSPSANSPSPRHSLVSPIHLSTPASSSSPSPTPAPSTHPPTPASSSSPSPSPTPVPSTGVEFLPLTPDKSGPPPSPPHQSVNPFQIFTPITSVPTPSPSFNNQHRSKEAIILAACISGIIILIAFALCYREAKSSKVKKDDGPLLVLTSNDYSGGPQKVVHSGNANTEESGVIINKGKNPANVGNSSMKAGDNNNISLVETITASEKMGEQIAGTSSGKPAPPPPGPPPVPPPRPPPLAPRPPPPPKGGHPPPAPPKPMAGKNQVKPHGPLNQGSSDEGGDAPKPKLKPFFWDKVNAKPDQTMVWHEINAGSFVFNEEMMESLFGTNQNKNERRKDSPSVDNAVHFIQIIDPKKAQNLSILLRALNVTTAEVVDALKEGNEIPAELIQTLLKMAPTQEEELKLRLFSGELSQLGPAERFLKVLVDIPFAFKRLESLMFMFILREEASSIRESFATLEVACEELRKSRLFLKLLEAVLKTGNRMNDGTYRGGAQAFRLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGIRAVRTERASKSVSSVGTVDVDYENEDSEDHYRSLGLQVVSSLSNELEDVKKAALIDGDALTSAVSKLGHSLIKTQEFLNTDLKNLEEDSEFQSCVEKFMEHAKGEVTWLVEEEKKIMLLVKSTADYFHGNAGKDEGLRLFLVVRDFLIILDKVCKEVKEAALKSMKGSWKKEAPSVAVPSSPDTRHHPESPQPPPPPSDLHRRLFPAIAGRRVDYSSSDDDEEDNF >RHN75485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:42628155:42629141:1 gene:gene11703 transcript:rna11703 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEFSTTSSVCSTDLSCVSSEAEEETSAMVLLGCLQCHMYVLLSEADPNPKCPKCKSTVLLDFLNNKQNTRKTSS >RHN66941.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:21971115:21972065:1 gene:gene15064 transcript:rna15064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MNLWNNKLSGELPLYISNLKELETMNLGENEFSGNIPVGMSQNLVVVIFRANKFEGIIPQQLFNLSYLFHLDLAHNKLSGSLPHFVYNLTQMDTDHVNEWYATTLDLFTKGQYYVTDVNPHRRTVDLSSNSLSGEVPLELFRLAQLQTLNLYHNNLIGTIPKEIGGMKNVESLDLSNNKFFGEIPQTMARLNFLEVLNLSCNNFNGKIPTGTQLQSFNASSYIGNPRLCGAPLNNCTMKEENPKTATPSTENEGDDSIKESLYLGMGVGFAAGFWGICGSLFLIRKWRHAYFRFIYGVGNRLYVTLMVKLNSFRRI >RHN59161.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8051303:8054983:1 gene:gene21153 transcript:rna21153 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MAEGILFNMIEKLIGKLGSVVVQCWNMRDDLDKLVENMSEIKAVVLDAEEQQGTNNHQVQLWLENLKDAFDDADDFLDYFNTEELRRQVMTNHKKAKKVRIFFSSSNQLLFSYKMVQKIKELSKRIEALNVDKRVFNFTNRAPEQRVLRERETHSFISAEDVIGRDEEKKELIELLFNTSNNVKENVSVISIIGIGGLGKTALAQFVYNDKKVQEHFEFKKWVCVSDDFDVKGIAAKIIKSNTTAEMEEVQLELRNKVKGKRYLLVLDDNWNENRNLWLELMILLKDGAEGSKIIITARSEMVAKASGSSSILFLKGLSEKQSWTLFSQLAFENDRELENEELVSIGKEIVKKCAGVPLAIRSIGSLMYFKEKEDWSTFKNKDLMQIDEQGDKILQLIKLSYDHLPFHLKKCFAFCSLFPKDYFIPKTTLIRLWIAQGFVQSSDDESTSLEDIGHMYFMDLVYKSFFQNITEDNFYGSVSCQMHDIMHDLASVISRNDCLLVNKKGQHIDKQPRHVSFGFQLNHSWQVPTSLLNAYKLRTFLLPLKWVNSMNGCDRCSIELCACNSILASSRRFRVLNLSFLNLTNIPSCIGRMKQLRYLDLSCCFMVEELPRSITELVNLETLLLNRCSKLRELPKDLWKLVSLRHLELDYCHNLTSMPRGIGKMTNLQTLTQFVLDTTSKDSAKTSELGGLHNLRGLLEITGLEHLRHCPTEAKPMNLRGKSHLDWLALNWKEDNVGDANELEKDEIILQDILLHSNIKTLIISGFGGVKLSNSVNLLTNLVDLNLYNCTRLQYIQLAPLHVKDLYMRNLPCLEYIVNDSNSDNSSSSCASLTDIVLILLTNLKGWCKCSEEEISRGCCHQFQSLKRLSISGCCNLVSIPQHKHIREVILREVRETILQQAVNHSKVEYLQINSILNLKSLCGVFQHLSTLYELYITNCKEFDPCNDEDGCYSMKWKELSNLKMLTFKDIPKMKYLPEGLQHITTLQTLRIWSCENLTSIPEWVKSLQVFDIEGGKSIRLLSCPFFNDDRRIITTQVKAPTQA >RHN47485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40097252:40097716:-1 gene:gene42095 transcript:rna42095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative shikimate O-hydroxycinnamoyltransferase MQHFLRTVTQNCSFDDVVNKPLCYVVGKVREAIEKVNNEYVRSVLDYVENQKDMNWLRDKFYNFARRNGQFGGDPNFCVYSWTNFPFYETYYGWGKPDCLAPGFVNSDSIGKAFVIDEGNGDGFVISVCLQPFHIDALKKLFYEDMEMITSFKL >RHN77668.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8515795:8519632:-1 gene:gene1144 transcript:rna1144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dual-specificity kinase TKL-Pl-4 family MHEITISTSDKPKLFSQLTTLLSEIGLNIQEAHAFSTLDGYSLDVFVVDGWAGQDIESLKHEVTKKMQKLEEDQWLPLHLFPKETGTLKHIPTKNIPTKKIKKLEKQPWCSLPKERPEKIGMNYIFNHVNKPISRNDVWEIEASCLKYEKKIASGSVSDLYKGTYINQDVAIKVFKNGSLNENMHREFSQETFILSKIQHKNVIKFIGACTKPSFHLVTEYMPGGNMYDFLHIQKVVLTLPSLLKVAIEVSQGVAYLHQNNIIHRDLKTANLLMDEKGVVKVADFGVARLQNQSGIMTAETGTYRWMAPEVIEHKPYNQKADVFSFGIIIWELLTRKLPYEDLSPLQAAVGVVHKGIISYHKREFKDDKPLSLTGFAR >RHN77940.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:10667245:10667748:-1 gene:gene1450 transcript:rna1450 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EF-hand domain pair protein MSIYTCASVENLANMCPLTTNELELIFEKLDINSDGILTLEELNQLLVRTGFKYSIEELEYLVGKKSLNLSEFLCFYDSILNHKNGDGGDAEIEELESDLLKTFKVFDLDGDGFITSQELECVLKRLGMWDEEKDCRSMIYSYDINLDGKLDFKEFKNMMLLTLQSV >RHN59415.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10430068:10435518:-1 gene:gene21441 transcript:rna21441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multi antimicrobial extrusion protein MCNGAESRGNINGEVVISKVENCHEERMRNTEGSERACNCGTGLLQRIYLHLSTLLPTISVSEVKEELRSLSKIACPITMTGLMMYSRSIISMLFLGRQGKAELAGGCLALGFANITGNSILKGLTMGMDPLCCQAYGAKRWSVLNQTLFRTLSLLLLVSIPISLLWLNMEPLLQLLGQDPSVTKVAQIYMLYSIPELLAQSFLNPLRTFLRTQGLTTPITMIASIAALLHLPINYFLATYLKLGVKGIALATGMNSINITLGLLVYIVFSNKPLKPWQGVNIFDSIFHGWKPLLSLAIPSCLSVCLEWWWYEIMLFLCGLLSNPQTSVSTMGILIQTLGFLYIFPYSLSASLTTRIGHSLGSGQASRAQGTAIIGILVAFLLGVSAFIGSILVRKNWGKLFTNEVQIIDMIRKVLPILGLCEISNWAQTVSCGILAGTARPYVGARINLCAFYLIGLPVSIFASFVYKFELVGLWCGMLAAQVSCFCMMVYTLIQTDWEQQTRRALELAKTSTEQESVIDEESVLLDSL >RHN46434.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31673067:31675001:-1 gene:gene40918 transcript:rna40918 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPTKVEWLKSIQTLLTSVDYDYIQSCSISVVPESLKKLNEDAYMPRVVSIGPRFKGSRDDLLLMEEVKLRCMLSLLHRGADVASVSLEKCSEAVWELDEEVRASYVTHIELQQHELGKIMLLDGCFLLELLISKGLDSQFPSRLWPPGPATEVLKEHDVLSDLMLLENQIPIMVLHKLSQTLFPNVFEPDRIETEEEKKERIEREHRIETEEEKKERIERERRIEREEQRKRELRAKKINNLALSVLGYYPLQSPCLEAPHILDLVHFFVNGTGERRMDNLVLGITDTTAIEKLKLNRCALSLQAAGVSIKVLEDRDKGISCFGLMRNCFGGVIVRIGNFIKKTKHRNATVSQVKGLDFHFKFDNGKLKIEQLHITETTKAKWRNVIVWEHHKKKGKSSSISVAIEDHGLNSPNGKFTLSALIFNGLICCSADLNFLKEKNIIVDHTNMSNEKLKEFFRTIVSGIDSGILDSSYVKLVDDLNNYSGAFFIIRILKILWHESTYCLEWLIKFLKQNYNFVAALLAILSIVKTVLAVLAYILNK >RHN41831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:33427634:33436760:1 gene:gene48193 transcript:rna48193 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase IRE1 family MKLFLFLRFLFLFFFFSLYNQHCCCIHALSRSPTARSILSLPPPPKQATSLIATLDGTMYLVANHENGPMRMIWSFCSGSPIYSSYQAPINKGRGKGKENASAVPVSGFMECGDDWSLYMHDEHYGKMRISESIAEFVPRTPTISHDGAIILGYREITLFEVEAKTGSIIRIHAKPDPDNASAPLSDDRKTARSIANADNKVLADPEKLNSSEPVLKIFRTDYFLKSVCPNSGIVLWNLRVAEFEAVLLCQHTTFEVEDENPSVSGLNFTMPYPCQEMQKVFRLKKNFLLEPLITESSHGAYHGNDILLMPTSDMMIPLQPNIDRFFDGHDDNMMMLPMPFLQQNNDTTDELFQPLVEIALNLNKKSGWSTPLPNVLFKVFGLVLGISVIIYFIFVKVFKNDREVWPNFNQVDEGIDGRRIGKLFVSNKEIAIGSNGTIIFDGRYEGRSVAVKRLVKAHHSVASKEIQNLIVSDYHPNIVRWHGVEHDQDFIYLALERCICNLDDLIQIYSDTSENSGFRKDQAFICLIKSQMETGNDTTQYLWKENGYPSPLLLKLMRDIVAGVVHLHELGIIHRDLKPQNVLLIKERSLCAKLSDMGISKRLLDNMSSLGHSATGGGSSGWQAPEQLVQGRQTRAVDIFNLGCILFFCMTGGKHPFGQHLERDINIVNNRKNLFLVQFIPEAEDLISCLLNPDPNLRPKATEVLQHPFFWNSETRLSFLRDTSDRVELEDRYLHSSDLLRDLESIAATALGGKWDEKMEPAFIVNIGRYRRYNFNSVRDLLRVMRNKLNHYGELPPQIQELVGPVPEGYDDYFANRYPRLLIEVYNVICKHCKEEECFQRYF >RHN63513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51868891:51874029:1 gene:gene26217 transcript:rna26217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative poly(ADP-ribose) polymerase, catalytic domain, RST domain of plant MEQPIYNLPKHDSAGEDESAISDCESVASGGHDSSRPVRKTTEGADINLIRSRFVCGLSAQGLKPYGVAIFRNPCKTVMAQARIQSFEIFARAVAKMRGGNANVKHVWYGASSREEIVDIIQHGFGYVHSNGLRLSPNDTPLESVKRTVVDKQGFRHLLLCRVIMGKLEAVPAGSDQRRPSSEEFDSGVDSFSSPKEFIVWSNKINTHVLPEYVLSFKLASDKGHEKVGVGGQHMRPSSPFMQFPTLISALSKILPPSDIVSIAKFHKEYRDKKISRHEMIQKVRKVAGDKLLFSVIKSFRAKKMHAIFKQAGPPATWMECSSIQ >RHN45608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23646890:23648137:-1 gene:gene39985 transcript:rna39985 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative heavy metal-associated domain, HMA MKKVLLKVDFYNDRIKQKVMKTASSLPGVESLSIDSKEKKLTVSGDIDPVKVVCKLRKLCQTEIVSIGPLKDEKKDSTNTNEIIPLQHFGTYPFYYQMTPPQYFQNYYYV >RHN58687.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3954278:3966983:-1 gene:gene20620 transcript:rna20620 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant disease resistance response protein MPSKHFLITLFFFLLISCNTLSASTSSEKQDTVDFVRPIDRKLLGLNKKEKLSHFKFYWHDIVSGKNPTSIVVIPPSLNSNTAFGSVRMIDNPLTLGPQLNSKIVGKAQGFYASACKDEVDLLMAMNFAFTEGKYNGSTLTILGRNAVFHKVREMPMPVIGGSGFFRFARGYAEANTHWFDIKSGDAIVEYNVYVFHY >RHN75721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:44537886:44545865:1 gene:gene11960 transcript:rna11960 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLVSSNSWTRTFFPKNKTKILIHRYNSNSSSNSNSPLSTTTTFMNHDAVVSLQEWQGWGTTSPVPAMVTQIAEDLKLLEKDFDSQMKFGRAGGKLQGNFGQQEDKKHRATYKALADSEEKLKFYSARQIACRVLGSKGYLCQKCWLAMEDCMCSQITSSLLFPGIKFWLYMHPKDFLRQNNTGKILWQVFGVDCATLCLYGIPEHEQIMWNSFKLAGRSNVWCLYPNKNAVLESVENAFGQEPVANNEVSSEKLKEDTTQHFILIDGTWSNSAAMFRRLQDQAKSIWGDEDLSCISLNPGTSAMHKLRPQPSWDRTCTAAAAAGLLLELHLLPRFSSAELDKQAETVEHALTVLLEALTKRRLRMGRSITRKVRPNNIC >RHN61118.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33122047:33126322:-1 gene:gene23549 transcript:rna23549 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MAAFDFNVFSLLFIFSIITITIVTHINAFEPSSLLHLREFSDLNRSCFPPNFVFGTASSAFQYEGAAFEDGKGPSIWDTFTHEHPEKIRDGGNGDVADDAYHRYKEDIGIIKDLNMDAYRFSIAWSRVLPKGKLSGGVNQEGINYYNNLIDELLANGLQPYVTLFHWDVPQALEDEYDGFLSPRIVDDFKDYAELCYKEFGDRVKHWITLNEPWSVSMNAYAYGKFAPGRCSDWLNLNCTGGDSGTEPYLTAHYQLLAHAAATKLYKTKYQASQYGIIGITLLSHWYEPASQAKADVDAAQRGLDFMFGWYMDPITKGNYPKSMRSLVGSRLPKFSKKESEELKGSFDFLGLNYYSSSYAADAPHLRDARPAIQTDSLINATFHRDGKPLGPMSASSWLCIYPRGLGNLLLYVKNKYNNPVIYITENGRDEFNDPKLSLEESLLDTYRIDYFYRHLYYVQAAIREGVNVKGYFAWSLLDNHEWESGFSLRFGLVFVDYNDDLKRHPKLSAHWFKNFLKKSCQEKCSV >RHN76068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47440051:47441986:1 gene:gene12343 transcript:rna12343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2C2-Dof family MVFSSIPSYLDPLNWQQVHGAGSIIRPGSIPDQAQAQAAHEVHTGQVQTHQAKLPPPSETNLKCPRCESTNTKFCYYNNYNLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRSKKTKKSNTSSTPSKSPISNSNDKDYSTSASAIHSTSTPLGEFLHPTNRPNYMTSLQNLNRFGVGNMFQIGDYSSTTGGGEGGVVDQWRFQQFPFMNNGFDSSTSNVSFPFQSEIVEPTTTSRVTTLMPASVKSEHNGGFNLLRSPMNMSENNNHYNNSWNDFHGLASSSASRLL >RHN55130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:15429374:15431433:1 gene:gene30263 transcript:rna30263 gene_biotype:protein_coding transcript_biotype:protein_coding MYATRLLSMYKKNPSALSDPPPSGPNSSYLVILDEEAQTYSCFGLCKDTRIKNFPLPQNKNLTIIISAGETTLSEEAMFIPVLNQPLSSNRYYVIRRKGKNQGQASTSSKEEDKTTCLCCRFVRDVKPKPLEPFNDYQQVEIIKKSHGFHAKSIASDGIPPGLLREKGWTLYASTPRNYQLSQALGSNDSLRSKLPNFNFPILNDCSESVVVGKWYCPFMFVKEGMNLKEQMKMSMFYELTLEQRWEKIFSKENSGEGGVVVDVAIQTEVAKVEGKDAVWDENRLVDGVLWFKSVEKKSVGLSLEVVEAMKWEQRRFGWNAGNGRQVRVTKVEEFDGTNKWKKFSSYVLVESYSLRRMDSKLVLTYDYRHSHQIRSKCE >RHN64582.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60201556:60202721:-1 gene:gene27410 transcript:rna27410 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLRTQLSFSLRIPSSSSSSKHLTPHLLSFPSRSSSSIKTMSTTHSKSQTIEHIVLFKVKQDTEPSKITSMINNLSSLVSLDQVLHLTVGPLLRNRSTSLTFTHMLHTRYSSKQDLEAYSAHPSHVSVVKGNVLPIIDDIMAVDWIAEDLNGEDLVPKEGSAMRVMFLKLKENVVNDKVLQVIKEIPENFQQIKQISYGENFSPARAKGYSIASLAVFPGEKEMEEHDSNHELMKFQKDKVRDQIESVVVVDYVVPPPPPPQSASL >RHN48990.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:51596579:51600426:-1 gene:gene43768 transcript:rna43768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative minus-end-directed kinesin ATPase MASSEASFSFSVASVVEDVLQQHGHRLQDLDLESRKAQQAASRRYEAAGWLRKMVGVVAAKDLPAEPSEEEFRLGLRSGIILCNVINKFQSGAVPKVVESPVDSALIPDGAPLSAYQYFENVRNFLVAVQEIGLPTFEASDLEQGGKSSRIVNCVLALKSYGEWKQNGANGVWKFGGNLKPTISAKSLVRKNSEPFTNSLSRTSSINEKTLATLNSDVESNKMSSSHSLSMLVRSILSDKNQDEIPMLVESVLNKVVEEFEHRIASQGEQTKVTLRDHVSERNGSAPKFMVADKKVENKIHMVAKKEDHIEKNRVAAEELQSQHLKQQMLFDQQQRDIQELKLTLHTTKAGMQFMQMKFRDEFTNLGTHIRGLAHAASGYHRVLEENRKLYNEVQDLKGSIRVYCRVRPFFPGQRNQFSAVENIEDETITVSIPSRNGKGQRSFNFNKVFGSSATQAEVFLDMQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPKEITEKSQGVNYRALSDLFMIADQRKDTFHYDVYVQMIEIYNEQVRDLLVTDGTNRRYPFISYLIFF >RHN72460.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7864741:7871067:-1 gene:gene8173 transcript:rna8173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP-dependent NAD(P)H-hydrate dehydratase MLMKHVVMNSQLPLLYCAKNCMRLASSPVFRRQRFLIRSLGGSIDYHTNCSSGKMQSVEVDAERVIREITPVLDRSRHKGQAGNIAVIGGCREYTGAPYFAAISALKIGADLSHVFCTKDAAPVIKSYSSELIVHPVLEESYSVREEDKKNISSKVLAEVGKWLERFDCLVIGPGLGRDPFLLDCVSEIIRHARKSNIPIVIDGDGLFLVTNHLELVSGYALAVLTPNVNEYKRLVQKVLSSEVNNEDPVEQVLTLSKQIGGVTILRKGKSDLISDGDTVKSVSIYGSPRRCGGQGDILSGSVAVFLSWARQHIEAAGPDSYLSSKNPAVLGSIAGSAMMRKAASLAFSNKKRSTVTGDIIECLGKSLEDICPAGSCSL >RHN55345.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17519320:17521557:1 gene:gene30515 transcript:rna30515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MSGDEESVTPKYTSVKHDYDTADKKTDSGKAPRFNGDPEEFSWWKTNMYSFIMGLDEELWDILEDGVDDLDLDEEGAAIDRKIHTPAQKKLYKKHHKIRGIIVASIPRTEYMKMSDKSTAKAMFASLCANFEGSKKVKEAKALMLVHQYELFRMKDDESIEEMYSRFQTLVSGLQILKKSYVASDHVSKILRSLPSRWRPKVTAIEEAKDLNTLSVEDLVSSLKVHEMSLNEHETSKKSKSIALPSKGKTSKSSKAYKASESEEESPDGDSDEDQSVKMAMLSNKLEYLARKQKKFLSKRGSYKNFKKEDQKGCFNCKKPGHFIADCPDLQKEKFKGKSKKSSFNSSKFRKQIKKSLMATWEDLDSESGSDKEEADDDTKAAVGLVATVSSEAVSEAESDSEDENEVYSKIPRQELVDSLKELLSLFEHRTNELTDLKEKYVDLMKQQKSTLLELKASEEELKGFNLISTTYEDRLKSLCQKLQEKCDKGSGNKHEIALDDFIMAGIDRSKVASMIYSTYKNKGKGIGYSEEKSKEYSLKSYCDCIKDGLKSTFVPEGTNAITAVQSKPEASGSQAKITSKPENLKIKVMTKSDPKSQKIKILKRSEPVHQNLIKPESKIPKQKDQKNKAATASEKTIPKGVKPKVLNDQKPLSIHPKVQGRKSKTSKTNPKGPMKIWVPKSELAKNAGVLKGKRETKVMVPRQRMFKAHDWRESFVPYPYNERWRRSEVWWQPNWKDHWYRYYW >RHN56796.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33818548:33819209:-1 gene:gene32250 transcript:rna32250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MRVEVGNFCLSNACLIFCTASSSVKLYTAEVSPIQFLVIDEAAQLKECESTIPLQLSGLRNCILIGDERQLPALVKSKIADKCEFGRSMFERLVILGYKRHMLNIQYRMHPSISLFPCKEFYDEKLSDAPAVKEVSYNKLFLVGDMYSSYSFINIAKGKEKLGHCGQSLKNMVEVAVISEMIKSLNKGQFLF >RHN69572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44503901:44504900:1 gene:gene18055 transcript:rna18055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AP2-EREBP family MNAMDLRRGINMDVDAVVTNLKSRARMIDTSEEIAQVDLTQLMLLLAYDRAAIKFRGVGADINFNLNDYDDDLKQTKNLSKEEFVQTLRLQSNVFSRGSSKYRGGTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARS >RHN73827.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:20458249:20458479:1 gene:gene9702 transcript:rna9702 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MGSGRLPKEKFENSSFMGALQIIDSQYNEVDVKPEDMKPYRDTNSDCYDLIYNGFEGRLYRQAFLYGGPGGRNCGI >RHN64955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:63260740:63266769:1 gene:gene27837 transcript:rna27837 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLVQLSSMEEDIAATTSWQSSIFYFISSAYAVVSFVALFQLVRIQLRVPEYGWTTQKIFHLMNFILNGVRALVFGLHTLLFLFHPKVFVLVLLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDMLKIIYISINAALYIIQICIWIYLWTDDNSVVEFFGKIFIGGVSFVAALGFLLYGGRLFCMLRHFPIESKGRRKKLHEVGSITAICFTCFLIRCVMGFLSAFDSDTSLDVLDHPILDLVYYMLVEVLPSALVLYILRKLPPRRISAQYHAIH >RHN78124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12088431:12091641:-1 gene:gene1645 transcript:rna1645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative annexin MATLTVPPVIPSPREDAKKLHKAFKGLGCDTKRVIQVLAHRNSEQRSLIQQEYETTYSEPLSKRISAEVRGNLKKALLLWLHDPPSRDAKVVRKALTSPVVDNQAITETICSRTPSQLRRLKEVYLSNYHSPLEHDIENQTSGDHKKLLLAYVSTPRYEGPELDSLMVEEDAKLLYKSGEKRIGTDEKTFIRIFSERSSTHLAAVNSAYTSFGKSLEKAIKSETSGSFMSGLLTILRCATNSPMYFAKILRKSMKGIGTDDSRLIRVIVTRTEIDMQPIKAAYYSRYKKPLTHAVKSDTRGHYEDFLISLLGTDY >RHN69152.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41403969:41404592:1 gene:gene17584 transcript:rna17584 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIHAHLTLSSQKIQFCIPDNDRSNNLHSIIQENCINLF >RHN40685.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19444491:19449622:-1 gene:gene46874 transcript:rna46874 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2,4-dienoyl-CoA reductase (NADPH) MVASTESPFKPDILKGKVALITGGASGIGFEISTQLGKHGASVAVMGRRKQVLQSAVSVLQSLSIPAVGFEGDVRKQEDAARVVDLTFKHFGRIDILVNAAAGNFLAAAEDLSPNGFRTVLDIDSVGTFTMCHEALKYLKKGAPGRNSSSGGLIINISATLHYGASWYQIHVSAAKAAVDSTTRNLALEWGTDYDIRVNGIAPGPIGETPGMSKLAPEEIGSRGRDEMPLYKLGEKWDIAMAALYLASDAGKYINGDTMVVDGGLWLSRPRYLPKEAVRQVSREVEKRSRNEPIGVPKSKL >RHN50983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12656842:12659348:1 gene:gene35342 transcript:rna35342 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Extensin domain-containing protein MGSQMASITLTIALAIISLTLPSQTSANSYIYSSPPPPPKPYYYHSPPPPVHSPPPPYHYSSPPPPPKKPYKYASPPPPVYKYKSPPPPVYKYKSPPPPPKKPYKYPSPPPPVYKYKSPPPPVYKYKSPPPPVYKYKSPPPPVYKYKSPPPPVYKYKSPPPPPKKPYKYPSPPPPVYKYKSPPPPVYKYKSPPPPVYKYKSPPPPVYKYKSPPPPPKKPYKYPSPPPPVYKYKSPPPPVYKYKSPPPPVYKYKSPPPPVYKYKSPPPPPKKPYNTHHHHHQFTSTSHLLPQFTSTNLHHHHPRNHTSIHHHHHQFTNISPLLHLYTHHLHQFTSISLLLHPSIHHLHHISTNLHHLLLISTHHHHRQFTSTNHLLLQFTIYKYKSPPPPVHSPPPPHYVYSSPPPPVYSPPPPHYIYSSPPPPYHS >RHN50055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3675164:3682572:1 gene:gene34303 transcript:rna34303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Granule-bound starch synthase 2, chloroplastic/amyloplastic MLSLGSDTTVLLFHTKNLKFTPKINTLNADSGFSRSRGRLNYGSVGLKYKHIRAVGKSIGNDENEDEDEDDVLNATIEKSKKVLALQKELIQQIAERKKLVSSIDSDSIPGLEGNGISYESSEKSASSDSNPQKGSTRSGSGSAVENQNGGTVLRSSVHSREKETQDVSSAGINQDFVEQKKRNDAEMASSRQRFDEQFKNKRYEGKKSIRPDTVPKDLSSNIKTYSQKFENLKRESESSSKEVTNEPENIESEGENPPPLAGPNVMNIILVAAECAPWSKTGGLGDVAGALPKALARRGHRVMVVAPHYGNYAEAQDIGVRKRYKVAGQDMEVTYFQTYIDGVDFVFIDSPIFQHLESNIYGGNRVDILRRMALFCKAAVEVPWHVPCGGVCYGDGNLVFIANDWHTALLPVYLKAYYRDHGLMNYTRSVLVIHNIAHQGRGPLDDFNIVDLPGNYIDLFRMYDPVGGEHFNIFAAGLKTADRIVTVSHGYAWELKTSEGGWGLNGIINENDWKFRGIVNGVDTKDWNPQFDVHLKSEGYTNYTLETLQTGKRQCKAALQKELGLPVREDVPIIAFIGRLDQQKGVDLIGEAIPWMMSHDVQLIMLGTGRPDLEEMLRQFEWQHHDKIRGWVGFSVKMAHRITAGADILLMPSRFEPCGLNQLYAMNYGTVPVVHAVGGLRDTVQPFNPFDESGVGWTFDRAEANKLKAALWNCILTYRDYKKSWEGIQRRGMSQDLSWDNAAEQYEEVLVAAKYQW >RHN47876.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43220201:43220790:-1 gene:gene42525 transcript:rna42525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MKGGSGSASNIKFQNIEMDNVTNPIIINQNYCDKKKKPCKKMDSAVQISNVLYQNITGKSASDVAVKFDCSEKFPCKEIKMQNILLICEEGEPAKALCNNVQLSYIGNVNPRCNSQKYTN >RHN53101.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41985984:41987480:1 gene:gene37864 transcript:rna37864 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAKVTKFGYIIIHFLSLFFLAMNVAGGRECHANSHCVGKITCVLPQKPECWNYVCVCYDSNKYR >RHN69720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45793058:45794805:1 gene:gene18230 transcript:rna18230 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSIYVAVPTLAAAVGFYFLDRNHVKEIKKEFSVSNEKMMLQAKDQGKGIQNPKFAPQLDGLHCFETFVMD >RHN50232.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:5393262:5394528:-1 gene:gene34501 transcript:rna34501 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNDEGGNEAAISTLAKVPIIGLRSTLAVDFVVATQIIGVSLLLLVILIRLLHLSKTTSLKIKGCTSEDCLINNDLESEEFSMSSHAARMLLDLSQTQTGRTGNNNGAAVKCPIKKGYRTCLPSQNGGGPNNRCGTYNRVC >RHN58337.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:947352:950597:1 gene:gene20241 transcript:rna20241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MDCSSYINTSLDLNIIPYRAHEEVPKKEVESNFFSLGMTNFSVKNESIDELEEELKRVTLENKKLVEMLSVVCENYNTLRSHLMEYMKRNPEKEVSTSSKKRKSESSNNNNSNLIGINNGNSESSSTDEESCKKPREEENIKAKISRAYVRTEVSDTGLIVKDGYHWRKYGQKVTRDNPCPRAYFKCSFAPSCPVKKKVQRSVDDQSMLVATYEGEHNHPQPPQIESTSGSGRSVNHSSVPCSASLTSPAAPKVVTLDSTTSKNSKDSKSIEPRKDSPKEAKVPKNLVEQMATSLTTDPNFRAALVAAISGRLVHNN >RHN43122.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43377350:43380476:-1 gene:gene49649 transcript:rna49649 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone deacetylase MKDPPTGFALIRPPGHHAIPKGPMGFCIFGNVAIAARHAQRVHGLKRVFIIDFDVHHGNGTNDAFYDDPDVFFLSFHQDGSYPGTGKFDEVGAGDGEGTTLNLPLPGGSGDTAIRSVFDEVVVPCAQRFKPDIILVSAGYDGHVLDPLANLQLTTGTYYMLASSIKQLAKDLCGGRCVFFLEGGYNLKSLSYSVADTFRALLGDKSLASEFDNPNILYEEPTKKIKQAIQRIKCVHSL >RHN63474.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:51538464:51539632:1 gene:gene26173 transcript:rna26173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MWMVLSPSLETQIHNTITTQTYQHHHLQFKPKTTRITFPYKPKCQHQQQKQPKSQEDFIPFKDVKTLAKFKSRHNYIRVLEVSRKADHPFRGSRLLLLDNPGNIHSISFLFKTLTDTYFDVFATLPPIIPPGPIAVLGFGAGSTASIILKFYPNAVVHGWELDTSVIDVAREFFNLDKIERENKNRLFVYVGNALTASLVGGFSGIIVDLFSKGSLIPELQETATWEKLRKSLRKGGRIMVNVGGSCVEAEDKGRDGNLVMEETLKTMKMVFGEKLFVLRLGNRGEDSSLALTGDFPDIDSWKNALPNSLRCYVDLWRPYSG >RHN59880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14349964:14350876:-1 gene:gene22046 transcript:rna22046 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNKFSFIWVVLFLCMLSFSNIVGASEMYANRKQLNPDQRCKRGRVTVEVNEVRVPREKAANAPRCHNYL >RHN46960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36038220:36043046:1 gene:gene41502 transcript:rna41502 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHAGSCTSAVNNNTIGGPSARDIGRIDSSSLPPNFPVSSRKLEMSVTENPKKTDAPPIVKLNKALKLAEVWVKNMSGSAGDETTNVDTEGRPQRLGLGAKVPRQSNVVLSDDPVERRLHSKLAAEKRKAANIAKDEGTNSCGVLDDDDEDNEDESRTNAFAKRKAPAPVTLSIPGNKKQK >RHN62440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43454640:43455783:-1 gene:gene25018 transcript:rna25018 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rubber elongation factor MRFNAVYGYAKECSNELKPGIKTVEETVKSVVGPVHDKFHLVPDEILRHTGTNQNHHCTGHRAPVANVATVSETAVRLYSKCEPVAEQTVASAWQKVKQSPMFHRVDNAVAPKAVYCKEKYNAAVIGAVEKERKA >RHN72233.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5961284:5968055:-1 gene:gene7922 transcript:rna7922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ARF family MRLSSASFSPPPQEGEKRVLDSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAVSTNKEVDAHIPNYPSLPPQLICQLHNLTMHADVETDEVYAQMTLQPLNAQEQKEAYLPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRACPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGICDLDSVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPPGLPSFHGMKDDDFGMSSPLMWLRDTDRGLQSLNYQGIGVNPWMQPRFDPAMLNMQTDMYQAVAAAALQDMRTVVDPSKQLPGSLLQFQQPPNFPNRTAALMQAQMLQQSQPQQAFQNNNQENQNLSQSQPQAQTNPQQHPQHQHSFNNQLHHHSQQQQQTQQQVVDNNQQISGSVSTMSQFVSATQPQSPPPMQALSSLCHQQSFSDSNVNSSTTIVSPLHSIMGSSFPHDESSLLMSLPRTSSWVPVQNSTGWPSKRIAVDPLLSSGASQCILPQVEQLGQARNSMSQNAITLPPFPGRECSIDQEGSNDPQSNLLFGVNIDPSSLLLHNGMSNFKGISGNNNDSSTMSYHQSSSYMNTAGADSSLNHGVTPSIGESGFLHTQENGEQGNNPLNKTFVKVYKSGSFGRSLDITKFSSYNELRSELARMFGLEGELEDPVRSGWQLVFVDRENDVLLLGDGPWPEFVNSVWCIKILSPEEVQQMGNTGLGLLNSVPIQRLSNSICDDYVSRQDSRNLSSGITTVGSLDY >RHN46090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28415213:28415584:-1 gene:gene40530 transcript:rna40530 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSKVYWVCTLFYLFSSLVLGDHGFKMLSKDVSTLPSLPNKKPNVPPHSPPPPRAHYTNLNFEMLRKGVHVPTSELNPKSITSPPRLPHRRHSNFQMLPKGERVPPSGDSERQNDVPPDHKH >RHN40975.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25234018:25235642:1 gene:gene47233 transcript:rna47233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 26S proteasome regulatory subunit Rpn7/COP9 signalosome complex subunit 1 MSEVCEARLAKSLFFIRIGDNEKALEHLKITETKTVAVGQKMDLVFYTLQHGFFGMDFDLISKSIDKAKSLFEEGGDWERKNRLKVYEGLYCISTRNFEKADTLFLDSISTTTYELFPYDTFIFYTVLTSIITLDRVSLKQKVVDAPEILTVIEKIPHLKEFLDSLYGCQYKSFFSAFAGMTEQIKLDRYLHPHFQYYMREIRTVIYS >RHN40919.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24336359:24338723:1 gene:gene47165 transcript:rna47165 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIFAVELDGRVYGCKSCNTHLALSTDLISRGFYCHRGKAYLFNNVVNFTSGEVVERMMLSGMHTVADIFCCRCGQIIGWKYETVQEKSQKYKEGKFVLERGRIVDEIGFSTEFCVEGCVSMSDTEDD >RHN57858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42200059:42206087:-1 gene:gene33447 transcript:rna33447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease Z MDPTRAFVTSVNRVIVKGFSVLPQYHSIALMELGTEKSSETESKKKSKGLNIEGYQVEGLSIGGHETCVIFSNFRVAFDIGRCPPRAVSMDFLLISHAHMDHIGGLPMYVATRGLYRMKPPTIIVPISVKEDVEKLFEIHRKMDQSELKHNLIGLDVGEEFSLRQDLKVKAFRTYHVIPSQGYILYSVKNKLKPEYIGLSGDEIKKLKFSGVEITNTLKEPEIAFTGDTMSDFIIDENNTDVLRAKVLVLESTFVNNEMTVEHARDYGHTHLSEIISYADKLQNKAILLIHFSARYTVEEIQQAVSALPPPLAGRTFALTEGF >RHN76660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:233885:241730:1 gene:gene23 transcript:rna23 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CK1-CK1-Pl family MPPQLRTRKTKTKQDPNPNQNPNPIAKPEPATRGRRGRPARNRNNTIAAAAARDQVQEQQQQQNEQPHVPEQPQIRVSNKLEIMDDDCDSGGGRTAADKGPVAEDEGSTPPIPEKVQVGGSPMYRVDRKLGKGGFGQVYVGRRIGAGAGAVEVALKFEHKTSKGCNYGPPYEWQVYNVLGGSHGVPRVHYKGRQGDWYVMVMDILGPSLWDVWNNKTHTMSAEMVSCIAIEAVSILEKMHSRGYVHGDVKPENFLLGPPGTHEEKKLFLVDLGLATRWRDNSSGLHVDYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPENMCILCPAPFRQFIEFVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLIYQVGQKRGRLTMEGDDDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADVRLSQHIEKGYEDGLFISSVGCCSNLWALIMDAGTGFTAQVYELSSFFLHKEWIMEQWEKNYYISAIAGSNSGSSLVVMSKGTQYLQQSYKVSESFPFKWINKKWKEGFFVTAMATAGSRWAIVMSRGAGFSDQVVELDFLYPSEGIHRRWDNGYRITSTAATYDQAALVLSIPRRKPTDETQETLRTSDFPSTHVKEKWAKNLYIASICYGRTVS >RHN45935.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26985239:26994482:1 gene:gene40365 transcript:rna40365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSDPPAKSRVQPEAEAEAEAEPPSVLPDELITEVLSRGDVKSLMRMKCVSKYWNSMISDPRFVKLHMKQSARNAHLTLSLCKSGIDGDNNVVPYPVRGLIENGLITLPSDPYYRLRDKECQYVIGSCNGWLCLLGFSSIGAYRHIWFRFWNPAMGKMTQKLGYICDNVLGLYTHFKFAFGYDVSSDTYKVVLLILDEARNRSNVLVMSLGNNLWRAIQRFPAVPLPFRYSDPGVNDGVYLNGSLNWLALRDSFHSNGVYGWKRVDAEEFVIVSLDLGTETYRRFMPPSGFDGKSPVEPSICILRDYLCFSHDDKRTDLVVWKMEEFGVEESWTELLRISYQNLQSAHLDFVDLQYSQWLPLHLSDRDDTLILANKQERQAILYNLRDNSAVRTRISDKVEWFSAKVHVESLVSDILKLQVQNPN >RHN40994.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25498417:25503444:-1 gene:gene47261 transcript:rna47261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MVNLLSGLFLFTVVLHHFVACFAANTKNITTDQSALLAFKFLITSDPNNPLVNNWSTTSSVCSWVGVTCDDRHGRVHSLNLTNMGLRGTVSPNLGNLSFLVKLDLSYNTFVGPFPKEICRLRRLKFLAISNNEFNGGVPTRLGDLSQLQLLSIATNNFSGLIPQSIGNLRGLTILDASSNGFSGHIPQTISNMSSLEYLRLDINYFSGEIPKGIFEDLTHMRTMVLGNNNLSGSLPSSICQGLRNIRYIDLSYNGLSGDMPNDWHQCEEMEDLILSNNNFNRGLIPGGIRNMTKLQYLYLNGNNLDGHIPEEIGYLDKLEFLILENNSLSGSIPSKLLNMSSLTFLSLALNYLSGMIPSNNGYNLPMLQYLHLNHNSFVGNVPNSIFNSSNLIEFQLSDNTFSGTLPNIAFGDLRFLRTLIINNNDFTIDDSLQFFTSLGNCRHLKYLELARNHIPSNLPKSIGNITSSKFIADLCGIVGKIPLEVGNMSKLLYFSVFGNNMTGPIPGTFKGLQKQLQYLDLGINKLQGSFIEELCEMKSLGELSLDSNKLSGALPTCFGNMTSLIRVHIGYNSFNSRVPLSLWSLRDILEVNFTSNALIGNLPPEIGNLKAIIILDLSRNQISSNIPTSISSLNTLQNLSLAHNMLNGSIPTSLGNMISLISLDMSENMLIGIIPKSLESLLYLQNINLSYNRLQGEIPDGGPFRNFTAQSFMHNGELCGNLRFQVSLCRKHDKKMSMAKKILLKCIIPIVVSAILVVACIIYFRLKRKNVENIVERGLSTLGVPRRISYYELVQATNGFNESNLLGTGGFGSVYQGKLPDGEMIAVKVFDLQTKSFDAECNAMRNLRHRNLVKIISSCSNLDFKSLVMEFMSNGSVDKWLYSDNHCLNFLQRLNIMIDVASALEYLHHGSSIPVVHCDLKPSNVLLDENMVAHVSDFGISKLMDEGQSETHTQTLATLGYLAPEYGSKGTISVKGDVYSYGIMLMEIFTRRKPTDDMFVEELSLKTWIDGSLPNSIMEVLDSNLVQQFGEQLDDILTHMSSIFGLALHCCEYSSESRINMTDVIASLIKIKTLFIGAQAV >RHN73217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:14478477:14483110:-1 gene:gene9010 transcript:rna9010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MFKNILWRHRTTLLNPNPLFHHCPLPFPLHFCTNTSDSTSFAVSYLINNFGFDPQSASKLSSTYNVTFKNAQKPDSVLTFFRNYGFSDSQLRHTISKEPWLLSCNPSKRVLPKFQFFLSKGASTSDIVNIITKNPNILKASLDNKIIQAYQLIYRFLQSDKVIIDCVIRNPSFFGDARVVSNIRLLIANGVADLNIKRMLCVRSRAFQTPTRDMLKLVEELKDLGFTPSKSTFGVALLAKLSVKKTLWEEKVDAFKKWGWSAEDVLQAFRRQPHCMLTSIDKINLVMNFWVNQLGWDALALAKGPSVFSLSFEKRVLPRASVLQYLLKKGLRKKNASLTCPFVVSEKLFLDTCIKGIKESSYLLKLYEEKLNLAYTMDKTCTS >RHN75914.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46115612:46118218:1 gene:gene12174 transcript:rna12174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative target SNARE coiled-coil domain-containing protein MSVIDILFRVDDICKKYDKYDIDKQRELNAYGDDGFARLYAAVDSTIQQALNKSEAASKETNRAVAAAWNAEVRRAKGRLMDEVPKLTKLVNKKVKGLSSEDIAIRNDLVLALPERILSIPDGITSAASHTGGTSSHPHIKFDSPEGPLDSDYFNQSEESNQFRNEYEMRKIKQVSKISALLHFGVSVLKQKEPEFCFMDEGLDIISEGLDTLKNLALDMNEEIERQVPLMDEMDAKADKAMSDLRNTNLRLKKTITELRSSRNFCIDIILLCVLLGIVMYLYK >RHN64828.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62264017:62265161:1 gene:gene27693 transcript:rna27693 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKILAVVSLLVAMNVIACESRAARKDLGLDLGGVGIGLGAGLGLGLGGGGGAGSGAGAGSGSGSGSASTSGSGSGSGSSGAGSEAGSHAGSRAGSGAGSGAGSEAGSYAGSHAGSGSSGAGSEAGSEAGSYAGSHAGSGSSRAGSEAGSYAGSHAGSGSGN >RHN69316.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42558132:42565055:-1 gene:gene17766 transcript:rna17766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SDA1 domain-containing protein MKRSHAATESFLPSGLKSEKLSLPSLQSKMKCDPEGYESELLLIRSQFNSSLELFQQQSAMNFTSISGISNDPTVAKDLADKAMFLSHLTSFYPQHLSQFPNKLAELLRCAARTLPSGLRNDLANSLILLVNREIVTIKDTLSLFMELQTLGDKKLRELTFAHVVKSIKRMNQKHKDEAKNRALQNILFVMLQQEDEDRAKRALVTLCELHKRRTWFDERTANAICTASFHPSSRIMISTLCFLLDYEKIENYQDSDDESSDEEATESPQVILRRETVYKASHQGTSASKKKKKRQLDRIIRNMKKKERGSSERKSNIYYSPLNHLKDPQGFVEKLFSRLQKCNERFEVKMMMLKVIARTIGLHQLMLLNFYPYLQKYIQPHQRDVTNLIAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAITVGINAVREICLRMPLLMSEDLLQDLALYKKSHEKGVSIAARSLITLFREVCPSLLIKKDRGRPTDPKAKPKAYGEVNVAADVPGAELLQIIDDDVEQESSHSDDCGSDNAQEDDQVSLNSDDDNQLGSDNTGSDDDEAEDHDGVSDDENDRSSDYETSGDDADNVEDEGDDLEDSEEDGGISEHEGDGDLHILGSVDTKTTLKDLAKKRKFSDFNDQLTAADSSLRALKKLAGTTMENALPENEDGILSNADFQRIKELKAKNEARTALAQHGLLKSSTNKIPSSDQLGLKRVDGSMLEAHVKKKLNKEERLAMVRAGREERGQYHARAAVKQRKTGGLSNKQKEHKKQMPMVAKRKKVARTKIEKRIKQSRSGKQQRGRKAWK >RHN51089.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13895111:13899392:1 gene:gene35464 transcript:rna35464 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MTTMSMMNPFCLKLSQTIFLICLVLQAYFVCSKKVVRCIQSERQALLQFKAALIDDYGMLSSWTTEDCCQWKGIGCSNLTDHVIMLNLHGDFNYYNYNDGNKFYMSGDIHKSLMELQQLKYLNLGGNNFEGNYILSIFGSLRNLRYLDLSGCNLGGQIPIQFESLSHLKYLNLSNNRLDGVIPHRLGDLSNLQFLDLRNNRLEGSIPTQLGNLFDMEYLDLHRNSFKGKIPSQLGNLSNLQFLDLSYNNLEGNIPSQLGKLTNLQKLYLGGYDFGDLTMDNEDHSRGQWLSNFTSLTHLHMSSISNLYRFNSWLETVGKLPKLIELSLRNCGLSDHFVHSLSQSKFKFSTSLSILDLSRNKFVSSLIFHMVSNISSNLVELDLSGNQMVDLPSNNFSCSLPKLRELRLADNSFTSFMIFQSLSNISSNLVELNLAGNLLEAPPSHGYGTVIQSLQVLDLSYNKLKGVAFKSFMNLCALRSLDMEENNLTEDLQLIIHNLSSGCVRNSLEVLNLGWNGITGTLPGLSLFTSMKTLDLSYNKLSGKIPEGSSLPFQLEQFHIRSNSLEGGIPKSLWMNACKLKSLDLSNNSFSGELQVLIHHLSRCARYSLQQLNLRFNQINGTLPNLSIFSFLETFDISENRLNGKIFEDIRFPTTLRLLQMGSNSLNGVISDFHFSGMSMLRYLYLSDNSLALRFTENWVPPFQLYTMDLGSCKLGLTFPKWIQTQKYLHNLDISNGGISDNVPEWFWSKLSSQDCSRINISYNNLKGLIPNLQVKNHCSFLYLSSNEFEGSIPPFLRGSSFIDLSKNKFSDSRPFLCANGRDIMLRQLDLSNNKFSGGIPNCWSNFKSLVYVDLSHNNFSWKIPTSMGSLVELQALILRKNILTEEIPVSLMNCTKLVMLDLRENRLKGLIPYWIGSELKELQVLSLQRNHFFGSLPFELCYLQNIQLFDLSFNNLSGQIPKCIKNFTSMTQKDLSQDLSSHQYAIGQYTRKTYDLSAFFTWKGVEELFNNNGLFLLKSIDLSSNHFSEEIPLEIADLIQLVSLNLSRNNFTGKIPSNIGKLRSLDFLDLARNKLLGSIPSSLSQIDRLGVLDLSHNQLSGEIPLSTQLQSFNPSSYEDNLDLCGPPLVKLCVEGKPPYDPKVEVQNDEDLLLNRGFYISLTFGFIIGFWGVFGSILIKRSWRHAYFKFMNNLMDKIYVKCRWGLKD >RHN60387.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:26081879:26089610:1 gene:gene22694 transcript:rna22694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MFNGMMDPDLIRIAQEQMSRMTPADMARIQQQMMSNPELMRMASESMKNMNPQDFKMAAEQLKHTRPEEMAEIGQKMANASPEEVAAMRAHADAQVKYQLSGAEMLKNQGNALHSQGKFDEALQKYKLAKENIKEIPSFQSRKLLLALSLNLMSCYLKTRQYEECINEGSEVLVYDAKNLKALYRRGQAYKELGQLHEAVSDLSNAVEVSSGDDTIADLLRDTKEQLIKEGGDRAPRKLVIEEITEEDENVPSGNNRSSSSEQKVDQPKKFGDSSKSKSIANNGNPKSTSESLDALKKDPEAIRSFQNFISNVDPATLASLNNGQSKDVSPDMIKASSEMIGKMSPEELQKMLDMASSFQGDNPFFGGGSSNSPFNPGSIPPNVTPDMFKTASDMMSKMPPDELKKMFEMASLLKGKESIPSSAAVDKNERNVSQSNFPSSSTTRAFGEPSSSNNAFSNIRNASEPNFPSSSIDLQEQMRNQMKDPAMRQMFTSMVKNMSPEVMANMGEQFGFKLSPEEAAKAQQAMSSLSPENLDKMMLWADRLQRGAECAKRTKNWLLGRSGLVFAICMLILAFILNRSGFIGS >RHN66590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:14427143:14428365:1 gene:gene14609 transcript:rna14609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor GRF family MMWGGNMGKNGYESQTSSSSSKFNGVVRVLECWCPTICVVRKSNTSKNPGRSFYACPMPKVGLTNQKRLKMIEKCNLSIIILPSN >RHN44718.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7697856:7702486:1 gene:gene38873 transcript:rna38873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative [Protein-PII] uridylyltransferase MDFWTSSLPLDDEFEKLVIRMNPPRVTVDNTSSRTTTLIKVDSANKRGSLLEVVQVLTDMNLIVRRAYISSDGGWFMDVFHVTDQNGKKILQEDVADRIQQSLGPRVRSFRSVRRSVGVQAAAEHTTIELTGRDRPGLLSEVFAILADLKCNVVAAEVWTHNSRMASVVYITDDTTGLPIDNPDRLAKIKHLLLYVLRGDIDKKNANTAVSFCSTHKDRRLHQLMYADRDYDIYDGDYSCSTNDRNKLNVTVDDCIDKGYTVVNLRCPDRPKLLFDTVCTITDMQYVVYHGTVNAEGPEAYQEYYIRHVDGYPISSEAERQRVIHCLEAAVRRRTSEGVKLELSGEDRVGLLSDVTRIFRENGLSVCRAEVTTRGSQAMNVFYVTDVSGNPVKSETIEAVRKEIGLTILRVKDDPCLKSPTRESGKFSLRDLVRSRSERFLYNLGLMKSSS >RHN43534.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46608100:46614602:-1 gene:gene50132 transcript:rna50132 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAT dimerization domain-containing protein MVKNQRLDSFLKRKLAQQDDENIDSTSEPEKPQIEENEKQLSKVRRVACDEFEKENQDGHNDNAIDVPNLDQIMKNQDCILQTSIGIVLYLTFQDHAICGGDGETTEERYEDVFSSMAILNASFNDEFSQAMLKNGQYLDKCASLQFRKEILRVVSSQVKNHIREEIGDSKFCIVVDGALDKSGKEQMALVLRFVDKKGFIQERLFDIVHVKDINFEVSALKEQVCAILSQHNLDVSNIRGQGYDGTCEMREQWNGLHALFLNECPSAYHIHCFAHKLQSALVCASSEVTPIYLFFSELNSIVDFFSCCSKPHDELLAAKLDEIAHLLKINELETSEGENFTWQTRSRSHFPSICTLINMYGETCSLLEKLTNVGSTYCQSGDASIAFDNLTTFEFVLILHLMRNIMGITDILCQALQQQNPNVVNVKHIVRSTKVLLQNTRQNGWSKLLKSVICFCDKNGIEVPQLKAPYVARPAWRSNHQKDDITIEHYYREEVFSIAIDKQLQELNSRFSDQAMELLTLSCALVPKDTYKTFNIDDICTLVEKYYPMDFNEQEKLNLRCQLQHFIIDARQDSKLKNLSTIQELCTCLAATKKSEVYCLIDKLLRLIMTLPVSTATTERSFAGMEIFKTMLRNMSEDAFLADGVIIYIEKEIAKGFTFNSIIDDLESHSKSTMTHNRVAL >RHN59079.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:7322655:7332554:1 gene:gene21066 transcript:rna21066 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MSYNYKVFLSICAQDRDHFIWHLNTVLRSKAGTALFGVEERLQYESELDAIVGYCKLAIVVFSSNYNKSISCVQELEKITECCRTSDLVVFPVFFDGVYPLHERSEGGMFDGNAFHDFIDRIGKDKDKFLSWVVGVTKATEYFGPSDLIYRYEHEYVQDYIRDIGEHVSRVLKKRDSFSAFYTKSINSGAQDVIQLLKQSKSPLILGIWGMPGIGKSSIVHAICNQIGPYFEHMSFLENAEGLWKDKLQVYLEEELIFHIDEQFERNISTTEARRMISKEKLRHKRVLLILDNVDKLDQLKALCGNREWFGRGSKIIITTRDRHLLKKHGVDYIYGVKQLDESESLELFNLGAFRQATSGKDFVELSRQVVAYSGGLPLALKVLGSNLYSKRVDFWESELHLLKMFPLQEVQRVLEDSFNDLSDVERRVFLDIALFFIGMNQNDVLETLNRSTQCTDLQISLLQDKSFVTIDENNNLQMHVLLQSMARDVIRRKSSNKTDQPKVYDVFLSFRGEDSRAKFMSHLFSSLQNEGIHAFKDDNEIQRGDQISISLLRAIGQSRISIIVLSTNYANSRWCMLELEKIMEIGRTKGLIVVPVFYEVAPSEVRDQKGRFGKAFKKLISKISMDESKKSNWRRDLFDIGGIAGFVLLGSRNESADIKNIVERVTHLLDRTKLFVAEHPVGLESRVDTVIKLLNIKKSDVLLLGIWGMGGTGKTTIAKAIYNQIGSKFEGMSFLLGVREFWETHTNLVSLQQQVLCDVYKTTTSKIHDIESGKIILKQRLAQKRVLFVLDDVTELHQLNALCGSREWFGSGSRIIITTRDMRLLRSCDQLYAIKEMDESESLELFSWHAFKLPSPPIDFATHSTDVIAYSGRLPLALEVLGSYLSDCEITEWQKVLEKLKCIPHDQVQKKLRVSFDGLKDVTEQQIFLDIACFFIGMDQNDVIQILNGCGFFADSGMKILLERSLVTVDNGNKLRVHDLLRDMGRQIIYEESPLDPENRSRLWRSDEVIDMLYNDSNLKGAEAVKGLALKFPKENLVRLNSNAFQKMYKLRLLQLAGVKLKGDFKHLSRNLRWLYWHGFPLTYIPAEFQQESLVAIELKYSNLTQTWKKNKMLKNLKILNLSHSQDLTETPDFSYMPNLEKLVLKDCPSLSAVSHSIGSLHKLLLINLTNCTGLRKLPRSIYTLKSLQTLILSGCSMIDKLEEDLVQMESLITLIADKTAIKKVPFSIVRMKNIGYISLSGFEGFSRDVFPSLIRSWMSPSNNVISLVQTYVPMSSLASSKDIQKLRILCVECGSDLQLTQDIARFLDVLKATKCQNLEASASSTTSHISDLYAPALTDDCLGQVCTSMSKNYLKYLLIQMGTKCQVSNIAEECILQTADETSDSFLLPCDNNCEWSSFSCKGCSIIFDIPTIKGRNLKSMILFIVYYSSPENIASEGCQGVLIINYTKRIIQVYKRDTLTSFGHEDWRTVTSILEPGNKVEVMVVLGEGFIADKTTISLSYDEPDDKKMERCHVVDEEDVIVSGNDDNNVSVSSGDDETINRFGEETMNHIQITKHGDGLCVDVVGPIQLVPNDLDQPVEAVAEEIHPAEEQPDQEHHVPPPECEPEQHATVASATIEPTLGAQILDAIRELRADFVRHEQTVTARFNAVEVRLEELADVVTQIQRDVES >RHN79186.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25327594:25328394:-1 gene:gene2919 transcript:rna2919 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIVTSTVASSQMDMSLSSNTFSFPLHNVFDKISPGELPHTMPVLEVVSPVAHVDVHFEIVEKLHMTSREVLENPTMDDVSGLLSDEVDHNRSSPRELEESPKGSHESERNLSSLRELEESPKVPIESTPHSSHVVEHQEVHEGYVESVQVQTDTVVEHDDVYAGSHALKSHVVVEPPSVVSGIMPTEKEQDVVILQNQEVHPSKNIQHGLDLWARVREYDERSAAEDFMPVLTRKQKQKLKVQQVLAKQPTKSRARGDNRSSDH >RHN53375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1271703:1276406:1 gene:gene28276 transcript:rna28276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSMRRKNRELKTLLSRSLQFSSSSSKHPLHGLHHPNDSTFSPSTPTPRDLSQDYAFLRNTLINSTSPQSTPSSGDDAISTISKALKTGFNIETHQFFRQFRNQLNDSLVVEVMNNVKNPELCVKFFLWAGRQIGYSHTPQVFDKLLDLLGCNVNADDRVPLKFLMEIKDDDHELLRRLLNFLVRKCCRNGWWNMALEELGRLKDFGYKPSQTTYNALIQVFLRADKLDTAYLVKREMLSYAFVMDRYTLSCFAYSLCKGGKCREAFDLIDEAEDFVPDTVFYNRMVSGLCEASLFEEAMDILHRMRSSSCIPNVVTYRILLSGCLRKGQLGRCKRILSMMITEGCYPNREIFNSLIHAYCKSRDYSYAYKLFKKMIKCGCQPGYLVYNIFIGSVCSNEEQPSSDILDLVEKAYSEMLDLGVVLNKVNVSNFARCLCGAGKFDQAFKIICEMMGKGFVPDDSTYSKVIGFLCDASKVEKAFSLFEEMKRNGIVPSVYTYTILIDSFCKAGLIQQARKWFDEMLHKGCTPNVVTYTALIHAYLKAKQMPVADELFEMMLLEGCKPNVVTYTALIDGHCKAGQIEKACQIYARMRGDIESSDMDKYFKLDHNNCEGPNVITYGALVDGLCKANRVKEAHELLDTMLAHGCEPNQIVYDAVIDGFCKIGKLQDAQEVFTKMSERGYSPNLYTYSSFIDCLFKDNRLDLVLKVLSKMLENSCTPNVVIYTEMVDGLCKIGKTDEAYKLMLKMEEKGCNPNVVTYTAMIDGFGKSGKIEQCLELFRDMCSKGCAPNFITYRVLINHCCSNGLLDEAYKLLDEMKQTYWPKHILSHRKIIEGFSQEFITSIGLLDELSENESVPVDSLYRILIDNYIKAGRLEVALDLLEEISSSPSHAVSNKYLYASLIENLSHASKVDKALELYASMISKNVVPELSILVHLIKGLIKVDKWQEALQLSDSICQMDIHWLQEKATGIN >RHN69853.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46766522:46767316:-1 gene:gene18377 transcript:rna18377 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde dehydrogenase (NAD(+)) MEGVLVETENMLARGRMDLPVNLCFAAERGDVCEQLLLQKWGKIFFTRSPRVASIVMSFAARYLTPVTRELGGKCPAIFDYLSNPSDFKMAVKRIVGAKWGVCTGQACIAIDYLLVKEKHSSELLELLKKFMRKFYGDNMMKSTALSRIINKHHFERLCNLLKDPLFAASIVHGGSIDETNLFIEPTILLHPPLDAEIMTEEIFGPLLPVITLNKIQETIQHATTFLQRNKVNCYIFQTKQSWHFTQWDPGGCSSEETDAGGFY >RHN55407.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:18020030:18026864:1 gene:gene30582 transcript:rna30582 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactosylxylosylprotein 3-beta-galactosyltransferase MKKLYGGVLIASSFTLFMLMILRYGVMKNPIGEGYLTIPVLINGTNPLEWINPVIPPAIHNPVATSQVISADILVSSLFARNNFSKQEQQTLQTWNHLKHLIDHVQGLPSATEAIKEAASAWNSLVSSVEEQKQGHGNDSSKAKEKQCPHFLNKMNSTELGNSSYRLQVPCGLTQGSSITVIGIPNGILGNFRIDLTGEPIPGEPDPPVILHYNVRLHGDKITEDPVIVQNTWTVAHDWGEEERCPSPESAQVKKVDELEQCNKIVGNNISHLYTGGMHSHTSRQISATEEQSIKRKYFPFKQGYPFVATIRVGSEGIQMTVDGKHITSFAFRETLEPWLVSEIKISGDLKLESILASGLPTSEDSEHVVDLELLKASPLSAQTPLDLVIGVFSTANNFKRRMAVRRTWMQYNAVRSSTTAVRFFVGLHKSQIVNEELWKEAQTYGDIQLMPFVDYYSLITWKSLAICIFGTQVVSAKFVMKTDDDAFVRVDIVLASLKRINVSHGLLYGLINSDSQPHRNPDSKWYISQEEWSEGTYPPWAHGPGYVVSHDIARTVYKKYKENHLKMFKLEDVAMGIWIADMKKEGLEVRYENEGRVYNEGCKDGYVVAHYQGPREMLCLWHKLQELKRATCCGDRRR >RHN41491.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30456617:30457162:-1 gene:gene47823 transcript:rna47823 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTGSPPPPGSPPPDPTTGSPPPKGSPPPNPTTGSPPPPGSPPDPTTGSPPPPGSPPPKGSPPPDPTTGSPPPPGSPPLDPTTGSPPPKGSPPPDPTTGSPPPPGSPPPDPTTGSPPPTGSPPPDPTLGSPRPPGSPPPGSPPPPNSPLDPPWESFLVAEHMLKPNSTKIAMLRTFEVAI >RHN54891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13289269:13291434:-1 gene:gene29989 transcript:rna29989 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamyl-alcohol dehydrogenase MVITSTTYSCYITCGFWFLPFHQYPFTFSAIEMASKPETEHPKQAFGWAARDTSGVLSPFKFSRRETGEKDVAFKVLFCGICHSDLHMAKNEWGSTTYPVVPGHEVAGEVTEVGSKVKNIKVGDRVGVGCMVGSCHSCQSCGEDLENYCSKMIQTYSSKNVDGTITYGGYSDTMVVDEHFIVRIPDALSLDAAAPLLCAGITVYSPMKHFGFDKPGLHIGVVGLGGLGHMAVKFAKAFGVKVTVVSTSPNKKKEAIERLGADSFVVSREQDQMKAVMGTFDGIIDTVSALHPLMPLLGLLKNNGKLVMVGAPEKPLEFPVFSLIVGRKTIGGSMIGGMKETQEMIDFAAKHGVKPDIEVVPVDYVNTAMERLVKADVKYRFVIDIGNTMKASS >RHN39479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7419469:7421253:-1 gene:gene45504 transcript:rna45504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Linoleate 9S-lipoxygenase MYSNQQLRVMPNLRSKVTLQLNNPEFNTFEDTRSLYDGGIKLPTDVLSKISPIPLFKEHFRTDGEAPLAIELSRPHPQGDSFGPVSNVYLPASEGVEASIWLLAKAYVVVNDSCYHQLVSHWLNTHAVVEPFIIATNRHLSVVHHIHKLLLPHYCDTMNLNSLARTILVNAGGVMELTFLWGDYAVEMSAVVYKDWNFTEQGLPNDLIKRGVAVQDPASPHGVRLLIEDYPYASDGLEIWAAIKSWVDEYVNFYYKSDADVVKDSELQAFWKELVEVGHGDFRNATWWFKMPNRTELKEACTILIWIASALHAAVNFGQYAYGGYILNRPTKSRRFMPEKGSVEYDELAKDYQKTYLRTITAKNDTLTNLTILEVLSRHASDEQYLGERIEGDLWTSDSQPKQAYKKFGKKLSEIEKNLIQRNNDETLRNRNGPVKMSYTLLYPTSEEGLTSRGIPNSVSI >RHN74523.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34331928:34333315:-1 gene:gene10610 transcript:rna10610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small auxin-up RNA MKQLIRRLSRVADSSSTQYTLLRTDTSTRRRPNLGRRRVESFRLEVLAKIRRSPSAVVPEGHVPIYVGDEMERFVVCAELLNHPVFIKLLNESAQEYGYEQKGVLRLPCHVLVFERVLEALKLGLDTRHINELLNSSEEEFC >RHN77680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:8596797:8607785:-1 gene:gene1161 transcript:rna1161 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin MASQSSNEGEGSSSTGTIVSEQQDSTLHLNIKTLDSRIYTFQVDKNMPVSLFKDKIATEVGLPVNQQRLIFRGKVLKDEHLLSHYHVENGHTLHLVERQPNQTQTSATGSGSETTNANSNRGNNVGSGAPRSRVGQISHSVVLGTINVGDQGGEGISQDITRVIGAVLNSFANGGQGTMNAPNSAQTSSAPPGNGTEGNQAGNQAPSGQAFQPFPHVVQIPMAAGAIPVPSFNAPIPHSLNTLSVFINRMEHALSQNGYGPNISSTNLGDQRAELPSNTQGLPTLEALTTVLHRTEQLLSGQAVSALSHIAGRMEREGTSADLGVRGQIQSESVQIGIAMQHLGALLLELGRTMLTLRMGQSSAESVVNAGPAVYISPSGPNPIMVQPFPLQTSSLFGGPLSSSTPATLGPVGVGSAPRNVNIHIHAGASLAPIVSAIGSRPNNGEGTRTEHRSEPGSGDSGSTRGLPVRNVISATLPSNPSGIGAAGSTPTGFSISTSQLPPDSAPLSSVLAEIQSHFRNSVGNMQGDNTILSGQMQSTSRDLSSGSEQVNEQRDTAEMSGHGASGVSSVGCTSESGVEKTQTEAIKTCSNDERDVLVDKFVSSSSNQDLQNCSSGEATVKSEKVQDAPSSSEKQDVTEPAKTAPLGLGMSGLERKRRTRLQPPKGADDGSSSSFINQSQETRTDGQNILQTLGGQGSAVNLINADRPQRPLPTSGSQIDVAGLMSQALNSPALNGLLEGFSQQTGVDSPDGLRSMLQQFTQSPQMMNTVSQIAQQVGSQDMRNMLTGTETGQGGGLDFSRMFQQMMPMIQALGGGNPPPLFSAAEPETRAPYGNENSDNRSLQVDLQPVTDRIDRLSPPTDIFRAVAENAVQLSSSERASDDLLDELCCNESLAGEYLEMLRSDVSKLLEGRSGRDKS >RHN74805.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:36798814:36803252:1 gene:gene10925 transcript:rna10925 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribokinase MNQTTLSSPHHYHLTNQPNTNTKNPTQFLRFRQNDKQNLPVLRFAVNPSSQVPPVVVVGSANADIYVEIDRLPKEGETISAKSGQTLAGGKGANQACCGAKLSHPTYFLGQVGNDAHGNLVADALRDGGVRLDYLAVVSSAPTGHAVVMLQSSGQNSIVIVGGANVSCWPQTLPPKQLEVVSSAGIVLLQREIPDFVNVQVAKAAKSAGVPVIFDAGGMDAPIPQELLDFVDIFSPNESELGRLTGLPTESFEEITQAAAKCHKLGVKQVLVKLGAKGSALFIDGEEPIQQPAIFAKTVIDTTGAGDTFTAAFAVALVEGKSKKECLRFAAAAASLCVQVKGAIPSMPDRKSVLELLNHH >RHN56225.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28975781:28976535:1 gene:gene31592 transcript:rna31592 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVVVFMDVLVMVCSGGCESVSEITGYGFALFFLTTKVTFRGDAILGDVHMVVMWMDSLR >RHN64536.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59886578:59887805:1 gene:gene27359 transcript:rna27359 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLENSPLDTLAFNYLSFDFFNHLWTWLAVIFWRIPTPKPELLPPSHDTSSDKPHLGLEVLEPRHDYACPARVPSNSVVEDDDGVTKGKMKFTLYYYDHEDEDDIDRECKESVETLKKITEELWEEKEGRLGWWESWENLLRTRTGENEGGWYTCQDLTVINGNVVRFWDEEFEFASFANGGIK >RHN76793.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:1315742:1316164:1 gene:gene170 transcript:rna170 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIFVYSILSFLTWYQSDTIAHIVIMKTMRRILLVMKRIRLVMKILKLLHQNLRVLPLCKIASFSVLSVIQFLLLFLHRGMLRFSQRLMESPSRSVKFLAFSLIHVGALIATLCLMLQRKTRIHINLIRCFTPLLNRKN >RHN59799.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:13349490:13351956:1 gene:gene21953 transcript:rna21953 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MVHILMFVYALIFSNFIFLVEANMVVLGCVSDDDCPKVPLPRFLKCIANLCCLVRKKDL >RHN51273.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:15622216:15622604:1 gene:gene35686 transcript:rna35686 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NADH:ubiquinone reductase (H(+)-translocating) MVFGECERLPFDLPEAEEELVAGYQTEYSGIRFGLFYVASYLNLLISSLFVTVLYLGGSNIFIPYIFVSEFFEINKTYGVFGTTIDLFITLAKTYFFLIRFYHNKMVFA >RHN57958.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42739700:42741270:1 gene:gene33551 transcript:rna33551 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor & chromatin remodeling ARID family MEDKETVKTTVPCTQLTDVVVSGGKQYSSPNDLETFYIKLTRLLDFVGKRFNVRETSLDLYLFYLEVTRRGGYHQVGQEKKWSEVVSALKLEGNNATLCAQVENLYGYLLYEFEKLYFYRSPATGTTTGKRKRNSCPSLSQLTDDEDYPMAAKISKDYSSQITGNKDLQY >RHN68199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33633397:33635131:-1 gene:gene16515 transcript:rna16515 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative albumin I chain a MTYVKLAPFAVFMLAAFLIFPMKKVEAAFCFRVPCNPDYGCNGDCVCALTWHPVVPMYECYDPRSYAELKKKVEEPPKLCWSHAECTKKGSGNYCARFPNLQYGLCFPSVSEAVNAFKMASSLKFEKDFLKMSLPA >RHN75245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:40564537:40564881:-1 gene:gene11418 transcript:rna11418 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTLCIIVLFILLTKDHSHHKNKTSLWLLPSPTYHKMEYSQTKSLAACAQVDSTQHAVSDFKRPIANFRPCIWGHLFLKYDSESMVLHIFYFACNILRTFIYIRVSMDIVIVI >RHN58638.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3511611:3512771:-1 gene:gene20565 transcript:rna20565 gene_biotype:protein_coding transcript_biotype:protein_coding MILMPLMFGSLMKLAKALVCEPTTTLTTILYYGNLLPRNLNLERLVRREFLHQENYFFHFLITMLRCVW >RHN45276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14228010:14231273:-1 gene:gene39521 transcript:rna39521 gene_biotype:protein_coding transcript_biotype:protein_coding MCQIHHNQSEKSESITITLKQNLFLSLIHNRIKTEKNSEKEEEHQEHLITKINRSKPDLLRISSNHHKINKSEPDSLKTTANHHINTKTYRIFEQKQHQRRV >RHN71723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:2204611:2208840:1 gene:gene7352 transcript:rna7352 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MAAATALLRSLRRRDAASSTVTAFRSLTGNTKPAYVGHNWSSLSRPFSSRAAGNDVIGIDLGTTNSCVSVMEGKNPKVVENSEGARTTPSVVAFTQKGELLVGTPAKRQAVTNPENTISGAKRLIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVEAKGQQYSPSQIGAFVLTKMKETAEAYLGKTVPKAVITVPAYFNDAQRQATKDAGRIAGLEVLRIINEPTAAALSYGMNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALLDFLVNEFKRSESIDLSKDKLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFEALVNNLIERTKAPCQSCLKDANISTKDIDEVLLVGGMTRVPKVQEVVSTIFGKSPCKGVNPDEAVAMGAALQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMASDNKMLGEFELVGLPPAPRGMPQIEVTFDIDANGIVTVSAKDKSTGKEQQITIKSSGGLSDDEIQNMVKEAELHAQKDQERKSLIDIRNSADTSIYSIEKSLSEYREKIPAEVAKEIEDAVSDLRSAMAGDSADEIKSKLDAANKAVSKIGEHMSGGSSSGPSSDGSQGGDQAPEAEYEEVKK >RHN48738.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:49832496:49835050:1 gene:gene43487 transcript:rna43487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative secoisolariciresinol dehydrogenase MASSSPAEVNRRLEGKVALITGGASGIGKRTAEIFVQQGAKVVIADIQDELGHSVAQTIGSSTCTYVHCDVTDESQIKNVVDTTVQTYGKLDIMFNNAGIGGPNNSRIIDNDKADFERVLSVNVTGVFLGIKHAAQAMIPARTGSIISTSSISSYVGGAASHAYCSAKHAVVGLTKNAAVELGKFGIRVNCVSPYALATPLATQFVGCNDGELETTMNMLANLKGVTLKTDDVANAVLYFASDDSRYVSGHNLLIDGGFSIVNPSFHMFQYSDS >RHN68423.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35387990:35389385:1 gene:gene16775 transcript:rna16775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MPSFYISTLNRGNETQGRVDALSVEARLDAGSANSDQKGANNIAKRSQERIGSAFTRNSLPQDFDDQEILYETCTVSNASQLLVLAPKLQSLRIKDCESLDVLPDGLLDGSTSLKELKLMNCSDLRSIPYPPSLTELYISKCRNFELLRSSKSRENLSFIHRLSIGNSCDSLTTLTLDLFPKLKILFIWNCPNLVSFDVTGVHKGDFPLECFEIRDCPGLTSFPDEGFHTPNLRAFTLSNCKNLKKFPNFIASLTSLLTLFVLRCPHIECFPHGGLPSSLILISIAYCDKLTSQKEWGLENLKSLTTFNIEGGCIGLESFPEENLLPRNIISLHISNLKSLKKLDDKGFQQLNALCTLKIDRCDVLQYLPEQGLPSSLNQLNIRDCPVLTPRLKPETGKYWCKVAHIPHIEIDDKKVGQPWYRY >RHN66077.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:8380904:8386243:1 gene:gene13990 transcript:rna13990 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWVAAAFTGAGYLAKYWKKSLENGDTSYHLSSEDSNFEKAESFSSPLTSLKQRRRRERGKDVFLDRRGSDEMFSDVSSFDGPSTGDVASYRGFNCEKIRHFQNYNESDFLSISNLAVPLSPYDDNFKDGEDGNEQNTSSFGNHGFFRPEFSAKGIPIHNSFGHKTFLSTKRFPEHVSRPLNSLESCFMAQLYKEHAKMEEYVFSPLSSPSTTTRLFRVSNGSRILNRESDILISALTGRNKAGREKDKNVVGGIPCLPKIGSLNDTKKMKLDAVDGRSRRSSFSDDLFSGKLTQYDPTFLFSLGISLGIITSNMTNKREIVKIRELLKQNENLVQDLQDELDMKDSMTVKELQNENYGSQDTCDHSFNGKELHEFSPEKHIDSSPRVERKESYDKKEEQSSESMSKIEAELEAELERLGLDMNASSLDRKLSELVEIDPEFVADFAQGEFRADTVNGADANVTTPLPANYAVSPHELSLRLHEVIQNQLETRVKELEIALENSQMKVRSLETEQDVSFQKESSPTRGNLLMTHEDCDTMSQPLILNLSGEALEAYNETYEELIKMNDSEDNSPSAIIHDGDDKEGPLSHDWNATVFEYDFATMLEGQSSSELNVSGDESCDCDNEEVERLLIRQIVERTKKGSPLFQNAKKILYSMDEDEQQH >RHN40132.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13540141:13541869:-1 gene:gene46239 transcript:rna46239 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDRNSLYWYRYQSTIYFLIRKHNFPFELCYKIVNESHPLPKRLCNITQD >RHN60282.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24631283:24633474:-1 gene:gene22567 transcript:rna22567 gene_biotype:protein_coding transcript_biotype:protein_coding MLITSHANLSVSGHRRQAIVVVIGSIPSSKPPLISWKSQTAISCPVVEEDKVVS >RHN69401.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43159413:43161257:-1 gene:gene17866 transcript:rna17866 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MaT6 MGKPIGAEHKLIEQTLVFPATRTATTTYLPLTFLDLHFAGPIYAKRLFFYPFPHSTNHFCKTTLPSLKQSLSCALQHFFPLAGNLISPPPPQKPFIRCTEEDSVFFTIVESSSDFNHLSNKHHLKNLKENNHLAPILTHKTRVEDINDIENDTFTLPLLALQVSVFPNHGVCIGITYCHVMDGNSCNHFMKSWSFIHQGGDAAELKSLPCFDREVLKDPRGLEDLILRDYFFTRKSWKFRLIAQSQSTGEHEDSFKVIIAFGKEEIEGMKKWVLNQWKKNDNNEINVPKFISKFVVTSAFVWASMVEAMHKNDDGGDDDDEKDEYFCFTCDCRDRLGYSIPEGYFGNCVVSKSATMKRKDMKGIDSFVDVVKVIEKAVNEIKNEPLKKLEDWFELSKTMYMSGNQLFLHGSPKFNVYETNFGFGKPVKVEMVHAFKGVSLAESGDGEGGLEFGLVLKSEEFEYFSSLIQQGLEVFKY >RHN63123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48651227:48651864:-1 gene:gene25783 transcript:rna25783 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLCEMLIEIVFSNTAHRLINWKVLKLYIPRIMLQFIQLSLLLVED >RHN55004.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:14298046:14298273:-1 gene:gene30120 transcript:rna30120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MLNEGVVMQMTDIRDKIHKRQFLFPTIIAKLPSLTTKNMNLLKQFFRISDNGATEKRMKETLENCERAPARGETI >RHN54656.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11086402:11089180:1 gene:gene29728 transcript:rna29728 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid/polyamine transporter I MAMGEFTGTGSEYVTVGEVPSPRANHMRKLSVLPLVFLIFYEVSGGPFGVEDTVKAAGPLLALLGFFVFPFIWSVPEALITAEMGTMFPENSGYVVWVSSALGPFWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAVPAVGGGLPRVFATWGLTIVLTYLNYRGLTIVGLVAVCLGIFSLLPFVFMGFLSIPDMKPERWFVETNLNDVDWNLYLNTLFWNLNYWDSISTLAGEVENPKKNLPKGLFYALILVVVAYFFPLLIGTGAVPVQRELWTDGYFSEIAMIIGGVWLRWWLQAAAAMSNMGMFVAEMSSDSYQLLGMAERGMLPEFFTKRSRHGTPLIGILFSASGVILLSWLSFQEIVAAENFLYCIGMILEFIAFILLKIKHPNAPRPYKVPGGTAGAIIMCIPPTILICVVLAFSSLKVFLISIVAMAIGIVMQPCLKFMEKKRWMKFSHSPELPDFVNGEDTQSLVQ >RHN39245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5254874:5256455:-1 gene:gene45250 transcript:rna45250 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MTNNIVIVFDFDKTIIDCDSDNWLIDELGFTDLFNQLLPTMPWNSVMDKMMMEFHSNGITIEEIEKVLQRIPIHHRIIPAIKSAHALGCDLRIVSDANMFYIETILKHLGISEYFTEINTNPGYVNQEGRVRISPYHDFNKASHGCNNVCPPNMCKGLIIDKIQNSIFEEDSKRFIYLGDGAGDYCPSLRLRERDFVMPRKNFPVWDLICKDPSLVKAEIHGWSDGEELEQVLMNLINKIMMEEHVQFISSDCKLQTLSSPVLVSLPKAVSVRP >RHN61255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34179349:34182740:-1 gene:gene23696 transcript:rna23696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MIGRREREGPLMRNNPHSLRKSRIVTAVVIGVLIGCILAFFFPNGFFVSQSITSNRRIAVVGSKTQENSAECESSDRVNMLKSEFVAVSDKNAELKKQVRELTERLRLAEQGKDQAQKQFLALGKQEKAGPFGTVKGLRTNPTVVPDESVNPRLAKILEKISVKREIIVALANSNVKEMLEVWFSNIKRVGISNYLVVALDDEISKFCETNQVPFYKRDPDDGIDTIGKQGGNHAVSGLKFRILREFLQLGYGVLLSDVDIVYLQNPFDHLYRDSDVESMSDGHNNMTAYGYNDVFDEPAMGWARYAHTMRIWVYNSGFFYIRPTIPSIELLDRVATRLSKEVAWDQAVFNEELFYPSHPGYEGLHAARRTMDMYLFMNSKVLFKTVRNDANLSKLKPVIVHVNYHPDKLPRMKAVVEYYVNGKQDALKPFPDGSDW >RHN61000.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32203031:32203353:-1 gene:gene23407 transcript:rna23407 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYPASSCCYYEWEVQPVLCPYRRVLVVVCLSSLGVGVGAFVSPLLGGFRFCPLASCPGSFGGRLGDVWWLFGVAPGVCLEGLSFWCFR >RHN57831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42030277:42031975:-1 gene:gene33420 transcript:rna33420 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exocyst complex component Exo70, cullin repeat-like-containing domain-containing protein MTVHMLIKVQRWLLQTKVWRFVGFASAAVGLVCYALSSSFNYLFGNWNLLKIILYTVFSFIICIMILYENIWKQSRSLRFKAHTAFLVLTITSVYSFYFDKVVNGKPDAYSLISCAAFAIMSLSLSRQSHCGFEVDLLYFFLGCLTVLLMKIKFQLFIIGAGFASSATRCFTEVFHGSTFQLLNFANAVADGSPSIWCLFKMLAIFETLHHLISKFHLGPDSSVKEAAVTVQNRLGEAIRDLFLKLNYLTFRVPAAKKVSRSDGRHHPTAVQIISYVTSACRSRHTLEQVLQEYPKVNNGVVVKDSFIEQMEWIMDMLEKRLTYKSKEYRELALRYLFMMNNRRHIEAMIKSLDLETIFGNDWFQRNQAKFQQDLDLYQRYSWNKVLEFLKLDNNDCAALNGDVAEDILKEKLKLFNKQFEEFSPIGLYMIRSLKKK >RHN40717.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19908183:19908557:1 gene:gene46911 transcript:rna46911 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative bulb-type lectin domain-containing protein MKFEQLTNFDNLAYLSIFPRGNDWYVWVANRDQPVHMDSNIREPIILYASPQLLNRSTIVATLLDTGNFVLKIFRRRRYCGKVLIILLIVLAKADVCYGYNTDKGCQKYDDKPTCRMVIYLQAK >RHN76124.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:47868154:47873887:-1 gene:gene12404 transcript:rna12404 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor IIA, alpha/beta subunit, transcription factor IIA, helical MAASTTSQVYIDVIEDVMVKVRDEFVNTGASPGDEVLRELQAIWESKCIQAGAVLGPIERNTGVNRPMPGGPITPVHDLNVPYEGTEEYETPTAEILFPPTPLQTPIQTPLPGPGETPNYNIPTGPSDYSSGNDAGGNADVKGGRQAFMPPPSPWMNMNQRPPLDVNVAYVEGRDEADRGASNQPMTQDFFTMTGGKRKRNDLPPPYDAGGYIPQQDGAGDAVSGDFEIEVCGGSISFNSQHTNSKGKMPADLERLASRIPQLDGPIPYEDDVLSTPNIYNYGGVFNEDYNIANTPAPPEVPASTPALVAQNEAVNEDEDDEPLNEDDDDDLDDLDQEDDQDTNHLVLAQFDKVTRTKSRWKCTLKDGIMHINKKDILFNKATGEFDF >RHN45577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23183330:23184320:1 gene:gene39949 transcript:rna39949 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRSGADFTALVQQRNQQLRNQAMCDVPSLLELLLLNFELGDFLETFFFLSTIKS >RHN68952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39820552:39826455:1 gene:gene17375 transcript:rna17375 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCHNERCVFPLTSLQIRDLQSYFADLSIFLANDSKKIYIFVDNRPWVRDLGSRGAHIWQLMVTKSRLSPFAYSKNRRERKEEKEVSSQPSTSKFLRWFSLIEAVKKKRMLLPVKNIRNSLQFSSELHRTLYGFIVFEVEWTNIRGINYFNELLTDTSLAIEAKQMKRWEFDNITQAASFMPSWFSGTLSEQLLLKEHLDSASGEIFYDANETFSENVSNDDDDDNICNGTVTFEDSLGTATGAYSDDAEETTDMLHTPPPSGPNKRRKLMNSFSAEVDIDSYSAAEIDNSLNCSQRSSSASSDDKVETTQYSDALLLFRFNDPNLPFKFRDVIVSDLRLLTLLEAGLPSWVIFLQSYPVLCNVYRPWMCPLARLLYVLMSFVTVLIGFYDLYKNVPVLKATASRICGPLFDWIETWEMVSRVKYLGTMLFLHNFQKAIRWFLAVTHTTRSFFSFLIQPLIESLVEIFGFLLPSLNILFDLLESIFSVIWIGIETSCTLVGDVVELLFLPLWFILTVVWRIATCVLYPLFWILWEFLYAPVRLVMVIFSFLAVICTRACSILGETWQFLGSIIQLASSSEATISSASYEVSMWRSLWNDLFSQIFKALKSIVYGLVAFFTACNRHRLSIYNHLQEFIQRLYRQCQRSQQADLRDKRKTYLTVDLAEEKKKV >RHN81997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50484427:50485714:-1 gene:gene6111 transcript:rna6111 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQCVALLLLACLVTAHGWKWEDIGMKGKRKTPQQCYDYCYRASVFPHVIKDPLCKKGCQYIPMWESNLMSTTGRRHGSFGSPASSPGQAPKFDHMRPKMENWASSPRQAPKIDHMQPKMEALTISPRRAPKGDDIRSRMENLRR >RHN82190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51828746:51835767:1 gene:gene6330 transcript:rna6330 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EGF-like calcium-binding domain, PA domain, complement Clr-like EGF MKIRSSSSMGLLLGFLLLSLTPSSMAKFVVEKNSLRVTSPDSIKGTYDSAIGNFGIPQYGGSMAGNVVYPKDNQKGCKEFDESGISFKSKPGALPTIVLLDRGSCFFALKVWNAQKAGASSVLVADDIEEKLITMDTPEEDGSSAKYIENITIPSALIEKNFGEKLKKAISGGDMVNVNLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMEFVKDFKGAAQILEKGGYAQFTPHYITWYCPQAFTLSKQCKSQCINHGRYCAPDPEQDFSTGYDGKDVVIENLRQLCVFKVANETKKPWVWWDYVTDFQIRCPMKEKKYNKKCADAVIESLGLDIKKIERCMGDPDADSENSVLKEEQDAQVGKGSRGDVTILPTLVVNSRQYRGKLEKGAVMKAICSGFEETTEPAVCLSSEVETNECLENNGGCWKDKAANITACKDTFRGRVCECPLVDGVQFKGDGYTTCEASGPGRCKINNGGCWHEARNGHAFSACSDNGAVKCECPAGFKGDGVKNCADIDECKEKKACQCPECSCKNTWGSYNCSCSGDLLYIRDHDACISKTASQEGGKSAWAAFWVIVVGLVLAASGAYLVYKYRIRSYMDSEIRAIMAQYMPLDSQSEVVNHVNDERA >RHN77221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:5164729:5166247:-1 gene:gene651 transcript:rna651 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTLNPYPNPAKTAEIMSRYRPIAPKPETCSSNNSTSDGSSSSNSLSQKIKQSPYLRNLWPQLQARPTRTRKRGRAPISLPSSLKRQKTHVLGFCQPLHVTSPIKNLTLQGNFVPPSSLPQLPLPNHGVGVLNCNKNSTTNPNLVTLPLLPCSPNSPNNNNALKFELEVIDLNNTKVEVPQERDLLQQLQKPASSTNNVINVISPQPIRPIGSCINVGCISEVSAIPCVTKTPKKPEELEQEVESEELPAVISDSNNRVRMANSAYKEMVGQPECPWLEIQCGSSCKRISGEVTLQLSDSSNIPISSNGFSCWVRIEWENNGQKKNCVNAFCDVVKLCCDQSRDYVFTWRFHTRSREASQSSCNA >RHN81390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45497219:45498124:1 gene:gene5419 transcript:rna5419 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFWSTHTAAREEKSKPRTSAEPYKFVLDLDEASASQKEVKGFLILLGNICLQMAGAFVLKI >RHN40488.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:16793747:16799769:1 gene:gene46644 transcript:rna46644 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipid-translocating ATPase MDTKNPSGNSSKIETFMHNSSSRRSSGRNSIREVTFGHSESKPVRYGSKGAVDSEAFSMSQKEISDEDARLIYVDDPDRTNERFEFAGNSVRTGKYSFITFLPRNLFEQFHRVAYIYFLIIAILNQLPQLAVFGRGVSILPLAFVLLVTAVKDAYEDWRRHRSDKVENNRLGLVLVNGHFIEKKWKDIRVGEIIKINANEPIPCDFVLLSTSDPTGVAYVQTLNLDGESNLKTRYAKQETQFKFHEKERFSGLIKCEKPNRNIYGFQATMEVDEKRLSLGSSNIVLRGCELKNTNCVVGVAVYCGRETKAMLNNSGAPSKRSRLETQMNSEIIMLSFFLVALCSVTSVCAAVWLKRNKNELNRLPYYRKLDFSKGKEESYQYYGWGVEILFTFLMSVIVYQVMIPISLYISMELVRVGQAYFMIKDSRLYDEATNSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIWGVDYSSAKPSLENEQVEYSLQVNGKVLKPKMKVKVNQELLRLAKSGFASKDGKRIYDFFLALAACNTIVPLVVDTADPTVKLIDYQGESPDEQALTYAAAAYGFMLIERTSGHIMIDIHGEQQRFNVLGLHEFDSDRKRMSVILGCNDNLVKLFVKGADTSMFSVINKSLNTDIIQDTETHLHSYSSVGLRTLVIGMRNLNASEFDQWHFAFEAASTSMIGRAALLRKVAANVENNLCILGATAIEDKLQQGVPESIESLRKAGIKVWVLTGDKQETAISIGYSSKLLTSGMTQFRIKSNNRESCRRRLQDALLMSRKNVTAPEVGNYIEGSSDGVVSTPMALIIDGTSLVYILDSELEEELFELARRCSVVLCCRVAPLQKAGIVSLVKNRTADMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLFVHGHWNYQRLGYMVLYNFYRNAVFVLILFWYVLFTAFTLTTAINEWSSMLYSIIYTAVPTIVVAIFDKDLSKRTLLQSPQLYGAGQRQEAYNKKLFWLTIADTLWQSVVVFFVPLFAYWGSTVDIASMGDLWTISIVILVNLHLAMDVIRWTWISHASIWGSIIATFICVMVVDAIPSLHGYWAIFDVASTALFWLCLLGILIAALLPRFVVKFIYQYYCPDDIQISREIEKFENRRVNGDRQIEMLHISNPQR >RHN50754.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:10168015:10171646:1 gene:gene35079 transcript:rna35079 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase chromatin remodeling SET family MKIPRKSSSSNGDSNSSSSSFLVQHATLILPYLTHTQLANISLTCKSLYKLTQIITLRRISDASRTFENYPIPFFNTNNNNNPPYSYFLYTPSLILSHNTPNYQPWGAGEGAFVISDDGSVRFGVGCDFEGVCENGSVSFGVGCNFQGVCDDGSVGDGGGSSVISPIPPRTIHDVGLVRFGVGCENGKVESGGMSSVISPVPLRTVDDDESVSFGVGCECEGICDDECMCFSDDGVDGIGRECGLDCLCGMECGNRVSQNGVNVRVKIVWCGGGKGWGLFANQVVRKEEFLFQYAGELLTTTEAQRRQQHYDELASRGRFSSALLVVREHLPSGKACLRLNIDATRIGNVARFVNHSCDGGNLSTKLVRSTGALFPRLCFFASKDIQKDEELAFSYGEIRKRSNGRLCHCNSPSCLGTLPSEDT >RHN39824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:10846374:10849035:-1 gene:gene45897 transcript:rna45897 gene_biotype:protein_coding transcript_biotype:protein_coding MADESEKSTVTVDRDGGDSLLEKITEKLHFEDDSSSDSDSHSHSELDKKPVIESVKEKVFRLFGREKPVHSVLGGGKPADVFLWKNKKLSAGTLATATAIWVFFELLEYHFLTLICHISILVLALLFLWSNAHTFVHKTPPHIPIVHLPEEPFLQIASALRIEINRGFSALRDIGTGKDVKKFLIVIFGLWILSIVGSWSNFLTLFYITFVLLFTVPFVYDKYEDKIDPLAEKAFIEIKKQYAVFDEKVLSKVLSKIPKGVLKGKLA >RHN48031.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44401735:44401917:1 gene:gene42700 transcript:rna42700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-phase kinase-associated protein MSSETKKMITLKSSDNETFEVPEAVALESQTIKHMIEDDCTDNGIPVPNVTSQILAKVIE >RHN43032.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42713809:42714507:1 gene:gene49552 transcript:rna49552 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative reverse transcriptase zinc-binding domain-containing protein MNPVAVMERWGVEEKRVFNKLWKSLALSKVVAFAWKALLNRVLTKDNLTLRNVLHPEESTVCVMCDTREESSIHLFLHCKVASEVWSWVDYHFLIPPNLFIHWECWSGRRGKKNMLKGLWLIWHTTIWVLWKARNDKIFKGINHVTDELVEEIKVLSRRWMLERMNILVCLYYE >RHN45005.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:10667093:10668194:-1 gene:gene39198 transcript:rna39198 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MSDRTIILTMVDESHARPGSLLEVLLQSFKSGQDTQRFLNHLVIITMDPQAFEYCRFLHPHCIHPSTFEPYFSTKRQSITSPDHSVFSWRRNNVLIDVIELGYNIIFTDTDVLWLRSPLENFDPSYELSISCNFSSDGERTYSMEGGIFFMKANAAALEFLKHWKLSMFLYLRPNAEESLCETITQNVDIADAYGVRVHHIDRNQFGGFCQLNNDMFETVYTIHANCCDDLTSKVHDMTIVLDDWIRFRKRVKNNNATEKMTLRWPQKCIG >RHN82107.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51290785:51293022:1 gene:gene6232 transcript:rna6232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MSGDEESVTPKYTSVKHDYDTADKKTDSGKAPKFNGDPEEFSWWKTNMYSFIMGLDEELWDILEDGVDDLDLDEEGAAIDRRIHTPAQKKLYKKHHKIRGIIVASIPRTEYMKMSDKSTAKAMFASLCANFEGSKKVKEAKALMLVHQYELFRMKDDESIEEMYSRFQTLVSGLQILKKSYVSSDHVSKILRSLPSRWRPKVTAIEEAKDLNTLSVEDLVSSLKVHEMSLNEHETSKKSKSIALPSKGKTSKSSKAYKASESEEESPDGDSDEDQSVKMAMLSNKLEYLARKQKKFLSKRGSYKNFKKEDQKGCFNCKKPGHFIADCPDLQKEKFKGKSKKSSFNSSKFRKQIKKSLMATWEDLDSESGSDKEEADDDAKAAVGLVATVSSEAVSEAESDSEDENEVYSKIPRQELVDSLKELLSLFEHRTNELTDLKEKYVDLMKQQKSTLLELKASEEELKGFNLISTTYEDRLKSLCQKLQEKCDKGSGNKHEIALDDFIMAGIDRSKVASMIYSTYKNKGKGIGYSEEKSKEYSLKSYCDCIKDGLKSTFVPEGTNAITAVQSKPEASGSQAKITSKPENLKIKVVTKSDPKSQKIKILKRSEPVHQNLIKPESKIPKQKDQKNKAATASEKTIPKGVKPKVLNDQKPLSIHPKVQGRKSKTSKTNPKGPMKIWVPKSELAKNAGVLKGKRETKVMVPRQRMFKAHDWRESFVPYPYNERWRRSEVWWQPNWKDHWYRYYW >RHN79325.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:27625106:27626800:-1 gene:gene3102 transcript:rna3102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MQPRGFGFVQFVDPADAADAKYHMDGQVLLGRELTVVFAEENRKKPQEMRARERGRSYDYRRSPRRRSRSPRYARTYSRSPDYTPSPRPRRYSRSISPRDERYRRRSYSRSPYRSPYGSRSPDRGRSYSRSISRSPGYSR >RHN73331.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15457438:15458313:1 gene:gene9132 transcript:rna9132 gene_biotype:protein_coding transcript_biotype:protein_coding MAYARLYKGELASPSRVLTCLQAPFIPPRRLIAAVPEAVTVTLEHSLAPLPLNRSHQTDHLALEGGDRSLTASVQGSSTVTVFCYAHLRRNCF >RHN41391.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29694855:29695814:-1 gene:gene47709 transcript:rna47709 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTGSNNIEILRVQALSILCTPHPFLEFSGFAPIPDKVDSIRSRKQQIKNDTLFDFDGSSPVQDKVDPFCSTKQQIDNDAFLDFDGFSPAEDKDIYNRSKEQRQDNDEENIEEGEFSFACTKVRGLHIFADEIFENGKIRQIPHTFDQSLFIYPTSNNNVSHLRPPLKKIFIKNSVNRHSMLGGISKESQNESLQNMTMVEIKASNECYEKSNSTGSSNLWKFRQNMNLRSNSDHKDSLVLLNASDPKNSRKPKVENIVNKKRKDEKHKNGLSAYEKLYVSNKTRKDSNKRRSFLPYKRQLFGLFTNMNGLSRNLHPF >RHN60265.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24385812:24391328:1 gene:gene22545 transcript:rna22545 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MSGRGNDVSDNQVSAVFYITNFPDRLLFVDLKKGLEVCGILSDVYLSRFRNARGQRFGFAKFLKVRDVDKLKKALNNVSFWDLRLFANVARFDRFEEDVDGSGGYVEVDVGKKFEGENNRGNKEGKKPRELIGREDEKGDSRKLVLEKVKVAALRSELERKRESVFKVGDVVCGRKEEVKEVSVKSEELKVLGKAKVVEGEGSDSVSGKLASEVAREYLPYHDDVVWANKCILAKIKDGTCFSGIQQSFLDAGFLDYNLIAMGGDNVLLSPCVDGDVTELFNSVADFLGNFLCDCRPWTKESNVQYERGAWVRCYGVPLHAWNDNFFLELASTRGRLLKIDEASVNKVRMDYARLLIATSELYELNYVARFVIDGRVYPIRFIEDLEFGLAEDACLSEFEDDNNSQFSVPDCSPHDEPLVDALVDHFHEDIVKNSKDVGSVSVPAGCSTVQGMSEVVAKKVCADSPTISRESENALGKKVVESCIGYKKTAPPKRKVAPSLIGLKKIARLSGTDRYELIRSLKKSKKSKKLFLKGKSSKTSSKISSSKIHKVSLSAGSGNSVKSKDWENWVVLHGNAKEVEEDIVEVFVEGRKIEGRGGWKERGLFSESGAVVVVCGESVVFMKILSINIRGLGAPEKRREVLRLVAERKPSVLCLQESKLAVVDEFVCRSIWGSDPMAFSFKAAVGASGGIITVWDPNVVDVWLTLGDFNVVRNSEERRGRVENSVSGDCDIFNQFIDSNSLIDLPLCGRNFTWYRGDGVTMSRLDRFLLSVSWTNLFPNCIQVALPRGLSDHCPILLTIDEENWGPKPLRMLKCWADIPGYGEFVKESWQSIQVQGWSGFILKEKLKKVKERLRSWHLNHTFNINSKIQGAKDRMAALDALGEISSLSTDEVNEIHLLSYDIMAFSKLQASMQWQKSRITWLKEGDANSKFFHGFMSSRRRSNSIISLASDGGSIEGVEPVRHLIFQHFQNHFKRGTQPRPDMGGLTFKLLSMSDGADLFYHHGKITKGLNSSFIALIPKVDSPQRVADFRPIGLVSSVYKILSKVLANRLRMVVGSVVSASQSAFIKGRQILDGILIANEVVDDAKCNDKELLMFKVDFEKAYDSVDWCYLDEVMIKMNFPSKWRCWIMECVTTATASVLVNGCPTDEFHFERGLRQGDPLSPFLFLFAAEGLDVMMSAVVSNGLFTPYTVGSQVTVSVSHLQFADDTLLIGVKSWANVRAMKAVLLLFEAVSGLKVNFHKSMLFGVNINESWLHEAAVVMHCRHGRLPFIYLGLPIGGDTRKLQFWHPVVERIRRRLSGWKSKNLSLGGRLVLLKSVLSSIPVYFLSFFKAPSGIISTLDSIFIKFFWGGDEDSRKISWIKWDNICLNKANGGLGVKRLREFNISLLGKWIWRVLEDRESLWNVVLRAKYGEFGGRVRFCEGVGLIWWRQLNQIRSGVGLAESTWLVDNLVRKVGDGSTTMFWEDSWLLNVPLAVAFSRLFDLSENKGVTVREMFLLGWGADGGAWSWRRRLFAWEEELVGECVERLANFVLQVDISDRWVWNLHSSQVYTVHSAYSYLTTVDTNINAEFDHLLWLKAVPLKVNIFVWRLFLNRLATKDNLRKRNVLEATNVFCGTLCGKEEERDHLFFQCDYYGRLWLMLSDWLGFVTALNGNLYSHANQFCALGGFSKHSMQTFSIIWISVLFSIWKDRNRRIFQNEIVHMEVLLERVKLQTFWWLKANYILFVFDYSYWRQNPLSCLQTIV >RHN73708.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:19187678:19197236:1 gene:gene9558 transcript:rna9558 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sterol 3-beta-glucosyltransferase MERRKAKAVFMAFGTKGDVYPLAAIAAAFACDQKQYDAILMTHSAHESLSPQLGEKHVEFCAVSSPPVLSADQNNDIKEEAESSFLLQKKKITRDHRRECYSLIEKIFGDGPSLDGDLIVINFFALEGWSLAECFSIRCVVAAPYVVPYSAPATFERQFQRELPLLYKYLTEAPSGKVCWKDVIHWMWPLFTENWGSWRDEDLHLSPCPFTDPVTGIPTWYDRPQSPLLMYGFSKEVVECPAYWPSRVRVCGFWFLPIEWQFTCTQCRETSLYDKDNLCPHHLELQNFVNAKPIFIGLSAIGSMGFLKDPHSFICVLQTVLSTTNYRFILFTGGYKPLESVVRIIASEATFEQNIWSEDCVALYNGRLLCFFGSLPYGWLFPKCAAVIHHGGSGTTAAALQAGTPQVVCPFVLDQFYWAERMHWLGVSPEPLSRNHLLPDKNNDRSIQEAAHVLSRAIHDTLSSTVRARAAEIAERIFLEDGESVAIKYLKEELSLS >RHN80580.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39172232:39174398:-1 gene:gene4520 transcript:rna4520 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MANNEEQKHALKDKLKELVNTIVEGDDFTVHAADEAITALSVLRDMKCSASFSRKFDHLSLPVPPQFRCPISGLIMTDPVILAIGQTYDRPFIQRWLNEVHKACPQAQRVLSHSILSPNYLVYDMISRWCKEHGIELPMPVGDIDNGEVTEAHKYRLRSLLHKLSLSALDQKEATRELRLLTKRMPSFRRLFGDSEVIQNLLSPLSPGLACIDPELHEDLITAVLNLSFDESNKRAFVEDEKLITFIIDSLKSGTIQTRSNAAAAILSLSALDINKHIIGKTDAIKNLVDLLEKGHPSSMKDALSAIFNLCIAHENKARTVREGAVQVILSKIIMDRVLVDEFLSLLALLSSHSKAVAALGSHGAVPFFMGILRDNSISDRSKENCVAILYIIFFNDKTKRKEIKEDEIANGTLSKLAQCGTSRAKRKASGILDRLNIVQSSKHIT >RHN61309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34702846:34703890:-1 gene:gene23758 transcript:rna23758 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIETNTKSSLHLRSNSLPSSPHPLVSQFEDNLKILNNSEGTTSLSSSSVCNKLNGMQDLNDCIGKLLQLPTEQQALSRECNERSVNDLLEGSLRILDICSIAKDFLSLSKENMYELQSVIRRKRGIETGFTVEGVKYMAFRKNMKKQIRKALVNLKTMKNESIDSSSNKDNNSSPMFGLLKEAEAVTLYSLEHLLLFISDPKGHSKHSRWSIISKLMHSKRAVSDSQESDTNEFEKVDSALLSLISHNKTSSADNFQSHMENLEICIQDLEIGVEHISRKLIRNRVSLLNIFNH >RHN42618.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39746348:39749469:-1 gene:gene49076 transcript:rna49076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cell division protein FtsZ MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSDSSVGVAHDAFNTFFSETGSGKHVPRAVFVDLEPTVIDEVRSGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQLSVPEITNAVFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGAEDDEEGEDY >RHN39502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:7653976:7659688:1 gene:gene45529 transcript:rna45529 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MQNHRLMKQQQHQHQQQQQAMMQQAALLQQQSLYHPGLLAPPQIEPIPSGNLPPGFDPSTCRSVYVGNVHTQVTEPLLQEVFAGTGPVEGCKLFRKEKSSYGFIHYFDRRSAALAILTLNGRHLFGQPIKVNWAYASGQREDTSGHYNIFVGDLSPEVTDATLFACFSVYQSCSDARVMWDQKTGRSRGFGFVSFRSQQDAQSAINDLTGKWLGSRQIRCNWATKGAGGIEEKQNSDSKSVVELTNGSSEDGKEISSNDVPENNPQYTTVYVGNLGSEATQLDLHRHFHALGAGVIEEVRVQRDKGFGFVRYSTHAEAALAIQMGNAQSYLCGKIIKCSWGSKPTPPGTASNPLPPPAAAPLPGLSATDILAYERQLAMSKMGGVHAALMHPQAQHPLKQAAIGASQAIYDGGFQNVAAAQQMMYYQ >RHN72576.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8809311:8810290:-1 gene:gene8303 transcript:rna8303 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MSLVCYMREDELPKDPWAWRKYGQKPIKGSPHPRSYYKCSTWSDCPARRKVEKCKTQENTYIVTYEGEHNHAKPTSNKNVVVGTSQRKSPETGLHSMEEVGSSTNITNSGSPNVVMLHIDHPESSNAQVLADQSKTPYPEVELLESQSLVVEDVGSSSNVRNLGSHNKMILQFEEPQSSNVTGVVGDSNLPYSETGFIGSFDDNDDILIPNITTWSEDYLMDFNRLNGVAIFP >RHN40540.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17373798:17377039:-1 gene:gene46700 transcript:rna46700 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MVLAGKLITELGIKTPGEKFFQLFATQLHEVQNVVERCHHTKLHEGEDWHHSDSVKHWTYVMDGKVHTCNEQVEEVDEQNKKITFKLFGGDIENYKVFKLILEVLNKADGSSAVRWTIDYEKNNEEVETPNGWMDYLSKGTRDIDGHLVKGEKVDGL >RHN43705.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:47858194:47859564:1 gene:gene50321 transcript:rna50321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nepenthesin MALILSQLFISLILSLFFSLTLLPHATTSKANSSSEYSITSIFNVTAANHKTSQILSFKPFHNQEEFPQTFSSSSSFKLKLYPAASLYNTHHQHKNYYSLDLNASLNPGITTGTSNFLVQIGVGGPPQKFYMIFDLQTDFTWLQCQPCIKCYDQPDSIFDPSQSSSYTLLSCETKHCNLLPNSSCSDDGYCRYNITYKDGTNTEGVLINETVSFESSGWVDRVSLGCSNKNQGPFVGSDGTFGLGRGSLSFPSRINASSMSYCLVESKDGYSSSTLEFNSPPCSGSVKAKLLQNPKAENLYYVGLKGIKVGGEKIDVPNSTFTIDPYGNGGMIVSSSSLITMLENDTYNVVRDAFVAKTQHLERLKAFLQFDTCYNLSSNNTVELPILEFEVNDGKSWLLPKESYLYAVDKNGTFCFAFAPSKGSFSILGTLQQYGTRVTFDLVNSFVYLHTLCCN >RHN43255.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44380802:44381638:1 gene:gene49800 transcript:rna49800 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFRFIHINMRFRFRLRMKNRSEIIKNLWAYDMDGSQWLVWEGVEEWTVTI >RHN61097.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32942559:32942895:1 gene:gene23522 transcript:rna23522 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLQFASFPHPSPISTVNWISDLEFLPHKPTRNFRSMVFILFLILVCAVGVCFSWQKNSRIFVVGVHVN >RHN64599.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60304432:60307527:-1 gene:gene27427 transcript:rna27427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MKLAGIKSIDNAHDDSVWAVTWAPATATRPPLLLTGSLDETVRLWKSDDLVLERTNTGHCLGVASVAAHPLGSIAASSSLDSFVRVFDVDSNATIATLEAPPSEVWQMRFDPKGAILAVAGGGSASVNLWDTSTWELVVTLSIPRVEGPKPSDKSGSKKFVLSVAWSPDGKRLACGSMDGTISVFDVQRAKFLHHLEGHFMPVRSLVYSPYDPRLLFSASDDGNVHMYDAEGKALVGTMSGHASWVLCVDVSPDGAAIATGSSDRTVRLWDLNMRASVQTMSNHTDQVWGVAFRPPGGNDVRSGRLASVSDDKSISLYDYS >RHN48839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50612627:50615586:1 gene:gene43598 transcript:rna43598 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L35A MVKGRQGERVRLYVRGTILGYKRSKVNQYPNTSLVQIEGVNTKEEVAWYAGKKMAYIYKAKVKKNGTHYRCIWGKVTRPHGNSGIVRAKFKSNLPPKSMGSRVRVFMYPSNI >RHN47068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:36894685:36895080:-1 gene:gene41623 transcript:rna41623 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSEITLPNDFDIWYVSPLDFDILVLNGLISVWVSDVVTVKIWVMQEYTVHSSWTFSMHPAPQDNHFSLISFTNCGDIVGIVDHERGLKKFNNKGHLLEHDSYHICFSERSQMAVYTESLLSFPSGTDEA >RHN80657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:39826923:39827947:-1 gene:gene4608 transcript:rna4608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative rlpA-like protein, double-psi beta-barrel MENTQRKLSLLVLCFLVGTMLVSGQSANNVRATYNNYNPQNINWDYNTASVYCATWDANQPLSWRSKYGWTAFCGPAGPTGRDSCGKCLTVTNTATGAQVTVRIVDQCSNGGLDLDVNVFNQIDTNGQGVQNGHLTVNYSFVNCGD >RHN40504.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17010792:17019963:1 gene:gene46661 transcript:rna46661 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-transporting ATPase MEGFLKDFELEDKDRSIDALSRWRSAVSLVKNPRRRFRNAADLGKRVLLDEKQEKFRTALNVQRAALHFHPTAIADPDNVGVRVDGFDIDPDSIASLVHNYDNNGFKKINGVEGIARKLRVSVAAGVREDSLNTRQLYFGFNRYAEKHAKPFLKFVWESMLDSTLIFLMVCSIVLIGGKFATEGLLVNVYDEVGIILGVFFLVVFTSVNDYHQSLKFCEWDRENKNISVKVTRDGKRQKISIYDLVVGDIVHLSIGDQIPADGICISGSNLHIDESSLTGQVDPVYVNQENPFLLSGTKVIDGSGKMLVAAVGMRTEWGKLVEVLNDVGVEETPLQVKLNGVATIVGKIGLSFSLLTLAVLVIQFFVDKATRGDFTNWSSKDAMKLLNYINILVTMIVIAVPEGLPLAVTLNLAFATKSLTNDRALVRHLSACETMGSASYLCLDKTGTVTSNCMVVNKLWISGEVVEMKDNRNGNKLKGKISEEVLNILLQALFQNNASEMVKDKQGKTTILGTSTDSALLEFGLLLGGNFDVQCQLYSKLKIESFNPVYKKMTVLVSLPNGGLRVFCKGASEIIIKMCEKIIDCNGESVDFLENHAKHVEHVLKDFASEPLRTISLAYKDINVIPTENNIPDNGYTLIAIVGINDPIRLGVKDVVQTCLAAGVTIAMVTGDDMNIARTIAKECGILTNNGLTIEGQEFRNLSTMHMKVTIPQIQVMARFLPHDKHSIVASLKDMFGEVVAVTGDGISDAPALHEAHIGVAMGLSGTEIAKENADIILMDDNITTIVNIIKWGRAVYINIQKLVQFQLTAIIVALVINFISASVTGYVPLTAVQLLWVNLIMDILCPLALVSEPLNDELMKRPPVGRGEKFITNAMWRNIFGQSIYQVIVLVVLNFEGKNILSISGSNATDVLRTLIFNSFIFFQVFNEINCREIEKINIFKGILNSWAFLVIIFSTVAIQVIIVQFLGNFACTVSLNLELWLISVLIGATSMLIACLLKCFPIERHVSIRRDGYQALSAQP >RHN74992.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38533766:38534048:-1 gene:gene11137 transcript:rna11137 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKMYRALIFFLIIVLPLFMSSEARTLPKFSSMMSTKKVGSELLLRDMIKNIVRINSEYIHKRSMLGGQLERVAPAGPDSQHH >RHN47722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41954855:41955328:-1 gene:gene42353 transcript:rna42353 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MFYILISFVSFVMILSKSFINKPLSSNVKKLVSNGFCGKLLSFLASRLKTRTIFLPFSFFQFSLGMQLQNKSCLVSKVEYREEEEDKASLLDLHDLPLDCILEKLSPTELCNVAQVCKSLRERCRSYYLWEKHMKMISSKIL >RHN41928.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34133741:34134091:1 gene:gene48303 transcript:rna48303 gene_biotype:protein_coding transcript_biotype:protein_coding MREIEEREVLIIYKITMIPLHSFFHHHHVASLQQFYMGTSGTIVGKLVSSLFLRFFGEINIRRGPHVFLSLSPFSFCNQTEEIMNFSPFSLHPLSLFSISPQPNTMLMYMINIFLV >RHN70918.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:55062264:55067493:1 gene:gene19553 transcript:rna19553 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha/Beta hydrolase MESQQIPKVQHSVVDVQINNKNIKKLKFPKFGCFRIQHDATGDGFDIEVVDASGHRSNPTHLIIMVNGLIGSAHNWKYAAKQFLKRYPYDVIVHCSECNSSTLTFDGVDVTGNRLAEEVISVIKRHPSVRKISFIAHSLGGLIARYAIAKLYERDISKELSQGNVHCEGQISNQECHVRKYEGKIAGLEPINFITSATPHLGCRGHKQVPLLCGFHSLEKTASRLSRFLGKTGKHLFLTDGKNEKPPLLLQMVRDSEDIKFMSALRSFKRRVAYANIRYDQLVGWSTSSIRRRNELPKGRHFKRHEKYLHIVNVETTKPTSVFPEEVPSESKVSSGSGKIDFEEEMIRGLTTVSWDRIDVSFSGSRQKYLAHNAIQVQTYRINSDGVDVVQHMIDDFQL >RHN69966.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47636586:47639164:-1 gene:gene18501 transcript:rna18501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 12-oxophytodienoate reductase MKDKVNGKTDEYGGSLENRCWFGLEVVEAVVNEIGAEKVGIRFLNISTCSSSLSCEEYVTAGPCDLVCKWKLWSYYHNIDQQKLSKNQQDNQCNLKRDLCIDVV >RHN69938.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47463018:47463963:1 gene:gene18471 transcript:rna18471 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MSKKRLIVSSVNDPDELLLWDSSISSVFSNVSNSTVTQTQLNCPISFNSLFRLLYGNNLEICSCHGILCFAIAGLYAFLWNPSLRRYNVLPPLENPEESDGSTSYVYSFGYDHFSNVYKVVAISHLHDTNKKNEVSVHSMGTGYWRRIHNFPYSRSMPRPGVFVSGTVNWLASDFSSSATFCDIVSLDLEKESYQQLSLPDFEKKSWTLGEYGIKESWTKLYTIRAMGNHDFDPYTKVVYISEDDQFLIDLYERTSSKMKLGVYDSKIGTLKFHMIQNINGWMGPEIYAESLISPCS >RHN68721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38026640:38032380:-1 gene:gene17107 transcript:rna17107 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ClpA/B family, P-loop containing nucleoside triphosphate hydrolase MSSSSPSPMETEQNPTTDQNGAVASQRSPLPPSPPPPLPPEDKILVPVEVCLKPSSTASIHDVRSAVEGMLEKRSLSYNGGPIPVPLDEPFLADNVQRICVCDTGEGMQNDNVLLFWQVKPVVHVFQLSEEGPCEDISSDGQSSSFNEWILPAKEFDGMWESLIYESGLKQRLLRYAASALLFTEKAVDPFLVSWNRIILLHGPPGTGKTSLCKALAQKLSIRFNSRFPQAQLVEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEEGNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQMDKLKSSPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLQARYEILRSCVQELMRTGILTNFEDCKNIMLPNYASAKQRMNAPDFHEATTFMQLCKKLVETAEACEGMSGRSLRKLPFLAHAALANPFDCNPIKFLCTMIDTAKRERSELPD >RHN44653.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:7297828:7298247:-1 gene:gene38797 transcript:rna38797 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MVQLLNINIMNLYLTALMTRHCFHKMNLPPSPSNVSPSSVFLPDELIVEVLSWLTVKQLMRLKYVSKSWKSLISEPTFVKFYLNHFAQNTDLTLVSHEWNEDNEAVSFTVLRLLEYPPIIINLPGDPYYQLDKDIIIGS >RHN82053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50923134:50924899:1 gene:gene6170 transcript:rna6170 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHLSVLTKHQKFNMVSLEPEPVQGNNLRSSDAPTSPRISFSAEFLDENNFISISPNPLYRTERDQEKEQHEKTKNTDQFEFLSNINISDKNTVLSADELFFEGKILPFWQMQHLEKLNKINLKEEEEEEVIEVVVDNKEDNNNSRVNWFVDDDPSPRPPKCTVLWKELLRLKKQRASSLSPSSSSSSSSSNGSSLGDVAAKEGSKNKENQHVKRIKKGLERTRSATIRIRPMINVPICTQMKNSALPPLFPLKKGKILER >RHN75633.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43938445:43941023:1 gene:gene11866 transcript:rna11866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6-f complex iron-sulfur subunit MSSTTLSPATPSQLCSGKSGISCPSIGLLVKPTRTQMIGKGKGMSITCQATGIPADRVPDMSKRETLNLLLLGALSLPTAGMLVPYGSFLVPPGSGSSTGGTVAKDAVGNDVVAAEWLKSHGPGDRTLTQGLKGDPTYLVVESDRTLATFAINAVCTHLGCVVPFNQAEKKFICPCHGSQYNDQGRVVRGPAPLSLALAHCDVGVDDGKVVFVPWVETDFRTGDAPWWS >RHN77484.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7165860:7167573:-1 gene:gene947 transcript:rna947 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L30, ferredoxin MVLGKHGIICIEDLIHEILTVGPHFREANNFLWPFKLKAPLGGRKKKRNHYVEGGDAGNRED >RHN51448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:17927094:17930288:-1 gene:gene35883 transcript:rna35883 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MDLNGSVDDKCENRLYVGNLDLRITEATLLKMFSPYGKIMSEDFLWHTRGPKRGEPRGFAFIQYSTKEEAILAKEKMHGRLACSRPLVVRLAGERYALEKADSSTKAVSEGHKLHLTGGGIGQTSRSAKIAAIKNKLKSLEEDNSKTKKQKLSDNVS >RHN78247.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13089627:13091255:-1 gene:gene1781 transcript:rna1781 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MHQRLYKGNACTHFISMHERHMQFANIHCNKTTMSIIQCIGGFLTILIFLYIYYWRRNRDEFVPINWPIIGMLPAFLHHLSDFHDYATIVLKRHGGTFLIKGPWFTDISFIGLADPMNVDYITKKNVGNYAKGSKFHDIFEVLGGSIFNSDSDDVWKQEKTMFHLILGRKSFKNMVEQSIQKKVENYLIPFLNDVSEAGAQVDLQDAFNRFTFDSSCMILFGFDPNCLPNKFNHLIEIPYKNSLPVMEEVMFYRHIIPSSLWKLQKWLNVGQEKKFKVAQEYLDRFLYESITFSHGEEQRKCSNEETHQCFLGMVKALKNEGHGKGEISEKYLRDTALTMIFAGNGTISSALSWFFWLLSTYPIVEEKIIQEIRDNWLTREDYRITLRDVDLDKLVYLHGAICETLRLYPPVPFEHICAIKSDILPSGYHVTPNTTLIYSLYSMGRMEQIWGEDCMEFKPERWISERGDIIHVPSYKFIAFNTGPRICIGKDISFIQMKMVAAALLRKFHIQVVEGHPVTPRLSVFLRMKHGLKVEVSKRSI >RHN80445.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:38189552:38190330:-1 gene:gene4374 transcript:rna4374 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MKMRLQQLNMSLIPILLIILLVGNFPSNAKGLLLPFMDSPNTLLSDLLSDRFPDPFRVLEQIPFGVEKTEPSMTMSPARVDWKETPDGHVIMLDVPGIRKDEIKIEVEENRVLRVSGERKKEEEKQGDHWHRVERSYGKFWRQFRLPENVDLDSVKAKMENGVLTLTLNKLSHDKIKGPRMVSIAEEDEKPSKQEL >RHN78552.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16164759:16166196:1 gene:gene2136 transcript:rna2136 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSIVAKIRRLLQMDCEVVVRHSYQETNQCADALADLGCSLHTNICFYESCPTQFSHLVVVDALGVFIPRLISV >RHN57996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42999958:43003868:1 gene:gene33600 transcript:rna33600 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Two pore domain potassium channel, EF-hand domain pair MASDDTNEPLLLRSQDTVAQKAKGRLNQRRLLRSRSAPHTDHAPLVINDKESIPLSETIFGNLHPSFKKVAIILMVYLGVGTLIFYLVRNQIKGMKTERFLDALYFTIVTMTTVGYGDLVPNSDLTKLLACAFVFSGMALMGLILSKAADYLVEKQEVLLIKAMHMRQKVGPSEILKELEINKTRYKFFLVFLLLLILVIVGTIFLVNVEKLEVIDAFYCVCSTITTLGYGDKSFSTQAGRIFAVFWILTGTICLAQFFLYMAELNTESRQKALVNWVLTRKMTNYDLEAADLDEDGTVGAAEFVIYKLKEMGKISQEDITLVMKEFEELDIDQSGTLSVSDITLAQSS >RHN48380.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47107393:47109501:1 gene:gene43091 transcript:rna43091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative angiotensin-converting enzyme 2 MEDSSAAYIHLVHRLIEECILFNMSKEECMDALSKHANIKPVITSTVWKELEKENKEFFEAYLRNRAERASETEMRQRIRNMVLDSSK >RHN78026.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11359065:11362141:-1 gene:gene1540 transcript:rna1540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant seed peroxygenase MASSSPVSYDNKKLEEGFVVGMEEKKPIPNHENVLQKHAAFFDLNHDGVIYPWETFQAMREIGSGVLLSTAAAVFINVALSQTTRPGKFPSPLFPIEVKNIQRGKHGSDTGAYDSEGRFVASKFEEIFVKHAHTHPNALTYDELNELIKTNREPKDVKGRIGSFVEWKILYKLAKDKNGLLQKETIRGVYDGSLFEVLKKEHTKRITSS >RHN48417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:47323218:47326221:1 gene:gene43131 transcript:rna43131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-like, peroxiredoxin-like FAM213/AAED1 MACSTTLLSNSFHLNQLTNKCKLHVYPTLSLNQNFPIYSNNPLKLHPVSTTIPFASGSAGVKSPVLGDDTSSSLELVKVFDLEGNGIPISDLWKDRKAVVAFARHFGCVLCRKRADYLASKKDIMDASGVALVLIGPGNIDQAKAFAEQTKFKGEIYADPAQSSYEALKFVSGVLTTFTPKAGLKIIELYMEGYRQDWKLSFEKDTVSRGGWQQGGIIVAGPGKGNISYIHKDKEAGDDPEIEDILKACCS >RHN52263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33250553:33256418:1 gene:gene36916 transcript:rna36916 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSKKSDTSSPDASSNSPRSISSNSPSRSDKKKPKSNIVQTLNAAGTSTSGGGGSGGSDKFTSASSRKTKKDVVKGKESEISIRSGSKKSAPATVKELPELPLPSSSTSAASVSPILASSLGLNRIKTRSGPLPQESFFGFRGEKGGATAVLGASNLSRSGKKKEVGNQSRVGFREGLGVDNGSNSDTGSGGSGVTSMDQSPVVLPRSRLQNGESSSGAGEQASSQTQTGGLRSEDVCTPENTYDFENPKESESPRFQAILRVTSAPGKRFPGDIKSFSHELNSKGVRPFPFWKPRRLNNNLEEILVVIRAKFDKEKEEVNSELAIFAADLVGVLEKNADNHPEWQETIEDLLILARRCAMTTSGEFWLQCESIVQELDDRRQELPPGTLKQLHTRMLFILTRCTRLLQFHKESALAEDEHVFNLRQSRVLHSTGKCIPPSVGKDSKSYSAAKISKAISKKAYSQEQSTLVWKKGVVKPEIQLPPADDDTLKSFESPGRNRMASWKKFPSPSERSPNESAQLKDQSYGTVEPSKTPDKRLTSDVDLSAAKHSELLAVKDSHDHASKHQHKPSWGYWDKQLPNEDSSIICRICEEDVPTLHVEDHSRICAVADRCDQKGLSVNERLVRISETLEKMMESSTQKDSQQMVGSPDVAKVSNSSMTEESDVVSPKLSDWSRRGSEDMLDCFPETDNSAFMDDLKGLPLISCRTRFGPKSDHGMTTSSAGSMTPRSPIMTPRTSQIDLLLAGKGAYSEHDDLPQARSFFNLFHKRLLFLICCHTLPPVLNITKNMLIYFGLKNKQI >RHN55831.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:24519670:24520439:1 gene:gene31101 transcript:rna31101 gene_biotype:protein_coding transcript_biotype:protein_coding MHPMNGGRRKNWYENPQYAKFRDKGLPFAHQLTTLFKDVVANGEHAWAPSSGVLPNENLGNDDIDVGLDDAEGSGDSEDASIGAATGFENINLNTSQGAVSQSSGQKRKRVIGAEQKGKKKATPSTSIAEAVNVIAETCKSRNEAISNASIGEVMAEIQTMEAVTSDLEFHTMCCNLMMFKPAREMFVSLRGFEERRLIWLKFASFNPTLFMRP >RHN40278.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:14791176:14793108:-1 gene:gene46413 transcript:rna46413 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MSEIIKFVYAMFIFIFMFTVATETDALCDSNRDCRGYHCNWPKFPICVRMICECI >RHN60199.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:23362188:23363586:-1 gene:gene22456 transcript:rna22456 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFSKSKLSQCFRPVVDLDDILESKVVAHHHSKNKHTVLKVIKAMVLETLLNRRARHKTCYGFDCFGVSKNIYSTYKKVAKATESSLTAISSDSSKVSQSKIMSTKGKQEKDTCRGSTIIEKQKNFEFYAISLVLISLVFTVFFGKIFGIFLTSIWIFLFSLWNSNYICQKMLLYGAKYMDV >RHN49669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:207335:208176:-1 gene:gene33873 transcript:rna33873 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPHNHRHNPPPPGPPIMGRGPPLGPGPPPPPMPHSHHPPPPHDPFAPPPPVFHHPPPPPNPYAPPPVVHHHHTPLHAHPAPPPPQPGHPGPPPPRPPYY >RHN50159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4568376:4570159:-1 gene:gene34414 transcript:rna34414 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 7-deoxyloganetin glucosyltransferase MSNFAKRIKPHAVLIPFPLQGHINPLLILAKLLHLRGFHITFVNTEYNHKRLLKSRGENAFDGFDDFTFETIPDGLTPLEGDGDVTQDIPSLSQSIRKNFLQPFGELLAKLHDSATAGLVAPVTCLVSDCLMSFTIQAAEEHALPIALFSTSSACSFLSILHFRTLFEKGLIPLKDETYLTNGYLDTKLDCIPGLQNFRLKDLLNFIRTTNPNDVMVEFLIEAADRFHRASAIVFNTYDELEGDVMNALYSTFLSVYTIGPLHSLLNRSPQNQLISLGSNLWKEDTNCLEWLEFKEPKSVVYVNFGSVIVMTPQKLLEFAWGLADSKKPFLWIIRPDLVIGGSFISSSEFENEISDRGLIASWCPQEKVLNHPSIGGFLTHCGWNSTIESICAGVPMLCWPNFADQPTNCRYICNEWEIGMEIDANVKREGVEKLINALMAGDNGKKMRQKAMELKKKAEENISPGGCSYMNMDKLINDVLLKQY >RHN80414.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:37884993:37889767:1 gene:gene4341 transcript:rna4341 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MVGLELIPIGTILTVVTSQVLRTAHAAADVLIGKESFKALSKYLFDIEPVLKELQLQELNDSQPARVALESLEADVKRANNMVEKYKNRGRFYLLVKCRSIVEEVEQVTRDIGRSLAALSIANTEVLSRISDQVDRLQGEMQRVEFEASQSQLEIVDKLNQGLRDQKQDQAFANDILEEIARAVGVPVEPSEIGKELASIRKEKEEAANRKEKAEFVFLEQIIKLLSRADAARDYEEVKNQYFERVQVIERYDSREKYIQPLKSFLCRINGAVMVDPVSLSTGTTCERSAIESWFYDGNRTDPETQEVLEDTSLRSNIPLRQSIEEWRELNYCLLIRSIRENLSLNSNLKESLSQMQALIAENSINKDWISIGEVTDTVISILGNSNDREVKMKILITLKDVVEGHARNKEKLAESQGWDHIISCLESNSNISKAAIDLLHELLQERSGWNQCFCKKLSENDTAVFNLVTLVKDPGNDSAELAMKILMELFEINENSIVTAANCGWYKPLADRMIRGPDSRMSMAKAIVNLELDDLNLMQLGKEGVITPLIEMLSGSIESKDLSLSALVKLAGSHPNKGIIASSGGVPLILGLMFSPRTRSFITIKCSEILEKLSSSDDGIDFFTDGEGKQLELDSIITKLLVLQQSSNSGHNLRKPTLRALLGICKFETGLVKKAILAANGVSLILPLLDDSDSEVRETAINLLFLFSQHEPEGVVEYLFKPRRLEALIGFLENDDNDNVQMAAAGLLANLPKSERELTTKLIEMGGLDAIISILKTGKMEAKENALSALFRFTDPTNIESQRDLVKRGIYPLLVDFLNTGSVTAKAIAAAFIGDLSMSTPKLTVISKPNGCWFFKSSRVPLCAAHDSVCSVTTTFCLLEANALPGLIKLLHGEVHATAYEAIQTLSTLVLEEHPQRGARLLHEKNAMRPLLEILTWGSESLKAEALGLLEKVFVSKEMVEYYGTTARSRLICLTGSNIYGDGHLRRKVAKVLSLLERYSKSSSSAISGVLE >RHN67547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28166415:28167365:-1 gene:gene15745 transcript:rna15745 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MMKNEYSPPMSPSVTFISANEYQNSMKRKSLSGNIEKKKKTKKAITPRSQAQSSKSLCGICLDSVIDSKIFATGSCNHPFCTKCISKNAKVQRKEKMVKVKCPDPECSRQKIHCPYKNCFLLLVNDGLEGVTSCECPSCHRLFCVQCNVPWHADKNCREFQDFLKSKKGLGEKQLDKKFMQLSKKEKWQKCPKCSICVEKTVGCALIRCRCGCDFCYWCGEDLDLYNTIHDCKKRPPTKRILEDFFNLPKGSL >RHN45752.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25258365:25262447:1 gene:gene40144 transcript:rna40144 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MENQFFSNNHFDQSQPSSMPTWQSISSEQQNQDCFYTPIDQCVNQFDSALSSMVSSPAASNSNMSNDNFVIRELIGKLGNIGNCSDEISQTLVAANSYINSNNNTSCYNTPLNSPPKLNISTMAMNSTVAEFSTDPGFAERAAKFSCFGSRSFNGRTTQLSQSQRSTPLLENGKLSRVSSSPSLKELGSQSQIGIQENMNCSTQLQDQIELNNSQEESTISENGVKPSPYVNTRKRKVSSKGKTKETSTSSNPPMACEAEDSNAKRMKTNEGEKIENGKVKAEDESKGGTNSNNGGDEKQNKSNSKPPEAPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLATVNTRVDFSIESLISKDMFQSNNSLAHPIFPLDSSAPSYYGQQQQQNPAIHNNISNGTVTHNSVDPLDGGLCQNLGMHLSSLSGFNEAGSQYPLTFSEDDLNTIVQMGFGQTDNRKTPIQFQNLNGTNQLPL >RHN44813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:8727365:8728820:1 gene:gene38978 transcript:rna38978 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MISFSVVLVAFTTEMEQEEDRLSNLPKIILHHILSRLPEKDAARTSVLSKAWTYTWLTFPILYFSDNKFIGWLPQSMDDVKRKRRKFIDYVTRTLSSCRNLKGLYLLSLKGNTITDKWFLELFLKFAFLERLKFVKCTMSETINISSVQLKVLELSHCHNMKEVNIDAPNLLSCEYIINGDLKPNISFVKSSSKLKVDVQINTGYLDLGNLKEFLQNIKPGNVLTSLSLFIFELTEDEFNPTVFQVSSPPPSIKHLHLHSFPKNVTLYSSLLSILPSSCCFATISMRMHPCFCSREFIEFFYETLMRRKDDDCFCSSNDTKCWWHGLKDLKVTRSMKIDENVDFKTLLESSPTFSASDISFILEF >RHN51710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:23272355:23277588:1 gene:gene36215 transcript:rna36215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MSSLFSCGFRFSSTSSSSSSYIPSFLFPFLITRLYSQFQFVPSNIDVDNVVSSFNHLLRTKPTSSIIEFNKILGSLVKSNNNHYPTAISLSRRLEFHGITPDIFTFNILINCYCHMAEMNFAFSMMAKILKMGYEPDTITFNTLIKGLCLNGKVKEALHFHDHVLALGFHLDQFSYGTLINGLCKIGETRTALQMLRKIDGKLVKINVVMYNTIIDSLCKHKLVIDAYELYSQMIAKKISPDVVTFSALIYGFCIVGQLEEAFGLFREMVLKNINPDYYTFNILVDALCKEGNLKGAKNMLVVMMKEGVMPNVVTYSSLMDGYCLVNQVNKAKHVLNTISQMGAAPNAHSYCTMINGFCKIKMVDEALSLFNDMQFKGIAPDKVTYNSLIDGLCKSGRISYAWELVDEMHDNGQPANIFTYNCLIDALCKNHHVDQAIALVKKIKDQGIQPDMYTFNILIYGLCKVGRLKNAQDVFQDLLSKGYSVNAWTYNIMVNGLCKEGLFDEAEALLSKMDDNGIIPDAVTYETLIQALFHKDENEKAEKLLREMIARGLL >RHN68318.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:34546021:34550118:1 gene:gene16654 transcript:rna16654 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator LIM family MAPPSDINILSHPCIYGDFSSSYSERKSGFMKWFGKIFKIGSNRGRGGGHLLQQPVDENMAWRAPPRSLDNRARTKKEEEDLNNAIALSLSEDLKIPAGYRWRTGTDDDYAKGLQDRMHSSLHPPCAPIPFYPRGYSMPSHNRICGGCNKEILYGNCLGVEHSYFHPDCFRCHSCHHPITEREFSLSGKHPYHKYCFKELSHPKCEVCRHYIPINGSGLIEYRCHPYWNQKYCPSHEHDNTSRCCSCERLESRGERYFRLDDGRILCFECMESAITDTGECQPLYHAIRDYYEGMNMRIDQQIPMLLVGREALNEAIVGEKNGFHHMPETRGLCLSEEQTVASVHRWSKIGGHRLIGMRSQPQKLIRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLDPAVEEGICQVLSYMWLEAEVMSGSRTMASTSAAASSSSSSTSTSYSSKKGAISKVENKLGEFFMNQIANDSSPAYGGGFRSANAAVNKYGLRCTLDHIRLTGQFPL >RHN64624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60540805:60546632:1 gene:gene27455 transcript:rna27455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP diphosphatase MASLLRTNSLLTRSLFAAQGVKLGLSSTSQILHFSSKGRRRSKSDGSDSGEENMSKKDLALNQALDQITSAFGKGSIMWLGRSDTPKDVPVVSTGSFALDIALGCGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKQGGYCVFVDAEHALDKTLAESIGVNTQNLLLSQPDCGEQALSLVDTLIRSGSIDVIVVDSVAALVPKGELDGEMGDAHMAMQARLMSQALRKLSHSLSLSQSILIFINQVRSKISTFGGFGGPTEVTCGGNALKFYASVRLNIKRIGFIKKGEEVVGSQVLVKVVKNKLAPPFKTAEFELQFGKGICREAEIIKLSLKYKFITKAGSMYYYNEHNFRGVDALKSFLAENYSALEELEMKIREKLLKPETDKLPESDGDVTEEIATLDSSTDEEAIAVVEA >RHN56955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:35221705:35226358:1 gene:gene32427 transcript:rna32427 gene_biotype:protein_coding transcript_biotype:protein_coding MNATVKHTKIYLHRRNFASDQRTGIRFRGAGVTIRSFFYFHSDLFKAIGGEKIEELEQLQHVVIHIFVFLGF >RHN39054.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3805998:3807520:1 gene:gene45046 transcript:rna45046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative defensin, plant MASYARKFLTIFLLLSVAVLFFSTLEVEAKVCQWRSRTWQGICGNTIDCRNKCINVEFANVGGECKRDGLGVACFCYYNC >RHN60712.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:29781598:29782217:-1 gene:gene23067 transcript:rna23067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MECMHRFCKVCIDKCMRRGTNECPTCRTHFPSRRALRDDPNYDALIAAIYPNIDKVEKEEEALLEEEFSQLKKVPRKF >RHN58844.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:5388924:5389637:1 gene:gene20798 transcript:rna20798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MSYGRASSNSSPTTLRTYTISVTVSSLSLPSQNSFCPSPTVLDSRSQSLIKPSLSFIASSKGSLTSHHSLNITLSSGDLNELLYQISAFPLNIKLLALYHPIKFPKIGLRAFSLKMKNLTSITCYRIASGVDKSDLFFIADCFPLLEELMLSRKGYPPRYYHDDDQLLALPKLRKIALSRNFLGNQSINHLCKNCDLQDVKVIEVPQHPLVIGPPPLGWDPRHLPVHAIGWDPRHMR >RHN63851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:54462654:54464801:1 gene:gene26605 transcript:rna26605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucan endo-1,3-beta-D-glucosidase MAQENATHHYLMTLLFIIIFFLSMSSCGYGVGVNWGTMATHQLPPKNVVKMLQENGFDKLKLFDADEWVMAALLGTDIEVMLAIPNNMLEEISKDPKVADSWVYENVTSYLYPGGLNIKYIAVGNEPFLKEYNGTYLMYTLPALKNIQTSLNNAGLGSSIKATVPFNADAYYSPDSNLVPSAGDFRPEVRDLTIEIINYLYTNNAPFTVNIYPFLSLYGNDHFPFDFAFFDGNNKPLVDGNLLYTNVFDANLDTLLYALEKAGYPDMHVIIGEVGWPTDGDKNANIQNAKRFNNGLLKHCLSGNGTPKRKGIIDIYLFSLIDENAKSIAPGNFERHWGIFEFDGKPKYELDLKGFQGDEGLVAVEGVKYMEKQWCILDPDISDLHYLAGSIDYACSLSDCTALGYGSSCNGLSLEGNASYAFNMYYQVHNQKDWDCDFSGLAILSDQDPSEKGCQFPVMISHASCLGLHKGFLAFGGVYIFLVFFFTIVDR >RHN74264.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31277551:31277811:-1 gene:gene10300 transcript:rna10300 gene_biotype:protein_coding transcript_biotype:protein_coding MLILTIVQRFTVGNFEIQSSRIKSVKLLKVLAWLERKTCLEFIKNGYFNAMT >RHN78695.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17623919:17625776:-1 gene:gene2333 transcript:rna2333 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPTDPFSLQQLLSHSHCRFTINNCFLPTISLFLGWSID >RHN59357.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9817382:9818809:-1 gene:gene21380 transcript:rna21380 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLVKYIKNNTNSTLPKLKFNSLFTFRYKVGRKKDKKRLVFKCVY >RHN50328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:6229214:6229733:-1 gene:gene34601 transcript:rna34601 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNQASYNAGQAKGQTQEKASNLTEKASNAAQSARESLQEAGQQMQAKAQGAVDAVKDSTNNKN >RHN70764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:53845489:53846974:-1 gene:gene19380 transcript:rna19380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sarcosine oxidase MEDSQFDVIIVGAGVMGSSTAYQAAKRGLKTLLLEQFDFLHHRGSSHGESRTIRATYIQNHYYPMVMESYKLWKEAQAQVGYNVYFKAQHLDMGPFNDPVVHSVVENCRKHKIPHQLLNHQQVAEKFSGKFNLPANWVGLSTEYGGILKPTKATAMFQTLAYKNGAVLKDNTKVTDIKNDGGVVVFTANGEKFRGKKCVVTVGAWANKLVKRISGVEIPIKPVETHVCYWRIKKGHEGKFVIGGDFPTFASFGKAYVYGTPVLEYPGLIKAAVHGGRLCDPDKRPWGSVVMMNELKEWVEGIFGGVVDSSEPVVKQSCMYSMTPDEDFVIDFLGGEFGKNVVLGVGFSGHGFKMAPVIGKILTQLVVDGETNEVDLKHFRIGRFQMASKI >RHN59733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12720572:12721053:1 gene:gene21838 transcript:rna21838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome f MIGPCKLEMLFLDKGRDYPIHFRIAHDIYNNSSTHFKCISHFCSTGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEAVVRIPYDMQVKQVLANEGFELAPPDRISPEIKEKIGNLSFQSYRPTKKNILVVARSWEEI >RHN46557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:32735403:32736902:-1 gene:gene41055 transcript:rna41055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative development/cell death domain-containing protein MGKWKMTSFGSSSGNQYHSPNPSFGRNLTQDQLGGVVFGTKNCTIKECLTKQLFGLPTVHFSYVKNIRPGLPLFLFNYSDRTLHGIFEAASPGRLSIDRYAWTGDGAKVTPFPAQVQTIVRVHCRPLTEDRFRPAIADNYFVHNHFWFELDHAQTNQLIALMKPLEIPRAISIPQNTTIAPAYSVPQNTNQAAVSRSLPWHDPSWKDKAFKRPESESQLHRSTHSSMKSFSNESDLLDECFQPLDTHSIDREEATQDEKDGWMKLKELVVAHENEKFSWENHVSGTYATENCPEGRNENWSKGRNENWTEGRNENWLPLGKNSEENPSPPLEEESPSSQSEKEENSSSPLEENPSSSSEKEENISSPLEHQYDTAQVVGNV >RHN40539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:17324053:17326508:-1 gene:gene46699 transcript:rna46699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative START-like domain-containing protein MVLAGKLITELGIKTPAEKFFKLFASELHEVQNVCERVHHTKLHEGEDWHHTDSVKHWTYVIDGEVHTCNESVEEVDDQNKKITYKLFGGDIENYKVFKLILEVLNKADGSSAVRWSIDYEKNNEDIDTPNGWMDYLSKSTRDIDGHLVKGEKVVL >RHN71880.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:3338227:3341471:1 gene:gene7526 transcript:rna7526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxypeptidase D MTSFYTLALIVLFLHVNPIVSSKDRKLSYGDNDDLVTNLPGQPPVYFQHYAGYVTVNETNGRALFYWFFEAITKPEDKPLVLWLNGGPGCSSVGYGATQEIGPFLVDTDGKGLKFNNFSWNKEANMLFLESPVGVGFSYSNTTSEYAQLGDDFAANDAYTFLHNWFLKYPSYRTRAFYIAGESYAGKYVPELAELIIDRNNDPSLHIDLKGILLGNPETSYAEDWLGMVDYAWSHAVISDETYKTIRRSCDFNCSDPWKNEECTHGVDEVLKQYNEIDIYSLYTSVCFASTARSNDQSMKMVMKHSSLMIPRIMGGYDPCLDDYAKAFYNKPDVQKALHASDGHSLRNWSICNNDIFTGWKQTKQSVIPIYKKLISAGLRIWLYSGDTDGRVPVLSTRYSLSILDLPITKQWSPWYHEKEVSGWYQEYEGLTFATFRGAGHAVPCFKPSNSLKFFTTFLLGESPPSTR >RHN64274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57827442:57831001:-1 gene:gene27076 transcript:rna27076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small monomeric GTPase MASNSKPFVSASGEFPLQMNLSGSVPIRAPLTIDNSDSEFDLSSDATLSETASHSDLEEEPHYASQEDEEEECFELDEELPLLEQVPLRVTPFAHLSTYDDEEENEDDDEEDGGEIMNVVRVPENVVLAPRIKVLDVEKENSDSGSDVSIDGEGIGIRVACDGDSTATATDSDVMSQYSRDGLENEQFGKVSVAFNEIENDDMKLYGLDRDDGIRDELIETDNVMESNLQVETTDTVEETSNANNLLEFDVEGYVNSIMLEHNTSAGYLEQNPETGESLSDAFDENIEYFLEHCQENVEDNTTTIQSIATNGGQSDVASHQECESEKLVSVTDYVDDSKDMLHDSSVGFESNDGVSEGNEIQGVEYSELSDPALFEECKYLKNGLSETGLDFILKDGLFCDYHSHENAETFNFGDNVNEEATMELNTVSQESKRDSSDLDEDVEELMSVRLEQFREQISALSTLLGSIGSGKNCHQEETVTSPHGRMNLAKDDVRSQLIYFDSVGESDCNRVTVTYADQSDVLFLKGPASLSSLPGGDAQVWFQHNISENEKEKIHEIQTMSVEFLRLVQRINFSLEDSLVSKVLCRLVADIERRSHQEFVISSAKILAKKLEEDLEDDLDFSLNILVLGKSGVGKSATINSIFGDTMVMTDAFEPATTSVREVYGIVDGVNIRILDTPGLRSPMKEQSFNKKILSSVKRYMKKFPPDVILYVDRVDFQSIDLNDLPILRSITSSLGPSIWQHTILALTHAASTPLDGPSGSPLSYEVFVAQKSYPVQQSIIKAVGDQCQLSPSFMCPVSLVENHPLCGKNISGDSVLPNGLRWRSQLLALCFSLKILSQVSSVSIPCTLFDHWKQFLLQDHSEPMCHLCSCLLQSPAHHLKFSANWS >RHN45697.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24652244:24652489:1 gene:gene40080 transcript:rna40080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family MVIFITAVVEIMLSLITSCNGVTLVDYFFKSMHYSVAESSNMVTNFLGTAYLLSIIWGFISDSYITRFTTFLVSGTLQLMV >RHN57497.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39557071:39560930:1 gene:gene33046 transcript:rna33046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-RLCK-VIIa-2 family MGNTCRKPVCHDSSPSLFGSTNTQSNIKQTSDSPDQKTDSKTSSSNDDKSISKDVKSFSFNDLKEATRNFRQENLIGEGGFGFVYKGWIDENTGAPTKPGNGIVVAIKKLKPESFQGHKEWLAEVNYLGQLHHENLVKLIGYCSEGKNRLLVYEFMQKGSLENHLFRKGVQPISWMTRISIAIGVARGLAFLHSLDANVIYRDLKASNILLDSDFNANLSDFGLARDGPTGDNTHVSTRIIGTHGYAAPEYVATGHLTLRSDVYSFGVVLLELLTGRRVVEDDRQVYTEETLVDWAMPFLSDSRRILRIMDTKLGGQYSKKGAQAAAALVLKCLNTDPKHRPTMVNVLAALEALHSSNSFPKKPKSGTDNHNSVPRTPKSGTENHHPTKHSSHHHLHKSIANTTRKH >RHN49298.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53900784:53907326:-1 gene:gene44113 transcript:rna44113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sodium/calcium exchanger membrane region MALKTISLSLILTLILIFFITIPLSGSLSITTTSPLRRSLLNKITPSCPIQSNGGILNYHCIFPQTPILSIPSLSILLILHFYILIKTASHHFSIVTTKLASHLNLSPSMAAVTLLALGNGSPDVFSSLAALRAGQYRTGFGAILSAGAFVSALVVGFVAIYSAPFPVDPAPFVRDVLFYLTAAMFLFYVYLSAEIFLWQAVGFVAFYLFFVVFVFYMDLGMANRREKSSSDLEGQIDSYYDVKASGSVEKDKHASGFLGSFRLISKAWELPVSTFLRLTIPQPAPLQWSRFYASANIALCPLALLYACNSFVPLNHPIVFLLPNTLFPLWSVVFMTSFSLAFLHFVLEKEPPKAEHLPVVVVAFVMSVFWISTTAGELVNCLEALGTLLKLPQAFLGLTVLAWGNSVGDLVADVAVAKAGHPAMAMAGCFAGPMFNMLVGLGTALVIQTTNIYPKAYELNFHVGIVIAFVFLLLSLMGSLLVITWSRFRVPKFWGFCLVGLYIVFMAASSAVAIFSS >RHN81158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43721970:43722263:-1 gene:gene5162 transcript:rna5162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MLSIFWSTICHLVLFLLFTSNFLNKSASALGNNTDHSALLKFKESMSSDPFGVLNSWNSSTHFCMWHGVTCGHRHQRVTKIKLKGYKMQGVISPPLP >RHN42722.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:40463561:40467326:-1 gene:gene49205 transcript:rna49205 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative exodeoxyribonuclease I MPFEGDKFAARLEIAGTSQFKTLNSERVKKRIDLPVQKNLLTKYFCFASLEAKREFKAPRTSPTTANQSTLISSSVNSLEHQTLEAAARETVNSGASTVDTENCDSSLPPNSYIENSFPTKTLELMESPNHGEKKGSPDHTILRQPRQPIHKPCLGLHKEHELTNVEDKAEEKTKETKRKVIVRSRYFQQKQVEKNACDVKQEQLSSCIVIDERKNGISGGDLCNKHLKNDDLKKKVYPNDTIQSENLQARKMNPTSSTHDNGCSDHNVGGPFKENSAQEEKFGTNISHLGHYSQISEKSLERFASVISAYKYTSGSRVSGLRAPLKDVRNTHNKRPAAVDLSQYAYVPKPTKTRRVAPDVRNTRNIRPKAVDLNQFAYVPKQRLDE >RHN65747.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4909739:4910591:-1 gene:gene13629 transcript:rna13629 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDMLIVKLMLIVHPVLELKGLSALKENVDGLECRTHSMSLILKIVLLHHALKNELFLWKNSNMQYTFC >RHN70309.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:50455741:50464596:-1 gene:gene18876 transcript:rna18876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polyadenylate binding protein MAQNHENVNNDVAAAPVPNQLTTTSLYVGDLDHDVTDSQLYDLFNQIGQVVSVRICRDLASQQSLGYGYVNFSNPHDAAKAMDVLNFTPLNNKPIRIMYSHRDPSVRKSGAANIFIKNLDRAIDHKALYDTFSIFGNILSCKIAMDASGLSKGYGFVQFENEESAQSAIDKLNGMLLNDKPVYVGHFQRKQDRDNALSNAKFNNVYVKNLSESVTDDDLKNTFGEYGTITSAVVMRDVDGKSKCFGFVNFENADAAAEAVEALNGKKFDDKEWYVGKALKKYERELELKERHEQSMKETVDKFYGANLYLKNLDDSVTDEKLSELFSEFGTVTSCKILRDPQGISRGSGFVAFSTPEEATRALAEMNGKMVAGKPLYVAPAQKKEERKARLQAQFSQVRPVTMAPIAPRLPFYPAGVPGMGQQIMYGQAPPAFISQAGFGYPQQLVPGMRPGGAPMPNFFMPMVQQGQQGQRPGGRRGASPMQQLPHSLPMMQQQMHPRGRVYRYPTGRNPQGIPMPGVAGGTPMQALATALANAPPEQQRTMLGEVLYPLVEKIEHAGAAKVTGMLLEMDQPEVLHLIESPEALKTKVAEAVDVLRNVAQQQSNSPTDQLASLSLNDNLES >RHN62319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42598851:42601964:-1 gene:gene24881 transcript:rna24881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative triacylglycerol lipase METFATHSLFSFGHIFMLSLLCFMVRIEASKSKASAIYVFGDSTVDPGNNNYINTAFKSDFPPYGRDLANKSPTGRFSNGKLGTDFIASYLGLKELVPPYLDPNLSDEELMTGVSFASAGSGFDPLTPTLGNVVPISKQMEYFKQYKKRLETKLGRQRTENHMNNALFFISAGTNDFVINYFTLPIRRKSYDVVTYGHFLLQKVKDFIQNLWTEGARKIAFVGLPPMGCLPIMITFNSNNALLERSCVDKYSVVARQHNMVLQHELFLMQLNFSNPHDHGAKISYIDIYGPLVNMIQGHGNFGFDEVDRGCCGSGFIEATFMCNRISHVCSDPSKYVFWDSIHPTEKAYHNLFVANRHILDALVNG >RHN57246.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37525619:37527633:-1 gene:gene32761 transcript:rna32761 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAVNSPAVGHKGGGGNVVHFPGGGKGGGRGNLGGSSGNAGGNE >RHN54833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12729812:12730925:1 gene:gene29924 transcript:rna29924 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFTWLWVGPCKLQVAKTLSFEGKVSGNDFELCPFSLIYCVWRFGPVNAA >RHN67483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27603871:27604852:1 gene:gene15676 transcript:rna15676 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MELGWKTFTENYSLGHGCLVVFEYKGTFKFDVVILGKNALEIDYDTSCDSDDENDNVDHSDDDESVEILDEWLNRKKARQRSPFVSSRPHKKVQGDDEKTTKRTSSMNWPKDVRAQEVAQNFISCNPFFTILIKPINLVEHTRSVPDLKGVIENKDTNVMLLIGKRSWNVKLLRSYEGKNGRRLSAGWYLFARESGLKSGDVCVFELINKKDLVFKIHVY >RHN57190.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37215668:37216147:1 gene:gene32699 transcript:rna32699 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MINNISNDWARKVFNDIDNLTKEKIDEVLKEFEKNYKEGSLEIKDWPTFASAYTMSKAALNAYTRIMAKKYPHFHINSVCPGFVKTDMNNNIGNLSIDEGVETPLMLALLSNNGPSGCFFTKGEVIPF >RHN40906.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24172801:24173235:1 gene:gene47151 transcript:rna47151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MADADFYLPDDLWERICTFLNDEDGKDNNCYLKYVSLVSKKFPAITNSIRFSLTIRKETRPFLTPIFHRFPNLTSLDLSRFRGNYRVDRNTFLTEISCYPLNITLLNFANSALIPLNGLRAFSQNTTTLTSLNCSYKDSISTTD >RHN81868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49443457:49447226:1 gene:gene5963 transcript:rna5963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phloem protein MGASISSTASIREKNNEESNSSSSKTGLGDIPESCISSILMHLDPHDICKLARVNRAFHRASSADFVWESKLPSCYKFLANKFLGEENLSTMSKKDVYTKLCQPNRFDGGTKEVLLDKYSGQVCLFMSSKSLKITGIDDRRYWIYIPTEESRFKNVAYLQQMWWVEVIGELEFVFPVGSYSITFRLQLGKSSKRLGRRVCNDDQVHGWDIKPVRFQLSTSDGQRSISECYLHEPGQWAYYHVGDFMVTKPNKPIKIKFSLAQIDCTHTKGGLCIDSAIIRPTEFRERLKKL >RHN47911.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43502836:43508358:-1 gene:gene42565 transcript:rna42565 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNEVIDNHSTDLEKEQKEGNEDVYDTDTTKDSLSSQGDSFTNEDVKVEKASKDPKNKVRANSLESNRGSRERSDRKTNKLQSKVSGSNQKKSINSNKGPSRVTNKNTSTNTKPVKVPAKVSSESSEGVDEKPVIEVKEIEILDGSSNGAQSVGSEDEIHEIVNAEENGEGEDGAAMKSKIKEMESRIENLEEELREVAALEVSLYSIVPEHGSSAHKVHTPARRLSRLYIHACKHWTPKRKATIAKNAVSGLILVAKSCGNDVSRLTFWLSNTIVLREIISQAFGNSGQVSPIMRLAGSNGSVKRNDGKSASLKWKGIPNGKSGNGFMQTGEDWQETGTFTLALERVESWIFSRLVESVWWQALTPYMQSSVGDSCSNKSAGRLLGPALGDHNQGNFSINLWRNAFQDAFQRLCPLRAGGHECGCLPVMARMVMEQCIDRLDVAMFNAILRESALEIPTDPISDPIVDSKVLPIPAGNLSFGSGAQLKNSVGNWSRLLTDMFGIDAEDCSEEYPENSENDERRGGPGEQKSFALLNDLSDLLMLPKDMLMDRQVSQEVCPSISLSLIIRVLCNFTPDEFCPDAVPGAVLEALNGETIVERRMSAESIRSFPYSAAPVVYMPPSSVNVAEKVAEAGGKCHLTRNVSAVQRRGYTSDEELEELDSPLSSIIDKVPSSPTVATNGNGNHEEQGSQTTTNARYQLLREVWSM >RHN82123.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51409058:51410505:1 gene:gene6249 transcript:rna6249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MAFHHNNVAMLSYSMLIAPLDPNPVTEMDRRINELRLKYRTYMVEVDVDYGAIMLPMMFSHDFGDQIQQYATLVDPKRNQFEVLVERNNQGIYLTKGWHAIRDFYKVQFGSWVTVVFMGDGRFDIRMKNKFGKRIRYPTFNPPMNFRVEHSAVPVTVDWFVPRPFMHDGMNFQFTYEKKLAVADVESGFLELAEVGFAQMALDRETSIVDMVDENGNIWNCTLSFSMNPAPNFKIGGGWELMVKARKFAEGVRVVVGAPAVGMNLTLYFCVIRR >RHN67998.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32024035:32026642:-1 gene:gene16273 transcript:rna16273 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-linalool synthase MDVVYVKQALIFKQVYKKLVKIEDPMESFYLMDIIQRFGIEHYFAEEIKVALENLHLILNTNPIIDFVSSHELYEVALAFRLLRQGGHYVNADLFDSLKCNKRMFEEKHGEDVKGLIALYEASQLSIEGEDSLNDVGYLCCELLHAWLSRNQEHNKALYVANTLQNPLHYGLSRFMDKNTFMHDLKAEKDLICLEELAKINSGIVRFMNQNETIEVSKWWKELGLDKEVKFSGYQPLKWYTWPMACFTDPNFSEQRIELTKPISLIYVIDDIFDVHGTLDQLTIFTDAINRWETTGTEQLPNFMKISLNALYDITNNFAENVYKKHGFNPIDTLKKSWIRLLNAFMEEAHWLNSGHLPKAEDYLNNGIVSTGVHVVLEHAFFLLDHVSGITKETIDILDENFPNVIYSVAKILRLSDDLEGAKSGDQNGLDGSYLDCYMSEHQDISSEDVQRHVAHMISNEWKCLNQEILVTNQFSSSFSNFCLNAARMVPLMYHYKSNPSLSNLQEHVNSLINVSVGCN >RHN65404.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1865376:1876464:1 gene:gene13238 transcript:rna13238 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial intermediate peptidase MLWSLAVARNKTVQLFRHKNLPLLLRHRSFSTTGLYGIPHLKSPKGFQPFVDEAIQRSGELVNYISSKPSASELMRAMDEISDTVCSVVDSAELCRQTHPNREFVEEADKAAMKINEYLHYLNTSHDVYDALKKAEQECHMLSAEAQRGIKSLRVEMERGGIHLCPETLDRVNKLDIGISHLCREYNQNIVTDPGTVDIYPASRIPKNLHHLFKPIYKSEPLITKDISESKDTVKEKGFRITTDPRTLDSVLQLSSDDEIRKMVYIQANSVPHANVDVLKRLISARHEQAQILGCGSYAELAVKSNMASSPKVVMSFLHEMSKMVQAKSTELNLLTKFKREKCGQNNGDLRPWDEAYYTTMMKSSVYKLDSLVAASYFSLSNCIEGLKVLVNSLFGVICHRIPLAPGESWDPQVLKLCLHHPEEGDLGYLYLDLYSRKGKYPGCAHFAIKGGRRISQTEYQLPIVALVCNFSGSRNPSAVRLNHSEVETLFHEFGHALHSLLSRTDYQHFSGTRTVLDFAEIPSNLFEYYAWDYRVLKTFARHYSTGDAIPEKLVESMLGAKNMFAATDLQRQIFYALADQTLHGEQPHPLGDVSSVLAELKRKHTNLEHIEGTHWEARFSHLLNYGAGYYSYLYAKCFAATIWKKVCKEDPLSPIAGNALRTKFLQHGGARDPAVILNDLVPDGIYRSYNGGIIPDISSLCEEMELMEENQKKVHLL >RHN57931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42628048:42629211:-1 gene:gene33523 transcript:rna33523 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MMFVLSSVSFMNLGTDFVFKPIFLHGISSLIHILLILAVLVSWVWRKFTTFVVNESKEKPNNTLFKVTKFCSFGFSSFNLVLFLFNYFYWYASGWSEEKVVTILDLVLKTVAWCVVCVCFHKGFLFFFSSDQRRRRFPFFIRAWCVLFLFVSCYCSVVDIVVLYENHIELTVQCLVSDVVSFCVGFFFCYVGYCVKNESEESERTLQEPLLNGDTHIGNGNGNVNPLDLKKTKGSDTVTPFSTAGILSLLTFTWVEPLIAFGYKKTLDLEDIPQLDSGDSVIGVFPIFREKLEADCGAVNRVTTLKLVKSLIISGWKEILFTAFLTLLKTFASYVGPYLIDSFVQYLDGKRLYENQGYVFVSAFFFAKLVECLTQRHWFFRLQQFGL >RHN59202.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8424565:8424870:1 gene:gene21200 transcript:rna21200 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MEKKKKTLYLPRELIIQILMWLPVKSLIRFKCVCKLWFSLISDPHFANSHFQLTAAANTPRIMCISYLSHEIQSLYFEAFLNDHRGSWNLNFFASRILFSS >RHN64694.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61042067:61046126:1 gene:gene27538 transcript:rna27538 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ANTH domain-containing protein MGTFQTWRKAYGALKDSTKVGLAKVNSEYKELDIAIVKATSHLEYPPKERHVRKIFYATSAHQPRTDVSYCLQTLSKRLLKTRNWIVAIKTLIVVHRILREGDLSFKEDLVNYSHRVRFLRISNFKDDSSPLAWDCSAWVRTYAQFLEERLECFRIFKYDIEFERSTKLSSPASTKTHSRTTVLTSDELLEQLPALQQLLYRLVCCQPEGAAFNNYLIQYALALILKESYKIYSSLNDGIIKLGDVFFDMAKHDAINALHIYKRAGQQAECLADFYEYCKGLDLARNFQFPVLRQPPPSFVATMEEYIKELAPTSGSVKSLDQENEEPPKEEPEEKETEEPEVIEEQAEEIKEEEPVEKEQTEEAEFPPLILTDGYDDFLGLNEINPKAQELEDSNALALAIIPPDGNNSNNLALTNITGTTGWELALVTTPSNHTCQASDQNMAGGFDKLLLNSLYEDENARRQLQLQNAGYGYGGAATHNPFNSYNQHDPFAVSNNVALPSNVQIELMSQQQMMFQQQQMMFQQHNTMMVPYQQQQPYTHYPQQKSVMRSSNPFVDPLPVPSYSYGSMPHQGSYNIM >RHN43140.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43508940:43509386:1 gene:gene49671 transcript:rna49671 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGTRDDQRFRAIDGSVVNINQKHNTTAGTRESDIGISSSHEIKNAKLQDFLRSSDTLRLTLSALNAKLSSFRGWPNMNKNWFALYKMPLQVPKEDQVYAGL >RHN64794.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:61903782:61914886:-1 gene:gene27652 transcript:rna27652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative diacylglycerol kinase (ATP) MESSPSSTTEDSKKIQVRSSLVESIRGCGLSGMRIDKEDLKKQLTLPQYLRFAMRDSIRLQDPSAGETLYRNRAEGEDSAAPTSPMVVFINARSGGRHGPALKERLQQLMSEEQVFDLADVKPHEFVLYGLACLEMLAGLGDSCAKETREKLRVMVAGGDGTVGWVLGCLTELRQLGREPVPPVGIVPLGTGNDLSRSFNWGGSFPFAWKSAIKRTLQKASVGSVHRLDSWRLSISMPESTTVKPPYCLKQAEEFTLDQGIEIEGELPDKVKSYEGVYYNYFSIGMDAQVAYGFHRLRDEKPYLASGPIANKIIYSGYSCTQGWFFTPCTSDPGLRGLRNILRMHIKRVSSSEWEQVAIPKSVRAIVALNLHSYGSGRNPWGKPKPEYLEKKGFVEADVADGRLEIFGLKQGWHASFVMVDLITAKHIAQAAAIRLELRGGGWKNAYLQMDGEPWKQPLSKDFSTFVEIKREPFQSLVVDGS >RHN81571.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47124585:47125230:-1 gene:gene5639 transcript:rna5639 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MGIYSQPSYEVNNNSSYLWSKVLDAQTKKVKVTKKRVKRRTLMKRRRGSYGIQRRVRTLKRLIPNSDESIGLDGLFRETANYILSLQNRVSVMKVMVDVLTGSDE >RHN68111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32923608:32934850:-1 gene:gene16411 transcript:rna16411 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acetyltransferase A, auxiliary subunit MSPLRVAVSNLLKKLRFPSSIAISSAIVTENSSPISFSGKRFCQWYQTGQYGWRQNLRDPCLWILISGHVVLTMGISANTAFAEDVTTETSSGNSANDDLNGLRKIEDGSVASNIHTAKWRVFTDKARELFFEGKLDDAERLFVAAIKEAKEGFGEQDPHVASSCNNLAELYRVKKAFDKAEPLYLEAIKILEESFGPDDVRVAVAVHNLGQFYIGQRMLEKALVSYERALKIKRRVLGYNHSECSDTMYQIGMVLYLQGKEKDAEIIIKDSISMLEKSGEGESIVCIRRLRSLSQIYLKSHRLDDAEMVQRKILNIMEFSKGWKSLDTVIAAESLALTLQASSETKQSKELLERCLEVRRALLPGDHIQIGANLLHLARVAMLDFSQNKKLDVSRAKAELGIAKDYLHNSIRIVRQCLDTILKQKDKSKKTSVRGHTSKEAQAALVILLQSLSTLSSLELAKEELQEIQKGDINVKAKEALLQCIAAYNEFVVHKKSIADYPEIKNEYLSCFKRAQSLLGNKLDGEGIQKLNQTS >RHN64263.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:57759366:57760662:1 gene:gene27065 transcript:rna27065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MEYKTLELNLSSAKDLNNVNLFYKMDVYAVVSIFGDPLHKQKTKTPLDREAGTNPTWNFSVKFTFNELLARQNRLTLKITLRCLRNLVDKNIGSVKIPLRELVHDHTGDGELFQHVSYQVILLRRWGPHLRLTPSQIYLLNRNILPDMCTLRLHRIINRLKWVTVTRSKWNMVTRHKTLMVTRHKTITVILNKTVTGYPAQQAYSYEKPAKKSNVGIGVGAGLVAGALLVDF >RHN59970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:15497176:15497682:-1 gene:gene22145 transcript:rna22145 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MRKAIKGWKDLESMTMPTIEYPNYIFQEISRSCKKFRELKVMGRLNLQFASSLTINLPNLRVLSIRCSGLVKEALILILDRLQYLEVLNISHSCFVEPFPDSEEGYRFISDVDTDIISKKASKLREFHTCMKESCIMCHRTRVDCGLPRWFRYEEGIWKHDEVSSLAL >RHN69457.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:43572827:43578958:-1 gene:gene17933 transcript:rna17933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NRAMP family protein MAHQEVNNGSNNRIVAVNVTPSSTPSYNHDDSSKQDQKPGWKKFLAYVGPGFLVSLAYLDPGNMETDLQAGANHGYELLWIILIGLIFACIIQSLAANLGVCTGKHLSEICKAEYPWFVKYCLWILAELAVIAADIPEVIGTAFALNILFHVPVWGGVLLTGCSTLLFLGLQRFGVRKLELLISILVFVMAACFFGELSYVKPPAKGVIEGMFVPKLNGNGAVGDAIALLGALIMPHNLFLHSALVLSRKIPGTKRGINDACRYFLIESGFALFVAFLINVAMISVTGTVCKADDISGENVDRCNDITLNSASFLLQNVLGRSSSTIYAIALLASGQSSAITGTYAGQFIMQGFLDLKMKKWIRNLVTRIVAIAPSLVVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSSRTKMGPHKNSIIIIIISWILGLGIIGINVYYLITAFVGWIIHNSLPKVANVFIGLIVFPLMALYIVSVIYLTFRKDTVVTFVEVKDDPSMQTHVEKGFVNDGQLELSYTPYREDLADITRPEGPRL >RHN64472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:59377534:59379046:1 gene:gene27291 transcript:rna27291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MNPFQSSPEPCDCCGFTPTTSLFLHTITYRAINRRFCTNCVLKQQQGTFCPICFELFDDTFLPNLHIMCIRCPSIAHRSCVLPSSTPDFAFLCPPCADPNFSYFKIDRSGIDFHANKVLAAAAQISANTLTRSAAAIRLDAERRAMVAVGARKRAVEALELFADVVAQVQEAGSTEHEDDSDADCGK >RHN47170.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37644808:37645706:1 gene:gene41735 transcript:rna41735 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRNCHLERIPVNPQENQVEVEHTKLEQYALNAQKKEARHHRKRTSMTIGVARKI >RHN49440.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54813164:54814059:1 gene:gene44269 transcript:rna44269 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPSQRWGYIRIMSGTIIGGILGFYVMHRMEIRYKEKMNERLRKYEDELKRRMKREETLNEFEIEESSKF >RHN52448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:35388585:35388967:-1 gene:gene37129 transcript:rna37129 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVVGPSKGVDYGGEGEDGGVWERGEDRGEMWEREEVGVESEERSGELGVGEEVEFEEVCVYGTRVEESGSWRVETLGYNGLEHWNETVMVMVIVLRVLGLELET >RHN52294.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33534086:33538990:1 gene:gene36953 transcript:rna36953 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLLQRRLFRFLRQSQESLYSFSSSSSIQRSSFHSAKQTEILGFSTSSRSFCSRKSSLVDESNAPAPIDYTSLLQEGEFHRLAESTIHMREL >RHN50022.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:3218722:3219245:-1 gene:gene34269 transcript:rna34269 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MAASPPPSPSQAPPYPPATKITDLDSDSIAHCVVNLTLHDVCNLAKTSTALKHLAYSDPVWHSLFKNRWNRELPDAAAVPAKELYISRHTDLHQFKFRDPFVRTVHFDGRASKPFNHLILHHNNVFFSQVRYFFWKCKIDFNVFV >RHN70143.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49087264:49088451:-1 gene:gene18697 transcript:rna18697 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cupredoxin MGVNLACNNIKSKLVLQSHGFLSSLFCFPSLIPSLSHQMAFSNIAMVLCFFLAINMALPTLATFYTVGDSLGWQIGVEYSKWTSEKTFVVGDSLVFLYGAIHTVDEVAASDYISCTTGNPISSDNSGETTIALKTAGTHYFISATFGDCSSGMRLAVKVEAGSASIATSF >RHN40002.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:12201333:12203539:-1 gene:gene46091 transcript:rna46091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain-containing protein MPRGTLEVVLISAKGLEDNDFLSSIDPYVILTYRAQEHKSTVQEGAGSNPQWNETFLFTVSDTAYELNLKIMEKDNYSADDNLGEVIIPLETVIQEGSVPENSYKLVKDEKYCGEVKVALTFTPERNYERSYNQEEETGGWKQSARDF >RHN64355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58447837:58449622:1 gene:gene27166 transcript:rna27166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase subunit Tim17/Tim22/Tim23/peroxisomal protein PMP24 MNSNSNLETRTLLDELSDFNKGGLFDFGHPLVNRIAESFVKAAGIGAVQAVSREAYFTVIEGTGIDNAGGMPPEISGAKKNRFHGLRGETSSKSIEAMVKNTGKESFQWGLAAGLYSGLTYGMKEARGTHDWKNSAVAGAITGAALACTSDNTSHEQIAQCAITGAAISTAANLLTGIF >RHN60996.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32155259:32159208:1 gene:gene23403 transcript:rna23403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyridoxal phosphatase MENGHKFQEVSNPKYDCLLFDIDDTLYPLSSGISAQTAKNIEEYMLQKLGMEAATVPELCYSLYKTYGTTMAGLRAIGYDFDYNDFHSFVHGRLPYNLLKPDPVLKGILQSLPFRKVLFTNADMGHASRVLKRLGLEDCFERIISFDTLNSSDSIIPSNEKVGSEIFDFCEYTRRPDSDTVLPKTPVVCKPFEDAFEKAFKLADIDPQRTLFFDDSIRNLQTANRLGLHTVAVGTSVRSTGVDHALESIHNIREAFPELWEVDEKHEIVNYKVAIETTVKA >RHN42577.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39473880:39474116:1 gene:gene49033 transcript:rna49033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MSSEHLNPTVDRIRVLPDSVICHILSFLPTKQSATTSILSKRWNPLWLSVLTLDFDDQNLREFATFRHFVYSVIRGGS >RHN66830.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:19712639:19713118:1 gene:gene14929 transcript:rna14929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MLGKMSQNIFVLVMVLCMLMATLHARKIDDDISCSAESIPAVFMALYQCLPFLEGSPPATPSSDCCVGATNLFQKANTTYSRRYICQCIKNIVSAGVPLVSERAKQFPQLCHISEQVPIDPKIDCNSIP >RHN73628.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18353809:18354441:-1 gene:gene9464 transcript:rna9464 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSLWKNHNITFVKCFKVNNIVRGNKTSTDCSFNNNQHFRSTGVCVKWNNTTNGYI >RHN42952.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:42154200:42155537:1 gene:gene49463 transcript:rna49463 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor EIL family MVEITEEIESFDQQKEEEIDYDELKKRMWKDRILLQKLKGKGKKDDQQNQQAKDEASRRKKMSRAQDSILKYMMKIMTICKAQGFVYGIVPEKGKPVTGSSESLREWWKEQVKFSQNAPAAVSKYLPSSLLENQQELELLDPISSYMHLLYDLQDTTLGSLLSALMQHCVPPQRRFPLEIGLAPPWWPNGTEQWWGQQGGLSEQHGPPPYKKPHDLKKAWKVSVLAAIIKHLSPDVDKVRRLVTQSKTLQHKMTAKDSATWSKVMNQEQALLHLTEKCLKISEEGESSSSTKHDLNRLEKCGSTEKRKGEFDLDADFDFDKVLYSCQYAECPQSDLCMGFSDKSSRVNHESHCSYRTEQGHVPFHDFLSDDWLNMDIAGDDVNESGEIVDMTLEDYGNFWLNSMQDLELHMGVDLERDNMDLNQNPAQETTLSDEATSVWDLPYD >RHN71180.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:57004981:57008488:-1 gene:gene19831 transcript:rna19831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MDTLSLFCTGALLAGGLYWFVCVLGPAERKGKRATDLSGGSISAEKVQDSYDKYWSFFRRPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPAIPGKSDRDATRLHEEMAVDLIEVKSGDKILDVGCGVGGPMRAIASHSRANVVGITINEYQVNRAKLHNKKAGLDSLCDVVCGNFLKMPFPSNSFDGAYSIEATCHAPNLEEVYAEIFRVLKPGALYVSYEWVTTEKFVAENAEHVEIIQGIERGDALPGLRTYADIAEAAKKVGFEVVKERDLAQPPSHPWWTRLKMGRIAYWRNHIVVTVLSALGIAPKGTVDVHEMLFKTADFLTRGGDSGIFSPMHMILCRKPKEDNSN >RHN74509.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:34107899:34110799:1 gene:gene10594 transcript:rna10594 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MCSATATTPLFSTDNHIPKSFTRLCKPFHSRVPTSISFHSSRPTFFVRATVVKWRCPNQTLPPNSDTGFQKKVLYLESIGIDSFSLIENHPKLVTASLDDIKSTVKYITGMDFSTIEFRRLVGMCPEILTTKVSDIIPVFTFLHREVRVSGSNIKHVINRRPRLIICNVDKQLRPTMYFLQSIGIEEVNKHTHLLSCSVEDKFIPRIEYFKNIGFSRRDTTSMFRRFPQLFCYSIKNNLEPKYNYFVVEMGRDLKEVKEFPHYFSFSLENRIKPRHKRCVEMGVCFPLPLLLKTSEVTFQNRLEAFVNSSTPLKTSPLWCAGRDIDQV >RHN69811.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46435772:46441879:-1 gene:gene18327 transcript:rna18327 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mini-chromosome maintenance complex-binding protein MVGPQYDLLANPLGAVRSTFDAAIATGSDPTSLNNRDWGVIDLFRNLLSDQSHLSQVPLLTPATVRYVKPYTLVRFRGMIQDMLGNEFYVGAFKDGSVWRTNKFTDFCQHSIPVGCSADTPIWERRLLYCVPVPGLNSWAEPSAEAVGNPSMDWTSEQREKRRRGDEESPSNMPVEGDEVEGSPNTKRMREGECTSPASQSHGAVPEIAGSSMSLLPGLNGNSSSCIVKVYDTPESELKLNDIFEFVGILTSDPELQEDNEDSDLSNGFGEDPLHHFPADKVPRLHCFIHRKLSVQDFLQNKPIIEPKPDLVKGIRESLLRHLSAVLGNDEVAAHFMLLHLLSKVEARVDALAVGKFSVNFTCFSKETASIGKQLNHAVKNLVPFTHCMPLTVQYLNTASLAPKKNYDTNRLETGVLQLAEGSHLIVDETQLKAGTLNSVGVENARLLKNVMELQKVEYDFKYYKMEMATDVQLLVLSEGKSNILPADVIVPIRPSATICLEAVAAEALEAWRWYLATVRQLPHSFESETQQVVESDLVAAIQADRSLNTQDLSRLVTMGRLMCLSFGETTLSLEHWQMTKELERLRRERLN >RHN52868.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:39735052:39736659:-1 gene:gene37598 transcript:rna37598 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMEFTKEACVARKHLKIIRSCLSEAEVIRKEWKPGATTISEEFEGIRCLSTEELWNRTIVQLYNHYSTHHVYNITRIQLHLCWLFSIHGMDLKLFPHRTITKSSNISLVIIKLLQRLF >RHN59723.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12711917:12712042:1 gene:gene21828 transcript:rna21828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative photosystem I PsaJ, reaction centre subunit IX MRDLKTYLSVAPVASTLWFAVLAGLLIEINRYSRCIDIPLF >RHN49556.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55621410:55621604:1 gene:gene44398 transcript:rna44398 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVESVVTSIYISYAEDPLLIQRWDAEFFNKMSETLHHRLQYRSARDRDYRFHEDPIRENASI >RHN63106.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:48502188:48507096:1 gene:gene25765 transcript:rna25765 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ferredoxin [2Fe-2S], plant, Beta-grasp domain-containing protein MALPLLRIPSSSCCSIPFINTKPQYLPSKTLRKSTVAAATELQTPVRLTEANDYRYPSGDAPTHKVTVHDRQRGVVHEFLVPEDQYILHTAESQNITLPFACRHGCCTSCAVRIKNGKIKQPEALGISAELREQGYALLCVSFPYSDLEVETQDEDEVYWLQFGRYFARGPVERDDYALELAMGDE >RHN60955.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:31820845:31821276:1 gene:gene23360 transcript:rna23360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MKKINEISTLCGVEACAIISEQNSPQVEVWPSDSGVRSVISRFRSLPGVEQSKKMVDQEVFLRQTIGKVYGQLKKQRLETRKKEMTNIIDHYIQTVEFNGNSMSKYDLNDFSSFIDENLKEVDRKMKEMTIKDQDEVGNEVGL >RHN53763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:3951145:3953693:1 gene:gene28707 transcript:rna28707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative formyltetrahydrofolate deformylase MWYVNTIALPNHIQLNRLILRQTNKMNNIRRLCSGFSSKVVRFSYRNYSHNSFNDNSASSSFLTHGIHVFQCPDSIGIVAKLSDCIASNGGNIIAADVFVPQNKGLFYSRTDFVFDHVKWPRLRMEEDFLKISKTYNAVRSILKVPALDPKYKIAVLASNQDHCLIDSLHGWQDGRLPVDITCVISNHDRGPESEVIRFLQRHNIPYHYLKTTKENKREDDILKLVQDTDFLVLARYTKIISSTFLRSYGKDIINIHHCLLPSFRGANPFKQAFDAGVKIIGATSHFVTEGRDAGPIIEQMVERVSHKDDLQRFVQKSENIEKQCLSMAIRSYCELRVLPYKEKNTVVF >RHN63389.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50881570:50881902:-1 gene:gene26083 transcript:rna26083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MHTLDIKVRPFIAAIANKACRSTTAVELLGKFLVKLKFSVEIRVKIPIRKVVFTVPVSFTRLRRTQIERASAWADLDDVELMPQPIAVALFYAQQQLQTSASSLEDMNKQ >RHN42049.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35059708:35067690:-1 gene:gene48439 transcript:rna48439 gene_biotype:protein_coding transcript_biotype:protein_coding MCHSTTLTVLLLGFLLQTSWVTSISMPSSNCYAFNNSSRIVDFSGWSGYPFEYDEKQGSDLVIRFCKDVESRSQTGYVDFGRFDKFNYFVAGSGKSDFVQEFYNGDLMGCEQSYDKMGRTAQVNIICGSCPNGQCKGHPGCICHVAYESNCRVLIELAISCDEPGPKIFTGSTVGFHPRSWELVYNGMTQFGFDKPHRDFSFQTAQTQVVLFTSAVASLSSLVQKPILKVHPNKGLGVKLSGSAASGMPPTTLSPAMLIVDWRCEVARDAPYVVNITIPVEGYEPIQFVLTKICDYKQGQGGGATRGWAIFGVVSCIFFVSSTLFCCGGFIYKTKVERQRGIDALPGMTYLSACLETVSGPGQGYSRPEDPYTGETSTSASWERPPGPSQSQASWRPAERKYGAI >RHN40353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15542705:15543540:-1 gene:gene46500 transcript:rna46500 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MNSTHLIEEQSKDGQEENQQDNKQVILSLPKENAWILSQNLYFQCFWCPSNLIQPIISFQNHFQAKDSDIVVASLPKSGTTWLRALTFTIVNRNQYSFENHPLLKSIIHKLVPSIERNLYAADMKDQIPISKIIEPRIFGTHIPFSSLAKSIQESNCKIVYISRNPFDTFVSFDTFVSCLILIVWCFDVFGWLDLSLMYFTYFSLYILPLKNSVC >RHN65963.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:7079321:7081204:-1 gene:gene13871 transcript:rna13871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed DNA polymerase MERMSFPVLWRKWIKECVSTATASILVNGSPTDEFPLERGLHQGDPLSPFIFLLAAEGLNIIIKSVVARNIFEGYKVGAPASVPVTHLQFADDTLILGVKSWANVRAMRAILVLFETMSGLKVNFNKSMLVGVNVSDSWLFEAASVLHCRVGRVSFMYLGLSIGGDPRRLIFWEPLLRSIKSRLSGFNSQFLSFGGRLVLLKSVLTFLPVYALSFFKAPSIVYGIGCWWRGMVRRMGGWRLGAGEVLLGGTRLLISRDVVLGVGGGWFQEGVSRLVGDGADTLFWHDLWLGAVPFRVRFRRLFDLAIDKSCTVAHMFSSGWDVGGVGWRWSRRLWVWEEEMLGECTILLRNVSLQIGVRDTWRWLLDHSTGYTISSAYQLLTSQDIPQVEGAPALAVAESLADTAKSGSSWNPFRYCCWLFVRVRSCDLRARCSFMQLVWLLCAWIIWNDRNQRLFNNVGSSIDQLLDKVKWHSLWWLKASHAVFVFGSDLWWSRPLDCLGLG >RHN75033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38878237:38878674:1 gene:gene11181 transcript:rna11181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MGKSVQNSNSLMDFVVIPQLKFLHLARNYWLRDESIRMFSSIFSNLQLLDLSYCYNISEEGICQFLRCSKIRHLNLDHFSRIEHRRMNFKAPKLEVLNLSHTRIDDEALYVISISFHGLLKLLQGMLTSIPKTRVDTDVVWTVNC >RHN82168.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51660421:51661928:1 gene:gene6295 transcript:rna6295 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phytosulfokine MSSKVSAPICLAVFFFLFFTFTYAGRHGPVSSPIISSNNQYEVLEDDKVDIEENCKSISEEDCLMRRTLVAHTDYIYTQKEKP >RHN73476.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:16828046:16830916:-1 gene:gene9292 transcript:rna9292 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GDP-fucose protein O-fucosyltransferase MATVSHLTKKPLKQNDKALKPCWNPPAPLKEEDQSKGFVIFSLTNGPEYHISQIADAVVVARYLGATLVLPDIKNSKSGNSMNLGDIYDVENVLDKLNGFVKVTKTLPPQVSTRSTPIVRVPNKVSQDYILNKIKPIYKAKGIVKIESFFPSTNTTISRNNNNLDSLSCQAMFGTLQLQKDIQEEAESIVQKLQTWSQESNGLFVAVDLRTEVLKKGCNGKDGKGRKQCYQGYEIGEFLKRVGFGQETVIYVTQTKWSPDLNSLRHMFPKTYTKENIMSATKKEKFLSSESIELEKAIDFYICSESDVFVPSVPGPFYENVAGMRIVSGKDQVIVPSEIVSPEASASEHMSPYVTKKNHIAYKCFC >RHN48813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50463990:50468286:1 gene:gene43566 transcript:rna43566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase CAMK-CDPK family MGNNCVGPRRTYEHESFQTSFWWPWSLSWSYPINQTQISVSQNTNSSQTYQQNPPHVHKIENKDMKTLQSNTASDRQTSISQEDAEPVTQLKEKATPTKTMMSKNINIRRVTPKSAGLRAESVLLTNNGPFREFYKLGDELGKGKFGTTSLCLEKSTRKTYACKAIPKVKLFRENDIEDVRREIEIMHHLVGIPNVISIKGAYEDPVVVYIVMELCEGGELFDRIVERRHYTERKAAKLARTIVNVVEACHSRGVMHRDLKPENFLFVDGDEDSTLMAIDFGLSIFFKPGEKFSDFVGSAYYVAPEVIEECYGPEADVWSAGVIIYILLCGTPPFYGELDREIFDEVLHGEVDFCSDPWPSISESAKDLVKKMLDRDPKTRIKAHEVLSHPWIQVDGVAPDRPLDSATLSRLKQFSAMNKLKKMALRVIAKNLSEEEISGLKESFKTIDTDNTGQITFEKLKVGLKKFGANLSESEIFDLMQAADIDNNGMIDYGEFIAATLHLNKVDKEDHLVAAFSYFDKDGNGYITQDELQQVCKEFGMKDVHFEEMIREADQNNDGQIDYNEFVAMMQRGNADLENNSVKCSTSFNIGLGKTKTLSIC >RHN46359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30986429:30987557:-1 gene:gene40841 transcript:rna40841 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase MRNSNPTPTPMVPTPYLITIVDYHLPYNTHKLYDITIDSNRKIQTLLTSSPDHVDAWFIENLILSFPSPIIGLHIKRSQSNSAATLQLCINNFCLVFQIIHSPYVCASLSNYLASPHNRFIGIGIKADVVKLLEDHGLHLANYVDLRNLASQVLGDREILRSSELKTFAECLLGKIVEKPHNISMSRWDSQLLSADQVKYATVDAFVSFEIGCRLYSRQT >RHN74052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:25296311:25298082:-1 gene:gene9996 transcript:rna9996 gene_biotype:protein_coding transcript_biotype:protein_coding MEENTGSCFTEEQEALVVKSWNAIKNNSEDLSLKFFKRIFEIAPPAKQLFSFLRDSNVPLEQNPKLKPHAMSVFLMTCESAVQLRKAGKVTVSESNLKKLGATHFKSGVKDEHFEVTKQVLLETIKEALPEMWSPAMENAWGEAHDQLANAIKAEMKKADHDHQANVEDKPSS >RHN62372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:42991406:42991906:1 gene:gene24940 transcript:rna24940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MVMESDLHKLEYLQAIIKETMRLCPTVPLNVPHESTEDCTIGGYHVPAGTRLLTNISKLQQDPFIYSNPLEFRPERFLTTHKDIDLKGQHFELIPFGAGRRMCPGISFGLKLIQITLATLLHGFDIVTQDGGPVGMVEQSGLTNVKASPLEVILTPRLSTELYGQN >RHN45587.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:23292269:23297304:-1 gene:gene39963 transcript:rna39963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA helicase chromatin remodeling SNF2 family MAGVSSRTRSRNVFLLGVSSRTRSKNVPLFSCVPLVGSSKRRKNVLNSVSGSKKRKVEEEEEEEEEPFVVPKNVEVISLDYDDGDEKGSEHCDERSVDFEKKVESDEIGEKDEREVKEESFVVPKNGEVISIDDDDKDEEGLLQCDEKMFEGCVDVEKEVQTEESGGGCDEIDAKDRNIVDDDEDVDGSDEDDSEEEDDDDDESEENETSDEDFMVDEVNEISESDDESSSGSSSVDDDDAEEEEEEEEEEEVEEEKKGRQKYFNVMEELAREANNKHSESSYVDVDVDVDDGEEEEEEEEEEDKGEDLSKIWEEFSNGLLEMVREVEDQKCVISETNNVEVNIENSPSSVCNDVSEHADHSNLITFEKKDYFDPVKGCSSSKGNGESKKRESKSVGIKMQNVSNKYDFQFVADQPISFVHSKVHKGESNNANAKAKSKDDAKVYENVNVNVGDSDEGKQKHVKGLGVGGVSSPQAKQEKMRDSDKPKMTENKGGDCKSRFRIRNGEKKESMNNNGLTKMFVPKELCLAKLLAECFWGNKNTMKKDSIVLEVKDGNDDWRDRDTRSPPVCVETPPQIWSLKKVEEVQKTKEELEQEPLWDQMDTALRESEAESMIGNLGTNDMRNPSTLCEHDTCFDDQIGVYCRWCGVVVTEIKYVSQLVMDRFPSEGSGKRASFDDSVNVSHFDGSQFNVSDGEPETNFSHNEGTVWDLIPDDVKETLYPHQLEGFEFIWKNLTGHIDLHKLSKTNPRREGGCIISHAPGTGKTRLTIMFLMSYLKVFPKCLPVIVAPASLLLTWEDEFKKWDIGVPFHNLNNLKLSGKEHDDAVDFVNWSNKRLSKDTTRMVKLISWYKEKSILGISYNLYEKLAGEGGSKRRKKRKHTNVEKRKQNGDMRNALLESPGLLVLDEGHIPRNERSLIWKVLSKIQTRKRIILSGTPFQNNFLELYNILSLVKPSFPNTIPHELKKFCLKQEYKKVSEEWSWEADYGNSTCNPSDHKIKQLKLLMDPFVHVHKGAILQKKLPGIRNCKLTLKPDSLQKQILDSIQSRQNALIFERKLTMASIHPYLFLECDLLKEEESVVDKDQLEKLRLNPYVGVKTKFLVEFVRLCDAVKEKVLVFSQLIRPLCLIIDQLSHISLNWTVGKEILFMHGEVSLKDRQSLIHSFNDANSQAKILLASTNACSEGISLVGASRVVLLDVVWNPSVDRQAISRAYRIGQKKVVYTYHLLTEGTAEKIKHRKQAEKHRLSELVFSAKNADKDKSKSCAVNFEDRILDQLKQHETFKDVFVTVQSKERDLVESFGS >RHN67439.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:27258297:27261819:1 gene:gene15628 transcript:rna15628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polar-amino-acid-transporting ATPase MEIGVEDEIVDMSTLPPSNGSMMMASRNAYFRNRFSEIDIEVEDSNINQNGPLPIFLKFEDVEYKVRNSQLGSDNPVKVMVTKVSTRHAVEEDRYTRILKGITGSIGPGEILALMGPSGSGKTTLLRVIGGRLLDNTKGKITYNDVKYTPAVKRRIGFVTQEDILFPQLTVEETLVFSAFLRLPTSMTKQEKYAKVETTVKELGLERCRHTKIGGGYLKGISGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTAANKLLVTLQGLAKAGRTIISTIHQPSSRIFHMFDKLLLISEGYPIYYGAARETMEYFSSLRFNPEIAMNPAEFLLDLATGQVNDISIPTDIFEDQEPTHDPSKSVIKYLQLKYKTLLEPREKENHRGVSAPENLQLAIQVKKEWTLSWLDQFVILSKRTYRARCKDYFDKLRLIQSLGVALLLGLLWWKSSTNTEAQLRDQVGLAFYICIFWTSSCLFGAIYVFPFEKYYLIKERKADMYRLSVYYICSTLCDMVAHVCYPTFFFIIVYFMAGFKRTVACFFLTLFVVLLIAITSQGAGEMFGAAVMSIKRAGMAASLVLMLFLLTGGYYVQVY >RHN57178.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37116992:37118569:1 gene:gene32683 transcript:rna32683 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor AS2-LOB family MGGNSPCASCKLLRRRCTKDCTFAPYFPSHEPQKFAIVHKVFGASNVSKMLQELPVHQRADAVNSLVYEANARVRDPVYGCVGAISYLQNQVSELQMQLAVAQAEILCIQMQNEQVIPTPQINQDQKSYYIQDELTQYLNYGSSSSNNVIHDSLKRENNMYGHDMVS >RHN51503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:19053993:19054684:1 gene:gene35950 transcript:rna35950 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MSSYKNEVGGEDRLQLDEIIDECKTIYFAGKDTTSNLFTWGVLLLAEYKEWQSKAHEEIDGSAKEAIEKSVNQLGIDKVVQKKILELMNVENLTWEKVASHLQKYRLYLKRVSCVENKEDHMAAALASSSDASYLRSGVGGHLHTLNGSTLLENKRCNGIWLSRMQVHKSLINVEIIK >RHN64103.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56591730:56594490:-1 gene:gene26885 transcript:rna26885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycoside hydrolase family 18, catalytic domain, glycoside hydrolase superfamily MANFLKLKQFLTLVLILLALAAKSSKSTPSPSSTTRVKGIYWIENPLFPPSSIDTSLFTHIFYAFVSPNKFTYKLEEEEEDSTTVATSLTTFTNTFKTKTPPIPTLLSIGGATSNSTLFAFIASDPTARATFINSTIQVARTFGFDGIDFDWEFPTTTKEMNDLGELLFQWRRAISDEATSTSRPPLLLTAAVYFAVNFFLSGERRMYPVDSINKNLDWVNVMSYDLLGSGSNVTGAPSGMFDSKSNVSVVSGLFSWIRGGVAPEKIVMGMPLYGKSWKLQDPNVHGIGAPNVGPGPGVDGGMAYFQVVDFNKQMGAKVVYDKETGSVYSYSGSTWIGYDDPFTVSVKVGFAQALKLGGYFFWAAGYDTSDWKVSTQASKAWRPE >RHN56824.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34030971:34034264:1 gene:gene32280 transcript:rna32280 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative benzyl alcohol O-benzoyltransferase MTSSPLLFTVRRCQPELVPPVAPTPREVKLLSDIDDQEGLRFNVPVIFIYRREPSMAEKDPIKVLRHALSRTLVYYYPFAGRIREGARRKLMVDCTGEGVVFIEAEADVTLDQFGDALHPPFPCFQQLLYDVPGSEHIIDRPIRLIQVTRLKCGGFILALSVNHTMGDAAGLRQFMTAWAEMARGANQPSIQPVWNREILMARDPPCITCNHREYEQILSPNTYIKEEDTTIVVHQSFFFTPAHIAAIRCLVPIHLHHCTTFDLISACYWCCRTKALQIEPDEEVRMMCIVNARSRFSANPSLFIGYYGNCIAFSAAVTTAKELCGNQLGYAVELIRKAKTQVTEEYMHSLADCMVIKERCLFTTGRTCMVSDWTRANLSEVNFGWGETMYGGVVKGGIGSYLGGTYIVPHKNAKGEEGLIFPICLPPEDMKRFAKELDDMLGNQNCPTMSGPSFILSTL >RHN46215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:29711018:29712090:-1 gene:gene40668 transcript:rna40668 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSFGIKCTCFVKEMIINVTKEKVLDLLKCSLISKSTLTDVFLRMKPTIGWSSRIFGCDVENAKAIQFTIKLVIRKSDGKILYAQGDREFADLLLSFLTFPLGGVVRMFGGKCSLGSIDALYHSIVDLDENKYFVTKEAKNKIVDPHLAPQFNMRNQILPIRQPREKFYCHDDYDITCKNIDYFDCTKKVVFFSSFDGYVKGTKTYVATDDLVVKESSPTSVLNLINHFRTPLNDLKEKVINIGINECLSILKASLTSTEALTNGLGHLVTEVQEGKLRSVNIF >RHN79182.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25312247:25312576:-1 gene:gene2915 transcript:rna2915 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cystatin domain-containing protein MGFQSLVLIIIVLLVSAATNQAIPVDINDPHVINVATFAVTEYSKHNTEANLIFEKVTNGVSDVTENGTNYRLTLSANDGSTSNNFSAIVLENPADNFTLTAFALIPHA >RHN44891.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:9522171:9524747:-1 gene:gene39065 transcript:rna39065 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MQKRKKKSMARILCFFYGLLIFVSLFMVATNQSIPDVLPCLFSNECPPDLCPTDLFAKCINLTCQCTAEYDLD >RHN78259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:13362674:13362925:1 gene:gene1793 transcript:rna1793 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminoacyltransferase, E1 ubiquitin-activating enzyme MSKYRIFSFQDETIMEAPEPAKVHGFKCPICMAPFVEETTTRCGHIFCKKCIETAITAHHICPTCGKNATHKALVRVFLPNFN >RHN63623.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52764400:52766295:1 gene:gene26348 transcript:rna26348 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MTGSTFSRATSLLNKLSSMSELKQLQAIITKSGLHSHIPLTTKLIFFSALSPFGNLSHAYSLFQHSSILIHNPFISNTMIRAFSHSCFPLQALLIYNQMLINNVVSDSYTCNFVLKACSRAYKLIQESGSCDDDDDNLVVVYNKGTEIHCRVIKIGFQNDPSVQNSLLYFYSQCGLVSVARYLFDQIKDTSLVSWNIMISAYDRVDDYELADHLLELMPCKTVISWNTLIARYIRLGDVQAARRVFGCMPERNAVSWNSMIAGCVSVRDYAGALELFSEMQNAGVKPTEVTLISILGACAETGALEIGHKIYESLKVCEHKIESYLGNALVNMYCKCGNLSLAWEIFNGMKMKTVSCWNAMVIGLAVHGYCEEVFQLFTEMEESLGGSIRPDRVTFIGVLVACSHKGLVDKARWYFDHMVKRYKIVPDSKHYGCMVDLLTRRGLLEEAYQIIMTAPFQNSVVLWRTLLGACRTQSNTELAEISFKQLAKLKQLIDGDYVLLSNIYAEAGRWDEVERLRSEMDYLHVPRQAGYSQINMKESDRLS >RHN49902.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:2149203:2151139:1 gene:gene34131 transcript:rna34131 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator A20-like family MPQVNSKPINTLFFFFFFSSFISSFFSILNFSTITKETTYIQIETMASGGTEAFPDLGKHCQHVDCHQLDFLPFTCDGCKQVFCVEHRSYKSHECPKPDHNSRKVVVCEECSMSMEIRGNMGENEEAILKKHRSSGKCDPSKKKKPTCPVKRCKEILTFSNTSTCKTCHIKVCLKHRFSADHACSKGDSSSLTTTAGNGRWNNRFMAALASRNGQDCGKKSGSRSTTSPPSNPSVKAC >RHN64090.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56484272:56485963:-1 gene:gene26870 transcript:rna26870 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAILRSHDCLQRRFLPNDALSIPSSQIRSRKNSNPNPNCKSYVNNNNHHNRRRKRSPVTTGQSNQHDRKQSVDRTVAPTKLVMGQVKILKRGEKLSPEIVVPVAEDQKVKAVKAMDLVLGSTDRFGPDPITMQKQIRVSDSVSKDVIYAGSGFVSSPPPSSVPVPGFLGKNGVATSDLRRLLRLDLE >RHN61814.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:38559699:38560214:1 gene:gene24313 transcript:rna24313 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MLKAGYNFIVVTYLNLINGYSVRNQVEKAQDLFDNMFKRGVPYDTRCYNMMINNFYENKLFAKVIDIFNLMCSKGFYPEVVSCNYLINSFCKSGYLSSAWSMFHHMQNRGLQPDIVTYNYLCCALLQMNKVDEVMKLLPTMEEHKINADNFTNSIIFYALCYKRNRMEIAL >RHN79205.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:25790859:25793915:1 gene:gene2943 transcript:rna2943 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative C2 domain, phosphoribosyltransferase MSSSKPAPKPNTDDYKLKDTKPELGEKWPHGGQRGGTGWLYSERATSTYDLVEQMFYLYVRVVKAKELPPNPVTGNVDPYVEVKVGNYKGKTRHFEKKTNPEWKQVFAFSKEKIQSSVVEVFVRDKEMVARDDYIGKVEFDMHEVPTRVPPDSPLAPQWYRLGNLKGETRTRGEVMLAVWMGTQADEAFPEAWHSDSASVKGEGVYNIRSKVYVNPKLWYLRVNVIEAQDVQPHDKSQPPQVFVKAQVGQQVLKTKLCPTKTPNPMWNEDLVFVAAEPFEENLVLTLENKASPGKDEVVAKLTLPLNKFETRMDHRPVHSRWYNVERFGFGVLEGDKGNELKFSSRIHLRVCLEGAYHVLDESTMYISDTRTTARQLWKQPIGILEVGILSAQGLSPMKTSNGKSSTDAYCVAKYGMKWVRTRTITESFNPKWNEQYTWEVHDPCTVITFGVFDNCHLGGGNSQQSGAKTNDAKIGKVRIRLSTLEMDRIYTNSYPLLVLKPSGLKKMGELQLAIRFTCLSLAHIIYLYGHPLLPKMHYLHPFTVNQLDSLRYQAMNIVAVRLGRAEPPLRKEVVEYMLDVDSHIWSLRRSKANFFRIVSLFSGVISMSKWLGEVQKWKNPVTTILVHVLFFILICYPELILPTIFLYMFLIGIWNFRKRPRNPPHMDTKISWAEAAHPDELDEEFDTFPTSKAQDVIRMRYDRLRSVAGRIQTVVGDIATQGERLQALLSWRDPRATFLFVIFCLVTAVALYVTPFKIVISVAGIFWLRHPKFRSKLPSVPSNFFKRLPSGADSML >RHN61727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37853459:37856019:1 gene:gene24217 transcript:rna24217 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, CHCC-type MASSILKNLTRFSSSTATTNATRSFSLVTSQISNHTAKWMQDTSKKSPMELINEVPPIKVEGRIVACEGDTDPALGHPIEYICLDLAKPAVCKYCGLRYVQDHHH >RHN68409.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35236136:35244009:1 gene:gene16757 transcript:rna16757 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MAASHNHRPPPPQPLSDSDSDGGGSSTTSLLRHSDLSESIFKSYFNFSGHSSTNATSADLSKIQSFLTSSSSGALSCLICLERIKPSDPTWSCTSLCFAVFHLFCIQSWSRQASDLAASRAVTRLSVSAEHAAETSLWNCPKCRVEYPKSLIPKIYFCFCGKLENPPSDDPWVLPHSCGEVCGRSLKNDCGHYCLLLCHPGPCPSCPQLVKVSCFCGSHQDVRRCGFKEFSCEARCEKKLDCGVHRCVEICHRGDCPPCRARGVYRCQCGKVKEERECCERVFQCSDPCEKKLSCGKHVCEKGCHSGECGGCPLQGKRTCPCGKRVYEGMPCDAPLQVCGATCEKTLPCGYHRCHERCHRGQCMETCRIVVKKSCRCGSLRKDVPCYQDLTCERKCQTLRDCGKHPCKRRCCDGDCPPCSEICGRRLRCRNHKCQSPCHRGPCAPCPIMVTIACACGETHFEVPCGTEMDQKPPKCRKQCPIQPLCRHASISKPHKCHYGACPPCRLPCAEEYQCGHACKLRCHGAKPPPKPEFTLKPKKKKIIQQSESAPGTPCPPCPELEWRPCVGQHIGAERMMVCSNKSQFSCDNLCGNPLPCSNHYCTKTCHALENRSSMNQLPRSEACEACSLSCQKERKPKCQHHCPRRCHPGDCPPCKVLIKRSCHCGAMVHAFECIYYNSLSAKDQETARSCGGPCHRKMPNCTHLCPETCHPGECRNPEKCSKKVTVRCKCQTLKKEWLCQDVQAAHNRAGCNPSDVPKNQFGVGLIPCNSDCKSKVQVVESELQLRKSKVTEVKEQPDNEKSGPKRRKKRERVVESKEPTILQKMISRAKQLLLFIFFLVILVAATHYGYKGLLWLNDWMNKVDEQRQRSSRIR >RHN47660.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41328532:41330780:-1 gene:gene42283 transcript:rna42283 gene_biotype:protein_coding transcript_biotype:protein_coding MASSENLAASIESWMYRPAMTDTWLSDYISRDAETLTKALHKSLSSSSSPEDALSPFLNLIKTDSATTTTTTTPTVSSLSASDDSAPKRQRVAAGKISKRKSRASKRSQTTFITADPANFRQMVQQVTGVRFGSGSNVSMAPLVKPEPHRAVGVNGGGRFTTGGGCLPTLDTSAFLLQHHQQQQQTMVGPNSDGPEMTGLGPLSFGQPIGEDAAGYDFETFSSCFPTLESSWKVM >RHN52015.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30384163:30385502:-1 gene:gene36626 transcript:rna36626 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAQILMFVSVLIIFLSLFLADTKQTNIPCENKRDCPQPLYPKFVTCFEGLCRMHYPLKKF >RHN77743.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:9125781:9135680:-1 gene:gene1230 transcript:rna1230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycerophosphodiester phosphodiesterase, protein kinase RLK-Pelle-LRK10L-2 family MWWVRVILLLLLPFSFLFWKVTCSSSYEHDCALSSCGKISKISYPFRLKDDPIHCGNSKYELSCENNVTTLYLYSTKYNVRSINYNNFTIRLVDPGVQEMNCSSLPRHSLSRSNFCDTYDYHNKFCNDPYHAVSYKERMFSAGTGSHELLFEHIVYLSCTNQVTSNSNYVITSPCLNRHSKSKGNYIYAIVGDLTAEDFQVGCHVKLVTPTSLSGLQRNDLSYDAIHKALVYGFEVSWLHLSCKNLCLDLAPCFFNASSNDPELQCNINPHCYTFMGYWSSGDCGLWSQLTSYAKDTITAIRIGLIEIIKGPNSIYEGRTNYKVGLAIGHYVLPLFLGTRFLFGITFFTALLIYKWLKRHWSMYECIEIYLQQNNLMPIGYSYREIKKMARGFKEELGKGGFGTVFKGNLRSGPCVAIKMLGKSKGNGQDFINEVTTIGRIHHLNVVQLLGFCIEGSKRALVYEFMRNGSLDKYIFSKEGSINLSYNQLHDISIGVARGIDYLHNGCEMKILHFDIKPHNILLDENFIPKISDFGLAKLYPITNSIVTMTAAKGTIGYMAPELFYKNIGGVSYKADVYSFGMLLMEMASKRKNMNPHAAHSSQHYFPFWIYDQLQKEAEIELEDVTEEDIKIVKKMVMVALWCIQINPNDRPSMSKVVEMLEGDIENLEMPSEPTLYPDEMISSDETTNSDQRVSSDFISSSDIGENITSPSLENISSKM >RHN62502.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43897902:43900390:1 gene:gene25086 transcript:rna25086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleolar protein MDEEAAISERKTGHVKKRALKNKALAISFDEKDLKDYVTGFHKRKKKRRKEANKQQEEALRRKRNEERIKRKLERELAYGGVPPTDDTETGEIDDNQEEETDKQVESVAATKTYENDDLKVTVVTKEINPDEESFPSERKEAPPAVSSHPVVVDKKKSVPINNKKPFKKVASKHKSQPKQRSKREKRKGKKPGNK >RHN65789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:5363085:5367206:-1 gene:gene13679 transcript:rna13679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase MAMIENGNETRSECLVRICNEESNIETIRYFECRKTLMDMLHDRGYNVSESDLTLSLSEFRSRFGEFPKPHTLGVSVSLRSNPSIKVQVVFPGTDDIRKSNLIVIQSQIVDKERLSRLILVMQSKMTSYARKELENCPFKVEIIQLNDLLVNVTKHVLQPKYEVLTANEKQKLLNKYKVEEKQLPHMLRTDAIASYYGLEKGQVVKISHSGEMFNSLVMYRCVV >RHN66159.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:9293991:9299370:1 gene:gene14086 transcript:rna14086 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative signal peptidase I MHSFGYRLNALFTFAVTILGFICAIASFTDTLNSPSPSVQVQVLNVNWFQKQPNGNDEVYLTLNISADLQTLFTWNTKQVFAFLAAEYETPKHPLNQISLWDAIIPTKEHAKFTIHTSNKYRFVDQGSNLRGKEFNLTLHWHVMPKTGKMFADKLVLPGYRLPAQYR >RHN51589.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:20449914:20453073:-1 gene:gene36054 transcript:rna36054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DHBP synthase RibB-like alpha/beta domain-containing protein MLVPNSYPRIFLLYVSIKFLNEDEWLIDPVMIADTYGPEGLDFVVDGGVRVAQSSTVVDMTKLPPRVLREGKGPFSDWMVLEDNQQTNGEEDLIPAAI >RHN45784.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25546435:25551726:1 gene:gene40183 transcript:rna40183 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclear transport factor 2, nucleotide-binding alpha-beta plait domain, NTF2-like protein MATSENQVPPAPDVVGHAFVEQYYYMLHESPEHVHRFYQDVSKLGRPEPNGIIGITTTMAEIDKKILSMGYSELSAEILSVDAQESFGGGVIVLVTGFMIGKDNVKQKFTQCFFLAPQEKGYFVLNDIFRYVDENEIKEPDHAIRSPASPENVLDPLVLETQVPEQISVAAENGDRGELEVYNPENGQVSVEEEEAPVPEVLVEIPNDSQKAAGFDHVPDDSQKVAELASQIEEVPKKSYASILKVMKGAAAPSSVMTAASVRTSIKIQEQQSAAAPSPSSVPETNGSSISTNEGGNNQETEAEGYSIYLKGLPGNATHALVENMFKKFGPIKSGGVQVRTAKGFHFGFVEFEEESAALSAIEASPILINDRQVVIEEKRSTNRGYGRGPGNYGRPLSFRDEGARGHGNYGNSRSFGRADYNGRGEYNGRGDYNSRGDYNSRGDFNGRSDYNGRGEYGYGYRNGNRGGFSSRGGDGYQRNDHMGTNGGRMNRTGGSSVNSAPKSTTALRVPASA >RHN80025.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34466896:34470085:-1 gene:gene3900 transcript:rna3900 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Rho termination factor MSQTVHLVVANNVGCGMPEDKCFPCSRGFGRTTVAYSCTSLGRRRIHSHAKIGGLKYGSTAASFVCEARRNSDFSRQNNRHGSSRGRNRNDDGRDIFENFEEEDMLSSKNGPLVSYNSGKFQATSSPGPREKEIVELFRKVQARLRERAAVKEENKVETRRGHVKEQSAVDSLLNLLKKHSVEQGKRSNGEDNGKDLNSDQSQESNQYNGRQNSKFSDSGAPKGESREADVASSARPRSVFQRKSPVPRVRYQPVSNNVADTNAVPIGTEDNENIHDQLDSKLDDEPEPKFESDIDLDTKDETSVPEFSDDDSDDSEQTYNNEGVDEHVVVQNEDLNALKMSELRALAKSRGMKGFSKMKKGDLVELLTEN >RHN56256.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:29317597:29321677:1 gene:gene31628 transcript:rna31628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein Networked (NET), actin-binding (NAB) MLQRAASNAYSWWWASHIRTKQSKWLDQCLQDMEEKVSDTLRVLQNDGDSFSQRAEMYYRKRPELVEFVEEAFKAYRALAERYDHLSRELQSANRTIASVFPDQVPHHIDDDDDEESDAGTISPSPDFNNQIQKSVVPKVPKKDFRSPSMLLSRKGPTKRTSFSKFIPTTPGSDLTKTEAIGEIDKLQKDILALQTEKEFVRSVYERAYEKYWEIEDQITGMQKHVCSLQDEFGVGTVIEDDDARALMAATALKSCQETLSKLQKIQAQSSVEAKVEYERVKKAHEMFENLRDQFITKFTGQEEQEHADTCKSIISEEQKNIDKEIASLEQQENDVVLLRETIKEKLEQDSGNTLTVTEMAECIDELVNKVVTLETAVSSQNGMVNRLRSETDDLQTNIKKLEEDKEMLIAGSEVTNKKLKEFEEELLRVKILNKSVKSQDNSLRTHFTEASCNIEHLSGKLNNMKHDVEDVNLVLYKKKKTDSNVDDSVMKDVDGPAMKDVDDSATKDINTKEENDDGVNVDDNKSMMSQNTNFITERIEKMGQHDKDDLSDTMSNLDIESQDFDTGEGDQPNWRQMFLNGLDDREKILLEEYTSVLRNYKDVRVKLNDVEKKNRDSIFELALQLREMKNALVTRDKEIQFLNQKINGPDTNPDESPYTTTTEYKYTPHEALFRKSGQGSNMQDTDTSSLNIDNNSIRTSFADQHENSSAHGLRTLEKLMADQDKRQNLSDLEKKFRSDLDDLLEENLEFWLRFSTSVHQIQKFQNSIQDLKVELRTIKENNKSEGHSHSKHQQPMQSQLRPIFRHLREIRTELSLWLEHNAVLQDELQGRYSSLCNIQDEIARAGNNNNEESGGADENNKDKDAEIISGYQVAKFQGEILNMKQENSKVASELQAGLSLVKGMKNDVEKTLDELDQAIGISSAHRETKKNTKGNRIPLRSFLFGVKLKRQKQYQSLFSCVNPTLSKQNSINEQVPAPIEREQI >RHN58135.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:43999899:44008183:-1 gene:gene33746 transcript:rna33746 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MAVYYKFKSAKDYNSIPMDGPFISVGTLKQSIFVSKHLGRGTDFDLLVTNAQTNEEYHDEEMLIPKNTSVLIRRVPGRPCLPIVTEKKQEFENKVVETEPENSTLPADYTSSMQYPENLDWDEFGNDLYSIPDQLPVQSSTMIPDAPLTSKADEDSKIKALIDTPALDWQQQGSDFGAGRGFRRGAVGGRIGGGRGFGLERKTPPEGYVCHRCKVSGHFIQHCPTNGDSTFDIKKVRQPTGIPRSMLMVNPQGSYALQNGSVAVLKPNEAAFDKEMEGLSSTRSVGDLPPELHCPLCNNVMKDAVLTSKCCFKSFCDKCIRNYIMSKSACVCLATNILADDLLPNKTLRDAIHRILESGNSSTENAGSTYQVQDMLSSRCPQPKIPSPTSSATSKGEPKVSQVNEGMANIQEIVAERKEVSATQQVSEQVKIPRAAVVSEVTHESMSVKEPEPASQGSAKLVEEEVQQKLVPTDGGKKKKKKKVRMPANDLQWNSYMMQPIGLPPPSYNSYWNGMQRCLDGYMGPYAAPMTMMGYGLGPFDMPFAGGLPQDPFGMHGYVMPVHPPHRDFAEFNIGMNVPPPVMNMNREPVMNKNREDFEARNAILKKQENERRVERDLSKDREFGRDVSSVGDVSSSKPKAKSNPPSSNGYHHQHHRHQEEEPPRQRKLKSDRDYDSHERHHHHNNHRSESSEPTVDRKQKSSIFSRMSFPSEDEAAITKKRKNDASSSSPATSNGYYEVRRNNDDFDDCEGSDDDDRHFKRRRSRYESVDRRERQRR >RHN66320.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:10807183:10815019:1 gene:gene14279 transcript:rna14279 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLVEAIDVYLECVYMYGLFISNAPHDMSPSVDRSLFGMFALGYGRDPPLTL >RHN54832.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12713192:12716049:-1 gene:gene29923 transcript:rna29923 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription repressor PLATZ family MAIENQENTSVREIKPKNRRIMGAGGPDDDDNRWPPWLKPLLKEAFFVQCKIHADSHKSECNMYCLDCMNGPLCSLCLAYHKDHRYIQIRRSSYHDVIRVNEIQKHLDIAGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSKNFQKKKRHLTAMASDSEESYSSNNIHSRQKKIKVQSFTPSTPPPTSVNYRTAKRRKGIPHRAPLGGLDVDYYLL >RHN47999.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44161855:44162094:1 gene:gene42665 transcript:rna42665 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKVMCYLLSFLQSIMVSLFCSNMGLRELGSASTEQSSNDGQGDVLFAELFTIYHDIALLLQHGPSGAWFCLHEAKSR >RHN62971.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:47189306:47194917:1 gene:gene25613 transcript:rna25613 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aminocyclopropanecarboxylate oxidase MAVDFSSIPIIDISPLLAKSDDPKMAEDPGVLDVVAQLDKACTEAGFFYVKGHGIPDSLLKGVRDITRRFFELPYEEKAKIKMTPANGFRGYEKIGENITEGAPDMHEAIDCYREVTKGMYGDLGKVMEGFNQWPQNPPKFKFLMEEYISLCKDLAKKIMRGIALALGGSPYEFEGDRAGDPFWVMRIIGYPGVSSDDIGCGAHTDYGLLTLLNQDDDINALQVRNLSGEWISAPPVPGTFVCNIGDMLKIYSNGLYESTLHRVINNSSKYRVSVVYFYETNFDAAVEPLNTYKTTINGDKKFERAIYGEHLVKKVLTNFVDG >RHN78789.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18461476:18462015:1 gene:gene2441 transcript:rna2441 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MADS-type1 family MGRRKIEIEIVKDPNTRQVTFSKRRTGLFKKANELSILCGAEVAIVVFSPGDRPYSFGHPGVDVVAAKYLQQEADASDSQGNPSSNAPKMEKLNLKLAEILTQIREGERQAENHDEILKENDVTKLSELKELRDSYKELQDWVKLRLSDIEISEYMMLLAQEPVVGIKAKSAKRKRRRN >RHN59680.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12638644:12639129:1 gene:gene21764 transcript:rna21764 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhI MFLMVTGFMNYGQQTVRAARYIGQSFIIILSHANRLPVTIQYPYEKLITSERFRGRIHFEFDKCIACEVCVRVCPIDLPVVDWKLETDIRKKRLLNYSIDFGICIFCGNCIEYCPTNCLSMTEEYELSTYDRHELNYNQIALGRLPMSVIDDYTIRTIQIK >RHN72818.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10973238:10980824:-1 gene:gene8579 transcript:rna8579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CAP Gly-rich domain, leucine-rich repeat domain, L domain-containing protein MQDSPNSTTEFQVGQRVHASGDSTRIGTVKYIGSVEGYSESWVGVDWDHGDGKHDGSINGVRYFHAKSETSGSFVRPKNLCNGISVLEALEKRYRSSSTKDEEDEMYVLSSSNKRVSIQLLGKSELDDKLSRIEELTNASLAFMGVGSPGVSCQISTTVPNIQELDLSGNLLSEWKDVGIICEQLPALKALNLSYNLMSPYKSELPLLKSIRVLVLNNTGVDWEQVELLRQSLTTIEELHIMGNSISRILPVSSSMVRGFDSLRLLNLEDNCIAEWSEIMKLSQLRCLEQLYLNKNCLSSLFYPDNGSQYYESEVTGCKPFQKLRCLLLGDNNISDLASVDSLNLFPNLVDIRLSGNPITDAVKGGVPRFVLIARLAKVQILNGSEITSRERKDSEIRYVRLVVSRLHANPEEIKQHPRFSELKNFYEIEDQPPSIGTTGGPQAISSGFLSITLKCVGASMGEKKPLTKKLPATTTVGKLKFLCDSFFKLKSMKLNLFLQEEGSPLPLLLNNDSSSLMDLGVGNNSVLLVDEDS >RHN81625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:47632717:47633199:-1 gene:gene5696 transcript:rna5696 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MTSTIPKTCNGLLCLFHFNQFCVSLWNPSINLKSKRSPAIVSRHDNIVRYLGFGYDQLNDNYKVVVGVSSLNDYTKTVTKIYTFGENSWKTLHNFPDNRCTYFGKSVSCTLNWILSKDGLCFNNEVILSFDLEKETHGEVMLPQHDCNSVFNHGMFVLSD >RHN51669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21814922:21819036:1 gene:gene36154 transcript:rna36154 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L6 MASSVTSSFQLSNFKSSFVGERSRIRISSAPATHVGFFRKTTECKESRIGKQPIPVPSNVTIKMEGQYFHAKGPLGELSLTYPREVKVERQEEGVLKVSKAVETRRANQMHGLFRTLTDNIVVGVSKGFEKKLQLIGVGYRATVEGNEVVLNLGFSHPVKMTIPEGLKVKVDENTRITVSGYDKSEIGQFAASIRKWRPPEPYKGKGIKYEDEVVRRKEGKAGKKK >RHN49547.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55568763:55569776:1 gene:gene44389 transcript:rna44389 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFNKNTGFLLSPFSLSPVVIGSLSSFSSPPIAAHHHPLLRLPPLSQSIIILLLNEP >RHN62114.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:40831180:40834959:1 gene:gene24648 transcript:rna24648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphotransferase (phosphomutase) MAASTSPNFSTSLHRNIKKTYYHSLLGNSISLQTKLGFASSVPFNKSVISVKCYGTAKYDEVVVDEELDKIRRLQNGSDVRGVALEGEKGRTVDLTPPAVEAISESFGEWVINGLEKEKGYPVENVSVSLGRDPRVTGSKLSVAVFAGLARAGCMVFDMGLATTPACFMSTLLPPFVYDASIMMTASHLPYTRNGLKFFTKRGGLTSLEVEEVCDKAARKYANRLARVSTLLKVLPTKVDFMSAYSKHLREIIKERISHPLHYETPLKGFQIIVNAGNGSGGFFTYDVLDKLGADTFGSLHLKPDGMFPNHIPNPEDKIAMAMTREAVLENSADLGIVFDTDVDRSGVVDNKGNPINGDKLIALMSAIVLKENPESTIVTDARTSMSLTKFITDRGGHHCLYRVGYRNVIDKGVQLNKDGIETHLMMETSGHGALKENHFLDDGAYMVVKIIIEMVRMKLGGSDEGIGSLIKDLEEPYESVELRINIISEPRNAKAKGSEALETFRNYIEEGRLKGWELDSCGDCWVSEGCLVDTNDTPTHIDAQMYRAKVSNNEHGQHGWVHMRQSIHNPNIAVNLQSSAQGGCLSMARAFRDDFLKASGVDAFLDITQIDKFVENGPLA >RHN65591.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:3503266:3511610:-1 gene:gene13445 transcript:rna13445 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MLHGWNYDVFLSFRGEDTRTSFTGSLYHGLHQKGINVFIDDEELRRGEQISPSLLTAIEESRISIIVFSQNYANSTWCLDELAKILECWKTKGQLVFPVFYYVDPSSVRHQRGSFGTAMAKHEVTFKDDVERLKKWKKALFDAANFSGWSLENGYEYELIIKIIEEVSGKLNNTLLHIAEHPVGLETRISEVKSLLQIEKPGEEASFIGIHGLGGIGKTTIARALYNSIANQFEVTSFLTDIRESSTQRQGLVQLQETLLYETVGEKNIKLGNVYKGIPIIKKRLCCKKVLLILDDVDKLEQIQALAGGRDWFGFGSKIIITTRDKQLLASHEVDKTYEVKKLNHEEAFELFTWNAFKRKATDKGYFEICNNVVLYAEGLPLALRVMGSNLFGKTVEEWKSALAKYEKIPNKEVQNVLRVTYDNLEENEKEIFLDIACFFKGETVEYVEKTLQACGFFPKFGISVLTDRSLVSIDEYNRLRMHDLIQDMGREVVREVSPLEPGKRSRLWNHEDVIEVLTENSGTYRVQGMMVDLPDQYMVHLKDDSFKKMKNLKILIVRNGHFYGSPQHLPNNLRLLDWMEYPSSTLPSTFHPKKLVVLNLSRSRFTMQEPFKHLDSLTSMDLSHCEFLTKLPDVSGVPNLTELNLDYCTNLEQVHDSVGFLEKLIELRAYGCTKLKDFPHAIKLSSLKSLILNWCSSLQTFPTILGKMDNLISISIEGTGIEELPPSIGNLVALQELSMTSCLSLKELPENIDMLHNLRNLDIEGCPQLRSFLTKLRELGQSTLTFGNVQSLNLENCGLIDEDLPIIFNCFPNLASLVLSGNNFVTLPRCIQEYHSLEMLHLDNCKQLQEVISLPPNLQYINARNCISLTAESSNLLLSQETFEACELQVLVPGTSIPEWFDHITKGEYMTFWVRKKFPAIILCFALAIESEMKKSFNCEIRFYINSDEVYELEIPRCFSEMVTDHVWLYDLRTHPSIRLNDLESYLVDDWNQIEISCDKIIGPSNVTISWCGVHICREEDNMEDILFKDPDLDLDSSRETEKIDSDLDKNNDARIKSIGDFKNSKKDNDFYCFKNLEDYNNAASHTEMDHNQDKLLLHPKTLDSVTNDSMPLVCFGGKNVVEGSNMIASDHQAKAREKSKVTSFIQTPIANLDDADMEAFYAILDAETSILSLSNHNDIISESSNRIIPSEETKKALKTLQDFLTKDFSVLLRPNEYNTMKSTLDYLTNVPTEDGISVDIRSLVKEVSRQFTCWSHDYTNEGKKIEYTKAKLLKSDELEEGLEANKTLFREVKYLENELCNELEYLEDRKKELEELINGVRANIAASQEAKNMVVHTKREIFENAKILKVQRDELRGQVHCLRDEHELAKKIQANIRDEWAKLGEKFSKAAN >RHN39377.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6563499:6604947:1 gene:gene45395 transcript:rna45395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative midasin, sigma-54 interaction domain, ATP-binding site 1 MHFYFFVYCCVQGNLVTQEEVVNVLVGFFLHPSYTIPLMGCFRAIARKFVDKAVALLRLVPNLRSNTVDDAMEIDADIVLDDVANVVEYYVEQGRGLDLHEHVCLAFCRALDLHEQNLMSSVLSYFKFAPAPFERFSGKHVMVEPHGLHVASISYRFLVLNPEIFSKLWDWSCFLELEPCKSDLIWCRGEILKVVLKSGSRASESLNIEAQEASARWKEFCEDTLLEKAGCFVEPTADYVSDSPNSSLDFNQEICLSSLRFNHHPIGSPKVHGLQPPYRSKRLTTRDDISASCTFILTSAVKESYERVLLAASQKWPVLLVGPSGSGKSALISKLAEDSGNQVISIQMDDQIDGRTLEGGYVCTDRPGEFRWQPGSLTQAVQNGFWIVFEDINKAPSDVHSILLPLLEGKDSFETGHGKIKVADSFRIFSTVVSDLDSSESAGQDSLSVLWKKIRIRAPENEDLQEIVKVRYPDLGIHANKLIETFDRVSNSISMFQVAGCSSVRFSLRDLLKWCKRITGLRFCFDGSLSEEQCNSIYTEAVDVFATFPASFDNRLSIMKEIGKLWKRRDSAAETLYPLDKPIYQDSVPGLKIGRVLLQYTKTPSNERRIPFVEIRSSLFVLERIACSVKHNEPVLLVGETGTGKTTLVQNLALRLGQKLTVLNMSQQSDVADLLGGFKPVDEQFVYSHLYQEFMALFARTFPIELNSEVSNHLEKILNSKNWEKLLRGIQQGVGKTQPLIRSKKRKRPEELLQAWESFYMKFDNVCKSNLSSGMLFSFVEGSFVTALRNGEWILLDEVNLAPPETLQRIIGVLEGENGALCLAERGDIDYIHRHPNFRIFACMNPATDAGKRDLPFSLRSRFTEYFVDDVLDDNDLSLFISQFINSGHIQLVSKIVRFYKESKKESEERLQDGANQKPQYSLRSLYRALEYTSKAEREFGFQKALYDGFSLFFLTLLDGSSADLMRQKILSLLLGGNMPSDVPFHRYLSTFKSDGYSGNYVKTKSVQEHLGNLARAVLIKRYPVLLQGPTSSGKTSLVQYLASITGHEFVRINNHEHTDLQEYLGSYITDASGKLVFNEGVLVKAVRNGYWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIKAHPNFMLFGTQNPPTHYGGRKMLSRAFRNRFIEIQVGEIPDDELSKILGERCEIPLSYASKMVEVMKELRMHRQSSRVFAGKHGFITPRDLFRWAERYKKFGKSQEDLAKDGYYLLAERLRDEDEKSVVQEVLEKHFRVKLNIENLYGQILSGESSSYNSIVGLGGLKSLESVFMTKSMKKLYFLVERCFELREPVLLVGETGGGKTTVCQLLSACLQLKLHILNCHQYTETSDFIGGFRPIRDRFRLISNYEEIIEQLKRLKAFRCYPEDHLISSGVDQASLTIDLLNSMIRKYKEGRVCTADVIKEELYAFEQLKLNLDVLHRKWQSIFEWQDGPLVKAMKDGDLFLVDEISLADDSVLERLNSVLEPERTLSLAEKGGPDLEKVVAHSNFFVLATMNPGGDYGKKELSPALRNRFTEIWVPPVIDLDELQGIAMERIPKFKVPTYEEKLLCIVNAMISFWEWFNKLHPGRMLTVRDLISWVAFYNITEESLGPEHALLHGVFLVLLDGLSLGTGISKTDAGELRERCLSFLLQKLRVDESNLLYSKLSRMGNYGWGEYGTNVDVSHSDDKQHDDLFGIDPFYIKKGFSSCEDGGFEFKAPTTRRNASRVLRAMQLPKPVLLEGSPGVGKTSLITALGKYSGHKVVRINLSEQTDMMDLLGSDLPVESDEGMKFSWSDGILLQALQEGCWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGNTYKCPPSFRVFACQNPSHQGGGRKGLPRSFLNRFTKVYVDELVEDDYLSICKSKFPTIPEPLLSMLILFNKRMHEETMLNQNFAKDGFPWEFNLRDVFRSCEIIEGAPKPLEVHSFLNIVYIQRMRTAADRKEVLQVFNEVFKATPSINPYPRVQLNSDNLIVGNVSIKRNVTQFYTASSNQLLIQPKICQSLEAAALCVKRQWLCILVGPSCSGKTKLLRLLANLTGNVLNEVNLSSATDISELLGSFEQYDALRNLRTVVSQVEGYVNEYCSLQLKVLNGATFKETNLYRRWFDFSSKFDTLASASNYLENWRNIICSLSLLDEIIEKLKLCIEKNSLLLSYSIQDLDLVKHTILKLKADDQKRLVSTKFEWVTGLLIKAIERGEWIVLENANLCNPTVLDRINSLVEPSGSITVNERGIVDGNPLVIHPHQSFRMFLTVNPCYGEVSRAMRNRGVEIFMMEPYWALDDASVSSEIIELKDVKRFLTLAGIPFAQLIDSMARAHMYAKSEGSKLNVHLKYLELSHWVHLFRQLLMNGCRPIWSLQLSWEHTYLSSFYVDGEQIINFAKIKYLSVTGLCRYDPLTECPLGLPGGWPATLGLRDYIYYSKEASITQNCMYLEFLGTQFASHQYQIAQRRYSRDSLQTTADHVRPYLMDMRMLHDIMFPKTSVGIMPQCESEFEFNSELANKMLLFAANWTIEQATESDFKLYLLRFDWFSSQLQPFCQFFDNFHKLIGQIIKHPIWEYISCRSKLDVDMQLMPLLSLDLVDLAAPDSEIKYLCNAICCFDPLRLTYQQWITEIQYSFTDAVSCFLPVLKSLHVLEDEFLKKLVASTPKLIEDKSFDNLIQLYSDLIEDHVLFWRYFSSSMFDQMIISWHSLLKAAEKLMTICPEAVNHFLMESKNLERFSSSEKSLLWIHGGHPFLPTSSDLHDKNQQLLKLTEPLWPRKRANSSNQGILNIDQVDVVAFDHDLRFLVMQDISNSSFMIAKRSHEDDGVHIIEKLDEAYQVLSGRLEHEQNKLQMNTGTKDLSAYAENLASCCLSTPELLCQKSVFEGVQDTFPPADATSLFWDMELLKELTSVPLDELEGLHQVVGRLSYLLDSALKFSLSFSSRPPQMFSPHQKILWTLNAWTSMDAVNMKIASFVLEMWFNWHESLWACFPEFVKNFSKIEGFDNISIALPHTLIQPVCASTVLQITESSHAVKEFWVQSLKCRASLSNLWNCSHHGTYLPKFLLSAARALFQQIIYAHRKSFDADQYAAIKYNFSSFERNMATEESIHLVSTLVGSSRHHRLKNSVNKFIVPLLRELYIQSTTTDFNFNYTIGCAWAHIGALRIHLLLSYNEVDPVMKYYCKYTQLEETISSLELEIQVRKECGYLSGQFLTVEADKRKAERLEKLQAELRKLQRKIVFRSESWKYKKLMNECDEFLKHIASLEVLVGNVEAEDLQQVIDRTHSWQETAMCFINRLTDEYTAYNDIIQPIQVAVYEMKFGLSLVLSSFLEKEYLRKVGHENINLVTDMIYILMRFPRAASWKFISVEDVGVDLHSSYKLDFGTDFYLNMGLIERLITLSSGVSADKKVSAMRCRASIYWNILVQIAYSIANAKIIDRESYMLLHKIFDEFASLWMSMKVYAKSKSDHDAQQFKFKPRAFQIESIMELEIPALANSSATEAFSDWKEFSYEEKSADNMESSEECEILDEEWKHLEESILDNVVLIHSQLFGSGDLVQAPGIFEISDEDRLHSFSESYKLGINLIKGAHSSNLASLDAKLIPEHLFYLSIDYRRKFHSSSKSANRYNFYKDSNAHEIEQMLKVLAPLRQQITSLLNEWEEQNDLQRFLDVIDMLLTLPSDIPLAKAFSGLQFLLHKAQVMQENHSKFTFSNQLKSVFDLMSSWHKMELGSWPVLLDEVMDQYENNAKKLWFPLYSLLLSTTSDQSIFQSLEDFIQTSSFGEFRKRLQLLYAFLGQNHTSACLKINSSCCRTEQSTFLYNMFGFYVQFLPTVSKHIDASRKEILTELKDLVKLCRWEQDKSYSSIENLKKSRQKLKKLIKKHTDILQEPMDKLIRDVLHKGSISGVSDLPLFSDENRLIWFENCSMALDHAFENLLLKKTSAFDVLLLQQKNAKEVGSTLRSCDSQRTLYQEGWKDVWHMIENIYIKAVDSGNIWKEDKNNQRKSRALSDLLNLLKTSGLSQRKSTHKDERKPWWFLQLSGNMECLLLENSRFASPSLEIDGKVKNKDAPEESPLTEWKTAIEHYFKSVMCVRLLQEEICLDPHKDIAPQQVTRSSSFLSQLVQIQQDQLAAASVFSEKLKCFREFATTMGKLFSFSSPTDNSKSYMCSIVPPNQLATYKCMWQQKQLFDSLCATSNGELLLLRILENSHLNTCQRTRPSASEMTASIEEFLPVFCKSKESLDCYLIGGSKAVTTIASSHLSVVTQEMEQLVSENFKVIKDFKDHFLVLQANGMDRSSVKNVLIHHFQEIIDKAKSIEEEFITAKNENSNPVDLSEKDHFCGRQCAEPNARFDEALKSTYQHITSVLQSLCSPSTIPSMINLGSWELQFVANLSLDMLCDDLFKTITFGAKLVNCCDNNISSSSKVGAHFQNLHTLVDLLLKFSDELLKNFFAMHKSVAVTTHVIANILVSLFSKGFGRLTENQEDDGTLDKSEDASGTGMGEGVGLNDVSDQITDEDQLLGTREQKKEEQEESKEVPGNDNTGIEMDQDFQADAVSLSEDSSENEDCDGENEELESEMGPTGPDSEAVGEKIWDQNEDETPDDTGEKYESGPSVKDGDGSNKELRAKDDSTSDQSGDDSCDEGDAQNDEAAAQNEFDDEENGDDVNMDKEAAHSDATGLKPDEPDHSSDMEIDLNANEDVDPIEEGDQEGHDDSAENGNQEDETCPPDEIMEEAHTEVDVSSEKDDLGQEHQENDDMNSMDPKNDTSESSDVVNPQVSNVDLASQSKSDLQTSGSENIASQSNLSNSHHDFGNPAVTGGFPSSDMSEMDINMSDSSNTGGFSKTQPKSHLPQHEHSFSQEKQTNPSRSTGDALDFRKEKINVSGDLPEDNIEHHGEMDDNNADEYGYVSEFEKGTTQALGPATLEQVDRNIDVDKVDTESRAGEDANLQLEKQNSEIDSVSNSSLLPKNEKRDQANMPVMEKSQDDGSVKPLGSANIDPESHLEDLISISRSYLGENTHKLSQLSVNDEELGKYHEPCDAPDHVKDNATALWRRYELSTTKLSQELTEQLRLVLEPTVASKLQGYYKTGKRIHMKKVIQFIASYYRMDKIWLRRTRPNKRDYQVVIAVDDSHSMSESCCGDVAVEALVTVCRAVSQLEMGSLAVASFGTKGNINLLHDFDSPFTAESGVKMVSNLTFKQENTIADEPVVDLLKFLTNKLDAAVVKARLPSGHNPLQQLVLIIADGRFHEKDNLKRCVREALASNRMVAFLLLDNSQESIMDLMEASFEGGKMKFSKYMDSFPFPYYIVLRNIEALPRTLANLLRQWLELMQHSNY >RHN47877.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43225130:43227117:-1 gene:gene42526 transcript:rna42526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MALLRHFLLSFTIVIISFVACNSNLQEDPLITTYVDDSPSYDDSDTLLFKNMIEQNTNDVLCLDQFGVSSRSLKIVNVRDYGAKGDGHTDDTQAFKKAWEVVCSSGQSVLVAPQDYNYLLKPIRFSGPCKSNISVQISGSLEASDNPSDYSEDQRHWLVFVEVQQLLVNGGGTIDGKGNIWWENSCKRNKKKPCKDAPTALTFDSCKDLIVEDLTIQNAQQIHVSFQDSTNVKASGLKVSSPGNSPNTDGIHITNTQNIKISSSIIGTGDDCISIVDGSSNVEATDITCGPGHGISIGSLGAGKSKDFVSGVLVNGAKISGTTNGVRIKTWPVILNF >RHN54057.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:6587548:6590967:-1 gene:gene29036 transcript:rna29036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MEWTTLQHLDLRHVGHGVVPFQPHAACFHSHQALIAVAIGTYIVEFDALTGSKISALDIGAPVVRMAYSPTSAHTVVAILQDCTLRSCDFDLEQTCVLHSPDKKSDKVYSETEVHLAMTPLQQVVFFGFHKRMSVTVVGTVEGGRAPTKIKTDLKKPIVNLACHPRLPVLYVAYADGLIRAYNIHTYAVHYTLQLENTIKLNGACAFAFHPTLEWIFVGDRRGTLLAWDVSIEKPSMIGITQVGSQPITSVAWVTTLRILVTLSKDGNMKVWRLRVLVNPNRPPMPANFFEPAAIESIDIPRILSQQGGEAVYPLPRIKAIEFHPKSNLAALVFAVKCHLSLFKPSWIVNIGYINLSFITTECNKWRYFKKQDRKQ >RHN58661.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:3742837:3746654:1 gene:gene20593 transcript:rna20593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PRONE domain-containing protein MVRAIEQEQENNKSKLFNSRGMFENSGRHTKSLSIESASTLDPTSEDDPASSRSQGSKPLNDSERVLAEKSRTIKEEILAKEAKEKISQEMEQMKERFAKLLLGEDMSGGGKGVSSALALSNALTNLAAAVFGEQKRLEPMPPERKARWRKEIDWLLSVTDYVVEMVPTQQKSKDGSIMEIMTTRQRTDLHMNIPALRKLDAMLIDCLDNFKDQSEFYYVSKDADDPDRSNGKNDDKWWLPTPKVPAEGLSEAARRFLQYQKDCVNQVLKAAMAINAQTLSEMEIPESYIESLPKNGRASLGDIIYRNITDEFFDPDQFLGTIDMSSEHKILDLKNRIEASIVIWRRKMNQKDSKSAWGSAVSLEKRELFEERAETILLLLKHRFPGLPQSSLDISKIQFNGDVGQAVLESYSRILESLAFTVLSRVEDVLHADNQTQNPTQGRRSSVRNPVPKPDKCPTPRDVDRSDAETPCSMTLSDFMGWSNDQAESFIRRDPFAVSDDLDKDIDTEKLPKLQPIVTDKKASYLENIGGLRSPTSRH >RHN76148.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48010151:48014584:-1 gene:gene12431 transcript:rna12431 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial carrier protein MMTDKRHQYPTVAQKFGLRSNLSPHVANSYRGSEKPVLFQQQFSSSNAVFVPSPSEKSFASFATDFLMGGVSAAVSKSAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFGRTVKDEGFASLWRGNTVNVIRYFPTQAFNFAFKDYFKKLFNFKQERDGYWKVFAGNIASGAAAGASSSIFVYSLDYARTRLASDAKSTKKGGERQFNGLIDVYKKTLQSDGIAGLYRGFNVSVVGIIVYRGLYFGLYDSLKPVLLVGTFQDSFLASFALGWAVTVGASVASYPLDTVRRRMMMTSGEAVKYKSSLDAFSQIVKTEGPKSLFKGAGANILRAVAGAGVLASYDKLQVLMLGKKFGSGGA >RHN79408.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:28569174:28570370:-1 gene:gene3196 transcript:rna3196 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNNSTDSSGFFGSNDISGFGYGIGISIGILLLITTITLTSYFCTRSQVPNPPRRRNNNNTSEFLEPQHSILDFGLDEETIMSYPKMLYSEVKLNKYDSTSTCCSICLGDYKGSDMLKVLPDCKHMFHLKCIEPWLRIHPSCPLCRTSPIPTPLSTPLAEVVPLATRRDS >RHN69931.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47404446:47407436:-1 gene:gene18464 transcript:rna18464 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSYHRRRHRTWCCSFAVPPSSPDNPPIKPRKPEPISKPTTFSSSIPNSPQSSKSTTRIARIDPRRILSPGRVSPIEDSTAVPDQNPSPVLNSPPSRSRSFRAPITAPIPPPPMNSAVGVSVGDGFDVRMSLRGKNGGSIVLELNSAILCANSEVFAGLIADYRKGNSSSGGTGVNKMCRIEVPEVDNLGVFRETIELMFEDDVAKRLLNIGVYRSIDVLEVSAGIMFTKGVLSCLQYLEAVPWTEEEEEKLRNLFTRFKFDDETTRDILGRLYLHNPEDSQPNVTRHLVWSITTCVNANARNELKSLVKGLLCKSSVYEKNHLDLSKDDLYSVCHSCIDSLISLFEEASDSVNPERLTKKDTNKPMIEHISRQVDNINWLLEIMLDGQIAEDFVDIWSDQHQLLKMHNNASPMVRYELSRVSAVLFVAMATRKLQCRLEARSGLLQAWFSPMLLDFGWLQRCRKGLDIKVLQEAMGQTLLTLPLKQQHTLFMEWFHHFSRHGTECPNLSKAFQIWWRRSFLRGSETYAIESR >RHN54890.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:13283481:13284907:-1 gene:gene29988 transcript:rna29988 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamyl-alcohol dehydrogenase MLLLLFRETGEKDVAFKVLYCGICHSDLHMLKNEWGSSIYPLVPGHEVSGEVTKVGSMVTKFKVGDKVGVGCMVGSCHSCQSCGDDLENYCSKMILTYGAKNIDGTITYGGYSDTMVAHEHFVIRIPDALPLDAAAPLLCAGITVYSPLKYFGLNKPGLHVGVVGLGGLGHMAVKFTKAFGANVTVISTSPNKKKEAIEHLGADSFVVSHEQDQMQAVMGTFDGIIDTVSALHPLMPLMGLLKNHGKLVMVGAPEKPLELPAFSLIGGRKIIGGSMIGGIKETQEMIDFAAKHDVKPDIEVIPIDYVNSAMDRLLKADVKYRFVIDIGNTLKASS >RHN78866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:19396393:19396854:-1 gene:gene2528 transcript:rna2528 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MANDFSNKRHDVQYSVACRVCDQVFRSNRALVTHMESHEGASIRRHYSEPFNPQGQFLWYSSPPGFHVPYDTQNMNDARIFQPQPHPRAMLQLTRNQIPSHVLPNPPVYQLEHLEEESSNDGTKPYIVQLEKPIKKKDDDNSAVPMLDLTLKL >RHN52328.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33994335:33999078:1 gene:gene36991 transcript:rna36991 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRTALNLTATVLPSSARSCKPSMFVGRSSVSLFRSNLPLSCKLHTSVPNSKQGNGRKLSVGNRRGLVVRAESSSAESSRSSSNIAPLKLESPVGQFLSQILVSHPHLMSAAVERQLEQFQTDRDGYEQKEKPSASGTDLVLYRRIAEVKAKERRKAIEEIVYTLVVQKFMDANVSLVPSITANPSGQVDSWPSEDGKLEDLHSPEAYELIQSHLALLLGNRSGDSKSVAQISKLRVGQVYAASVMYGYFLKRVVQRFQLEKTIKNLPDAAEENTISQTLEDETRNAGLEFPSQVMSHPEVPAWLESGISSGANDQEITVSRLRSYMMSFDIETLQRYATIRSKEAVSIIENHTEALFGRPEIVITPEGKINSSKDEIIKIRIGGLKRLVLEAVTFGSFLWDVESYVDSRYHFVLN >RHN73343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15516074:15517661:-1 gene:gene9144 transcript:rna9144 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKNVLENDTGYSGSPPAHTTNSGDNKENVLKIEGYSGPPPENTKPKDKINSISNQKGYSPPPPKKK >RHN41375.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:29619527:29619914:1 gene:gene47693 transcript:rna47693 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLFISFLLISMVMLNQNNLVEGEISFKDIEKRSRKNHHHYNDTEGNYTRGCSPINRCRGRDDHQNHNE >RHN59691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12654945:12656300:1 gene:gene21778 transcript:rna21778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative H(+)-transporting two-sector ATPase MPNIYNALIVQGRDTVGQEINVTCEVQQLLGNNRVRAVAMSATDGLKRGMVVINTGAPLSVPVGGATLGRIFNVLGEPIDNLGPVDTGTTSPIHRSAPAFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEKNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLGTEMGTLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFKLILSGELDSLPEQAFYLVGNIDEATAKAANL >RHN45875.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:26419338:26422626:1 gene:gene40297 transcript:rna40297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-DLSV family MNFNNYKNMFMFFILTMLCFNFLDVSDAIDTITSTQFIKDPETLSSKSGNFTLGFFSPENSTNRYVGIWWQPHFTILWVLNRDQPLKDSSGVVKISDNGNDLVVLNGKKEVIWTSNAPNVATNSSSKLLDSGNLVLLEGTTERTMWESFQHPSNVMLPNMKLTSNKITGEKVKQTSWKTPYDPSIGSFSLSVERLTIPEVFIWNENQPYWRTGPWNGKIFTGLPYMTTHYLGGLHVGDDGEGNVSFFQITSDTVGLIIYNLSSEGNCEEKWWDEKKKEWKVTWNSHEMECDVYGVCGHFASCNSQSSPICSCLKGFEPRNKEEWNKQNWTEGCVRRTPLQQCERYRNQNTSEDSNADGFLKLPMVKVPDFADGSSLTLSSETCKSQCLENCSCVAYSYDADIGCMSWTGNLVDIQKFSNGGLDLYIRVAHTELGKHSTIRSDNAIGELSQVKLQELLLFNFGKLATATNNFHSSNKLGQGGFGPVYKGTMHDGQEIAVKRLSKASGQGLKEFMNEVAVISKLQHRNLVKLLGCCVDGEEKMLIYEYMPNKSLDAFLFDASKSKILDWRKRFSIIEGIARGLLYLHRDSRLKIIHRDLKPSNILLDNELNPKISDFGMARIFGGSEDQENTRRVVGTYGYMSPEYAMQGLFSDKSDVFSFGVLLLEIISGRRNSSFYDCDSLTLLGFVWIQWQEGNILPLIDPEIYDHIHHKYITRSIHIGLLCVQEFSIDRPTMAAVISMLNSDIVDLPPPKKPAFILKQNMLSSVSPEENNDDFYSVNLVSISDIHGR >RHN61253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34166363:34172025:-1 gene:gene23694 transcript:rna23694 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl-diphosphooligosaccharide--protein glycotransferase MAKLIIFLFLTLIPILCNSFTPDKPSDRRILVLLDDFAIKSSHSLYFKSLQSRGFDLDFKLADDPKIALQRYGQYLYDALVLFSPTIERFGGSIDAAAILAFVDSGHDLIVAADSNASDLIREIATECGVDFDEDYGAVVVDHAGYAVSATEGDHTLIASDDFIKSDVILGSKKIEAPVLFQGIAHSLNPSNSLVLKVLSASPTAFSANPKSKLTSPPSLLGSSISLVSVIQARNNARILISGSLSMFSNRFFNSGVQKAGSPTKHDKSGNEQFLTEVSKWVFHERGHLKAVNVQHHKVGETNEPSIYRINDDLEYSVEIYEWSGTTWEPYVADDVQVQFYMMSPYVLKTLSNDNKGRYFTSFKVPDVYGVFQFKVEYDRLGYTSLSLAKQIPVRPFKHNEYERFIPAAYPYYGAAFSMMAGFFVFSAVHLYNK >RHN69924.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47365543:47365927:-1 gene:gene18457 transcript:rna18457 gene_biotype:protein_coding transcript_biotype:protein_coding MLNICCCKCFYWIIWVVADSFRLFGCWLDVFVLFFLIDEVLYLNFVGLP >RHN58344.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:994111:995784:-1 gene:gene20249 transcript:rna20249 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNGLEPNVQTFNMILFSSFEVKELQMVRQLLKDMIDSRIKLSDRNFFNLRKFQCNWNLLTDMGDLGLLSSKALHAESVKANYKHCAEVDTQCNSSSKDISDVAVSVSNYKSCWSACVFIETVNCPFRAGLWKQGRDIKVLKAEHVSSEIQIEFAQEVDIMSMLHSLNYYFSLKKYRSFASNWSILARTSLCFFQERNRRFSRKGIWKSPTRAVNEDVSSDVLYVEQDYTKGVELY >RHN75347.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:41468605:41469124:-1 gene:gene11532 transcript:rna11532 gene_biotype:protein_coding transcript_biotype:protein_coding MTFISTVIAPKCKQYAYNGEGDGDWFGYTCVSCIEEDYTNGDRNLYREGDDDDDGGYDYAPAA >RHN73672.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18729722:18734339:1 gene:gene9518 transcript:rna9518 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LSM domain-containing protein MSWAAPDELLLSTSLATYLDKKLLVLLRDGRKLLGLLRSFDQFANVVLEGACERVIVGDLYCDVPLGLYVIRGENVVLIGELDLGKEELPPHMTCVSEADIRKAQKAERDASDLKGTMRKRMEFLDFD >RHN69813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:46446086:46450470:-1 gene:gene18329 transcript:rna18329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative potassium transporter MDLEPGRKCWDTSKRGDWKTILLLAYQSLGVVYGDLSISPLYVFTSTFAEDIEHSETNEEIYGTLSFVFWTLTLIPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLLPNRQHADEALSSYKMEEPPEKEYSKFKMLLEKYKTLHTALLIVVLLGTCMVIGDGVLTPAISVFSAVSGLEVSIMSKKHHQYAVIPITCFILVCLFALQHYGTHKVGFFFAPIVLTWLLCISTLGVYNIFKWNPHVYKALSPYYMFKFLKKTRISGWLSLGGILLCITGSEAMFADLGHFNYMAIQIAFTFLVYPALILAYMGQAAYLSQHHNADLRISYYVSVPESVRWPVLILAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSEKIHGQVYIPEINWMLMILCIAVTIGFRDTKHLGNASGLAVMTVMLVTTCLTSLVIVVCWHKPPILALFFLLFFGSVELLYFSASLTKFREGAWLPILLALFLMIIMLIWHYATIKKYEYDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTTGIPANFSRFVTNLPAYHRVLVFVCVKSVPVPHVTPEERYLVGRVGPATHRSYRCIVRYGYRDVHQDVDSFETELVKKLSDFIQYDWYRTRGNSMSIEDDGSHSNESSSYRLTVIGTTSFCSQQGYESQQSVQPGSVSVGFPSVQSLTDVIEMEPMDAAPERRVRFAVDDDHESETRSEVGVQMQEELEDLYAAQEAGIAFILGHSYMKTKQGSSFIKKLALNYGYNFLRRNCRGPDVSLKVPPVSLLEVGMVYIV >RHN52024.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:30560387:30560838:1 gene:gene36638 transcript:rna36638 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRKPDCEVILGAVKLNSRGLYKALQINKRGRLQTLHRHYMQQREELWG >RHN48076.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44763809:44769093:-1 gene:gene42748 transcript:rna42748 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcription regulator BTB-POZ family MDLRNNTQSLKRKLDWTEEDEVEEVTTKPRRLDLPTDISNRIHLLKSSFSSSCSDRSSANCALFVLSEFAKDEDIVDVIVNCGAVPVLVEILETAGDCDADSYKYDMEKDCAFILGLLAVKPEYQRLIVDAGALSYLVELLKRPKSPVISQALFSGLLRRAADAITSLAHENTNIKTLVRLDGGIPPLVELLEFNDTKVQRAAAGALRTLAFQNAENKNQIIECNALPTLVLMLGSADASIHYEAVGVIGNLVHSSPEIKREVLLAGALQPVICLLSSCCLESRREAALLIGQFATTDSDCKIHIAQRGAINPLIEMLKSSDLQLREMSTFALGRLAQDSHNQAGIAYNGGIEPLLDLLDTKNGSVQHNAAFALYGLADNEDNVAVIIKAGGFQKLHDGHFNAQPTQECVTKTLKRLEEKMQGRVLKHMLYLMRTGEKDLQIRVALALAHLCSPDDRKTIFIDNNGLELLLNLLQSTNPKQKGDASAALHTMAMKDMCSSIVDPAPTSPTPQVYLGEQYVNNPKLSDVTFIVEGKSFYAHRVCLLSSSDTFRAMFDGGYREKEAKNIEIPNIKWDVFELMMRYIYTGAVDIDLDLAPDLLKAADQYLLDGLKSLCEYAISEDISVENVSQMYVMSDTFNATSLRYACILFVLEQFDNLSTKPWYRRFLHCIVPDIHKFFSTLLTHPHLANLCRRADP >RHN81429.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:45855769:45857630:1 gene:gene5468 transcript:rna5468 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKADKPVGTQLFGQAKKEATPKASDKGQASKSASKKAHQKPQDLNKKKGKGSKPAAKH >RHN76745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:937628:938074:1 gene:gene118 transcript:rna118 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MVYGKDAASETQLHGDPNRFFMVYGKDAASKKQLHDDPNRFFMVYGKDDASETQFLHDNPIVALFFLEKDLHLGTKLNMQFKKTSNYEVTFLPREVANSIPFSSNKVEKILNHFSIKQGSKESKIVKNTIIVSVKKMTSKESKRVRVL >RHN80020.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:34376005:34380820:-1 gene:gene3893 transcript:rna3893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MAKGRADGNPRKRLITTVFVLFIVGGLVYLYSRNSGSLSSIEYTSKSLDTGGGDNIVVPRTIPVCDDRHSELIPCLDRNLIYQTRLKLDLSLMEHYERHCPMPERRYNCLIPPPPGYKIPIKWPKSRDQVWRANIPHTHLATEKSDQNWMVVKGEKIVFPGGGTHFHRGADKYIASIANMLNFPNNIINNGGRLRNVFDVGCGVASFGGYLLSSDVLAMSLAPNDVHENQIQFALERGIPAYLGVLGTFRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRILRPGGYFVYSSPEAYAQDEEDRRIWREMSALVGRMCWKVAAKKNQTVIWVKPLTNDCYLKREPGTQPPLCSSDDDPDTVWGVKMKACITRYSDQVHKARGSDLSPWPARLTTPPPRLADFNYSNEMFVKDMDVWQRRVSNYWKLLGNKIKPDTIRNVMDMKANLGSFAAALKDKDVWVMNVVPENGPNTLKIIYDRGLLGTVHNWCEAFSTYPRTYDLLHAWTIFSDIIERECSVQDILIEMDRILRPKAFVIIHDKRSVVMSIRKFLPALHWDAVTKSNVEQDSDTGEDDAVLIIQKKMWLTTESIRVSEK >RHN68648.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37433068:37437198:-1 gene:gene17026 transcript:rna17026 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proteasome endopeptidase complex MLDTTALESFPSLISHGINVAGEISAPPSFQLPNSNDFDGFVKETIQMVKPAKGTTTLAFIFKEGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGNRFSVGSGSPYAYGVLDSGYKYDMSVEEASELARRAIYHATFRDGASGGVASVYYVGPTGWKKLSGDDVGELHYHYNPVTPSTVEQEMVEAAGP >RHN74962.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38199382:38200939:-1 gene:gene11103 transcript:rna11103 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATPase, AAA-type, core, AAA-type ATPase domain-containing protein MIEISTMFDTKPLVSAMASIMLMRTITNELLQFFQAGLHHLFRQSSAQFTIIIEEFQGMARNQVFDAAQAYLGTKATVSVERVKVSKSGDRKELSFNIDRNEEVSDVFEGISVKWKLICIEVDSSRIRSYDDDSSAVSEIRSYELTFHKKHKDKIIDSYLPYVMEIAKQIKQGDMANKIHSNEYGSWRHDVKFNHPMSFNTLAIDEELQRDIVNDLDKFVRAREFYRRTGKAWKRGYLLYGPPGTGKSSLIAAMANYLNYDIYDLDLTDVGDNKTLKQLILSMSNRAILVIEDIDCTINLQNREEEKEVVNNGDNKVTLSGLLNATDGLWSCCGEEHIIVFTTNHKERLDPALLRPGRMDKQIHLSYCNFSGFKQLVVNYLCITEHELFEKIEVLLGEVQVTPAEIGEELTKDCDATECLQDLIKFLQAKKMIKEEVKNEENIQEPEPKKMIEEETKNEENIKEEGELGSENI >RHN60991.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:32104718:32106250:1 gene:gene23397 transcript:rna23397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin fusion degradation protein UFD1 MLFELRNTSAERTTHCGVLEFTADEGIVFLPNWMMEDMLLQEGDLVSLKSTSLEKGKFVKFQPHSKDFLDISNPKAMLETSLRSYSCLTTGRTIMIPYNNKKYYIDVVETKPSPAISIIEIDCEVDFAPPLDYKEPEKPLPSDLSHKKHRQVEEEPPTKVPKLIPFSGSGRRLDGKPSAQSIEQTSTPIIKQQQTENKTNSSIRTPGKLVFGSNANASNVQTQPKASLKSASQESSKKTDTPQFQAFLGKKYSLR >RHN56136.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:28081597:28086330:1 gene:gene31487 transcript:rna31487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MDKFCCLKSSFSKLVSTRSSCSTGKGKNHEGSIKYGFTLVKGRANHPMEDYHVANFVQVQGKELGLFAIYDGHLGDQVPSYLQKNLFSNILKEGAFWDDPAASISKAYLNTDQAILSHSSDLGRGGSTAVTAILINGQMLWTANVGDSRAVLSRKGQAVQLTTDHEPNTERGIIENKGGFVSNIPGDVPRVNGQLAVARAFGDKSLKSHLRSDPDVHSTDVDVDIDFLILASDGLWKVMANQEAVDIARKVKDPLKAAKQLTAEALKRESKDDISCVVVRFR >RHN39175.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4684149:4684271:1 gene:gene45176 transcript:rna45176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MNGQTLEHALLAFTVGVKQMICCCNKVFIFDYFIIHLYKY >RHN76192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48440250:48440630:-1 gene:gene12478 transcript:rna12478 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L18 MATTKTNHHVLQLILSCRKITAQVTNPTTSSIIAMASSSEQEFLSHNRSVLNRFPRSHRFWDAKIASRVGEKLAFRLKDIGITGVQIDANEELSHPLHYRTMVSPLFRSVQRVCVHVFGADNLPSI >RHN45721.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24976172:24976465:1 gene:gene40109 transcript:rna40109 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTHDRYFIISTPVFLPKSSVVKWRSWHRHGRILYNGRSHFVKGCPPWWRHKLQWRVYIAVYWPSAYSAINNTAPKLTYKLLTIYSKNLMDYTKR >RHN74093.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:27529903:27533841:1 gene:gene10069 transcript:rna10069 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MSVTDYAAKFVELAKFYPHYTAENAEFSKCIKFENGLRADIKRAIGYQQLRVFPDLVNSCRIYEEDPKAHYKIVNERKGKGQQSRPKPYSAPADKGKQRLVDDRRPKKKDASEIVYFNYGGKGHKSNVCPEEIKKCVRCGNKGHIVADCNRTDIVCFNCNGEGHISSQCTQPKRAPTTGRVFALTGTQTENEDRLIRGTCYINNTPLVAIIDTGATHCFIAFDCVSALGLDLSDMNGEMVVETPAKGSVTTSLVWSKCPLFMFGRDFEMDLVCLPLSGMDVILGMNWLEYNHVLINCFSKSVHFSSVEEESGAKFLSTKQLKQLECDSILMFSLMATLSIENQAVIDKLQVVCEFPEVFPDEIPDVPPEREVEFFIDLVPGTKPVSMAPYRMSASELSELKKQLEDLLEKKFVRPSVSPWAAPVLLVKKKDGSMRLCIDYRQLNKVTIKNRYPLPRIDDLMDQLVGTRVFSKIDLRSGYHQIKVKDEDMQKTAFRTRYGHYEYKVMPFGVTNAPGVFMEYMNRIFHAFLDRFVVVFIDDILIYSKTEEEHAEHLKIVLQVLKEKKLYAKLSKCEFWLKEVSFLGHVISGDGIAVDPSKVEAGSQWETPKSVTEIRSFLGLAGYYRRFIEGFSKLALPLTQLTCKGKAFVWDIHCENSFSELKKRLTTAPVLIFPKSDETFVVYCDASKLGLGGVLMQEGKVVAYASRQLRIHEKNYPTHDLELAAVVFVLKIWRHYLYGSRFEVFSDHKSLKYLFDQKELNMRQRRWLELLKDYDFGLNYHPGKANVVADALSRKTLHMSAMMVRELKLLEHFRDMSLVCEWSPQSVRLGMLKIDSEFLKSIKEAQKVDVKFVDFLVARDRTEDSDFKIDDQGVLRFRGRICIPDNEEIKKMILEESHRSSLSIHPGATKMYHDLKKIFWWSSLRRDVAQFVYSCLVCQKSKVEHQKPAGMMVPLDVPEWKWDSISMDFVTSLPNTPKGNDAIWVIVDRLTKSAHFLPINISFPVAQLAEIYIKEIVRLHGVPSSIVSDRDPRFTSRFWKSLQEALGSKLRLSSVYHPQTDGQSERTIQSLEDLLRICVLEQGGTWDSHLPLIEFTYNNSYHSSIGMAPFEALYGRRCRTPLCWFESGERVVLGPEIVQQTTEKVQMIQEKMKASQSRQKSYHDKRRKDLVFQEGDHVFLRVTPMTGVGRALKSKKLTPKFIRPYQILEIVGTVAYRVGLPPHLSNLHNVFHVSQLRKYVPDPSHVIQSDDVQVRDNLTVETLPVRIDDRKVKTLRGKEILLVRVVWTGATGESLTWELESKMLESYPELFA >RHN76257.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48930229:48935916:-1 gene:gene12550 transcript:rna12550 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIKKLKFYFHKLMVMLAISKEQDNKVKFSNDINFNTFYKPTIEEECIGLKVALSCKYLVQNNSDTEDEVEDSLLPKKPEVVMLFSSPFTDSDRDLAATKLQKVYKSYRIRRYLADLAVVCEELWWTGSETSAFQKCLISHFDSDKSESAISKWATARTMAAKMGRGLSKDDNAQRLARKHWLEAIDPRHRYGHNLHFYYDVWFKCQSSQPFFYWLDIGDGKKVNLDICSRKKLQMQRINYLGPIEREAYEVIVEGGKLVYKQSNNLVHTTDGSKWIFVLSSSRVLYVGQKEKGKFQHSSFVAGAPTIASGRIVAHNGVLHVIWPYSGHYRPTEKNLKEFIRFLEEHHVDNMTNVKKHPVDDDIIPPNKPVVEELHFEYIENVGNFDTNVENNKALSSKWTTGVGPRIGYVREYPPKLQLQALEHLNPSPRVNNGTFEDKTTIPSLRASPEVHLSHRLENMELI >RHN40936.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:24675801:24685246:1 gene:gene47184 transcript:rna47184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AAA domain-containing protein, R3H MILRFPLPLHLHLHLNQLSVPNSLSPKRFITPHIFSHHPPLRSLHRTVPVLRVSSSYPAGLDETDIELGRLLSLLPEEMRRRVSEHPEVQMLIEVVMDLGRKPLARFPSGDFVISEYPITVEDIQYATAQVGDFAVDNRAGISRTLHRISAIRNRKGTIIGLTCRVGRAISGSAKLLQDLVQDGSSLLLIGPPGVGKTTIIREIARMLANDYNKRVMIVDTSNEIGGDGDIPHAGIGTARRMQVPNSDMQHKVLIEAVENHMPQVIVIDEIGTKLEAMAASTIAQRGIQLVATAHGITIENLISNPSLEMLVGGIQSVTLGDEEASRRGVQKTVLERKGPSTFSCAVEIISKTQLRIHRSLEATVDAILSGRLPNVEVRKMKSQEQEEILQKGPVINSPLENGGEIMLEDAPERADVQTRQDESPLMLPIDMLEDSWEHRLPLRLFCYGILEATVIQGVKQLKMNDADLQLTDNISEANALLALQSKLKKNSKIQAAFKSNDIPIYVTKTSSLEHVTKAIRALLSDYEDGLIVFGSIDKIKDSEKADALEEARMAIEHVVIPKGEPVDLLPRSYPVVSLQLDLVRSYQLEARRICRESDVHHLRILPSHYGSDEMITSETSEFDDESEFDDFTSSNGSSNGSLNNFNRLPLLPE >RHN78974.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:20472615:20476733:-1 gene:gene2648 transcript:rna2648 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NOOT2 MSLEETLRSLSLDYLNLLINGQAFSDVTFQVEGRLVHAHRCILAARSLFFRKFFCGPDPPSGLDPIGGGSSRQPTVRPGVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEPRPNCGERGCWHTHCTSAVDLALDTLAAARYFGVEQLALLTQKQLVSMVEKASIDDVMKVLIASRKQEMPQLWTTCSHLVAKSGLPPEILAKHLSIDVVAKIEELRLKSSLARRSLMPLHHHHHHHHHHDFGDLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNYPAGPAGKTSLHVAAEMVSPEMVAVLLDHHADPTVRTVDGVTPLDILRTLTSDFLFKGAVPGLNHIEPNKLRLCLELVQSAALVLSREENNASNNNNNNNNASSSAAPVYPPMSEDHSSSSSGNNNNNNNSIGNLNLDSRLVYLNLGATQMGGDDDNRHNNSHREAMNRQGGHGCDPSMYHHSHDF >RHN68778.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38453371:38464418:1 gene:gene17173 transcript:rna17173 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pantothenate kinase MADFVEDEQQQQQVLGIDESEGHNNTNNMAPTGNPIHRSSSRPQLDVSKAEIQSNVEDKYPTILLPNQSDDLSHLALDIGGSLIKLVYFSRHEGQSDDDKRKKTLKERLGLSNGNRRSFPILGGRLHFVKFETGKINECLDFIHSKQLHRGLESRYSDTEADRNAIIKATGGGAYKFTDLFKEKLGVSLDKEDEMNCLVAGANFLLKAIRHEAFTHMEGQKEFVQIDQNDLFPYLLVNVGSGVSMIKVDGDGKFERVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQKGDNRAIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISEKKELQDYRPEDISLSLLRMISYNIGQIAYLNALRFKLKRIFFGGFFIRGHAYTMDTLSFAVQYWSNGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFLQKGTEITAPVPMTPVAGTTGLGGFEVPLSKGSALRSDASALNVGVLHLVPTLEVFPLLADPKLYEPNTIDLADPSELEYWLTILSEHLPDLVDKAVASEGGTDDAKRRGDAFARAFSAHLSRLMEEPSAYGKLGLANLLEMREECLREFQFVDAYRSIKQRENEASLAVLPDLFVELDSMDEETRLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMRRPWRVDDFDLFKERMLGTGDKKKAPHRRALLFVDNSGADIVLGMLPLARELLRRGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDILRRAAEAGGLLVDAMINTDSSKENSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLIILEGMGRSLHTNLYAQFKCDALKLAMVKNQRLAEKLIKGNIYDCICKYQPAS >RHN39184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4819329:4821309:-1 gene:gene45187 transcript:rna45187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-DSLV family MKSKHQIFSYTEILNITDNFKTIIGEGGFGKVYIGILQDLTQVAVKILSTSSKQGYKEFQSEAQLLMIVHHRNLVSLIGYCDEDENKALIYEYMTNGSLQQHLFGLEYLHNGCNLPIMHRDMKPSNILLDDNMQAKIADFGLSRAFANDVDSHISTRPAGTRGYIDPE >RHN53196.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:42758222:42769789:1 gene:gene37975 transcript:rna37975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative MT-associated protein TORTIFOLIA1/SPIRAL2 MKTNNKLSSNFEMKQRVHNALNKVGDRDTHDIGTKELETILHSLTPHSISPFLSCILDIHSELKPSIRKQSLKLIASLATVYESLILPHLTKIVASVVKCFRDPDSSVREGCVEIFSVLALKLANQGNEDKVFVLLSSPIFDALVGEQNKHVQSASAFCLAGIVQNTRNPPVSVLYGMLIRILKLLKNPHFMAKPALIELNRCIIQAGGASTQSVLLTAVGSIREGLNDTDWKTRKAASVALGQIALTRASFLSSLRASCIHSLDSSRFDKVKPVRDAVLQALKYWKMLPGHYTTEPSETGSSLKENICGGDSVDLSSTTTTESRHRDVRLQKVNMKSTTGRIPLSVRKACQNDVGHLRHPKTDDWHVEVAVPRTHSVVEFHTEEFEASSVTKPLITMSADVTSMQGVGYEYGPMDDKQECSSVSNYETKFLTSHDCFVNNGPQKPNGRSQRLDEGISCNEQIDSIKMQDPKSSDSTVTESSPQITHQCCLQRANEMTCIRNQLSDIEIKQENMMHQLQIFTTGIMDALSTIQSRMLGLENVVDRLSRESLKGGRHSFSENSKFVRQSQNVASPRISVCTPKPSSESSTKQSGLFLVKSSESLEKRSFSRSQPRIHAGDSVDMCKSYKVKTAPKVREDNLNSLGEDRQSTCSAQVRKNGSIFSSAAKTNARNGCPESNTNYWKCVNRLVCEGDLNSAYMEALCSGDELILIELLNKTGPVIESLSGKTVNVLLSTLAPYLLEGKFFNTIIPWLQQVVEMSTVHGPNCIALSIEVEEQLLYAIQEVVNLNIFSHAEKRRAAELAIKLHHIWSKINES >RHN68150.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:33198528:33199654:1 gene:gene16457 transcript:rna16457 gene_biotype:protein_coding transcript_biotype:protein_coding MMYSLKLCNIFISIFSVSNYNIYFLHYTNTTFIYFHQYTFTYFILVI >RHN49276.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53740754:53741038:-1 gene:gene44090 transcript:rna44090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative linoleate 13S-lipoxygenase MNLWQILRRLFLKTVAAQLQILVGVSLIEILSAHSSDEVYLGERDTKHWIYDAEPLEAFDKFGKKLKEMMLDSKIELERLKCNKLCFIQEVKVD >RHN59324.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:9576400:9576888:1 gene:gene21335 transcript:rna21335 gene_biotype:protein_coding transcript_biotype:protein_coding MASISIAIATRSIKHVYDEDEWFGYAASVACIEDYHTEDVDSSYKEGDDDDDGGYDYAPAA >RHN68541.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:36331754:36332101:-1 gene:gene16908 transcript:rna16908 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKLVNISPKTSTFGQQNMCCHDSSRAKHSKGSQTVMTASAPPNQPQNECVSHFNYLNPHWPIIMIYGFQATFLTSSFLSKIILTSKHSYSTTRIPLNMRLRRMDVNLRRPVTD >RHN61169.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:33612495:33617671:-1 gene:gene23605 transcript:rna23605 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxyacylglutathione hydrolase MTDIKERSVLCALPDVRQICFRKGLLYGFSRVFSIPLKTLRGASRSLRVDQFCSVVNISSSLMIELVPCLRDNYAYILYDVDTGTVGVVDPSEAAPVIDALTKKNLNLTYILNTHHHHDHTNGNTELKERYGAKVIGSDVDKERIPGIDIYLSDGDKWMFAGHEVQIMATPGVTQGHISFYFPGSAAIFTGDTLFSLSCGKIYEGTPEQMLSSLKKITSLSDDTSIYCGHEYTLDNSKFALSIDPQNKELQSYASHVAQLRNKGLPTVPTTLKMEKACNPFLRTWSMEIRRKLKVAASADDAEALGVIRQAEDNF >RHN82198.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51889463:51892021:-1 gene:gene6338 transcript:rna6338 gene_biotype:protein_coding transcript_biotype:protein_coding MANNTKKLLASQSNGPHCWTFLSTAAYEKQNKVPQKNIPTVTATRVREGMLEGVEAALGLSKGSPPKPFYTKLQLCSQARS >RHN57355.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38335629:38339682:1 gene:gene32884 transcript:rna32884 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MMSNVVSILKLVGLIFIVLENIFSNYSGAVAEKHVGCIEKERHALLELKASLVVEDTYLLPTWDSKSDCCCAWEGITCSNQTGHVEMLDLNGDQFGPFRGEINISLIDLQHLKYLNLSWNLLTNSDIPELFGSLSNLRFLDLKASYSGGRIPNDLAHLSHLQYLDLSRNGLEGTIRPQLGNLSHLQHLDLSSNYGLVGKIPYQLGNLSHLQYLDLSSNVLVGTIPHQLGSLSDLQELHIEDNMEGLKVHDENNHVGGEWLSNLTLLTHLDLSGVRNLDSTLVWLQMIAKLPKIEELKLSGCYLYDISLSSSLNFSKSLAILDLSLNEFSPFKIFEWVFNATMNLIELDLSNNFFKGTIPFDFGNIRNPLERLDVSGNELLGGIPESFGDICTLHTLHLDYNNLNEDISSILLKLFGCASYSLQDLSLEGNQITGTFPDLSIFPSLIEIDLSHNMLSGKVLDGDIFLPSKLESLKFGSNSLKGGIPKSFGNLCSLRLLDLSSNKLSEGLSVILHNLSVGCAKHSLKELDLSKNQITGTVPDISGFSSLVTLHLDANNLEGVITEFHFKNISMLKYLNLGSNSLALIFSEKWVPPFQLFYIYLSSCNLGPSFPKWLQSQKQLQALDISNAGISDVVPIWFWTQATNISFMNISYNNLTGTIPNLPIRFLQGCELILESNQFEGSIPQFFQRASLLRLYKNKFSETRLLLCTKTMLDRLQLLDVSKNQLSRKLPDCWSHLKALEFLDLSDNTLSGELPCSMGSLLELRVLILRNNRFSGKLPLSLKNCTEMIMLDLGDNRFSGPIPYWLGRQLQMLSLRRNRFSGSLPLSLCDLTYIQLLDLSENNLSGRIFKCLKNFSAMSQNVSFTRNERTYLIYPDGYGSYFVYEGYDLIALLMWKGTERLFKNNKLILRSIDLSSNQLIGDIPEEIENLIELVSLNLSCNKLTGEIPSKIGRLISLDSLDLSRNHFSGPIPPTLAQIDRLSVLNLSDNNLSGRIPIGTQLQSFDASSYQGNVDLCGKPLEKICPGDEEVAHHKPETHEERSQEDKKPIYLCVTLGFMTGFWGLWGSLFLSRNWRHAYVLFLNYIIDTVYVFMVLNAIEFQMWLRGLLEKFV >RHN59765.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12876051:12877022:1 gene:gene21880 transcript:rna21880 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKTRNSLNSEQPQQLSLSQSKSAAAIATKDLYLPDECWECVIRFLDNDDNHSNSSLKSFSAVSKQFLSITNRLRYSITIRHPTRICPSGLFQRFPNLTSLRLTHYYGGDIFCWLSSIAFSIRIKNTQTNNSADNSNSFVVSTQLKSLHLISSTWLQDESIKRIASVFPNLQLLDLSFCYNISEEGIFQVLRTCSEITHLNLTSCLGRKLRRMNFEVPKLEVLNLSYTNVDDETLSVISKCCRGLLQLSLEWCFFVTEMGVKHVVEKCTQLREIDLKYCLQVHCDVLASLIFSRPSLRKFTTPDRYRFNNKEMELLSRQGCIVC >RHN52242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:32970000:32975658:-1 gene:gene36891 transcript:rna36891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MKSILLSPATLKPLEAITFSISNPINLSVINQKISISISMSTSKLALYRTLIFRNPRIQPLSTKLNNPFLFFKPPLHHHRHQTSFSAFSTSTAAAEEESLSSTVHPWPEWISFVDRLRAKGYLSKSADDNSVYSDINLLKDASLSFARDRYDVFKLLSSEDIQAVVEDGCPNFLRKAVNSAKRLRAHLRLDEGDVCSACNLRGSCDRAYVILKESEADARTVDIVRILLFYAIDPLVLSGGEKPPGREVIESSARKLLSQLIELSEWSPPPPPLPAYSKSTSQKDVSKVKPLSFKAAEMLSKNVEMKKGDWVCQKCNFMNFSRNRKCLNCEEDGPKSDDPRTFEMKEGDWICTECNFMNFSRNITCLECKTEGPKRVNRLDTNEVQMKKGDWTCPQCGFMNFASNVKCFKCPEPRPKKHPGDWSCPKCDFMNFASKDKCFRCQESNPNPNKYPGEWPNPNSKKYPGDWSCPKCDFYNYARNTTCLKCNAKPSKEQQTNVDEGHIWRRRN >RHN38954.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3024467:3026448:-1 gene:gene44932 transcript:rna44932 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative meiosis arrest female protein MFPLFLPSRLSRKVFIRFKGRGFSSSSSPPEVSVWWHLDSCPVPSGFSFSKVAPSITAAVRANGIMGAIHIHAYGDVDKEAFNSTNITLHSFSDDYPFDLDKRYKDTKNNTYKHFLMDLNDWVSTNPPPLHLFLIFGNRDFSSSGILHRLRMCNYNILLACPGRAHVAALCHAATIMWEWSSMLKGDDLTGKHFNYPPDGPTYSWYENSNVPLENPFSVVELHTSSQNSEEEIYKPTLDKKLSQASKSFSSQVMKILCSHPNGISIGDLRAELTNCDMPLVKRFYGNKKFSNFLISMSYVQLQYLGGNNFWVCLVPSTTSAVKNNQNDGAATQKLPNDGKNMDRSADGVPKISSSCVNSEGDDLKSFQSIPSQGKPLGEYADGKSSTPLFMDSIVHQPPNELQKKSLELDVARAPLPQIQLLPDDNNISKKSDNDVVKSDDGNPEILEKIIPSQNPSTSNDCTILENNHEIPTRKEVDEVCRSPFTSTFCSWISSWWTFWKSNAKSEIDHSASHFEEPKSSELQQDLSHPLQPHWDNFKFRVAVWWDFDSCGVPSGISFLNVAPSIMGVLRANGIKGPIHIDAYGDVSQLSQIKQEAFFQSGIVLHHIPGSFFLPYI >RHN41572.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31169390:31169680:-1 gene:gene47906 transcript:rna47906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative caffeate O-methyltransferase MINHTKIVMNKVLESYNGFQGIKRLVDVGGDLGVNINLITSKYHDIHGINFDLPHVIQHAPLYPGMTYYTTVIFLRKDFICFFFFKENSIPKKKNL >RHN71362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58511539:58516221:1 gene:gene20036 transcript:rna20036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative parvalbumin MENQKRNGGGEVLDGSNIMELVGNEQGFNKFVDHKFHELDKDRDGKLSLKELEPAVADIGAALGLPAQGTTPDSDHIYYQVLNEFTHGKQEKVSKSEFKEVLSDILLGMAAGLKRDPIVILRMDGEDLLEFVNGPSYYTEMTSIFSQIQNSSTSLRELVIEAFGRLNVDRGIPPTSDSWVFNNIVDPALLSQALNRPVSDQETFLEEFKKVALSVVNCLKEKPVIVAHSENTFDGSGVKRLLSNKFELEKTLNTTLGNLPKDRNGKISKDYLQVTLDVVSPSAGLPPVGAIEEMDKVISEAFKTMNNGDDKKMMIKEEEFKKILIEILGSIMLQLEGSPIAVSSNSVLHEPLSASSTLLNPS >RHN55360.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17657029:17660551:1 gene:gene30530 transcript:rna30530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sphingolipid C4-monooxygenase MMMDLDLGVSDEMLGTFAPLLVYWIYSGFYVVLGLFAEDYRLHTKQDEDDKNLVSKFDVVKGVLLQQAVQAVVATLLFAVTGSDSQSSTNENTSLLVLARQFITAMLVMDTWQYFMHRYMHQNKFLYKHIHSLHHRLIVPYSFGALYNHPIEGLLLDTIGGALSFLLSGMSPRASIFFFSFATIKTVDDHCGLWLPGNLFHMFFNNNSAYHDVHHQLYGNKYNFSQPFFVMWDKILGTHMPYSLEKRASGGFESRPCKAYKDE >RHN68750.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38247926:38251882:-1 gene:gene17139 transcript:rna17139 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative neprosin MIIKFKPLFSLSLSLSLTITHFLVIVLFRIRMEAKEYSVSLKRHRKEPYFVAHHTKRQHHIASKQACTHNHSLILSTSQNTPPMLPVFVSLLLLLLTSTTPALSHHSIVSDSIHPSKTNQTYKAGSELLKLRRIRTHLMKINKPAVKTIQSPDGDLIDCILSHHQPAFDHPKLKGHKPLDPPERPNGYYNNGEKVSERLQLWTDSGEECPEGTVPIRRTTEDDILRASSIKRFGRKPKPVRRDSTSSDHEHAILFVNGNQYFGAKANINVWAPHVTDGYEFSLSQIWVIAGSFGNDLNTLEAGWQVSPELYGDNYPRFFTYWTTDAYQTTGCYNLLCSGFIQTNNKIAIGATISPTSAYNSGQFDIGIMIWKDPKHGHWWLEYGGQLVGYWPANMFSHLRSHASMVQFGGEIVNARSKGYHTDTQMGSGHFAEEGFKKAAYFRNLQVIDWDNNLLPLANIQHLADHSSCYNIKQGNNNVWGTYFYYGGPGRNVKCP >RHN49759.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:897253:899050:-1 gene:gene33967 transcript:rna33967 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligomeric Golgi complex subunit 7 protein MEVAYETLQDAAGLTQLSSTVEDVFDSGDLPCAAETLANMRHCLSAVGEVAEFANIRKQLEVLEDRLDTMVSLIDAAQDLCGVLIRIGRFKSLESQYSKVHLKPIKQLWEDLESRERANKSANEKSEMETMSTGGDFQSSPTVSFSNWLPSFYDELLLYLEQEWKWCMVAFPEDYKTLVPKLLSETMMAIGASFISHINLAIGDAVPETKALAKGCSSDKISRGPLQGIFSTCFLVLMYKF >RHN78068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:11620202:11625848:1 gene:gene1583 transcript:rna1583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-directed RNA polymerase MVKSKTIELYGFPHYVTVPDVKRFVEQYTGEGSVVAIKIREGKARIRTAFAIIQFTTKDHVTDMMALPSRSLSPLQYGSSYLKVREMERDIVRRPREILHSLNDVKLYFCCQISEERLSVLWREVDVRVDFGIGMRKWRFTLCHDNKTFKLELSYENIWKIELHQPRSKTTKYLLIQLIGAPRVFELYSPTSDDAYNVYEDLSKNYFSDPPDDQWIRAVDFTPCSCIGQSSALCLELPSNRDFPNFRENFAQYEESAGPYMLESGSPFSCNLDVVPMVSPPQGIQIPFDILFKVNSLVQHGCLSGSELDNAFYHLVDPTRVNVELIENALEKMYYSNDFCYEPVRWLKDQYKMYHSSNNLPRSPTISLDNGLVYVRRAQITPCKVYFCGPEINVSNRVLRKYKKHMDNFLRVSFVDEELDKLYSSDLSSRISVGGRTDIYYRILSILRNGIVIGDKKFEFLAFSSSQLRESSLWMFARTPTGDTAGSIREWMGDFSRIKNVAKYAARLGQSFGSSTETTTVSSTEIEIILDVHNKTKYVFSDGIGKISLELARRVAQKCGYDSMPSAFQIRYGGYKGVVAVDPTSSVKLSLRMSMLKYDSDNTDLDVLACSKFRPCYLNRQLITLLSTLDVEDSVFEKKQKEAVDQLNTILTDSTKAHEVLDLMSSGEVTNILKEMLICGYKPNVEPFLSMMLQTFRASKLLELRQKTRIFIPKGRAMMGVLDETRTLEYGEVFVQYSNNREDSLSHVVNGKVVVAKNPCLYPGDVRVLKAVDVPALRHMVDCVVFPQKGHRPHPNECSGSDLDGDIYFVCWDSELIPPKTIDPMEYDSAKPIVLDHDVEIEEVQKYFTDYILNDCLGIIANAHTVFADKEPGKAMADPCVELAKLFSTAVDFPKTGIPAEIPRGLFPTEYPDFMEKPDKPIYKSKNVIGKLFREIQGISTKDGSITSFTLEVAKESYDSDMEFEGFMDYVDDAFYHKTNYDYKLGNLMDYYGIKTEAEILSGNIMKMSKSFTKKRDADAITMAVRSLRKEARSWFTDSGAGVDSGSDDAYAKASAWYYVTYHHSYYGLYNEGMQRDHFLSFPWCVYHLLVQIKKEKARMKMHSRMEQSFSHRLRLD >RHN64907.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:62891510:62895791:-1 gene:gene27783 transcript:rna27783 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MQPCSREMQSLNSLFNSSSSSSSEIPIPLQSQIQINNNNNNHNDTFNHHDDFLKQILSTNLPPSWNTLDPNHNKPLLWDPNSDENVTFPNYDEQHNNLASKFRNHQITDKTAAALMLLTADSGGLLHMPADFDSSQNDVVNTSSLLQAAGDASVQALFNGFSGSLHGVAQPHHFQPPQGQSFGSGSVSATNQAPASGAPAQPRQKVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQVQVKVLSMSRLGGAGAVAPLVADMSSEGVSDCVQTNGNGGVHPRNPKTASSSNESLTMTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCHTRNPLINAANNNINGSSNPITASNGDGPSSPGMSVNSIVKDANSASKS >RHN53033.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:41374975:41375939:-1 gene:gene37779 transcript:rna37779 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-XI-1 family MMLVYEYLRHSSLDKWLHNKNESLAMLDSAQHVVLGWPKRLRIAIRIAHGLCYMHHDCSPPIIHRYIKTSNILLDSEFNAKVADFGFARFLTKPGQFNTMSALVGSFGYMAPEYVQTTRINEKIDVFSFGVILLELTTSKKATCGDEHSSLAQWAWRHIQAESNIIELLDNEVMEQSCLDEMCCIFKLGIMCTATLLLVDLP >RHN81816.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49127556:49129091:1 gene:gene5906 transcript:rna5906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ADF/Cofilin, ADF-H/Gelsolin-like domain-containing protein MLEQIFDEMLYEQIFDEMLYEGEEMANAASGMAVNDECKLKFLELKAKRNYRFIVFKIENQEVVLEKLGGKEETYDDFSACLPADECRYAVFDFDFTTAENCMKSKIFFIAWSPDISKVRHKMVYASTKDRFKRELDGIQVELQATDPSEMSFDIIKSRAL >RHN82039.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50785992:50789236:1 gene:gene6155 transcript:rna6155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyridoxal phosphatase MAFRNKPSPFDCIIFDLDDTLYPSNTGIGAAVKKNIDLFLMEKCGFSQSKASTLRVELFKSHGSTLAGLRALGYDITAEEYHGFVHGRLPYELIKPDIQLRNLLRSINQRKIIFTNSDRIHALRALDRLGITDCFEQIICFETLNPNLPNSTRPDEFPVLLKPSPDAFKIAIQAANVDPRHTLFLDDSVRNIIAGKEMGLHTVLVGETVKNNVGDYVVECVNSVTLAEVIPEIWGSRVDDYDQKLSRTNSELDALLSAYTAVGA >RHN44417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4450444:4450929:1 gene:gene38536 transcript:rna38536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoflavone-7-O-beta-glucoside 6''-O-malonyltransferase MEKEKFAFGFTVDCRARLEPSLPNNYFGNCVWGHLVDTKPSDYINEDGVFLVAKCIHEKIKMINEKGGLEGASDGFSKFNYLSTEGFEIIGVAGSNRFGVYEIDFGWGRPTKVEIVSVDRGLTIGLAESKDGKGGIEVGLVLNKHVMDLFKTLFLEGLHIN >RHN68451.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35647093:35653383:-1 gene:gene16810 transcript:rna16810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mannosyl-glycoprotein endo-beta-N-acetylglucosaminidase MNPRHLEPYINRQFLIKIRNILRFISQTTQNLTMSTPNPNSSSSPSPSPPFDPKQPSIPISYPIKTLQELESRSYFDSFHYPFNKASVPISSSSSKLPDRRRLLVCHDMAGGYSDDKWIQGGDNVNAYSIWHWHLIDVFVYFSHDLVTLPPVCWINTAHRHGVKVLGTFITEWDEGKANCDVLLSTKESAQMYAERLAELAVDLGFDGWLINMEVELDPAQISNLKEFVDHLSSTMHSSVPGSQVLWYDSVTIDGKLNWQDQLNESNKPFFDICDGIFVNYTWKEDYPRISAAVAGDRKFDVYMGIDVFGRNTYGGGQWNANVALDVIRKNDVSAAIFAPGWVYETKQPPDFETAQNSWWGLVEKSWGILRNFSGPLPLYTNFDQGRGYHISVDGNSVSDATWCNISCQGFQPLLELADPTNSIQVTIDLKEASFSGGGNITFKGSLEKQTYFERKILQGEFLLGEDPIHFIYSVKCNGNSSLGLKLVFTSNNDEKNYVLLTSGEVNDLSSKFNKVITTREHKGFSHGWVINESAIAMNEYTLNEIHAVCYRSNSSLSDCTDCTVASPSDYYALLGHVTIKNSDYKSDFPVSSSWLVDGKYIKWTSGSNGSKTLNIKISWTLKDGKNYLSLKYNIYLVKLSKQAGGNPGTTLELVKEYLGVAQVNCFYVSDLEVPSDTSSLKFIIQVCSVDGTIQALDESPYYQLEVEGP >RHN39173.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4668812:4674562:-1 gene:gene45174 transcript:rna45174 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-I-1 family MKQTIMRMLLHFLLALLGGLTILVLIQAQDQSGFISIDCGLPEHLSYSDTDTDLNYISDAKFIDSGVSKKILSTNNVRRYLEYVRSFPSGVRNCYRINVTSGTKYLIRASFYYGNYDDLNDPPQFDLHFGANVWDTVKFPNASRMRFNEIIYSPSQDYIQPCLVNTGQGTPFISAIELRPLNNETYVTSSVLSLFNRCNLGSITDIEYRYKDDVYDRMWFSYELIDWRRLSTSLNNDHLVQNIYKPPTIVMSTAATPVNASAPLQFHWSSNNENDQYYLYIHFNEVEELAANETREFNITVNDKLWFGPVTPIYRTPDLIFSTEPLRRAETYQISLSKTKNSTLPPILNAFEIYMAKDFSQLETQQDDVDNITNIKNAYGVTRNWQGDPCAPVNYMWEGLNCSTDDDNNPPRITSLNLSSSGLTGEISSSISKLTMLQYLDLSNNSLNGPLPDFLIQLRSLQVLNVGKNNLTGLVPSELLERSKTGSLSLSVDDNPGLCKKESCRKKKNLFVPLIASFSAMIVIVLISLGFWIFKRKRPVIITSSNSKNRASTKSKHQRFSYTEIVNITDNFKTIIGEGGFGKVYFGTLQDQTEVAVKMLSPSSMQGYKEFEAEAQLLTVVHHRNLVSLVGYCDEGEIKALIYEYMANGNLQQHLLVENSNMLNWNERLNIAVDAAHGLDYLHNGCKPPTMHRDLKPSNILLDENMHAKIADFGLSRAFDNDIDSHISTRPAGTFGYVDPKFQRTGNTNKKNDIYSFGIVLLELITGKKALVRASGESIHILQWVTPIVERGDIRSIIDARLQGKFDINSAWKVVEIAMSSTSPIEVERPDMSQILAELKECLSLDMVHRNNGRERAIVELTSLNIASDTIPLAR >RHN44472.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:5125951:5130577:-1 gene:gene38595 transcript:rna38595 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PLC-like phosphodiesterase, TIM beta/alpha-barrel domain-containing protein MGSVLFLIMLILPLVYNVAADCSNGACKLGDECNSDEDCGTGLYCFDCSLEFDGKRCVRSSVTDQFKLINNSLPFNKYAFLTTHNAFAIEGEPSHTGVPRITITNQEDTITQQLNNGVRALMLDTYDFDDDVWLCHSFQGHCHDFTAFEPAIDTLKEIEAFLSANPNEIVTLILEDYVEAPNGLTNVFNKAGLKKYWFPLASMPKNGGNWPLVSDMVAKNQRLLVFTSKKAKEQSEGIAYQWNYMVENQYGDGGRKEGSCPNRGESPPLNDKSKSLVLVNYFRTAPLKPITCEDNSGGLIDMLQTCYGAAGNRWANFVAVDFYKRSEGGGSFQAVDTLNGELLCGCDDVHTCVPGSTSHACSQP >RHN74260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:31229969:31234005:-1 gene:gene10296 transcript:rna10296 gene_biotype:protein_coding transcript_biotype:protein_coding MKSILLSTIGSRSVFVVLLFLLISCSTLFTSTEAYDPLDPNGNITIKWDVMGWNPDGYIAIVTMYNFQQYRHIQEPGWTLGWTWAKKEVIWNMMGSQTTEQGDCSKFKAGIPHCCKKDPTVVDLLPGTPYNQQIANCCKGGILNSWAQDPSNAVSSFQISVGSAGTTNKTVKLPRNFTLRAPGPGYTCGPAKIVRPTQFITTDKRRVTQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVDCPTCTCGCQNKTQPDSCVNPDSPHLSSVVSASGKPINTPLVQCTSHMCPIRVHWHVKLNYKEYWRIKVTITNFNYRMNYSQWNLVVQHPNFDNLTQLFSFKYKSLNPYEGLNDTAMLWGVKFYNDFLSSAGSLGNVQSEILFRKDKSTFTFDKGWAFPRRIYFNGDNCVMPPPDAYPWSPNATSKLVFSLLSTVIATLASLFVLLLN >RHN40669.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:19170443:19171297:1 gene:gene46855 transcript:rna46855 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative knottin, scorpion toxin MTSSLSKFYTIFILILLCLVFLLIFTWEVEAKLCGKPNRTLSSPCIDSVCYAKCIDSDLDAVSGSCEGFFTSECFCYYEC >RHN57092.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36382310:36383404:-1 gene:gene32589 transcript:rna32589 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Homobox-WOX family MEESMSGFCMKSSGSSNFHGKSGSSSTGTKCGRWNPTTEQVKLLTELFRAGLRTPSTDQIQKISNQLSFYGKIESKNVFYWFQNHKARERQKRRKVSFDDDKDVIVHRDNSMNASTQRFAEMYSEPDRVIETLELFPLNSFGESESEKWSMHANECRENTMFAYTCTMGEQMEHPPLDLRLSFV >RHN46281.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30367911:30370806:-1 gene:gene40752 transcript:rna40752 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutathione transferase MALNQEEVKLFGLVGSPFSTRVEIALKLKGVEYRYEEEKVGNLSDTVIKYNPVYKKVPVLVHNDKPIAESLVIIEYIDETWKQNPILPSDPYKRALARFWSKFIDDKCMIPAWKTAFTLDEKEREKSFEETEDAFQFLENELKDKFFGGDDIGLIDITAVFIAFWFPIVQEVTGLKLFTSEKFPKLYNWSQDFNNHPIVKENLPRREGLLAFFKARYESLVASK >RHN71602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:1302123:1303032:1 gene:gene7218 transcript:rna7218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ccc1 family protein MAEIQHHHAATLARSESKVYNMDVEKKGEEENDEKDYTQRAQWLRAAVLGANDGLLSTASLMMGVGAVTKDVKTMILTGIAGLVAGACSMAIGEFVSVYSQYDIEFAQMKRQGNISQKDKLPNPYYAAFASAIAFAVGAFVPLLGAAFVKDYKVRLGVVVGVVSLALFGFGLLSAVLGKAPLVKSSLRVLIGGWLAMSLTFGLTKLVNHVVV >RHN77379.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:6454269:6458478:1 gene:gene826 transcript:rna826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MGGRDDGQNWDGSRSWEEDIYWTHFQFIHFTQFLQTTTNFQQQLALPKTFSDNLKKKLPENVTLKGPSGVVWNIGLTTRDNTVYFVDGWQQFVNDHSLKENDFLVFKYNGESLFEVLIFDGNSFCEKATSYFVGKCGHAQTEQGDSKKPVIEVTPVQTKKRGRPPKSDDSGEKLLRDLVACNKEHSEASTLDRIRKEDEKKIAESFTSSFPYFVKILKAGNVGGSRTLRIPYHFSAAHLPDFKIEVTLRNSKGKCWTVNSVPCAKGKIIHSFCGGWMAFVRDNGVNFGDTCIFELVTNYVMQVYIFGSGKEGADNQNGHVKLDSV >RHN43483.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:46214089:46224201:1 gene:gene50067 transcript:rna50067 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MESDFETNDMLTLSQDSFDDANDNDNLDVEGETCGICMDMVIDRGVLDCCQHWFCFVCIDNWATITNLCPLCQNEFQLITCVPVYDTIGSNKVEDGSFFRDDDWSIDGKNNNLSFPSYYIDENAVTCLDGDGCKIRNGFASVEEDSGLDTSIACDSCDLWYHAFCVGFDTEGTSESTWLCPRCVADAVSKETDGNSIKEASLECNPDSNNSECHAGIVSVSIADTGETAVVVSMVDRNKWIPETSERGLLPPEVDGDLLNEPCKLMSDTNNQLQATEKTNVSPIMKGEELELSLSHPTSKSFVHSDLKESDNGTRCEPSSFDGTKLSDESNAKTSPCKIESDMGLHLGLSVGSFLTVDNVDKSESKDQATDPPCSIPEEFPLEGDKLDINACDDSARVAGRKRKHFDYSHEQVRIKVEDEDANPELSVEASQKKTRAAVSQMFRANDSDAQLSDNAKKSPVLKHSPTKEIAASDIMNIVKGTNRRLTKGLADTNASKKLAEKKENMTGLRVKKIMKRVSDDGESSSLVQNLRKEIREAVRNKSSINFEENHFDKNLLEAFRAAITGPKTELVNKLSPSALKAKKSMLQKGKVRENLTRKIFGTSNGRRKRAWDRDCEIEFWKYRCMRASKPEKVETLKSVLDLLRKSSENPESERAPECQAKNPILSRLYIADTSVFPRKEDVKPFSEQTKQNNPSAKGPNQSVDNKIIKATEVNNLLSMTRVCLSEKKVDKIVRGPAGKVQLSSRSEGASVSSSAGAKVGTKDSGLKSDSVKGDKRKWALEVLARKTAVASKNTANESQEDDAIFKGNYPLLAQLPTDMRPVLAPCRHNKIPVSVRQTQLYRLTERLLKNTNLPIIRRTADTELAVADAINIEKEVADRSKSKLVYLNLCSQELLHRTNNTKSNINTDTTLPTSSSPVQTDQSVLNTDDLLTDPAVQIALKNAGLFSDSPPSSPRKNNEICNEKEVSGPDDILELESHPELDIYGDFEYDLEDDDYIGASVTKIPKLKEEPSESKVKLVFSTTSLKKTNNALDSADCKGSENNEVPGVAFCSPNCHRDAVQKDSTINAEIGQPSVSSGLLLCEGAVEPVDSEFEDLYGPDKEPLIKKFPDGELQSLHGEGKMETQSENNDCHKEEERDLDKAINGAELGNESVPIITGKPPNTSGTDENIQRKEERRKEERSDIPAQKSNNENQVAKKVEAYIKEHIRPLCKSGVITAEQYRWAVAKTTEKVMKHHCKSKNANFLVKEGEKVKKLAEQYVETAQQNRKS >RHN62449.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43494001:43500419:-1 gene:gene25028 transcript:rna25028 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGSGGGGSSSSHSVAFRVMRLCRPSFNVDPPLRIDPDDLFVGEDHFDDPSAPSSSDLIAPDSDPNYRNRFLLQHFSDSMGLSGLLVLPQSFGAIYLGETFCSYISINNSSNFEVREVIIKAEIQTERQRILLLDTSKSPVETIRAGGRYDFIVEHDVKELGPHTLVCTALYNDGDGERKYLPQFFKFIVANPLSVRTKVRVIKETTFLEACIENHTKSNLFMDQVDFEPAQHYSATILRGDGPHTEKDNTARETFKPPILIRSGGGIYNYLYQLKSSLDDSAQTKVEGNNVLGKLQITWRTNLGEPGRLQTQQILGTPTTKKEIELQVVEVPSIINLQRPFTLKLNLTNLTERELGPFKVSVSQNGSSGETAVMINGLQSMVLSQIEALGSTNIHLNLIATKPGIQKITGITVFDTRGMKSYEPLPDLEIFVDID >RHN39252.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5289978:5296444:1 gene:gene45257 transcript:rna45257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MCKLVKYDDYCRTLVCCKKEHKMEMEMSRKSSNGTHGVPECLSESKPLMDQKVETKQTGLGRATFLSKLIFSWVNSLLSLGYSKPLDLEDIPSLASEDEADMTYQNFAHAWDSLVREKTDKNSRSLVLWSVIRTYLKENIVIAFYALIRTISVVVSPLILYAFVNYANRTEADLKQGLSIVGFLILTKLVESLSQRHWFFLKELKLSSMARTRHSTGEILNYIAIDAYRMGEFPWWFHITWTCALQLVLSIAILFGVVGIGALPGLVPLLICGLLNVPLARILQNCQVQFMIAQDERLRSTSEILNSMKIIKLQSWEEKLKNLIESLREKEFKWLSKIQFLKAFGTFLYWLSPTVIPAVVFLGCIFFNSAPLNADTIFTVLATLRNMGDPVLMIPEALSITIQVKVSFDRLNTFMLDEELSNDDNGRNIKQCSVNAVVIQAGNFIWDHESVSQTLKDVNLEIKWGQKIAVCGPVGAGKSSLLYAILGEIPKISGTVNVGSALAYVSQSSWIQSGTVRDNILFGKPMDKEKYENAIKVCALDKDIDDFSYGDLTEIGQRGINVSGGQKQRIQIARAVYNDADIYLLDDPFSAVDAHTAAILFNDCVMTALREKTVILVTHQVEFLSEVDTILVMEDGKVIQSGSYQNLLKAGTTFDELVNAHKDIVTELHQGNENKEVSENDVLANPQNQNEGEISTMGQIEVQLTKEEEKVIGDVGWKPFWDYISFSRGSFMLCFIMLAQSAFIVLQTTSSFWLAIAIEIQNVSSATLIGVYSLTSFASILFVYLRSYLNAYLGLKASNAFFSSFTKAIFNAPTLFFDSTPVGRILTRASSDLSILDLDMPHSILFALSVAIEILVIICIMVSVTWQVLIVAVPVMVASIFIQQYYQTTARELMRINGTTKAPVMNFAAETSLGVVTVRAFNMVDGFYKNYLKLVDKDASLFFHSNVGMEWMVIRIEALQNLTIITAALLLILVPRGYVSPAPIFWTRWFSNLSNYIISVERINQFIHVPFEPPAIVEDNRPPSSWPSKGRIDVQGLEVRYRPNAPLVLKGITCTFQEGSRVGVVGRTGTGKSTLISALFGLVEPSKGDILIDGINICSIGLKDLRTKLSIIPQEPTLFKGSIRTNLDPLGLYSDDEIWKAVKKCQLKETISKLPSLLDSSVSDEGGNWSLGQRQLFCLGRVLLKRNRILVLDEATASIDSATDAILQRVIRQEFSECTVITVAHRIPTVIDSDMVMVLSYGKVMEYDEPSKLMDTNSSFSKLVAEYWSSCRNRRQKL >RHN52930.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40460412:40460658:1 gene:gene37667 transcript:rna37667 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIIHSSITILVFQFHFLGFRFYFCSLFSASVFVLNNFFN >RHN64366.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58527708:58535064:-1 gene:gene27178 transcript:rna27178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pre-mRNA polyadenylation factor Fip1 MEQDDFINDDDFGELYADLQVLATPPPPSPSPQQHQQHHDNDDDGGSGGGGGDSNNNDDTESEDGLNIVLNDDDCPIPCVEDVVHREGLENNQEECIDQEQQFVSSDAHCGQSSKICVRGGYGSQFFRSKFMKTQGSMFVNNMMANKSTLLTKDCSSFTQGRGDSFQNHKSTSSYFAGSFLPWHWKIYDVNIDKFEEKPWRIPGADITDYFNFGLNENTWKQYCSSLASTQEQFDQPVSGSLLSHSSKCEVPMGRAIQVGDSVVDRQPSVDVRRPRSIDSDVIIQINVHGSSDNNSGSVKCNVLDSSEERELISGVNRSKSNSSSEHDVLSNKQLEDAKQSELSSGQERNDLIPDVVKIQNPDEEDRYSEDGKVLEEEIKTEGRVCIDTCSEDPGWSEPELSLGDQELSLTSYSDNDSEGTEDSLHVYNERNHSPLRSHLVSSDIGLKESLPLYEKTSKNISVNRKPVNTSYYSRNKGSVQQDQRHQSGRHMPGSKLQKHTENDNNVSHIPRSSGRNLSPRCHQFVKNRSDERLQYFGSRERKDLPYDWETKQSCYYGTDRNVDDLDQAVYSEYSDRENEDRFREDRNQYIRKSGDKREYFFERRTLIKYNEDDDWDPASRKLYLDDDLSLLSYRESRQFHSRHSSFPAKDREAQRQIMHHRRHFKDRNCDSDQWFDDEFEFLNKIYRTPSSFAEREMESLNNRHEEQFLQIDRAQERYTGRGRHPDRVSLDVDTPWSGRTEDEFPKYAHNQNSYFKYQRQSYTDSARNYMHRENDSFREHETHNHATNRGNDWQCGYTDAAEDEGCTTSPVDECEFYPLPYEVSHWTNNDNIVWNHDDLHPEDDAIFYEEPPMHERHARHRSLHARVQRNDIKLQQHQLNFSRRGGDIFIKRSSKVMSRDHSHPTVLRYKKSGALINREGKSAKGSRLMRNDTLQNVDRGIAEKRKALVGFDDSRKKAIKLDVSKSQCVDQNKKLLQNLSDKGQKEGLDVEEGEIVTEEPSVEVSVSRRDVSEGATLAENVKKKISQNGNNSEPQIDNLDSQKILDTLAKMEKRRERFKQPIGMNKEAVKQPISLNNEVVKSLKLNTNSAVDIGEMKQQRPVRKRRWNG >RHN76667.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:270373:271550:-1 gene:gene30 transcript:rna30 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNPIIVGSLNCTGEEPVVLKCGDENWKLIPGMSAYLGDIRVFKGQPYVVDKFLVESDGDLLLADVYDHEIDEDADDYDRARINVFKLNEKEKKWVKLANLGDRVFFLGLLCSFSASASDLCVPKGNLVIIMDNIFTRVQYKSSFLDLDDGQLLPLSNYPEYSELFCHLR >RHN67657.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:28994020:28994352:1 gene:gene15865 transcript:rna15865 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MVVMVLGMLVATLDARQIDDVSCPSALFSLLPCLPFWQGVGPATPTSYCCAGITDLNQKANTTQIRRDVCNCLKPAASRFGVNPDRSKQLPTLCNITLNVPFDPTVDCNT >RHN49872.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:1844149:1856678:1 gene:gene34097 transcript:rna34097 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain, heme peroxidase MDRRCCYKDLLPFTSLVGNECMIVGVYTLFKVATLQGLSNYVFIAYSYTVSTIVLLPVYFFYTRSRVVPQLSFSILFKIALLGVIGCSSQILGYAGISYSSPTLSSAIGNLIPAFTFMLAVICRMEKIAIKSRTTQAKIWGSIISISGAFIVTLYKGKSIIIAHNSIHLQQSNSILTSIDTNWAIGGLQLTVSNILLTIWFIAQVEIMKEIPDELTIVFIHNIFATILASTVGFLAEKNRSLWKIRPDISLISIICTGIFGKFLSSAVYAWAIHLKGPVYATMFKPLSIVIAVAMGILFLGDTLHIGSLIVHSVDKMAIWPRLPVFLGLFYLFIRRHLHQRYNLIDVGKIHEGVRSSTSTHPYRTADGKYNDPFDDQAGSQGSFFGRNVLPVDQKNKLLKPDPMVVATKLLERREYKDTGKQFNVIAATWIQFMIHDWIDHLEDTNQIELTAPQEVASQCPLKSFKFLKTKEMPTGFDDIKTGHPNIRTPWWDGSVIYGSNDEILTQVRTSQDGKLKISKEGNHLLQNEDGVAISGDVRNSWAGVSTLQALFIQEHNAVCDALKKEYPYLEDEDLYRHARLVTSAVIAKIHTIDWTVELLKTDTLLAGMRANWYGLLGKKIKDKFGHFGGAILSGFVGMKKPKNHGVPYSLTEEFVSVYRMHQLLPNSLHLRDISDSSDPGHNKSPPFTEEIPMHELIGLQGEKKLTEIGVESTLVSMGHQACGALELWNYPSFLRDLVPHNVNGTERCDHVDLAALEIYRDRERNVPRYNQLRRGLLMIPISKWEDLTDDKEVIKVLEEVYGDDIEELDVQVGLMAEKKIKGFAISETAFTIFLLMASRRLEGDRFFTSYYNEETYTKKGLEWVNTTESLKDVIDRHHPQMTNKWMNSSSAFSVWDSPSIKHNPIPCYLRIPN >RHN63402.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:50986634:50991511:1 gene:gene26099 transcript:rna26099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase 2 MEAMGVFCGTNSIYVTSSQLRTSNTNTRSSQLRTTQHLSAFPSKSHLFSSSTSSSYAKTIRTKSSTNTGIFLPHLIASLEQVDRTYIMVKPDGVQRGLVGEIISRFEKKGFKLIGLKLFQCSKELAEEHYKDLNQRSFFPKLSEYITSGPVVSMAWEGVGVVPSARKLIGATNPLQAEPGTIRGDFAVETGRNVIHGSDSPENGEREIALWFKEGELCEWTPVLHPWLRE >RHN64053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:56191583:56195440:-1 gene:gene26831 transcript:rna26831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator superfamily domain-containing protein MLKVKGGTRPPWVGLGAAVWVQIAVGNAYTFPLYSPSFKSVLGFNQSQVTLLGVANDIGENVGLLPGIVCNKFPPWLMLFIGAVLSFLGFGLLWLSVSNTLLVPSLPYPLLWFALAIATNSCAWLTTAILVTNMRNFPISRGTVAGILKGYGGISAAVFTEIFRAILHNSSSNFLLFLAIGIPVLCFTVMFLVRPCTPVTGDSSSEKCHFLFIQVSSVALGVYLLVTTTLDYILHINSTVSYVLVAVMILLLMAPLAIPIKMTFFPQKITESEENEQSVGSSDCLFQEGKEENTKSLLQSSSATILGSLCDADGSSDVDMLLAEGEGAVVPSKKRRPRRGEDFTFFEALVKADFWLLFFVYFVGVGTGVTVINNLAQVGAAQGVEDITILLSVFSFFNFVGRLGGGVVSEHFVRKKTIPRTVWMTCTQIVMIILYLLFAFAIKGTLYPAVGVLGICYGVQFSILIPTVSELFGLEHFGLFFNFMSLGNPLGALLFSALLAGRIYDSELAKQQGFGLIVSSVSCVGPDCFKVTFLVLAAVCAAGTISSIILTIRIKPVYQMLYAGGSFRLPQMSCN >RHN50574.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:8194360:8199914:-1 gene:gene34876 transcript:rna34876 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MASLETESLNGHPNSSPNHQRPIEEKSERDKRIDEWLPITSKRNAKWWYSAFHNVTAMVGAGVLGLPHAMAQLGWGPGITLLVLSWIITFYTLWQMVEMHEMVPGKRFDRYHELGQHAFGKKLGLYIVVPQQLVVEIGVNIVYMVTGGTSLQKFHDIVCPSCKKIKLTYFIMIFASVHFVLSHLPDFNSISGVSLAAAVMSFSYSTISWAASLDKGKQENVQYGYKAHSTAGTVFDFFNALGTIAFAYAGHNVVLEIQATIPSTPEKPSKVPMWRGVVVAYIVVALCYFPVALIGYWIFGNEVDGDILISLQKPAWLIATANMFVVIHVIGSYQIYAMPVFDMIETLLVKKMNFEPSTMLRFIVRNVYVAFTMFIAITFPFFDGLLGFFGGFAFAPTTYFLPCIMWLSIYKPRKFGLSWWANWICIVLGVCLMILSPIGGLRTIIIKAKTYKFYS >RHN53471.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1863099:1864177:1 gene:gene28380 transcript:rna28380 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGFCAVVNVRQQHVSELRTLFHLEQVSVSNHLGILEKIRRIKYNIDEGLSFCFNSISDAAKVVNLITGILPALVQWNKIDPYLFSVEIVPICCGDLIILPSNIAASLGPNIGPIVICTRVARTFTLLDPFTLTRCFLKADRYWDAPFTPSFSRHQLVEYVVLGIEKLDEEEEEEEVEENKQKNKIFGYADAAAAKKYRLANAIVARVKDIGNNNHTTFQIRTHLSRILKPGDHALGYDLPEGDPILITKISFAKEENGRVIPVQDKWESDYQLFLKDLQQDPKLLFDRNPTNCHPSGPPIRSPFRRPFYPLEDLLDG >RHN54745.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11787095:11796441:1 gene:gene29824 transcript:rna29824 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain, PGG domain, gag-polypeptide of LTR copia-type MEGTSSNISGNAIVFEPLTKDNYDYWSCLVRNYLLGQDLWGFVTSISESTGPRSRRETEVWNRRNGKALHIIQLACGPENINLIKDLQSAREAWNELSTHYSSDLSADPDIEQGVVDDSLLKNKSLHMFIESGAWKDAKLFMTDSNNDMAIFSTSSMGRTVLHVAVIAGREGIVKKLVKRGKYELMKMKDNRGYTALALAAELTGNTNIAKCMVEKKRGKSSLHGSEPVIDHDLLFLKTNDDEIPLLLAAAKGHKELTSYLYDSTKLDDKNDKSFDNRVLLLTRCITAEIFGVALSLLQEFPEMPIAHKSKSRSDGVQPLYALARMPSVFRRGNKYGFIRKFLYKILILPEKHNLNGIIVNLANVIEKEKQHKTSFTGRLRVLIHVSFQNSVLLKLSGIREIYEQKVTHCVVHKILSCLCKKIPVLNESDLREASAYDAMLQAAKHGNIEFIDAMRKVNPDLLWTIDKNKRGVFSHAVLNRRKAVFKLIHDGTVNGRKEIVKCRVDAFGNTMLHLAGFLGPSSDLDRRSGPAMQMQREIMWFKAVEKIVHPKCKEAKNSDDKKPRELFTESHKELVKAGEKWAKDTAGSFTLVATLITTIMFAAAFTVPGGNNQDSGIPLFLHDNTFNVFIIADAISLFTSSTSVLLFIGILTARYAEKDFLKSLPLRLLFGLIALFFSVVSMIVAFCASLAMLLKGHHGVIITAMCFACVPVIVLVPSQMRLFLEIFKSTILFD >RHN72235.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5974538:5976258:-1 gene:gene7924 transcript:rna7924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Myb/SANT-like domain-containing protein MDSLKRKVSANPPSTNNEGQSSKASWRDIKATEYFVKACLDQVTKGQRNGTCFTKKGWQGIVSQFHEQSGLNYDKVQLKNRYDSLRKEWKVWYNLFGKVTGLGWNFEKNTVDASDEWWEKKELENPQYAKFRDKGLPFAHQLTTLFKDVVANGEHAWAPSSGVLPNENLGNDDIDVGLDDAEGSGDSEDASIGAATGFGNINLNTSQGAVSQSSGQKRKRVIGAEQKGKKKATPSTSIAEAVNVIAETCKSRNEAISNASIGEVMAEIQTMEAVTSDLEFHTMCCNLMMFKPAREMFVSLRGFEERRLIWLKFASFNPTLFMRP >RHN47121.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:37279322:37285690:1 gene:gene41679 transcript:rna41679 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamine--fructose-6-phosphate transaminase (isomerizing) MCGIFAYLNYNVERERRYILQVLFNGLRRLEYRGYDSAGIAIDSSSKPQCSSDFTSFPPLVFRQEGNIESLVKSVYQEVGEIELNLEESFGTHAGIAHTRWATHGEPAPRNSHPQTSGPANEFMVVHNGVITNYEVLKATLLRHGFTFTSETDTEVIPKLAKYVYDKANEAAGDQVVTFSQVVLEVMRHLEGAYALIFKSVHYPNELIACKRGSPLLLGVKELTEIKENGSAFEENKFLSKDGKPKELFLSSDANAVVEHTKKVLVIEDGEVVHLKDGGVSILKYENDMGENGASLSRTSSVRRALSVLEMEVEQINKGHYEHYMQKEIHEQPESLTTTMRGRLIRGGSSKSKSVLLGGLKDHLKTIRRSRRIVFIGCGTSYNAALAARPILEELSGIPVTMEIASDLLDREGPIYREDTAVFVSQSGETADTLLALQYALDNGALCVGITNTVGSAIARNTHCGVHINAGAEIGVASTKAYTSQIVVMAMLALAIGGDTISNQARREAIVDGLYDLPNKVREVLELDQEMKDLAKLLIAEQSLLVFGRGYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALVDENLPIVVIATRDVCFSKQQSVIQQLHARRGRLIVMCSKGDAASVCPGESCRAIEVPQVVDCLQPVINVVPLQLLAYHLTVLRGFNVDQPRNLAKSVTTQ >RHN39253.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:5296986:5301066:-1 gene:gene45258 transcript:rna45258 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative GTP cyclohydrolase I MGSFGQIHSNCEVENLVSDDEVSFKKEVNRSAIECAVKDLLLGLGEDINREGIRKTPFRVAKAFFEGTRGYRQNVKDIIEGALFPEDGLDNNNKIGHGGGVGGLVIVRDIDLYSYCESCLLPFQVKCHVGYIPSGQRVVGLSKLPRVAEAFAKRLQEPQRLADEICSALHQGIEPEGVAIVLQCTHIPFPYKDIESNDIGWVKLLVSSGSGVYENKNAEMWSDFFSLLKFRGIDNDKVRLKGPLDQCWCPSLYAEVSSKIKAISTPIMVNAVSSIVKSLGEDPTRKELVETPSRFLKWLMNFQCASNIDIEMNGYISNTNGKVRFDDKEIYSEVNLPFWSQCEHHLLPFYGVVHIGYFLSKGCYPIGKSILQSIVHFYGFKLQVQERLTKQIAETISPLVGGNVIVVVEASHTCMISRGIEKFGSNTATIAVLGHFSTNLAARTKFLEYSKCYIS >RHN71055.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:56132597:56135989:1 gene:gene19698 transcript:rna19698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide-transporting ATPase MLCHIVLNFIKQEEMESSSLVSNGRVDRQGRIANKRTTGGWKAAPFIIMNEVIERLAFFAIAVNMTAYLVFQMHQSLPDAATHVTDWIGAAYVLTLFGAFLADAYFGRFKTIIVFSAIYAVGMVLLTMSASFDTLRPQKCLAKPCQEASQAQISFLYGALGLIALGTGGIKPCVSSFGADQFDEGDEKEVQKKYAFFNWFFFAINMGALLGITILVYAQDKLGWGWGFGIPTITTVLSIVVLAAGVRYYRFQKPMGSPFTRFLQVIVASVKKHQRGVSVENEPTLYEVETTHSDIIGARKLPHTRQYRFFDKAAVITEKDTLSNRWSVCTVTQVEEFKSFIKILPVWASTIALAISFAQMSTFFLSQASIMNRKLGNNFEIPTGSVPVFGAINGLILVPFYERFIIPFLRKFTGHHRGITSLQRMGVGLFISIIAMASAALVEKRRRDHHPQPNSMSVFWLLPQFFLIGTAEVFTYVGQLEFFYDEATDGTKSISSAMFLSEIGIGSWLSTALVKIIVAATGGQEKGWLRNNLNESKLDWFFWILTILNAVNFLVYLMVAIYHNGKESSVRDENMVEFSNVQHTQP >RHN54763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11975869:11976972:-1 gene:gene29845 transcript:rna29845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MAAVSDDSLGQQHKELFRSVEKGDYIGNAIMDSDVCMISASGRTLLHVAVIAGNLENVEKLVKVGKDTLICMKDQHGYTALALVARYTGNTDMAKCMVETKNGFRKRLLEIQNKENVIPILIAAANGHKELTIYLYSKTPSTVFDGDDSQNRVLLLSLCITAEIFDVALKLLKRYKELPKESLSLYKFSVPKSLRGSLSLPSNESHQQSLSDKFSALVALAKMPSAFPSGIRFSRREQFIYDSKFKNLHS >RHN65443.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:2295170:2297175:-1 gene:gene13282 transcript:rna13282 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLKAIGPLTKIELDDPKVRRAIRLQEAYISERIVTVRGFSFWKIKREPFTRITRQRKRHMNMEKSSDILTTILVQSLRQLCCSLFSLVKNNGERKR >RHN52284.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:33488644:33493937:1 gene:gene36941 transcript:rna36941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, P-loop containing nucleoside triphosphate hydrolase MAMQSPSRVFLSFRGSDTRNTFTGNLYKALVDKGIRTFFDDNDLQRGDEITPSLVKAIEESRIFIPIFSANYASSSFCLDELVHIIHCYKTKSCLVLPVFYDVEPTHIRHQSGSYGEYLTKHEERFQNNEKNMERLRQWKIALTQAANLSGYHYSPHGYEYKFIEKIVEDISNNINHVFLNVAKYPVGLQSRIEQVKLLLDMGSEDVVHMVGLYGTGGMGKSTLAKAVYNFVADQFEGVCFLHNVRESSTLKNLKHLQKKLLSKIVKFDGKLEDVSEGIPIIKERLSRKKILLILDDVDKLEQLEALAGGLDWFGHGSRVIITTRDKHLLACHGITSTHAVEELNETEALELLRRMAFKNDKVPSTYEEILNRVVTYASGLPLAIVTIGDNLFGRKVEDWKRILDEYENIPNKDIQRILQVSYDALEPKEKSVFLDIACCFKGCKWTKVKKILHAHYGHCIEHHVGVLAEKSLIGHWEYDTQMTLHDLIEDMGKEIVRQESPKNPGERSRLWFHDDIFDVLRDNTGTENIEMIYLKYGLTARETEWDGMAFNKMTNLKTLIIDDYKFSGGPGYLPSSLRYLEWIDYDFKSLSCILSKEFNYMKVLKLDYSSDLTHIPDVSGLPNLEKCSFQFCFSLITIHSSIGHLNKLEILNAYGCSKLEHFPPLQLPSLKKFEISKCESLKNFPELLCKMRNIKDIKIYAISIEELPYSFQNFSELQRLKISRCYLRFRKYYDTMNSIVFSNVEHVDLAGNLLSDECLPILLKWFVNVTFLDLSCNYNFTILPECLGECHCLRHLNLRFCGALEEIRGIPPNLESLFADNCDSLSSSSRRMLMSQKLHESGCTHFHFPNTTGRIPDWFEHQSRGETISFWFDKELPSISFTFIIIRPQDEYRYPTVKLFVNGYEKEISCDVFTGKFGELVDNKTVLDNHTTLLHIKLEEDNEPGERLLKNEWIHVEFMFESYFWFDVRNTQMGIHVWKEKSNTEGGVRFIDPSEKGEEEGFSDTEGGGWNIKQCTR >RHN69715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:45768991:45770085:-1 gene:gene18224 transcript:rna18224 gene_biotype:protein_coding transcript_biotype:protein_coding MNRENLYHPKHNWTILVQEHLNKSMTEEGLIRQSRLSKPAIVANRVRGEDGQFLYGGEDLCPKWMQHASLSGSMGKEGVKSEDDGLPDYESNDFVDESESEKNGGKTDQTQVWDQDEEMDPND >RHN43727.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:48024241:48024828:1 gene:gene50348 transcript:rna50348 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGHIILATIPTLYTIFSFFFSSSLFPLLPLHLLQLSLSIFNSPLSFFFSYSTLQLTRKNEFLLRTMISLTMCRHYEIRVGLCDGGGRRRERISDGVKEKKRSSVATTVVWILVVKKKNVVVRQKKRPPRHREFQILTDLKGERRWWRMEGAVGRTVVGGEDMEDGRRVREKTQRREEEGRGKTVVFLQNCPYF >RHN57203.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:37277058:37278677:-1 gene:gene32712 transcript:rna32712 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectinesterase MESLNTPWCLYVSARCILISIFALSVLMASTDADMSMYRSLVEVDHDGNPSWLSAGDRTLVSQIKNGIAQPNAIVAMDGSGQYKTITDGINSYPNNHQGRYIIHVKAGIYKEYVTVDQSKKNILLYGDGPNRTIITGNKSFTEGIQMPLTATFSTFAENFTAISIVFENTAGPKGGQAVALRVKGDLSSFYQCTFRGYQDTLYVDQGRQFYRNCVISGTIDFICGHSTTLIQNSMILVRKPASGQYNVVVADGPYQNNNLRTGIVIDHCSILPDYDFAPYTSTSKTYLARPWRPYSTAIFINNFIGNFIQPDGYTIWREVQPNNENVYFAEFGNTGPGANAKNRVYWAKGLITRDEAARFTAEPWIQASTWLPSAGIPYNPGFETLS >RHN45715.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:24907602:24910952:-1 gene:gene40102 transcript:rna40102 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histone-lysine N-methyltransferase MEVTKSCENEAMMMVPTRASSRLQNLSKIQYNIYNSSGDKVFGQHVEKTELESSKESLATITKVRSQHQNRNKIPDDISHKRGMVSFEDNAEKTELGSKEESLVSTTKVCSQLQNRNEIPDDISHKRGMVSLEDNVVEKRTKINSLRRKPCSRSRSTSNDSLVFDGLDFRGILSLRETSRLANLRCLAKEEKNQSKNRHKKGKNIATLLRASNVEKNGIDNEYVPGITTSKYLINKLRELAKVGTYKVHLMEGQVSKALSSSPSLVCKDISNGQEAISIIATNDFDDPPVAPTGFEYITSNKVSPSIEVPSNAAGCNCKGSCRTKRCSCANHNGSEFSYNNIGRYYFLDLLFFRHKVRSYVG >RHN41485.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:30362559:30365421:1 gene:gene47816 transcript:rna47816 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetraspanin/Peripherin MALSNNVIGIINFIAVLLSIPIIGAGIWLSTLQAESCVKILQWPVIILGILILIVGMVGFIGAFWRIPMLLIFYLIAMIVLIVLLGSLVIFVYSVTLRGHGNIEPNRSYLEYRVDDFSFWLRRRVRSSHKWDGIKSCLSSSNMCAELNQSYRIAQDFFNAHLSPLQSGCCKPPTKCGYTFVNPTYWISPINTNEDMDCMKWSNEQTQLCYNCDSCKAGLLATIRIEWRKANVILIVTLIGLILVYLFGCFAFRNAKTEELFRKYKQGYT >RHN61260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34258825:34261550:-1 gene:gene23701 transcript:rna23701 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-diphospho-sugar transferase MIEHREREGPLMKNNPYSLSISKIVTAVIIVVLTGCIIVFFFPNDFFASQSITSIRLFPFAGPKTQENSTKYESSKRSNILIAELKKQLRELRERLRLAEQGKDHIASVKEEKAGPFGTVKALRTNPNVAPDESVNPRLANLLEKIAVNREIIVALANSNVKETLEVWFTNIKRVGIPNYLVVALDDEISKFCESNKVPFYKRDPDDAIDTVGKIGGRAAVSGLKFRILREFLQLGYSVLLSDIDIVYLQNPFDHLYRDSDVESMSDGHDNMTAYGFNDVFDEPLMGWSRIVHTTRIWVYNSGFFYIRPTIPSIELLDRVAARLSKENAWDQGVFNEELFYPSHPGYDGLHAAKRTMDIYLFMNSKVLFKTVRNDAKLSKLKPVIIHLNYHPDKLPRMKAVVEYYVNGNQDALKPFSDGTP >RHN62393.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43102918:43104266:1 gene:gene24964 transcript:rna24964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serpin family protein MATQRDESSNNQTKVSLTIVKHLFSKESEKNIVFSPLSLQVVLSIITAGSEGSTQQQLLDFLRFKSTDHLNSSVSHQLSIILKDATSSGGPHLSFVDGVWLEKTLSLQSSFKQIVSEDYKATLSEVDFKNKVGITNLDLMVEG >RHN69041.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:40533614:40537725:1 gene:gene17468 transcript:rna17468 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glucose-1-phosphate adenylyltransferase MASMASIGVLKVPSSSSSSSSSSSSKAIARNLSFTSSQLCGDKITTVSTRRSYGCSKPFIVSPKAVSDSKNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEYLVLAGDHLYRMDYERFIQAHRESDADITVAALPMDEARATAFGLMKIDEEGRIIEFAEKPKGEQLKAMKVDTTILGLDDERAKEMPYIASMGIYVVSKHVMLDLLRDKFPGANDFGSEVIPGATELGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMLDADITDSVIGEGCNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETDADRRFLAAKGSVPIGIGKNSHIKRAIIDKNARIGDDVKIINSDNVQEAARETEGYFIKSGIVTVIKDALIPSGTVI >RHN38691.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1335171:1336010:-1 gene:gene44652 transcript:rna44652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MILRKECDIPFMLKNSDYLCEIFMLEKRGVTLSLEYCGLIGTFPQKIFQIAALSFIDLYYNNDLHGSFPNYSLSESLRRIRVSYTSLSGELPNSIGKLRYLSELDLPYCQFNGTLPNSMSNLTHLTYLDLSQNNLRGVIPSSLFTLPSIEKILLAFNKFIKLDEFINVSSSILNSLDLSYNDLSGPFPIFIFQLKSIHFLDLSFNKINGSLHLDKFLELKNLTSLDISHNNLFVNWNAINVEPSSFPQISELKLASCNLKTFPSDLSRPFREPNSRSTS >RHN56585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32036356:32044109:-1 gene:gene32011 transcript:rna32011 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase, leucine-rich repeat domain, L MADALLGVVFENLTSLLQNEFSTISGIKSKAQKLSDNLVRIKAVLEDAEKKQFKELSIKLWLQDLKDAVYVLDDILDEYSIKSCRLRGCTSFKPKNIMFRHEIGNRLKEITRRLDDIAESKNKFSLQMGGTLREIPDQVAEGRQTGSIIAEPKVFGREVDKEKIAEFLLTQARDSDFLSVYPIVGLGGVGKTTLVQLVYNDVRVSDNFEKKIWVCVSETFSVKRILCSIIESITLEKCPDFEYAVMERKVQGLLQGKRYLLVLDDVWNQNEQLESGLTREKWNKLKPVLSCGSKGSSILLSTRDEVVATITGTCQTHHRLSSLSDSECWLLFEQYAFGHYKEERADLVAIGKEIVKKCNGLPLAAKALGSLMNSRKDEKEWLKIKDSELWDLSDENSILPALRLSYFYLPAALKQCFSFCAIFPKDAEILKEKLIWLWMANGLISSRGNMEVEDVGIMVWDELYQKSFFQDRKMDEFSGDISFKIHDLVHDLAQSVMGQECMYLENANLTSLSKSTHHISFDNNDSLSFDKDAFKIVESLRTWFELCSILSKEKHDYFPTNLSLRVLRTSFIQMPSLGSLIHLRYLELRSLDIKKLPNSIYNLQKLEILKIKRCRKLSCLPKRLACLQNLRHIVIDRCKSLSLMFPNIGKLTCLRTLSVYIVSLEKGNSLTELRDLNLGGKLSIKGLNNVGSLSEAEAANLMGKKDLHELCLSWVYKEESTVSAEQVLEVLQPHSNLKCLTINYYEGLSLPSWIIILSNLISLELEICNKIVRLPLLGKLPSLKKLRLYGMNNLKYLDDDESEYGMEVSVFPSLEELNLKSLPNIEGLLKVERGEMFPCLSKLDIWDCPELGLPCLPSLKSLHLWECNNELLRSISTFRGLTQLTLNSGEGITSLPEEMFKNLTSLQSLCINCCNELESLPEQNWEGLQSLRALQIWGCRGLRCLPEGIRHLTSLELLDIIDCPTLEERCKEGTWEDWDKIAHIPKILFTED >RHN53973.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5891647:5894621:1 gene:gene28948 transcript:rna28948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 81E8 MTTFYLSLIISLFFLIITLKVFFNTSRKFKNLPPGPQCLPIIGNLHQLKQPLHHTFHTLSQKYGQIFSLWFGSRLVVVVSSLTIAQECFTKNDIVLANRPHFLTGKYIGYNNTTVAQSPYGDHWRNLRRILSIEILSSHRLNSFLEIRRDEIMRLIQKLAQKSYNGFTEVELRPMFSEMTFNTIMRMVSGKRYYGNDCDVSDVEEARLFRGIIKEVVSLGGANNVGDFLGFLRWFDFDGLEKRLKKISKRTDAFLQGLIDEHRFGKRNSNTMIDHLLTQQQSQPEYYTDQIIKGLMVVMLLAGTDTSSVTIEWAMSNLLNHPEIMKKAKNELDTHIGHDRQVDEHDISKLPYLQSIVYETLRLHAAAPLLVPHLSSEDFSLGGYNIPQNTILMVNAWVIHRDPNLWSDPTCFKPERFEKEGEVNKLLSFGLGRRACPGENLAQRTVGLTLGLLIQCFEWKRIGEEKIDMVEAKGITVGKKTSLNAMCKVRHPLKIKDVF >RHN74045.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:24710296:24711239:1 gene:gene9986 transcript:rna9986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde oxygenase (deformylating) MRRLIPRALWDLNGRQALPKSFNAAACLRLDSNKDLGNCSRVPEFVFLAHIVDITSSMHAQFCLRTFASLPFRTRFFLIPFFPMAVVSLLAMWLWSKTFLVSFYYLRSRLHQTWVVPRCGFQYFLPFATEGINKHIEQAILTADKIGVKVISLAALNKVRRYLIILNQLFYYFI >RHN44018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:942056:945477:-1 gene:gene38062 transcript:rna38062 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylethanolamine-binding protein MRIKSTNPLVVGGVIGEVLDPFTSSVSLRVVYDNNKEVINSGELKPSQIINSPRVQVGGNDLRTLYTLVMVNPDAPSPSDPNMREYLYWMVTNIPATTGTTFGQEIVSYESPRPASGIHRVIFVLFRQPCRHTVLAPGWRQNFITRDFAEFYNLGLPVAALYFNCQRENGSGGRRMVI >RHN72130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:5200455:5202043:1 gene:gene7805 transcript:rna7805 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGGGGFVISCPLAKELANMQDHCNQSYPTLYCYNDRMQASIVELGFH >RHN44458.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4918084:4926483:-1 gene:gene38579 transcript:rna38579 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-CrRLK1L-1 family MANSINKKNYTKITLLPLILLLFPLVATSYKPIYNLAINCGSSTNNATFDNRMWVGDNNINNTNLFTFIEPKTTYPSLTTQPNSLSNIQVPYTHARVSLSNFTYSFSSITNSTVFLRLHFYPNLSKSFDPSNAIFSIKVNNNITLLKNFNPFLWIHDYEETITKEFCIQIKPNEKLNINFIPSIMNKSNVKYAFINGIEIVSMPSFLYYTNLSDPNYHLKSLDLDNTEYQIHNEKALEMVYRVNVGESQVPPGDDTGMFRNWDNDFHGYLEKQYPLSVSSEYMHHLNFLNNTIPNYTAPEAVYVTARSYGINVDKPPNLGTLVYDYNVTWNFEVDSSFTYMVRLHFCEFDRLIINTGDRAFQIFIANTLAEERADVISWSGARMVPVHKDYAVTMYSQEGSSQIERVNLSIKLQPLTNMNYTKDSDVTLNGIEIFKVSDKNNNLAGSIPKLINISHRHKLFPTQSSKKSISPTSSISIAIVVAIAISSLMLATVVGITGFLLRKRIKNAMKDSSSKTKNKGSPPLPPHLCRSFTIAEIKAATNNFDDASIIGVGGFGNLYKGCVDGSTLVAIKRLKSGSQQGANEFMNEIELLSQLRHIHLHGTLCEYLYGSNNEPLPWRQRLEILLGAARGLNYLHAEVKHKIIHRDVKSTNILLDEKWIAKVSDFGLSKVGPTGITTTHVSTMVKGSLGYLDPEYYMFQRLTLKSDVYSFGVVLLEVLCARPPLVRDLDKNTASLVCWFKRCYDEGVAIEQILDSFLRDSITGECLEYYCKLALSCLHDDGTQRPSMSQVVGGLEFVLQLVVSEEDSEVGTTQKEMKGLRFLPFMSDEGSDMHFARSRTYKESTISARPSTQEHSFSEIGNQRTRSYSCQNFKINWRRVSMTKWLARKVQSKWCRFCFRCRNYHNYTFSESLRPHEQNSSLTDLLFLLVFKHNLCSLRGTFSLKKNIRDFSLNSGGLWKPLFNSWNIIFPSNTRSTSINATSCMAYFDKNSLVMANSLKNIVPSEITLLSITLFLLHLSLFPLAVNSSYDVLAVNCGHSIDTIFENRTWVGDNDDTKLFSVIEPQIISVKAKANPNSDSVNHIPFSSARISFSDFTFSFSPINSGPVFLRLHFYPTSYQNFETSNALFTVKVGTNLTLLKDFSPSLWLPNDNKTITKEYCIHTKQGEKLDVTFIPKNTNQLNAFAFINGIEIVSMPSFLYYTNLSDPNYHLTLLDFDSNEYHIPNNKAMETVYRVSVGESQVPPGDDTGMFRNWDNDFPRYLEKQYPQSISSYFGPDHPNYLQNTIPNYTAPEVVYLTARSYGMDAKENYNVTWNFKVDSIFTYMVRLHFCEFDWHIKNPGDRVFQIFIDDIMAEPRADVLYWSSGVRMVPVHKDYALTMDSQGGSRQIERVNLSIKLQRVPETVFTKYSDVLLNGIEILKISDKNNNLAGPISKSIVLSPPASKKIKITTAFVVVIAVSGLVLAIIVGIIVFWLRRRFHNVMADNLSKTKNTELSSLPPHLCRSFTIAEIRAATNNFDDDFIIGVGGFGNVYKGCVDGSTLVAIKRLKSGSQQGANEFMNEIELLSQLRHIHLVSLVGYCNDDTEMILVYEFMQHGTLCEYLYGSNNQPLSWKQRLEILLGAARGLNYLHTEVKQKIIHRDVKSTNILLDEKWMAKVSDFGLSKVGPTGISTTHVSTMVKGSLGYLDPEYYMLQRLTLKSDVYSFGVVLLEVLCARPPLVRDLDKNTASLVCWFKKCYDEGVAIEQIVDPFVRDSITDEYLKYYCKLALSCLHDDGTQRPSMSQVVGGLEFALQLVVSEEDSQFGMTQKDRTYMSDEWSDLHFTRSHTYKESTVSARLGTREQPFSGIGNQRTRSYSCQNFKIYI >RHN42866.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41543534:41547409:1 gene:gene49364 transcript:rna49364 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alpha-L-fucosidase MQAQGEKWRQVMSWVVISTFLVVVMVPSPVIGARNCSFPAIFNFGDSNSDTGGLSAAFGQAPPPNGITFFQTPAGRFSDGRLIIDFLAQNLSLPYLSAYLDSVGSNFSNGANFATAGSTIRPQNTTKSQSGYSPISLDVQLIQYSDFKARSILVRKKGGVFMKLLPKEEYFSEALYTFDIGQNDLTAGYKLNMTTEQVKAYIPDVLGQFSDVIRSVYKEGGRSFWIHNTGPLGCLPYTLDRYPMSVAQMDKFGCAKPFNEVAQYFNSRLKETVVQLRKEVPEAIIVYVDVYTVKYNLISHAKKYGFEKGVIACCGQGGKYNFNNVARCGATKIVNGKKIIIAKSCKDPSVKIIWDGIHYTEASNKWIFQQIVNGYFSDPPISLIKNGLPCPWE >RHN77500.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7258076:7258527:-1 gene:gene963 transcript:rna963 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative jasmonate O-methyltransferase MVDWLRSRGLVEEEKVDSFNAPYYAPCFEELKMEIEKEGSFMVNSHEAYEIDWDDGIELQSDDTMISGERVAKTIRAVVESMLEYHFGSHIMDELFQRFAKFVEDHLSKTRTKYINLIISLVKRQ >RHN45269.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14017896:14025192:-1 gene:gene39512 transcript:rna39512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase, cytoplasmic isoform MGTNEWHVERRDSIGTESPVAREVLETGTLSIVVLGASGDLAKKKTFPALFHLYKQELLPPDEVHIFGYARSKISDDELRNKLRSYLVPEKGASPKQLDDVSKFLQLVKYVSGPYDSEDGFRLLDKEISEHEYLKNSKEGSSRRLFYLALPPSVYPSVCKMIKTCCMNKSDLGGWTRVVVEKPFGRDLESAEELSTQIGELFEEPQIYRIDHYLGKELVQNMLVLRFANRFFLPLWNHNHIDNVQIVFREDFGTDGRGGYFDQYGIIRDIIQNHLLQVLCLIAMEKPVSLKPEHIRDEKVKVLESVLPIRDDEVVLGQYEGYRDDPTVPDDSNTPTFATTILRIHNERWEGVPFIVKAGKALNSRKAEIRVQFKDVPGDIFRSKKQGRNEFVIRLQPSEAIYMKLTVKQPGLEMSAVQSELDLSYGQRYQGITIPEAYERLILDTIRGDQQHFVRRDELKASWQIFTPLLHKIDRGELKPVPYKPGSRGPAEADELLEKAGYVQTHGYIWIPPTL >RHN60028.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:17136394:17138142:-1 gene:gene22220 transcript:rna22220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotidyltransferase, Ribonuclease H MAGRNDAALAAALQAVAQAVGQQPNANAGANAEARMLETFMKKNPPTFRGRYDPDGAQTWLKEIERIFRVMQCTEDQKVRFGTHQLAEEADDWREFLRRYFPEDVRGKKEIEFLELKQGNMSVTEYVAKFMELSKFYPHYTAENAEFSRCIKFENGLRPDIKRAIGYQQLRVFQDLVNSCRIYEEDTKAHYKVVNERKGKGQQSRPKPYSAPADKGKQKMVDVRRPKKKDAAEIVCFNCGEKGHKSNVCPEEIKKCVRCGKKGHVVADCNRTDIVCFNCNGEGHISSQCTQPKRAPTTGRVFALTGTQTENEDRLIRGTCYINNTPLVAIIDTGATHCFIAFDCVSALGLDLSDMNGEMVVETPAKGSVTTSLVCLKCPLSMFGRDFEMDLVCLPLSGMDVILGMNWLEYNHVLINCFSKSVHFSSVEEESGAEFLSTKQLKQLERDGILMFSLMASLSIENQAVIDRLPVVCEFPEVFPDEIPDVPPEREVEFSIDLVPGTKPVSMAPYRMSASELSELKKQLEDLLEKKFVRPSVSPWGAPVLLVKKKDGSMRLCIDYR >RHN38525.1 pep primary_assembly:MtrunA17r5.0_ANR:PSQE01000009.1:753042:753425:1 gene:gene50642 transcript:rna50642 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATQIKEAQGRKHRTTSFAVDDATYTPVNRQRGGKKPPGDLDKGSSSPPPHYLKQFKPSKHHEGKDSPPNHHKGPFTSKIAEYLVPRAFAKLPKLEPYDNMLDLDEHVEHLDIILDYHRTRGAVK >RHN38968.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:3136866:3137798:-1 gene:gene44948 transcript:rna44948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MKNCPCLSKVHQSIGDLNRLHMINLKDCRSLQNLPKNIYQLKSLKTLILSGCSKIDKLEEDIVQMESLTTLIAKDTGVKEVPYSIVRSKSIGYISLCGYEDFHVMFFPLSFGLGCHQP >RHN69145.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41338281:41341409:-1 gene:gene17577 transcript:rna17577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative magnesium transporter NIPA MGISSDNVIGLCLALSSSIFIGSSFIIKKMGLKKAATNGNRAATGGHSYLYEPRWWAGMTSMIVGEIANFAAYAFAPAILVTPLGALSIIFSAVLAHFILKERLHIFGVLGCALCVVGSTTIVLHAPHEREIHSVKEVWHLATEPGFIVYSCLMVALVLVLIFVFARSYGQTHLVVYVGICSLTGSITVMCVKAVGIALKLSFEGKNQFIYFETWFFTLVVIGCCLLQINYLNKALDTFNTNVISPVYYVMFTSFTIIASIIMFKEWDSQDASQIVTELCGFVTILSGTFLLHKTKDMGNKPAEISLSSSPHRPNNTAKTPDRSEI >RHN63250.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:49681362:49683989:-1 gene:gene25929 transcript:rna25929 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNMCNSEGHLDDTNFSKPMPWIGVYIATASLLSLISMSADLIKGIKTRKLWFPCKYFCLNATSLTIIAVSVKLSVDLNTPMPHRHDQLAKLASSAIICTIMANSMPSLGVTQNSETMMNVLAMAILVITMIVNICIQFGTGVIYEFWIEHGVIMFLMVILLMIMTSSVLCLQKIKHYMELKYKINEEALREESNSKEKQQEFEKVTDRLRDELMKFWMMAHTSSPQFILGRSVSCTASGAFCILSTLTLIEAMLRSYLMSWTVKFCTGECDYKWSTIFILIVQVAAVGVGTIAPALRWFVAVRYRCPNVRKRSCKRIFQVEGYWTDRLVVIRESPISFRIRNRWFRKLAHDAKQMLLCLCIKLQIGMVILCKAVQYISIYPMCWILGFCEFCRTWKFGSSASSLGSSTKQDLRRYILHLEGEEELVEVMIKDNWDATIHWVQQGEKKQPKLFIELLEKKCSILQGFKGVGEFDCDRIPPLHGVEPPYNWSLPIVTLASIIVALPNIEKCLLKKLISTLNEALPYVKFIENNIDKDGKLIKARKAAEIVWLGADLYGKWLDVDLYKLSLQNKSIEETLETLAEIAKTRYEKYKAKYHHVCIKVSPSAWPIKVLASNAMYRISKTILLLNQDVIKGHHTTSEMLFEAVTVIIADILGACLTNLPYVISVKCLNTGIEEREDSVRQAVYVLGKTKKIIEMLENRAFPRVDFCRGTNIEDWCLMHKQKRFCCSSVLSSLEENTAPTKLRDLCLNID >RHN81311.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:44748250:44758246:-1 gene:gene5329 transcript:rna5329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 1 MGLSRTSSSQPEIDDTGGTDVAFSSIRGRFPFKRNPSHHRQKSLSSDRQLPRSSTRSHLHNRFSRKSLLSLFPKSGFYALIFAVVFLFAFASMVMQSSITSVFRQRNERGRNLREGLEFGSTLKFVPGKVSQRFLSWDALDRLRFQPRIGVRAPRIALILGHMTVDPQSLMLVTVIQNLQKLGYVFKIFGVGRGNARSIWENIGGGLSPFSTDQQGQIDWSNFEGIIVDSLEAKEAISSLMQEPFCSVPLIWIIQEDSLSNRLPVYKQMGWQHLISHWRSAFSRASVIVFPDFTYPMLYSELDTGNFFVIPGSPVDVWAAESYSKTHTKDQLRELSGFGKNDMVVLVVGSSIFYDDLSWEYAVAMNSIGPLLTKYARRNDAAESFKFVFLCGNSTDGYDDALQEVASRLGLPHGSIRHYGLNGDVNSVLLIADIVLYGSAQVVQGFPPLLIRAMTFEIPVIAPDFPVLRKYILDGVHGVFYSKHNPEALMNAFSLLLSSGRLSKFAQAIGSSGRQFAKNGLALDCIIGYARLLENVLSFPSDSLLPGPVSQIQQVAWGWSFFQNEIELDIDLLKMDDDFSNGKATVVHAVEKELASLNYSTNFLENGTDVPIQDELTKLDWDILREIEISEESEMLEIEQVEERLEKDVGVWDEIYRNARKSEKLKFEANERDEGELERTGQPVCIYEIYSGAGVWPFLHHGSLYRGLSLSRRSQRQSSDDVDAVGRLPLLNDTYYRDILCEMGGMFAIANRVDSIHRRPWIGFQSWRAAGRKVALSVEAESVLEETMHENARGDVIYFWGRLDLDGGAIGSNNALTFWSMCDILNGGNCRNVFQDSFRQMYSLPPHAEALPPMPEDGGYWSALHSWVMPTPSFLEFVMFSRMFVDSIDAFHRDSGKYSMCLLGSSEIEEKHCYCRMLELLINVWAYHSSRKMVYINPNTGSLQEQHLVEQRKSFMWAKYFNFSLLKSMDEDLAEAADDGDDPRDKWLWPMTGEVHWQGIYEREREERYRIKMDKKRKTKEKLYERMKYGYKQKSLGL >RHN49558.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:55644778:55648193:1 gene:gene44401 transcript:rna44401 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor ssDNA-binding-TF family MEAETRRKVEEMVLDILKKSNIDETTEFTIRVAASERLGIDLSGPETKQFVRTIIESYLLSIATDADKPPQEPPQETPKEVEPPQPQEFNKVVGVKRKNDDSEDVICQLSARRNVAVRDFKGMTLVSIREFYTKDGKQLPTAKGISLSAEQWSAFKNFVPAIEEAITKLDGRIRSEHNGRKIGEASSSVVDVPVEPVPVQSVRVEPVPVQSVRVEPVPIEIIRFDGKNYQVWAEQMELLLKQLKINYVLTEPCPNATLGEKDASAGKIAETKAAEKRWVNDDSLCRRNILNHLSDSLFNKYANRKMSAIELWEELKSVYLYEEYGTKRSQTLLLLSECILKRTFTLVSSFQSFRYPGKTFALS >RHN41018.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:25923785:25928011:-1 gene:gene47288 transcript:rna47288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MAEEQFQASGNWWETPARNMRFESVEQQQQQSSSFGGWQQQQQHHDTMSASGSSSVVFHDTTQKLQPSDSSTSNNDSNLHMMGLGLSSQNIDWNQASILRNEKASEGSFRSMLQENLNSTGTNFEQETSGIGIGVELSHQVNWRQEKLFSNESSSNEFKQVNRGFSLDQPQFSPQYSSGDSNMISQMDSSALYGNPSMLQGLLGTETNQIQPQHGNSFENRSMNFPYSSTSYGLSTNELIPSWSKVSHQNQKQHQQPNNQLHFTNNAPFWNASETTIKDASSSFLPPFTTPNFGAQTKNISEGRDSSAMVKKSGSEPAPKRSKNETPSPLPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVTVLSTPYMKSGAPIQHQQSSGKSKEADGPKQDLRSRGLCLVPVSSTFPMTHEPTVEYWTPTFGGTFR >RHN47852.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:43026377:43038893:-1 gene:gene42499 transcript:rna42499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative villin headpiece, villin/Gelsolin, ADF-H/Gelsolin-like domain-containing protein MSSATKVLEPAFQGVGQKVGTDIWRIENFQPVPLPKSDHGKFYMGDSYIILQTTQGKGGSYLFDIHFWIGKDTSQDEAGTAAIKTIELDAALGGRAVQWREIQGHESDKFLSYFKPCIIPLEGGVASGFKKPEEEEFETRLYVCKGKRVVRIKQIPFARSSLNHDDVFILDTQDKIFQFNGANSNIQERAKALEVIQLLKEKYHEGTCSVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVISEDDIVPETIPAQLYSIADGEVKPVEGELSKSLLENNKCYLLDCGAEVFVWVGRVTQVDERKAACTAAEDFVASQKRPKATRITRVIQGYETHSFKSNFDSWPSGSANTAGAEEGRGKVAALLKQQGMGVKGAAKSTPVNEEIPPLLEGGGKLEVWIINGSAKTPLPKEDVGKFYSGDCYIVLYTYHSGERKEDYFLCSWFGKNSIEEDQKMATRLATTMSNSLKGRPVQGRIFDGKESPQFVALFQPMVVLKGGVSSGYKKLIADKGLPDETYTAESIALIRISGTAIHNSKTMQVDAVAASLNSTECFLLQSGSTVFTWHGNQSSVEQQQLAAKVAEFLRPGIALKYSKEGTETSTFWFAVGGKQSFTSKKVTNDIVRDPHLFTFSLNKAGKLQIEEVYNFSQDDLLTEDILILDTHAEVFVWIGQCVDPKEKQNAFEIAQKYIEKAGSLDGLSPHVPLYKVTEGNEPCFFTTYFTWDHAKATVQGNSFTKKLALLFGIGHSVEEKSNGSSQGGGPRQRAEALAALNNAFNSSPEASPSPDKLNNLNQGGHRQRAEALAALNSAFSSSSGTKAVTPRRSPRGQGSQRAAAVAALSNVLTAEKKKSSPDSSPVASSSPVVEGSTLDAKSESVPSESEGREEVTETKETEELVSETGSNGNSESKQENVEDGNDNQNSQSVFTYEQLKAKSGSDLSGVDLKRRETYLSDEEFETVFAMKKEAFTKLPRWKQDMLKRKVDLF >RHN78733.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:17938151:17939380:1 gene:gene2380 transcript:rna2380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor B3-Domain family MISKQRLLKDFILRDHRGSDWHVKVCSIGNKLYFDDGWKLFREENSLEDNDFLVFTHIENNVFKFKILELSSMCQKMKVMDEEENTNTIEDEEEADGDDDYTMVEEEEDSDDNDDVDEEEDEDVADIIMKEKRNKGAGKGINRSCEHQHGRTFKRWSIGSSSAAPNLEDDEIDAEMYIQPGNPYFYAKHYDYRPNILNISKNVIKDFCLCFTKHITIVCCHCKDVQSNGIAAYRHILPQMRTKHIEKRGEVRKWKDGRVFVRGWEDFCRKSKITENDKCLCELVLRNGKSIEMLRVHVVREK >RHN67038.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23170406:23172643:1 gene:gene15170 transcript:rna15170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MKKKVSADDEKASTMMKDTIVEVREDHMISLSSDSKPIFRTAHFLKPISDSIDEPSFKLNPFSFVFEPDEYPLKIHFNGWRIKLFEKWECWFEQLKPKYESVWKKAGIFDAIMSTKSIIHKNHNLLFGVVEKWCTETNTFVFPFGEATITLEDVMVLGGYPVLGDPVFITLQDQEMKEVEEKLIIARQTLSKTKTGMARATLWMDIFTDKGSEIEHEAFLVTWLSLFVFPNNKLVRSYSLPIAIHLARGNPIALAPAVLASIYKDLTLFKKAIVDLSKYHVGGDRYPLELTLQSPFYLVQIWIWERFKNLQPQPMPINHGDPFLFRWHKVKPLRIHDVRLALDAAMGDFIWRPYVRYADKCGMFYPNEEILVPFKKDLVDQQMLSFVICLRVSELVGIDSIEQYLPHRVAMQFAMDQDVPSYVPRFNKTKVIAWKNYCRPISDKNLYFPSKIFEADASMRYAKWWEQSVLDCRNFVKNIVQQKRTPSPRKDRPHVGKANISGNVVGVPPGFPTNCVETLVFGNFCDDGSRTKIRKVDNHDADVPNENIVVDAPSASNLPPKHNTQVPFISVEDRRPVLKEYRCSGSSADYEKILPLKRPVSKDSIELSNGGLKEDFKDANGRQEAKISGDRVCLFDNEGEIHNSSVRNKVMVEEIEERDHEVVVLSKDQYLKIQEELARLGRQQEEILRLMALREKKDEELRQQDDMLRLMAKQQEEIFRLMARQQEETTVLRNQHTPAPPQSSS >RHN51769.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:24740751:24744701:-1 gene:gene36287 transcript:rna36287 gene_biotype:protein_coding transcript_biotype:protein_coding MCCLAPVLELVARVADVTPLPPCLFLMPLLVLPTFHLRHSKFQHHR >RHN56675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:32746611:32751486:-1 gene:gene32112 transcript:rna32112 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMPPKPAKTSSKDLEEALQATEQRLENSITASHHHFTEQLEVMNTRLNQQQHHVDARDANLQTLLDECHETLVTILAVVLQTRQPDPPPPPISSGDRE >RHN40306.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15172476:15178589:1 gene:gene46449 transcript:rna46449 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain, winged helix-turn-helix DNA-binding domain-containing protein MQTPSGLLGPKAFRYSTFISFRGSDTRCDFSGFLNKYLIDRGFRTFFDDGELERGTQITVEIPKAIEESRIFIPVLSENYASSSFCLDELVKILEEFKKGNGRWVFPVFYYVNISDVKNQTGSYGQALAVHKNRVMPERFEKWINALASVADFRGCHMERARGIYEIRYIYEIIQEVSKHVACSIGLDHRVEKVMRYLNSSVRSDNNGVCVVGICGLPGMGKTTLARGVYHFGGGTEFDSCRFFDNVGEYVKKHGLVHLQQMLLSAIVGHSNSTMFENVDERMSKIKHMLNQKRVFLVLEDVHDSEVLKAIVKLTTFFGSGSKVIITAMEKCFLELHGIKRIYEVERMNKVEAFQLLNLKAFDSMSISPCYMTVLEGLETYASGYPFVLEVIGSYLSGKSMEECESALHQYKEISNRDIKKILQVSFDALEKSQQNMLIHIALHLREQELEMVENLLHRKYGVCPKDDIRVLLNKSLIKINENGQVILHGLTQDMVRDDIPVEDLG >RHN56495.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:31283105:31285677:-1 gene:gene31903 transcript:rna31903 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cytochrome P450 MSFALTTTMFAFLLFTFMYFLFKLYLHPKQKTLNHKKPPGPSTLPIIGNLHILGKLPHRTLQSLSKKYGPIMSLQLGQVPTIIISSSKAAESFLKTHDIVFASRPKVQGSDLMSYGSKGMAFSEYGPYWRSVRKFCTLKLFSASKVEMFGPIRKEKLDVLVISLEKAALEGEVVNVSEVVENLIEDIVYKMMFGRSKYEQFDMNKLVLEAMALMGAFNLADYVPWLGVFDLQGLTRACKKTSKALDEVLEMIITEHEQTTNTDKTRNEDFVDILLSIMHQTIDVEGEQNLVIDRTNIKAILLDMIAASVHTSATTIEWALSELLRHSRVMKILQDEIQNEVGNKRMVEEKDLKKFNYLDMVVDETLRLYPVGPLLIPRECRESITIDGYFITKKTRVIVNAWAIGRDCNVWSENADEFYPERFIDKKMNYQGHEFESIPFGSGRRRCPGIQLGLIIVKLVIAQFVHCFNWELPHNISPSNLNMEEKFGLSIPRAQHLQAIPSYRLDDAKHE >RHN67720.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:29706253:29707428:1 gene:gene15940 transcript:rna15940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MDYSAFAFFRSYFHPLWISFLIATCGFFIIKTLRYHLMSVKSKIAKLPPGPKPWPIVGNLPEMIANNPAPMWIFKVMEDLNTEIACIRLGNVHIIPVTCPTIAREILRKHDADFASRPTTMASGIISSGYLTSAIAPFGEQWKKMKKIIVNDLLSPQRYRWLQDKRNEEADNLIFYVYNKCNNGDLVSVRLASQHYCGNVYRKMYFNTRYFGKGMKDGGPGLEEIEHVDAAFTLLKYIYAFSASDYMPCLRLLDLDGHKGKIKNAMKIMNKYHDSIIEERIKQWNDGSKTVEEDLLDVLISLKDVNNNPVLTKKEIKAQLIVRHYLFFIFYFFIFLLPLHELIHSIKCKISREKTRVCGFLKGNFYGTLRNFSVPVLLFHKIYKLTIIFMK >RHN78184.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:12539940:12545524:-1 gene:gene1713 transcript:rna1713 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-ALPHA MDSDYGIPRELSDLQKLRSLYQPELPPCLQGTTVRVEFGDGTTTADPADEPTISRSFPNTYGHPLAHFLRATAKVPDAQIITEHPPIRVGIVFSGRQSPGGHNVIWGLHSALKIHNPKSVLLGFLGGSEGLFSQKTLEITDDILSTYKNQGGYDLLGRTKDQIRTTEQVNAALATCNNLKLDGLVIIGGVTSNTDAAQLAETFAVAKCPTKVVGVPVTLNGDLKNQFVETNVGFDTISKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVILGEEVAQSKLTLFEISRKIADAVQARSEQDKYHGVILIPEGLIESIPEVYALLKEIHGLLRQGVDVEKIASQLSPWASALFEFLPPFIRRQLLLYPESDDSAQLSQIETEKLLAYLVEVEINKRQKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHICYHILAAGLNGYLATVTNLKNPVNKWKCGAAPISAMMTVKRWSPNPGATSIGKPAIHPATVDLRGKAYELLRQNATSFLMDDIYRNPGPLQFDGPGADAKPITLNVEDQDYMGRIKKLQEYLEQVRTIVKPGCPQEVLKAALSVMGSVTEVLTAMSSSSGNTSSL >RHN38764.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:1872608:1873556:-1 gene:gene44730 transcript:rna44730 gene_biotype:protein_coding transcript_biotype:protein_coding MIISCKLNNKFPWRKKFILQLFQVLDIVTYSQFFNFRSYLFSFIHTFMSHGEILNIVLGRSCEMQIYWCIPKYLKTKLSTMNLALKSIQVFG >RHN41174.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27788727:27789194:-1 gene:gene47465 transcript:rna47465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative non-specific serine/threonine protein kinase MHASHLLSKHVLRKMVNLLAHLFLFSLSRHCFVSCLTANTKNITTDLYALIAFKSLITSDPYDMLANNWSTSSSVCTWAGVTCDERHGRVHSLILRDMSLRGTVSPNIGNLSFLVMLDLKNNSFGGQFLRELCRLRRLKILQTSYNKFVGEIPAT >RHN81982.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:50319914:50327769:-1 gene:gene6094 transcript:rna6094 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein Networked (NET), actin-binding (NAB) MATMLHSDSRRLYSWWWDSHISPKNSKWLQENLTDMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYNHATGELRQAHRTMAEAFPNQEHFLLTDGSPCSSSGPEAEPRTPEMLHPIRAFLEQVDVQKDALGLSRKGLKQLNEIFEFSQLSAEKQDENIQNHSESEHGGKAEIELEALRKTLADIQCDKESILLQYQKSLESLSEKEKELNKAQNIAEGLDERASKAEIEIGILKEALAELKSEMDTGLVQYNQCLERIASLEAKLSLAQLDAKGHDERAAKAETEAKSLKQELARLEADKDAGLLRYEISVEKISVLESKVNLAEENSRMLTEQIERAESEVKALMEKVSELNDEKEAVSILYRQSLQKISSMESEILHARETSELLKREIELGTEKIKTAEKHCDTLEKSNQSLQLEADDLVQKISLKDRELLEKHNEFERLQNLMHEENSRFLQIESTLQTLQNSYSQSQEEQRSLALELKHGLQLLEDLELSKKGFKEEMQHIVEENKTLHVLNFSSTRTLKDQQMEISKLKEIKENLEREFVVKVEESNHLLHESHQIKDEIKGLNNRYQDILEDLESVGLNPKCFAASVMDLQKENSKLKEVCKVEQDEKEALREKSKDMDKLLSEKAFMQCSLSSLNDELDGVRDTMKKFQESCHVLKEEKSTLVGEKSALLSQLQIITESMQKLLEKNALLEKSLSDSKIELEGLRAKSSSLEEFCNLLNNEKCSLLNERSILVSQLGSVEEKLSNLEKRFTKLEEKYSYMEKDKESKVNQVEELHALLSAQKQKHANHKHSSESRLANLENLVLRLQEERQLGKVEFEEELDKAVNAQVEMFILQKCMEDLEQKNSGLLFECQKHIEASKFSEEVISELEGENLMQQMEVDFLLDEIRKFKIGIHQVLAALQVDSDRRHDKGFKQEEISISHILNNIEGLKGSLAKTQEEKLQLLVENSVLLTVISQEESEGKELDSKKRHLEHEFQNTREQNVMLQKVKFELLEMNRQLGSELTEGEEREDALKSEMEVLRMKLVDLQNTNLMFQEENRKVLEEKNLLIRSVSELKEAKSAAEDESSVMFHEALNLKSLSLVYESFFIEKVLEQKELAEHLSDLHRTNNNLKQELGLLREQFEAKEAENVYLKESVRTMDKDLQEAKHANDNLSHRIQSSEDHLEKKKTELLEKEEKLKAVEMLNAEFCRNVEKLKMEQQESSLINENLERQILELSEGCMNHKKEIELLNEANRSIMSEMRLLHQEVEQQKAREETLSSELMDKTNEFQLWEAEAATFYFDLQISSISEALLENKVNELTGVCTRLQGESAAKSSKIEKMTERVGLLESEIGGLKGHLSAYVPVISSLKEDFASLEHTILQSNKASAVCNQEQKDYVIETCLGENINPSVIENNLMLDGVSDLIGMKARIRVVERCMVEEIERRVKEENLSSKANPQKDYRKVEKQLKDENMFDLNTWRTKSQNGSLMKDIPLDQISDNPASKNCRRKNRGTDDGMLELWETAEQDCFDDGLMVGEAMKRSSDPTEDVIMCHQSDNSGRCLNTSSELEAEKELGVDKLHLSKSIKDRTQDGKRRKILERLASDAQKLSTLNMSVQDLKMKMETKKRGNKKGVDTEYETVKRQIEDVEGAVVKLADTNDQLTKEIKESVPSSSRETSVELEKSRQMQRKRVIEQARKGSEEIGRLQFEMQNIHYVLLKLSDEKKNKGKNKFSGKTVVFLRDFIHIGNKSSSSKRRSKGCFGGTSKTTNED >RHN41563.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:31084369:31086894:-1 gene:gene47897 transcript:rna47897 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative caffeate O-methyltransferase MANHSNVLDLGHVNGNGERRSEKQELEDEESFSYAIQLGSSMVLPMVLHSASQLGVFDVLQKAGKGAQLSADEIASRISCSNPDAPKMLDRILVLLASHDVLKCLVIQDEQKLGSFHRLYSMTPVARFFAPNSDGSGLKNAIRKGGVPFNIVHGTHAFNYSSLDSRYNQVFNRAMINHTKIVMNKVLESYNGFEGIKRLVDVGGGLGVNINLITSKYSNIQGINFNLPHVIQHAPSYPGVEHVGGDMFESVPKGDAIFMKWILHDWSDEHCLKLLKNCYDAIPNDGKVIVLEAHIPIVPENGYASKSIAQLDVMMMTQCPRGKERTN >RHN41111.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:27059824:27062697:-1 gene:gene47400 transcript:rna47400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase MARSLDIILFVLCLAILTPTLNANKLENNEYWTEQRPEFDSYWQERAKVAKQENKAAYFKDPYAISGNFTASISEIIAVKEKGRNLGGLKDDGTCLATNPIDRCWRCDPNWANNRQKLADCVQGFGRNTRGGQGGPIYVVTDPSDDDMMNPKPGTLRYAVTRNGSLWITFARSMAITLQQELIMSGDKTIDGRGVDVYISNGAGITIQFVKNVIIHGIKIYDIQVRDGGMIRDSEAHYGFRTKSDGDGISIFGASNVWIDHVSMRNCTDGLIDAIMGSTAITISNSHFTDHNEVMLFGASNDYSEDKIMQITLAFNHFGKRLVQRMPRARFGFVHCVNNDYTHWEMYAIGGSQNPTIISEGNRFIGPYNKMLGNDLINSKEITKREYTEESEWKTWQWRSINDEYINGAFFVQSGPELKDRPFSQKDMIKAKPGSFVGRLTRYSGNLRCRVGEPC >RHN81086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:43201347:43201559:1 gene:gene5083 transcript:rna5083 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose synthase MSQPPKLVRLPSIRDRVEGTLSAHRNELVSLLSRLVLFPSLSTALFIRIIMYLLHQVNLRFKMLVALEKN >RHN75997.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:46863135:46872778:1 gene:gene12266 transcript:rna12266 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichol kinase MTTSFFNGERLIVLFFISTILYSLPFSLLSHGVSLSLLAIASFFLEISVDSSVSPFSLRTRPGASSGILLGAITLPSLILSKLIQSSRGFSLQQLQPQEIEYLTLQYWATSASVFSVLLFLAFTIKHSHWGARLSFAFVLSQAVLCVVALLTTSQIGLHPALKLSWVFFHGLASVKLIQHFMRTFPSCASIGEAFLVTAGIVLYFGDMLLLTIKKLCGLLVSSELITADEIKRSEINIIIQGIVLGLLLYPITLKYTLQIWECFINTTYSEQRRYYEIWRSLIFIASLGFVLIVVVPLWMQFVQKFDMHPFFWVLSFVFSEPSKRLSLCIYWMCIISISVLRFYKISKNSKIERILLRKYYHLIAVLMFSPALIFQPKFLDLAFGAALAVFLILEIIRVWRIWPFGQPINQFMNAFTDHRDSDLLIVSHFSLLLGCALPIWMSSGYNDRPLAPFAGILSLGIGDTMASMVGHKYGVLRWSKTGKKTVEGTAAGITSVLAACSLLLPLIASTGYIFTQHWFSLFLAVTVSGLLEAYTAQLDNAFIPLFFYSLLCL >RHN74946.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38044215:38045670:-1 gene:gene11084 transcript:rna11084 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDKMHVFATKKIVQDERMYFCSKCNKHVSDESGSMPKEIITLVDSTLMFKGESNNNMDARLEKSYWVSKISNKVEKDRCQYDKKII >RHN42068.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:35178796:35180555:-1 gene:gene48459 transcript:rna48459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MKVSQVLHMNGGVGEASYANNSLVQQQVLSLTKSIREEAITSLYCSAYPNSLAIADLGCSSGPNTLLVVSEFIKVVEKLCRELNHESPEYKVFLNDLPGNDFNNIFRSLDNFKKRLHGETETEMDQCYISGVPGSFYGRIFPNQSLHFVHSSYSLMWLSKVPENVNNNKGNIYMARTSPSNVLTAYYNQFQSDFSLFLKCRAKEVVEGGRMILTFLGRKSDKKYSKECCYIWELMAIALNDMVLQGTIKEEELDTFNIPQYTPSPSEVKLEVLKEGSFTIDRLGVSEVNWNALDQWNALACESQMSESLGDGAYNVMQCMRAVSEPLLVRHFGESIIDELFDRYQEILVDRMSKEKTKFVNVTVVLTRNP >RHN63732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53590160:53591702:1 gene:gene26472 transcript:rna26472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative replication protein A, OB MSSNLSSQMLTVGCRGKKTVVVTLWGDLATNIGNELLEIADKSPVVAIKSVKVWDFGGVSLSAMHRSLVMINPDVPEARKLRSSEAGIPLSPRYDSEGKDAAMVALSEAYISIFNEEAEKIIGCSADELNDLRSQEGEENPYLMKLKKATWIPHLLRVSVSQNENNNEKRQKITAVAVVPVDLAANLNFSLKIYRR >RHN66699.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:16712358:16717442:1 gene:gene14751 transcript:rna14751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavonoid 3',5'-hydroxylase MAFDAFLLRDIGIAIFIFFISHFFIGLILRNTSKNLPPGPRGWPILGVLPHLGTMPHVTLANMAKKFGPIMYLKMGTCDTVVASSSDAARAFLKTLDHNFLNRPTIIGATYLGYNSQDLVFAKYGPKWKLLRKLTTLHMLGGKALQNWANVRENEVKHMVRSIHESGKKGESIEVGGLLSCAITNMVSQVVLSKRMFASKGQESKEFKEMVVEFMTISGINIGDFVPFIGWIDLEGVVGKMKRLHKRFDEFLTKLIEDHVNCAHERKGNPDFLDIVLANDDDNFGERLSLSNIKALLLNLFTAGTDTSSSIIEWALAEMLKSPNILIRAQKEMNQVVGRERMLVESDLEKLPYLQAICKETYRLHPSTPLSVPRVSNKACQINGYYIPKNTRFNVNIWAIGRDPNIWANPLEFKPERFLSGKYARIDPSGVDFELIPFGAGRRVCVGYKMAIVVIEYILGTLVHSFDWKLPNGVELNMDEAFGLTLEKAVPLSATVTPRLVPHAYV >RHN78602.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:16579413:16583688:-1 gene:gene2227 transcript:rna2227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphate-transporting ATPase MSGELGVLNALDVAKTQLYHFTTIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTEPNPTRPGTLPPSVQSAVTGVALVGTLAGQLFFGWLGDKMGRKKVYGLTLILMVVCSVASGLSFGSSPKSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILGGGIVALIVVSIFDHKYKVPTFEENPAASLLVPQFDYVWRLILMFGALPAALTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVELEVEEEKVQKMTSDKRNSYGLFSKQFAKRHGWALFGTCSTWFLLDIAFYSQNLFQKDIFSAIGWIPPAKEMNAIHEVYKIARAQTLIALCSTVPGYWFTVAFIDHMGRFAIQMMGFFFMTVFMFALAIPYDHWSKEENRIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTKTDKGYPTGIGIKNSLIMLGVINFVGMLCTLLVPESKGKSLEELSGENEGESVEDTRQEGSGV >RHN54803.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:12499441:12504289:-1 gene:gene29891 transcript:rna29891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MSIKTVKVGNVSLGATERDIQEFFSFSGDIAYVELRSHDERSQTAYVTFKDSQGAETAVLLSGATIVDLSVNITLDPDYQLPPEALVSPVSESKTPGGADSALRKAEDVVTSMAAKGFILGKDAVNKAKTFDEKLQLSSKASATVASVDQKLGLSDKIGAGASVVSDKVREVDQKFLVSEKTKSAFAAAEQTVSTAGSAIMKNRYVLTGASWVTGAFNRVSKAAVEVGQKTKEKVENAEQQEKRQVEDQYAQVLSEPSKAAAAASEQQSSKPGPAPAQGLIL >RHN68390.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:35092523:35092888:-1 gene:gene16734 transcript:rna16734 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHLLGEAAKVDKTPHRACEKQRELDDEYVSTFRLKAVNNGDSISYSEKEVHTSDDIPRVLNELDKIGYVFYILGQGTGRNSLMKPNDDAKVYRILDHSRIKNVQKFTLGYKLFSEFLDH >RHN63539.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:52054267:52056000:1 gene:gene26248 transcript:rna26248 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAIDTATLVIQQPNGKEERLYWPVTASEVMKTHPDHYVALLISTTLCTSKDKENCSNKRSDDDDDNNNNKVRITRIKLLKPTDTLLLGQVYRLISAQEVTKGMWAKKQAKMKRNSLPESAQKSNQIKERINDKTANRSEPKDNKETKSERHESRTKATTNGGNHNNKSRTWQPSLQSITESAS >RHN40608.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:18161975:18164047:1 gene:gene46776 transcript:rna46776 gene_biotype:protein_coding transcript_biotype:protein_coding MENDNFFNQQSHRLNSYLHQQIFYPSSSLVEAPYNTTNFETPLHVQNNPSNTNINVDNLFIDGDRTYIL >RHN68768.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:38374801:38381347:1 gene:gene17162 transcript:rna17162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Phox domain-containing protein MNGSPDPPLDSFPRLRLHQSDGLSRRSSFGAESDSERYCSASNSMMGTPNTSMSIRSAVTVFHDFSDIDFASSSRTFDDSNRKSFQYGSSGLELYGDEGDELSMTGLDSSELIGNNGIEESDGNENGGEVGEREIETEEEEEEEFSEGDDSMFNYGSGCDGDNENEFYSMKGENVSSEFYSSRSVSLYEEGEVRNENPLLMNSSVAFGSHDLDDFLLQNGPVSVVSDLFYNPRESNNRVEEHGVSSVRKEEKDSLIVNDEVEETKDIGDREALEEVRDRDRDRDRDRDRDAPVSCEVQCADNSPDLDLLPEEDPQKSLNITDGGSEGKGNRYSKNDEAGASGDAQRENLDLDNFEFKSDQFCDNRDDVSTSNVSVHVENVDAKSFKNLKPIVLPSNGGTRKILERSSTSTNVLEKSHVISKIEDSELSEFYDEVVQEMEEILLESMDSPAARFSVGNRMFDPQQSVPSRDGGLTASTSSTDDACLLVKRPRRIDRIEVVGARQKRGDVSFSERLVGVKEYTVYKIKVWSGKDQWEVEKRYRDFLTLHRCMKSLFNEQGWTLPLPWSSVEKESKIFRSASLDIIAKRSVLIQECLQSILCSRFFSSPPRALVWFLSPEDSHPSSPVSNSPVSLSSFTRGENIRNSSTWGKTISLIVEIPSNKSTRQLLEAQHHTCAGCHRHFDDGNSSIWDFVQTFGWGKPRLCEYTGQLFCSSCHTNETAVLPARVLHHWDFTHYPVSQLAKSYLDSIHEHPMLCVTAVNPFLVSKVPALLHVMSVRKKIGTMLPYVRCPFRRSINKGVGNRRYLLESNDFFALRDLIDLSKGVFAALPVMVETVSRKILEHITDQCLVCCDVGIPCSARQDCSDPSSLIFPFQEDDIERCKSCQSVFHKHCFRKLSNCPCGEQLRLNKSRSFANRVSQWGGGGTKGAFDLLGKGLSSGLSPRFLSGLFTKEKPEKTREHQGENIILMGSLPSNSL >RHN62376.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:43015749:43016734:-1 gene:gene24945 transcript:rna24945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small heat shock protein HSP20 MSLIPSFFGGRGRRSNVFDPFSLDVWDPFKDFPFTNSSLSASSFPQENSAFVSTRIDWKETPEAHVFKADLPGLKKEEVKVEIEDDRVLQISGERNFEKEDKNDQWHRVERSSGKFMRRFRLPENAKMDQVKAAMENGVLTVTVPKEEVKKPDVKSIEISG >RHN44398.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:4225499:4229061:-1 gene:gene38516 transcript:rna38516 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide-binding alpha-beta plait domain-containing protein MAFFGKMGKLLQNSAVKHINHDLSMSTPSLFQAIRSMSSAKLFIGGVSYSTDETGLREAFSRYGDVLDAKIIMDRDTGRSRGFGFITFATSEEASSALQAMDNKELDGRTVRVNYATERARPGFGGGGYGGGGGYGGGGGYGGGGGYSGGGGNYGGGGGNYGGGNYGGGNYGGGGGSTYGRNDYNSSGSYASGGAPDSYTGGHVGTTSAAGGEFSSNSNLGYDAGKNEFGEPLENNHVPENNDQSDDYAETRR >RHN49358.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:54268205:54269595:1 gene:gene44179 transcript:rna44179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator GNAT family MAKVDLSRISLRPFKLTDVDDFMLWAGDDEVTKNIRWKTCNSREEAQTFIKDVCIPHPWRRSICLDDHSIGFVSVYPWSGDDRCKADMGYAVASNYWGQGIATKAIKIALSQVFNDFSDLLRLQAFTYVDNKASQRVLEKAGFLREGVLRKYTYIKENQT >RHN44372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:3981503:3982350:1 gene:gene38488 transcript:rna38488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative expansin/Lol pI MAMSNTLVPLTFFMMLLVQTMADIDPNWYDAHATFYGDAAGGETMQGACGYGDLFKQGYGLATTALSTALFNNGFTCGACFQITCVDDPQWCIKGASPITITATNFCPPDYSKTTDVWCNPPQKHFDLSYKMFTSIAYYKAGIVPVKYRRVPCIKSGGVRFEIKGNPNFLLVLVFNVANAGDVSSVSIKGSNTGWIPMSHNWGQNWNTGMNLVGQALSFQVTTSGGKTLELDSVAPSNWQFGQTYEGNGNF >RHN57319.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:38095018:38101200:1 gene:gene32844 transcript:rna32844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ankyrin repeat-containing domain-containing protein MTAIVDSDNILLNISSDEINDFISEYTLEGKWDSVIRLYNKFPEQAHTAIISDSAGTALHVAIDLDEEFFVEKLVHAILMHNNLEALEIGNEHGDTPLHFAASRGFARICKCIIGSENERIYLLSCKNKNGETPFFQAAVNWRKQAFAYLAHISKGMVNLQELLVRNDGDSVLHTAIQGEHFDLAVIIANYYAFLSTHQNEEVSTPLYLLANKPSAFKSSSSLPWYKRILYYSIHVEPVDAERTMWSILREMEAPQIWIQTFGFPSNYITLCDFYQGLIVILSGKWFKKKKQHDIEDPSNDNRRPFNSENNLEGVLPPNYKTFRLFVRCISELTLRLFGDLKHIKIAKNNHIWSSQLLKALLKNAALTKRNYLDPVYMLTVGTSRMIEEKSERDKMAYVKEKAPILVAARNGIYEMVKEILIEAPTALRSTNSSKQNVLLVAVEYRKILVVKTLRKILESKHWNSASSKQEKLWNSASSKREEILNSASSKKEKLWNSLVLAKDDKQNTILHLAAEAQAVDKPGQNIARSALQMMWDMKWFQVHTLTTICIIFYSLTYYLF >RHN38856.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2459416:2463811:-1 gene:gene44826 transcript:rna44826 gene_biotype:protein_coding transcript_biotype:protein_coding MEETDSVATLMDSTSSKIRQLQKAFAELESYRAVTLNMKWKELEEHFHGLEKSLKRRFHELEDHEKVFENKTMKAREMLKKQEEAVFAKEQATLQRLQEKRDAATFAILNAREKHRKVSQKDLAIVSNGGHGTSDVEEKPMDAISNVTEGLVEDVKLSPGNGNLESISYPELVKLCKEMDVSGLHKFISDNRKNLAAIREEIPHALKASPDAACLVLDSLEGFYCMEVSSQDIKKDANLLGLRRTCIMLMECLSDFLTDSGGISNLVSKDIKDRAKEVAEEWKPKLDALDMDASNGNSLEAHAFLQLLASFNIASGFDEEELSRLIPMVSRRRQTADLCRCLGLSEKMPGVIEVLANSGRQIDAVNLAFAFDLTKQFSPVSLLKSYLQDARNSCSPVKRVNSSPTAQIEVNERELIAHKAVIKCIEERKLDEQYPLDPLMKRVVQLEKAKADKKRETEATKPQPKRPRANGVGYGPRVTNIPSDKTSYARVADRYPQTQYAYDRPYMYPAPTDNHCPPLLGTPHYNFSHNHGNYFGNGYQYQATYLH >RHN72448.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:7752122:7754584:-1 gene:gene8160 transcript:rna8160 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor bHLH family MSHIAVERNRRRQMNEHLKVLRSLTPCFYIKRGDQASIIGGVIEFINELHQVLQALESQKRRKSLSPSPGPSPKTLQPTLHQFDISSGGIGTNNAFKELGASCNSSIADVEVKISGPNVILKVISQRIPGQVSRIITVLESLSFEVLHLNISSMEETVLYQFVVKIELGCQLSLEELAMEVQQSFSSEAMKLTAV >RHN47557.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:40588561:40591034:-1 gene:gene42171 transcript:rna42171 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MYB-HB-like family MTSQKFNNGDMSLFPLAPIPSTHPFDSKALPLTNLEKGLQILRPSMFKSKVEDGCFEVQGKNLSLKLGNEVEDKSSVSVKVGYSKLCSRGHWRPIEDAKLKELVAEYGPQNWNLIAEHLEGRSGKSCRLRWFNQLDPRINKKTFSEEEEERLLSAHKMYGNKWAIIARLFPGRTDNAVKNHWHVIMARRHREQCTVYRRRKPVVVESLSKDLKLSLSNNAASDSTISSTIDGNVSTCTNLLLTPSSAKLVVPVENHQGYGSLMDKSRESVVATRDVNFDKYFGACKKNEQVEKLKLIDVVVDQSNFSDSNSEVSVSESVTTNRSNISISGESENVGVKINMLLPFIDFLGVGAIQS >RHN49242.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53508486:53512470:-1 gene:gene44053 transcript:rna44053 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative soluble epoxide hydrolase MEGIEHRTVEVNGIKMHIAEKGKEGPVVLFLHGFPELWYSWRHQIAALGSLGYRAVAPDLRGYGDTDAPASISSYTIFHLVGDIVALIDSLGVDQVFLVAHDWGAMIGWYLCLFRPEKIKAYVCLSVPYLPRNPKMKPVDGMRALFGDDYYICRFQEPGKMESEFAKGGPELLIKNMLTSRNSGPPILPKEGVIPNPHASGTKALPSWLSQEDITYYASKFEKTGFSGALNYYRNLNLNWELTAAWTAAKIKVPVKFITGDLDAVYTSFGTKQYIESGGFKKDVPNLEEVVIQEGVAHFNNQEAAEDISNHIYDFIKKF >RHN63674.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:53108985:53109307:1 gene:gene26403 transcript:rna26403 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCMYMEVHPLKGVCLSFPFYLYTLKSNKLFFFRSPLSLFFFDLHFL >RHN57425.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:39055789:39064515:-1 gene:gene32968 transcript:rna32968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oxidoreductase MEVNKSEKNQTTSLIFCVNGEKFELSKVDPSTTLIEFLRTQTRFKSVKLGCGEGGCGACVVLISKYDPLVDRVEDFTANSCLTLLCSIHGCSITTSEGIGNSKQGLHPIHERFAGFHASQCGFCTPGMCVSLFGTLVNAEKKTNCQEPPSGFSKVTVSEAEKAIAGNLCRCTGYRSIADACKSFAADVDMEDLGLNSFWRKGESKDLQLSKMPRYDHQHKNVKFPIFLKEIKNDLFIASEKHSWHRPTSIKELQNILNLNHANGVRIKVVTNNTGMGYYKDNEGYDKYIDLKGISELSKIRKDQSGIEIGAAVTISKAIEVLKQQSKSDFISDFVMILEKIADHMSKVATGFIRNTASVGGNLVMAQKNNFPSDIVTILLAVNSMVHIMNGTQFEWITLEEFLERPPLSLESILLSIKIPSLETIKSTSSERRNRFHFETYRASPRPLGNALPYLNAAFLVEVSPSENSGGSMIDACRLSFGACGNKQAIRAKNVEEFLIGKMLTVGIVYEAVNLITATIVPKDENTKTTYRSSLAAGFVFQFFNSLIENSDGETNFYVNGYSKRPYVKDFELEENQKLVHHEKTPTLLSSGKQVLEAGNEYHPIGKPIIKSGAALQASGEAVFVDDIPSPPNCLHGAYIYSEKPLARVRSIKLSSELQLDGVKDIISSKDIPSGGENIGAKTIFGTEPLFTEEIARCVGERLAFVVADSQKLADMAANSTIVDYDIENLEPPILSVEDAVKRSSFFEVPPFLYPKHVGDISKGMAEADRKILSAEMKLGSQYYFYLETQTALAVPDEDNCITVYSSSQCPEFTHSTIARCLGIPESNVRVITRRVGGGFGGKAIKSISTATACALAAQKLCRPVRMYLNRKTDMIMAGGRHPMKITYSVGFKNDGKITALELEILINAGIYVDISAALPLSIVGGLKKYDWGALSFDIKVCRTNLPSRSAMRGPGELQGSFIAEGIVENVAATLSMDVDSVRSINLHTHTSLQSFYEHSSGEPFEYTLPSIWSKLAVAANYEQRIKMVKEFNRISTWKKKGISRIPVVIQLTLRPTPGKVSILSDGSVVVEVGGIEIGQGLWTKVKQMAAFALGTIQCDDGTGSLLDKVRVVQADTVSLIQGGFTAGSTTSEASCEAVRLSCNILVERLKPLKEKLQEEMGSIKWETLILQAYMQSVNLSASSFYVPSNNSMMYVNYGAAVSEVEIDLLTGETKFLQTDIIYDCGQSLNPAVDLGQIEGAFVQGLGFFMLEEYETDINGLSLADGTWNYKIPTIDTIPQQFNVEIFNSGHNQHRVLSSKASGEPPLLLAASVHCATRAAIKEARKQLLSWRNLDEPDSTFELRVPATMPVVKELIGLDVVERYLKWKMSRV >RHN68021.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:32202134:32205169:1 gene:gene16302 transcript:rna16302 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIPHGVAQSLINGLASVALREFGRINNVKDELESLTKTVESIRAALLDAENKQEKSLCVQNWVTRLKDVLVAADDLIDEFLLDTFGAFNYSLSILGIDFNLCIVILILIRI >RHN51635.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:21359824:21360593:1 gene:gene36113 transcript:rna36113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock transcription factor family MYDSIGLTNRSNLGLITTGESEDDDAHMKTIITLKNQLDEVPKAIASGDQVQPVAEGSLVDNPSNFRLEFRNCFPRDISNKLLLELSPAVLDMNLISCRTQGSNEDEERLQKNMSEGEQTRTGLAFTAETLDHADIGTSYTFNMDLCIS >RHN46086.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28367210:28367661:1 gene:gene40526 transcript:rna40526 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQPAPKTFELFDDLVLLSEGHVIYEGPSWILRVPYSVIEAVIWAAVVYYSVDLPLQRVVRQIALGLFGMMASIARDMVLANTFGSAALLIIFLLGGYIVTPYLWTRCDYS >RHN59612.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:12508700:12513962:-1 gene:gene21665 transcript:rna21665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MDISIRFWNPASRKISKKVGYCGDGVNNDPSCFPGKGDLLKFVFGYVNSSDTYKVVYFIVGTTSARVFSLGDNVWRKIENSPVVIHHVSGFVHLSGSVFWLTIQNYNGYDDYDCEDFTIEQFVVMSLDLGIEKYTQMRPPQGFDQVPFLVPNVSVLQDCLCFSHDFQQTHFVIWQMKEFGVEESWTRFLKISYLNLQIDLDDSFEDMSLYRLPLCVSEKSGTLLLTNCHENRAILFNWRDNRVQRINGHRLSNDKE >RHN41956.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:34326705:34333108:-1 gene:gene48333 transcript:rna48333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative histidine kinase response regulator and transcription factor RR-A-type family MATKCMHVFDRLLLWFATCWKKNSTLTGRRKFHKDVEKEDFQYSTTQCLSSYYSVFVVRLAIMVMLAILIGLLTILTWHFTKIYTTKSLNSLAYDLRYELLQRPILRMWNILNSTAEITTAQVKLSEYVIRSHGNLATQAEQVEMYESMRAVTWALFASRKALNSITVKYRNGFVQAFHRDLKDNNIFYIYTDLSYNETNSFAAHEDTNSNKSAIWYREQLDPVNGEKIGKAMKIAPEDSISIAGLSQVPDGVASWHVSVGKFTDSPLLSAALPVWDSSNKSIVAVVGVTTALYSVGQLMKELVDKHSGHMYLTSQEGYLLATSTNDPLLTNSTKKPKLKMAVDCDNEVIREGAMWLKKTYENNFPPSHEVHEENARLGHQQYYIDSFFLILKKLPLVGVIIIPRKHIMGQADERAFKTLVILISASLCIIVIGCVCILILTNGVSKEMNLRAELISHLEARRKAEASSNYKSQFLANMSHELRTPMAAVIGLLDILLSDDRLTNEQCATVTQIRKCSTALLHLLNNILDISKVESGKLVLEEAEFDFGRELEGLVDMFSVQCINHNVEIILDLSDDMPKLVRGDSARVVQIFANLINNSIKFTLSGHIILRGWCENPNSYGDSENFTLEQKPFGCSRKTKMKQHENHSKKASNIDNKMILWFEVDDTGCGIDPSKWDSVFESFEQADLSTTRLHGGTGLGLCIVRSLVNKMGGEIKIVKKEGPGTLMRLYMQLTAPVDATEQHCQVDFANNNLMVLLALHGNMSRLITSKWLQKNGVLTMEASEWNGLTQILKELFEAKTSTHNNDFDTHFPAPEGLNSKFISIQELPNPTFVIVVDIDLLDLSTDIWKEQLNFLHKYYARAKFVWLQNHDSSNTVKTELRKKGHILTVNKPLYKAKMIHILEDVIKERNVEVQKKNMKEDDLHESLEIEYTHHCDVASSDGSDISEIGSSNLVTANGEKQREEVVRINPSSLYQKSNCLLGLSNGYMEHKEAFISTRAKGEDSKGGETSRVSGSSKAMNGKKSLEGLRILLAEDTAVIQRVATIMLEKMGATVVAVGDGQQAVDALNGMPGVERNTITSQTEILSFPSYDLILMDCQMPKMDGYEATKEIRKSEIGTSFHIPIVALTAHAMSCDEAKCLEVGMDAYLTKPIDFKLMESTILSLTKRETLTS >RHN61266.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:34311358:34315222:-1 gene:gene23707 transcript:rna23707 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BURP domain-containing protein MATTPLALKFLTVVLFFFMIGQDINARDLKVELQDHDQVDSNDKPYITQYGGSRPNYPASYTTTKHNKHISHLEKLSEPYMNGGYRLAYGKHEAKNPDYTTGYKTHTHESNDKLSEPYMNGGYRLAYGKHEANNPDYTTGYKTHTHESNEKLSEPYMNGGYRLAYGKHEANNPDYTTGYKTHTHESNEKLSEPYMNGGYRLAYGKHEAKNPDYTTGYKTHTHESNEKLSEPYMNGGYRLAYGKHEAKNADYTTGYKTHTHESNEKLSEPYMNGGYRLAYGKHEAKNPDYITGYKTHTPESHESNELYVSAYGNHEAKQPNYLIGNISVGNDPKDPPSTTSKDLEGSQSPNLDRTEAFKVGFFNLDDLYVGNVMTLQFPVQVVSPFLSKKEADSIPLAMSQLPSVLQLLSIPEDSPQAKSMINSLGECESETITGETKTCANSLESMLEFVNTIFGSDAKISILTTNKPSPTAVPLQKYTILEVSHDIDAPKWVACHPLSYPYAIYFCHYIATGTRVFKVSLVGDENGDKMEAVGICHLDTSDWNPDHIIFRQLSIKAGKNSPVCHFLPVNHLLWVPMQPSKATM >RHN76241.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:48801511:48805711:1 gene:gene12534 transcript:rna12534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed linoleate 9S-lipoxygenase-2 MFPNVTGILNRGHKIKGTVVLMRKNVLDFNTIVSIGGGNIHGVIDTGLNLIGSTVDGLTAFLGRSVSLQLISATKSDANGKGKVGKDTFLEGILASLPTLGAGENAFNIHFEWNDEMGIPGAFYIKNYMQVEFYLKSLTLEDVPNHGTIRFVCNSWVYNAKLYKSPRIFFANKSYLPSETPSPLVKYREEELQNLRGDGTGERKLHERIYDYDVYNDLGNPDHGESFARPVLGGSSTHPYPRRGRTGRYPTRKDPNSEKPATEIYVPRDENFGHLKSSDFLTYGIKSVSQNVLPAFESAFDLNFTPREFDSFQDVRDLFEGGIKLPLDVISTISPLPVIKELFRTDGENVLKFPTPHVVKVSKSAWMTDEEFAREMLAGVNPCMIRGLKEFPPKSNLDPTVYGDQTSKITADALELDGSTVDEALAGGRLFILDYHDTFIPFLRRINETSAKAYATRTILFLKEDGNLKPVAIELSLPHPDGDKSGVVSQVILPANEGVESTIWLLAKAYVVVNDSCYHQLMSHWLNTHAVVEPFVIATNRQLSVIHPIYKLLSPHYRDTMNINALARESLINANGIIERTFLPSKYAVEMSSAVYKNWVFPDQALPADLIKRNMAVEDPSSPYGLRLLIEDYPYAVDGLEIWTTIKTWVQDYVSVYYATDNDIKNDSELQHWWKEVVEKGHGDLKDKPWWPKLQTLEELVEACTIIIWTASALHAAVNFGQYPYGGFILNRPTISRRLLPEEGTAQYDEMVKSPQKAYLRTITPKFQTLIDLSVIEILSRHASDEVYLGQRENPHWTSDSKALQAFQKFGNKLAEIEAKLAKKNNDPSLNNRLGPVQLPYTLLHPTSEEGLTFRGIPNSISI >RHN65189.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:121213:122215:1 gene:gene13006 transcript:rna13006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor FAR family MTDMHENTTMPGCDDDILESALDADPLNDRLSSEIPSEPFEGMDFASIEDVKNYYVRYAKSKGFSFRMGKSRTNGMVIGQEIVCSKEGLLQLCAGNYRRIIAIFNKITVMMQWKLPHIGYLAFL >RHN42897.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:41776782:41781156:1 gene:gene49397 transcript:rna49397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative HAD hydrolase, subfamily IA MNMTMASTLTHTLSLTPSTSHSYLSQTRHSFRFPTIKLPSFSNNNRNNHRVFFKVSASSSSSFQALIFDCDGVILESEHLHRQAYNDAFLHFNVRSPSSSSSSQPLNWDIEFYDQLQNQIGGGKPKMRWYFKEHGWPSSTIFETPPTSDEERAKLIDTLQDWKTERYKDIIKSGSVKPRPGVLKLMDEARDAGKKLAVCSAATKSSVILCLENLIGIERFQSLDCFLAGDDVKEKKPDPSIYVTASQKLGVSEKNCLVVEDSVIGLQAATQAGMSCVVTYTSSTAEQDFKEAIAIYPDLSNVSLKDLELLLQDIVAAKQDNCL >RHN77012.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:3169120:3172709:-1 gene:gene419 transcript:rna419 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative EamA domain-containing protein MAGRHCYKDVLPFTAMVAIECTNVGVSVLFKAATQKGLSYYVFIAYSFVVSTLVLLLPLPFFIKWSRGLPPLNMSLIFRIFLLGVLGVVAQLFGYKGLEYTTPTLASSLSNLIPAFTFILAIIFRMEKVKLRWKSSQAKILGSTVSILGALTVVLYKGPIIIPSPSTQSPPIIHSPITSSTTESNWILGGSLLVIEFLIVPIWYIIQTTVIKEYPAEIIVVFLYNLCGVLVSIPICLLLEPNLSAWKINPDITMISIICSGFFSTGLSCLVHTWGLHIKGPVYISLFKPLSIAIAASFSAIFLGDALHFGTVVGAVIISIGFYAVLWGKSKEESNDEFDIGRVPLPSNSKTPLLKVSNVIE >RHN69937.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:47459040:47459639:1 gene:gene18470 transcript:rna18470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box associated interaction domain-containing protein MSKKHLIVSSLNDSGESFLWDSSISSVFSNASNSSSVTQTQLKCPISLNNYLEICSCDGILCFSFAGHSAFLWNPSLRRYNMLEISIGYDNFNDVYKVVAVSFFNDKNREVNVHTLGTNYWRRIQDFPYSQSIPGPGVFVSGTINWLIYDVSGSCSFHAIVSLDLEIESYQKLSLLHLKKDYWIWTLGVFRDCLCIFDY >RHN64417.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:58877630:58883461:-1 gene:gene27233 transcript:rna27233 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-fructofuranosidase MTTGSYIGISTMKPCCRILTKTPLISGFSSIKFSDSTMMSILSRSSCSRSIHSYRYYRCNNTKILGYINVNGLNRSDFSVTDSNWVQSRNFRPRVGSLIPNVTSDFRNQSTSVDSNSNVNNDKSFENIFIQSTLNPKPLLFDRIETDDQSKVEEVDKSSVNLDNKSYDLNENKVEDKLSKIEEEAWKFLRGAVVTYCSNPVGTVAANDPDEKQPLNYDQVFIRDFVPSALAFLLNGEGEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPLDGSNEAFEDVSDPDFGESAIGRVAPVDSGLWWIILLRAYGKLTGDYSLQDRVDVQTGIRLILKLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLIVNDTTRDLVAAVSNRLSALSFHMREYYWVDIKKINEIYRYKTEEYSTDAVNKFNIYPEQIPSWLVDWISEEGGYFIGNLQPAHMDFRFFTLGNLWAIVSSLGTTRQNEGILNLIDAKWDDIIGQMPLKICYPALEGEEWCIITGCDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRSDLAQKAVGLAEKRLCVDKWPEYYDTRNGKFIGKQSRLMQTWTIAGFLTSKMLLKNPDKASLLFWEEDFEILQNCVCMLNKTGRRKCSRFAARSKILV >RHN53929.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:5409840:5412329:1 gene:gene28895 transcript:rna28895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative translation protein SH3 MKFNPRVSSSRRKSRKAHFTAPSSVRRVLMSAPLSGDLRSKYNVRSLPVRKDDEVQVVRGTFKGREGKVVQVYRRKWVIHIERITREKVNGQTVNVGVDPSKVVITKIRLDKDRKSLLDRKAKGRAAADKEKGTKFAPEDIMQNVD >RHN57923.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42602106:42605190:-1 gene:gene33514 transcript:rna33514 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative major facilitator, sugar transporter, major facilitator superfamily MAGGTFTTSNVDNERAELYKGRVTPYVIIACIVAATGGSLFGYDVGISGGVTSMDDFLKEFFPAVYIQKQHAHENNYCKYDNQGLAAFTSSLYIAGLVASLFASTITRTYGRRASIIIGGISFLIGSAVNASAINLSMLIFGRIMLGIGIGFGNQAIPLYLSEMAPTHLRGGLNMMFQVATTFGIFIANMVNFGTQRIKPWGWRLSLGLAAIPALLMTIGGIFLPDTPNSLIQRGSQEKGRKLLEKIRGTSDVDAELEDMVEASELANSIKHPFRNILKRKYRPELVMAIVMPTSQILTGINAILFYAPVLFQSMGFGGDASLYSSALTGGVLACSTFISIATVDKLGRRILLISGGIQMIICQVIVAIILGVKFGDNQELSKGYSILVVVVVCLFVVAFGWSWGPLGWTIPSEIFPLEIRSAGQSITVFVNLFFTFIIAQVFLALLCSFKFGIFLFFAGWITLMTIFVILFLPETKGIPIEEMTFMWRKHWFWKLILPENTSQ >RHN73274.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:15062688:15064431:-1 gene:gene9072 transcript:rna9072 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription regulator mTERF family MSLEEVKCLGFDASTTTFGTALMTKQCMSKILWDKKVDVFKKWGWSDEDVIRVFRCQPSLMLASIDKINLVMSFWVNQLGWDFLALTKCPLMFGYSLHKRIIPRASVLQFLFMKGLREKNASLVSPFTYSENLFLNKCVFSFKEESDYLLKLYEEKMKLANTKENIGMPSTKCVIY >RHN76506.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:50835209:50836005:1 gene:gene12845 transcript:rna12845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MAAATDLYLPDECWEHIFKFFNCYGYSDNYYNSYLKSLSIVSKQFLSITNRLKLSLMIRDTTLPFLGQLFQSCPKLLQLDLAHCHYVTDKGLNHVVENCTQPRELNLRNCDNVHRDVLASLILSRPSLRKLTIPYRDDFSDQEMELLSRQRQGMHCLLVFENCFIVV >RHN62839.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:46147668:46149157:-1 gene:gene25459 transcript:rna25459 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKSTWFSPLCDVNAGEAMSLCTTLDWVANLQFDNVDFVLDSKKVVDSFRTCINDVTEFGCIIYVCKQLFQNNFLNSHVKFRQRQANRVAHELSKIAYVMLAPTSTMMYCHKSDGCEVFMNCAEGNGLCTITFVLA >RHN73585.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:17891697:17899468:-1 gene:gene9411 transcript:rna9411 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAIQVLRIILEVVFRQLGRILYILGRRALKIVKIPSKVIPVKIATSFNVKINTI >RHN82713.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55846997:55847461:-1 gene:gene6895 transcript:rna6895 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSLSVNALSFNMASSSTKVTLKLLVDKKQNKVLFAEASKAAINSLLNMFRLSFGTVVRLMSNNDMHLFGSLGNLYHTSTTVQNLNQNYVLFDPTIPNDDHSLGISVYMCPNGCTYGITSCDQCSQPMNRDETRHVAMKDQNVQMLAFFFFFK >RHN57834.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:42039803:42043049:1 gene:gene33423 transcript:rna33423 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSKHGSQSEIILRVKQGDNPTFGFLMPDHHLHPYFRFLVDHQELLKDDKNDAGSTLDKNRSQELDQTGGALSLLGSVYGNGEDEDGTTENTSDLERNAHVGAVDAASSGVEQAQSSSDADKKDGSISKNQIPLKEKVPVIKRNQSISIVKTATSARTKTGVAPDSGSNGANKSQISVPSTSKIELPVVEPPSDLKIIIDKIVEFILKNGRQFESVLAEQDRAHGRFPFLLPSNRYHTYYLKVLQTAEESKLQGKGCQKHTPTDPSMSSDLPNDMDRKEKFKMTIGNLRKDGQDPTPKDSQSQTTVSIHAAAAAAILQAATRGIKRPNLEIFSKASSGNGQGLGSDGGNLYSSRSLPSSQLQGLVPHRNLNAEAGASVPVAKAIAEKVAIAAAGEADSSEAHMTKEQKLKAERLKRAKMFAAMIKSGAGPFKSELPRALSVEPPSSGLSGSDAEIRNLAGKEREGSSVPFNTDNSDKSHKSEEKLSDDNSDKSHKSEEKLTVDNNERRSKRKYRSRSSKHEEEDDEEEENKEDTRDHKRSRKKHRSRRSSHRSRDRDADRDRNRRKHKRRDSSSNDKYSHRESRHDSSSEEVEHRHLRHQRKYESSSDEKHRSSRRHRGGSKLSDHEHRHSRKRYSSSDDEHRHSRKRYSSSDDEHRHPRKHYSSSDDEHSHRSRKTKHKSRSHAEREAELEEGEIVKSDKSQVSEVGRASREASAELFKSTRAPSQSPEVTNVSDELRAKIRAMLMENL >RHN78833.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:18831246:18835648:-1 gene:gene2489 transcript:rna2489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase, family 14 MLSSPILVSLALLISLPLVLFLSQQLLPQFTFPFSPDADDLALFHRATTHTTTHLSTLTNPKPKIAFLFLTNTNLTFAPLWEKFFTGNNHLFNIYIHADPTTSVVSPGGVFHNRFISSKPTQRASPSLISAARRLLASALLDDPLNQYFALVSQHCVPLLSFRFVYNYLFKNQLMSLASFSDFNLLYPSFIEILSEDPNLYERYNARGENVMLPEVPFEDFRVGSQFFILNRKHAKVVVRDYKLWKKFRIPCVNLDSCYPEEHYFPTLLSMEDLNGCTGFTLTRVNWTGCWDGHPHLYTPEEVSPELIRQLRVSNSSYSYLFARKFSPECLAPLMDIADDVIFRD >RHN73651.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18585417:18587717:1 gene:gene9490 transcript:rna9490 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIRNNDMGYSDPPPNANNSRNTNPPISNQGQSLGYSSPPPNANNGSQRSQTIWAQNQSYSNT >RHN53479.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:1925845:1928262:1 gene:gene28388 transcript:rna28388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nitrite reductase [NAD(P)H] small subunit, NirD MAATNPLSLSCSSQTHTSPHFLLPRKPFLLLSRRHSNRYALPKSLRLVTTCKASQVSVAEESSKNWVPVVPVSALPRGERRVIIQEGETILLLWYKDQIFAIENRSPAEGAYSEGLKNAKLTQDGCIVCPTTDSTFDLRTGEIKEWYPNNPVLRVLTPALRNLFVYPVKTDEQNIYISITGGFKSDAATEILFSGKAQPGITATDVNVDEVRMVVDESQLGFGFNRKNEIINGKAAVIGFLLLLDFELLTGKGLLKGTGFLDFIYSASNALN >RHN57052.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:36129592:36132822:-1 gene:gene32542 transcript:rna32542 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase MARCH MQVNEDKFHVVVDIDNNVCHRSNERCSNSGDRLNEDQRCEIVGVSEKQRGSCDSDGSSESECSVELDLDGGGVVSDVKVHLDKVERDCRICHLSMDMTNHESGIPMELGCFCKNDLAAAHKHCAEAWFKIKGNKTCEICGSIAHNVAGAIEVQMTEQFNESSDPSIVPPTGPSPPSETRNFWQGHRFLNFLLACMVFAFVISWLFHFNVPS >RHN40158.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:13736382:13739096:-1 gene:gene46272 transcript:rna46272 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHPTDKSHSDDVLVGDNDLANWSNHHHERIYGDGKETELIEDDEDSFGRTLGEVVSWGTISERSAEWLKCDFDHKSILDCEDTKLTKPIRHNEAIVSDVGLGCVDSFYQDNSKWYDSPCALGTSADCEDTGFHHGEIL >RHN79714.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:31473125:31477615:-1 gene:gene3540 transcript:rna3540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbonic anhydrase MKLERENTYTLRTSLMILIILLYTTSIRAQEVEDEREFDYIEGSEKGPHHWGELKEEWAACKHGDMQSPIDLSNERVKIIPNYRQVIKTKYKSSNATIINRGHDIAVFWRGDAGSISINGTKFFLHQCHWHSPSEHSINGRKYDLEMHMVHISPNPKGNKIAVVGAFFKIGRPNSFLSQLEEDIKHMVDEEEEERVIGDKDPSQIQTKGKIYYRYVGSLTTPPCTEGVMWNLDKKIRTVSKAQVDLLRQAVHDHAEMNARPRQPHNNRDIHLHKYYPFKQSSTTKN >RHN39372.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:6540037:6541637:1 gene:gene45390 transcript:rna45390 gene_biotype:protein_coding transcript_biotype:protein_coding MFEMKEYIVGTTMSMKIRIVMRMRKRVVVVVRRSEYVRRRRWWFVVVFGSQNGDMSSSCSIGI >RHN77496.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:7234636:7237755:-1 gene:gene959 transcript:rna959 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydroflavanol 4-reductase MGSMAETVCVTGASGFIGSWLVMRLMERGYMVRATVRDPENLKKVSHLLELPGAKGKLSLWKADLGEEGSFDEAIKGCTGVFHVATPMDFESKDPENEMIKPTIKGVLDIMKACLKAKTVRRFIFTSSAGTLNVTEDQKPLWDESCWSDVEFCRRVKMTGWMYFVSKTLAEQEAWKFAKEHNMDFITIIPPLVVGPFLIPTMPPSLITALSPITGNEAHYSIIKQGQFVHLDDLCEAHIFLFEHMEVEGRYLCSACEANIHDIAKLINTKYPEYNIPTKFNNIPDELELVRFSSKKIKDLGFEFKYSLEDMYTEAIDTCIEKGLLPKFVKSTNK >RHN48007.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:44222978:44227147:-1 gene:gene42675 transcript:rna42675 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MKNLLSLVHHSLRHKSPYHLEHIKPFSFTTNKPKWNSPTNVIITNPTLLIMESCSTMRQLKQIQARMTLTGIITHAFPVSRVIAFCALAHSGDLHYAHTIFNRVEQPNTFMWNTMIRGYQNARKPIFAFSFFVYMFQLRVEMDSRSFVFALKACQQFETVFEGESVYCVVWKMGFDCELLVRNGLIHFYAERGLLKNARQVFDESSDKDVVTWTTMIDGYAAHDCSEEAMEVFELMLLSHVEPNEVTLIAVVSACSDMGNLEMGKRVHEKVEEKNMRCSLSLHNALLDMYVKCDCLVDARELFDRMATKDVYSWTSMVNGYAKCGDLESARRFFDQTPRKNAVCWSAMIAGYSQNNKPKESLKLFHEMMERGVVPIEHTLVSVLSACGQLTCLNLGDWIHQYFVVGKIIPLSVTLENAIVDMYAKCGSIDAATEVFSTMPERNLISWNTMIAGYAANGRAKQAINVFDQMRNMGFEPNNITFVSLLTACSHGGLISEGREYFDNMERKYGIKPERGHYACMVDLLGRTGLLEEAYKLIANMPMQPCEAAWGALLNACRMHGNVELARLSAHNLLRLDPEDSGIYVLLANTCANDRKWSDVRRVRSLMKDKGVKKIPGYSLIEIDGGFVEFLVADESHPQSEEIYKVLEEILLLSKLEDYSYDL >RHN54260.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8111718:8112855:-1 gene:gene29264 transcript:rna29264 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MTSLTKAMCWELVTINKDKLNHVGVAIYRKPASNDCYERREKSQPPLCKDDDDPNAAWYVPLQACMHKVPVNKADRGAKWPEVWPKRLHKAPYWLNNSQVGIYGKPAPKDFVEDTERWKNAVDELSNIGVTWSNVRNAMDMRAVYGGFAAALRELPIWVFNIVNIDAPDTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHADKLFSKTKERCKLNPVIAEVDRMMRPGGMFIVRDESSIISEVETLLKSLHWEITYSKEQEGLLSAKKGTWRPKSVASS >RHN75542.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:43152265:43153419:1 gene:gene11766 transcript:rna11766 gene_biotype:protein_coding transcript_biotype:protein_coding MSFITSSSPDWPQVPNTDRRSWRLGTNCGYSVCSVYHMLTTDDSQVLDAVSKLIWHIHVPVKVSLPAW >RHN47696.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41688003:41688680:-1 gene:gene42322 transcript:rna42322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat domain, L domain-containing protein MFGKEKHLRTFLTINFTSNPFNHENAWCIILLNLKYLRVLSFRNYPYLYALPDLIDELIHLRYLDLSGTYIKLLPDSLCNMYNLQTLKMICCEQLAKLPNDMHKLVNLLRHLDISGILKLQEMPREMRKLKRLQHLSCFVVGQHEAKGIKKELGTLSDLHGSLSIKKLENVNSSFEASEARIIDKKYLEELELEWSEDAADDVENSQNEMDILCKLQRHKISRMG >RHN46362.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:31001187:31003826:-1 gene:gene40844 transcript:rna40844 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQTESVEQVDNVRLRILVDKEKNKVVYAEAGKDFVDALFSFLTLPLGTIARFVAKDSNIEAVKFGSISSLYQSVSNLDQQYLSSLTCKEMLLQPRNSMEDYCRQLKLNIDDTERLQYFVCENWDCRRKVSGCLLSFFRNQKCYCGKVMNREVFPKFFNIENGFVKENATFIISDDLCVMPNVFGASLNLLQKLGVETIDAIEEQAVVDLLKLSLISKTPLTDFFLRKSQSVDNLNLRNNIEFLIGDLPSDEDRQMSVKVTLRKTNEQILFVEAGDDFIDFVFSFLTFPLGGVLHMLQGFSSLSSIDNLYKSFSDLSPDIYLMSEGLKDKLCKPLIAPQFELKNPILPIGAAFLPVNYCHTYYDYTRMRYTDLTKEMVYSNYNRIHEEKHVPFKVVDPKSSNAKSSYAKGPSMYMVTDDLCVSPMSSISTMSLLKRSKVPLSDLEERVIKIGVKEGLSILKASLTSASALTNGLNQLIRTVKDIQVSTSAFSAPEDGAGPESNFEALGINNASSLSVQLPDCSSGTQVLQVPTATLVEELPLPPKIQEKENKTPALALRRSTRKRKASDNA >RHN54762.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:11966587:11967666:-1 gene:gene29844 transcript:rna29844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative PGG domain-containing protein MLHGAQHGIIEFINAMKEANLGLLSAIDSCNRGIFSYAILNRKENVFQLIHCLNGRSEIFRNRIDKFDNNLLHLAAHLGPSSDLDSRSGAALQMQREIQWFKAVEKVVHPKFKEAKNGDGKKPFEIFTENHDELMKLGEKWAKETATSFTIVGTLITTVMFAAAFTVPGGNNQDTGLPIFLNDSVFTTFLMADALSLFTSATSVLIFIGILTSRYAEKDFLKSLPWKLLFALSFLFLSVCSMIVAFCAAIAMILKGYRTYKWFIVGPTMSLGSIPIMVLVLSQLRLMNEILRSTWKNTIGNVKL >RHN43130.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:43435135:43435779:1 gene:gene49659 transcript:rna49659 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Hap3/NF-YB family MDDESHSNLPNGFNTENPETHCLKTINNNNHNHHHNSNKEQDRFLPIANVGRIMKKVIPANGKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDIIWAITTLGFEEYVEPLKCYLQKYRDIEGEKVNVPKQQRSEQRLHQHQHQHNQDELNNQHFNNSVYTSTNLMSQTPYMTTDQPFPLPFSPNSIQKQLRQQDQIDSIGHWYE >RHN52921.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:40371479:40377875:1 gene:gene37658 transcript:rna37658 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Tesmin family MAEGEGGDCPPKNVVHPEFVTVTAAAATAAAAANATATAWLDVPSKKLARQLDFNAMLMEQSKPQQQVVTQGSVMVQKPVGVGGLPMPVPAQVQTLQHSSVRVGKQESPKPRSRPNFEVKEGTPKKQRQCNCKHSKCLKLYCECFASGIYCDGCNCVNCFNNVDNEAARREAVEATLERNPNAFRPKIASSPQGARDSREEAGEGLILIKHHKGCHCKKSGCLKKYCECFQANVLCSENCRCMDCKNFEGSEERQALFRGDQNNNVYLQQAANAAITGAIGSYGFSSPPASKKRKGQELFLWPTAKDPSISKPGQQVNLVKGPAPSSSASPVSSARGTNPTLGQSPSKLKYRSLLSDVVQPHHLKELCSVLVLVSGQAAKTLADQKKTVEKRTEDQTETSLASSTQEQLLSQKEVDVEKAMDDDCSSANQTDKISPGNSCSDGADVPKRPMSPGTLALMCDEQDSMFMTAASPIGQTTHACNTSSQFPDGQGVTEVYAEQERIVLTQFRDFLNRVITMGEINETKCSSLARSELENKKDLINNETGNASTETVHQQEATSNGDAKAAIPPMAATSTPAVPPMATTSTPVVPSDTVAENGESKLKMEN >RHN41069.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:26663911:26666948:1 gene:gene47349 transcript:rna47349 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactose oxidase, beta-propeller MLEGRSCLVPRLFSGSCQAEAENNWSFMKFMPDLEIKNGKRGIDDEDEPQPRKVNRRLESCYQGEMDSVVPQIDLDDDQRKDFEPLNDCQSVENVVAFSVLFLAEKSQHSFGDELQNTQLDDGNLINSTDEPTDEQKEHHAGDSSDSSSLLPRMNRDSSITCLSRCSRSDYGSLASLNQSFRNIIRSGELYRWRRLNGIIEHWIYFSCALLEWEAYDPIRERWMHLPRMASNECFMCSDKESLAVGTELLVFGRELQSHVIYRYSLLTNSWESGMRMNFPRCLFGSASLREIAILAGGCDSDGHILDSAELYNSENQTWETLPNMIKPRKMCSGVFMDGNFYVIGGIGGRDSKLLTCGEEYNLQTRTWKEIPNMSPGRSARGSEMPATAEAPPLVAVVNNELYAADYADMEVKKYDKGNKVWLTIGRLPERAASMNGWGLAFRACGNRLIVIGGPRSHGEGFIELNSWVPSEGPPQWNLLARKQSGNFVYNCAVMGC >RHN61650.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:37195453:37196146:-1 gene:gene24137 transcript:rna24137 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-synthesizing GTPase MIPRWKTCPNAPICKAVMQQDRYVRAEFEIRVIEVIEGYGTTTDVVLVNGVLHEGGQIGPIVTTIRALLTPHPMKELCVKEAI >RHN82763.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:56185660:56190047:-1 gene:gene6948 transcript:rna6948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative UbiA prenyltransferase family MIGTTMFKRNWNCATLYSRLVNSSSSSSLHNFTHIRSTFSSSPSVSASKSNDLISLARHYGNCYSQLSKARLSLLVVATSGTGFVLGSGGAVDLSMLSYTCLGTMMVAASASTLNQVFEIKNDAIMRRTSQRPLPSGRITVPHAVGWASSVGLAGTALLATQTNMLAAGLAASNLVLYSFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAASGDISLNSLILPAALYFWQIPHFMALAYMCREDYAAGGFKMYSLADPSGRKTAMVAMRNSIYLIPLGFLAYDWGVTSGWFCLESTALTLAISAAAFSFYRDRTKERARRMFHASLLYLPVFMAGLLIHRRTDNQHFLEVNAEGFMKSPSSVETSEMDDKNGNQKIKGRQGTRARPPVAYASIAPFPFLPAPSYDIV >RHN67087.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:23674981:23678144:1 gene:gene15230 transcript:rna15230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WD40-like family MASGSLSSSAIKTQTRFPLQEHLRRKTSKENLDRFIPNRSAMDFDYAHYMVTEGVKARGKENPEVCSPSREAYRKLLGEALNMNRTRILAFKNKPPTPPVDFFSHEIITSSTLRQDKTIKPRRVIPQTSERILDAPDIVDDYYLNLLDWGSANVLAIGLGNTVYLWDASNGSTSELVTVDDEDGPITSVSWAPDGRHIGIGLNNSEVQLWDTASDRQLRTLKGGHRQQRVGSLAWNNHILTTGGMDGRIINNDVRIRAHIVETYRGHEREVCGLKWSASGQQLASGGNDNQLYIWDRSTSTSRSPTQWLHRLEDHTSAVKALAWCPFQANLLATGGGSGDETIKFWNTHTGACLNSIDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKIAELNGHTSRVLHMAQNPDGCTVATAAADETLRFWNAFGTPEVATKAAPKARAEPFSHVSRIR >RHN81859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:49376358:49380036:-1 gene:gene5952 transcript:rna5952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor C2H2 family MNGHVRCIRLVVADFVPSAPYDVIHARSDAEQGGGSNVKGKNEQSALSKFVNKTADGGITALHMASLNGYFDCVQLLLDLNANMSSVTFHYGTSMDLIGAGSTPLHYAACGGNLKCCQILLARGASRMALNCNGWLPLDIARMWGRHWLEPLLAPISDAVTPSFPHSNYLSLPLMSVLNIAREYGLRSSTTSSDEIEFCAVCLERPCSVAAEGCGHELCVRCALYLCSTSNVSSEMLGPPGSIPCPLCRHGIVSFVKLPGSQAKENKLHVSLGLCTPCILHPRDIDHPSLSHTPEIQRNCVDSVPSELLCPVTCSPFPSMAMPLCTCNEGSCPSFEPRGVETRDESPRHSQTSTTDQDKIEGPKLDKTTCSNMFWGRRSCSREHQCNSEINA >RHN70467.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:51619276:51619793:1 gene:gene19047 transcript:rna19047 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDWNNQERREKRLLEKRVMNKMKKSDFFFQKLKKSEKHSDFFFEI >RHN46342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30894042:30894705:-1 gene:gene40823 transcript:rna40823 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRVLRRKSNKQILFVEADDDFADLVFSFLTFPLGSVLHILQGFSFISCFDNLYKSVTELSSDKCLRSQLMKDRLTIPMISIQSELRNQILPIPKNNYKEKSMSYQFVDPKSPISGGYARAPLTFMVTDELVVTPMSSIDGISYLERMKVPLSDVEEMVVNIGQKEGLSILRASLTSKSALTNGLNQYIG >RHN68704.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:37914136:37915776:-1 gene:gene17089 transcript:rna17089 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTGNTKLSFEVLRRTPSFEEQESLLHRSKSDPDRKKRKHRRKKKLLDPVANSVDPHRETKSPIQNGIVCNGNGFGFELDAMRYSGTGGSVVCEELSEPSVCSTFPTNVRCSVEGFNFGELRQRNVNGGSSEDLVASLIGDDNSIGKEKEDCVKQMSPMEKPTKIESERERSVLTKAETIESVDWKRIMEEDPNYVFKVDKSPVAYFLEEMYNGNSLRSTTTLGNEIEREKVYDTIFRLPWRCELVHFFFSNKRKNKYKSFLVIFLLYHLWTSSLCLVSCTFPPQ >RHN65330.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1250626:1254608:-1 gene:gene13156 transcript:rna13156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein-serine/threonine phosphatase MDNEDVGRTRCGKEALHAPVRKERVLNAPPRRRGRGRDQREEPDGSSSQSQIDIEMPSSQSASGSQPPSVGVVQEGYDGGPSNTSLLPSFGQHIAAKIWNGGGRENTPRVLNNAKRIIDLKSALPPDVASMGWFWNVIKASGLYPLLETNYGQVDHDLLIAFSERWHSETSSFHLPVGEMTITLDDVSCLLHIPVGGNLLFHESLSIHQGTEYLVNYLGLEFEESAAETKRLRSAHITYDTLLSIYTSYLTEAKSYVNQPEEEDSMEWYRTWCIRAFLLYLVGCTFFSDKAGSSCCVVYLKYFDDLTTVNQWSWGAAALAFLYNYLGDFTKPSCTSLSGYTCLMQAWIYEHFPDICPRDLDISYTEDHPRATRYMLRQGHAIQQCYRKALDRLEVDDINFYTYDSH >RHN52564.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:36680194:36684742:-1 gene:gene37256 transcript:rna37256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xenobiotic-transporting ATPase MRVTLLHAAEVSCWMVTGERQSARIRSLYLKTILKQDIAFFDTETNTGEVISRMSGDTILIQEAMGEKVGKFLQLGSTFFGGFVIAFIKGWRLALVLLACVPCIVVAGAFMAMVMAKMAIRGQVAYAEAGNVANQTVGSMRTVASFTGEKKAIEKYNSKIKIAYTAMVQQSIASGIGMGTLLLIIFCSYGLAMWYGSKLVIAKGYNGGTVMTVVIALVTGSMSLGQTSPSLHAFAAGKAAAYKMFETIKRKPKIDAYDTSGLVLEDIKGDIELRDVHFRYPARPDVEIFAGFSLFVPSGTTTALVGQSGSGKSTVISLLERFYDPNAGEVLIDGVNLKNLQLRWIREQIGLVSQEPILFTTSIRENIAYGKEGATDEEITTAITLANAKNFIDRLPQGLDTMAGQNGTQLSGGQKQRIAIARAILKNPKILLLDEATSALDAESEHIVQEALEKIILKRTTIVVAHRLTTIIHADTIAVVQQGKIVERGTHSELTMDPHGAYSQLIRLQEGEKEAEGSRSSEVDKFGDNLNIDIHMAGSSTQRISFVRSISQTSSMSHRHSQLSGEIVDANIEQGQVDNNEKPKMSMKNSIWRLAKLNKPELPVILLGTIAAMVNGVVFPIFGFLFSAVISMFYKPPEQQRKESRFWSLVYVGLGLVTLVVFPLKNYFFGTAGGKLIERIRSLTFAKIVHQEIRWFDDPAHSSGAVGARLSVDASTVKGIVGDSLSLLVQNITTVVAGLVIAFTANWILAFIVLAVSPLILMQGMVQMKFLKGFSGDAKVMYEEASQVASDAVSSIRTVASFCAESKVMDMYGKKCSGPAKQGVRSGLVSGVGFGLSFLILYCTNAFIFYIGSILVHHRKATFVEIFRVFFSLTMTAMSVSQSSTLFPDTNKAIDSAASIFNILDSKPDIDSSSNDGVTQETVVGNIELQHVNFSYPTRPDIQIFKDLTLSIPSAKTVALVGESGSGKSTVISLLERFYDPNSGRVLLDGVDIKTFRISWLRQQMGLVGQEPILFNESIRANIAYGKEDGATEDEIIAAANAANAHNFISSLPDGYDTSVGERGTQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAESERIVQEALDRVSLNRTTVIVAHRLTTIRGADTIAVIKNGMVAEKGRHDELMNNTHGVYASLVALHSTAS >RHN55338.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:17481772:17483710:1 gene:gene30508 transcript:rna30508 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cullin MLYLFLFLIWGILSSNILLSLICAFRNAYNMVVHKFGEKLYSGLVATTTSHLKEIARSLEATEGSSFLEELNRKWNDHNKALRMINDILMYVDKTYIPQTKKTHIYELGLNLWTENVIYSKQIRTRLSNMLLELVCKERAGEDVNIELIKNITKMLMDLGSSVYEQEFETSFLQVSAEFYRAESQKFIECCDCGDYLKKVERCLNEETDRMCHYLDPSTEKKITSVIEKEMIENHMLRLIHMENSGLVNMLCGDKYEDLGRMYNLFRRVTNGLSKIREVTTSHIRESLKQLLTDLERLDDIHVEFVQRLLDEKDKYDKIISLGFNEDITFQNAFNSSFESFSDEYISAETISGVNEKYEYEKIINLEFRNNNFFQNALNSSFEFFINLNPCSPEYISLFVDDKLRKELKGVSEDDVEITLENVTTLFRYLQEKDMFEKNSNPRLLRSFFHFTC >RHN56862.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:34284953:34293533:-1 gene:gene32322 transcript:rna32322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MYGLCQRGELDEASQVLEEMKSIGILPDVYGYSILIDAFCKNGDDKKVVDLREDMKLNQIKPSIVNHTSIIHRLCKSKTMPMQIVMNKFRAIGASGCKYDQTIYETLVDGFCREGDMVSAGKLLEEMGSNNFAPSAFCYCSQIKGFYKLRQFANALKVYSIMQKRGIRPDTIACNHILSIYCRKREFNEALALSEEFRDHGVSLNPYSYNEFINKLCRESFPEKALQLLPVMLKRNVLPGVVNYSTLISCFAKQTNSKKAVKLFTRMTKVGITFNIKTHTALIDLCIRNCKIDKACDLFEDMEKRGVHPDQITYNTLIAAFCNTGEMIIAKTLFDRMLQEGCSPNVVTYSCFINAYWKLDMRDQAHKWYDEMRAKGLSRLCRS >RHN46881.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:35541676:35543840:1 gene:gene41416 transcript:rna41416 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain, leucine-rich repeat domain, L domain-containing protein MEIILSLIPFFIAMFFFHSSLASLVSLPMKNSENQNKSPEENKTDSPDNLPENIVQNIFTFLPIKNAIVIASTVSPRYKSSWRYNRRFLFDSDFHSRYSQPDLAAMVDHLFNSHKGDEIKTFKLHIDSIGIEALLKRWLYICTEKNLEDLELHLYQPGFTVEFSVFNALHKLKTLKLVNCAIQLIEVPSGLQFLQTLSLCNLHITEDMFDVLIEHCKMLEIIDLIKCSTINNLNLNARENKHFKKLRLVECPNLEKIEIDSPTLRSIFYHGNFCTVRIAEGMKLYEAFFYFIPSTHYIQSTPLEALVKDLSNVSILTTTPLLIEGVAGVLQGAQYCFENLLELQLFTDEAVFCNPYDIFMFLKNCPSLVKLFIDLNDYIFDLGMYWKLNQQPLLNNCNHKFTQLKVLVIRSFKFLPSELELVKIVLQRATILERLTLISQESDGSSKFEGEDVAKYVKLFGSWAASTRVIIKYVDKSFDNPTHPKRWLHTDAN >RHN60267.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:24408669:24413674:-1 gene:gene22547 transcript:rna22547 gene_biotype:protein_coding transcript_biotype:protein_coding MHAEGEKLKGAATLIPTKEEKEESTILGFRVIMKIASPTCNRRTCTSS >RHN38629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:820724:829485:1 gene:gene44587 transcript:rna44587 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein prenyltransferase, alpha subunit MSEESSLYGKATDLLHQLEDILESDALIDELGFIHPSQFSLLNEESGISGNLSDETIHQSADRIASSNEYSKQEKRYFWNRDHKLGISTDVLLLLYKAAKHSFMTAFKQYKMCGNQSDKVGRCLPASVSHDHLESILLRHSRSLVLLSCDFMTAWNCRKLLVSKKKQVSMFVDELLLSELVLSCSSKSEQAWSHRRWVIKSISANCSNFKEILGKESELVEKIAEVSKMNYRAWNHRCWLISYMTKEQVLLELKKSRIWAALHVSDNCCFHYRRRLLQKIMEDRSNAEETASYGHNDDIVQEVKEKIMEDRSNAEETASYGHNADIVQAVKDELDWNETLIKRYVGREALWLHRRFLSMCWINNFLTDSSDGSYHSKEGTGMYRDLGTFLQNELCLLHASTFADDDYGDFQAQATHSVSYILWLKMQVPKPLENELLEKIRDVDLKTLLDKSCPERSSLFKYFLS >RHN40342.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:15471899:15479670:-1 gene:gene46489 transcript:rna46489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retinoblastoma-related protein 1 MSPSAETEMEDTKLSVVENGDQAVSRFAEFSKNELALDEKSCKEAMDLFGETKHLLMANVSSMGNGTIEEAERYWFAFILYSIKRLTQKNEESEKEEIENTGLTLCRILRAAKLNIADFFKELPQFVVKAGPILSNRFGSDWENKLEAKEMHANTIHLKILSKYYKRVFEEFFVSTDANVENKSSVTGRVSECHRFGWLLFLALRVHAFSRFKDLVTCTNGLISIMAILIIHVPARFRNFNIHDSARFVKKSSKGVDLLASLCNIYNTSEDELRKTMEQANNLVADILKKTPCLASECETENLEDFDKDGLTYFKDLMEESSLASSLNILENDYDQMTRNKGELDERLFINEDDSLLASGSLSGGSSVSAGGVKRKYDLMMSPAKTITSPLSPQRSPASHANGIPGSANSKIAATPVSTAMTTAKWLRTVISPLPSKPSQELERFLTSCDRDITSEVVRRAQIILQAIFPSSPLGDRCVTGSLQSANLMDNIWAEQRRLEAMKLYYRLLATMCRAEAQILGNNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRYEESLPRELRRHLNSLEERLLESLVWEKGSSMYNSLAVARPALSAEINRLGMLAEPMPSLDEIAMQINFSCGGLPPVPSLPKPETLPAQNGDMRSPKRLCTENRNVLVERNSFTSPVKDRLLHLSNLKSKLLPPPLQSAFASPTKPNPGGGGETCAETGISVFFSKIVKLGAVRISGMVERLQLSQQIRENVYSLFQRILNQWTSLFFNRHIDQIILCCFYGVAKISQLNLTFREIIYNYRKQPQCKPQVFRSVFVDWSSARRNGGSRHRTGQEHIDIISFYNEVFIPSVKPLLVELGPGGATVRSDQVPEANNKNDGHLVQNPGSPRISPFPSLPDMSPKKVSAAHNVYVSPLRSSKMDALISHSSKSYYACVGESTHAYQSPSKDLTAINNRLNSNRKVRGPLKFDDVDVGLVSDSMVANSLYLQNGSSASSSGAPLKSEQPDS >RHN38896.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:2706322:2709812:-1 gene:gene44871 transcript:rna44871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative NAD(P)-binding domain-containing protein MASSTFLQFHSLTSSSSSNPQLFSFIKLPNNYPITLSSKRSSTYKRVYAKAVSTDSQKPLVDNNQNTPSSSSSKLVLVLGGSGGVGQLVVASLLQRNIKSRLILRDLEKAKALFGEQDEEKLQVFKGDTRKHDDLDPSMFEGVTHVICCTGTTAFPSRRWDDENTPERVDWVGVKNLVSVLPSSVKRVILVSSIGVTKCNELPWSIMNLFGVLKYKKMGEEFLQNSGFPYTIIRPGRLTDGPYTSYDLNTLLKATAGQRRAVLIGQGDKLVGEASRIVVAEACVQALDLEATENQIYEVNSVEGEGPGNDAQKWQELFEAGRSS >RHN59934.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:14968329:14981176:1 gene:gene22105 transcript:rna22105 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFLLLVDEKNSVGKVKSSYKLIAKCSSKCTIKGSKVLSIFHTNKTLSFLSNQIYLSFSTASHLFLFMVKMAVTFFICTLFMTLLFAASAFAKVHPRSPEVFFQNGNFEQQPNPKYIKKTRLIGKHALPKWETSGLVEYISGGPQPGGMYFPVSHGVHAVRLGNEASISQTIKVKPGTMYALILGATRTCAQDEVLRISVPPQSGEVPLQTLYSLNGDVIAWGFKASSSLVKVTFHNPGIQEDPTCGPLLDAVAIREFYPPMPTRANLVKNPSFEEGPFPIFNTTNGVILPPKQQDLVSPLPGWIIESLKAIKFIDSKHFQVPFGNGAVELVAGRESAIAQILRTVTNKVYNLKFTIGDARNGCHGSMMVEAFAARETLKVPFKSVGKGIFKTVNFNFKAVSNRTRITFYSSFYHTKINDFGHMCGPVLDQVIVSPVA >RHN57710.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:41211956:41215128:-1 gene:gene33292 transcript:rna33292 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPSRKKTKQAKNKTKPLTLSNQHSSPTVQLQTQGTFLSENHSKMEGNFNGTLHETQKVEEESSSEDKGVAVEVSADDDCDGKAKFIGDTEDVVDIDEHVESCKVVEQTEDASETDFESLDNSLNVSSPNESSDTIEVNQVENKMASETLEVELKDEKGEEDCKSIEDHGVAQTELATSKDNNEGFKVTEVVETDKDMTVAEEENVLPSTDVVSISREVALETSGKGIDDGDAKVVPPKGIEESLVTSTHEKVEEQLDVQESSSYKSAKESLQQSSNVHDSESNSVNEQDMIEESRGIENQGSIFAVTQRQHTSWKNCCGLFEILRHGDR >RHN73622.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:18279664:18298109:1 gene:gene9457 transcript:rna9457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA polymerase-associated protein Ctr9 MASVYIPVQNSEEEVRVNLDQLPRDASDILDILKAEQAPLDLWLIIAREYFKQGKVDQFRQILEEGASPEIDEYYADIRYERIAILNALGAYYSYLGKIETKQREKEEHFILATQYYNKASRIDMHEPSTWVGKGQLLLAKGEVEQASAAFKIVLDGDRDNVPALLGQACVEFNRGRFSDSLELYKRALQVFPNCPAAVRLGIGLCRYKLGQFEKARQAFERVLQLDPENVEALVALAIMDLRANEAVGIRKGMVKMQRAFELYPYCAMALNYLANHFFFTGQHFLVEQLTETALAVTNHGPTKSHSYYNLARSYHSKGDYDKAGVYYMASVKEISKPHEFVFPYYGLGQVQIKLGDFRSALSNFEKVLEVYPDNCETLKALAYIYVQLGQTDKGHEFIRKATKIDPRDAQAFLELGELLILSDTGAALDAFKTARTLFKKGGEDVPIELLNNIGVLQFERGEFELAKQTFKEALGDGIWLSFFSEANKTSIDAATSTLQFKDMQLFHDLESNGHHVEVPWDKVTVLFNLARLLEQLNESGTASVLYRLILFKYPDYIDAYLRLAAIAKARNNILLSIELVNDALKVDVKCPNALSMLGELELKNDDWVKAKETLRRASDATDGKDSYATLSLGNWNYFAAVRNEKRNPKLEATHLEKAKELYTRVMIQHSANLYAANGAAVVFAEKGHFDVSKDIFAQVQEAASGSVFVQMPDVWINLAHVYFAQGNFALAVKMYQNCLRKFYHNTDSQILLYLARTHYEAEQWQDCIKTLQRAIHLAPSNYTLRFDAGVAMQKFSASTLQKAKRTADEVRATVAGLQNAVRIFSQLSAASNLHIHGFDEKKIDTHVGYCTHLLSAAKVHLEAAEREEQQIRQRQELARQVALAEEARRKAEEQRKIQMDRRKHEDELKIVQQQEENFKRVKEQWKSSTHSKRRERSDDEDGGTAEKKRRKGGKRRKKDKHSKSHNDTEEAEADMMDEQEMEDEDVDMNSREEPQTQMNDDEENPHGLLALAGLEDSDADDEPAGPPSTISRRRQALSESDDDQPIMRQTSPVREQSVDMEESDGEIRDEKRHEDDGSDEEK >RHN54624.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10862802:10864564:-1 gene:gene29693 transcript:rna29693 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidylinositol alpha-mannosyltransferase MAFNNKSKKTNSPSHFNFCITLFSISFFIIPTFFLLNNSTSNSKCTNLTKTFSGDLRSAEFSWNSLSFSQHGNPSPVILKIAVFSRKWPMGTVPGGMERHAHTLYTALARRGHQVHVFTSPSEDKTTTTTSISSKGSPSSPYIHFHEGEPGKWRYNKAFELFLEENQRDQPFDVVHSESVALPHWLAKDLPNLVVSWHGIALESLQSSIFQDLARLPDEPRSQDFEKGLQGVVPKVLNEIRFFNKYSHHVAISDSCGEMLRDVYQIPSRRVHVILNGVDEEDFREDAELGKEFRTKIGIPSNASLVFGVAGRLVKDKGHPLLHEAFSRLITKYTNVYLIVAGSGPWENRYKDIGNQVLVLGSMNPSMLRAFYNAIDIFVNPTLRPQGLDLTLMEAMMIGKPLLASRFPSIKGSILVDDEFGYMFSPNVDSLLEELEQVVKDGKERLERRGNACREYANSMFTAKKMALAYERLFLCIKRDTFCTYP >RHN72772.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:10587012:10588668:-1 gene:gene8526 transcript:rna8526 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MARFSKCSLQRLRYYYTSTTSHSSHPTHFSPARLRNVWISGLLDPSKVTLTLREMLYSGHVDLMEDARKDIIKRDKEHESYIKQESWVSEVRALKKQPGSFTHLKWLINAPTADSITADLTFFNWRKHKMSIMDTTNCFDFTSEVKNALGAFDSAVLVLSSVDGVQDQSIAVDKQMITYQLPRLVFVNDLDQKGANPWQVLNQVNFHVVNLARMVEQIVQLFLFYVARSKLQHHCAAVQVPIGLEYNFKGLVDLVQLKAYFFHDSNGKKVVVEEVPTYMEALVSEKRHELIKTVSEVDGKLAEAFCIGKPISAADLKVCDQQCIYTFFHLSFAY >RHN53285.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:675187:675741:-1 gene:gene28176 transcript:rna28176 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Heat shock protein 70 family MSCADAKRLVGMRFSDSFVKKYIFHWPFEIVPEDDKAMIAVNYMGEMKKLYPEEISAMVITRMKDTAAAMTYGLKHKFISVKNVLVVDLGGGTLDVSLVSMDVNSFTVKSTYGNQHLGGQDFTNTMVNHLIKELRNKNIKCDLNVSLKVIRRVKTVCEEAKIILSTAEKAEIHLDTLCNGICES >RHN60790.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:30458777:30464053:1 gene:gene23169 transcript:rna23169 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPPQSKSKFSVYQNPNLSAVLTSNSLQPSNHTLISILSFFSASAFAFLAIILRENGFVDIFKFQWVSSYTAYWVVKTLQILLGIVCIGTMLALFKVVFLRKTRYGGGVVAPMVASSSNKVDKNQMCLTKHQLELLGVKPKVDLVQPESLKKPPKSKPQPGSSELLVPLHQPLSSPSRRVDGDGSNLNRSASGRSIGNLSRSPGSATFYLSPGVVSPAQSTAGRESVVSSPWSNRRASSANKITSEEELEQFLAEVDERISESAGKLSTPPPSVPGFGIASPSTVTGSASNSGIKRHTPLRPVRMSPGSQKFKTPPKKGEGGDLPPPMSMEEAVEAFDHLGVYPQIEQWCDGLRQWFSSVLLNPLLHKIETSHVQVMNTAAKLGISITVNQVGNDTLSTGTPSTSSSIDKTQDWQPSVTLSEDGLLHQLHSTLVQAIEASKSNSFVPNMQQSPQQGPLVPVMQDCVDAIIEHQRLQALVKGEWVKGLLPQSSVRADYTVQRIRELAEGTCLKNYEYLGSGEVYDKKNKKWTLELPSDSHLLLYLFCAFLEHPKWMLHVDATSYAGAQSSKNPLFLGVLPPKDRFPEKYISVVSSVPSVLHPGACILVVGKQGPPIFALYWDKKLQLSLQGRTALWDSILILCHKIKVGYGGIVRGMHLGASALSILPVMETESED >RHN55245.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16381748:16385261:-1 gene:gene30392 transcript:rna30392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TIR domain-containing protein MAWPYSSSSSSSNNAPQEKHEVFISFRGEDTRNNFTSHLNGALKRLDIRTYIDNDLNRGDEIPTTLIRAIEEAKVSVIVFSKNYAVSKWCLEELMKILEIKKMKGQIVVPIFYDVDPSDVRNQRGSYAEAFNNHERNFKKKIKVQEWRNGLMEAANYAGWDCNVNRTELALVEEIAVDVLNKINGVYVGDLDQQIGKYEELAKLQFQFHESTTKLIDLNNYKATVKRITELKMERSLRLLRLSPDMLSHLENSSDNKNFKLTKKKKKKKKLFLF >RHN67774.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:30157946:30158281:-1 gene:gene16005 transcript:rna16005 gene_biotype:protein_coding transcript_biotype:protein_coding MHASVRRERMERNEAAEVVGRRGGRGRSRTRCADGEEPSQVKDHEPQYEPHMEMEEQVKDDVEQVDLKPESEQPNDNPSGPPDLSVLTMYHVHLARRMSDGVIRLYSFYLM >RHN74979.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38394980:38396723:1 gene:gene11123 transcript:rna11123 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peroxidase MRRFFIFNPWIIVAFIVFLPVLVSLRNPRGEPEPENTITQTTSSSSFSHTSFRSVILAANTFPFDFNQRIRDGSNLQYNFYRDSCPQAEDTVRSAVTDIYFDHRDLAPSLLRLFFHDCFIQGCDASLLLEDNGDRNGSYEKQAIPNQTLKGFDKVDLIKEEVEQACPGVVSCADILALAARDSVLLGGGPFYPVLTGRRDSLQSFFQEATDEIPRTDDSITRTLHIFNLRGFSAQETVSLLGGHNIGKIGCDFIQQRLYDFQGTGQPDPSIPLDFLSQMRLNCPDNSKNNISSNGTFSTFTVSKPMNVHHSSSDKGMSYMQALSSAVPSGASFDTHYYQSLLRGRGLLFADQQLMAQEKTARLVSAYASDDGSTFRMDFARVMLKLSNLDVLTGNQGQVRLNCSRLVSS >RHN58195.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:44481862:44484727:1 gene:gene33812 transcript:rna33812 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetratricopeptide-like helical domain-containing protein MNESNAITRIGTSPIIPLPISTTHPPNQIHTLPNQKQKQKQKQWNKAISTSIRSRLSKLCREGQPHLALHLLDSLPRPSTVVWNSVIIGFICNNLPHQALLLYAKMRSNSSCSTFDPYTFSSTLKACALTKDILTGKAIHSHFLRSHSNTNTGPSRIVYNSLLNMYASCQHEYALNVFDVMRRRNVVAWNTLILSFVKMNRYPQAVEAFANMINQSVMPSPVTFVNLFPALSKLGDSRTVKMFYGFMRKFGDQYVSDVFVVSSAILMFSDVGCMDYARMVFDRCLNKNTEIWNTMIVAYVQNNCPVEAIDVFIQALESEEGVCDDVTLLSVLTAVSQLQQIKLAEQFHAFVIKSLPGSLIIILNAVMVMYSRCNHVDTSLKVFDKMLERDAVSWNTIISAFVQNGFDEEALMLVCEMQKQKFLIDSVTATALLSAASNLRNLYVGKQTHAYLIRRGIQFEGMESYLIDMYAKSGSIRTAELLFEQNCSSDRDQATWNAIIAGYTQNGLNEKAILLLKQMLVQNVIPNAVTLASILPACSSMGSMGLARQLHGFSIRRFLEKNVYVGTSLTDTYSKCGAISYAENVFLRTPEKNSVTYTTMMMCYGQHGMGKRALTLYDSMLRSGIRPDAVTFVAILSACNYSGLVDEGLQIFESMEKVHKIKPSIEHYCCVADMLGRVGRVVEAYEFVKGLGEDANTMEIWGSLLGSCRNHGHFELGKAVAKKLLNMGMDKRMAGYHVLLSNIYAEEGEWEKVDRVRKQMKEKGLHKETGCSWVEIAGFVNCFVSRDEKHPQSSEIYYMLDMLTLDMKYAGYKPQYSLNLNTILDSDE >RHN45548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:22782499:22782983:-1 gene:gene39912 transcript:rna39912 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVRSYRKGRAGDSCLMPLKTFTVASLFIGSAASASVFILHANGIHGLVYDVTSWLRFYIADRIKPPLF >RHN66851.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:20234719:20244162:1 gene:gene14959 transcript:rna14959 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sucrose-phosphate synthase MAGNEWINGYLEAILSTGASTIEEQKPPQAALRDGGHFNPTKYFVEEVVASVDESDLYRTWVKVVATRNTRERSSRLENMCWRIWHLARKKKQLEWEEVQRLANRRWEREQGRRDATEDMSEDLSEGEKGDNVVDMVQSETPRQRFQRQTSNLEVWSDDKNEKKLYIVLISLHGLVRGENMELGRDSDTGGQIKYVVELARALAKMPGVYRVDLFTRQISSPEVDWSYGEPTEMLTAGADDDDNIGESSGAYIIRIPFGPRDKYLPKELLWPYVQEFVDGALTHILNMSKALGEQVGGGQPVWPYVIHGHYADAGDSAAILSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSMYKMMRRIEAEELSLDAAELVITSTKQEIEEQWGLYDGFDVKLEKVLRARARRGVNCHGRYMPRMAVIPPGMDFSNVVIQEDCPDVDGELAQLTGGGVEGSSPKAVPPIWSEVMRFFTNPHKPVILALSRPDPKKNLTTLLKAFGESRPLRELANLMLIMGNRDDVDEMSSGNASVLVTVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRYSAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDIHRALNNGLLVDPHDQQAITNALLKLLSEKNLWHDCRKNGWKNIHLFSWPEHCRTYLTRVAACRMRHPQWQTTTPGDDITVDQSFNDSLKDVQDMSLRLSIDGDLAGATGGADMQDQVKRVLSKMKKSDSGGLNDIVENAPGKYPLLRRRRRLIVIAVDLYDDNGAPDKNMIQIIQRIIKAVQLDPQTARVSGFALSTAMPILQTIEFLKSGKIQVNDFDALICSSGSELYYPGTYTEDGKLVPDPDYEAHIDYRWGCEGLKKTIWHLTNTLEGREKSSSPIEEDLKSSNAHCISYKIKDLSKAKRVDDLRQKLRMRGLRCHPMYCRRSTYMQVIPLLASRAQALRYLFVRWRLNVANMYVILGQTGDTDYEELISGTHKTIIMKGVVAKGSEEKHRGPGSYQRDDVVPDKSPLVACITETSVEKIANALKELSKAQG >RHN70858.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:54544355:54551176:1 gene:gene19487 transcript:rna19487 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative adenosylhomocysteine nucleosidase MAATHGKIILFMFLAAFMLFNTQNAFVSCALTSELQNKIAKINEEGPYLGLIIPNSFELNPLLQNPGYTPSDSIIDFAGRRFRFGSIGEKPVILVMTGLSVINAAITTQLLLSFFKVDGVVHYGIAGNANPSLHIGDVAIPHYWAHLALWSWQRYGQDADDTLPLEINGDYTRDVGFLKFSDFTSNISAADSVTVDNHLNNLWYQPEEIFPVDGIPEQRQHALWVPVDSKYYRIAKKLEQMKLEACIDSDTCLTTTPKVVLVERGTSAGFYLDNAAYRTFIFNKFNVSPVDMESASVALICLQQRIPFIAIRALSDLAGGGTAESNEADTFSPLAATNSVAVVIEFVKLLSNHTK >RHN43859.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:49048898:49049650:1 gene:gene50492 transcript:rna50492 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor Spt20 MCLEAIESGHLPGDILDDIPVKYVDGALICEVRDYRRCSPAVSKSSLKMSLENIVKDIPSHTDKSWKYGDVMEVESKIVKELHPNLHLDPTPKLDQLCQSPLQKKV >RHN48812.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50451484:50451687:-1 gene:gene43565 transcript:rna43565 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cellulose synthase (UDP-forming) chromatin regulator PHD family MAALSAPLCRICFKDVGKTVDGEPFIACSVCVYPVCRLCYEDEREDGKQSCPQCNTRYKRHKGRQSL >RHN80944.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:42094192:42098069:1 gene:gene4929 transcript:rna4929 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidylprolyl isomerase MASLFSTQLVQSQNLLSGFNAAQGKSHVVCSSTRAHLGCSKLSSGYHYAARLSASQQSKAKSITSRRIKCTSSANAADLQAKVTSKVFFDVVIGGESAGRIVIGLFGDVVPKTVENFRALCTGEKGYGYKDSTFHRIIKDFMIQGGDFTEGNGTGGVSIYGGKFEDENFALKHVGPGVLSMANAGPNTNGSQFFICTVPTSWLDNRHVVFGHVIDGMDVVTTLESQETSSYNNGPLKSCKIVNSGELPLDG >RHN43211.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:44069999:44075317:1 gene:gene49751 transcript:rna49751 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-dependent protein kinase SK5 CAMK-CDPK family MAKENPTPTIALKPVTWVLPYRTEKVTEIYRMGRKLGQGQFGTTYLCTHKSTNKKFACKSIPKRKLFCKEDYEDVWREIQIMHHLSEHPHVVRIEGTYEDSTAVHIVMELCEGGELFDRIVKKGHYSERQAAGLIKTIVEVVESCHSLGVMHRDLKPENFLFDTVDEDAKLKATDFGLSVFYKPGESFSDVVGSPYYVAPEVLRKLYGPESDVWSAGVILYILLSGVPPFWAETEPGIFRQILLGKLDFQSEPWPSISDSAKDLIRKMLDQNPRTRLTAHEVLRHPWIVDDNIAPDKPIDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDSSGTITFDELKDGLKRVGSELMESEIQDLMDAADVDKSGTIDYGEFIAATVHLNKLEREENLLSAFAYFDKDASGYITIDEISQACKDFGLDDIHIDEMIKEIDQDNDGQIDYSEFAAMMRKGNGGIGRRTMRNTLNLRDALGLVGNGSNQVIDGYL >RHN59183.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8247167:8253287:1 gene:gene21178 transcript:rna21178 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative beta-glucosidase MSVKVSLLLLATIFFALFNSAVSLNRSSFPHDFLFGTASSAYQYEGAAHEGGKGPSIWDTFTHNHPDRIVGRSNGDVAIDSYHRYKEDVAMMKDIGFNAYRFSISWSRLLPRGNLKGGINQEGVIYYNNLINELISNGQTPFITLFHSDLPQALEDEYGGFLSPKIEQDFADYAEVCFREFGDRVKHWITLNEPLLYSTQGYGSGSSPPMRCSKSVANCNAGDSSTEPYVVTHHLILSHAAAVKVYRQKFQNTQKGQIGVTLNSAWLVPLSQSKEDREATSRGLAFMYDWFMEPLHSGTYPAVIVDKVKERLPRFSRSQSVMVKGSYDFVGLNYYTSTYAANIPCSRGKPNVFTDNCVRFTTLRNGVLIGPKAASDWLYIYPPGIQGLLEYTKEKFSNPIIYITENGVDEVDDGKRSLDDKPRIDYISHHLLYLQRAIMNGVRVKGYFAWSLLDNFEWNAGYTLRFGLVYVDYKNGLRRYRKRSALWFKLFLRK >RHN64573.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:60126973:60127410:-1 gene:gene27401 transcript:rna27401 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKILANHVDGLASLDGVSDALKQRLSALLCDSRKMNAHSLELLLSGFPNVIRLKDCSWMTEEEFTKYFGKLDNSILEGCVKSPFGDNHIGDPKFNLLF >RHN69359.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:42884572:42888718:1 gene:gene17814 transcript:rna17814 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSHRLLPHSLSFTTTAPTTFFPTPNQTPKLNSFGLHHFRSFTTRNIHPFHLKAIKSKGDMQKRVISSNLNFDSLLSAMELSCLISSAIVSLVLVGNGSKNWLLNVSGNRISVVWGVLTLVTGVVVGAWIRTRQWRRISKENMKGGLVERIEKLEKELRSHVRVIRILSRHAEKLGKRIRGSCYALKDHITQSAAPAQKNSEATRALAMQSEILEKELREIQKVLLAMQEQQQKQLDLILSLGKSGKLWENQFQTRGEQDTL >RHN49221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:53372528:53377368:1 gene:gene44030 transcript:rna44030 gene_biotype:protein_coding transcript_biotype:protein_coding MRYPSVFGLALFLSFSVTSSSSSFPRTPFFRLPSSSIISIPKATPSDLLSLLGPTPHSKLVNPVVARQLKSCLKFLVPFSPTVTDSPPRHRKLGRSELTGSNRRNDNDMIWWPPEPVLELARLAVDSSGDPAAVHRALDPTVIPVPDVEGSNEDRCHLTRTPYGRRFISEELNMYMQFLFELIVDRGPSIGFDVALNRYDLFHGHLFLSIHSGRLGVLFHAREYPAYDKKVFPYNMGFCQRGSNVTYDDSMNLRNILWLAPLPDNSTKSWVAPGVLVVLDARPDGIIYRDLIPDYVKIARTIYEDDLGEVAVDVNILNVGSQSQNYQIFIC >RHN59212.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:8473305:8476212:1 gene:gene21211 transcript:rna21211 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide MSHMKFNRHGSSSIAKSIIFHKTIHQNPKPKHPQHFLSLLLNNPSPHYHFLQQLHSQIITSSLFHHYPFHNNLTSLLLFNNLIRSYSLSLFPHESLKFFTHTLNNLTHSLSLDSFTLTFLAHACANLDTTHFGFHLHCIVCKMGFENHVFVQTGLLHMYSNWGFLVDAAQVFGEMPDRNTVTWNVFISGLIKWGQLEFARSVFDRMVVRSVVSWTLVIDGYTRMNKPLKALALFRKMIEVDGIEPNEVTLLTVFPAIAHLGNVKMCESVHGYVEKRGFNAVDIRIGLEFFGKMVNDYGLVPDIKHYGCVIDMLGRAGRLGEAEKVALEVPHEAANDVIWRTLLGACSVHDNVEIGKRVTKKILEMEKGHGGDYVLMSNIFASVGRFKDVERLREMIDKRNVFKLPGYSIV >RHN70217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:49735878:49739501:1 gene:gene18775 transcript:rna18775 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3-like protein B MGGAIPENLNREQYVYLAKLAEQAERYEEMVSFMQKLVVGSTPSSELSVEERNLISVAYKNVIGSLRAAWRIVSSIEQKEEGRKNEDHVVLVKDYRSKVESELTNVCGSILELLDSNLIPSASSSESKVFYYKMKGDYHRYLAEFKIGDEKKSAAEDTMLSYKAAQDIAAADLPSTHPIRLGLALNFSVFYYEILNQSDKACDMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDVQDQLDEP >RHN72503.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:8165967:8166746:1 gene:gene8223 transcript:rna8223 gene_biotype:protein_coding transcript_biotype:protein_coding MYQVGKLLSEIEEKLVGHRMVSLVDKETNVKFRVTVPVLIYAALLLTLHLLVEAKWGRSHERIPAFIAPCERT >RHN82590.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:54994253:54996153:1 gene:gene6765 transcript:rna6765 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLLHAVMLPALFNYNLHQEYSSTCDQILGFVTVSDMDNVTPILRAPPRYSTEPCVATALGDTVACASLGNNQNLSLGGATNFKSRPK >RHN44617.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6867466:6873349:-1 gene:gene38756 transcript:rna38756 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoglycerate mutase (2,3-diphosphoglycerate-independent) MGSPQFPKKRVAFVLIDGLGDVSLPRLGYKTPLQAAKLPNLDGIASAGVNGLMDPVEVGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKTGVVTSRRADRHFEEEGPILCAALDGMKLPSFPEYEVRVRYATEHRCGVVVKGPNLSGNISGTDPLKDNRLLLKAEALDDSHEARNTAAVVNELSKEITKILVSHPVNAKRAAEGKNIANIVLLRGCGIRIEVTPFLEKHGLQPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKATAIAKALSAPSQSCPQVFVPGEDEHKAGRPDGYDFGFLHIKAIDDAGHDKASILKVKALEAVDTAIGQLARLLWEAESTGNFQFFLCVTGDHSTPVEYGDHSFEPVPFAICRLKDFVGAIGESVVRQTSLDPFPLPSVKSGEDLTFDLETEERGDICSQSYSGDSVYELNEIAAARGCLGRFPGAEMMGIIKNFLNLDEETI >RHN58513.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:2382562:2384441:1 gene:gene20432 transcript:rna20432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor and/or regulators TTF-type(Zn) family MPPKFRKYESGYEKRKKKKKLEEFIQSQRGALDKFLIKEPQIPVENQNVGNVNVDVEILENVVTTEKSNMENESVDLENRGDVNGDDVNLNNEDDNLEDNVNDNVEHGNPSEHLFDIFDPRNWDALDSKMIDLLVMEGPKRDLSVVNGPKDKLSRRFTSNLYTRVLSNGEKCDRDWLVYSKEFDKVFCFCCKVFKRVISKGQLTNEGFGDWSHVGGRLREHERGMEHGKNMTTWYELRQRLQKNQTIGKTFQRLIEKE >RHN51053.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:13426329:13427006:-1 gene:gene35423 transcript:rna35423 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRLVDRLRLYDTKEIVTLQYEKYLLSVKMKHCDDKISRLNTRCDLYEANQTNSDCEGKKEVVNIDYNCQLQNILDDFLISNQVSFEKFDVQCGNLVEKADECEKKSVEIEMKRHALLVQAEHLKNKSSNLEENPWVRRVNLYFKMETQSMGMKENIHLQEKTYSSVWWEVTMSRSLLVGAWEHLLLCAKFMKFLPNKRKKKDDIFFFVIFSTLTVVEASSSMT >RHN56813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:33937832:33942023:-1 gene:gene32267 transcript:rna32267 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MMEKSRNGSGSLIDIVLSWTLEDVLNENLYKDKVHKIPETFKSATDYNNSFIPLLFEETRADLSSSLSGVSQASLCEIWKVENSKQLKFHKAQNQFIQFHHTIRLESTTESDRDENGGSYEPASGDLIAITYIKPKSLNDLNTLNSPYHIAYVNGAKNRFSGRITVLSSKCMKMDVESVSMKNNTQKMYAVYIMNMTTNVRIWKALDSKSKGDHLNIIEKVLQPGLILNMNVTCIMLVFQSGENCKICMSRSNSKASFITKDIIRSQNLNESQQDAVTSCASMVDCSHANTKLIWGPPGTGKTKTVACLLFSLLKLKSRTLTCAPTNTAILQVAIRLHSLVTDSPDHDTYGLGDIVLFGNGKRMKVDSCPGLEDIFLDYRVKNLMQCYTEWNHSFEAMIKFLSDPSKQYFLEKSKKDFVMLLEDSRDQIFSKMGYKSLDDFATNSIVVSAYSAYKQNIGYDKYDDSLTFEGYVKRARKEIMELYQSIMTMEQFVKQRFGELSEKLKFLIHTLCTHMPKSLISVNNMLQALDLLKSMEISLSQAKFKQTVDDFEEESIPACFGPSSLERNDCLRILSFLSNSISLPEFKVRHQVEKFCLSDASLILCTVSSSIKLYSEETSPVKFLVIDEAAQLKECESMIPLQLPGLQHCILIGDEKQLPALVKSKIADNCRFGRSMFERLVMLGYKKHMLNVQYRMHPSISLFPCKEFYDEKNLDALAVKDQSYNKSFLEGEMYSSYSFINISKGKEKFGHGHSLKNMVEVAVISEIIKNLRKEFMRTKKKVSIGIISPYNAQVYEIQEKVKQDTWDSNSDFSVNVRSVDGFQGREEDIIIISTVRWKWARYCLWILGNASTLANSDSIWRKLIIDAKRRDCYHNADEDKKLARVIDDVLFEIELLEESESPFKKLSLCEKSETTFLQGNQKLHFFKYSCNMQLII >RHN46259.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:30170142:30172370:-1 gene:gene40726 transcript:rna40726 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNKIQQQTLEGPPDLAQQRTPHSLQAFQFLTQRIEGRRTKSSTRAFDRTPPLLLNPMSHKDINGLGGNCSRPLTRLHMYNITPPQGFTLKEARLPVGITLHVSTPAPNHTPHNPETVMPRERLYKWDIASPSRHTTIFTTLLRLFYQFHYLLYHHSANVLAGTFCSTAKDISPPYRKRLPIIRTFFTTIATQPFSPDRAYLFSLQI >RHN54575.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10576761:10580831:1 gene:gene29640 transcript:rna29640 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein kinase RLK-Pelle-LRR-XII-1 family MLPTFFIWFFSLLIFNFSPKTIAFTIGNQSDYLTLLKFKKFISNDPHRILDSWNGSIHFCNWYGITCNTMHQRVTELKLPGYKLHGSLSSHAANLTFLRHVNLADNKFSGKIPQELGQLLQLQELYLSNNSFSGEIPTNLTNCFNLKYLSLSGNNLIGKIPIEIGSLQKLQELNVGRNSLIGGVPPFIGNLSVLTTLSISRNNLEGDIPQEICRLKHLTKIALGLNKLSGTVPSCLYNMSSLAIFSSAANQIDGSLPPNMFNSLPNLKVFEIGVNQFSGLMPTSVANASTLRKLDISSNHFVGQVPNLGRLQYLWRLNLELNNFGENSTKDLIFLKSLTNCSKLQVCSISHNNFGGSLPNLAGNLSIQLSQLYLGSNQIYGQIPSELGNLNSLISLTMENNRFEGTIPDSFWKFQKIQVLDLSGNQLSGHIPGFIGNFSQMYYLSLAHNMLGGNIPPSFGNCHNLHHLNLSKNNFRGTIPLEVFSISSLSNSLDLSQNSLSGNLSVEVGRLKNINKLDFSENNLSGEIPITIDQCKSLEYLFLQGNSFHQIIPSSLAYIRGLRYLDMSRNQLSGSIPNILQNISRLEHLNVSFNMLDGEVPKEGVFRNASRLAVFGNNKLCGGISDLHLPPCPFKHNTHLIVVIVSVVAFIIMTMLILAIYYLMRKRNKKPSSDSPIIDQLAMVSYQDLYQATDGFSSRNLIGSGGFGSVYKGNLMSEDKVIAVKVLDLEKNGAHKSFITECNALKNIRHRNLVKILTCCSSIDYKGQEFKALVFEYMKNGSLENWLHSRMMNVEQPRALDLNQRLNIIIDVASALHYLHRECEQLVLHCDLKPSNVLIDEDNVAHVSDFGIARLVSSADGISPKETSTIGIKGTVGYAPPEYGMGSEVSTHGDMYSFGMLILEMITGRRPTDEMFLDGQNLHLYVENSFPNNVMQILDPHIVPREEEAAIEDRSKKNLISLIHKSLVSLFRIGLACSVESPTQRMNILDVTRELNMIRKVFLAGVHPRQ >RHN48149.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:45420608:45422135:1 gene:gene42836 transcript:rna42836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylic ester hydrolase MAVSISNMLIAFPKSESTFFFGSNSNHLRFQTTQPLTLTTKKLTTKCTTTQSNTITQLQSQPTKQSSKLTELPIEKAWRKIHGEDDWVGLLDPMDPIMRSELIRYGEMSQACYDAFDFDPYSKYCGSCKHPHLEFFPSLDLPHIGYDVTRYLYATANVNVPDFFKKSRWPDKYWSEHANWMGYIAVSNDETTKQIGRRDIVIAWRGTVTHVEWVANLQNFLKPIYQDIPCPDNDVRVEAGFLDMYTDRQTKDGYCKYSSREQVLGEVRRLLAKFPNEEVSITLTGHSLGSAMATLSAFDIAETRLNVRENGEKIHVSVFSFSGPRVGNVKFKGRLEKHLGVKILRVHNKHDMVPKSPGFLINEKSPAWLLKFAEDIDIPWCYTHVGVELELDHKISPFLNPNADAACAHNLEAHLHILDG >RHN82675.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55613940:55615876:1 gene:gene6854 transcript:rna6854 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin remodeling & transcriptional activation HMG family MATKGTKRVDAVDSRSASVLVRAKDGSAFAKCDECKKDVPVALIDMHNCSLEAKIKMNLESHAVEQAAEVKKPERKKPNSKEPNAKRAKVGKGKKVKDPNAPKRPPTAFFVFMDEFRKIFKEANPDSKDVKRVGKEAGEKWRSLTEEEKKPYLDKVVELKAEYDKAMELYNAATAESEDQEGSDKEDKEGQSDDKETAAAGEVEELTDEE >RHN43392.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:45429344:45431042:-1 gene:gene49959 transcript:rna49959 gene_biotype:protein_coding transcript_biotype:protein_coding MMIQILIISIIFMIIVDDRRDTFRIDSKESWLLILVRLSLRLVLKYIKIILFMINIVSSVRGCRVSLLLFLFFQIHFFHHWLP >RHN65336.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:1285631:1285828:-1 gene:gene13162 transcript:rna13162 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative gibberellin regulated protein MVEIVECAPRCEDRCSKTHHRKPCLFYCKYCCAKCLCVPPGTYGNKEVCPCYNDWKTKEGGPKCP >RHN69215.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:41855269:41856339:-1 gene:gene17655 transcript:rna17655 gene_biotype:protein_coding transcript_biotype:protein_coding MALEEFCAKQNSEIMQLNRLVQQYKNGRDYNAIIAQTRDEKILRLESLMDGVLPTEEFMDENSSP >RHN48865.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50771392:50776939:1 gene:gene43629 transcript:rna43629 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphatidate phosphatase MENSSLWQGAILGGILFWLLSSSYLNLTLKLRSFLQPFVTHYVQSGTPILLQIQSYRAGYLDAIFSGLSCVVSVPFYTAFIPMLFWSGHGQLARQMTLLMAFCDYIGNCIKDVVSAPRPASPPVRRVTATKDEEENALEYGLPSSHTLNTVCLSGYLLHYVLTHTQIQGAYITYFGVSLACLFVALVGLGRIYLGMHSLIDVVAGLFIGLGILGLWLTVDECIDSFVISGQNVTTFWAAFSFLLLFAYPTPELPTPSFEFHTAFNGVALGIVSGVQQTYHQFHHNTVPRLFSSELTVLVFTGRVLLGIPTILIVKFCSKTLAKWTIPVVANTLGVPIKSTTYIPTLNGAKTGEKSKAFDVDTGIRFLQYAGLAWSVVDLVPSIFSYTNL >RHN54234.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7973373:7975100:1 gene:gene29235 transcript:rna29235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoflavone reductase MATENKILILGPTGAIGRHIVWASIKAGNPTYALVRKTPGNVNKPKLITAANPETKEELIDNYQSLGVILLEGDINDHETLVKAIKQVDIVICAAGRLLIEDQVKIIKAIKEAGNVKKFFPSEFGLDVDRHEAVEPVRQVFEEKASIRRVIEAEGVPYTYLCCHAFTGYFLRNLAQLDVTDPPRDKVVILGDGNVKGAYVTEADVGTFTIKAANDPNTLNKAVHIRLPKNYLTQNEVISLWEKKIGKTLEKTYVSEEQVLKDIQESSFPHNYLLALYHSQQIKGDAVYEIDPTKDIEASEAYPDVTYTTADEYLNQFV >RHN67895.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:31238204:31238598:1 gene:gene16140 transcript:rna16140 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKNYKAVQALKLSQEGDSLNETNAKVDFSDFGDFDDDQGMEVDDDEMDVEDGGADEVQNEFKEKVLGVLKEGDFEEKRSSKLTLEKFLDLLSLFNKAGLHFS >RHN55213.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16173880:16178140:1 gene:gene30358 transcript:rna30358 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTQLINLTSSPRSNLHHFSPNNVHILHHQPFPSKLYYNHRSNLKTINCNSKLNNSSGGEAYEIDEGVFGGYDGIEEESDEDDAESSVDLLIKFLQSMFKKMAKRAKKASRSVLPTVISPQLVSFAVDGTLLLATLSVVKALLEVICNIGGTVFAAILALRVIWAAVSHFQSNGNSFNQGNNSFGAIA >RHN72681.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:9696385:9700223:1 gene:gene8427 transcript:rna8427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative microtubule-associated protein MVVNEEVPLVKPDVVVLEIDHLQNKLIEKVNELATCHGEIKAIRAIEAKKDKAIEELRNEVSKMDERLRLKEDHLKNKNLEIKKLTDEKKDALAAQYAAESALRRLHTDQKEEDFYPFESVITPLEDEIKMYRTEITALQEDKKALERLTKSKELALLEAERILRSALERALIVEEVQNENFDLKRQIEICQEENKILEKSHRQKIVEVEKLSQTIHELEELILSNGATANLIRDYKRQISELQEEKRTLERELARVKVSANRIATVAANEWKDESDKVMPVRQWLEERRIMQAEMQRLKEKLAISERTAKAESQLKDKLKLRLKTLEEGLKQFSVNSNAFSVSPKADKSNILSFVTNNGGLRNRSTSQPRGSTVGRTLFQKANIKGNIESMAGNRKPGSITKMKYGSTENVLKKGIWASKNKFSDGGEKENEIQVNTGSVKTNADEDSKFNSSNDLESNDVVSGFLYDKLQKEVINLKKTCETKDSTLHTKDEEIKMLTKKVDALTKAMEVEWKKMKREIAAREKEVASIKSDDRRKNRSSDFSKRVMKEH >RHN54229.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7940631:7941423:-1 gene:gene29229 transcript:rna29229 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pantetheine-phosphate adenylyltransferase MAVNDDPMVVPTLSPPNTYEAVVNGGTFDRLHDGHRLFLTVSLSTSFLFFGLKFPIHSFIHYFDYFQ >RHN56353.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:30192694:30193224:1 gene:gene31738 transcript:rna31738 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPLPPWYRPDLNCVFHQGAPGHDTEQCYPLKEEVQKLIENNVWSFDDPDIKVLLQQQHMSSHSIATLRSITNVVQDPGYQSQFQQYQQQPRQRASGQPQFDSIPMKYAVLFPDLLRRKLVQTRPPPRMPEKLPTGYRPDLSCVFHQGAPGHDIERCFAFRNEVQKLIQDKVLRF >RHN42217.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:36422221:36422583:1 gene:gene48632 transcript:rna48632 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAGTTSSVSKSSSVSKSCVPMLLSTGTKSATKAGITGMGSGTEVMRQVGVTEPSNGVVGTVCTTILCGCTTVDEGMSLEEAVTSSCTTMFSTAEELTSLTESKVAPSKFSCTSTCST >RHN46070.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:28259633:28261574:1 gene:gene40510 transcript:rna40510 gene_biotype:protein_coding transcript_biotype:protein_coding MMASQPTLERKVVELQRYIDTNPTPPSSKPKIQRVAEYLRKRENFEKHYIPRFVSIGPIHHGSTDLELGEKYKLMWAAEYIKKTESILKDLHKKIADNIDTLKDRFSDDVLTLTRKSLDGFGSLEEKLSWILFVDGCSLLHILEKWKHFEQEHMTIVMMDVLLLENQLPYEVLKLLWKDDNQSALIESMTNFFNYLHLKRDDPRLEKEKKGEGQHSVSMPDESLLEPPNHLLDLHRKMILTASNSKTKENKWSQELILRIKSWKWPWELCGKLHRWIGMNSERPSELRTMNSERPSELRTMNSERPSELRTHRNIQDLKAVGIRLKSSNTPSPKDIHFSDGWFTAELTLPMIIVTDSSASTFFNLTAYEMCPDFENKYEICSFVVFMESLIDHPEDVKELRSKGILRNKLGSDEEVAHLFNIISTDLRKSGTYNKVSENIHKHYRNKYKNWIAQRFHTHFSNPWAITAFLAAFIALALTFIQTWFTVNPPRK >RHN54343.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:8857586:8858738:-1 gene:gene29360 transcript:rna29360 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box domain-containing protein MGGENVNNTDSTLPVAETTANKPLPFLPEELIVIILLRLPVRSLLRFKCVCKSWKTLFSDTHFANNHFLISTVYPQLVACESVSAYRTWEIKTYPIESLLENSSTTVIPVSNTGHQRYTILGSCNGFLCLYDNYQRCVRLWNPSINLKSKSSPTIDRFIYYGFGYDQVNHKYKLLAVKAFSRITETMIYTFGENSCKNVEVKDFPRYPPNRKHLGKFVSGTLNWIVDERDGRATILSFDIEKETYRQVLLPQHGYAVYSPGLYVLSNCICVCTSFLDTRWQLWMMKKYGVAESWTKLMSIPHENLLISNISLWPCVEPLFISENGVVLLMNTSSSQLILYNLNSRGLYFPRIPPSYLNIYYIEPKLDLHIYHESLLSPQC >RHN39192.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:4857561:4858451:-1 gene:gene45195 transcript:rna45195 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase MSLIKLFGYQRTGNTNKKNDIYSFGIILFVLISGRHAIVRAAGENIHILDWVIPLVERGDIQNVVDSRLQGEFSINSAWKAVEIAMSCISPNATERPDMSQILADLRECLSLEMIQTNDGNTRVRDEFVSVATVSETIVLAR >RHN43960.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:240125:243977:-1 gene:gene38002 transcript:rna38002 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription initiation factor IIA, gamma subunit, transcription factor IIA, helical MATFELYRRSTIGMCLTETLDEMVQNGTLSPEIAIQVLVQFDKSMTEALETQVKSKVSIKGHLHTYRFCDNVWTFILQDALFKNEDNQENVGRVKIVACDSKLLSQ >RHN54629.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:10888208:10890307:1 gene:gene29698 transcript:rna29698 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydroxymethylglutaryl-CoA reductase (NADPH) MGVQQKISKNEKKNQKKQEDSQSILYLTNVVFFGLFFSVAYFLLNRWREKIRTSTPLHVLTISEILALVSLIASFVYLITFFGIAFILHYDEEEEDIAAKTTQGVLPKKLPTLPPPKISDQKVMSMEDEEVVSAVVSGSIPSYSLESKLGDCRRAANIRNQAVERVTGRSLEGLPMEGFDYNSILGQCCEMPIGFVQIPVGVAGPLLLDGNEYTVPMATTEGCLVASTNRGCKAIYVSGGASAVVLRDGMTRAPVVRFNSAKRASQLKFFLEDPQNFDSLSHTFNKSSRFARLQSIKATMAGKNLYTRFTCSTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVIGISGNFCSDKKAAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTSVESLVELNMLKNLTGSAMAGALGGFNAHASNIVSAIYLATGQDPAQNVESSHCMTMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKETPGANSRQLATIVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSNKDVTKVAS >RHN69592.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:44714994:44716993:-1 gene:gene18080 transcript:rna18080 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor WRKY family MGDSKNLIDELLHGLELARQLQIYLNVSSSSNETREILIQKIISTFEKALEMVNRKRHVNMGESSKQQYPSASGTLAIRISDSPPLSCSPRSEDSDRDFNRDHNASRKRNTLPIRWTKHIRVEPGMAMEGPLDDGYSWRKYGQKDILGAMHPRGYYRCTHRNVLGCLATKQVQRSDEDPTIFEITYRGNHTCTIASNVVGPTLNNPFQTQETNIVNTNPQSLDQQKPPNEQLLNNLRTGLRVQTENLDFQNQSFVPFGNHVLESSFAENFNSPSYVSPANSGISHFSMSPTPSVFPNMASEIIPPATSAANTPTASMEFPFDQFEFDGQNFTFHNSRFFS >RHN42548.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010655.1:39228214:39231884:-1 gene:gene49000 transcript:rna49000 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AHC1 MSLTVEKTQAGREYKVRDLSQADFGRLEIELAEIEMPGLMSCRTEFGPSQPFKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEELYEKTGEVPDPSSTDNAEFQLVLTIIRDGLKTDPKRYRKMKDRLVGVSEETTTGVKRLYQMQASGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVGVVAGYGDVGKGCAVALKQAGARVIVTEIDPICALQALMEGFQVLTLDDVVSYADIFVTTTGNKDIIMVDDMRKMKNNAIVCNIGHFDNEIDMSGLENYPGVKRVTIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNERKSGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLSQSQADYISVPVEGPYKPAHYRY >RHN55621.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:20149120:20154774:1 gene:gene30828 transcript:rna30828 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative salicylate carboxymethyltransferase MPLGMSQISRPPLVGRKPLRKKERQKGMATSWKFALKHDHNFFIKPELESPILTKKTKKKMDVEKVFHMTGGVGKTSYAKNSSLQKKASDKVKHIIIETVEELYIETTPKSIGIADLGCSSGPNTLSIIKDIFQTIQVTSHKIMHHSTEFRVYFNDLPTNDFNSIFKALPEFQKLLNQDRKNGFPSIFMGGYPGSFYGRLFPNSYLHFVHSSHCLHWLSRVPPTIYDEQKRSLNKGCVYICDKSPEVVSQAYYKQFQEDFSLFLRSRSEELVVGGKMVLTFLGRRGPEHVDRGNSFFWEILTRSFTILVSQGEIEQEKLDSYDVHFYAPSREEIEDEVMKAGSLKLERLEMFDIDKKEQGRESYGTDVAKAVRAIQESMVSNHFGEKILDSLFENYAMLVDEEIAKEDINPITFVLVLRKI >RHN82162.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:51616312:51616888:-1 gene:gene6288 transcript:rna6288 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter, transmembrane domain-containing protein MALQSSFSIHDEKYDDDGLIKRTGSWVTASAHIVTAVIGSGVLSLAWAVAQLGWIAGCIVLILFSLITLLTSFLLADCYRYPDSVHGIRNPTYMAMVKSILGSHKIQSLINVLLSRHIFGSYFGQNLYFYIQFQSKFILVKSKVNLDLRIEI >RHN63989.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:55733342:55737711:-1 gene:gene26761 transcript:rna26761 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactinol--sucrose galactosyltransferase MIVLVNNTLTRKIRTKSNMLTTMVFNSCYCNPSSSLPINTKSNPFLSQQQHNTFLSRIPTIIKYHLQISDFTKHYNSNPSFPLISSFKRREVEVEEEMTIKPAIRVSDGKLMVKDRPILTGVSANVTETSAATTRPVDGIFLGAEMDNSDSRHIVSLGKLTDVRFMACFRFKLWWMAQRMGDKGSQVPLETQFLLVETKDGSHLEEDSDITYTIFLPLVEGSFRACLQGNVSNDNLELCIESGDVDTKTSSFSHALFITAGTDPFATIHNAFTAVRNHLNTFRLRHEKKLPGIVDYFGWCTWDAFYQDVTQEGVEDGLQSLSGGGTPPKFVIIDDGWQSVAGDLEDSSSLQRLTDIKENPKFQNKENPEVGIKSIVNIAKEKHGVKFVYVWHAITGYWGGVRPGLKDTEEYGSVMSYPEISKGVRENEPTWKTDPLAVQGLGLVNPKKVFSFYDNLHKYLSRAGVDGVKVDVQCILETLGAGLGGRVEITKQYHQALDASVARNFSDNGCIACMSHNTDALYCSKQAAVVRASDDFYPRDPVSHTIHIASVAYNSIFLGEIMQPDWDMFHSLHPAAEYHGSARAISGGPVYVSDKPGNHDFDLLKKMVLPDGSVLRARLPGRPTADCLFNDPARDGASLLKIWNMNACGGVLGVYNCQGAAWCANERKNAFHETDSAALTGYVRGRDVHLISEAVAGDGDWNGDCAFYAHHSRELVVLPHNVAMPLTLKVLEHEVFAVAPVKVFNSGYRFAPIGLVNMFNAGGAVEGLVYKDDAVRLEIKGCGKFGAYCSARPTRCLLEDSVVDFEYDNDSGLLSFAIDYLPQEGHNVHHVQIEL >RHN50164.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:4595128:4605647:1 gene:gene34419 transcript:rna34419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4 glucan phosphorylase L isozyme, chloroplastic/amyloplastic MASTTMRLPTNTAAVTESSFPRRNSISGLITSRSSSSLRLKYRSLNHNLNLRRRISFQVKCLFGSSEAKQKLKDREIQEQQEATTSLSSFAPDASSIVSSIKYHAEFTPLFSPEKFELPQAFIATAQTVRDALIINWNATYDYYEKLNVKQAYYLSMEFLQGRALLNAIGNLELTGPYAEALSQLGYKLENVAHQPDAALGNGGLGRLASCFLDSMATLNYPAWGYGLRYKYGLFKQQITKDGQEEVAEDWLEMGNPWEIVRNDVTYPVRFYGKVISGSDGKKHWVGGEDIKAVAHDVPIPGYKTKTTINLRLWSTKAASEEFDLNAFNSGRHTEASEALANAEKICYVLYPGDDSIEGKTLRLKQQYTLCSASLQDIIARFERRSGASVNWEEFPEKVAVQMNDTHPTLCIPELMRILIDIKGLSWKDAWNITQRTVAYTNHTVLPEALEKWSMDLMEKLLPRHVEIIELIDEELVRTIIAEYGTADSDLLEKKLKEMRVLENVELPAEFADVLVKSKEADDISSEEVKISGEEEEDDDGNDDEVVIVEKDGTDKSSVEKKKEELPKPVVEPPKLVRMANLCVVGGHAVNGVAEIHSEIVKDDVFNAFYKLWPEKFQNKTNGVTPRRWIRFCNPDLSKIITQWIGTEDWVLNTEKLAELRKFADNEDLQKQWREAKLNNKVKVAALIKERTGYSVSPDAMFDIQVKRIHEYKRQLLNIFGIVYRYKKMKEMNAAERKKTFVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDPEIGDLLKVIFVPDYNVSVAEMLIPASELSQHISTAGMEASGTSNMKFAMNGCLQIGTLDGANVEIREEVGEDNFFLFGAKAHEITGLRKERAEGKFVPDPRFEEVKEYVRSGVFGSYNYDDLIGSLEGNEGFGRADYFLVGKDFPSYLECQEEVDEAYRNQKKWTRMSILNTAGSSKFSSDRTIHEYARDIWSIEPVKLP >RHN72034.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:4545229:4548137:-1 gene:gene7701 transcript:rna7701 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SPEAR4 MNINMCSNNGSSSACGCGSGGGGNDHGVTMWSSGLKKQQQQQQKRPRIPKRGPGVAELEKILREQESTDITTKDRGNNEGFSVSSSCLMPHYSSSSSSCLKSHPPPPPRLNSNNFPSAPKFDHVVPPTIGSMFGNTSTHSFGRNGGASARSGEHELFPVDLTSCKSKPNLNEVGEGSQSDSGNSPPRNLSSESNHGWSYPTTIQKRNNGYSPPMMNQFHGTGGNQASSGSLSIGSHNHLESPSIQNSYYNYSTRSHDEPKMAGLKRSHTSSLDNSLIPPSNFQVLPSFSRYNRPQQSSTNESHGLSSYNPTNECYRDSKWGSTLELSNKRFNSENAGSSHANFPPFVVPEVPPPPPPMHLFQNVLSKGNMFPRQIIEDKMENSYQRSESSGQDRKPFFNFLEVQGQGGATDATSGSNLGGLEGGGGGLDLSLKL >RHN45277.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:14231202:14231390:1 gene:gene39522 transcript:rna39522 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTHLLFIACHVYLRTNEKMTSGPFWTYGLICKKVNMRTNLQ >RHN72307.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:6640578:6641428:-1 gene:gene8005 transcript:rna8005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thioredoxin-disulfide reductase MERVTQLASERSVVIFSKSSCCMCHTIKTLFSDFGVNPEVHELDEMSGGRDIEQALLRLGCNPSVPAVFIGGELVGGANEVMSLHLNRSLIPMLRRAGAIWV >RHN55221.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:16246699:16253439:-1 gene:gene30366 transcript:rna30366 gene_biotype:protein_coding transcript_biotype:protein_coding MMVHLADLNPVVELYEVLPVAGFPYPPLVQDPEPQIPQPLPEPQPQPEMMVHLADFNPVVELDEVLPVAGFPYPPLAYQRRSEGAFADVESLALFTAFHLNGNVTDIDTLRDMFPYILGLRDNVSLNTKLRNSRNSAALHLHEKYVVSF >RHN44625.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:6935765:6938442:-1 gene:gene38766 transcript:rna38766 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transferase, protein kinase RLK-Pelle-LRR-XI-2 family MGLICGCGFVFCVFMFKKRHTPFSLARKFLSFQPFVLLLFLFSLHHDTVQCQGRLSKHMSSEPSSSTSEYKDDPRKIIISIVLGAVTGLIGSVLFAFVIRCVVWYLNRTPILKGPVIFSPKIASKTLQVALTKENHMLGSSPNGKYYKTVLENGLIIAVKRLTPFESNSPESRKKSVKRQIQMELELLASLRHRNLMSLRAYVRENDGFSLVYDYVSTGSLADVMNRVRENELQIGWEARLRIAVGVVKGLQYLHFTCVPQILHFNLKPTNVMLDAEFEPRLADYGLAKLLPNLDRGISVNIPPECSHNCRYTEKSDIFSFGMILGVLLTGKDPTDPFFGEASRGGSLGCWLRHLKQAGEEREALDKSILGEQGEEDEMLMAVGIAAACLSDMPAERPSSDELVHMLTQLNSF >RHN50970.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010653.1:12484080:12484733:-1 gene:gene35328 transcript:rna35328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative quinate O-hydroxycinnamoyltransferase MPTLERWFPNSVQLPIQFPFTMDKNLNDDEKEKLNPPERLFHFTKQKILQLKSKANTEAGTNNISSLQALFTHLWRSVVRSNQFDPQEEVHYMVVTGDGGLGKGATKMNKMIASHSMEKLKNHYENWSKTPSFIRLGSVANSNSLVISSSPRFNVYGCDFGWGKPVALRSGDANKKNGKISVFPV >RHN71341.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58391003:58401403:1 gene:gene20013 transcript:rna20013 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative chromatin regulator PHD family MNAAEEEEAPPHQQPSDSPPPPPPPSNLSVNADADMHDAVVIGCENNDSAVNSTDEVAVDDAALAEGGEGQLHVMETASYGDGDGMQDDEEIAAEEKGTDVDLEPDNVEEVQVRVDNSDEAPLIGEDDEEKKEEEDEKEIQEGEHPQQNEDDEEQEQEQEDDEEEADPDGDGDVSLPDVEDKEADEETVVEVAEEEPSPSASAGKRKSGNGKNSKSSGRVPSKKKMEEDVCFICFDGGDLVLCDRRGCPKAYHPSCVNRDEAFFQTKGKWNCGWHLCSNCEKNAHYLCYTCTFSLCKGCIKDAVMLCVRGNKGFCETCMRTVMLIEQNEEGNNMAQVDFNDKNSWEYLFKDYYVDLKGKLSLTFDELAQAKNPWKGSGKLPSKEESPDELFDATNDRGSDSDSPYENVDLSRSKKRKPKKRAKSRSKEGKSYSASSTEESSEWASKELLEFVMHMRNGDKSMLPQFDVHALLLEYIKINKLRDPRRKSQIVCDARLQNLFGKPRVGHFEMLKLLESHFLLKEDSQAEDHQGSVVDTEVSHLEGDGDDDTYIKVGKDKKRKSRKKGDERGLQSNIDEYAAIDNHNINLIYLRRNLVEDLLEDTDQFHDSVVGSFVRIRISGSGQKQDLYRLVQVAGTCKTAEPYKVGKKMTDILLEILNLNKTEIVSMDIISNQEFTEMKSNLHITVVDIANLTSPSTAIAQQELQKLQSALDSCGFFMVINHGMTSLFLDKVREVSRQFFELPKEEKQKCARGLGTTDTEGYGNDNYSDLKRNDWADRVYLKVHPEDERNLKLWPQKLNDFRNTTQQYTECVLQLYEVILRAMSKLVNLEEDCFQKECGERAATYMRINYYPPCPKADHVLGLKVHSDPSTITILLQDKEVEGLQVLKDNKWFKVPIVPDTLLINVGDQMEIMSNGIFQSPVHRAVVDSEKERLTVVMTCRPNSEKEVKPIDKLVNESRPVLYKTVKDYAILYPKISPYGRPIDQCKI >RHN45813.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:25880016:25880484:1 gene:gene40226 transcript:rna40226 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative proton-dependent oligopeptide transporter family MEEMKNENESNERQDPLANFSHSSSTKNKKGGWKSVKYILGNETFEKLASMSLIANLVVLVYMHTQYNMDTTFSVEVFNIWSGLVNFIPLVAAYIADAYVGKFHMLIFGGIASLLVNTNKLS >RHN65732.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:4826894:4828195:-1 gene:gene13610 transcript:rna13610 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late nodulin MAHKLVYAITLFIFLFLIANNIEDDIFCITDNDCPPNTLVQRYRCINGKCNLSFVSYG >RHN74983.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010649.1:38452437:38458394:-1 gene:gene11127 transcript:rna11127 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative galactosylxylosylprotein 3-beta-galactosyltransferase MPSFSKPFFNQRHTIPLRRSSFLILLITFLLLLLLIVFLKPFHNNRCLNTNPRSVRVVWDHGTAAATIGGGNYRHKVMAFVGIQTGFRSVGRRQSLRNTWFPSDPNGLQRLEEATGLAFRFVIGRTNDRSKMSALKREIAEYDDFIQLDIEEEYSKLPYKTLAFFKAAYALFEAEFYVKADDDIYLRPDRLSLLLAKERSHSQTYIGCMKKGPVFTDPKLKWYEPLSNLLGKEYFLHAYGPIYALSADVVSSLVALRNNSFRMFSNEDVTIGAWMLAMNVNHENIHELCSPECTSTSIAVWDIPKCSGLCNPEKRMLELHQMDSCIQSPTMESDE >RHN47659.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:41315623:41317353:-1 gene:gene42282 transcript:rna42282 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor interactor and regulator CCHC(Zn) family MVRHVVSPQIPPVFLNDAAREAGTENPAYTEWEEQDSLLCTWILSTISSSLLSRFVRLRFSHQVWDEIHNYCYTQMRTRSRQLRSELRTITKGTRSIAEFIARIRSISESLMSIGDPVAHRDLIETVLEALPEEFNPIVATVNSQTEVISLDELESQLLTQEARNEKFKKALVGETASVNLTHAENSGEKNGHNQPQTGSYPDQQFNISGNPTGNNSSQYFNPNFGGRNGSRGRGFRGNRFRGRGGRNFGRGNIQCQICYKTGHDASICYHRLSVPPQYEGYGSLGGNFGGNLGSGYGPATGFGTHSNVWMQGVGQRNPSYGAPRAPFPPQFGNSRPPAPQAYITGNESTSSNSFNNGWYPDSGATHHVTPDANNLMDAASFSGSDQMYIGNGQGFY >RHN48761.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010654.1:50030653:50033799:-1 gene:gene43513 transcript:rna43513 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDKWEQEVSLRYPSLKSLSTHFKDQCFGKPETLCRSISANEFAEKGNSWSFWVSPPMSPVINDAATSLVPKQGSCWSEIKFTGMVQWGQRQQVRFLGRHEEQKVEPLPPLQKEKPFHFKARDGTNVKKRKNKMEEAVKVAQFEVQRTTRQCNKNQQGVSSSSGAKKSKKAVNDTKKQELVVYSRNKPKLGIYRWAAERYKLAEENMLKVMKEKGAVYGNSIMRQELRSEARKYIGDTGLLDHLLKHMAGKVAPGGVDRFRRKHNAEGSMEYWLESADLADLRKELGQDPYWTPPPGWKPGDSIAPEYVTSNELREIIKEEIIKLKREMRELKSNRKALAMSNLNFSQVSKQDIYLELVHKKAKIEEQLKEISLALNGMEDQMGMLKPTLVEEPPASLLGPTSLTENIGHETREEEKGTNVNKTKSADTQMVVGSRGQNKRDLYVVKYEFVSTCCNQP >RHN82729.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010648.1:55933330:55936599:1 gene:gene6913 transcript:rna6913 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribosomal protein L29 MVKVHELRQKNKTDLLAQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREVYKNKKYLPLDLRPKKTRAIRRRLTKHQSSLKTEREKKKETYFPMRKYAIKA >RHN68848.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:39080663:39081301:1 gene:gene17259 transcript:rna17259 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Late embryogenesis abundant protein, LEA-14 MDDQFYTSNTQPKSRWIRYCCGLCGIIGLILSLIVLLLLIGGTLYLIYRPEAPKFAIDNVSVKGMSLTSLSSISPEVDVSIKADNVNKIGIYYEKNNTVEMFYKDDQIGNGLLPTFYQPKNNVTAFNMVLNGNNVVLPDADQKALVNDIAVRNVTLTLKLKTRLRFKVGFINMGKVEFMIECNMTVDELTEQAKIVDKNCWKKNYNYLFGRY >RHN54237.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010652.1:7989888:7992879:1 gene:gene29239 transcript:rna29239 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative P-loop containing nucleoside triphosphate hydrolase MEKTQLDNLFPLTPSAVFSTKKGRSGYEPSDTETEWQDTPRHERGRKNNMTLSPEETKALYLRNKSPMTLHKRHPSRFEFEVPSSPSITGSVLNQPRRRHLSKSPYRPRVAHDNHYDVDGNDDDASLTNITGVNSRRNMSPLPRPDIGRTLSPYNRNREQRAPYNENRKASSGLLEMDRVGTKSNYKRAVTAPRLRDQQQTVQNTARTLKQREKSPFKTGLVKEREINEMIAEVKLSKNPTDDYSSALESTDSIQTGDLFFSRECNALQAKNSSMPKKVQQYEYFSPRQVITTINPITNPCESGKHGMNMNMPRNYSSNVLLSRTSAATSIRKGSGTGKPSANSSVKSDASTKTTESMRKFTSNRKKNQKDAWFACMMRTGNCRISRKSPERRPIDEASLIERAIIVESIPQLWADKHKPASLDGFICNKQEAQLLKELVSQGSCPHILLKGPSGSGKRDLAMAFLREIYGDACCNDKRTMKVSVPITSSSHHMEVNVNSEPNAKYALMGLIKEISNIYAITPEVSNVNFKSDYKVIIIYDVEKAAENIQHLIKWIIDRYSDICKLVLCCEDDENIIAQVKNRFKVINVDAPQTHEIIEVLTQIANKEEMDLSMNFAMKIATKSKQNLREAILALEACRAHNYPFSEEQPIPVGWEKIVIEVATEILTDPSFSRQEISLPILFSLI >RHN59411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010651.1:10386926:10388117:1 gene:gene21437 transcript:rna21437 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant lipid transfer protein/Par allergen MPSLCSSSHLLQIPNPTSSFFLYKPPPSYTYTHKTNNQRKTKKHLSLQNFILRKKMASMKVACVVLMMCMIVAPMADAAISCGTVTSALGPCIGYLKGGPGPSPACCGGVKRLNGAAATTPDRQAACNCLKQAAGAISGLNTAAASALPGKCGVNIPYKISTSTNCATIRA >RHN71411.1 pep primary_assembly:MtrunA17r5.0_ANR:CM010650.1:58808164:58811772:1 gene:gene20091 transcript:rna20091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Coiled-coil domain-containing protein MPKKMGVNSKAEAARARKSAAETEKKDRETREKEEQYWREAESSKPRAAKKREEEADKKAEAAARRAEVRRLAELEEKELEKMIKKPDKKANRVSIPVPKVTEAELRKRREEEQAMAMKKAEEAKKRTAGEDEYERVVLVSNTNRDDSIIEASTLDEAIAQMSIDNNLPPDRHPERRLKASFKAFEEAELPKLKQEKPGLTYTQYKDMIWKLWKKSPDNPLNQIAAE